diff --git a/.editorconfig b/.editorconfig
index c4682829e357..8d54e327b9f9 100644
--- a/.editorconfig
+++ b/.editorconfig
@@ -17,6 +17,10 @@ end_of_line = unset
insert_final_newline = unset
trim_trailing_whitespace = unset
+# We want readFile .version to return the version without a newline.
+[.version]
+insert_final_newline = false
+
# see https://nixos.org/nixpkgs/manual/#chap-conventions
# Match json/lockfiles/markdown/nix/perl/python/ruby/shell/docbook files, set indent to spaces
@@ -108,3 +112,7 @@ charset = unset
[lib/tests/*.plist]
indent_style = tab
insert_final_newline = unset
+
+[pkgs/kde/generated/**]
+insert_final_newline = unset
+end_of_line = unset
diff --git a/.github/CODEOWNERS b/.github/CODEOWNERS
index 0ee2971b5af0..15e253be5b80 100644
--- a/.github/CODEOWNERS
+++ b/.github/CODEOWNERS
@@ -131,13 +131,13 @@ nixos/modules/installer/tools/nix-fallback-paths.nix @raitobezarius @ma27
/pkgs/development/interpreters/python/hooks @FRidh @jonringer
# Haskell
-/doc/languages-frameworks/haskell.section.md @cdepillabout @sternenseemann @maralorn @ncfavier
-/maintainers/scripts/haskell @cdepillabout @sternenseemann @maralorn @ncfavier
-/pkgs/development/compilers/ghc @cdepillabout @sternenseemann @maralorn @ncfavier
-/pkgs/development/haskell-modules @cdepillabout @sternenseemann @maralorn @ncfavier
-/pkgs/test/haskell @cdepillabout @sternenseemann @maralorn @ncfavier
-/pkgs/top-level/release-haskell.nix @cdepillabout @sternenseemann @maralorn @ncfavier
-/pkgs/top-level/haskell-packages.nix @cdepillabout @sternenseemann @maralorn @ncfavier
+/doc/languages-frameworks/haskell.section.md @sternenseemann @maralorn @ncfavier
+/maintainers/scripts/haskell @sternenseemann @maralorn @ncfavier
+/pkgs/development/compilers/ghc @sternenseemann @maralorn @ncfavier
+/pkgs/development/haskell-modules @sternenseemann @maralorn @ncfavier
+/pkgs/test/haskell @sternenseemann @maralorn @ncfavier
+/pkgs/top-level/release-haskell.nix @sternenseemann @maralorn @ncfavier
+/pkgs/top-level/haskell-packages.nix @sternenseemann @maralorn @ncfavier
# Perl
/pkgs/development/interpreters/perl @stigtsp @zakame @dasJ
@@ -185,11 +185,18 @@ pkgs/development/python-modules/buildcatrust/ @ajs124 @lukegb @mweinelt
# Licenses
/lib/licenses.nix @alyssais
-# Qt / KDE
-/pkgs/applications/kde @ttuegel
-/pkgs/desktops/plasma-5 @ttuegel
-/pkgs/development/libraries/kde-frameworks @ttuegel
-/pkgs/development/libraries/qt-5 @ttuegel
+# Qt
+/pkgs/development/libraries/qt-5 @NixOS/qt-kde
+/pkgs/development/libraries/qt-6 @NixOS/qt-kde
+
+# KDE / Plasma 5
+/pkgs/applications/kde @NixOS/qt-kde
+/pkgs/desktops/plasma-5 @NixOS/qt-kde
+/pkgs/development/libraries/kde-frameworks @NixOS/qt-kde
+
+# KDE / Plasma 6
+/pkgs/kde @NixOS/qt-kde
+/maintainers/scripts/kde @NixOS/qt-kde
# PostgreSQL and related stuff
/pkgs/servers/sql/postgresql @thoughtpolice @marsam
@@ -271,13 +278,13 @@ pkgs/development/python-modules/buildcatrust/ @ajs124 @lukegb @mweinelt
/pkgs/applications/editors/vscode/extensions @jonringer
# PHP interpreter, packages, extensions, tests and documentation
-/doc/languages-frameworks/php.section.md @aanderse @drupol @etu @globin @ma27 @talyz
-/nixos/tests/php @aanderse @drupol @etu @globin @ma27 @talyz
-/pkgs/build-support/php/build-pecl.nix @aanderse @drupol @etu @globin @ma27 @talyz
-/pkgs/build-support/php @drupol @etu
-/pkgs/development/interpreters/php @jtojnar @aanderse @drupol @etu @globin @ma27 @talyz
-/pkgs/development/php-packages @aanderse @drupol @etu @globin @ma27 @talyz
-/pkgs/top-level/php-packages.nix @jtojnar @aanderse @drupol @etu @globin @ma27 @talyz
+/doc/languages-frameworks/php.section.md @aanderse @drupol @globin @ma27 @talyz
+/nixos/tests/php @aanderse @drupol @globin @ma27 @talyz
+/pkgs/build-support/php/build-pecl.nix @aanderse @drupol @globin @ma27 @talyz
+/pkgs/build-support/php @drupol
+/pkgs/development/interpreters/php @jtojnar @aanderse @drupol @globin @ma27 @talyz
+/pkgs/development/php-packages @aanderse @drupol @globin @ma27 @talyz
+/pkgs/top-level/php-packages.nix @jtojnar @aanderse @drupol @globin @ma27 @talyz
# Docker tools
/pkgs/build-support/docker @roberth
@@ -352,3 +359,8 @@ nixos/tests/zfs.nix @raitobezarius
nixos/modules/services/continuous-integration/buildbot @Mic92 @zowoq
nixos/tests/buildbot.nix @Mic92 @zowoq
pkgs/development/tools/continuous-integration/buildbot @Mic92 @zowoq
+
+# Pretix
+pkgs/by-name/pr/pretix/ @mweinelt
+nixos/modules/services/web-apps/pretix.nix @mweinelt
+nixos/tests/web-apps/pretix.nix @mweinelt
diff --git a/.github/PULL_REQUEST_TEMPLATE.md b/.github/PULL_REQUEST_TEMPLATE.md
index 40ea13f131e8..1dfd8cd15abd 100644
--- a/.github/PULL_REQUEST_TEMPLATE.md
+++ b/.github/PULL_REQUEST_TEMPLATE.md
@@ -38,7 +38,7 @@ Reviewing helps to reduce the average time-to-merge for everyone.
Thanks a lot if you do!
List of open PRs: https://github.com/NixOS/nixpkgs/pulls
-Reviewing guidelines: https://nixos.org/manual/nixpkgs/unstable/#chap-reviewing-contributions
+Reviewing guidelines: https://github.com/NixOS/nixpkgs/blob/master/pkgs/README.md#reviewing-contributions
-->
---
diff --git a/.github/labeler.yml b/.github/labeler.yml
index c3e834b0e7da..a6e8d734382e 100644
--- a/.github/labeler.yml
+++ b/.github/labeler.yml
@@ -1,216 +1,362 @@
"6.topic: agda":
- - doc/languages-frameworks/agda.section.md
- - nixos/tests/agda.nix
- - pkgs/build-support/agda/**/*
- - pkgs/development/libraries/agda/**/*
- - pkgs/top-level/agda-packages.nix
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - doc/languages-frameworks/agda.section.md
+ - nixos/tests/agda.nix
+ - pkgs/build-support/agda/**/*
+ - pkgs/development/libraries/agda/**/*
+ - pkgs/top-level/agda-packages.nix
"6.topic: cinnamon":
- - pkgs/desktops/cinnamon/**/*
- - nixos/modules/services/x11/desktop-managers/cinnamon.nix
- - nixos/tests/cinnamon.nix
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - pkgs/desktops/cinnamon/**/*
+ - nixos/modules/services/x11/desktop-managers/cinnamon.nix
+ - nixos/tests/cinnamon.nix
"6.topic: emacs":
- - nixos/modules/services/editors/emacs.nix
- - nixos/modules/services/editors/emacs.xml
- - nixos/tests/emacs-daemon.nix
- - pkgs/applications/editors/emacs/elisp-packages/**/*
- - pkgs/applications/editors/emacs/**/*
- - pkgs/build-support/emacs/**/*
- - pkgs/top-level/emacs-packages.nix
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - nixos/modules/services/editors/emacs.nix
+ - nixos/modules/services/editors/emacs.xml
+ - nixos/tests/emacs-daemon.nix
+ - pkgs/applications/editors/emacs/elisp-packages/**/*
+ - pkgs/applications/editors/emacs/**/*
+ - pkgs/build-support/emacs/**/*
+ - pkgs/top-level/emacs-packages.nix
"6.topic: Enlightenment DE":
- - nixos/modules/services/x11/desktop-managers/enlightenment.nix
- - pkgs/desktops/enlightenment/**/*
- - pkgs/development/python-modules/python-efl/*
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - nixos/modules/services/x11/desktop-managers/enlightenment.nix
+ - pkgs/desktops/enlightenment/**/*
+ - pkgs/development/python-modules/python-efl/*
"6.topic: erlang":
- - doc/languages-frameworks/beam.section.md
- - pkgs/development/beam-modules/**/*
- - pkgs/development/interpreters/elixir/**/*
- - pkgs/development/interpreters/erlang/**/*
- - pkgs/development/tools/build-managers/rebar/**/*
- - pkgs/development/tools/build-managers/rebar3/**/*
- - pkgs/development/tools/erlang/**/*
- - pkgs/top-level/beam-packages.nix
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - doc/languages-frameworks/beam.section.md
+ - pkgs/development/beam-modules/**/*
+ - pkgs/development/interpreters/elixir/**/*
+ - pkgs/development/interpreters/erlang/**/*
+ - pkgs/development/tools/build-managers/rebar/**/*
+ - pkgs/development/tools/build-managers/rebar3/**/*
+ - pkgs/development/tools/erlang/**/*
+ - pkgs/top-level/beam-packages.nix
"6.topic: fetch":
- - pkgs/build-support/fetch*/**/*
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - pkgs/build-support/fetch*/**/*
"6.topic: flakes":
- - '**/flake.nix'
- - lib/systems/flake-systems.nix
- - nixos/modules/config/nix-flakes.nix
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - '**/flake.nix'
+ - lib/systems/flake-systems.nix
+ - nixos/modules/config/nix-flakes.nix
"6.topic: GNOME":
- - doc/languages-frameworks/gnome.section.md
- - nixos/modules/services/desktops/gnome/**/*
- - nixos/modules/services/x11/desktop-managers/gnome.nix
- - nixos/tests/gnome-xorg.nix
- - nixos/tests/gnome.nix
- - pkgs/desktops/gnome/**/*
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - doc/languages-frameworks/gnome.section.md
+ - nixos/modules/services/desktops/gnome/**/*
+ - nixos/modules/services/x11/desktop-managers/gnome.nix
+ - nixos/tests/gnome-xorg.nix
+ - nixos/tests/gnome.nix
+ - pkgs/desktops/gnome/**/*
"6.topic: golang":
- - doc/languages-frameworks/go.section.md
- - pkgs/build-support/go/**/*
- - pkgs/development/compilers/go/**/*
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - doc/languages-frameworks/go.section.md
+ - pkgs/build-support/go/**/*
+ - pkgs/development/compilers/go/**/*
"6.topic: haskell":
- - doc/languages-frameworks/haskell.section.md
- - maintainers/scripts/haskell/**/*
- - pkgs/development/compilers/ghc/**/*
- - pkgs/development/haskell-modules/**/*
- - pkgs/development/tools/haskell/**/*
- - pkgs/test/haskell/**/*
- - pkgs/top-level/haskell-packages.nix
- - pkgs/top-level/release-haskell.nix
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - doc/languages-frameworks/haskell.section.md
+ - maintainers/scripts/haskell/**/*
+ - pkgs/development/compilers/ghc/**/*
+ - pkgs/development/haskell-modules/**/*
+ - pkgs/development/tools/haskell/**/*
+ - pkgs/test/haskell/**/*
+ - pkgs/top-level/haskell-packages.nix
+ - pkgs/top-level/release-haskell.nix
"6.topic: jupyter":
- - pkgs/development/python-modules/jupyter*/**/*
- - pkgs/development/python-modules/mkdocs-jupyter/*
- - nixos/modules/services/development/jupyter/**/*
- - pkgs/applications/editors/jupyter-kernels/**/*
- - pkgs/applications/editors/jupyter/**/*
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - pkgs/development/python-modules/jupyter*/**/*
+ - pkgs/development/python-modules/mkdocs-jupyter/*
+ - nixos/modules/services/development/jupyter/**/*
+ - pkgs/applications/editors/jupyter-kernels/**/*
+ - pkgs/applications/editors/jupyter/**/*
"6.topic: kernel":
- - pkgs/build-support/kernel/**/*
- - pkgs/os-specific/linux/kernel/**/*
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - pkgs/build-support/kernel/**/*
+ - pkgs/os-specific/linux/kernel/**/*
"6.topic: lib":
- - lib/**
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - lib/**
"6.topic: lua":
- - pkgs/development/interpreters/lua-5/**/*
- - pkgs/development/interpreters/luajit/**/*
- - pkgs/development/lua-modules/**/*
- - pkgs/top-level/lua-packages.nix
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - pkgs/development/interpreters/lua-5/**/*
+ - pkgs/development/interpreters/luajit/**/*
+ - pkgs/development/lua-modules/**/*
+ - pkgs/top-level/lua-packages.nix
"6.topic: Lumina DE":
- - nixos/modules/services/x11/desktop-managers/lumina.nix
- - pkgs/desktops/lumina/**/*
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - nixos/modules/services/x11/desktop-managers/lumina.nix
+ - pkgs/desktops/lumina/**/*
"6.topic: LXQt":
- - nixos/modules/services/x11/desktop-managers/lxqt.nix
- - pkgs/desktops/lxqt/**/*
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - nixos/modules/services/x11/desktop-managers/lxqt.nix
+ - pkgs/desktops/lxqt/**/*
"6.topic: mate":
- - nixos/modules/services/x11/desktop-managers/mate.nix
- - nixos/tests/mate.nix
- - pkgs/desktops/mate/**/*
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - nixos/modules/services/x11/desktop-managers/mate.nix
+ - nixos/tests/mate.nix
+ - pkgs/desktops/mate/**/*
"6.topic: module system":
- - lib/modules.nix
- - lib/types.nix
- - lib/options.nix
- - lib/tests/modules.sh
- - lib/tests/modules/**
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - lib/modules.nix
+ - lib/types.nix
+ - lib/options.nix
+ - lib/tests/modules.sh
+ - lib/tests/modules/**
"6.topic: nixos":
- - nixos/**/*
- - pkgs/os-specific/linux/nixos-rebuild/**/*
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - nixos/**/*
+ - pkgs/os-specific/linux/nixos-rebuild/**/*
"6.topic: nim":
- - doc/languages-frameworks/nim.section.md
- - pkgs/development/compilers/nim/*
- - pkgs/development/nim-packages/**/*
- - pkgs/top-level/nim-packages.nix
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - doc/languages-frameworks/nim.section.md
+ - pkgs/development/compilers/nim/*
+ - pkgs/development/nim-packages/**/*
+ - pkgs/top-level/nim-packages.nix
"6.topic: nodejs":
- - doc/languages-frameworks/javascript.section.md
- - pkgs/build-support/node/**/*
- - pkgs/development/node-packages/**/*
- - pkgs/development/tools/yarn/*
- - pkgs/development/tools/yarn2nix-moretea/**/*
- - pkgs/development/web/nodejs/*
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - doc/languages-frameworks/javascript.section.md
+ - pkgs/build-support/node/**/*
+ - pkgs/development/node-packages/**/*
+ - pkgs/development/tools/yarn/*
+ - pkgs/development/tools/yarn2nix-moretea/**/*
+ - pkgs/development/web/nodejs/*
"6.topic: ocaml":
- - doc/languages-frameworks/ocaml.section.md
- - pkgs/development/compilers/ocaml/**/*
- - pkgs/development/compilers/reason/**/*
- - pkgs/development/ocaml-modules/**/*
- - pkgs/development/tools/ocaml/**/*
- - pkgs/top-level/ocaml-packages.nix
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - doc/languages-frameworks/ocaml.section.md
+ - pkgs/development/compilers/ocaml/**/*
+ - pkgs/development/compilers/reason/**/*
+ - pkgs/development/ocaml-modules/**/*
+ - pkgs/development/tools/ocaml/**/*
+ - pkgs/top-level/ocaml-packages.nix
"6.topic: pantheon":
- - nixos/modules/services/desktops/pantheon/**/*
- - nixos/modules/services/x11/desktop-managers/pantheon.nix
- - nixos/modules/services/x11/display-managers/lightdm-greeters/pantheon.nix
- - nixos/tests/pantheon.nix
- - pkgs/desktops/pantheon/**/*
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - nixos/modules/services/desktops/pantheon/**/*
+ - nixos/modules/services/x11/desktop-managers/pantheon.nix
+ - nixos/modules/services/x11/display-managers/lightdm-greeters/pantheon.nix
+ - nixos/tests/pantheon.nix
+ - pkgs/desktops/pantheon/**/*
+
+"6.topic: php":
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - doc/languages-frameworks/php.section.md
+ - pkgs/build-support/php/**/*
+ - pkgs/development/interpreters/php/*
+ - pkgs/development/php-packages/**/*
+ - pkgs/test/php/default.nix
+ - pkgs/top-level/php-packages.nix
"6.topic: policy discussion":
- - .github/**/*
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - .github/**/*
"6.topic: printing":
- - nixos/modules/services/printing/cupsd.nix
- - pkgs/misc/cups/**/*
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - nixos/modules/services/printing/cupsd.nix
+ - pkgs/misc/cups/**/*
"6.topic: python":
- - doc/languages-frameworks/python.section.md
- - pkgs/development/interpreters/python/**/*
- - pkgs/development/python-modules/**/*
- - pkgs/top-level/python-packages.nix
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - doc/languages-frameworks/python.section.md
+ - pkgs/development/interpreters/python/**/*
+ - pkgs/development/python-modules/**/*
+ - pkgs/top-level/python-packages.nix
"6.topic: qt/kde":
- - doc/languages-frameworks/qt.section.md
- - nixos/modules/services/x11/desktop-managers/plasma5.nix
- - nixos/tests/plasma5.nix
- - pkgs/applications/kde/**/*
- - pkgs/desktops/plasma-5/**/*
- - pkgs/development/libraries/kde-frameworks/**/*
- - pkgs/development/libraries/qt-5/**/*
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - doc/languages-frameworks/qt.section.md
+ - nixos/modules/services/x11/desktop-managers/plasma5.nix
+ - nixos/tests/plasma5.nix
+ - pkgs/applications/kde/**/*
+ - pkgs/desktops/plasma-5/**/*
+ - pkgs/development/libraries/kde-frameworks/**/*
+ - pkgs/development/libraries/qt-5/**/*
"6.topic: ruby":
- - doc/languages-frameworks/ruby.section.md
- - pkgs/development/interpreters/ruby/**/*
- - pkgs/development/ruby-modules/**/*
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - doc/languages-frameworks/ruby.section.md
+ - pkgs/development/interpreters/ruby/**/*
+ - pkgs/development/ruby-modules/**/*
"6.topic: rust":
- - doc/languages-frameworks/rust.section.md
- - pkgs/build-support/rust/**/*
- - pkgs/development/compilers/rust/**/*
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - doc/languages-frameworks/rust.section.md
+ - pkgs/build-support/rust/**/*
+ - pkgs/development/compilers/rust/**/*
"6.topic: stdenv":
- - pkgs/stdenv/**/*
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - pkgs/stdenv/**/*
"6.topic: steam":
- - pkgs/games/steam/**/*
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - pkgs/games/steam/**/*
"6.topic: systemd":
- - pkgs/os-specific/linux/systemd/**/*
- - nixos/modules/system/boot/systemd*/**/*
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - pkgs/os-specific/linux/systemd/**/*
+ - nixos/modules/system/boot/systemd*/**/*
"6.topic: TeX":
- - doc/languages-frameworks/texlive.section.md
- - pkgs/test/texlive/**
- - pkgs/tools/typesetting/tex/**/*
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - doc/languages-frameworks/texlive.section.md
+ - pkgs/test/texlive/**
+ - pkgs/tools/typesetting/tex/**/*
+
+"6.topic: testing":
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ # NOTE: Let's keep the scope limited to test frameworks that are
+ # *developed in this repo*;
+ # - not individual tests
+ # - not packages for test frameworks
+ - nixos/lib/testing/**
+ - nixos/lib/test-driver/**
+ - nixos/tests/nixos-test-driver/**
+ - nixos/lib/testing-python.nix # legacy
+ - nixos/tests/make-test-python.nix # legacy
+ # lib/debug.nix has a test framework (runTests) but it's not the main focus
"6.topic: vim":
- - doc/languages-frameworks/vim.section.md
- - pkgs/applications/editors/vim/**/*
- - pkgs/applications/editors/vim/plugins/**/*
- - nixos/modules/programs/neovim.nix
- - pkgs/applications/editors/neovim/**/*
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - doc/languages-frameworks/vim.section.md
+ - pkgs/applications/editors/vim/**/*
+ - pkgs/applications/editors/vim/plugins/**/*
+ - nixos/modules/programs/neovim.nix
+ - pkgs/applications/editors/neovim/**/*
"6.topic: vscode":
- - pkgs/applications/editors/vscode/**/*
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - pkgs/applications/editors/vscode/**/*
"6.topic: xfce":
- - nixos/doc/manual/configuration/xfce.xml
- - nixos/modules/services/x11/desktop-managers/xfce.nix
- - nixos/tests/xfce.nix
- - pkgs/desktops/xfce/**/*
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - nixos/doc/manual/configuration/xfce.xml
+ - nixos/modules/services/x11/desktop-managers/xfce.nix
+ - nixos/tests/xfce.nix
+ - pkgs/desktops/xfce/**/*
"6.topic: zig":
- - pkgs/development/compilers/zig/**/*
- - doc/hooks/zig.section.md
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - pkgs/development/compilers/zig/**/*
+ - doc/hooks/zig.section.md
"8.has: changelog":
- - nixos/doc/manual/release-notes/**/*
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - nixos/doc/manual/release-notes/**/*
"8.has: documentation":
- - doc/**/*
- - nixos/doc/**/*
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - doc/**/*
+ - nixos/doc/**/*
"8.has: module (update)":
- - nixos/modules/**/*
+ - any:
+ - changed-files:
+ - any-glob-to-any-file:
+ - nixos/modules/**/*
diff --git a/.github/workflows/backport.yml b/.github/workflows/backport.yml
index c49eeac5a20b..3a93eb4fd624 100644
--- a/.github/workflows/backport.yml
+++ b/.github/workflows/backport.yml
@@ -20,11 +20,11 @@ jobs:
if: github.repository_owner == 'NixOS' && github.event.pull_request.merged == true && (github.event_name != 'labeled' || startsWith('backport', github.event.label.name))
runs-on: ubuntu-latest
steps:
- - uses: actions/checkout@b4ffde65f46336ab88eb53be808477a3936bae11 # v4.1.1
+ - uses: actions/checkout@9bb56186c3b09b4f86b1c65136769dd318469633 # v4.1.2
with:
ref: ${{ github.event.pull_request.head.sha }}
- name: Create backport PRs
- uses: korthout/backport-action@08bafb375e6e9a9a2b53a744b987e5d81a133191 # v2.1.1
+ uses: korthout/backport-action@e8161d6a0dbfa2651b7daa76cbb75bc7c925bbf3 # v2.4.1
with:
# Config README: https://github.com/korthout/backport-action#backport-action
copy_labels_pattern: 'severity:\ssecurity'
diff --git a/.github/workflows/basic-eval.yml b/.github/workflows/basic-eval.yml
index a0cd990ebc73..9008ca208e17 100644
--- a/.github/workflows/basic-eval.yml
+++ b/.github/workflows/basic-eval.yml
@@ -18,8 +18,8 @@ jobs:
runs-on: ubuntu-latest
# we don't limit this action to only NixOS repo since the checks are cheap and useful developer feedback
steps:
- - uses: actions/checkout@b4ffde65f46336ab88eb53be808477a3936bae11 # v4.1.1
- - uses: cachix/install-nix-action@6004951b182f8860210c8d6f0d808ec5b1a33d28 # v25
+ - uses: actions/checkout@9bb56186c3b09b4f86b1c65136769dd318469633 # v4.1.2
+ - uses: cachix/install-nix-action@8887e596b4ee1134dae06b98d573bd674693f47c # v26
- uses: cachix/cachix-action@18cf96c7c98e048e10a83abd92116114cd8504be # v14
with:
# This cache is for the nixpkgs repo checks and should not be trusted or used elsewhere.
diff --git a/.github/workflows/check-by-name.yml b/.github/workflows/check-by-name.yml
index 94875e67b649..bf6acf3701da 100644
--- a/.github/workflows/check-by-name.yml
+++ b/.github/workflows/check-by-name.yml
@@ -87,7 +87,7 @@ jobs:
exit 1
fi
echo "mergedSha=$mergedSha" >> "$GITHUB_ENV"
- - uses: actions/checkout@b4ffde65f46336ab88eb53be808477a3936bae11 # v4.1.1
+ - uses: actions/checkout@9bb56186c3b09b4f86b1c65136769dd318469633 # v4.1.2
with:
# pull_request_target checks out the base branch by default
ref: ${{ env.mergedSha }}
@@ -98,7 +98,7 @@ jobs:
base=$(mktemp -d)
git worktree add "$base" "$(git rev-parse HEAD^1)"
echo "base=$base" >> "$GITHUB_ENV"
- - uses: cachix/install-nix-action@6004951b182f8860210c8d6f0d808ec5b1a33d28 # v25
+ - uses: cachix/install-nix-action@8887e596b4ee1134dae06b98d573bd674693f47c # v26
- name: Fetching the pinned tool
# Update the pinned version using pkgs/test/nixpkgs-check-by-name/scripts/update-pinned-tool.sh
run: |
diff --git a/.github/workflows/check-maintainers-sorted.yaml b/.github/workflows/check-maintainers-sorted.yaml
index 074644153204..eb7d22ea66bb 100644
--- a/.github/workflows/check-maintainers-sorted.yaml
+++ b/.github/workflows/check-maintainers-sorted.yaml
@@ -12,11 +12,11 @@ jobs:
runs-on: ubuntu-latest
if: github.repository_owner == 'NixOS'
steps:
- - uses: actions/checkout@b4ffde65f46336ab88eb53be808477a3936bae11 # v4.1.1
+ - uses: actions/checkout@9bb56186c3b09b4f86b1c65136769dd318469633 # v4.1.2
with:
# pull_request_target checks out the base branch by default
ref: refs/pull/${{ github.event.pull_request.number }}/merge
- - uses: cachix/install-nix-action@6004951b182f8860210c8d6f0d808ec5b1a33d28 # v25
+ - uses: cachix/install-nix-action@8887e596b4ee1134dae06b98d573bd674693f47c # v26
with:
# explicitly enable sandbox
extra_nix_config: sandbox = true
diff --git a/.github/workflows/editorconfig.yml b/.github/workflows/editorconfig.yml
index 6b151d45be2a..168e5bccaea4 100644
--- a/.github/workflows/editorconfig.yml
+++ b/.github/workflows/editorconfig.yml
@@ -24,11 +24,11 @@ jobs:
- name: print list of changed files
run: |
cat "$HOME/changed_files"
- - uses: actions/checkout@b4ffde65f46336ab88eb53be808477a3936bae11 # v4.1.1
+ - uses: actions/checkout@9bb56186c3b09b4f86b1c65136769dd318469633 # v4.1.2
with:
# pull_request_target checks out the base branch by default
ref: refs/pull/${{ github.event.pull_request.number }}/merge
- - uses: cachix/install-nix-action@6004951b182f8860210c8d6f0d808ec5b1a33d28 # v25
+ - uses: cachix/install-nix-action@8887e596b4ee1134dae06b98d573bd674693f47c # v26
with:
# nixpkgs commit is pinned so that it doesn't break
# editorconfig-checker 2.4.0
diff --git a/.github/workflows/labels.yml b/.github/workflows/labels.yml
index 4dc690e88bbc..2912e64c115b 100644
--- a/.github/workflows/labels.yml
+++ b/.github/workflows/labels.yml
@@ -18,7 +18,7 @@ jobs:
runs-on: ubuntu-latest
if: "github.repository_owner == 'NixOS' && !contains(github.event.pull_request.title, '[skip treewide]')"
steps:
- - uses: actions/labeler@ac9175f8a1f3625fd0d4fb234536d26811351594 # v4.3.0
+ - uses: actions/labeler@8558fd74291d67161a8a78ce36a881fa63b766a9 # v5.0.0
with:
repo-token: ${{ secrets.GITHUB_TOKEN }}
sync-labels: true
diff --git a/.github/workflows/manual-nixos.yml b/.github/workflows/manual-nixos.yml
index c9c2451a9df2..545f0c95c47b 100644
--- a/.github/workflows/manual-nixos.yml
+++ b/.github/workflows/manual-nixos.yml
@@ -14,11 +14,11 @@ jobs:
runs-on: ubuntu-latest
if: github.repository_owner == 'NixOS'
steps:
- - uses: actions/checkout@b4ffde65f46336ab88eb53be808477a3936bae11 # v4.1.1
+ - uses: actions/checkout@9bb56186c3b09b4f86b1c65136769dd318469633 # v4.1.2
with:
# pull_request_target checks out the base branch by default
ref: refs/pull/${{ github.event.pull_request.number }}/merge
- - uses: cachix/install-nix-action@6004951b182f8860210c8d6f0d808ec5b1a33d28 # v25
+ - uses: cachix/install-nix-action@8887e596b4ee1134dae06b98d573bd674693f47c # v26
with:
# explicitly enable sandbox
extra_nix_config: sandbox = true
diff --git a/.github/workflows/manual-nixpkgs.yml b/.github/workflows/manual-nixpkgs.yml
index d9a425a494ab..9d675c385feb 100644
--- a/.github/workflows/manual-nixpkgs.yml
+++ b/.github/workflows/manual-nixpkgs.yml
@@ -15,11 +15,11 @@ jobs:
runs-on: ubuntu-latest
if: github.repository_owner == 'NixOS'
steps:
- - uses: actions/checkout@b4ffde65f46336ab88eb53be808477a3936bae11 # v4.1.1
+ - uses: actions/checkout@9bb56186c3b09b4f86b1c65136769dd318469633 # v4.1.2
with:
# pull_request_target checks out the base branch by default
ref: refs/pull/${{ github.event.pull_request.number }}/merge
- - uses: cachix/install-nix-action@6004951b182f8860210c8d6f0d808ec5b1a33d28 # v25
+ - uses: cachix/install-nix-action@8887e596b4ee1134dae06b98d573bd674693f47c # v26
with:
# explicitly enable sandbox
extra_nix_config: sandbox = true
diff --git a/.github/workflows/nix-parse.yml b/.github/workflows/nix-parse.yml
index e625cca93c5c..da2e942414e2 100644
--- a/.github/workflows/nix-parse.yml
+++ b/.github/workflows/nix-parse.yml
@@ -24,12 +24,12 @@ jobs:
if [[ -s "$HOME/changed_files" ]]; then
echo "CHANGED_FILES=$HOME/changed_files" > "$GITHUB_ENV"
fi
- - uses: actions/checkout@b4ffde65f46336ab88eb53be808477a3936bae11 # v4.1.1
+ - uses: actions/checkout@9bb56186c3b09b4f86b1c65136769dd318469633 # v4.1.2
with:
# pull_request_target checks out the base branch by default
ref: refs/pull/${{ github.event.pull_request.number }}/merge
if: ${{ env.CHANGED_FILES && env.CHANGED_FILES != '' }}
- - uses: cachix/install-nix-action@6004951b182f8860210c8d6f0d808ec5b1a33d28 # v25
+ - uses: cachix/install-nix-action@8887e596b4ee1134dae06b98d573bd674693f47c # v26
with:
nix_path: nixpkgs=channel:nixpkgs-unstable
- name: Parse all changed or added nix files
diff --git a/.github/workflows/periodic-merge-24h.yml b/.github/workflows/periodic-merge-24h.yml
index bd7aadfbade1..6adada59c5a5 100644
--- a/.github/workflows/periodic-merge-24h.yml
+++ b/.github/workflows/periodic-merge-24h.yml
@@ -41,7 +41,7 @@ jobs:
into: staging-23.11
name: ${{ matrix.pairs.from }} → ${{ matrix.pairs.into }}
steps:
- - uses: actions/checkout@b4ffde65f46336ab88eb53be808477a3936bae11 # v4.1.1
+ - uses: actions/checkout@9bb56186c3b09b4f86b1c65136769dd318469633 # v4.1.2
- name: ${{ matrix.pairs.from }} → ${{ matrix.pairs.into }}
uses: devmasx/merge-branch@854d3ac71ed1e9deb668e0074781b81fdd6e771f # 1.4.0
diff --git a/.github/workflows/periodic-merge-6h.yml b/.github/workflows/periodic-merge-6h.yml
index 61a489ad7156..6f188ee28d17 100644
--- a/.github/workflows/periodic-merge-6h.yml
+++ b/.github/workflows/periodic-merge-6h.yml
@@ -39,7 +39,7 @@ jobs:
into: staging
name: ${{ matrix.pairs.from }} → ${{ matrix.pairs.into }}
steps:
- - uses: actions/checkout@b4ffde65f46336ab88eb53be808477a3936bae11 # v4.1.1
+ - uses: actions/checkout@9bb56186c3b09b4f86b1c65136769dd318469633 # v4.1.2
- name: ${{ matrix.pairs.from }} → ${{ matrix.pairs.into }}
uses: devmasx/merge-branch@854d3ac71ed1e9deb668e0074781b81fdd6e771f # 1.4.0
diff --git a/.github/workflows/update-terraform-providers.yml b/.github/workflows/update-terraform-providers.yml
index 108c0590d6bb..9b7ec5cc59b0 100644
--- a/.github/workflows/update-terraform-providers.yml
+++ b/.github/workflows/update-terraform-providers.yml
@@ -16,8 +16,8 @@ jobs:
if: github.repository_owner == 'NixOS' && github.ref == 'refs/heads/master' # ensure workflow_dispatch only runs on master
runs-on: ubuntu-latest
steps:
- - uses: actions/checkout@b4ffde65f46336ab88eb53be808477a3936bae11 # v4.1.1
- - uses: cachix/install-nix-action@6004951b182f8860210c8d6f0d808ec5b1a33d28 # v25
+ - uses: actions/checkout@9bb56186c3b09b4f86b1c65136769dd318469633 # v4.1.2
+ - uses: cachix/install-nix-action@8887e596b4ee1134dae06b98d573bd674693f47c # v26
with:
nix_path: nixpkgs=channel:nixpkgs-unstable
- name: setup
@@ -46,7 +46,7 @@ jobs:
run: |
git clean -f
- name: create PR
- uses: peter-evans/create-pull-request@153407881ec5c347639a548ade7d8ad1d6740e38 # v5.0.2
+ uses: peter-evans/create-pull-request@70a41aba780001da0a30141984ae2a0c95d8704e # v6.0.2
with:
body: |
Automatic update by [update-terraform-providers](https://github.com/NixOS/nixpkgs/blob/master/.github/workflows/update-terraform-providers.yml) action.
diff --git a/.gitignore b/.gitignore
index f0807473f06b..2753c9073832 100644
--- a/.gitignore
+++ b/.gitignore
@@ -11,12 +11,12 @@ outputs/
result-*
result
repl-result-*
+tags
!pkgs/development/python-modules/result
/doc/NEWS.html
/doc/NEWS.txt
/doc/manual.html
/doc/manual.pdf
-/result
/source/
.version-suffix
diff --git a/.version b/.version
deleted file mode 100644
index 420f61e8c7f6..000000000000
--- a/.version
+++ /dev/null
@@ -1 +0,0 @@
-24.05
\ No newline at end of file
diff --git a/.version b/.version
new file mode 120000
index 000000000000..a408b4d1748c
--- /dev/null
+++ b/.version
@@ -0,0 +1 @@
+lib/.version
\ No newline at end of file
diff --git a/CONTRIBUTING.md b/CONTRIBUTING.md
index 55232e9c3a54..115dd993ea62 100644
--- a/CONTRIBUTING.md
+++ b/CONTRIBUTING.md
@@ -129,19 +129,17 @@ When a PR is created, it will be pre-populated with some checkboxes detailed bel
#### Tested using sandboxing
-When sandbox builds are enabled, Nix will setup an isolated environment for each build process. It is used to remove further hidden dependencies set by the build environment to improve reproducibility. This includes access to the network during the build outside of `fetch*` functions and files outside the Nix store. Depending on the operating system access to other resources are blocked as well (ex. inter process communication is isolated on Linux); see [sandbox](https://nixos.org/manual/nix/stable/command-ref/conf-file#conf-sandbox) in the Nix manual for details.
+When sandbox builds are enabled, Nix will set up an isolated environment for each build process.
+It is used to remove further hidden dependencies set by the build environment to improve reproducibility.
+This includes access to the network during the build outside of `fetch*` functions and files outside the Nix store.
+Depending on the operating system, access to other resources is blocked as well (e.g., inter-process communication is isolated on Linux); see [sandbox](https://nixos.org/manual/nix/stable/command-ref/conf-file#conf-sandbox) in the Nix manual for details.
-Sandboxing is not enabled by default in Nix due to a small performance hit on each build. In pull requests for [nixpkgs](https://github.com/NixOS/nixpkgs/) people are asked to test builds with sandboxing enabled (see `Tested using sandboxing` in the pull request template) because in [Hydra](https://nixos.org/hydra/) sandboxing is also used.
+In pull requests for [nixpkgs](https://github.com/NixOS/nixpkgs/) people are asked to test builds with sandboxing enabled (see `Tested using sandboxing` in the pull request template) because in [Hydra](https://nixos.org/hydra/) sandboxing is also used.
-Depending if you use NixOS or other platforms you can use one of the following methods to enable sandboxing **before** building the package:
+If you are on Linux, sandboxing is enabled by default.
+On other platforms, sandboxing is disabled by default due to a small performance hit on each build.
-- **Globally enable sandboxing on NixOS**: add the following to `configuration.nix`
-
- ```nix
- nix.settings.sandbox = true;
- ```
-
-- **Globally enable sandboxing on non-NixOS platforms**: add the following to: `/etc/nix/nix.conf`
+Please enable sandboxing **before** building the package by adding the following to: `/etc/nix/nix.conf`:
```ini
sandbox = true
@@ -441,14 +439,14 @@ gitGraph
Here's an overview of the different branches:
-| branch | `master` | `staging` | `staging-next` |
+| branch | `master` | `staging-next` | `staging` |
| --- | --- | --- | --- |
-| Used for development | ✔️ | ✔️ | ❌ |
-| Built by Hydra | ✔️ | ❌ | ✔️ |
-| [Mass rebuilds][mass-rebuild] | ❌ | ✔️ | ⚠️ Only to fix Hydra builds |
-| Critical security fixes | ✔️ for non-mass-rebuilds | ❌ | ✔️ for mass-rebuilds |
-| Automatically merged into | `staging-next` | - | `staging` |
-| Manually merged into | - | `staging-next` | `master` |
+| Used for development | ✔️ | ❌ | ✔️ |
+| Built by Hydra | ✔️ | ✔️ | ❌ |
+| [Mass rebuilds][mass-rebuild] | ❌ | ⚠️ Only to fix Hydra builds | ✔️ |
+| Critical security fixes | ✔️ for non-mass-rebuilds | ✔️ for mass-rebuilds | ❌ |
+| Automatically merged into | `staging-next` | `staging` | - |
+| Manually merged into | - | `master` | `staging-next` |
The staging workflow is used for all main branches, `master` and `release-YY.MM`, with corresponding names:
- `master`/`release-YY.MM`
diff --git a/README.md b/README.md
index 5e616eff2f52..481ada0565f8 100644
--- a/README.md
+++ b/README.md
@@ -1,9 +1,10 @@
-
-
-
-
-
+
+
diff --git a/doc/anchor-use.js b/doc/anchor-use.js
new file mode 100644
index 000000000000..a45c4e2be68d
--- /dev/null
+++ b/doc/anchor-use.js
@@ -0,0 +1,3 @@
+document.addEventListener('DOMContentLoaded', function(event) {
+ anchors.add('h1[id]:not(div.note h1, div.warning h1, div.tip h1, div.caution h1, div.important h1), h2[id]:not(div.note h2, div.warning h2, div.tip h2, div.caution h2, div.important h2), h3[id]:not(div.note h3, div.warning h3, div.tip h3, div.caution h3, div.important h3), h4[id]:not(div.note h4, div.warning h4, div.tip h4, div.caution h4, div.important h4), h5[id]:not(div.note h5, div.warning h5, div.tip h5, div.caution h5, div.important h5), h6[id]:not(div.note h6, div.warning h6, div.tip h6, div.caution h6, div.important h6)');
+});
diff --git a/doc/anchor.min.js b/doc/anchor.min.js
new file mode 100644
index 000000000000..00f80c058f6d
--- /dev/null
+++ b/doc/anchor.min.js
@@ -0,0 +1,9 @@
+// @license magnet:?xt=urn:btih:d3d9a9a6595521f9666a5e94cc830dab83b65699&dn=expat.txt Expat
+//
+// AnchorJS - v5.0.0 - 2023-01-18
+// https://www.bryanbraun.com/anchorjs/
+// Copyright (c) 2023 Bryan Braun; Licensed MIT
+//
+// @license magnet:?xt=urn:btih:d3d9a9a6595521f9666a5e94cc830dab83b65699&dn=expat.txt Expat
+!function(A,e){"use strict";"function"==typeof define&&define.amd?define([],e):"object"==typeof module&&module.exports?module.exports=e():(A.AnchorJS=e(),A.anchors=new A.AnchorJS)}(globalThis,function(){"use strict";return function(A){function u(A){A.icon=Object.prototype.hasOwnProperty.call(A,"icon")?A.icon:"",A.visible=Object.prototype.hasOwnProperty.call(A,"visible")?A.visible:"hover",A.placement=Object.prototype.hasOwnProperty.call(A,"placement")?A.placement:"right",A.ariaLabel=Object.prototype.hasOwnProperty.call(A,"ariaLabel")?A.ariaLabel:"Anchor",A.class=Object.prototype.hasOwnProperty.call(A,"class")?A.class:"",A.base=Object.prototype.hasOwnProperty.call(A,"base")?A.base:"",A.truncate=Object.prototype.hasOwnProperty.call(A,"truncate")?Math.floor(A.truncate):64,A.titleText=Object.prototype.hasOwnProperty.call(A,"titleText")?A.titleText:""}function d(A){var e;if("string"==typeof A||A instanceof String)e=[].slice.call(document.querySelectorAll(A));else{if(!(Array.isArray(A)||A instanceof NodeList))throw new TypeError("The selector provided to AnchorJS was invalid.");e=[].slice.call(A)}return e}this.options=A||{},this.elements=[],u(this.options),this.add=function(A){var e,t,o,i,n,s,a,r,l,c,h,p=[];if(u(this.options),0!==(e=d(A=A||"h2, h3, h4, h5, h6")).length){for(null===document.head.querySelector("style.anchorjs")&&((A=document.createElement("style")).className="anchorjs",A.appendChild(document.createTextNode("")),void 0===(h=document.head.querySelector('[rel="stylesheet"],style'))?document.head.appendChild(A):document.head.insertBefore(A,h),A.sheet.insertRule(".anchorjs-link{opacity:0;text-decoration:none;-webkit-font-smoothing:antialiased;-moz-osx-font-smoothing:grayscale}",A.sheet.cssRules.length),A.sheet.insertRule(":hover>.anchorjs-link,.anchorjs-link:focus{opacity:1}",A.sheet.cssRules.length),A.sheet.insertRule("[data-anchorjs-icon]::after{content:attr(data-anchorjs-icon)}",A.sheet.cssRules.length),A.sheet.insertRule('@font-face{font-family:anchorjs-icons;src:url(data:n/a;base64,AAEAAAALAIAAAwAwT1MvMg8yG2cAAAE4AAAAYGNtYXDp3gC3AAABpAAAAExnYXNwAAAAEAAAA9wAAAAIZ2x5ZlQCcfwAAAH4AAABCGhlYWQHFvHyAAAAvAAAADZoaGVhBnACFwAAAPQAAAAkaG10eASAADEAAAGYAAAADGxvY2EACACEAAAB8AAAAAhtYXhwAAYAVwAAARgAAAAgbmFtZQGOH9cAAAMAAAAAunBvc3QAAwAAAAADvAAAACAAAQAAAAEAAHzE2p9fDzz1AAkEAAAAAADRecUWAAAAANQA6R8AAAAAAoACwAAAAAgAAgAAAAAAAAABAAADwP/AAAACgAAA/9MCrQABAAAAAAAAAAAAAAAAAAAAAwABAAAAAwBVAAIAAAAAAAIAAAAAAAAAAAAAAAAAAAAAAAMCQAGQAAUAAAKZAswAAACPApkCzAAAAesAMwEJAAAAAAAAAAAAAAAAAAAAARAAAAAAAAAAAAAAAAAAAAAAQAAg//0DwP/AAEADwABAAAAAAQAAAAAAAAAAAAAAIAAAAAAAAAIAAAACgAAxAAAAAwAAAAMAAAAcAAEAAwAAABwAAwABAAAAHAAEADAAAAAIAAgAAgAAACDpy//9//8AAAAg6cv//f///+EWNwADAAEAAAAAAAAAAAAAAAAACACEAAEAAAAAAAAAAAAAAAAxAAACAAQARAKAAsAAKwBUAAABIiYnJjQ3NzY2MzIWFxYUBwcGIicmNDc3NjQnJiYjIgYHBwYUFxYUBwYGIwciJicmNDc3NjIXFhQHBwYUFxYWMzI2Nzc2NCcmNDc2MhcWFAcHBgYjARQGDAUtLXoWOR8fORYtLTgKGwoKCjgaGg0gEhIgDXoaGgkJBQwHdR85Fi0tOAobCgoKOBoaDSASEiANehoaCQkKGwotLXoWOR8BMwUFLYEuehYXFxYugC44CQkKGwo4GkoaDQ0NDXoaShoKGwoFBe8XFi6ALjgJCQobCjgaShoNDQ0NehpKGgobCgoKLYEuehYXAAAADACWAAEAAAAAAAEACAAAAAEAAAAAAAIAAwAIAAEAAAAAAAMACAAAAAEAAAAAAAQACAAAAAEAAAAAAAUAAQALAAEAAAAAAAYACAAAAAMAAQQJAAEAEAAMAAMAAQQJAAIABgAcAAMAAQQJAAMAEAAMAAMAAQQJAAQAEAAMAAMAAQQJAAUAAgAiAAMAAQQJAAYAEAAMYW5jaG9yanM0MDBAAGEAbgBjAGgAbwByAGoAcwA0ADAAMABAAAAAAwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABAAH//wAP) format("truetype")}',A.sheet.cssRules.length)),h=document.querySelectorAll("[id]"),t=[].map.call(h,function(A){return A.id}),i=0;i\]./()*\\\n\t\b\v\u00A0]/g,"-").replace(/-{2,}/g,"-").substring(0,this.options.truncate).replace(/^-+|-+$/gm,"").toLowerCase()},this.hasAnchorJSLink=function(A){var e=A.firstChild&&-1<(" "+A.firstChild.className+" ").indexOf(" anchorjs-link "),A=A.lastChild&&-1<(" "+A.lastChild.className+" ").indexOf(" anchorjs-link ");return e||A||!1}}});
+// @license-end
diff --git a/doc/build-helpers/fetchers.chapter.md b/doc/build-helpers/fetchers.chapter.md
index 7bd1bbd6de02..b326f189d50e 100644
--- a/doc/build-helpers/fetchers.chapter.md
+++ b/doc/build-helpers/fetchers.chapter.md
@@ -80,6 +80,10 @@ stdenv.mkDerivation {
The main difference between `fetchurl` and `fetchzip` is in how they store the contents. `fetchurl` will store the unaltered contents of the URL within the Nix store. `fetchzip` on the other hand, will decompress the archive for you, making files and directories directly accessible in the future. `fetchzip` can only be used with archives. Despite the name, `fetchzip` is not limited to .zip files and can also be used with any tarball.
+Additional parameters to `fetchurl`:
+- `downloadToTemp`: Defaults to `false`. If `true`, saves the source to `$downloadedFile`, to be used in conjunction with `postFetch`
+- `postFetch`: Shell code executed after the file has been fetched successfully. Use it for postprocessing, to check or transform the file.
+
## `fetchpatch` {#fetchpatch}
`fetchpatch` works very similarly to `fetchurl` with the same arguments expected. It expects patch files as a source and performs normalization on them before computing the checksum. For example, it will remove comments or other unstable parts that are sometimes added by version control systems and can change over time.
@@ -258,6 +262,10 @@ or
***
```
+
+This function should only be used by non-redistributable software with an unfree license that we need to require the user to download manually.
+It produces packages that cannot be built automatically.
+
## `fetchtorrent` {#fetchtorrent}
`fetchtorrent` expects two arguments. `url` which can either be a Magnet URI (Magnet Link) such as `magnet:?xt=urn:btih:dd8255ecdc7ca55fb0bbf81323d87062db1f6d1c` or an HTTP URL pointing to a `.torrent` file. It can also take a `config` argument which will craft a `settings.json` configuration file and give it to `transmission`, the underlying program that is performing the fetch. The available config options for `transmission` can be found [here](https://github.com/transmission/transmission/blob/main/docs/Editing-Configuration-Files.md#options)
diff --git a/doc/build-helpers/images.md b/doc/build-helpers/images.md
index 5596784bfa48..033891fcef48 100644
--- a/doc/build-helpers/images.md
+++ b/doc/build-helpers/images.md
@@ -6,7 +6,6 @@ This chapter describes tools for creating various types of images.
images/appimagetools.section.md
images/dockertools.section.md
images/ocitools.section.md
-images/snaptools.section.md
images/portableservice.section.md
images/makediskimage.section.md
images/binarycache.section.md
diff --git a/doc/build-helpers/images/dockertools.section.md b/doc/build-helpers/images/dockertools.section.md
index 9317146b8f94..001d5695290e 100644
--- a/doc/build-helpers/images/dockertools.section.md
+++ b/doc/build-helpers/images/dockertools.section.md
@@ -6,7 +6,7 @@ Docker itself is not used to perform any of the operations done by these functio
## buildImage {#ssec-pkgs-dockerTools-buildImage}
This function builds a Docker-compatible repository tarball containing a single image.
-As such, the result is suitable for being loaded in Docker with `docker load` (see [](#ex-dockerTools-buildImage) for how to do this).
+As such, the result is suitable for being loaded in Docker with `docker image load` (see [](#ex-dockerTools-buildImage) for how to do this).
This function will create a single layer for all files (and dependencies) that are specified in its argument.
Only new dependencies that are not already in the existing layers will be copied.
@@ -43,7 +43,7 @@ Similarly, if you encounter errors similar to `Error_Protocol ("certificate has
`fromImage` (Path or Null; _optional_)
: The repository tarball of an image to be used as the base for the generated image.
- It must be a valid Docker image, such as one exported by `docker save`, or another image built with the `dockerTools` utility functions.
+ It must be a valid Docker image, such as one exported by `docker image save`, or another image built with the `dockerTools` utility functions.
This can be seen as an equivalent of `FROM fromImage` in a `Dockerfile`.
A value of `null` can be seen as an equivalent of `FROM scratch`.
@@ -123,7 +123,7 @@ Similarly, if you encounter errors similar to `Error_Protocol ("certificate has
_Default value:_ `""`.
-`config` (Attribute Set; _optional_)
+`config` (Attribute Set or Null; _optional_)
: Used to specify the configuration of the containers that will be started off the generated image.
Must be an attribute set, with each attribute as listed in the [Docker Image Specification v1.3.0](https://github.com/moby/moby/blob/46f7ab808b9504d735d600e259ca0723f76fb164/image/spec/spec.md#image-json-field-descriptions).
@@ -178,6 +178,13 @@ Similarly, if you encounter errors similar to `Error_Protocol ("certificate has
_Default value:_ 0.
+`compressor` (String; _optional_)
+
+: Selects the algorithm used to compress the image.
+
+ _Default value:_ `"gz"`.\
+ _Possible values:_ `"none"`, `"gz"`, `"zstd"`.
+
`contents` **DEPRECATED**
: This attribute is deprecated, and users are encouraged to use `copyToRoot` instead.
@@ -247,7 +254,7 @@ Cooking the image...
Finished.
/nix/store/p4dsg62inh9d2ksy3c7bv58xa851dasr-docker-image-redis.tar.gz
-$ docker load -i /nix/store/p4dsg62inh9d2ksy3c7bv58xa851dasr-docker-image-redis.tar.gz
+$ docker image load -i /nix/store/p4dsg62inh9d2ksy3c7bv58xa851dasr-docker-image-redis.tar.gz
(some output removed for clarity)
Loaded image: redis:latest
```
@@ -345,8 +352,8 @@ dockerTools.buildImage {
After importing the generated repository tarball with Docker, its CLI will display a reasonable date and sort the images as expected:
-```ShellSession
-$ docker images
+```shell
+$ docker image ls
REPOSITORY TAG IMAGE ID CREATED SIZE
hello latest de2bf4786de6 About a minute ago 25.2MB
```
@@ -364,7 +371,7 @@ Despite the similar name, [`buildImage`](#ssec-pkgs-dockerTools-buildImage) work
Even though some of the arguments may seem related, they cannot be interchanged.
:::
-You can use this function to load an image in Docker with `docker load`.
+You can load the result of this function in Docker with `docker image load`.
See [](#ex-dockerTools-buildLayeredImage-hello) to see how to do that.
### Examples {#ssec-pkgs-dockerTools-buildLayeredImage-examples}
@@ -404,7 +411,7 @@ Adding manifests...
Done.
/nix/store/hxcz7snvw7f8rzhbh6mv8jq39d992905-hello.tar.gz
-$ docker load -i /nix/store/hxcz7snvw7f8rzhbh6mv8jq39d992905-hello.tar.gz
+$ docker image load -i /nix/store/hxcz7snvw7f8rzhbh6mv8jq39d992905-hello.tar.gz
(some output removed for clarity)
Loaded image: hello:latest
```
@@ -415,7 +422,7 @@ Loaded image: hello:latest
`streamLayeredImage` builds a **script** which, when run, will stream to stdout a Docker-compatible repository tarball containing a single image, using multiple layers to improve sharing between images.
This means that `streamLayeredImage` does not output an image into the Nix store, but only a script that builds the image, saving on IO and disk/cache space, particularly with large images.
-You can use this function to load an image in Docker with `docker load`.
+You can load the result of this function in Docker with `docker image load`.
See [](#ex-dockerTools-streamLayeredImage-hello) to see how to do that.
For this function, you specify a [store path](https://nixos.org/manual/nix/stable/store/store-path) or a list of store paths to be added to the image, and the functions will automatically include any dependencies of those paths in the image.
@@ -440,7 +447,7 @@ This allows the function to produce reproducible images.
: The name of the generated image.
-`tag` (String; _optional_)
+`tag` (String or Null; _optional_)
: Tag of the generated image.
If `null`, the hash of the nix derivation will be used as the tag.
@@ -450,7 +457,7 @@ This allows the function to produce reproducible images.
`fromImage`(Path or Null; _optional_)
: The repository tarball of an image to be used as the base for the generated image.
- It must be a valid Docker image, such as one exported by `docker save`, or another image built with the `dockerTools` utility functions.
+ It must be a valid Docker image, such as one exported by `docker image save`, or another image built with the `dockerTools` utility functions.
This can be seen as an equivalent of `FROM fromImage` in a `Dockerfile`.
A value of `null` can be seen as an equivalent of `FROM scratch`.
@@ -470,7 +477,7 @@ This allows the function to produce reproducible images.
_Default value:_ `[]`
-`config` (Attribute Set; _optional_) []{#dockerTools-buildLayeredImage-arg-config}
+`config` (Attribute Set or Null; _optional_) []{#dockerTools-buildLayeredImage-arg-config}
: Used to specify the configuration of the containers that will be started off the generated image.
Must be an attribute set, with each attribute as listed in the [Docker Image Specification v1.3.0](https://github.com/moby/moby/blob/46f7ab808b9504d735d600e259ca0723f76fb164/image/spec/spec.md#image-json-field-descriptions).
@@ -500,6 +507,16 @@ This allows the function to produce reproducible images.
_Default value:_ `"1970-01-01T00:00:01Z"`.
+`uid` (Number; _optional_) []{#dockerTools-buildLayeredImage-arg-uid}
+`gid` (Number; _optional_) []{#dockerTools-buildLayeredImage-arg-gid}
+`uname` (String; _optional_) []{#dockerTools-buildLayeredImage-arg-uname}
+`gname` (String; _optional_) []{#dockerTools-buildLayeredImage-arg-gname}
+
+: Credentials for Nix store ownership.
+ Can be overridden to e.g. `1000` / `1000` / `"user"` / `"user"` to enable building a container where Nix can be used as an unprivileged user in single-user mode.
+
+ _Default value:_ `0` / `0` / `"root"` / `"root"`
+
`maxLayers` (Number; _optional_) []{#dockerTools-buildLayeredImage-arg-maxLayers}
: The maximum number of layers that will be used by the generated image.
@@ -594,7 +611,7 @@ dockerTools.streamLayeredImage {
```
The result of building this package is a script.
-Running this script and piping it into `docker load` gives you the same image that was built in [](#ex-dockerTools-buildLayeredImage-hello).
+Running this script and piping it into `docker image load` gives you the same image that was built in [](#ex-dockerTools-buildLayeredImage-hello).
Note that in this case, the image is never added to the Nix store, but instead streamed directly into Docker.
```shell
@@ -602,7 +619,7 @@ $ nix-build
(output removed for clarity)
/nix/store/wsz2xl8ckxnlb769irvq6jv1280dfvxd-stream-hello
-$ /nix/store/wsz2xl8ckxnlb769irvq6jv1280dfvxd-stream-hello | docker load
+$ /nix/store/wsz2xl8ckxnlb769irvq6jv1280dfvxd-stream-hello | docker image load
No 'fromImage' provided
Creating layer 1 from paths: ['/nix/store/i93s7xxblavsacpy82zdbn4kplsyq48l-libunistring-1.1']
Creating layer 2 from paths: ['/nix/store/ji01n9vinnj22nbrb86nx8a1ssgpilx8-libidn2-2.3.4']
@@ -718,7 +735,7 @@ dockerTools.streamLayeredImage {
[]{#ssec-pkgs-dockerTools-fetchFromRegistry}
## pullImage {#ssec-pkgs-dockerTools-pullImage}
-This function is similar to the `docker pull` command, which means it can be used to pull a Docker image from a registry that implements the [Docker Registry HTTP API V2](https://distribution.github.io/distribution/spec/api/).
+This function is similar to the `docker image pull` command, which means it can be used to pull a Docker image from a registry that implements the [Docker Registry HTTP API V2](https://distribution.github.io/distribution/spec/api/).
By default, the `docker.io` registry is used.
The image will be downloaded as an uncompressed Docker-compatible repository tarball, which is suitable for use with other `dockerTools` functions such as [`buildImage`](#ssec-pkgs-dockerTools-buildImage), [`buildLayeredImage`](#ssec-pkgs-dockerTools-buildLayeredImage), and [`streamLayeredImage`](#ssec-pkgs-dockerTools-streamLayeredImage).
@@ -1105,7 +1122,7 @@ This is currently implemented by linking to the `env` binary from the `coreutils
### binSh {#sssec-pkgs-dockerTools-helpers-binSh}
This provides a `/bin/sh` link to the `bash` binary from the `bashInteractive` package.
-Because of this, it supports cases such as running a command interactively in a container (for example by running `docker run -it `).
+Because of this, it supports cases such as running a command interactively in a container (for example by running `docker container run -it `).
### caCertificates {#sssec-pkgs-dockerTools-helpers-caCertificates}
@@ -1169,9 +1186,9 @@ This is made possible by `binSh`.
$ nix-build
(some output removed for clarity)
/nix/store/2p0i3i04cgjlk71hsn7ll4kxaxxiv4qg-docker-image-env-helpers.tar.gz
-$ docker load -i /nix/store/2p0i3i04cgjlk71hsn7ll4kxaxxiv4qg-docker-image-env-helpers.tar.gz
+$ docker image load -i /nix/store/2p0i3i04cgjlk71hsn7ll4kxaxxiv4qg-docker-image-env-helpers.tar.gz
(output removed for clarity)
-$ docker run --rm -it env-helpers:latest /bin/sh
+$ docker container run --rm -it env-helpers:latest /bin/sh
sh-5.2# help
GNU bash, version 5.2.21(1)-release (x86_64-pc-linux-gnu)
(rest of output removed for clarity)
@@ -1209,9 +1226,9 @@ This is made possible by `binSh`.
$ nix-build
(some output removed for clarity)
/nix/store/rpf47f4z5b9qr4db4ach9yr4b85hjhxq-env-helpers.tar.gz
-$ docker load -i /nix/store/rpf47f4z5b9qr4db4ach9yr4b85hjhxq-env-helpers.tar.gz
+$ docker image load -i /nix/store/rpf47f4z5b9qr4db4ach9yr4b85hjhxq-env-helpers.tar.gz
(output removed for clarity)
-$ docker run --rm -it env-helpers:latest /bin/sh
+$ docker container run --rm -it env-helpers:latest /bin/sh
sh-5.2# help
GNU bash, version 5.2.21(1)-release (x86_64-pc-linux-gnu)
(rest of output removed for clarity)
@@ -1315,7 +1332,7 @@ $ nix-build
(some output removed for clarity)
/nix/store/pkj1sgzaz31wl0pbvbg3yp5b3kxndqms-hello-2.12.1-env.tar.gz
-$ docker load -i /nix/store/pkj1sgzaz31wl0pbvbg3yp5b3kxndqms-hello-2.12.1-env.tar.gz
+$ docker image load -i /nix/store/pkj1sgzaz31wl0pbvbg3yp5b3kxndqms-hello-2.12.1-env.tar.gz
(some output removed for clarity)
Loaded image: hello-2.12.1-env:latest
```
@@ -1323,7 +1340,7 @@ Loaded image: hello-2.12.1-env:latest
After starting an interactive container, the derivation can be built by running `buildDerivation`, and the output can be executed as expected:
```shell
-$ docker run -it hello-2.12.1-env:latest
+$ docker container run -it hello-2.12.1-env:latest
[nix-shell:~]$ buildDerivation
Running phase: unpackPhase
unpacking source archive /nix/store/pa10z4ngm0g83kx9mssrqzz30s84vq7k-hello-2.12.1.tar.gz
@@ -1443,14 +1460,14 @@ dockerTools.streamNixShellImage {
```
The result of building this package is a script.
-Running this script and piping it into `docker load` gives you the same image that was built in [](#ex-dockerTools-buildNixShellImage-hello).
+Running this script and piping it into `docker image load` gives you the same image that was built in [](#ex-dockerTools-buildNixShellImage-hello).
```shell
$ nix-build
(some output removed for clarity)
/nix/store/8vhznpz2frqazxnd8pgdvf38jscdypax-stream-hello-2.12.1-env
-$ /nix/store/8vhznpz2frqazxnd8pgdvf38jscdypax-stream-hello-2.12.1-env | docker load
+$ /nix/store/8vhznpz2frqazxnd8pgdvf38jscdypax-stream-hello-2.12.1-env | docker image load
(some output removed for clarity)
Loaded image: hello-2.12.1-env:latest
```
@@ -1458,7 +1475,7 @@ Loaded image: hello-2.12.1-env:latest
After starting an interactive container, the derivation can be built by running `buildDerivation`, and the output can be executed as expected:
```shell
-$ docker run -it hello-2.12.1-env:latest
+$ docker container run -it hello-2.12.1-env:latest
[nix-shell:~]$ buildDerivation
Running phase: unpackPhase
unpacking source archive /nix/store/pa10z4ngm0g83kx9mssrqzz30s84vq7k-hello-2.12.1.tar.gz
@@ -1497,14 +1514,14 @@ dockerTools.streamNixShellImage {
}
```
-The result of building this package is a script which can be run and piped into `docker load` to load the generated image.
+The result of building this package is a script which can be run and piped into `docker image load` to load the generated image.
```shell
$ nix-build
(some output removed for clarity)
/nix/store/h5abh0vljgzg381lna922gqknx6yc0v7-stream-hello-2.12.1-env
-$ /nix/store/h5abh0vljgzg381lna922gqknx6yc0v7-stream-hello-2.12.1-env | docker load
+$ /nix/store/h5abh0vljgzg381lna922gqknx6yc0v7-stream-hello-2.12.1-env | docker image load
(some output removed for clarity)
Loaded image: hello-2.12.1-env:latest
```
@@ -1512,7 +1529,7 @@ Loaded image: hello-2.12.1-env:latest
After starting an interactive container, we can verify the extra package is available by running `cowsay`:
```shell
-$ docker run -it hello-2.12.1-env:latest
+$ docker container run -it hello-2.12.1-env:latest
[nix-shell:~]$ cowsay "Hello, world!"
_______________
< Hello, world! >
@@ -1546,14 +1563,14 @@ dockerTools.streamNixShellImage {
}
```
-The result of building this package is a script which can be run and piped into `docker load` to load the generated image.
+The result of building this package is a script which can be run and piped into `docker image load` to load the generated image.
```shell
$ nix-build
(some output removed for clarity)
/nix/store/iz4dhdvgzazl5vrgyz719iwjzjy6xlx1-stream-hello-2.12.1-env
-$ /nix/store/iz4dhdvgzazl5vrgyz719iwjzjy6xlx1-stream-hello-2.12.1-env | docker load
+$ /nix/store/iz4dhdvgzazl5vrgyz719iwjzjy6xlx1-stream-hello-2.12.1-env | docker image load
(some output removed for clarity)
Loaded image: hello-2.12.1-env:latest
```
@@ -1561,7 +1578,7 @@ Loaded image: hello-2.12.1-env:latest
After starting an interactive container, we can see the result of the `shellHook`:
```shell
-$ docker run -it hello-2.12.1-env:latest
+$ docker container run -it hello-2.12.1-env:latest
Hello, world!
[nix-shell:~]$
diff --git a/doc/build-helpers/images/ocitools.section.md b/doc/build-helpers/images/ocitools.section.md
index c35f65bce007..96627615ffb5 100644
--- a/doc/build-helpers/images/ocitools.section.md
+++ b/doc/build-helpers/images/ocitools.section.md
@@ -1,37 +1,104 @@
# pkgs.ociTools {#sec-pkgs-ociTools}
-`pkgs.ociTools` is a set of functions for creating containers according to the [OCI container specification v1.0.0](https://github.com/opencontainers/runtime-spec). Beyond that, it makes no assumptions about the container runner you choose to use to run the created container.
+`pkgs.ociTools` is a set of functions for creating runtime container bundles according to the [OCI runtime specification v1.0.0](https://github.com/opencontainers/runtime-spec/blob/v1.0.0/spec.md).
+It makes no assumptions about the container runner you choose to use to run the created container.
+
+The set of functions in `pkgs.ociTools` currently does not handle the [OCI image specification](https://github.com/opencontainers/image-spec).
+
+At a high-level an OCI implementation would download an OCI Image then unpack that image into an OCI Runtime filesystem bundle.
+At this point the OCI Runtime Bundle would be run by an OCI Runtime.
+`pkgs.ociTools` provides utilities to create OCI Runtime bundles.
## buildContainer {#ssec-pkgs-ociTools-buildContainer}
-This function creates a simple OCI container that runs a single command inside of it. An OCI container consists of a `config.json` and a rootfs directory. The nix store of the container will contain all referenced dependencies of the given command.
+This function creates an OCI runtime container (consisting of a `config.json` and a root filesystem directory) that runs a single command inside of it.
+The nix store of the container will contain all referenced dependencies of the given command.
-The parameters of `buildContainer` with an example value are described below:
+This function has an assumption that the container will run on POSIX platforms, and sets configurations (such as the user running the process or certain mounts) according to this assumption.
+Because of this, a container built with `buildContainer` will not work on Windows or other non-POSIX platforms without modifications to the container configuration.
+These modifications aren't supported by `buildContainer`.
+
+For `linux` platforms, `buildContainer` also configures the following namespaces (see {manpage}`unshare(1)`) to isolate the OCI container from the global namespace:
+PID, network, mount, IPC, and UTS.
+
+Note that no user namespace is created, which means that you won't be able to run the container unless you are the `root` user.
+
+### Inputs {#ssec-pkgs-ociTools-buildContainer-inputs}
+
+`buildContainer` expects an argument with the following attributes:
+
+`args` (List of String)
+
+: Specifies a set of arguments to run inside the container.
+ Any packages referenced by `args` will be made available inside the container.
+
+`mounts` (Attribute Set; _optional_)
+
+: Would specify additional mounts that the runtime must make available to the container.
+
+ :::{.warning}
+ As explained in [issue #290879](https://github.com/NixOS/nixpkgs/issues/290879), this attribute is currently ignored.
+ :::
+
+ :::{.note}
+ `buildContainer` includes a minimal set of necessary filesystems to be mounted into the container, and this set can't be changed with the `mounts` attribute.
+ :::
+
+ _Default value:_ `{}`.
+
+`readonly` (Boolean; _optional_)
+
+: If `true`, sets the container's root filesystem as read-only.
+
+ _Default value:_ `false`.
+
+`os` **DEPRECATED**
+
+: Specifies the operating system on which the container filesystem is based on.
+ If specified, its value should follow the [OCI Image Configuration Specification](https://github.com/opencontainers/image-spec/blob/main/config.md#properties).
+ According to the linked specification, all possible values for `$GOOS` in [the Go docs](https://go.dev/doc/install/source#environment) should be valid, but will commonly be one of `darwin` or `linux`.
+
+ _Default value:_ `"linux"`.
+
+`arch` **DEPRECATED**
+
+: Used to specify the architecture for which the binaries in the container filesystem have been compiled.
+ If specified, its value should follow the [OCI Image Configuration Specification](https://github.com/opencontainers/image-spec/blob/main/config.md#properties).
+ According to the linked specification, all possible values for `$GOARCH` in [the Go docs](https://go.dev/doc/install/source#environment) should be valid, but will commonly be one of `386`, `amd64`, `arm`, or `arm64`.
+
+ _Default value:_ `x86_64`.
+
+### Examples {#ssec-pkgs-ociTools-buildContainer-examples}
+
+::: {.example #ex-ociTools-buildContainer-bash}
+# Creating an OCI runtime container that runs `bash`
+
+This example uses `ociTools.buildContainer` to create a simple container that runs `bash`.
```nix
-buildContainer {
+{ ociTools, lib, bash }:
+ociTools.buildContainer {
args = [
- (with pkgs;
- writeScript "run.sh" ''
- #!${bash}/bin/bash
- exec ${bash}/bin/bash
- '').outPath
+ (lib.getExe bash)
];
- mounts = {
- "/data" = {
- type = "none";
- source = "/var/lib/mydata";
- options = [ "bind" ];
- };
- };
-
readonly = false;
}
```
-- `args` specifies a set of arguments to run inside the container. This is the only required argument for `buildContainer`. All referenced packages inside the derivation will be made available inside the container.
+As an example of how to run the container generated by this package, we'll use `runc` to start the container.
+Any other tool that supports OCI containers could be used instead.
-- `mounts` specifies additional mount points chosen by the user. By default only a minimal set of necessary filesystems are mounted into the container (e.g procfs, cgroupfs)
+```shell
+$ nix-build
+(some output removed for clarity)
+/nix/store/7f9hgx0arvhzp2a3qphp28rxbn748l25-join
-- `readonly` makes the container's rootfs read-only if it is set to true. The default value is false `false`.
+$ cd /nix/store/7f9hgx0arvhzp2a3qphp28rxbn748l25-join
+$ nix-shell -p runc
+[nix-shell:/nix/store/7f9hgx0arvhzp2a3qphp28rxbn748l25-join]$ sudo runc run ocitools-example
+help
+GNU bash, version 5.2.26(1)-release (x86_64-pc-linux-gnu)
+(some output removed for clarity)
+```
+:::
diff --git a/doc/build-helpers/images/portableservice.section.md b/doc/build-helpers/images/portableservice.section.md
index 5400928b158f..c271bc775dba 100644
--- a/doc/build-helpers/images/portableservice.section.md
+++ b/doc/build-helpers/images/portableservice.section.md
@@ -1,81 +1,174 @@
# pkgs.portableService {#sec-pkgs-portableService}
-`pkgs.portableService` is a function to create _portable service images_,
-as read-only, immutable, `squashfs` archives.
-
-systemd supports a concept of [Portable Services](https://systemd.io/PORTABLE_SERVICES/).
-Portable Services are a delivery method for system services that uses two specific features of container management:
-
-* Applications are bundled. I.e. multiple services, their binaries and
- all their dependencies are packaged in an image, and are run directly from it.
-* Stricter default security policies, i.e. sandboxing of applications.
-
-This allows using Nix to build images which can be run on many recent Linux distributions.
-
-The primary tool for interacting with Portable Services is `portablectl`,
-and they are managed by the `systemd-portabled` system service.
+`pkgs.portableService` is a function to create [Portable Services](https://systemd.io/PORTABLE_SERVICES/) in a read-only, immutable, `squashfs` raw disk image.
+This lets you use Nix to build images which can be run on many recent Linux distributions.
::: {.note}
Portable services are supported starting with systemd 239 (released on 2018-06-22).
:::
-A very simple example of using `portableService` is described below:
+The generated image will contain the file system structure as required by the Portable Services specification, along with the packages given to `portableService` and all of their dependencies.
+When generated, the image will exist in the Nix store with the `.raw` file extension, as required by the specification.
+See [](#ex-portableService-hello) to understand how to use the output of `portableService`.
+
+## Inputs {#ssec-pkgs-portableService-inputs}
+
+`portableService` expects one argument with the following attributes:
+
+`pname` (String)
+
+: The name of the portable service.
+ The generated image will be named according to the template `$pname_$version.raw`, which is supported by the Portable Services specification.
+
+`version` (String)
+
+: The version of the portable service.
+ The generated image will be named according to the template `$pname_$version.raw`, which is supported by the Portable Services specification.
+
+`units` (List of Attribute Set)
+
+: A list of derivations for systemd unit files.
+ Each derivation must produce a single file, and must have a name that starts with the value of `pname` and ends with the suffix of the unit type (e.g. ".service", ".socket", ".timer", and so on).
+ See [](#ex-portableService-hello) to better understand this naming constraint.
+
+`description` (String or Null; _optional_)
+
+: If specified, the value is added as `PORTABLE_PRETTY_NAME` to the `/etc/os-release` file in the generated image.
+ This could be used to provide more information to anyone inspecting the image.
+
+ _Default value:_ `null`.
+
+`homepage` (String or Null; _optional_)
+
+: If specified, the value is added as `HOME_URL` to the `/etc/os-release` file in the generated image.
+ This could be used to provide more information to anyone inspecting the image.
+
+ _Default value:_ `null`.
+
+`symlinks` (List of Attribute Set; _optional_)
+
+: A list of attribute sets in the format `{object, symlink}`.
+ For each item in the list, `portableService` will create a symlink in the path specified by `symlink` (relative to the root of the image) that points to `object`.
+
+ All packages that `object` depends on and their dependencies are automatically copied into the image.
+
+ This can be used to create symlinks for applications that assume some files to exist globally (`/etc/ssl` or `/bin/bash`, for example).
+ See [](#ex-portableService-symlinks) to understand how to do that.
+
+ _Default value:_ `[]`.
+
+`contents` (List of Attribute Set; _optional_)
+
+: A list of additional derivations to be included as-is in the image.
+ These derivations will be included directly in a `/nix/store` directory inside the image.
+
+ _Default value:_ `[]`.
+
+`squashfsTools` (Attribute Set; _optional_)
+
+: Allows you to override the package that provides {manpage}`mksquashfs(1)`, which is used internally by `portableService`.
+
+ _Default value:_ `pkgs.squashfsTools`.
+
+`squash-compression` (String; _optional_)
+
+: Passed as the compression option to {manpage}`mksquashfs(1)`, which is used internally by `portableService`.
+
+ _Default value:_ `"xz -Xdict-size 100%"`.
+
+`squash-block-size` (String; _optional_)
+
+: Passed as the block size option to {manpage}`mksquashfs(1)`, which is used internally by `portableService`.
+
+ _Default value:_ `"1M"`.
+
+## Examples {#ssec-pkgs-portableService-examples}
[]{#ex-pkgs-portableService}
+:::{.example #ex-portableService-hello}
+# Building a Portable Service image
+
+The following example builds a Portable Service image with the `hello` package, along with a service unit that runs it.
```nix
-pkgs.portableService {
- pname = "demo";
- version = "1.0";
- units = [ demo-service demo-socket ];
+{ lib, writeText, portableService, hello }:
+let
+ hello-service = writeText "hello.service" ''
+ [Unit]
+ Description=Hello world service
+
+ [Service]
+ Type=oneshot
+ ExecStart=${lib.getExe hello}
+ '';
+in
+portableService {
+ pname = "hello";
+ inherit (hello) version;
+ units = [ hello-service ];
}
```
-The above example will build an squashfs archive image in `result/$pname_$version.raw`. The image will contain the
-file system structure as required by the portable service specification, and a subset of the Nix store with all the
-dependencies of the two derivations in the `units` list.
-`units` must be a list of derivations, and their names must be prefixed with the service name (`"demo"` in this case).
-Otherwise `systemd-portabled` will ignore them.
+After building the package, the generated image can be loaded into a system through {manpage}`portablectl(1)`:
-::: {.note}
-The `.raw` file extension of the image is required by the portable services specification.
+```shell
+$ nix-build
+(some output removed for clarity)
+/nix/store/8c20z1vh7z8w8dwagl8w87b45dn5k6iq-hello-img-2.12.1
+
+$ portablectl attach /nix/store/8c20z1vh7z8w8dwagl8w87b45dn5k6iq-hello-img-2.12.1/hello_2.12.1.raw
+Created directory /etc/systemd/system.attached.
+Created directory /etc/systemd/system.attached/hello.service.d.
+Written /etc/systemd/system.attached/hello.service.d/20-portable.conf.
+Created symlink /etc/systemd/system.attached/hello.service.d/10-profile.conf → /usr/lib/systemd/portable/profile/default/service.conf.
+Copied /etc/systemd/system.attached/hello.service.
+Created symlink /etc/portables/hello_2.12.1.raw → /nix/store/8c20z1vh7z8w8dwagl8w87b45dn5k6iq-hello-img-2.12.1/hello_2.12.1.raw.
+
+$ systemctl start hello
+$ journalctl -u hello
+Feb 28 22:39:16 hostname systemd[1]: Starting Hello world service...
+Feb 28 22:39:16 hostname hello[102887]: Hello, world!
+Feb 28 22:39:16 hostname systemd[1]: hello.service: Deactivated successfully.
+Feb 28 22:39:16 hostname systemd[1]: Finished Hello world service.
+
+$ portablectl detach hello_2.12.1
+Removed /etc/systemd/system.attached/hello.service.
+Removed /etc/systemd/system.attached/hello.service.d/10-profile.conf.
+Removed /etc/systemd/system.attached/hello.service.d/20-portable.conf.
+Removed /etc/systemd/system.attached/hello.service.d.
+Removed /etc/portables/hello_2.12.1.raw.
+Removed /etc/systemd/system.attached.
+```
:::
-Some other options available are:
-- `description`, `homepage`
+:::{.example #ex-portableService-symlinks}
+# Specifying symlinks when building a Portable Service image
- Are added to the `/etc/os-release` in the image and are shown by the portable services tooling.
- Default to empty values, not added to os-release.
-- `symlinks`
+Some services may expect files or directories to be available globally.
+An example is a service which expects all trusted SSL certificates to exist in a specific location by default.
- A list of attribute sets {object, symlink}. Symlinks will be created in the root filesystem of the image to
- objects in the Nix store. Defaults to an empty list.
-- `contents`
+To make things available globally, you must specify the `symlinks` attribute when using `portableService`.
+The following package builds on the package from [](#ex-portableService-hello) to make `/etc/ssl` available globally (this is only for illustrative purposes, because `hello` doesn't use `/etc/ssl`).
- A list of additional derivations to be included in the image Nix store, as-is. Defaults to an empty list.
-- `squashfsTools`
-
- Defaults to `pkgs.squashfsTools`, allows you to override the package that provides `mksquashfs`.
-- `squash-compression`, `squash-block-size`
-
- Options to `mksquashfs`. Default to `"xz -Xdict-size 100%"` and `"1M"` respectively.
-
-A typical usage of `symlinks` would be:
```nix
- symlinks = [
- { object = "${pkgs.cacert}/etc/ssl"; symlink = "/etc/ssl"; }
- { object = "${pkgs.bash}/bin/bash"; symlink = "/bin/sh"; }
- { object = "${pkgs.php}/bin/php"; symlink = "/usr/bin/php"; }
- ];
-```
-to create these symlinks for legacy applications that assume them existing globally.
+{ lib, writeText, portableService, hello, cacert }:
+let
+ hello-service = writeText "hello.service" ''
+ [Unit]
+ Description=Hello world service
-Once the image is created, and deployed on a host in `/var/lib/portables/`, you can attach the image and run the service. As root run:
-```console
-portablectl attach demo_1.0.raw
-systemctl enable --now demo.socket
-systemctl enable --now demo.service
+ [Service]
+ Type=oneshot
+ ExecStart=${lib.getExe hello}
+ '';
+in
+portableService {
+ pname = "hello";
+ inherit (hello) version;
+ units = [ hello-service ];
+ symlinks = [
+ { object = "${cacert}/etc/ssl"; symlink = "/etc/ssl"; }
+ ];
+}
```
-::: {.note}
-See the [man page](https://www.freedesktop.org/software/systemd/man/portablectl.html) of `portablectl` for more info on its usage.
:::
diff --git a/doc/build-helpers/images/snaptools.section.md b/doc/build-helpers/images/snaptools.section.md
deleted file mode 100644
index 259fa1b06180..000000000000
--- a/doc/build-helpers/images/snaptools.section.md
+++ /dev/null
@@ -1,71 +0,0 @@
-# pkgs.snapTools {#sec-pkgs-snapTools}
-
-`pkgs.snapTools` is a set of functions for creating Snapcraft images. Snap and Snapcraft is not used to perform these operations.
-
-## The makeSnap Function {#ssec-pkgs-snapTools-makeSnap-signature}
-
-`makeSnap` takes a single named argument, `meta`. This argument mirrors [the upstream `snap.yaml` format](https://docs.snapcraft.io/snap-format) exactly.
-
-The `base` should not be specified, as `makeSnap` will force set it.
-
-Currently, `makeSnap` does not support creating GUI stubs.
-
-## Build a Hello World Snap {#ssec-pkgs-snapTools-build-a-snap-hello}
-
-The following expression packages GNU Hello as a Snapcraft snap.
-
-``` {#ex-snapTools-buildSnap-hello .nix}
-let
- inherit (import { }) snapTools hello;
-in snapTools.makeSnap {
- meta = {
- name = "hello";
- summary = hello.meta.description;
- description = hello.meta.longDescription;
- architectures = [ "amd64" ];
- confinement = "strict";
- apps.hello.command = "${hello}/bin/hello";
- };
-}
-```
-
-`nix-build` this expression and install it with `snap install ./result --dangerous`. `hello` will now be the Snapcraft version of the package.
-
-## Build a Graphical Snap {#ssec-pkgs-snapTools-build-a-snap-firefox}
-
-Graphical programs require many more integrations with the host. This example uses Firefox as an example because it is one of the most complicated programs we could package.
-
-``` {#ex-snapTools-buildSnap-firefox .nix}
-let
- inherit (import { }) snapTools firefox;
-in snapTools.makeSnap {
- meta = {
- name = "nix-example-firefox";
- summary = firefox.meta.description;
- architectures = [ "amd64" ];
- apps.nix-example-firefox = {
- command = "${firefox}/bin/firefox";
- plugs = [
- "pulseaudio"
- "camera"
- "browser-support"
- "avahi-observe"
- "cups-control"
- "desktop"
- "desktop-legacy"
- "gsettings"
- "home"
- "network"
- "mount-observe"
- "removable-media"
- "x11"
- ];
- };
- confinement = "strict";
- };
-}
-```
-
-`nix-build` this expression and install it with `snap install ./result --dangerous`. `nix-example-firefox` will now be the Snapcraft version of the Firefox package.
-
-The specific meaning behind plugs can be looked up in the [Snapcraft interface documentation](https://docs.snapcraft.io/supported-interfaces).
diff --git a/doc/build-helpers/trivial-build-helpers.chapter.md b/doc/build-helpers/trivial-build-helpers.chapter.md
index 384e25035060..6d14db639938 100644
--- a/doc/build-helpers/trivial-build-helpers.chapter.md
+++ b/doc/build-helpers/trivial-build-helpers.chapter.md
@@ -7,7 +7,9 @@ Like [`stdenv.mkDerivation`](#sec-using-stdenv), each of these build helpers cre
`runCommand :: String -> AttrSet -> String -> Derivation`
-`runCommand name drvAttrs buildCommand` returns a derivation that is built by running the specified shell commands.
+The result of `runCommand name drvAttrs buildCommand` is a derivation that is built by running the specified shell commands.
+
+By default `runCommand` runs in a stdenv with no compiler environment, whereas [`runCommandCC`](#trivial-builder-runCommandCC) uses the default stdenv, `pkgs.stdenv`.
`name :: String`
: The name that Nix will append to the store path in the same way that `stdenv.mkDerivation` uses its `name` attribute.
@@ -92,6 +94,107 @@ writeShellScript "evaluate-my-file.sh" ''
```
::::
+### `makeDesktopItem` {#trivial-builder-makeDesktopItem}
+
+Write an [XDG desktop file](https://specifications.freedesktop.org/desktop-entry-spec/1.4/) to the Nix store.
+
+This function is usually used to add desktop items to a package through the `copyDesktopItems` hook.
+
+`makeDesktopItem` adheres to version 1.4 of the specification.
+
+#### Inputs {#trivial-builder-makeDesktopItem-inputs}
+
+`makeDesktopItem` takes an attribute set that accepts most values from the [XDG specification](https://specifications.freedesktop.org/desktop-entry-spec/1.4/ar01s06.html).
+
+All recognised keys from the specification are supported with the exception of the "Hidden" field. The keys are converted into camelCase format, but correspond 1:1 to their equivalent in the specification: `genericName`, `noDisplay`, `comment`, `icon`, `onlyShowIn`, `notShowIn`, `dbusActivatable`, `tryExec`, `exec`, `path`, `terminal`, `mimeTypes`, `categories`, `implements`, `keywords`, `startupNotify`, `startupWMClass`, `url`, `prefersNonDefaultGPU`.
+
+The "Version" field is hardcoded to the version `makeDesktopItem` currently adheres to.
+
+The following fields are either required, are of a different type than in the specification, carry specific default values, or are additional fields supported by `makeDesktopItem`:
+
+`name` (String)
+
+: The name of the desktop file in the Nix store.
+
+`type` (String; _optional_)
+
+: Default value: `"Application"`
+
+`desktopName` (String)
+
+: Corresponds to the "Name" field of the specification.
+
+`actions` (List of Attribute set; _optional_)
+
+: A list of attribute sets {name, exec?, icon?}
+
+`extraConfig` (Attribute set; _optional_)
+
+: Additional key/value pairs to be added verbatim to the desktop file. Attributes need to be prefixed with 'X-'.
+
+#### Examples {#trivial-builder-makeDesktopItem-examples}
+
+::: {.example #ex-makeDesktopItem}
+# Usage 1 of `makeDesktopItem`
+
+Write a desktop file `/nix/store//my-program.desktop` to the Nix store.
+
+```nix
+{makeDesktopItem}:
+makeDesktopItem {
+ name = "my-program";
+ desktopName = "My Program";
+ genericName = "Video Player";
+ noDisplay = false;
+ comment = "Cool video player";
+ icon = "/path/to/icon";
+ onlyShowIn = [ "KDE" ];
+ dbusActivatable = true;
+ tryExec = "my-program";
+ exec = "my-program --someflag";
+ path = "/some/working/path";
+ terminal = false;
+ actions.example = {
+ name = "New Window";
+ exec = "my-program --new-window";
+ icon = "/some/icon";
+ };
+ mimeTypes = [ "video/mp4" ];
+ categories = [ "Utility" ];
+ implements = [ "org.my-program" ];
+ keywords = [ "Video" "Player" ];
+ startupNotify = false;
+ startupWMClass = "MyProgram";
+ prefersNonDefaultGPU = false;
+ extraConfig.X-SomeExtension = "somevalue";
+}
+```
+
+:::
+
+::: {.example #ex2-makeDesktopItem}
+# Usage 2 of `makeDesktopItem`
+
+Override the `hello` package to add a desktop item.
+
+```nix
+{ copyDesktopItems
+, hello
+, makeDesktopItem }:
+
+hello.overrideAttrs {
+ nativeBuildInputs = [ copyDesktopItems ];
+
+ desktopItems = [(makeDesktopItem {
+ name = "hello";
+ desktopName = "Hello";
+ exec = "hello";
+ })];
+}
+```
+
+:::
+
### `writeTextFile` {#trivial-builder-writeTextFile}
Write a text file to the Nix store.
@@ -153,6 +256,12 @@ Write a text file to the Nix store.
Default: `true`
+`derivationArgs` (Attribute set, _optional_)
+
+: Extra arguments to pass to the underlying call to `stdenv.mkDerivation`.
+
+ Default: `{}`
+
The resulting store path will include some variation of the name, and it will be a file unless `destination` is used, in which case it will be a directory.
::: {.example #ex-writeTextFile}
@@ -549,14 +658,18 @@ This creates a derivation with a directory structure like the following:
## `writeReferencesToFile` {#trivial-builder-writeReferencesToFile}
-Writes the closure of transitive dependencies to a file.
+Deprecated. Use [`writeClosure`](#trivial-builder-writeClosure) instead.
-This produces the equivalent of `nix-store -q --requisites`.
+## `writeClosure` {#trivial-builder-writeClosure}
+
+Given a list of [store paths](https://nixos.org/manual/nix/stable/glossary#gloss-store-path) (or string-like expressions coercible to store paths), write their collective [closure](https://nixos.org/manual/nix/stable/glossary#gloss-closure) to a text file.
+
+The result is equivalent to the output of `nix-store -q --requisites`.
For example,
```nix
-writeReferencesToFile (writeScriptBin "hi" ''${hello}/bin/hello'')
+writeClosure [ (writeScriptBin "hi" ''${hello}/bin/hello'') ]
```
produces an output path `/nix/store/-runtime-deps` containing
diff --git a/doc/default.nix b/doc/default.nix
index bcbc20b9f983..76aba1a03eaf 100644
--- a/doc/default.nix
+++ b/doc/default.nix
@@ -122,16 +122,17 @@ in pkgs.stdenv.mkDerivation {
${pkgs.documentation-highlighter}/mono-blue.css \
${pkgs.documentation-highlighter}/loader.js
- cp -t out ./overrides.css ./style.css
+ cp -t out ./style.css ./anchor.min.js ./anchor-use.js
nixos-render-docs manual html \
--manpage-urls ./manpage-urls.json \
--revision ${pkgs.lib.trivial.revisionWithDefault (pkgs.rev or "master")} \
--stylesheet style.css \
- --stylesheet overrides.css \
--stylesheet highlightjs/mono-blue.css \
--script ./highlightjs/highlight.pack.js \
--script ./highlightjs/loader.js \
+ --script ./anchor.min.js \
+ --script ./anchor-use.js \
--toc-depth 1 \
--section-toc-depth 1 \
manual.md \
diff --git a/doc/functions/generators.section.md b/doc/functions/generators.section.md
index 8b3ae6843a22..dbfc302a3abf 100644
--- a/doc/functions/generators.section.md
+++ b/doc/functions/generators.section.md
@@ -6,8 +6,9 @@ All generators follow a similar call interface: `generatorName configFunctions d
Generators can be fine-tuned to produce exactly the file format required by your application/service. One example is an INI-file format which uses `: ` as separator, the strings `"yes"`/`"no"` as boolean values and requires all string values to be quoted:
```nix
-with lib;
let
+ inherit (lib) generators isString;
+
customToINI = generators.toINI {
# specifies how to format a key/value pair
mkKeyValue = generators.mkKeyValueDefault {
diff --git a/doc/languages-frameworks/coq.section.md b/doc/languages-frameworks/coq.section.md
index 6ca199708377..db3724773345 100644
--- a/doc/languages-frameworks/coq.section.md
+++ b/doc/languages-frameworks/coq.section.md
@@ -55,7 +55,13 @@ Here is a simple package example. It is a pure Coq library, thus it depends on C
```nix
{ lib, mkCoqDerivation, version ? null
, coq, mathcomp, mathcomp-finmap, mathcomp-bigenough }:
-with lib; mkCoqDerivation {
+
+let
+ inherit (lib) licenses maintainers switch;
+ inherit (lib.versions) range;
+in
+
+mkCoqDerivation {
/* namePrefix leads to e.g. `name = coq8.11-mathcomp1.11-multinomials-1.5.2` */
namePrefix = [ "coq" "mathcomp" ];
pname = "multinomials";
diff --git a/doc/languages-frameworks/cuda.section.md b/doc/languages-frameworks/cuda.section.md
index 11c86e375c61..09af824531a2 100644
--- a/doc/languages-frameworks/cuda.section.md
+++ b/doc/languages-frameworks/cuda.section.md
@@ -144,4 +144,4 @@ All new projects should use the CUDA redistributables available in [`cudaPackage
| Find libraries | `configurePhase` | Missing dependency on a `dev` output | Add the missing dependency | The `dev` output typically contain CMake configuration files |
| Find libraries | `buildPhase` or `patchelf` | Missing dependency on a `lib` or `static` output | Add the missing dependency | The `lib` or `static` output typically contain the libraries |
-In the scenario you are unable to run the resulting binary: this is arguably the most complicated as it could be any combination of the previous reasons. This type of failure typically occurs when a library attempts to load or open a library it depends on that it does not declare in its `DT_NEEDED` section. As a first step, ensure that dependencies are patched with [`cudaPackages.autoAddOpenGLRunpath`](https://search.nixos.org/packages?channel=unstable&type=packages&query=cudaPackages.autoAddOpenGLRunpath). Failing that, try running the application with [`nixGL`](https://github.com/guibou/nixGL) or a similar wrapper tool. If that works, it likely means that the application is attempting to load a library that is not in the `RPATH` or `RUNPATH` of the binary.
+In the scenario you are unable to run the resulting binary: this is arguably the most complicated as it could be any combination of the previous reasons. This type of failure typically occurs when a library attempts to load or open a library it depends on that it does not declare in its `DT_NEEDED` section. As a first step, ensure that dependencies are patched with [`cudaPackages.autoAddDriverRunpath`](https://search.nixos.org/packages?channel=unstable&type=packages&query=cudaPackages.autoAddDriverRunpath). Failing that, try running the application with [`nixGL`](https://github.com/guibou/nixGL) or a similar wrapper tool. If that works, it likely means that the application is attempting to load a library that is not in the `RPATH` or `RUNPATH` of the binary.
diff --git a/doc/languages-frameworks/dotnet.section.md b/doc/languages-frameworks/dotnet.section.md
index 7987aa41636c..7466c8cdc228 100644
--- a/doc/languages-frameworks/dotnet.section.md
+++ b/doc/languages-frameworks/dotnet.section.md
@@ -210,11 +210,11 @@ buildDotnetGlobalTool {
nugetSha256 = "sha256-ZG2HFyKYhVNVYd2kRlkbAjZJq88OADe3yjxmLuxXDUo=";
- meta = with lib; {
+ meta = {
homepage = "https://cmd.petabridge.com/index.html";
changelog = "https://cmd.petabridge.com/articles/RELEASE_NOTES.html";
- license = licenses.unfree;
- platforms = platforms.linux;
+ license = lib.licenses.unfree;
+ platforms = lib.platforms.linux;
};
}
```
diff --git a/doc/languages-frameworks/go.section.md b/doc/languages-frameworks/go.section.md
index 7f151c76129f..369eb88d331f 100644
--- a/doc/languages-frameworks/go.section.md
+++ b/doc/languages-frameworks/go.section.md
@@ -51,11 +51,11 @@ pet = buildGoModule rec {
vendorHash = "sha256-ciBIR+a1oaYH+H1PcC8cD8ncfJczk1IiJ8iYNM+R6aA=";
- meta = with lib; {
+ meta = {
description = "Simple command-line snippet manager, written in Go";
homepage = "https://github.com/knqyf263/pet";
- license = licenses.mit;
- maintainers = with maintainers; [ kalbasit ];
+ license = lib.licenses.mit;
+ maintainers = with lib.maintainers; [ kalbasit ];
};
}
```
diff --git a/doc/languages-frameworks/haskell.section.md b/doc/languages-frameworks/haskell.section.md
index bec72cb3c0d3..5d7796b554de 100644
--- a/doc/languages-frameworks/haskell.section.md
+++ b/doc/languages-frameworks/haskell.section.md
@@ -113,7 +113,7 @@ Each of those compiler versions has a corresponding attribute set built using
it. However, the non-standard package sets are not tested regularly and, as a
result, contain fewer working packages. The corresponding package set for GHC
9.4.5 is `haskell.packages.ghc945`. In fact `haskellPackages` is just an alias
-for `haskell.packages.ghc927`:
+for `haskell.packages.ghc964`:
```console
$ nix-env -f '' -qaP -A haskell.packages.ghc927
@@ -1020,6 +1020,11 @@ failing because of e.g. a syntax error in the Haddock documentation.
: Sets `doCheck` to `false` for `drv`. Useful if a package has a broken,
flaky or otherwise problematic test suite breaking the build.
+`dontCheckIf condition drv`
+: Sets `doCheck` to `false` for `drv`, but only if `condition` applies.
+Otherwise it's a no-op. Useful to conditionally disable tests for a package
+without interfering with previous overrides or default values.
+
diff --git a/doc/languages-frameworks/idris.section.md b/doc/languages-frameworks/idris.section.md
index 447a3e7bb8a3..e30a849dd456 100644
--- a/doc/languages-frameworks/idris.section.md
+++ b/doc/languages-frameworks/idris.section.md
@@ -93,11 +93,11 @@ build-idris-package {
hash = "sha256-h28F9EEPuvab6zrfeE+0k1XGQJGwINnsJEG8yjWIl7w=";
};
- meta = with lib; {
+ meta = {
description = "Idris YAML lib";
homepage = "https://github.com/Heather/Idris.Yaml";
- license = licenses.mit;
- maintainers = [ maintainers.brainrape ];
+ license = lib.licenses.mit;
+ maintainers = [ lib.maintainers.brainrape ];
};
}
```
diff --git a/doc/languages-frameworks/java.section.md b/doc/languages-frameworks/java.section.md
index 371bdf6323fb..0ce1442cca68 100644
--- a/doc/languages-frameworks/java.section.md
+++ b/doc/languages-frameworks/java.section.md
@@ -4,12 +4,31 @@ Ant-based Java packages are typically built from source as follows:
```nix
stdenv.mkDerivation {
- name = "...";
+ pname = "...";
+ version = "...";
+
src = fetchurl { ... };
- nativeBuildInputs = [ jdk ant ];
+ nativeBuildInputs = [
+ ant
+ jdk
+ stripJavaArchivesHook # removes timestamp metadata from jar files
+ ];
- buildPhase = "ant";
+ buildPhase = ''
+ runHook preBuild
+ ant # build the project using ant
+ runHook postBuild
+ '';
+
+ installPhase = ''
+ runHook preInstall
+
+ # copy generated jar file(s) to an appropriate location in $out
+ install -Dm644 build/foo.jar $out/share/java/foo.jar
+
+ runHook postInstall
+ '';
}
```
@@ -17,6 +36,10 @@ Note that `jdk` is an alias for the OpenJDK (self-built where available,
or pre-built via Zulu). Platforms with OpenJDK not (yet) in Nixpkgs
(`Aarch32`, `Aarch64`) point to the (unfree) `oraclejdk`.
+Also note that not using `stripJavaArchivesHook` will likely cause the
+generated `.jar` files to be non-deterministic, which is not optimal.
+Using it, however, does not always guarantee reproducibility.
+
JAR files that are intended to be used by other packages should be
installed in `$out/share/java`. JDKs have a stdenv setup hook that add
any JARs in the `share/java` directories of the build inputs to the
diff --git a/doc/languages-frameworks/javascript.section.md b/doc/languages-frameworks/javascript.section.md
index 5d2a6413e104..d553444d53b0 100644
--- a/doc/languages-frameworks/javascript.section.md
+++ b/doc/languages-frameworks/javascript.section.md
@@ -4,11 +4,14 @@
This contains instructions on how to package javascript applications.
-The various tools available will be listed in the [tools-overview](#javascript-tools-overview). Some general principles for packaging will follow. Finally some tool specific instructions will be given.
+The various tools available will be listed in the [tools-overview](#javascript-tools-overview).
+Some general principles for packaging will follow.
+Finally some tool specific instructions will be given.
## Getting unstuck / finding code examples {#javascript-finding-examples}
-If you find you are lacking inspiration for packing javascript applications, the links below might prove useful. Searching online for prior art can be helpful if you are running into solved problems.
+If you find you are lacking inspiration for packaging javascript applications, the links below might prove useful.
+Searching online for prior art can be helpful if you are running into solved problems.
### Github {#javascript-finding-examples-github}
@@ -30,17 +33,23 @@ The following principles are given in order of importance with potential excepti
It is often not documented which node version is used upstream, but if it is, try to use the same version when packaging.
-This can be a problem if upstream is using the latest and greatest and you are trying to use an earlier version of node. Some cryptic errors regarding V8 may appear.
+This can be a problem if upstream is using the latest and greatest and you are trying to use an earlier version of node.
+Some cryptic errors regarding V8 may appear.
### Try to respect the package manager originally used by upstream (and use the upstream lock file) {#javascript-upstream-package-manager}
-A lock file (package-lock.json, yarn.lock...) is supposed to make reproducible installations of node_modules for each tool.
+A lock file (package-lock.json, yarn.lock...) is supposed to make reproducible installations of `node_modules` for each tool.
-Guidelines of package managers, recommend to commit those lock files to the repos. If a particular lock file is present, it is a strong indication of which package manager is used upstream.
+Guidelines of package managers, recommend to commit those lock files to the repos.
+If a particular lock file is present, it is a strong indication of which package manager is used upstream.
-It's better to try to use a Nix tool that understand the lock file. Using a different tool might give you hard to understand error because different packages have been installed. An example of problems that could arise can be found [here](https://github.com/NixOS/nixpkgs/pull/126629). Upstream use NPM, but this is an attempt to package it with `yarn2nix` (that uses yarn.lock).
+It's better to try to use a Nix tool that understand the lock file.
+Using a different tool might give you hard to understand error because different packages have been installed.
+An example of problems that could arise can be found [here](https://github.com/NixOS/nixpkgs/pull/126629).
+Upstream use NPM, but this is an attempt to package it with `yarn2nix` (that uses yarn.lock).
-Using a different tool forces to commit a lock file to the repository. Those files are fairly large, so when packaging for nixpkgs, this approach does not scale well.
+Using a different tool forces to commit a lock file to the repository.
+Those files are fairly large, so when packaging for nixpkgs, this approach does not scale well.
Exceptions to this rule are:
@@ -78,17 +87,23 @@ Exceptions to this rule are:
### Using node_modules directly {#javascript-using-node_modules}
-Each tool has an abstraction to just build the node_modules (dependencies) directory. You can always use the `stdenv.mkDerivation` with the node_modules to build the package (symlink the node_modules directory and then use the package build command). The node_modules abstraction can be also used to build some web framework frontends. For an example of this see how [plausible](https://github.com/NixOS/nixpkgs/blob/master/pkgs/servers/web-apps/plausible/default.nix) is built. `mkYarnModules` to make the derivation containing node_modules. Then when building the frontend you can just symlink the node_modules directory.
+Each tool has an abstraction to just build the node_modules (dependencies) directory.
+You can always use the `stdenv.mkDerivation` with the node_modules to build the package (symlink the node_modules directory and then use the package build command).
+The node_modules abstraction can be also used to build some web framework frontends.
+For an example of this see how [plausible](https://github.com/NixOS/nixpkgs/blob/master/pkgs/servers/web-apps/plausible/default.nix) is built. `mkYarnModules` to make the derivation containing node_modules.
+Then when building the frontend you can just symlink the node_modules directory.
## Javascript packages inside nixpkgs {#javascript-packages-nixpkgs}
The [pkgs/development/node-packages](https://github.com/NixOS/nixpkgs/blob/master/pkgs/development/node-packages) folder contains a generated collection of [NPM packages](https://npmjs.com/) that can be installed with the Nix package manager.
-As a rule of thumb, the package set should only provide _end user_ software packages, such as command-line utilities. Libraries should only be added to the package set if there is a non-NPM package that requires it.
+As a rule of thumb, the package set should only provide _end user_ software packages, such as command-line utilities.
+Libraries should only be added to the package set if there is a non-NPM package that requires it.
When it is desired to use NPM libraries in a development project, use the `node2nix` generator directly on the `package.json` configuration file of the project.
-The package set provides support for the official stable Node.js versions. The latest stable LTS release in `nodePackages`, as well as the latest stable current release in `nodePackages_latest`.
+The package set provides support for the official stable Node.js versions.
+The latest stable LTS release in `nodePackages`, as well as the latest stable current release in `nodePackages_latest`.
If your package uses native addons, you need to examine what kind of native build system it uses. Here are some examples:
@@ -96,7 +111,8 @@ If your package uses native addons, you need to examine what kind of native buil
- `node-gyp-builder`
- `node-pre-gyp`
-After you have identified the correct system, you need to override your package expression while adding in build system as a build input. For example, `dat` requires `node-gyp-build`, so we override its expression in [pkgs/development/node-packages/overrides.nix](https://github.com/NixOS/nixpkgs/blob/master/pkgs/development/node-packages/overrides.nix):
+After you have identified the correct system, you need to override your package expression while adding in build system as a build input.
+For example, `dat` requires `node-gyp-build`, so we override its expression in [pkgs/development/node-packages/overrides.nix](https://github.com/NixOS/nixpkgs/blob/master/pkgs/development/node-packages/overrides.nix):
```nix
dat = prev.dat.override (oldAttrs: {
@@ -159,7 +175,8 @@ git config --global url."https://github.com/".insteadOf git://github.com/
### buildNpmPackage {#javascript-buildNpmPackage}
-`buildNpmPackage` allows you to package npm-based projects in Nixpkgs without the use of an auto-generated dependencies file (as used in [node2nix](#javascript-node2nix)). It works by utilizing npm's cache functionality -- creating a reproducible cache that contains the dependencies of a project, and pointing npm to it.
+`buildNpmPackage` allows you to package npm-based projects in Nixpkgs without the use of an auto-generated dependencies file (as used in [node2nix](#javascript-node2nix)).
+It works by utilizing npm's cache functionality -- creating a reproducible cache that contains the dependencies of a project, and pointing npm to it.
Here's an example:
@@ -184,16 +201,18 @@ buildNpmPackage rec {
NODE_OPTIONS = "--openssl-legacy-provider";
- meta = with lib; {
+ meta = {
description = "A modern web UI for various torrent clients with a Node.js backend and React frontend";
homepage = "https://flood.js.org";
- license = licenses.gpl3Only;
- maintainers = with maintainers; [ winter ];
+ license = lib.licenses.gpl3Only;
+ maintainers = with lib.maintainers; [ winter ];
};
}
```
-In the default `installPhase` set by `buildNpmPackage`, it uses `npm pack --json --dry-run` to decide what files to install in `$out/lib/node_modules/$name/`, where `$name` is the `name` string defined in the package's `package.json`. Additionally, the `bin` and `man` keys in the source's `package.json` are used to decide what binaries and manpages are supposed to be installed. If these are not defined, `npm pack` may miss some files, and no binaries will be produced.
+In the default `installPhase` set by `buildNpmPackage`, it uses `npm pack --json --dry-run` to decide what files to install in `$out/lib/node_modules/$name/`, where `$name` is the `name` string defined in the package's `package.json`.
+Additionally, the `bin` and `man` keys in the source's `package.json` are used to decide what binaries and manpages are supposed to be installed.
+If these are not defined, `npm pack` may miss some files, and no binaries will be produced.
#### Arguments {#javascript-buildNpmPackage-arguments}
@@ -233,6 +252,37 @@ sha256-AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA=
It returns a derivation with all `package-lock.json` dependencies downloaded into `$out/`, usable as an npm cache.
+#### importNpmLock {#javascript-buildNpmPackage-importNpmLock}
+
+`importNpmLock` is a Nix function that requires the following optional arguments:
+
+- `npmRoot`: Path to package directory containing the source tree
+- `package`: Parsed contents of `package.json`
+- `packageLock`: Parsed contents of `package-lock.json`
+- `pname`: Package name
+- `version`: Package version
+
+It returns a derivation with a patched `package.json` & `package-lock.json` with all dependencies resolved to Nix store paths.
+
+This function is analogous to using `fetchNpmDeps`, but instead of specifying `hash` it uses metadata from `package.json` & `package-lock.json`.
+
+Note that `npmHooks.npmConfigHook` cannot be used with `importNpmLock`. You will instead need to use `importNpmLock.npmConfigHook`:
+
+```nix
+{ buildNpmPackage, importNpmLock }:
+
+buildNpmPackage {
+ pname = "hello";
+ version = "0.1.0";
+
+ npmDeps = importNpmLock {
+ npmRoot = ./.;
+ };
+
+ npmConfigHook = importNpmLock.npmConfigHook;
+}
+```
+
### corepack {#javascript-corepack}
This package puts the corepack wrappers for pnpm and yarn in your PATH, and they will honor the `packageManager` setting in the `package.json`.
@@ -284,10 +334,10 @@ buildPhase = ''
'';
```
-The dist phase is also trying to build a binary, the only way to override it is with:
+The `distPhase` is packing the package's dependencies in a tarball using `yarn pack`. You can disable it using:
```nix
-distPhase = "true";
+doDist = false;
```
The configure phase can sometimes fail because it makes many assumptions which may not always apply. One common override is:
diff --git a/doc/languages-frameworks/lua.section.md b/doc/languages-frameworks/lua.section.md
index 310ea88a86d5..a6577a56a436 100644
--- a/doc/languages-frameworks/lua.section.md
+++ b/doc/languages-frameworks/lua.section.md
@@ -1,8 +1,8 @@
-# User’s Guide to Lua Infrastructure {#users-guide-to-lua-infrastructure}
+# Lua {#lua}
-## Using Lua {#using-lua}
+## Using Lua {#lua-userguide}
-### Overview of Lua {#overview-of-lua}
+### Overview of Lua {#lua-overview}
Several versions of the Lua interpreter are available: luajit, lua 5.1, 5.2, 5.3.
The attribute `lua` refers to the default interpreter, it is also possible to refer to specific versions, e.g. `lua5_2` refers to Lua 5.2.
@@ -118,7 +118,7 @@ Again, it is possible to launch the interpreter from the shell.
The Lua interpreter has the attribute `pkgs` which contains all Lua libraries for that specific interpreter.
-## Developing with Lua {#developing-with-lua}
+## Developing with lua {#lua-developing}
Now that you know how to get a working Lua environment with Nix, it is time
to go forward and start actually developing with Lua. There are two ways to
@@ -193,10 +193,10 @@ luaposix = buildLuarocksPackage {
disabled = (luaOlder "5.1") || (luaAtLeast "5.4");
propagatedBuildInputs = [ bit32 lua std_normalize ];
- meta = with lib; {
+ meta = {
homepage = "https://github.com/luaposix/luaposix/";
description = "Lua bindings for POSIX";
- maintainers = with maintainers; [ vyp lblasc ];
+ maintainers = with lib.maintainers; [ vyp lblasc ];
license.fullName = "MIT/X11";
};
};
@@ -215,6 +215,11 @@ install the package
environment variable and add dependent libraries to script's `LUA_PATH` and
`LUA_CPATH`.
+It accepts as arguments:
+
+* 'luarocksConfig': a nix value that directly maps to the luarocks config used during
+ the installation
+
By default `meta.platforms` is set to the same value as the interpreter unless overridden otherwise.
#### `buildLuaApplication` function {#buildluaapplication-function}
@@ -229,30 +234,20 @@ The `lua.withPackages` takes a function as an argument that is passed the set of
Using the `withPackages` function, the previous example for the luafilesystem environment can be written like this:
```nix
-with import {};
-
lua.withPackages (ps: [ps.luafilesystem])
```
`withPackages` passes the correct package set for the specific interpreter version as an argument to the function. In the above example, `ps` equals `luaPackages`.
-But you can also easily switch to using `lua5_2`:
+But you can also easily switch to using `lua5_1`:
```nix
-with import {};
-
-lua5_2.withPackages (ps: [ps.lua])
+lua5_1.withPackages (ps: [ps.lua])
```
-Now, `ps` is set to `lua52Packages`, matching the version of the interpreter.
+Now, `ps` is set to `lua5_1.pkgs`, matching the version of the interpreter.
-### Possible Todos {#possible-todos}
-
-* export/use version specific variables such as `LUA_PATH_5_2`/`LUAROCKS_CONFIG_5_2`
-* let luarocks check for dependencies via exporting the different rocktrees in temporary config
-
-### Lua Contributing guidelines {#lua-contributing-guidelines}
+### Lua Contributing guidelines {#lua-contributing}
Following rules should be respected:
-* Make sure libraries build for all Lua interpreters.
* Commit names of Lua libraries should reflect that they are Lua libraries, so write for example `luaPackages.luafilesystem: 1.11 -> 1.12`.
diff --git a/doc/languages-frameworks/maven.section.md b/doc/languages-frameworks/maven.section.md
index b86733a75898..2ec419e010eb 100644
--- a/doc/languages-frameworks/maven.section.md
+++ b/doc/languages-frameworks/maven.section.md
@@ -34,11 +34,11 @@ maven.buildMavenPackage rec {
--add-flags "-jar $out/share/jd-cli/jd-cli.jar"
'';
- meta = with lib; {
+ meta = {
description = "Simple command line wrapper around JD Core Java Decompiler project";
homepage = "https://github.com/intoolswetrust/jd-cli";
- license = licenses.gpl3Plus;
- maintainers = with maintainers; [ majiir ];
+ license = lib.licenses.gpl3Plus;
+ maintainers = with lib.maintainers; [ majiir ];
};
}:
```
diff --git a/doc/languages-frameworks/ocaml.section.md b/doc/languages-frameworks/ocaml.section.md
index cbdc64bf5dd3..4f3b6e0264f7 100644
--- a/doc/languages-frameworks/ocaml.section.md
+++ b/doc/languages-frameworks/ocaml.section.md
@@ -110,11 +110,11 @@ buildDunePackage rec {
hash = "sha256-d5/3KUBAWRj8tntr4RkJ74KWW7wvn/B/m1nx0npnzyc=";
};
- meta = with lib; {
+ meta = {
homepage = "https://github.com/flowtype/ocaml-wtf8";
description = "WTF-8 is a superset of UTF-8 that allows unpaired surrogates.";
- license = licenses.mit;
- maintainers = [ maintainers.eqyiel ];
+ license = lib.licenses.mit;
+ maintainers = [ lib.maintainers.eqyiel ];
};
}
```
diff --git a/doc/languages-frameworks/python.section.md b/doc/languages-frameworks/python.section.md
index 0849aacdf166..049b395dcc25 100644
--- a/doc/languages-frameworks/python.section.md
+++ b/doc/languages-frameworks/python.section.md
@@ -7,7 +7,6 @@
| Package | Aliases | Interpreter |
|------------|-----------------|-------------|
| python27 | python2, python | CPython 2.7 |
-| python38 | | CPython 3.8 |
| python39 | | CPython 3.9 |
| python310 | | CPython 3.10 |
| python311 | python3 | CPython 3.11 |
@@ -60,7 +59,6 @@ sets are
* `pkgs.python27Packages`
* `pkgs.python3Packages`
-* `pkgs.python38Packages`
* `pkgs.python39Packages`
* `pkgs.python310Packages`
* `pkgs.python311Packages`
@@ -76,8 +74,9 @@ and the aliases
#### `buildPythonPackage` function {#buildpythonpackage-function}
-The `buildPythonPackage` function is implemented in
-`pkgs/development/interpreters/python/mk-python-derivation.nix`
+The `buildPythonPackage` function has its name binding in
+`pkgs/development/interpreters/python/python-packages-base.nix` and is
+implemented in `pkgs/development/interpreters/python/mk-python-derivation.nix`
using setup hooks.
The following is an example:
@@ -116,11 +115,11 @@ buildPythonPackage rec {
rm testing/test_argcomplete.py
'';
- nativeBuildInputs = [
+ build-system = [
setuptools-scm
];
- propagatedBuildInputs = [
+ dependencies = [
attrs
py
setuptools
@@ -132,12 +131,12 @@ buildPythonPackage rec {
hypothesis
];
- meta = with lib; {
+ meta = {
changelog = "https://github.com/pytest-dev/pytest/releases/tag/${version}";
description = "Framework for writing tests";
homepage = "https://github.com/pytest-dev/pytest";
- license = licenses.mit;
- maintainers = with maintainers; [ domenkozar lovek323 madjar lsix ];
+ license = lib.licenses.mit;
+ maintainers = with lib.maintainers; [ domenkozar lovek323 madjar lsix ];
};
}
```
@@ -172,10 +171,10 @@ following are specific to `buildPythonPackage`:
variable in wrapped programs.
* `pyproject`: Whether the pyproject format should be used. When set to `true`,
`pypaBuildHook` will be used, and you can add the required build dependencies
- from `build-system.requires` to `nativeBuildInputs`. Note that the pyproject
+ from `build-system.requires` to `build-system`. Note that the pyproject
format falls back to using `setuptools`, so you can use `pyproject = true`
even if the package only has a `setup.py`. When set to `false`, you can
- use the existing [hooks](#setup-hooks0 or provide your own logic to build the
+ use the existing [hooks](#setup-hooks) or provide your own logic to build the
package. This can be useful for packages that don't support the pyproject
format. When unset, the legacy `setuptools` hooks are used for backwards
compatibility.
@@ -206,17 +205,22 @@ build inputs (see "Specifying dependencies"). The following are of special
interest for Python packages, either because these are primarily used, or
because their behaviour is different:
-* `nativeBuildInputs ? []`: Build-time only dependencies. Typically executables
- as well as the items listed in `setup_requires`.
+* `nativeBuildInputs ? []`: Build-time only dependencies. Typically executables.
+* `build-system ? []`: Build-time only Python dependencies. Items listed in `build-system.requires`/`setup_requires`.
* `buildInputs ? []`: Build and/or run-time dependencies that need to be
compiled for the host machine. Typically non-Python libraries which are being
linked.
* `nativeCheckInputs ? []`: Dependencies needed for running the [`checkPhase`](#ssec-check-phase). These
are added to [`nativeBuildInputs`](#var-stdenv-nativeBuildInputs) when [`doCheck = true`](#var-stdenv-doCheck). Items listed in
`tests_require` go here.
-* `propagatedBuildInputs ? []`: Aside from propagating dependencies,
+* `dependencies ? []`: Aside from propagating dependencies,
`buildPythonPackage` also injects code into and wraps executables with the
paths included in this list. Items listed in `install_requires` go here.
+* `optional-dependencies ? { }`: Optional feature flagged dependencies. Items listed in `extras_requires` go here.
+
+Aside from propagating dependencies,
+ `buildPythonPackage` also injects code into and wraps executables with the
+ paths included in this list. Items listed in `extras_requires` go here.
##### Overriding Python packages {#overriding-python-packages}
@@ -299,16 +303,17 @@ python3Packages.buildPythonApplication rec {
hash = "sha256-Pe229rT0aHwA98s+nTHQMEFKZPo/yw6sot8MivFDvAw=";
};
- nativeBuildInputs = with python3Packages; [
+ build-system = with python3Packages; [
setuptools
+ wheel
];
- propagatedBuildInputs = with python3Packages; [
+ dependencies = with python3Packages; [
tornado
python-daemon
];
- meta = with lib; {
+ meta = {
# ...
};
}
@@ -462,14 +467,14 @@ are used in [`buildPythonPackage`](#buildpythonpackage-function).
- `eggBuildHook` to skip building for eggs.
- `eggInstallHook` to install eggs.
- `pipBuildHook` to build a wheel using `pip` and PEP 517. Note a build system
- (e.g. `setuptools` or `flit`) should still be added as `nativeBuildInput`.
+ (e.g. `setuptools` or `flit`) should still be added as `build-system`.
- `pypaBuildHook` to build a wheel using
[`pypa/build`](https://pypa-build.readthedocs.io/en/latest/index.html) and
PEP 517/518. Note a build system (e.g. `setuptools` or `flit`) should still
- be added as `nativeBuildInput`.
+ be added as `build-system`.
- `pipInstallHook` to install wheels.
- `pytestCheckHook` to run tests with `pytest`. See [example usage](#using-pytestcheckhook).
-- `pythonCatchConflictsHook` to check whether a Python package is not already existing.
+- `pythonCatchConflictsHook` to fail if the package depends on two different versions of the same dependency.
- `pythonImportsCheckHook` to check whether importing the listed modules works.
- `pythonRelaxDepsHook` will relax Python dependencies restrictions for the package.
See [example usage](#using-pythonrelaxdepshook).
@@ -881,7 +886,7 @@ buildPythonPackage rec {
hash = "sha256-CP3V73yWSArRHBLUct4hrNMjWZlvaaUlkpm1QP66RWA=";
};
- nativeBuildInputs = [
+ build-system = [
setuptools
wheel
];
@@ -895,12 +900,12 @@ buildPythonPackage rec {
"toolz.dicttoolz"
];
- meta = with lib; {
+ meta = {
changelog = "https://github.com/pytoolz/toolz/releases/tag/${version}";
homepage = "https://github.com/pytoolz/toolz";
description = "List processing tools and functional utilities";
- license = licenses.bsd3;
- maintainers = with maintainers; [ fridh ];
+ license = lib.licenses.bsd3;
+ maintainers = with lib.maintainers; [ fridh ];
};
}
```
@@ -941,7 +946,7 @@ with import {};
hash = "sha256-CP3V73yWSArRHBLUct4hrNMjWZlvaaUlkpm1QP66RWA=";
};
- nativeBuildInputs = [
+ build-system = [
python311.pkgs.setuptools
python311.pkgs.wheel
];
@@ -977,13 +982,15 @@ that we introduced with the `let` expression.
#### Handling dependencies {#handling-dependencies}
-Our example, `toolz`, does not have any dependencies on other Python packages or
-system libraries. According to the manual, [`buildPythonPackage`](#buildpythonpackage-function) uses the
-arguments [`buildInputs`](#var-stdenv-buildInputs) and [`propagatedBuildInputs`](#var-stdenv-propagatedBuildInputs) to specify dependencies. If
-something is exclusively a build-time dependency, then the dependency should be
-included in [`buildInputs`](#var-stdenv-buildInputs), but if it is (also) a runtime dependency, then it
-should be added to [`propagatedBuildInputs`](#var-stdenv-propagatedBuildInputs). Test dependencies are considered
-build-time dependencies and passed to [`nativeCheckInputs`](#var-stdenv-nativeCheckInputs).
+Our example, `toolz`, does not have any dependencies on other Python packages or system libraries.
+[`buildPythonPackage`](#buildpythonpackage-function) uses the the following arguments in the following circumstances:
+
+- `dependencies` - For Python runtime dependencies.
+- `build-system` - For Python build-time requirements.
+- [`buildInputs`](#var-stdenv-buildInputs) - For non-Python build-time requirements.
+- [`nativeCheckInputs`](#var-stdenv-nativeCheckInputs) - For test dependencies
+
+Dependencies can belong to multiple arguments, for example if something is both a build time requirement & a runtime dependency.
The following example shows which arguments are given to [`buildPythonPackage`](#buildpythonpackage-function) in
order to build [`datashape`](https://github.com/blaze/datashape).
@@ -1013,12 +1020,12 @@ buildPythonPackage rec {
hash = "sha256-FLLvdm1MllKrgTGC6Gb0k0deZeVYvtCCLji/B7uhong=";
};
- nativeBuildInputs = [
+ build-system = [
setuptools
wheel
];
- propagatedBuildInputs = [
+ dependencies = [
multipledispatch
numpy
python-dateutil
@@ -1028,12 +1035,12 @@ buildPythonPackage rec {
pytest
];
- meta = with lib; {
+ meta = {
changelog = "https://github.com/blaze/datashape/releases/tag/${version}";
homepage = "https://github.com/ContinuumIO/datashape";
description = "A data description language";
- license = licenses.bsd2;
- maintainers = with maintainers; [ fridh ];
+ license = lib.licenses.bsd2;
+ maintainers = with lib.maintainers; [ fridh ];
};
}
```
@@ -1041,7 +1048,7 @@ buildPythonPackage rec {
We can see several runtime dependencies, `numpy`, `multipledispatch`, and
`python-dateutil`. Furthermore, we have [`nativeCheckInputs`](#var-stdenv-nativeCheckInputs) with `pytest`.
`pytest` is a test runner and is only used during the [`checkPhase`](#ssec-check-phase) and is
-therefore not added to [`propagatedBuildInputs`](#var-stdenv-propagatedBuildInputs).
+therefore not added to `dependencies`.
In the previous case we had only dependencies on other Python packages to consider.
Occasionally you have also system libraries to consider. E.g., `lxml` provides
@@ -1068,7 +1075,7 @@ buildPythonPackage rec {
hash = "sha256-s9NiusRxFydHzaNRMjjxFcvWxfi45jGb9ql6eJJyQJk=";
};
- nativeBuildInputs = [
+ build-system = [
setuptools
wheel
];
@@ -1078,12 +1085,12 @@ buildPythonPackage rec {
libxslt
];
- meta = with lib; {
+ meta = {
changelog = "https://github.com/lxml/lxml/releases/tag/lxml-${version}";
description = "Pythonic binding for the libxml2 and libxslt libraries";
homepage = "https://lxml.de";
- license = licenses.bsd3;
- maintainers = with maintainers; [ sjourdois ];
+ license = lib.licenses.bsd3;
+ maintainers = with lib.maintainers; [ sjourdois ];
};
}
```
@@ -1125,7 +1132,7 @@ buildPythonPackage rec {
hash = "sha256-9ru2r6kwhUCaskiFoaPNuJCfCVoUL01J40byvRt4kHQ=";
};
- nativeBuildInputs = [
+ build-system = [
setuptools
wheel
];
@@ -1136,7 +1143,7 @@ buildPythonPackage rec {
fftwLongDouble
];
- propagatedBuildInputs = [
+ dependencies = [
numpy
scipy
];
@@ -1149,12 +1156,12 @@ buildPythonPackage rec {
# Tests cannot import pyfftw. pyfftw works fine though.
doCheck = false;
- meta = with lib; {
+ meta = {
changelog = "https://github.com/pyFFTW/pyFFTW/releases/tag/v${version}";
description = "A pythonic wrapper around FFTW, the FFT library, presenting a unified interface for all the supported transforms";
homepage = "http://hgomersall.github.com/pyFFTW";
- license = with licenses; [ bsd2 bsd3 ];
- maintainers = with maintainers; [ fridh ];
+ license = with lib.licenses; [ bsd2 bsd3 ];
+ maintainers = with lib.maintainers; [ fridh ];
};
}
```
@@ -1459,9 +1466,7 @@ mode is activated.
In the following example, we create a simple environment that has a Python 3.11
version of our package in it, as well as its dependencies and other packages we
-like to have in the environment, all specified with [`propagatedBuildInputs`](#var-stdenv-propagatedBuildInputs).
-Indeed, we can just add any package we like to have in our environment to
-[`propagatedBuildInputs`](#var-stdenv-propagatedBuildInputs).
+like to have in the environment, all specified with `dependencies`.
```nix
with import {};
@@ -1470,9 +1475,11 @@ with python311Packages;
buildPythonPackage rec {
name = "mypackage";
src = ./path/to/package/source;
- propagatedBuildInputs = [
+ dependencies = [
pytest
numpy
+ ];
+ propagatedBuildInputs = [
pkgs.libsndfile
];
}
@@ -1519,17 +1526,17 @@ buildPythonPackage rec {
hash = "sha256-CP3V73yWSArRHBLUct4hrNMjWZlvaaUlkpm1QP66RWA=";
};
- nativeBuildInputs = [
+ build-system = [
setuptools
wheel
];
- meta = with lib; {
+ meta = {
changelog = "https://github.com/pytoolz/toolz/releases/tag/${version}";
homepage = "https://github.com/pytoolz/toolz/";
description = "List processing tools and functional utilities";
- license = licenses.bsd3;
- maintainers = with maintainers; [ fridh ];
+ license = lib.licenses.bsd3;
+ maintainers = with lib.maintainers; [ fridh ];
};
}
```
@@ -1903,8 +1910,8 @@ configure alternatives](#sec-overlays-alternatives-blas-lapack)".
In a `setup.py` or `setup.cfg` it is common to declare dependencies:
-* `setup_requires` corresponds to [`nativeBuildInputs`](#var-stdenv-nativeBuildInputs)
-* `install_requires` corresponds to [`propagatedBuildInputs`](#var-stdenv-propagatedBuildInputs)
+* `setup_requires` corresponds to `build-system`
+* `install_requires` corresponds to `dependencies`
* `tests_require` corresponds to [`nativeCheckInputs`](#var-stdenv-nativeCheckInputs)
### How to enable interpreter optimizations? {#optimizations}
@@ -1928,12 +1935,10 @@ in mypython
Some packages define optional dependencies for additional features. With
`setuptools` this is called `extras_require` and `flit` calls it
-`extras-require`, while PEP 621 calls these `optional-dependencies`. A
-method for supporting this is by declaring the extras of a package in its
-`passthru`, e.g. in case of the package `dask`
+`extras-require`, while PEP 621 calls these `optional-dependencies`.
```nix
-passthru.optional-dependencies = {
+optional-dependencies = {
complete = [ distributed ];
};
```
@@ -1941,11 +1946,13 @@ passthru.optional-dependencies = {
and letting the package requiring the extra add the list to its dependencies
```nix
-propagatedBuildInputs = [
+dependencies = [
...
] ++ dask.optional-dependencies.complete;
```
+This method is using `passthru`, meaning that changing `optional-dependencies` of a package won't cause it to rebuild.
+
Note this method is preferred over adding parameters to builders, as that can
result in packages depending on different variants and thereby causing
collisions.
@@ -2008,6 +2015,10 @@ example of such a situation is when `py.test` is used.
* Tests that attempt to access `$HOME` can be fixed by using the following
work-around before running tests (e.g. `preCheck`): `export HOME=$(mktemp -d)`
+* Compiling with Cython causes tests to fail with a `ModuleNotLoadedError`.
+ This can be fixed with two changes in the derivation: 1) replacing `pytest` with
+ `pytestCheckHook` and 2) adding a `preCheck` containing `cd $out` to run
+ tests within the built output.
## Contributing {#contributing}
diff --git a/doc/languages-frameworks/qt.section.md b/doc/languages-frameworks/qt.section.md
index 1edceb53cfe4..dcec4b6fff42 100644
--- a/doc/languages-frameworks/qt.section.md
+++ b/doc/languages-frameworks/qt.section.md
@@ -12,7 +12,7 @@ an extra indirection.
## Nix expression for a Qt package (default.nix) {#qt-default-nix}
```nix
-{ stdenv, lib, qt6, wrapQtAppsHook }:
+{ stdenv, qt6 }:
stdenv.mkDerivation {
pname = "myapp";
@@ -23,10 +23,12 @@ stdenv.mkDerivation {
}
```
+The same goes for Qt 5 where libraries and tools are under `libsForQt5`.
+
Any Qt package should include `wrapQtAppsHook` in `nativeBuildInputs`, or explicitly set `dontWrapQtApps` to bypass generating the wrappers.
::: {.note}
-Graphical Linux applications should also include `qtwayland` in `buildInputs`, to ensure the Wayland platform plugin is available.
+Qt 6 graphical applications should also include `qtwayland` in `buildInputs` on Linux (but not on platforms e.g. Darwin, where `qtwayland` is not available), to ensure the Wayland platform plugin is available.
This may become default in the future, see [NixOS/nixpkgs#269674](https://github.com/NixOS/nixpkgs/pull/269674).
:::
diff --git a/doc/languages-frameworks/rust.section.md b/doc/languages-frameworks/rust.section.md
index 72bade25a9fb..75e57a8bb574 100644
--- a/doc/languages-frameworks/rust.section.md
+++ b/doc/languages-frameworks/rust.section.md
@@ -35,20 +35,20 @@ rustPlatform.buildRustPackage rec {
cargoHash = "sha256-jtBw4ahSl88L0iuCXxQgZVm1EcboWRJMNtjxLVTtzts=";
- meta = with lib; {
+ meta = {
description = "A fast line-oriented regex search tool, similar to ag and ack";
homepage = "https://github.com/BurntSushi/ripgrep";
- license = licenses.unlicense;
+ license = lib.licenses.unlicense;
maintainers = [];
};
}
```
-`buildRustPackage` requires either the `cargoHash` or the `cargoSha256`
-attribute which is computed over all crate sources of this package.
-`cargoSha256` is used for traditional Nix SHA-256 hashes. `cargoHash` should
-instead be used for [SRI](https://www.w3.org/TR/SRI/) hashes and should be
-preferred. For example:
+`buildRustPackage` requires either a `cargoHash` (preferred) or a
+`cargoSha256` attribute, computed over all crate sources of this package.
+`cargoHash` supports [SRI](https://www.w3.org/TR/SRI/) hashes and should be
+preferred over `cargoSha256` which was used for traditional Nix SHA-256 hashes.
+For example:
```nix
cargoHash = "sha256-l1vL2ZdtDRxSGvP0X/l3nMw8+6WF67KPutJEzUROjg8=";
@@ -64,18 +64,18 @@ Both types of hashes are permitted when contributing to nixpkgs. The
Cargo hash is obtained by inserting a fake checksum into the
expression and building the package once. The correct checksum can
then be taken from the failed build. A fake hash can be used for
-`cargoSha256` as follows:
-
-```nix
- cargoSha256 = lib.fakeSha256;
-```
-
-For `cargoHash` you can use:
+`cargoHash` as follows:
```nix
cargoHash = lib.fakeHash;
```
+For `cargoSha256` you can use:
+
+```nix
+ cargoSha256 = lib.fakeSha256;
+```
+
Per the instructions in the [Cargo Book](https://doc.rust-lang.org/cargo/guide/cargo-toml-vs-cargo-lock.html)
best practices guide, Rust applications should always commit the `Cargo.lock`
file in git to ensure a reproducible build. However, a few packages do not, and
@@ -90,7 +90,7 @@ directory into a tar.gz archive.
The tarball with vendored dependencies contains a directory with the
package's `name`, which is normally composed of `pname` and
`version`. This means that the vendored dependencies hash
-(`cargoSha256`/`cargoHash`) is dependent on the package name and
+(`cargoHash`/`cargoSha256`) is dependent on the package name and
version. The `cargoDepsName` attribute can be used to use another name
for the directory of vendored dependencies. For example, the hash can
be made invariant to the version by setting `cargoDepsName` to
@@ -115,7 +115,7 @@ rustPlatform.buildRustPackage rec {
### Importing a `Cargo.lock` file {#importing-a-cargo.lock-file}
-Using `cargoSha256` or `cargoHash` is tedious when using
+Using a vendored hash (`cargoHash`/`cargoSha256`) is tedious when using
`buildRustPackage` within a project, since it requires that the hash
is updated after every change to `Cargo.lock`. Therefore,
`buildRustPackage` also supports vendoring dependencies directly from
@@ -903,8 +903,8 @@ with import
};
let
rustPlatform = makeRustPlatform {
- cargo = rust-bin.stable.latest.minimal;
- rustc = rust-bin.stable.latest.minimal;
+ cargo = rust-bin.selectLatestNightlyWith (toolchain: toolchain.default);
+ rustc = rust-bin.selectLatestNightlyWith (toolchain: toolchain.default);
};
in
@@ -923,11 +923,11 @@ rustPlatform.buildRustPackage rec {
doCheck = false;
- meta = with lib; {
+ meta = {
description = "A fast line-oriented regex search tool, similar to ag and ack";
homepage = "https://github.com/BurntSushi/ripgrep";
- license = with licenses; [ mit unlicense ];
- maintainers = with maintainers; [];
+ license = with lib.licenses; [ mit unlicense ];
+ maintainers = with lib.maintainers; [];
};
}
```
diff --git a/doc/languages-frameworks/texlive.section.md b/doc/languages-frameworks/texlive.section.md
index 01b59f6f34a9..b6fb1099a4a4 100644
--- a/doc/languages-frameworks/texlive.section.md
+++ b/doc/languages-frameworks/texlive.section.md
@@ -181,11 +181,11 @@ let
runHook postInstall
'';
- meta = with lib; {
+ meta = {
description = "A LaTeX2e class for overhead transparencies";
- license = licenses.unfreeRedistributable;
- maintainers = with maintainers; [ veprbl ];
- platforms = platforms.all;
+ license = lib.licenses.unfreeRedistributable;
+ maintainers = with lib.maintainers; [ veprbl ];
+ platforms = lib.platforms.all;
};
};
diff --git a/doc/manpage-urls.json b/doc/manpage-urls.json
index 5739a59d9420..2cc03af4360f 100644
--- a/doc/manpage-urls.json
+++ b/doc/manpage-urls.json
@@ -318,5 +318,7 @@
"passwd(5)": "https://man.archlinux.org/man/passwd.5",
"group(5)": "https://man.archlinux.org/man/group.5",
"login.defs(5)": "https://man.archlinux.org/man/login.defs.5",
- "nix-shell(1)": "https://nixos.org/manual/nix/stable/command-ref/nix-shell.html"
+ "unshare(1)": "https://man.archlinux.org/man/unshare.1.en",
+ "nix-shell(1)": "https://nixos.org/manual/nix/stable/command-ref/nix-shell.html",
+ "mksquashfs(1)": "https://man.archlinux.org/man/extra/squashfs-tools/mksquashfs.1.en"
}
diff --git a/doc/overrides.css b/doc/overrides.css
deleted file mode 100644
index 73901a3f543b..000000000000
--- a/doc/overrides.css
+++ /dev/null
@@ -1,22 +0,0 @@
-.docbook .xref img[src^=images\/callouts\/],
-.screen img,
-.programlisting img,
-.literallayout img,
-.synopsis img {
- width: 1em;
-}
-
-.calloutlist img {
- width: 1.5em;
-}
-
-.prompt,
-.screen img,
-.programlisting img,
-.literallayout img,
-.synopsis img {
- -moz-user-select: none;
- -webkit-user-select: none;
- -ms-user-select: none;
- user-select: none;
-}
diff --git a/doc/packages/darwin-builder.section.md b/doc/packages/darwin-builder.section.md
index 0c5323705345..3a547de53705 100644
--- a/doc/packages/darwin-builder.section.md
+++ b/doc/packages/darwin-builder.section.md
@@ -1,5 +1,12 @@
# darwin.linux-builder {#sec-darwin-builder}
+:::{.warning}
+By default, `darwin.linux-builder` uses a publicly-known private SSH **host key** (this is different from the SSH key used by the user that connects to the builder).
+
+Given the intended use case for it (a Linux builder that runs **on the same machine**), this shouldn't be an issue.
+However, if you plan to deviate from this use case in any way (e.g. by exposing this builder to remote machines), you should understand the security implications of doing so and take any appropriate measures.
+:::
+
`darwin.linux-builder` provides a way to bootstrap a Linux remote builder on a macOS machine.
This requires macOS version 12.4 or later.
@@ -97,8 +104,9 @@ $ sudo launchctl kickstart -k system/org.nixos.nix-daemon
{ virtualisation = {
host.pkgs = pkgs;
darwin-builder.workingDirectory = "/var/lib/darwin-builder";
+ darwin-builder.hostPort = 22;
};
- };
+ }
];
};
in {
@@ -110,7 +118,9 @@ $ sudo launchctl kickstart -k system/org.nixos.nix-daemon
{
nix.distributedBuilds = true;
nix.buildMachines = [{
- hostName = "ssh://builder@localhost";
+ hostName = "localhost";
+ sshUser = "builder";
+ sshKey = "/etc/nix/builder_ed25519";
system = linuxSystem;
maxJobs = 4;
supportedFeatures = [ "kvm" "benchmark" "big-parallel" ];
diff --git a/doc/stdenv/meta.chapter.md b/doc/stdenv/meta.chapter.md
index c187f0602a1e..4a3b04b8f6e4 100644
--- a/doc/stdenv/meta.chapter.md
+++ b/doc/stdenv/meta.chapter.md
@@ -3,16 +3,16 @@
Nix packages can declare *meta-attributes* that contain information about a package such as a description, its homepage, its license, and so on. For instance, the GNU Hello package has a `meta` declaration like this:
```nix
-meta = with lib; {
+meta = {
description = "A program that produces a familiar, friendly greeting";
longDescription = ''
GNU Hello is a program that prints "Hello, world!" when you run it.
It is fully customizable.
'';
homepage = "https://www.gnu.org/software/hello/manual/";
- license = licenses.gpl3Plus;
- maintainers = with maintainers; [ eelco ];
- platforms = platforms.all;
+ license = lib.licenses.gpl3Plus;
+ maintainers = with lib.maintainers; [ eelco ];
+ platforms = lib.platforms.all;
};
```
diff --git a/doc/style.css b/doc/style.css
index 474dd32e3fb1..fddf4f4823c7 100644
--- a/doc/style.css
+++ b/doc/style.css
@@ -1,291 +1,416 @@
-/* Copied from http://bakefile.sourceforge.net/, which appears
- licensed under the GNU GPL. */
-
-
-/***************************************************************************
- Basic headers and text:
- ***************************************************************************/
-
-body
-{
- font-family: "Nimbus Sans L", sans-serif;
- font-size: 1em;
- background: white;
- margin: 2em 1em 2em 1em;
+html {
+ line-height: 1.15;
+ -webkit-text-size-adjust: 100%;
}
-h1, h2, h3, h4
-{
- color: #005aa0;
+body {
+ margin: 0;
}
-h1 /* title */
-{
- font-size: 200%;
-}
-
-h2 /* chapters, appendices, subtitle */
-{
- font-size: 180%;
-}
-
-div.book
-{
- text-align: center;
-}
-
-div.book > div
-{
- /*
- * based on https://medium.com/@zkareemz/golden-ratio-62b3b6d4282a
- * we do 70 characters per line to fit code listings better
- * 70 * (font-size / 1.618)
- * expression for emacs:
- * (* 70 (/ 1 1.618))
- */
- max-width: 43.2em;
- text-align: left;
+.book {
margin: auto;
+ width: 100%;
}
-/* Extra space between chapters, appendices. */
-div.chapter > div.titlepage h2, div.appendix > div.titlepage h2
-{
- margin-top: 1.5em;
+@media screen and (min-width: 768px) {
+ .book {
+ max-width: 46rem;
+ }
}
-div.section > div.titlepage h2 /* sections */
-{
- font-size: 150%;
- margin-top: 1.5em;
+@media screen and (min-width: 992px) {
+ .book {
+ max-width: 60rem;
+ }
}
-h3 /* subsections */
-{
- font-size: 125%;
+@media screen and (min-width: 1200px) {
+ .book {
+ max-width: 73rem;
+ }
}
-div.simplesect h2
-{
- font-size: 110%;
+.book .list-of-examples {
+ display: none;
}
-div.appendix h3
-{
- font-size: 150%;
- margin-top: 1.5em;
+h1 {
+ font-size: 2em;
+ margin: 0.67em 0;
}
-div.refnamediv h2, div.refsynopsisdiv h2, div.refsection h2 /* refentry parts */
-{
- margin-top: 1.4em;
- font-size: 125%;
+hr {
+ box-sizing: content-box;
+ height: 0;
+ overflow: visible;
}
-div.refsection h3
-{
- font-size: 110%;
+pre {
+ font-family: monospace, monospace;
+ font-size: 1em;
}
-
-/***************************************************************************
- Examples:
- ***************************************************************************/
-
-div.example
-{
- border: 1px solid #b0b0b0;
- padding: 6px 6px;
- margin-left: 1.5em;
- margin-right: 1.5em;
- background: #f4f4f8;
- border-radius: 0.4em;
- box-shadow: 0.4em 0.4em 0.5em #e0e0e0;
+a {
+ background-color: transparent;
}
-div.example p.title
-{
- margin-top: 0em;
+strong {
+ font-weight: bolder;
}
-div.example pre
-{
- box-shadow: none;
+code {
+ font-family: monospace, monospace;
+ font-size: 1em;
}
-
-/***************************************************************************
- Screen dumps:
- ***************************************************************************/
-
-pre.screen, pre.programlisting
-{
- border: 1px solid #b0b0b0;
- padding: 3px 3px;
- margin-left: 0.5em;
- margin-right: 0.5em;
-
- background: #f4f4f8;
- font-family: monospace;
- border-radius: 0.4em;
- box-shadow: 0.4em 0.4em 0.5em #e0e0e0;
+sup {
+ font-size: 75%;
+ line-height: 0;
+ position: relative;
+ vertical-align: baseline;
}
-div.example pre.programlisting
-{
- border: 0px;
- padding: 0 0;
- margin: 0 0 0 0;
+sup {
+ top: -0.5em;
}
-/***************************************************************************
- Notes, warnings etc:
- ***************************************************************************/
-
-.note, .warning
-{
- border: 1px solid #b0b0b0;
- padding: 3px 3px;
- margin-left: 1.5em;
- margin-right: 1.5em;
- margin-bottom: 1em;
- padding: 0.3em 0.3em 0.3em 0.3em;
- background: #fffff5;
- border-radius: 0.4em;
- box-shadow: 0.4em 0.4em 0.5em #e0e0e0;
+::-webkit-file-upload-button {
+ -webkit-appearance: button;
+ font: inherit;
}
-div.note, div.warning
-{
- font-style: italic;
+pre {
+ overflow: auto;
}
-div.note h3, div.warning h3
-{
- color: red;
+*,
+*::before,
+*::after {
+ box-sizing: border-box;
+}
+
+html {
font-size: 100%;
- padding-right: 0.5em;
- display: inline;
+ line-height: 1.77777778;
}
-div.note p, div.warning p
-{
- margin-bottom: 0em;
+@media screen and (min-width: 4000px) {
+ html {
+ background: #000;
+ }
+
+ html body {
+ margin: auto;
+ max-width: 250rem;
+ }
}
-div.note h3 + p, div.warning h3 + p
-{
- display: inline;
+@media screen and (max-width: 320px) {
+ html {
+ font-size: calc(16 / 320 * 100vw);
+ }
}
-div.note h3
-{
- color: blue;
- font-size: 100%;
+body {
+ font-size: 1rem;
+ font-family: 'Roboto', sans-serif;
+ font-weight: 300;
+ color: #000000;
+ background-color: #ffffff;
+ min-height: 100vh;
+ display: flex;
+ flex-direction: column;
}
-div.navfooter *
-{
- font-size: 90%;
+@media screen and (max-width: 767.9px) {
+ body {
+ padding-left: 1rem;
+ padding-right: 1rem;
+ }
}
-
-/***************************************************************************
- Links colors and highlighting:
- ***************************************************************************/
-
-a { text-decoration: none; }
-a:hover { text-decoration: underline; }
-a:link { color: #0048b3; }
-a:visited { color: #002a6a; }
-
-
-/***************************************************************************
- Table of contents:
- ***************************************************************************/
-
-div.toc
-{
- font-size: 90%;
+a {
+ text-decoration: none;
+ border-bottom: 1px solid;
+ color: #405d99;
}
-div.toc dl
-{
- margin-top: 0em;
- margin-bottom: 0em;
+ul {
+ padding: 0;
+ margin-top: 0;
+ margin-right: 0;
+ margin-bottom: 1rem;
+ margin-left: 1rem;
}
-
-/***************************************************************************
- Special elements:
- ***************************************************************************/
-
-tt, code
-{
- color: #400000;
-}
-
-.term
-{
- font-weight: bold;
-
-}
-
-div.variablelist dd p, div.glosslist dd p
-{
- margin-top: 0em;
-}
-
-div.variablelist dd, div.glosslist dd
-{
- margin-left: 1.5em;
-}
-
-div.glosslist dt
-{
- font-style: italic;
-}
-
-.varname
-{
- color: #400000;
-}
-
-span.command strong
-{
- font-weight: normal;
- color: #400000;
-}
-
-div.calloutlist table
-{
- box-shadow: none;
-}
-
-table
-{
+table {
border-collapse: collapse;
- box-shadow: 0.4em 0.4em 0.5em #e0e0e0;
+ width: 100%;
+ margin-bottom: 1rem;
}
-table.simplelist
-{
+thead th {
text-align: left;
- color: #005aa0;
+}
+
+hr {
+ margin-top: 1rem;
+ margin-bottom: 1rem;
+}
+
+h1 {
+ font-weight: 800;
+ line-height: 110%;
+ font-size: 200%;
+ margin-bottom: 1rem;
+ color: #6586c8;
+}
+
+h2 {
+ font-weight: 800;
+ line-height: 110%;
+ font-size: 170%;
+ margin-bottom: 0.625rem;
+ color: #6586c8;
+}
+
+h2:not(:first-child) {
+ margin-top: 1rem;
+}
+
+h3 {
+ font-weight: 800;
+ line-height: 110%;
+ margin-bottom: 1rem;
+ font-size: 150%;
+ color: #6586c8;
+}
+
+.note h3,
+.tip h3,
+.warning h3,
+.caution h3,
+.important h3 {
+ font-size: 120%;
+}
+
+h4 {
+ font-weight: 800;
+ line-height: 110%;
+ margin-bottom: 1rem;
+ font-size: 140%;
+ color: #6586c8;
+}
+
+h5 {
+ font-weight: 800;
+ line-height: 110%;
+ margin-bottom: 1rem;
+ font-size: 130%;
+ color: #6a6a6a;
+}
+
+h6 {
+ font-weight: 800;
+ line-height: 110%;
+ margin-bottom: 1rem;
+ font-size: 120%
+}
+
+strong {
+ font-weight: bold;
+}
+
+p {
+ margin-top: 0;
+ margin-bottom: 1rem;
+}
+
+dt>*:first-child,
+dd>*:first-child {
+ margin-top: 0;
+}
+
+dt>*:last-child,
+dd>*:last-child {
+ margin-bottom: 0;
+}
+
+pre,
+code {
+ font-family: monospace;
+}
+
+code {
+ color: #ff8657;
+ background: #f4f4f4;
+ display: inline-block;
+ padding: 0 0.5rem;
+ border: 1px solid #d8d8d8;
+ border-radius: 0.5rem;
+ line-height: 1.57777778;
+}
+
+div.book .programlisting,
+div.appendix .programlisting {
+ border-radius: 0.5rem;
+ padding: 1rem;
+ overflow: auto;
+ background: #f2f8fd;
+ color: #000000;
+}
+
+div.book .note,
+div.book .tip,
+div.book .warning,
+div.book .caution,
+div.book .important,
+div.appendix .note,
+div.appendix .tip,
+div.appendix .warning,
+div.appendix .caution,
+div.appendix .important {
+ margin-bottom: 1rem;
+ border-radius: 0.5rem;
+ padding: 1.5rem;
+ overflow: auto;
+ background: #f4f4f4;
+}
+
+div.book .note>.title,
+div.book .tip>.title,
+div.book .warning>.title,
+div.book .caution>.title,
+div.book .important>.title,
+div.appendix .note>.title,
+div.appendix .tip>.title,
+div.appendix .warning>.title,
+div.appendix .caution>.title,
+div.appendix .important>.title {
+ font-weight: 800;
+ /* font-family: 'Overpass', serif; */
+ line-height: 110%;
+ margin-bottom: 1rem;
+ color: inherit;
+ margin-bottom: 0;
+}
+
+div.book .note> :first-child,
+div.book .tip> :first-child,
+div.book .warning> :first-child,
+div.book .caution> :first-child,
+div.book .important> :first-child,
+div.appendix .note> :first-child,
+div.appendix .tip> :first-child,
+div.appendix .warning> :first-child,
+div.appendix .caution> :first-child,
+div.appendix .important> :first-child {
+ margin-top: 0;
+}
+
+div.book .note> :last-child,
+div.book .tip> :last-child,
+div.book .warning> :last-child,
+div.book .caution> :last-child,
+div.book .important> :last-child,
+div.appendix .note> :last-child,
+div.appendix .tip> :last-child,
+div.appendix .warning> :last-child,
+div.appendix .caution> :last-child,
+div.appendix .important> :last-child {
+ margin-bottom: 0;
+}
+
+div.book .note,
+div.book .tip,
+div.appendix .note,
+div.appendix .tip {
+ color: #5277c3;
+ background: #f2f8fd;
+}
+
+div.book .warning,
+div.book .caution,
+div.appendix .warning,
+div.appendix .caution {
+ color: #cc3900;
+ background-color: #fff5e1;
+}
+
+div.book .section,
+div.appendix .section {
+ margin-top: 2em;
+}
+
+div.book div.example,
+div.appendix div.example {
+ margin-top: 1.5em;
+}
+
+div.book br.example-break,
+div.appendix br.example-break {
+ display: none;
+}
+
+div.book div.footnotes>hr,
+div.appendix div.footnotes>hr {
+ border-color: #d8d8d8;
+}
+
+div.book div.footnotes>br,
+div.appendix div.footnotes>br {
+ display: none;
+}
+
+div.book dt,
+div.appendix dt {
+ margin-top: 1em;
+}
+
+div.book .toc dt,
+div.appendix .toc dt {
+ margin-top: 0;
+}
+
+div.book .list-of-examples dt,
+div.appendix .list-of-examples dt {
+ margin-top: 0;
+}
+
+div.book code,
+div.appendix code {
+ padding: 0;
border: 0;
- padding: 5px;
- background: #fffff5;
- font-weight: normal;
- font-style: italic;
- box-shadow: none;
- margin-bottom: 1em;
+ background-color: inherit;
+ color: inherit;
+ font-size: 100%;
+ -webkit-hyphens: none;
+ -moz-hyphens: none;
+ hyphens: none;
}
-div.navheader table, div.navfooter table {
- box-shadow: none;
+div.book div.toc,
+div.appendix div.toc {
+ margin-bottom: 3em;
+ border-bottom: 0.0625rem solid #d8d8d8;
}
-div.affiliation
-{
- font-style: italic;
+div.book div.toc dd,
+div.appendix div.toc dd {
+ margin-left: 2em;
+}
+
+div.book span.command,
+div.appendix span.command {
+ font-family: monospace;
+ -webkit-hyphens: none;
+ -moz-hyphens: none;
+ hyphens: none;
+}
+
+div.book .informaltable th,
+div.book .informaltable td,
+div.appendix .informaltable th,
+div.appendix .informaltable td {
+ padding: 0.5rem;
}
diff --git a/flake.nix b/flake.nix
index 580f572ff32c..d920d5d0ddda 100644
--- a/flake.nix
+++ b/flake.nix
@@ -27,7 +27,19 @@
# We set it to null, to remove the "legacy" entrypoint's
# non-hermetic default.
system = null;
- } // args
+
+ modules = args.modules ++ [
+ # This module is injected here since it exposes the nixpkgs self-path in as
+ # constrained of contexts as possible to avoid more things depending on it and
+ # introducing unnecessary potential fragility to changes in flakes itself.
+ #
+ # See: failed attempt to make pkgs.path not copy when using flakes:
+ # https://github.com/NixOS/nixpkgs/pull/153594#issuecomment-1023287913
+ ({ config, pkgs, lib, ... }: {
+ config.nixpkgs.flake.source = self.outPath;
+ })
+ ];
+ } // builtins.removeAttrs args [ "modules" ]
);
});
diff --git a/lib/.version b/lib/.version
new file mode 100644
index 000000000000..420f61e8c7f6
--- /dev/null
+++ b/lib/.version
@@ -0,0 +1 @@
+24.05
\ No newline at end of file
diff --git a/lib/asserts.nix b/lib/asserts.nix
index 8d0a621f4c1c..c7900c5d6c63 100644
--- a/lib/asserts.nix
+++ b/lib/asserts.nix
@@ -2,47 +2,87 @@
rec {
- /* Throw if pred is false, else return pred.
- Intended to be used to augment asserts with helpful error messages.
+ /**
+ Throw if pred is false, else return pred.
+ Intended to be used to augment asserts with helpful error messages.
- Example:
- assertMsg false "nope"
- stderr> error: nope
+ # Inputs
- assert assertMsg ("foo" == "bar") "foo is not bar, silly"; ""
- stderr> error: foo is not bar, silly
+ `pred`
- Type:
- assertMsg :: Bool -> String -> Bool
+ : Predicate that needs to succeed, otherwise `msg` is thrown
+
+ `msg`
+
+ : Message to throw in case `pred` fails
+
+ # Type
+
+ ```
+ assertMsg :: Bool -> String -> Bool
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.asserts.assertMsg` usage example
+
+ ```nix
+ assertMsg false "nope"
+ stderr> error: nope
+ assert assertMsg ("foo" == "bar") "foo is not bar, silly"; ""
+ stderr> error: foo is not bar, silly
+ ```
+
+ :::
*/
# TODO(Profpatsch): add tests that check stderr
assertMsg =
- # Predicate that needs to succeed, otherwise `msg` is thrown
pred:
- # Message to throw in case `pred` fails
msg:
pred || builtins.throw msg;
- /* Specialized `assertMsg` for checking if `val` is one of the elements
- of the list `xs`. Useful for checking enums.
+ /**
+ Specialized `assertMsg` for checking if `val` is one of the elements
+ of the list `xs`. Useful for checking enums.
- Example:
- let sslLibrary = "libressl";
- in assertOneOf "sslLibrary" sslLibrary [ "openssl" "bearssl" ]
- stderr> error: sslLibrary must be one of [
- stderr> "openssl"
- stderr> "bearssl"
- stderr> ], but is: "libressl"
+ # Inputs
- Type:
- assertOneOf :: String -> ComparableVal -> List ComparableVal -> Bool
+ `name`
+
+ : The name of the variable the user entered `val` into, for inclusion in the error message
+
+ `val`
+
+ : The value of what the user provided, to be compared against the values in `xs`
+
+ `xs`
+
+ : The list of valid values
+
+ # Type
+
+ ```
+ assertOneOf :: String -> ComparableVal -> List ComparableVal -> Bool
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.asserts.assertOneOf` usage example
+
+ ```nix
+ let sslLibrary = "libressl";
+ in assertOneOf "sslLibrary" sslLibrary [ "openssl" "bearssl" ]
+ stderr> error: sslLibrary must be one of [
+ stderr> "openssl"
+ stderr> "bearssl"
+ stderr> ], but is: "libressl"
+ ```
+
+ :::
*/
assertOneOf =
- # The name of the variable the user entered `val` into, for inclusion in the error message
name:
- # The value of what the user provided, to be compared against the values in `xs`
val:
- # The list of valid values
xs:
assertMsg
(lib.elem val xs)
@@ -50,29 +90,51 @@ rec {
lib.generators.toPretty {} xs}, but is: ${
lib.generators.toPretty {} val}";
- /* Specialized `assertMsg` for checking if every one of `vals` is one of the elements
- of the list `xs`. Useful for checking lists of supported attributes.
+ /**
+ Specialized `assertMsg` for checking if every one of `vals` is one of the elements
+ of the list `xs`. Useful for checking lists of supported attributes.
- Example:
- let sslLibraries = [ "libressl" "bearssl" ];
- in assertEachOneOf "sslLibraries" sslLibraries [ "openssl" "bearssl" ]
- stderr> error: each element in sslLibraries must be one of [
- stderr> "openssl"
- stderr> "bearssl"
- stderr> ], but is: [
- stderr> "libressl"
- stderr> "bearssl"
- stderr> ]
+ # Inputs
- Type:
- assertEachOneOf :: String -> List ComparableVal -> List ComparableVal -> Bool
+ `name`
+
+ : The name of the variable the user entered `val` into, for inclusion in the error message
+
+ `vals`
+
+ : The list of values of what the user provided, to be compared against the values in `xs`
+
+ `xs`
+
+ : The list of valid values
+
+ # Type
+
+ ```
+ assertEachOneOf :: String -> List ComparableVal -> List ComparableVal -> Bool
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.asserts.assertEachOneOf` usage example
+
+ ```nix
+ let sslLibraries = [ "libressl" "bearssl" ];
+ in assertEachOneOf "sslLibraries" sslLibraries [ "openssl" "bearssl" ]
+ stderr> error: each element in sslLibraries must be one of [
+ stderr> "openssl"
+ stderr> "bearssl"
+ stderr> ], but is: [
+ stderr> "libressl"
+ stderr> "bearssl"
+ stderr> ]
+ ```
+
+ :::
*/
assertEachOneOf =
- # The name of the variable the user entered `val` into, for inclusion in the error message
name:
- # The list of values of what the user provided, to be compared against the values in `xs`
vals:
- # The list of valid values
xs:
assertMsg
(lib.all (val: lib.elem val xs) vals)
diff --git a/lib/attrsets.nix b/lib/attrsets.nix
index 0e896a93156d..de5968b95348 100644
--- a/lib/attrsets.nix
+++ b/lib/attrsets.nix
@@ -1,46 +1,70 @@
-/* Operations on attribute sets. */
+/**
+ Operations on attribute sets.
+*/
{ lib }:
let
- inherit (builtins) head tail length;
- inherit (lib.trivial) id mergeAttrs warn;
+ inherit (builtins) head length;
+ inherit (lib.trivial) mergeAttrs warn;
inherit (lib.strings) concatStringsSep concatMapStringsSep escapeNixIdentifier sanitizeDerivationName;
- inherit (lib.lists) foldr foldl' concatMap concatLists elemAt all partition groupBy take foldl;
+ inherit (lib.lists) foldr foldl' concatMap elemAt all partition groupBy take foldl;
in
rec {
inherit (builtins) attrNames listToAttrs hasAttr isAttrs getAttr removeAttrs;
- /* Return an attribute from nested attribute sets.
+ /**
+ Return an attribute from nested attribute sets.
- Nix has an [attribute selection operator `. or`](https://nixos.org/manual/nix/stable/language/operators#attribute-selection) which is sufficient for such queries, as long as the number of attributes is static. For example:
+ Nix has an [attribute selection operator `. or`](https://nixos.org/manual/nix/stable/language/operators#attribute-selection) which is sufficient for such queries, as long as the number of attributes is static. For example:
- ```nix
- (x.a.b or 6) == attrByPath ["a" "b"] 6 x
- # and
- (x.${f p}."example.com" or 6) == attrByPath [ (f p) "example.com" ] 6 x
- ```
+ ```nix
+ (x.a.b or 6) == attrByPath ["a" "b"] 6 x
+ # and
+ (x.${f p}."example.com" or 6) == attrByPath [ (f p) "example.com" ] 6 x
+ ```
- Example:
- x = { a = { b = 3; }; }
- # ["a" "b"] is equivalent to x.a.b
- # 6 is a default value to return if the path does not exist in attrset
- attrByPath ["a" "b"] 6 x
- => 3
- attrByPath ["z" "z"] 6 x
- => 6
- Type:
- attrByPath :: [String] -> Any -> AttrSet -> Any
+ # Inputs
+ `attrPath`
+
+ : A list of strings representing the attribute path to return from `set`
+
+ `default`
+
+ : Default value if `attrPath` does not resolve to an existing value
+
+ `set`
+
+ : The nested attribute set to select values from
+
+ # Type
+
+ ```
+ attrByPath :: [String] -> Any -> AttrSet -> Any
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.attrByPath` usage example
+
+ ```nix
+ x = { a = { b = 3; }; }
+ # ["a" "b"] is equivalent to x.a.b
+ # 6 is a default value to return if the path does not exist in attrset
+ attrByPath ["a" "b"] 6 x
+ => 3
+ attrByPath ["z" "z"] 6 x
+ => 6
+ ```
+
+ :::
*/
attrByPath =
- # A list of strings representing the attribute path to return from `set`
attrPath:
- # Default value if `attrPath` does not resolve to an existing value
default:
- # The nested attribute set to select values from
set:
let
lenAttrPath = length attrPath;
@@ -57,37 +81,57 @@ rec {
in
attrByPath' 0 set;
- /* Return if an attribute from nested attribute set exists.
+ /**
+ Return if an attribute from nested attribute set exists.
- Nix has a [has attribute operator `?`](https://nixos.org/manual/nix/stable/language/operators#has-attribute), which is sufficient for such queries, as long as the number of attributes is static. For example:
+ Nix has a [has attribute operator `?`](https://nixos.org/manual/nix/stable/language/operators#has-attribute), which is sufficient for such queries, as long as the number of attributes is static. For example:
- ```nix
- (x?a.b) == hasAttryByPath ["a" "b"] x
- # and
- (x?${f p}."example.com") == hasAttryByPath [ (f p) "example.com" ] x
- ```
+ ```nix
+ (x?a.b) == hasAttryByPath ["a" "b"] x
+ # and
+ (x?${f p}."example.com") == hasAttryByPath [ (f p) "example.com" ] x
+ ```
- **Laws**:
- 1. ```nix
- hasAttrByPath [] x == true
- ```
+ **Laws**:
+ 1. ```nix
+ hasAttrByPath [] x == true
+ ```
- Example:
- x = { a = { b = 3; }; }
- hasAttrByPath ["a" "b"] x
- => true
- hasAttrByPath ["z" "z"] x
- => false
- hasAttrByPath [] (throw "no need")
- => true
- Type:
- hasAttrByPath :: [String] -> AttrSet -> Bool
+ # Inputs
+
+ `attrPath`
+
+ : A list of strings representing the attribute path to check from `set`
+
+ `e`
+
+ : The nested attribute set to check
+
+ # Type
+
+ ```
+ hasAttrByPath :: [String] -> AttrSet -> Bool
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.hasAttrByPath` usage example
+
+ ```nix
+ x = { a = { b = 3; }; }
+ hasAttrByPath ["a" "b"] x
+ => true
+ hasAttrByPath ["z" "z"] x
+ => false
+ hasAttrByPath [] (throw "no need")
+ => true
+ ```
+
+ :::
*/
hasAttrByPath =
- # A list of strings representing the attribute path to check from `set`
attrPath:
- # The nested attribute set to check
e:
let
lenAttrPath = length attrPath;
@@ -103,7 +147,7 @@ rec {
in
hasAttrByPath' 0 e;
- /*
+ /**
Return the longest prefix of an attribute path that refers to an existing attribute in a nesting of attribute sets.
Can be used after [`mapAttrsRecursiveCond`](#function-library-lib.attrsets.mapAttrsRecursiveCond) to apply a condition,
@@ -120,24 +164,43 @@ rec {
hasAttrByPath (attrsets.longestValidPathPrefix p x) x == true
```
- Example:
- x = { a = { b = 3; }; }
- attrsets.longestValidPathPrefix ["a" "b" "c"] x
- => ["a" "b"]
- attrsets.longestValidPathPrefix ["a"] x
- => ["a"]
- attrsets.longestValidPathPrefix ["z" "z"] x
- => []
- attrsets.longestValidPathPrefix ["z" "z"] (throw "no need")
- => []
- Type:
- attrsets.longestValidPathPrefix :: [String] -> Value -> [String]
+ # Inputs
+
+ `attrPath`
+
+ : A list of strings representing the longest possible path that may be returned.
+
+ `v`
+
+ : The nested attribute set to check.
+
+ # Type
+
+ ```
+ attrsets.longestValidPathPrefix :: [String] -> Value -> [String]
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.longestValidPathPrefix` usage example
+
+ ```nix
+ x = { a = { b = 3; }; }
+ attrsets.longestValidPathPrefix ["a" "b" "c"] x
+ => ["a" "b"]
+ attrsets.longestValidPathPrefix ["a"] x
+ => ["a"]
+ attrsets.longestValidPathPrefix ["z" "z"] x
+ => []
+ attrsets.longestValidPathPrefix ["z" "z"] (throw "no need")
+ => []
+ ```
+
+ :::
*/
longestValidPathPrefix =
- # A list of strings representing the longest possible path that may be returned.
attrPath:
- # The nested attribute set to check.
v:
let
lenAttrPath = length attrPath;
@@ -168,19 +231,39 @@ rec {
in
getPrefixForSetAtIndex v 0;
- /* Create a new attribute set with `value` set at the nested attribute location specified in `attrPath`.
+ /**
+ Create a new attribute set with `value` set at the nested attribute location specified in `attrPath`.
- Example:
- setAttrByPath ["a" "b"] 3
- => { a = { b = 3; }; }
- Type:
- setAttrByPath :: [String] -> Any -> AttrSet
+ # Inputs
+
+ `attrPath`
+
+ : A list of strings representing the attribute path to set
+
+ `value`
+
+ : The value to set at the location described by `attrPath`
+
+ # Type
+
+ ```
+ setAttrByPath :: [String] -> Any -> AttrSet
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.setAttrByPath` usage example
+
+ ```nix
+ setAttrByPath ["a" "b"] 3
+ => { a = { b = 3; }; }
+ ```
+
+ :::
*/
setAttrByPath =
- # A list of strings representing the attribute path to set
attrPath:
- # The value to set at the location described by `attrPath`
value:
let
len = length attrPath;
@@ -190,48 +273,89 @@ rec {
else { ${elemAt attrPath n} = atDepth (n + 1); };
in atDepth 0;
- /* Like `attrByPath`, but without a default value. If it doesn't find the
- path it will throw an error.
+ /**
+ Like `attrByPath`, but without a default value. If it doesn't find the
+ path it will throw an error.
- Nix has an [attribute selection operator](https://nixos.org/manual/nix/stable/language/operators#attribute-selection) which is sufficient for such queries, as long as the number of attributes is static. For example:
+ Nix has an [attribute selection operator](https://nixos.org/manual/nix/stable/language/operators#attribute-selection) which is sufficient for such queries, as long as the number of attributes is static. For example:
```nix
- x.a.b == getAttrByPath ["a" "b"] x
- # and
- x.${f p}."example.com" == getAttrByPath [ (f p) "example.com" ] x
- ```
+ x.a.b == getAttrByPath ["a" "b"] x
+ # and
+ x.${f p}."example.com" == getAttrByPath [ (f p) "example.com" ] x
+ ```
- Example:
- x = { a = { b = 3; }; }
- getAttrFromPath ["a" "b"] x
- => 3
- getAttrFromPath ["z" "z"] x
- => error: cannot find attribute `z.z'
- Type:
- getAttrFromPath :: [String] -> AttrSet -> Any
+ # Inputs
+
+ `attrPath`
+
+ : A list of strings representing the attribute path to get from `set`
+
+ `set`
+
+ : The nested attribute set to find the value in.
+
+ # Type
+
+ ```
+ getAttrFromPath :: [String] -> AttrSet -> Any
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.getAttrFromPath` usage example
+
+ ```nix
+ x = { a = { b = 3; }; }
+ getAttrFromPath ["a" "b"] x
+ => 3
+ getAttrFromPath ["z" "z"] x
+ => error: cannot find attribute `z.z'
+ ```
+
+ :::
*/
getAttrFromPath =
- # A list of strings representing the attribute path to get from `set`
attrPath:
- # The nested attribute set to find the value in.
set:
- let errorMsg = "cannot find attribute `" + concatStringsSep "." attrPath + "'";
- in attrByPath attrPath (abort errorMsg) set;
+ attrByPath attrPath (abort ("cannot find attribute `" + concatStringsSep "." attrPath + "'")) set;
- /* Map each attribute in the given set and merge them into a new attribute set.
+ /**
+ Map each attribute in the given set and merge them into a new attribute set.
- Type:
- concatMapAttrs :: (String -> a -> AttrSet) -> AttrSet -> AttrSet
- Example:
- concatMapAttrs
- (name: value: {
- ${name} = value;
- ${name + value} = value;
- })
- { x = "a"; y = "b"; }
- => { x = "a"; xa = "a"; y = "b"; yb = "b"; }
+ # Inputs
+
+ `f`
+
+ : 1\. Function argument
+
+ `v`
+
+ : 2\. Function argument
+
+ # Type
+
+ ```
+ concatMapAttrs :: (String -> a -> AttrSet) -> AttrSet -> AttrSet
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.concatMapAttrs` usage example
+
+ ```nix
+ concatMapAttrs
+ (name: value: {
+ ${name} = value;
+ ${name + value} = value;
+ })
+ { x = "a"; y = "b"; }
+ => { x = "a"; xa = "a"; y = "b"; yb = "b"; }
+ ```
+
+ :::
*/
concatMapAttrs = f: v:
foldl' mergeAttrs { }
@@ -240,49 +364,61 @@ rec {
);
- /* Update or set specific paths of an attribute set.
+ /**
+ Update or set specific paths of an attribute set.
- Takes a list of updates to apply and an attribute set to apply them to,
- and returns the attribute set with the updates applied. Updates are
- represented as `{ path = ...; update = ...; }` values, where `path` is a
- list of strings representing the attribute path that should be updated,
- and `update` is a function that takes the old value at that attribute path
- as an argument and returns the new
- value it should be.
+ Takes a list of updates to apply and an attribute set to apply them to,
+ and returns the attribute set with the updates applied. Updates are
+ represented as `{ path = ...; update = ...; }` values, where `path` is a
+ list of strings representing the attribute path that should be updated,
+ and `update` is a function that takes the old value at that attribute path
+ as an argument and returns the new
+ value it should be.
- Properties:
+ Properties:
- - Updates to deeper attribute paths are applied before updates to more
- shallow attribute paths
+ - Updates to deeper attribute paths are applied before updates to more
+ shallow attribute paths
- - Multiple updates to the same attribute path are applied in the order
- they appear in the update list
+ - Multiple updates to the same attribute path are applied in the order
+ they appear in the update list
- - If any but the last `path` element leads into a value that is not an
- attribute set, an error is thrown
+ - If any but the last `path` element leads into a value that is not an
+ attribute set, an error is thrown
- - If there is an update for an attribute path that doesn't exist,
- accessing the argument in the update function causes an error, but
- intermediate attribute sets are implicitly created as needed
+ - If there is an update for an attribute path that doesn't exist,
+ accessing the argument in the update function causes an error, but
+ intermediate attribute sets are implicitly created as needed
- Example:
- updateManyAttrsByPath [
- {
- path = [ "a" "b" ];
- update = old: { d = old.c; };
- }
- {
- path = [ "a" "b" "c" ];
- update = old: old + 1;
- }
- {
- path = [ "x" "y" ];
- update = old: "xy";
- }
- ] { a.b.c = 0; }
- => { a = { b = { d = 1; }; }; x = { y = "xy"; }; }
+ # Type
- Type: updateManyAttrsByPath :: [{ path :: [String]; update :: (Any -> Any); }] -> AttrSet -> AttrSet
+ ```
+ updateManyAttrsByPath :: [{ path :: [String]; update :: (Any -> Any); }] -> AttrSet -> AttrSet
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.updateManyAttrsByPath` usage example
+
+ ```nix
+ updateManyAttrsByPath [
+ {
+ path = [ "a" "b" ];
+ update = old: { d = old.c; };
+ }
+ {
+ path = [ "a" "b" "c" ];
+ update = old: old + 1;
+ }
+ {
+ path = [ "x" "y" ];
+ update = old: "xy";
+ }
+ ] { a.b.c = 0; }
+ => { a = { b = { d = 1; }; }; x = { y = "xy"; }; }
+ ```
+
+ :::
*/
updateManyAttrsByPath = let
# When recursing into attributes, instead of updating the `path` of each
@@ -343,97 +479,208 @@ rec {
in updates: value: go 0 true value updates;
- /* Return the specified attributes from a set.
+ /**
+ Return the specified attributes from a set.
- Example:
- attrVals ["a" "b" "c"] as
- => [as.a as.b as.c]
- Type:
- attrVals :: [String] -> AttrSet -> [Any]
+ # Inputs
+
+ `nameList`
+
+ : The list of attributes to fetch from `set`. Each attribute name must exist on the attrbitue set
+
+ `set`
+
+ : The set to get attribute values from
+
+ # Type
+
+ ```
+ attrVals :: [String] -> AttrSet -> [Any]
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.attrVals` usage example
+
+ ```nix
+ attrVals ["a" "b" "c"] as
+ => [as.a as.b as.c]
+ ```
+
+ :::
*/
attrVals =
- # The list of attributes to fetch from `set`. Each attribute name must exist on the attrbitue set
nameList:
- # The set to get attribute values from
set: map (x: set.${x}) nameList;
- /* Return the values of all attributes in the given set, sorted by
- attribute name.
+ /**
+ Return the values of all attributes in the given set, sorted by
+ attribute name.
- Example:
- attrValues {c = 3; a = 1; b = 2;}
- => [1 2 3]
+ # Type
- Type:
- attrValues :: AttrSet -> [Any]
+ ```
+ attrValues :: AttrSet -> [Any]
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.attrValues` usage example
+
+ ```nix
+ attrValues {c = 3; a = 1; b = 2;}
+ => [1 2 3]
+ ```
+
+ :::
*/
- attrValues = builtins.attrValues or (attrs: attrVals (attrNames attrs) attrs);
+ attrValues = builtins.attrValues;
- /* Given a set of attribute names, return the set of the corresponding
- attributes from the given set.
+ /**
+ Given a set of attribute names, return the set of the corresponding
+ attributes from the given set.
- Example:
- getAttrs [ "a" "b" ] { a = 1; b = 2; c = 3; }
- => { a = 1; b = 2; }
- Type:
- getAttrs :: [String] -> AttrSet -> AttrSet
+ # Inputs
+
+ `names`
+
+ : A list of attribute names to get out of `set`
+
+ `attrs`
+
+ : The set to get the named attributes from
+
+ # Type
+
+ ```
+ getAttrs :: [String] -> AttrSet -> AttrSet
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.getAttrs` usage example
+
+ ```nix
+ getAttrs [ "a" "b" ] { a = 1; b = 2; c = 3; }
+ => { a = 1; b = 2; }
+ ```
+
+ :::
*/
getAttrs =
- # A list of attribute names to get out of `set`
names:
- # The set to get the named attributes from
attrs: genAttrs names (name: attrs.${name});
- /* Collect each attribute named `attr` from a list of attribute
- sets. Sets that don't contain the named attribute are ignored.
+ /**
+ Collect each attribute named `attr` from a list of attribute
+ sets. Sets that don't contain the named attribute are ignored.
- Example:
- catAttrs "a" [{a = 1;} {b = 0;} {a = 2;}]
- => [1 2]
+ # Inputs
- Type:
- catAttrs :: String -> [AttrSet] -> [Any]
+ `attr`
+
+ : The attribute name to get out of the sets.
+
+ `list`
+
+ : The list of attribute sets to go through
+
+ # Type
+
+ ```
+ catAttrs :: String -> [AttrSet] -> [Any]
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.catAttrs` usage example
+
+ ```nix
+ catAttrs "a" [{a = 1;} {b = 0;} {a = 2;}]
+ => [1 2]
+ ```
+
+ :::
*/
- catAttrs = builtins.catAttrs or
- (attr: l: concatLists (map (s: if s ? ${attr} then [s.${attr}] else []) l));
+ catAttrs = builtins.catAttrs;
- /* Filter an attribute set by removing all attributes for which the
- given predicate return false.
+ /**
+ Filter an attribute set by removing all attributes for which the
+ given predicate return false.
- Example:
- filterAttrs (n: v: n == "foo") { foo = 1; bar = 2; }
- => { foo = 1; }
- Type:
- filterAttrs :: (String -> Any -> Bool) -> AttrSet -> AttrSet
+ # Inputs
+
+ `pred`
+
+ : Predicate taking an attribute name and an attribute value, which returns `true` to include the attribute, or `false` to exclude the attribute.
+
+ `set`
+
+ : The attribute set to filter
+
+ # Type
+
+ ```
+ filterAttrs :: (String -> Any -> Bool) -> AttrSet -> AttrSet
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.filterAttrs` usage example
+
+ ```nix
+ filterAttrs (n: v: n == "foo") { foo = 1; bar = 2; }
+ => { foo = 1; }
+ ```
+
+ :::
*/
filterAttrs =
- # Predicate taking an attribute name and an attribute value, which returns `true` to include the attribute, or `false` to exclude the attribute.
pred:
- # The attribute set to filter
set:
listToAttrs (concatMap (name: let v = set.${name}; in if pred name v then [(nameValuePair name v)] else []) (attrNames set));
- /* Filter an attribute set recursively by removing all attributes for
- which the given predicate return false.
+ /**
+ Filter an attribute set recursively by removing all attributes for
+ which the given predicate return false.
- Example:
- filterAttrsRecursive (n: v: v != null) { foo = { bar = null; }; }
- => { foo = {}; }
- Type:
- filterAttrsRecursive :: (String -> Any -> Bool) -> AttrSet -> AttrSet
+ # Inputs
+
+ `pred`
+
+ : Predicate taking an attribute name and an attribute value, which returns `true` to include the attribute, or `false` to exclude the attribute.
+
+ `set`
+
+ : The attribute set to filter
+
+ # Type
+
+ ```
+ filterAttrsRecursive :: (String -> Any -> Bool) -> AttrSet -> AttrSet
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.filterAttrsRecursive` usage example
+
+ ```nix
+ filterAttrsRecursive (n: v: v != null) { foo = { bar = null; }; }
+ => { foo = {}; }
+ ```
+
+ :::
*/
filterAttrsRecursive =
- # Predicate taking an attribute name and an attribute value, which returns `true` to include the attribute, or `false` to exclude the attribute.
pred:
- # The attribute set to filter
set:
listToAttrs (
concatMap (name:
@@ -447,59 +694,84 @@ rec {
) (attrNames set)
);
- /*
+ /**
Like [`lib.lists.foldl'`](#function-library-lib.lists.foldl-prime) but for attribute sets.
Iterates over every name-value pair in the given attribute set.
The result of the callback function is often called `acc` for accumulator. It is passed between callbacks from left to right and the final `acc` is the return value of `foldlAttrs`.
Attention:
- There is a completely different function
- `lib.foldAttrs`
- which has nothing to do with this function, despite the similar name.
- Example:
- foldlAttrs
- (acc: name: value: {
- sum = acc.sum + value;
- names = acc.names ++ [name];
- })
- { sum = 0; names = []; }
- {
- foo = 1;
- bar = 10;
- }
- ->
- {
- sum = 11;
- names = ["bar" "foo"];
- }
+ There is a completely different function `lib.foldAttrs`
+ which has nothing to do with this function, despite the similar name.
- foldlAttrs
- (throw "function not needed")
- 123
- {};
- ->
- 123
- foldlAttrs
- (acc: _: _: acc)
- 3
- { z = throw "value not needed"; a = throw "value not needed"; };
- ->
- 3
+ # Inputs
- The accumulator doesn't have to be an attrset.
- It can be as simple as a number or string.
+ `f`
- foldlAttrs
- (acc: _: v: acc * 10 + v)
- 1
- { z = 1; a = 2; };
- ->
- 121
+ : 1\. Function argument
- Type:
- foldlAttrs :: ( a -> String -> b -> a ) -> a -> { ... :: b } -> a
+ `init`
+
+ : 2\. Function argument
+
+ `set`
+
+ : 3\. Function argument
+
+ # Type
+
+ ```
+ foldlAttrs :: ( a -> String -> b -> a ) -> a -> { ... :: b } -> a
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.foldlAttrs` usage example
+
+ ```nix
+ foldlAttrs
+ (acc: name: value: {
+ sum = acc.sum + value;
+ names = acc.names ++ [name];
+ })
+ { sum = 0; names = []; }
+ {
+ foo = 1;
+ bar = 10;
+ }
+ ->
+ {
+ sum = 11;
+ names = ["bar" "foo"];
+ }
+
+ foldlAttrs
+ (throw "function not needed")
+ 123
+ {};
+ ->
+ 123
+
+ foldlAttrs
+ (acc: _: _: acc)
+ 3
+ { z = throw "value not needed"; a = throw "value not needed"; };
+ ->
+ 3
+
+ The accumulator doesn't have to be an attrset.
+ It can be as simple as a number or string.
+
+ foldlAttrs
+ (acc: _: v: acc * 10 + v)
+ 1
+ { z = 1; a = 2; };
+ ->
+ 121
+ ```
+
+ :::
*/
foldlAttrs = f: init: set:
foldl'
@@ -507,22 +779,44 @@ rec {
init
(attrNames set);
- /* Apply fold functions to values grouped by key.
+ /**
+ Apply fold functions to values grouped by key.
- Example:
- foldAttrs (item: acc: [item] ++ acc) [] [{ a = 2; } { a = 3; }]
- => { a = [ 2 3 ]; }
- Type:
- foldAttrs :: (Any -> Any -> Any) -> Any -> [AttrSets] -> Any
+ # Inputs
+ `op`
+
+ : A function, given a value and a collector combines the two.
+
+ `nul`
+
+ : The starting value.
+
+ `list_of_attrs`
+
+ : A list of attribute sets to fold together by key.
+
+ # Type
+
+ ```
+ foldAttrs :: (Any -> Any -> Any) -> Any -> [AttrSets] -> Any
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.foldAttrs` usage example
+
+ ```nix
+ foldAttrs (item: acc: [item] ++ acc) [] [{ a = 2; } { a = 3; }]
+ => { a = [ 2 3 ]; }
+ ```
+
+ :::
*/
foldAttrs =
- # A function, given a value and a collector combines the two.
op:
- # The starting value.
nul:
- # A list of attribute sets to fold together by key.
list_of_attrs:
foldr (n: a:
foldr (name: o:
@@ -531,26 +825,46 @@ rec {
) {} list_of_attrs;
- /* Recursively collect sets that verify a given predicate named `pred`
- from the set `attrs`. The recursion is stopped when the predicate is
- verified.
+ /**
+ Recursively collect sets that verify a given predicate named `pred`
+ from the set `attrs`. The recursion is stopped when the predicate is
+ verified.
- Example:
- collect isList { a = { b = ["b"]; }; c = [1]; }
- => [["b"] [1]]
- collect (x: x ? outPath)
- { a = { outPath = "a/"; }; b = { outPath = "b/"; }; }
- => [{ outPath = "a/"; } { outPath = "b/"; }]
+ # Inputs
- Type:
- collect :: (AttrSet -> Bool) -> AttrSet -> [x]
+ `pred`
+
+ : Given an attribute's value, determine if recursion should stop.
+
+ `attrs`
+
+ : The attribute set to recursively collect.
+
+ # Type
+
+ ```
+ collect :: (AttrSet -> Bool) -> AttrSet -> [x]
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.collect` usage example
+
+ ```nix
+ collect isList { a = { b = ["b"]; }; c = [1]; }
+ => [["b"] [1]]
+
+ collect (x: x ? outPath)
+ { a = { outPath = "a/"; }; b = { outPath = "b/"; }; }
+ => [{ outPath = "a/"; } { outPath = "b/"; }]
+ ```
+
+ :::
*/
collect =
- # Given an attribute's value, determine if recursion should stop.
- pred:
- # The attribute set to recursively collect.
- attrs:
+ pred:
+ attrs:
if pred attrs then
[ attrs ]
else if isAttrs attrs then
@@ -558,21 +872,39 @@ rec {
else
[];
- /* Return the cartesian product of attribute set value combinations.
+ /**
+ Return the cartesian product of attribute set value combinations.
- Example:
- cartesianProductOfSets { a = [ 1 2 ]; b = [ 10 20 ]; }
- => [
- { a = 1; b = 10; }
- { a = 1; b = 20; }
- { a = 2; b = 10; }
- { a = 2; b = 20; }
- ]
- Type:
- cartesianProductOfSets :: AttrSet -> [AttrSet]
+
+ # Inputs
+
+ `attrsOfLists`
+
+ : Attribute set with attributes that are lists of values
+
+ # Type
+
+ ```
+ cartesianProductOfSets :: AttrSet -> [AttrSet]
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.cartesianProductOfSets` usage example
+
+ ```nix
+ cartesianProductOfSets { a = [ 1 2 ]; b = [ 10 20 ]; }
+ => [
+ { a = 1; b = 10; }
+ { a = 1; b = 20; }
+ { a = 2; b = 10; }
+ { a = 2; b = 20; }
+ ]
+ ```
+
+ :::
*/
cartesianProductOfSets =
- # Attribute set with attributes that are lists of values
attrsOfLists:
foldl' (listOfAttrs: attrName:
concatMap (attrs:
@@ -581,78 +913,155 @@ rec {
) [{}] (attrNames attrsOfLists);
- /* Utility function that creates a `{name, value}` pair as expected by `builtins.listToAttrs`.
+ /**
+ Utility function that creates a `{name, value}` pair as expected by `builtins.listToAttrs`.
- Example:
- nameValuePair "some" 6
- => { name = "some"; value = 6; }
- Type:
- nameValuePair :: String -> Any -> { name :: String; value :: Any; }
+ # Inputs
+
+ `name`
+
+ : Attribute name
+
+ `value`
+
+ : Attribute value
+
+ # Type
+
+ ```
+ nameValuePair :: String -> Any -> { name :: String; value :: Any; }
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.nameValuePair` usage example
+
+ ```nix
+ nameValuePair "some" 6
+ => { name = "some"; value = 6; }
+ ```
+
+ :::
*/
nameValuePair =
- # Attribute name
name:
- # Attribute value
value:
{ inherit name value; };
- /* Apply a function to each element in an attribute set, creating a new attribute set.
+ /**
+ Apply a function to each element in an attribute set, creating a new attribute set.
- Example:
- mapAttrs (name: value: name + "-" + value)
- { x = "foo"; y = "bar"; }
- => { x = "x-foo"; y = "y-bar"; }
+ # Inputs
- Type:
- mapAttrs :: (String -> Any -> Any) -> AttrSet -> AttrSet
+ `f`
+
+ : A function that takes an attribute name and its value, and returns the new value for the attribute.
+
+ `attrset`
+
+ : The attribute set to iterate through.
+
+ # Type
+
+ ```
+ mapAttrs :: (String -> Any -> Any) -> AttrSet -> AttrSet
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.mapAttrs` usage example
+
+ ```nix
+ mapAttrs (name: value: name + "-" + value)
+ { x = "foo"; y = "bar"; }
+ => { x = "x-foo"; y = "y-bar"; }
+ ```
+
+ :::
*/
- mapAttrs = builtins.mapAttrs or
- (f: set:
- listToAttrs (map (attr: { name = attr; value = f attr set.${attr}; }) (attrNames set)));
+ mapAttrs = builtins.mapAttrs;
- /* Like `mapAttrs`, but allows the name of each attribute to be
- changed in addition to the value. The applied function should
- return both the new name and value as a `nameValuePair`.
+ /**
+ Like `mapAttrs`, but allows the name of each attribute to be
+ changed in addition to the value. The applied function should
+ return both the new name and value as a `nameValuePair`.
- Example:
- mapAttrs' (name: value: nameValuePair ("foo_" + name) ("bar-" + value))
- { x = "a"; y = "b"; }
- => { foo_x = "bar-a"; foo_y = "bar-b"; }
- Type:
- mapAttrs' :: (String -> Any -> { name :: String; value :: Any; }) -> AttrSet -> AttrSet
+ # Inputs
+
+ `f`
+
+ : A function, given an attribute's name and value, returns a new `nameValuePair`.
+
+ `set`
+
+ : Attribute set to map over.
+
+ # Type
+
+ ```
+ mapAttrs' :: (String -> Any -> { name :: String; value :: Any; }) -> AttrSet -> AttrSet
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.mapAttrs'` usage example
+
+ ```nix
+ mapAttrs' (name: value: nameValuePair ("foo_" + name) ("bar-" + value))
+ { x = "a"; y = "b"; }
+ => { foo_x = "bar-a"; foo_y = "bar-b"; }
+ ```
+
+ :::
*/
mapAttrs' =
- # A function, given an attribute's name and value, returns a new `nameValuePair`.
f:
- # Attribute set to map over.
set:
listToAttrs (map (attr: f attr set.${attr}) (attrNames set));
- /* Call a function for each attribute in the given set and return
- the result in a list.
+ /**
+ Call a function for each attribute in the given set and return
+ the result in a list.
- Example:
- mapAttrsToList (name: value: name + value)
- { x = "a"; y = "b"; }
- => [ "xa" "yb" ]
+ # Inputs
- Type:
- mapAttrsToList :: (String -> a -> b) -> AttrSet -> [b]
+ `f`
+ : A function, given an attribute's name and value, returns a new value.
+
+ `attrs`
+
+ : Attribute set to map over.
+
+ # Type
+
+ ```
+ mapAttrsToList :: (String -> a -> b) -> AttrSet -> [b]
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.mapAttrsToList` usage example
+
+ ```nix
+ mapAttrsToList (name: value: name + value)
+ { x = "a"; y = "b"; }
+ => [ "xa" "yb" ]
+ ```
+
+ :::
*/
mapAttrsToList =
- # A function, given an attribute's name and value, returns a new value.
f:
- # Attribute set to map over.
attrs:
map (name: f name attrs.${name}) (attrNames attrs);
- /*
+ /**
Deconstruct an attrset to a list of name-value pairs as expected by [`builtins.listToAttrs`](https://nixos.org/manual/nix/stable/language/builtins.html#builtins-listToAttrs).
Each element of the resulting list is an attribute set with these attributes:
- `name` (string): The name of the attribute
@@ -672,120 +1081,190 @@ rec {
This is because the `listToAttrs` removes duplicate names and doesn't preserve the order of the list.
:::
- Example:
- attrsToList { foo = 1; bar = "asdf"; }
- => [ { name = "bar"; value = "asdf"; } { name = "foo"; value = 1; } ]
+ # Inputs
- Type:
- attrsToList :: AttrSet -> [ { name :: String; value :: Any; } ]
+ `set`
+ : The attribute set to deconstruct.
+
+ # Type
+
+ ```
+ attrsToList :: AttrSet -> [ { name :: String; value :: Any; } ]
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.attrsToList` usage example
+
+ ```nix
+ attrsToList { foo = 1; bar = "asdf"; }
+ => [ { name = "bar"; value = "asdf"; } { name = "foo"; value = 1; } ]
+ ```
+
+ :::
*/
attrsToList = mapAttrsToList nameValuePair;
- /* Like `mapAttrs`, except that it recursively applies itself to
- the *leaf* attributes of a potentially-nested attribute set:
- the second argument of the function will never be an attrset.
- Also, the first argument of the argument function is a *list*
- of the attribute names that form the path to the leaf attribute.
+ /**
+ Like `mapAttrs`, except that it recursively applies itself to the *leaf* attributes of a potentially-nested attribute set:
+ the second argument of the function will never be an attrset.
+ Also, the first argument of the mapping function is a *list* of the attribute names that form the path to the leaf attribute.
- For a function that gives you control over what counts as a leaf,
- see `mapAttrsRecursiveCond`.
+ For a function that gives you control over what counts as a leaf, see `mapAttrsRecursiveCond`.
- Example:
- mapAttrsRecursive (path: value: concatStringsSep "-" (path ++ [value]))
- { n = { a = "A"; m = { b = "B"; c = "C"; }; }; d = "D"; }
- => { n = { a = "n-a-A"; m = { b = "n-m-b-B"; c = "n-m-c-C"; }; }; d = "d-D"; }
+ :::{#map-attrs-recursive-example .example}
+ # Map over leaf attributes
- Type:
- mapAttrsRecursive :: ([String] -> a -> b) -> AttrSet -> AttrSet
+ ```nix
+ mapAttrsRecursive (path: value: concatStringsSep "-" (path ++ [value]))
+ { n = { a = "A"; m = { b = "B"; c = "C"; }; }; d = "D"; }
+ ```
+ evaluates to
+ ```nix
+ { n = { a = "n-a-A"; m = { b = "n-m-b-B"; c = "n-m-c-C"; }; }; d = "d-D"; }
+ ```
+ :::
+
+ # Type
+ ```
+ mapAttrsRecursive :: ([String] -> a -> b) -> AttrSet -> AttrSet
+ ```
*/
mapAttrsRecursive =
- # A function, given a list of attribute names and a value, returns a new value.
f:
- # Set to recursively map over.
set:
mapAttrsRecursiveCond (as: true) f set;
- /* Like `mapAttrsRecursive`, but it takes an additional predicate
- function that tells it whether to recurse into an attribute
- set. If it returns false, `mapAttrsRecursiveCond` does not
- recurse, but does apply the map function. If it returns true, it
- does recurse, and does not apply the map function.
+ /**
+ Like `mapAttrsRecursive`, but it takes an additional predicate that tells it whether to recurse into an attribute set.
+ If the predicate returns false, `mapAttrsRecursiveCond` does not recurse, but instead applies the mapping function.
+ If the predicate returns true, it does recurse, and does not apply the mapping function.
- Example:
- # To prevent recursing into derivations (which are attribute
- # sets with the attribute "type" equal to "derivation"):
- mapAttrsRecursiveCond
- (as: !(as ? "type" && as.type == "derivation"))
- (x: ... do something ...)
- attrs
+ :::{#map-attrs-recursive-cond-example .example}
+ # Map over an leaf attributes defined by a condition
- Type:
- mapAttrsRecursiveCond :: (AttrSet -> Bool) -> ([String] -> a -> b) -> AttrSet -> AttrSet
+ Map derivations to their `name` attribute.
+ Derivatons are identified as attribute sets that contain `{ type = "derivation"; }`.
+ ```nix
+ mapAttrsRecursiveCond
+ (as: !(as ? "type" && as.type == "derivation"))
+ (x: x.name)
+ attrs
+ ```
+ :::
+
+ # Type
+ ```
+ mapAttrsRecursiveCond :: (AttrSet -> Bool) -> ([String] -> a -> b) -> AttrSet -> AttrSet
+ ```
*/
mapAttrsRecursiveCond =
- # A function, given the attribute set the recursion is currently at, determine if to recurse deeper into that attribute set.
cond:
- # A function, given a list of attribute names and a value, returns a new value.
f:
- # Attribute set to recursively map over.
set:
let
recurse = path:
- let
- g =
- name: value:
+ mapAttrs
+ (name: value:
if isAttrs value && cond value
- then recurse (path ++ [name]) value
- else f (path ++ [name]) value;
- in mapAttrs g;
- in recurse [] set;
+ then recurse (path ++ [ name ]) value
+ else f (path ++ [ name ]) value);
+ in
+ recurse [ ] set;
- /* Generate an attribute set by mapping a function over a list of
- attribute names.
+ /**
+ Generate an attribute set by mapping a function over a list of
+ attribute names.
- Example:
- genAttrs [ "foo" "bar" ] (name: "x_" + name)
- => { foo = "x_foo"; bar = "x_bar"; }
- Type:
- genAttrs :: [ String ] -> (String -> Any) -> AttrSet
+ # Inputs
+
+ `names`
+
+ : Names of values in the resulting attribute set.
+
+ `f`
+
+ : A function, given the name of the attribute, returns the attribute's value.
+
+ # Type
+
+ ```
+ genAttrs :: [ String ] -> (String -> Any) -> AttrSet
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.genAttrs` usage example
+
+ ```nix
+ genAttrs [ "foo" "bar" ] (name: "x_" + name)
+ => { foo = "x_foo"; bar = "x_bar"; }
+ ```
+
+ :::
*/
genAttrs =
- # Names of values in the resulting attribute set.
names:
- # A function, given the name of the attribute, returns the attribute's value.
f:
listToAttrs (map (n: nameValuePair n (f n)) names);
- /* Check whether the argument is a derivation. Any set with
- `{ type = "derivation"; }` counts as a derivation.
+ /**
+ Check whether the argument is a derivation. Any set with
+ `{ type = "derivation"; }` counts as a derivation.
- Example:
- nixpkgs = import {}
- isDerivation nixpkgs.ruby
- => true
- isDerivation "foobar"
- => false
- Type:
- isDerivation :: Any -> Bool
+ # Inputs
+
+ `value`
+
+ : Value to check.
+
+ # Type
+
+ ```
+ isDerivation :: Any -> Bool
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.isDerivation` usage example
+
+ ```nix
+ nixpkgs = import {}
+ isDerivation nixpkgs.ruby
+ => true
+ isDerivation "foobar"
+ => false
+ ```
+
+ :::
*/
isDerivation =
- # Value to check.
value: value.type or null == "derivation";
- /* Converts a store path to a fake derivation.
+ /**
+ Converts a store path to a fake derivation.
- Type:
- toDerivation :: Path -> Derivation
- */
+
+ # Inputs
+
+ `path`
+
+ : A store path to convert to a derivation.
+
+ # Type
+
+ ```
+ toDerivation :: Path -> Derivation
+ ```
+ */
toDerivation =
- # A store path to convert to a derivation.
path:
let
path' = builtins.storePath path;
@@ -800,42 +1279,85 @@ rec {
in res;
- /* If `cond` is true, return the attribute set `as`,
- otherwise an empty attribute set.
+ /**
+ If `cond` is true, return the attribute set `as`,
+ otherwise an empty attribute set.
- Example:
- optionalAttrs (true) { my = "set"; }
- => { my = "set"; }
- optionalAttrs (false) { my = "set"; }
- => { }
- Type:
- optionalAttrs :: Bool -> AttrSet -> AttrSet
+ # Inputs
+
+ `cond`
+
+ : Condition under which the `as` attribute set is returned.
+
+ `as`
+
+ : The attribute set to return if `cond` is `true`.
+
+ # Type
+
+ ```
+ optionalAttrs :: Bool -> AttrSet -> AttrSet
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.optionalAttrs` usage example
+
+ ```nix
+ optionalAttrs (true) { my = "set"; }
+ => { my = "set"; }
+ optionalAttrs (false) { my = "set"; }
+ => { }
+ ```
+
+ :::
*/
optionalAttrs =
- # Condition under which the `as` attribute set is returned.
cond:
- # The attribute set to return if `cond` is `true`.
as:
if cond then as else {};
- /* Merge sets of attributes and use the function `f` to merge attributes
- values.
+ /**
+ Merge sets of attributes and use the function `f` to merge attributes
+ values.
- Example:
- zipAttrsWithNames ["a"] (name: vs: vs) [{a = "x";} {a = "y"; b = "z";}]
- => { a = ["x" "y"]; }
- Type:
- zipAttrsWithNames :: [ String ] -> (String -> [ Any ] -> Any) -> [ AttrSet ] -> AttrSet
+ # Inputs
+
+ `names`
+
+ : List of attribute names to zip.
+
+ `f`
+
+ : A function, accepts an attribute name, all the values, and returns a combined value.
+
+ `sets`
+
+ : List of values from the list of attribute sets.
+
+ # Type
+
+ ```
+ zipAttrsWithNames :: [ String ] -> (String -> [ Any ] -> Any) -> [ AttrSet ] -> AttrSet
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.zipAttrsWithNames` usage example
+
+ ```nix
+ zipAttrsWithNames ["a"] (name: vs: vs) [{a = "x";} {a = "y"; b = "z";}]
+ => { a = ["x" "y"]; }
+ ```
+
+ :::
*/
zipAttrsWithNames =
- # List of attribute names to zip.
names:
- # A function, accepts an attribute name, all the values, and returns a combined value.
f:
- # List of values from the list of attribute sets.
sets:
listToAttrs (map (name: {
inherit name;
@@ -843,55 +1365,91 @@ rec {
}) names);
- /* Merge sets of attributes and use the function f to merge attribute values.
- Like `lib.attrsets.zipAttrsWithNames` with all key names are passed for `names`.
+ /**
+ Merge sets of attributes and use the function f to merge attribute values.
+ Like `lib.attrsets.zipAttrsWithNames` with all key names are passed for `names`.
- Implementation note: Common names appear multiple times in the list of
- names, hopefully this does not affect the system because the maximal
- laziness avoid computing twice the same expression and `listToAttrs` does
- not care about duplicated attribute names.
+ Implementation note: Common names appear multiple times in the list of
+ names, hopefully this does not affect the system because the maximal
+ laziness avoid computing twice the same expression and `listToAttrs` does
+ not care about duplicated attribute names.
- Example:
- zipAttrsWith (name: values: values) [{a = "x";} {a = "y"; b = "z";}]
- => { a = ["x" "y"]; b = ["z"]; }
+ # Type
- Type:
- zipAttrsWith :: (String -> [ Any ] -> Any) -> [ AttrSet ] -> AttrSet
+ ```
+ zipAttrsWith :: (String -> [ Any ] -> Any) -> [ AttrSet ] -> AttrSet
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.zipAttrsWith` usage example
+
+ ```nix
+ zipAttrsWith (name: values: values) [{a = "x";} {a = "y"; b = "z";}]
+ => { a = ["x" "y"]; b = ["z"]; }
+ ```
+
+ :::
*/
zipAttrsWith =
builtins.zipAttrsWith or (f: sets: zipAttrsWithNames (concatMap attrNames sets) f sets);
- /* Merge sets of attributes and combine each attribute value in to a list.
+ /**
+ Merge sets of attributes and combine each attribute value in to a list.
- Like `lib.attrsets.zipAttrsWith` with `(name: values: values)` as the function.
+ Like `lib.attrsets.zipAttrsWith` with `(name: values: values)` as the function.
- Example:
- zipAttrs [{a = "x";} {a = "y"; b = "z";}]
- => { a = ["x" "y"]; b = ["z"]; }
+ # Type
- Type:
- zipAttrs :: [ AttrSet ] -> AttrSet
+ ```
+ zipAttrs :: [ AttrSet ] -> AttrSet
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.zipAttrs` usage example
+
+ ```nix
+ zipAttrs [{a = "x";} {a = "y"; b = "z";}]
+ => { a = ["x" "y"]; b = ["z"]; }
+ ```
+
+ :::
*/
- zipAttrs =
- # List of attribute sets to zip together.
- sets:
- zipAttrsWith (name: values: values) sets;
+ zipAttrs = zipAttrsWith (name: values: values);
- /*
+ /**
Merge a list of attribute sets together using the `//` operator.
In case of duplicate attributes, values from later list elements take precedence over earlier ones.
The result is the same as `foldl mergeAttrs { }`, but the performance is better for large inputs.
For n list elements, each with an attribute set containing m unique attributes, the complexity of this operation is O(nm log n).
- Type:
- mergeAttrsList :: [ Attrs ] -> Attrs
- Example:
- mergeAttrsList [ { a = 0; b = 1; } { c = 2; d = 3; } ]
- => { a = 0; b = 1; c = 2; d = 3; }
- mergeAttrsList [ { a = 0; } { a = 1; } ]
- => { a = 1; }
+ # Inputs
+
+ `list`
+
+ : 1\. Function argument
+
+ # Type
+
+ ```
+ mergeAttrsList :: [ Attrs ] -> Attrs
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.mergeAttrsList` usage example
+
+ ```nix
+ mergeAttrsList [ { a = 0; b = 1; } { c = 2; d = 3; } ]
+ => { a = 0; b = 1; c = 2; d = 3; }
+ mergeAttrsList [ { a = 0; } { a = 1; } ]
+ => { a = 1; }
+ ```
+
+ :::
*/
mergeAttrsList = list:
let
@@ -915,42 +1473,65 @@ rec {
binaryMerge 0 (length list);
- /* Does the same as the update operator '//' except that attributes are
- merged until the given predicate is verified. The predicate should
- accept 3 arguments which are the path to reach the attribute, a part of
- the first attribute set and a part of the second attribute set. When
- the predicate is satisfied, the value of the first attribute set is
- replaced by the value of the second attribute set.
+ /**
+ Does the same as the update operator '//' except that attributes are
+ merged until the given predicate is verified. The predicate should
+ accept 3 arguments which are the path to reach the attribute, a part of
+ the first attribute set and a part of the second attribute set. When
+ the predicate is satisfied, the value of the first attribute set is
+ replaced by the value of the second attribute set.
- Example:
- recursiveUpdateUntil (path: l: r: path == ["foo"]) {
- # first attribute set
- foo.bar = 1;
- foo.baz = 2;
- bar = 3;
- } {
- #second attribute set
- foo.bar = 1;
- foo.quz = 2;
- baz = 4;
- }
- => {
- foo.bar = 1; # 'foo.*' from the second set
- foo.quz = 2; #
- bar = 3; # 'bar' from the first set
- baz = 4; # 'baz' from the second set
- }
+ # Inputs
- Type:
- recursiveUpdateUntil :: ( [ String ] -> AttrSet -> AttrSet -> Bool ) -> AttrSet -> AttrSet -> AttrSet
+ `pred`
+
+ : Predicate, taking the path to the current attribute as a list of strings for attribute names, and the two values at that path from the original arguments.
+
+ `lhs`
+
+ : Left attribute set of the merge.
+
+ `rhs`
+
+ : Right attribute set of the merge.
+
+ # Type
+
+ ```
+ recursiveUpdateUntil :: ( [ String ] -> AttrSet -> AttrSet -> Bool ) -> AttrSet -> AttrSet -> AttrSet
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.recursiveUpdateUntil` usage example
+
+ ```nix
+ recursiveUpdateUntil (path: l: r: path == ["foo"]) {
+ # first attribute set
+ foo.bar = 1;
+ foo.baz = 2;
+ bar = 3;
+ } {
+ #second attribute set
+ foo.bar = 1;
+ foo.quz = 2;
+ baz = 4;
+ }
+
+ => {
+ foo.bar = 1; # 'foo.*' from the second set
+ foo.quz = 2; #
+ bar = 3; # 'bar' from the first set
+ baz = 4; # 'baz' from the second set
+ }
+ ```
+
+ :::
*/
recursiveUpdateUntil =
- # Predicate, taking the path to the current attribute as a list of strings for attribute names, and the two values at that path from the original arguments.
pred:
- # Left attribute set of the merge.
lhs:
- # Right attribute set of the merge.
rhs:
let f = attrPath:
zipAttrsWith (n: values:
@@ -964,51 +1545,90 @@ rec {
in f [] [rhs lhs];
- /* A recursive variant of the update operator ‘//’. The recursion
- stops when one of the attribute values is not an attribute set,
- in which case the right hand side value takes precedence over the
- left hand side value.
+ /**
+ A recursive variant of the update operator ‘//’. The recursion
+ stops when one of the attribute values is not an attribute set,
+ in which case the right hand side value takes precedence over the
+ left hand side value.
- Example:
- recursiveUpdate {
- boot.loader.grub.enable = true;
- boot.loader.grub.device = "/dev/hda";
- } {
- boot.loader.grub.device = "";
- }
- returns: {
- boot.loader.grub.enable = true;
- boot.loader.grub.device = "";
- }
+ # Inputs
- Type:
- recursiveUpdate :: AttrSet -> AttrSet -> AttrSet
+ `lhs`
+
+ : Left attribute set of the merge.
+
+ `rhs`
+
+ : Right attribute set of the merge.
+
+ # Type
+
+ ```
+ recursiveUpdate :: AttrSet -> AttrSet -> AttrSet
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.recursiveUpdate` usage example
+
+ ```nix
+ recursiveUpdate {
+ boot.loader.grub.enable = true;
+ boot.loader.grub.device = "/dev/hda";
+ } {
+ boot.loader.grub.device = "";
+ }
+
+ returns: {
+ boot.loader.grub.enable = true;
+ boot.loader.grub.device = "";
+ }
+ ```
+
+ :::
*/
recursiveUpdate =
- # Left attribute set of the merge.
lhs:
- # Right attribute set of the merge.
rhs:
recursiveUpdateUntil (path: lhs: rhs: !(isAttrs lhs && isAttrs rhs)) lhs rhs;
- /*
+ /**
Recurse into every attribute set of the first argument and check that:
- Each attribute path also exists in the second argument.
- If the attribute's value is not a nested attribute set, it must have the same value in the right argument.
- Example:
- matchAttrs { cpu = {}; } { cpu = { bits = 64; }; }
- => true
- Type:
- matchAttrs :: AttrSet -> AttrSet -> Bool
+ # Inputs
+
+ `pattern`
+
+ : Attribute set structure to match
+
+ `attrs`
+
+ : Attribute set to check
+
+ # Type
+
+ ```
+ matchAttrs :: AttrSet -> AttrSet -> Bool
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.matchAttrs` usage example
+
+ ```nix
+ matchAttrs { cpu = {}; } { cpu = { bits = 64; }; }
+ => true
+ ```
+
+ :::
*/
matchAttrs =
- # Attribute set structure to match
pattern:
- # Attribute set to check
attrs:
assert isAttrs pattern;
all
@@ -1027,164 +1647,340 @@ rec {
)
(attrNames pattern);
- /* Override only the attributes that are already present in the old set
+ /**
+ Override only the attributes that are already present in the old set
useful for deep-overriding.
- Example:
- overrideExisting {} { a = 1; }
- => {}
- overrideExisting { b = 2; } { a = 1; }
- => { b = 2; }
- overrideExisting { a = 3; b = 2; } { a = 1; }
- => { a = 1; b = 2; }
- Type:
- overrideExisting :: AttrSet -> AttrSet -> AttrSet
+ # Inputs
+
+ `old`
+
+ : Original attribute set
+
+ `new`
+
+ : Attribute set with attributes to override in `old`.
+
+ # Type
+
+ ```
+ overrideExisting :: AttrSet -> AttrSet -> AttrSet
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.overrideExisting` usage example
+
+ ```nix
+ overrideExisting {} { a = 1; }
+ => {}
+ overrideExisting { b = 2; } { a = 1; }
+ => { b = 2; }
+ overrideExisting { a = 3; b = 2; } { a = 1; }
+ => { a = 1; b = 2; }
+ ```
+
+ :::
*/
overrideExisting =
- # Original attribute set
old:
- # Attribute set with attributes to override in `old`.
new:
mapAttrs (name: value: new.${name} or value) old;
- /* Turns a list of strings into a human-readable description of those
+ /**
+ Turns a list of strings into a human-readable description of those
strings represented as an attribute path. The result of this function is
not intended to be machine-readable.
Create a new attribute set with `value` set at the nested attribute location specified in `attrPath`.
- Example:
- showAttrPath [ "foo" "10" "bar" ]
- => "foo.\"10\".bar"
- showAttrPath []
- => ""
- Type:
- showAttrPath :: [String] -> String
+ # Inputs
+
+ `path`
+
+ : Attribute path to render to a string
+
+ # Type
+
+ ```
+ showAttrPath :: [String] -> String
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.showAttrPath` usage example
+
+ ```nix
+ showAttrPath [ "foo" "10" "bar" ]
+ => "foo.\"10\".bar"
+ showAttrPath []
+ => ""
+ ```
+
+ :::
*/
showAttrPath =
- # Attribute path to render to a string
path:
if path == [] then ""
else concatMapStringsSep "." escapeNixIdentifier path;
- /* Get a package output.
- If no output is found, fallback to `.out` and then to the default.
+ /**
+ Get a package output.
+ If no output is found, fallback to `.out` and then to the default.
- Example:
- getOutput "dev" pkgs.openssl
- => "/nix/store/9rz8gxhzf8sw4kf2j2f1grr49w8zx5vj-openssl-1.0.1r-dev"
- Type:
- getOutput :: String -> Derivation -> String
+ # Inputs
+
+ `output`
+
+ : 1\. Function argument
+
+ `pkg`
+
+ : 2\. Function argument
+
+ # Type
+
+ ```
+ getOutput :: String -> Derivation -> String
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.getOutput` usage example
+
+ ```nix
+ getOutput "dev" pkgs.openssl
+ => "/nix/store/9rz8gxhzf8sw4kf2j2f1grr49w8zx5vj-openssl-1.0.1r-dev"
+ ```
+
+ :::
*/
getOutput = output: pkg:
if ! pkg ? outputSpecified || ! pkg.outputSpecified
then pkg.${output} or pkg.out or pkg
else pkg;
- /* Get a package's `bin` output.
- If the output does not exist, fallback to `.out` and then to the default.
+ /**
+ Get a package's `bin` output.
+ If the output does not exist, fallback to `.out` and then to the default.
- Example:
- getBin pkgs.openssl
- => "/nix/store/9rz8gxhzf8sw4kf2j2f1grr49w8zx5vj-openssl-1.0.1r"
+ # Inputs
- Type:
- getBin :: Derivation -> String
+ `pkg`
+
+ : The package whose `bin` output will be retrieved.
+
+ # Type
+
+ ```
+ getBin :: Derivation -> String
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.getBin` usage example
+
+ ```nix
+ getBin pkgs.openssl
+ => "/nix/store/9rz8gxhzf8sw4kf2j2f1grr49w8zx5vj-openssl-1.0.1r"
+ ```
+
+ :::
*/
getBin = getOutput "bin";
- /* Get a package's `lib` output.
- If the output does not exist, fallback to `.out` and then to the default.
+ /**
+ Get a package's `lib` output.
+ If the output does not exist, fallback to `.out` and then to the default.
- Example:
- getLib pkgs.openssl
- => "/nix/store/9rz8gxhzf8sw4kf2j2f1grr49w8zx5vj-openssl-1.0.1r-lib"
+ # Inputs
- Type:
- getLib :: Derivation -> String
+ `pkg`
+
+ : The package whose `lib` output will be retrieved.
+
+ # Type
+
+ ```
+ getLib :: Derivation -> String
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.getLib` usage example
+
+ ```nix
+ getLib pkgs.openssl
+ => "/nix/store/9rz8gxhzf8sw4kf2j2f1grr49w8zx5vj-openssl-1.0.1r-lib"
+ ```
+
+ :::
*/
getLib = getOutput "lib";
- /* Get a package's `dev` output.
- If the output does not exist, fallback to `.out` and then to the default.
+ /**
+ Get a package's `dev` output.
+ If the output does not exist, fallback to `.out` and then to the default.
- Example:
- getDev pkgs.openssl
- => "/nix/store/9rz8gxhzf8sw4kf2j2f1grr49w8zx5vj-openssl-1.0.1r-dev"
+ # Inputs
- Type:
- getDev :: Derivation -> String
+ `pkg`
+
+ : The package whose `dev` output will be retrieved.
+
+ # Type
+
+ ```
+ getDev :: Derivation -> String
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.getDev` usage example
+
+ ```nix
+ getDev pkgs.openssl
+ => "/nix/store/9rz8gxhzf8sw4kf2j2f1grr49w8zx5vj-openssl-1.0.1r-dev"
+ ```
+
+ :::
*/
getDev = getOutput "dev";
- /* Get a package's `man` output.
- If the output does not exist, fallback to `.out` and then to the default.
+ /**
+ Get a package's `man` output.
+ If the output does not exist, fallback to `.out` and then to the default.
- Example:
- getMan pkgs.openssl
- => "/nix/store/9rz8gxhzf8sw4kf2j2f1grr49w8zx5vj-openssl-1.0.1r-man"
+ # Inputs
- Type:
- getMan :: Derivation -> String
+ `pkg`
+
+ : The package whose `man` output will be retrieved.
+
+ # Type
+
+ ```
+ getMan :: Derivation -> String
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.getMan` usage example
+
+ ```nix
+ getMan pkgs.openssl
+ => "/nix/store/9rz8gxhzf8sw4kf2j2f1grr49w8zx5vj-openssl-1.0.1r-man"
+ ```
+
+ :::
*/
getMan = getOutput "man";
- /* Pick the outputs of packages to place in `buildInputs`
+ /**
+ Pick the outputs of packages to place in `buildInputs`
- Type: chooseDevOutputs :: [Derivation] -> [String]
+ # Inputs
+ `pkgs`
+
+ : List of packages.
+
+ # Type
+
+ ```
+ chooseDevOutputs :: [Derivation] -> [String]
+ ```
*/
- chooseDevOutputs =
- # List of packages to pick `dev` outputs from
- drvs:
- builtins.map getDev drvs;
+ chooseDevOutputs = builtins.map getDev;
- /* Make various Nix tools consider the contents of the resulting
- attribute set when looking for what to build, find, etc.
+ /**
+ Make various Nix tools consider the contents of the resulting
+ attribute set when looking for what to build, find, etc.
- This function only affects a single attribute set; it does not
- apply itself recursively for nested attribute sets.
+ This function only affects a single attribute set; it does not
+ apply itself recursively for nested attribute sets.
- Example:
- { pkgs ? import {} }:
- {
- myTools = pkgs.lib.recurseIntoAttrs {
- inherit (pkgs) hello figlet;
- };
- }
- Type:
- recurseIntoAttrs :: AttrSet -> AttrSet
+ # Inputs
- */
+ `attrs`
+
+ : An attribute set to scan for derivations.
+
+ # Type
+
+ ```
+ recurseIntoAttrs :: AttrSet -> AttrSet
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.attrsets.recurseIntoAttrs` usage example
+
+ ```nix
+ { pkgs ? import {} }:
+ {
+ myTools = pkgs.lib.recurseIntoAttrs {
+ inherit (pkgs) hello figlet;
+ };
+ }
+ ```
+
+ :::
+ */
recurseIntoAttrs =
- # An attribute set to scan for derivations.
attrs:
attrs // { recurseForDerivations = true; };
- /* Undo the effect of recurseIntoAttrs.
+ /**
+ Undo the effect of recurseIntoAttrs.
- Type:
- dontRecurseIntoAttrs :: AttrSet -> AttrSet
- */
+
+ # Inputs
+
+ `attrs`
+
+ : An attribute set to not scan for derivations.
+
+ # Type
+
+ ```
+ dontRecurseIntoAttrs :: AttrSet -> AttrSet
+ ```
+ */
dontRecurseIntoAttrs =
- # An attribute set to not scan for derivations.
attrs:
attrs // { recurseForDerivations = false; };
- /* `unionOfDisjoint x y` is equal to `x // y // z` where the
- attrnames in `z` are the intersection of the attrnames in `x` and
- `y`, and all values `assert` with an error message. This
- operator is commutative, unlike (//).
+ /**
+ `unionOfDisjoint x y` is equal to `x // y // z` where the
+ attrnames in `z` are the intersection of the attrnames in `x` and
+ `y`, and all values `assert` with an error message. This
+ operator is commutative, unlike (//).
- Type: unionOfDisjoint :: AttrSet -> AttrSet -> AttrSet
+
+ # Inputs
+
+ `x`
+
+ : 1\. Function argument
+
+ `y`
+
+ : 2\. Function argument
+
+ # Type
+
+ ```
+ unionOfDisjoint :: AttrSet -> AttrSet -> AttrSet
+ ```
*/
unionOfDisjoint = x: y:
let
diff --git a/lib/customisation.nix b/lib/customisation.nix
index 0b5cad71fddf..66638b63342a 100644
--- a/lib/customisation.nix
+++ b/lib/customisation.nix
@@ -221,9 +221,10 @@ rec {
let
f = if isFunction fn then fn else import fn;
auto = intersectAttrs (functionArgs f) autoArgs;
+ mirrorArgs = mirrorFunctionArgs f;
origArgs = auto // args;
pkgs = f origArgs;
- mkAttrOverridable = name: _: makeOverridable (newArgs: (f newArgs).${name}) origArgs;
+ mkAttrOverridable = name: _: makeOverridable (mirrorArgs (newArgs: (f newArgs).${name})) origArgs;
in
if isDerivation pkgs then throw
("function `callPackages` was called on a *single* derivation "
@@ -305,18 +306,129 @@ rec {
in if drv == null then null else
deepSeq drv' drv';
- /* Make a set of packages with a common scope. All packages called
- with the provided `callPackage` will be evaluated with the same
- arguments. Any package in the set may depend on any other. The
- `overrideScope'` function allows subsequent modification of the package
- set in a consistent way, i.e. all packages in the set will be
- called with the overridden packages. The package sets may be
- hierarchical: the packages in the set are called with the scope
- provided by `newScope` and the set provides a `newScope` attribute
- which can form the parent scope for later package sets.
+ /**
+ Make an attribute set (a "scope") from functions that take arguments from that same attribute set.
+ See [](#ex-makeScope) for how to use it.
- Type:
- makeScope :: (AttrSet -> ((AttrSet -> a) | Path) -> AttrSet -> a) -> (AttrSet -> AttrSet) -> AttrSet
+ # Inputs
+
+ 1. `newScope` (`AttrSet -> ((AttrSet -> a) | Path) -> AttrSet -> a`)
+
+ A function that takes an attribute set `attrs` and returns what ends up as `callPackage` in the output.
+
+ Typical values are `callPackageWith` or the output attribute `newScope`.
+
+ 2. `f` (`AttrSet -> AttrSet`)
+
+ A function that takes an attribute set as returned by `makeScope newScope f` (a "scope") and returns any attribute set.
+
+ This function is used to compute the fixpoint of the resulting scope using `callPackage`.
+ Its argument is the lazily evaluated reference to the value of that fixpoint, and is typically called `self` or `final`.
+
+ See [](#ex-makeScope) for how to use it.
+ See [](#sec-functions-library-fixedPoints) for details on fixpoint computation.
+
+ # Output
+
+ `makeScope` returns an attribute set of a form called `scope`, which also contains the final attributes produced by `f`:
+
+ ```
+ scope :: {
+ callPackage :: ((AttrSet -> a) | Path) -> AttrSet -> a
+ newScope = AttrSet -> scope
+ overrideScope = (scope -> scope -> AttrSet) -> scope
+ packages :: AttrSet -> AttrSet
+ }
+ ```
+
+ - `callPackage` (`((AttrSet -> a) | Path) -> AttrSet -> a`)
+
+ A function that
+
+ 1. Takes a function `p`, or a path to a Nix file that contains a function `p`, which takes an attribute set and returns value of arbitrary type `a`,
+ 2. Takes an attribute set `args` with explicit attributes to pass to `p`,
+ 3. Calls `f` with attributes from the original attribute set `attrs` passed to `newScope` updated with `args, i.e. `attrs // args`, if they match the attributes in the argument of `p`.
+
+ All such functions `p` will be called with the same value for `attrs`.
+
+ See [](#ex-makeScope-callPackage) for how to use it.
+
+ - `newScope` (`AttrSet -> scope`)
+
+ Takes an attribute set `attrs` and returns a scope that extends the original scope.
+
+ - `overrideScope` (`(scope -> scope -> AttrSet) -> scope`)
+
+ Takes a function `g` of the form `final: prev: { # attributes }` to act as an overlay on `f`, and returns a new scope with values determined by `extends g f`.
+ See [](https://nixos.org/manual/nixpkgs/unstable/#function-library-lib.fixedPoints.extends) for details.
+
+ This allows subsequent modification of the final attribute set in a consistent way, i.e. all functions `p` invoked with `callPackage` will be called with the modified values.
+
+ - `packages` (`AttrSet -> AttrSet`)
+
+ The value of the argument `f` to `makeScope`.
+
+ - final attributes
+
+ The final values returned by `f`.
+
+ # Examples
+
+ :::{#ex-makeScope .example}
+ # Create an interdependent package set on top of `pkgs`
+
+ The functions in `foo.nix` and `bar.nix` can depend on each other, in the sense that `foo.nix` can contain a function that expects `bar` as an attribute in its argument.
+
+ ```nix
+ let
+ pkgs = import { };
+ in
+ pkgs.lib.makeScope pkgs.newScope (self: {
+ foo = self.callPackage ./foo.nix { };
+ bar = self.callPackage ./bar.nix { };
+ })
+ ```
+
+ evaluates to
+
+ ```nix
+ {
+ callPackage = «lambda»;
+ newScope = «lambda»;
+ overrideScope = «lambda»;
+ packages = «lambda»;
+ foo = «derivation»;
+ bar = «derivation»;
+ }
+ ```
+ :::
+
+ :::{#ex-makeScope-callPackage .example}
+ # Using `callPackage` from a scope
+
+ ```nix
+ let
+ pkgs = import { };
+ inherit (pkgs) lib;
+ scope = lib.makeScope lib.callPackageWith (self: { a = 1; b = 2; });
+ three = scope.callPackage ({ a, b }: a + b) { };
+ four = scope.callPackage ({ a, b }: a + b) { a = 2; };
+ in
+ [ three four ]
+ ```
+
+ evaluates to
+
+ ```nix
+ [ 3 4 ]
+ ```
+ :::
+
+ # Type
+
+ ```
+ makeScope :: (AttrSet -> ((AttrSet -> a) | Path) -> AttrSet -> a) -> (AttrSet -> AttrSet) -> scope
+ ```
*/
makeScope = newScope: f:
let self = f self // {
diff --git a/lib/default.nix b/lib/default.nix
index a17307be6e07..668c29640f9f 100644
--- a/lib/default.nix
+++ b/lib/default.nix
@@ -84,8 +84,8 @@ let
mapAttrs' mapAttrsToList attrsToList concatMapAttrs mapAttrsRecursive
mapAttrsRecursiveCond genAttrs isDerivation toDerivation optionalAttrs
zipAttrsWithNames zipAttrsWith zipAttrs recursiveUpdateUntil
- recursiveUpdate matchAttrs overrideExisting showAttrPath getOutput getBin
- getLib getDev getMan chooseDevOutputs zipWithNames zip
+ recursiveUpdate matchAttrs mergeAttrsList overrideExisting showAttrPath getOutput
+ getBin getLib getDev getMan chooseDevOutputs zipWithNames zip
recurseIntoAttrs dontRecurseIntoAttrs cartesianProductOfSets
updateManyAttrsByPath;
inherit (self.lists) singleton forEach foldr fold foldl foldl' imap0 imap1
diff --git a/lib/deprecated.nix b/lib/deprecated.nix
index ed14e04bbd68..b76622b5d842 100644
--- a/lib/deprecated.nix
+++ b/lib/deprecated.nix
@@ -1,14 +1,37 @@
{ lib }:
+
let
- inherit (builtins) head tail isList isAttrs isInt attrNames;
+ inherit (lib)
+ and
+ any
+ attrByPath
+ attrNames
+ compare
+ concat
+ concatMap
+ elem
+ filter
+ foldl
+ foldr
+ genericClosure
+ head
+ imap1
+ init
+ isAttrs
+ isFunction
+ isInt
+ isList
+ lists
+ listToAttrs
+ mapAttrs
+ mergeAttrs
+ meta
+ nameValuePair
+ tail
+ toList
+ ;
-in
-
-with lib.lists;
-with lib.attrsets;
-with lib.strings;
-
-rec {
+ inherit (lib.attrsets) removeAttrs;
# returns default if env var is not set
maybeEnv = name: default:
@@ -26,7 +49,7 @@ rec {
base = (setAttrMerge "passthru" {} (f arg)
( z: z // {
function = foldArgs merger f arg;
- args = (lib.attrByPath ["passthru" "args"] {} z) // x;
+ args = (attrByPath ["passthru" "args"] {} z) // x;
} ));
withStdOverrides = base // {
override = base.passthru.function;
@@ -77,11 +100,11 @@ rec {
# Output : are reqs satisfied? It's asserted.
checkReqs = attrSet: argList: condList:
(
- foldr lib.and true
+ foldr and true
(map (x: let name = (head x); in
((checkFlag attrSet name) ->
- (foldr lib.and true
+ (foldr and true
(map (y: let val=(getValue attrSet argList y); in
(val!=null) && (val!=false))
(tail x))))) condList));
@@ -159,11 +182,11 @@ rec {
closePropagationSlow = list: (uniqList {inputList = (innerClosePropagation [] list);});
- # This is an optimisation of lib.closePropagation which avoids the O(n^2) behavior
+ # This is an optimisation of closePropagation which avoids the O(n^2) behavior
# Using a list of derivations, it generates the full closure of the propagatedXXXBuildInputs
# The ordering / sorting / comparison is done based on the `outPath`
# attribute of each derivation.
- # On some benchmarks, it performs up to 15 times faster than lib.closePropagation.
+ # On some benchmarks, it performs up to 15 times faster than closePropagation.
# See https://github.com/NixOS/nixpkgs/pull/194391 for details.
closePropagationFast = list:
builtins.map (x: x.val) (builtins.genericClosure {
@@ -250,10 +273,10 @@ rec {
# foldArgs, composedArgsAndFun or applyAndFun. Example: composableDerivation in all-packages.nix
mergeAttrByFunc = x: y:
let
- mergeAttrBy2 = { mergeAttrBy = lib.mergeAttrs; }
+ mergeAttrBy2 = { mergeAttrBy = mergeAttrs; }
// (maybeAttr "mergeAttrBy" {} x)
// (maybeAttr "mergeAttrBy" {} y); in
- foldr lib.mergeAttrs {} [
+ foldr mergeAttrs {} [
x y
(mapAttrs ( a: v: # merge special names using given functions
if x ? ${a}
@@ -273,9 +296,9 @@ rec {
# sane defaults (same name as attr name so that inherit can be used)
mergeAttrBy = # { buildInputs = concatList; [...]; passthru = mergeAttr; [..]; }
- listToAttrs (map (n: nameValuePair n lib.concat)
+ listToAttrs (map (n: nameValuePair n concat)
[ "nativeBuildInputs" "buildInputs" "propagatedBuildInputs" "configureFlags" "prePhases" "postAll" "patches" ])
- // listToAttrs (map (n: nameValuePair n lib.mergeAttrs) [ "passthru" "meta" "cfg" "flags" ])
+ // listToAttrs (map (n: nameValuePair n mergeAttrs) [ "passthru" "meta" "cfg" "flags" ])
// listToAttrs (map (n: nameValuePair n (a: b: "${a}\n${b}") ) [ "preConfigure" "postInstall" ])
;
@@ -283,7 +306,7 @@ rec {
if isAttrs x then
if x ? outPath then "derivation"
else "attrs"
- else if lib.isFunction x then "function"
+ else if isFunction x then "function"
else if isList x then "list"
else if x == true then "bool"
else if x == false then "bool"
@@ -304,4 +327,47 @@ rec {
fakeHash = "sha256-AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA=";
fakeSha256 = "0000000000000000000000000000000000000000000000000000000000000000";
fakeSha512 = "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
+
+in
+
+# Everything in this attrset is the public interface of the file.
+{
+ inherit
+ checkFlag
+ checkReqs
+ closePropagation
+ closePropagationFast
+ closePropagationSlow
+ condConcat
+ defaultMerge
+ defaultMergeArg
+ fakeHash
+ fakeSha256
+ fakeSha512
+ foldArgs
+ getValue
+ ifEnable
+ imap
+ innerClosePropagation
+ innerModifySumArgs
+ lazyGenericClosure
+ mapAttrsFlatten
+ maybeAttr
+ maybeAttrNullable
+ maybeEnv
+ mergeAttrBy
+ mergeAttrByFunc
+ mergeAttrsByFuncDefaults
+ mergeAttrsByFuncDefaultsClean
+ mergeAttrsConcatenateValues
+ mergeAttrsNoOverride
+ mergeAttrsWithFunc
+ modifySumArgs
+ nixType
+ nvs
+ setAttr
+ setAttrMerge
+ uniqList
+ uniqListExt
+ ;
}
diff --git a/lib/derivations.nix b/lib/derivations.nix
index 44b727ee31cc..6867458f9e87 100644
--- a/lib/derivations.nix
+++ b/lib/derivations.nix
@@ -1,7 +1,20 @@
{ lib }:
let
- inherit (lib) throwIfNot;
+ inherit (lib)
+ genAttrs
+ isString
+ throwIfNot
+ ;
+
+ showMaybeAttrPosPre = prefix: attrName: v:
+ let pos = builtins.unsafeGetAttrPos attrName v;
+ in if pos == null then "" else "${prefix}${pos.file}:${toString pos.line}:${toString pos.column}";
+
+ showMaybePackagePosPre = prefix: pkg:
+ if pkg?meta.position && isString pkg.meta.position
+ then "${prefix}${pkg.meta.position}"
+ else "";
in
{
/*
@@ -64,6 +77,11 @@ in
#
# This can be used for adding package attributes, such as `tests`.
passthru ? { }
+ , # Optional list of assumed outputs. Default: ["out"]
+ #
+ # This must match the set of outputs that the returned derivation has.
+ # You must use this when the derivation has multiple outputs.
+ outputs ? [ "out" ]
}:
let
# These checks are strict in `drv` and some `drv` attributes, but the
@@ -71,11 +89,40 @@ in
# Instead, the individual derivation attributes do depend on it.
checked =
throwIfNot (derivation.type or null == "derivation")
- "lazySimpleDerivation: input must be a derivation."
+ "lazyDerivation: input must be a derivation."
throwIfNot
- (derivation.outputs == [ "out" ])
- # Supporting multiple outputs should be a matter of inheriting more attrs.
- "The derivation ${derivation.name or ""} has multiple outputs. This is not supported by lazySimpleDerivation yet. Support could be added, and be useful as long as the set of outputs is known in advance, without evaluating the actual derivation."
+ # NOTE: Technically we could require our outputs to be a subset of the
+ # actual ones, or even leave them unchecked and fail on a lazy basis.
+ # However, consider the case where an output is added in the underlying
+ # derivation, such as dev. lazyDerivation would remove it and cause it
+ # to fail as a buildInputs item, without any indication as to what
+ # happened. Hence the more stringent condition. We could consider
+ # adding a flag to control this behavior if there's a valid case for it,
+ # but the documentation must have a note like this.
+ (derivation.outputs == outputs)
+ ''
+ lib.lazyDerivation: The derivation ${derivation.name or ""} has outputs that don't match the assumed outputs.
+
+ Assumed outputs passed to lazyDerivation${showMaybeAttrPosPre ",\n at " "outputs" args}:
+ ${lib.generators.toPretty { multiline = false; } outputs};
+
+ Actual outputs of the derivation${showMaybePackagePosPre ",\n defined at " derivation}:
+ ${lib.generators.toPretty { multiline = false; } derivation.outputs}
+
+ If the outputs are known ahead of evaluating the derivation,
+ then update the lazyDerivation call to match the actual outputs, in the same order.
+ If lazyDerivation is passed a literal value, just change it to the actual outputs.
+ As a result it will work as before / as intended.
+
+ Otherwise, when the outputs are dynamic and can't be known ahead of time, it won't
+ be possible to add laziness, but lib.lazyDerivation may still be useful for trimming
+ the attributes.
+ If you want to keep trimming the attributes, make sure that the package is in a
+ variable (don't evaluate it twice!) and pass the variable and its outputs attribute
+ to lib.lazyDerivation. This largely defeats laziness, but keeps the trimming.
+ If none of the above works for you, replace the lib.lazyDerivation call by the
+ expression in the derivation argument.
+ ''
derivation;
in
{
@@ -92,12 +139,15 @@ in
# A fixed set of derivation values, so that `lazyDerivation` can return
# its attrset before evaluating `derivation`.
# This must only list attributes that are available on _all_ derivations.
- inherit (checked) outputs out outPath outputName drvPath name system;
+ inherit (checked) outPath outputName drvPath name system;
+ inherit outputs;
# The meta attribute can either be taken from the derivation, or if the
# `lazyDerivation` caller knew a shortcut, be taken from there.
meta = args.meta or checked.meta;
- } // passthru;
+ }
+ // genAttrs outputs (outputName: checked.${outputName})
+ // passthru;
/* Conditionally set a derivation attribute.
diff --git a/lib/fileset/default.nix b/lib/fileset/default.nix
index c007b60def0a..ce9afc796a3f 100644
--- a/lib/fileset/default.nix
+++ b/lib/fileset/default.nix
@@ -23,6 +23,10 @@
Add files in file sets to the store to use as derivation sources.
+ - [`lib.fileset.toList`](#function-library-lib.fileset.toList):
+
+ The list of files contained in a file set.
+
Combinators:
- [`lib.fileset.union`](#function-library-lib.fileset.union)/[`lib.fileset.unions`](#function-library-lib.fileset.unions):
@@ -102,6 +106,7 @@ let
_coerceMany
_toSourceFilter
_fromSourceFilter
+ _toList
_unionMany
_fileFilter
_printFileset
@@ -412,6 +417,38 @@ in {
filter = sourceFilter;
};
+
+ /*
+ The list of file paths contained in the given file set.
+
+ :::{.note}
+ This function is strict in the entire file set.
+ This is in contrast with combinators [`lib.fileset.union`](#function-library-lib.fileset.union),
+ [`lib.fileset.intersection`](#function-library-lib.fileset.intersection) and [`lib.fileset.difference`](#function-library-lib.fileset.difference).
+
+ Thus it is recommended to call `toList` on file sets created using the combinators,
+ instead of doing list processing on the result of `toList`.
+ :::
+
+ The resulting list of files can be turned back into a file set using [`lib.fileset.unions`](#function-library-lib.fileset.unions).
+
+ Type:
+ toList :: FileSet -> [ Path ]
+
+ Example:
+ toList ./.
+ [ ./README.md ./Makefile ./src/main.c ./src/main.h ]
+
+ toList (difference ./. ./src)
+ [ ./README.md ./Makefile ]
+ */
+ toList =
+ # The file set whose file paths to return.
+ # This argument can also be a path,
+ # which gets [implicitly coerced to a file set](#sec-fileset-path-coercion).
+ fileset:
+ _toList (_coerce "lib.fileset.toList: Argument" fileset);
+
/*
The file set containing all files that are in either of two given file sets.
This is the same as [`unions`](#function-library-lib.fileset.unions),
diff --git a/lib/fileset/internal.nix b/lib/fileset/internal.nix
index f4fcc83e1012..0d97ef174568 100644
--- a/lib/fileset/internal.nix
+++ b/lib/fileset/internal.nix
@@ -18,6 +18,7 @@ let
attrNames
attrValues
mapAttrs
+ mapAttrsToList
optionalAttrs
zipAttrsWith
;
@@ -29,6 +30,7 @@ let
inherit (lib.lists)
all
commonPrefix
+ concatLists
elemAt
filter
findFirst
@@ -539,6 +541,27 @@ rec {
${baseNameOf root} = rootPathType;
};
+ # Turns a file set into the list of file paths it includes.
+ # Type: fileset -> [ Path ]
+ _toList = fileset:
+ let
+ recurse = path: tree:
+ if isAttrs tree then
+ concatLists (mapAttrsToList (name: value:
+ recurse (path + "/${name}") value
+ ) tree)
+ else if tree == "directory" then
+ recurse path (readDir path)
+ else if tree == null then
+ [ ]
+ else
+ [ path ];
+ in
+ if fileset._internalIsEmptyWithoutBase then
+ [ ]
+ else
+ recurse fileset._internalBase fileset._internalTree;
+
# Transforms the filesetTree of a file set to a shorter base path, e.g.
# _shortenTreeBase [ "foo" ] (_create /foo/bar null)
# => { bar = null; }
diff --git a/lib/fileset/tests.sh b/lib/fileset/tests.sh
index af8338eb7855..405fa04d8e06 100755
--- a/lib/fileset/tests.sh
+++ b/lib/fileset/tests.sh
@@ -275,7 +275,6 @@ createTree() {
# )
# checkFileset './a' # Pass the fileset as the argument
checkFileset() {
- # New subshell so that we can have a separate trap handler, see `trap` below
local fileset=$1
# Create the tree
@@ -283,16 +282,20 @@ checkFileset() {
# Process the tree into separate arrays for included paths, excluded paths and excluded files.
local -a included=()
+ local -a includedFiles=()
local -a excluded=()
local -a excludedFiles=()
for p in "${!tree[@]}"; do
case "${tree[$p]}" in
1)
included+=("$p")
+ # If keys end with a `/` we treat them as directories, otherwise files
+ if [[ ! "$p" =~ /$ ]]; then
+ includedFiles+=("$p")
+ fi
;;
0)
excluded+=("$p")
- # If keys end with a `/` we treat them as directories, otherwise files
if [[ ! "$p" =~ /$ ]]; then
excludedFiles+=("$p")
fi
@@ -302,6 +305,10 @@ checkFileset() {
esac
done
+ # Test that lib.fileset.toList contains exactly the included files.
+ # The /#/./ part prefixes each element with `./`
+ expectEqual "toList ($fileset)" "sort lessThan [ ${includedFiles[*]/#/./} ]"
+
expression="toSource { root = ./.; fileset = $fileset; }"
# We don't have lambda's in bash unfortunately,
@@ -338,13 +345,17 @@ checkFileset() {
#### Error messages #####
+# We're using [[:blank:]] here instead of \s, because only the former is POSIX
+# (see https://pubs.opengroup.org/onlinepubs/007908799/xbd/re.html#tag_007_003_005).
+# And indeed, Darwin's bash only supports the former
+
# Absolute paths in strings cannot be passed as `root`
expectFailure 'toSource { root = "/nix/store/foobar"; fileset = ./.; }' 'lib.fileset.toSource: `root` \(/nix/store/foobar\) is a string-like value, but it should be a path instead.
-\s*Paths in strings are not supported by `lib.fileset`, use `lib.sources` or derivations instead.'
+[[:blank:]]*Paths in strings are not supported by `lib.fileset`, use `lib.sources` or derivations instead.'
expectFailure 'toSource { root = cleanSourceWith { src = ./.; }; fileset = ./.; }' 'lib.fileset.toSource: `root` is a `lib.sources`-based value, but it should be a path instead.
-\s*To use a `lib.sources`-based value, convert it to a file set using `lib.fileset.fromSource` and pass it as `fileset`.
-\s*Note that this only works for sources created from paths.'
+[[:blank:]]*To use a `lib.sources`-based value, convert it to a file set using `lib.fileset.fromSource` and pass it as `fileset`.
+[[:blank:]]*Note that this only works for sources created from paths.'
# Only paths are accepted as `root`
expectFailure 'toSource { root = 10; fileset = ./.; }' 'lib.fileset.toSource: `root` is of type int, but it should be a path instead.'
@@ -354,9 +365,9 @@ mkdir -p {foo,bar}/mock-root
expectFailure 'with ((import ).extend (import )).fileset;
toSource { root = ./foo/mock-root; fileset = ./bar/mock-root; }
' 'lib.fileset.toSource: Filesystem roots are not the same for `fileset` and `root` \('"$work"'/foo/mock-root\):
-\s*`root`: Filesystem root is "'"$work"'/foo/mock-root"
-\s*`fileset`: Filesystem root is "'"$work"'/bar/mock-root"
-\s*Different filesystem roots are not supported.'
+[[:blank:]]*`root`: Filesystem root is "'"$work"'/foo/mock-root"
+[[:blank:]]*`fileset`: Filesystem root is "'"$work"'/bar/mock-root"
+[[:blank:]]*Different filesystem roots are not supported.'
rm -rf -- *
# `root` needs to exist
@@ -365,8 +376,8 @@ expectFailure 'toSource { root = ./a; fileset = ./.; }' 'lib.fileset.toSource: `
# `root` needs to be a file
touch a
expectFailure 'toSource { root = ./a; fileset = ./a; }' 'lib.fileset.toSource: `root` \('"$work"'/a\) is a file, but it should be a directory instead. Potential solutions:
-\s*- If you want to import the file into the store _without_ a containing directory, use string interpolation or `builtins.path` instead of this function.
-\s*- If you want to import the file into the store _with_ a containing directory, set `root` to the containing directory, such as '"$work"', and set `fileset` to the file path.'
+[[:blank:]]*- If you want to import the file into the store _without_ a containing directory, use string interpolation or `builtins.path` instead of this function.
+[[:blank:]]*- If you want to import the file into the store _with_ a containing directory, set `root` to the containing directory, such as '"$work"', and set `fileset` to the file path.'
rm -rf -- *
# The fileset argument should be evaluated, even if the directory is empty
@@ -375,36 +386,36 @@ expectFailure 'toSource { root = ./.; fileset = abort "This should be evaluated"
# Only paths under `root` should be able to influence the result
mkdir a
expectFailure 'toSource { root = ./a; fileset = ./.; }' 'lib.fileset.toSource: `fileset` could contain files in '"$work"', which is not under the `root` \('"$work"'/a\). Potential solutions:
-\s*- Set `root` to '"$work"' or any directory higher up. This changes the layout of the resulting store path.
-\s*- Set `fileset` to a file set that cannot contain files outside the `root` \('"$work"'/a\). This could change the files included in the result.'
+[[:blank:]]*- Set `root` to '"$work"' or any directory higher up. This changes the layout of the resulting store path.
+[[:blank:]]*- Set `fileset` to a file set that cannot contain files outside the `root` \('"$work"'/a\). This could change the files included in the result.'
rm -rf -- *
# non-regular and non-symlink files cannot be added to the Nix store
mkfifo a
expectFailure 'toSource { root = ./.; fileset = ./a; }' 'lib.fileset.toSource: `fileset` contains a file that cannot be added to the store: '"$work"'/a
-\s*This file is neither a regular file nor a symlink, the only file types supported by the Nix store.
-\s*Therefore the file set cannot be added to the Nix store as is. Make sure to not include that file to avoid this error.'
+[[:blank:]]*This file is neither a regular file nor a symlink, the only file types supported by the Nix store.
+[[:blank:]]*Therefore the file set cannot be added to the Nix store as is. Make sure to not include that file to avoid this error.'
rm -rf -- *
# Path coercion only works for paths
expectFailure 'toSource { root = ./.; fileset = 10; }' 'lib.fileset.toSource: `fileset` is of type int, but it should be a file set or a path instead.'
expectFailure 'toSource { root = ./.; fileset = "/some/path"; }' 'lib.fileset.toSource: `fileset` \("/some/path"\) is a string-like value, but it should be a file set or a path instead.
-\s*Paths represented as strings are not supported by `lib.fileset`, use `lib.sources` or derivations instead.'
+[[:blank:]]*Paths represented as strings are not supported by `lib.fileset`, use `lib.sources` or derivations instead.'
expectFailure 'toSource { root = ./.; fileset = cleanSourceWith { src = ./.; }; }' 'lib.fileset.toSource: `fileset` is a `lib.sources`-based value, but it should be a file set or a path instead.
-\s*To convert a `lib.sources`-based value to a file set you can use `lib.fileset.fromSource`.
-\s*Note that this only works for sources created from paths.'
+[[:blank:]]*To convert a `lib.sources`-based value to a file set you can use `lib.fileset.fromSource`.
+[[:blank:]]*Note that this only works for sources created from paths.'
# Path coercion errors for non-existent paths
expectFailure 'toSource { root = ./.; fileset = ./a; }' 'lib.fileset.toSource: `fileset` \('"$work"'/a\) is a path that does not exist.
-\s*To create a file set from a path that may not exist, use `lib.fileset.maybeMissing`.'
+[[:blank:]]*To create a file set from a path that may not exist, use `lib.fileset.maybeMissing`.'
# File sets cannot be evaluated directly
expectFailure 'union ./. ./.' 'lib.fileset: Directly evaluating a file set is not supported.
-\s*To turn it into a usable source, use `lib.fileset.toSource`.
-\s*To pretty-print the contents, use `lib.fileset.trace` or `lib.fileset.traceVal`.'
+[[:blank:]]*To turn it into a usable source, use `lib.fileset.toSource`.
+[[:blank:]]*To pretty-print the contents, use `lib.fileset.trace` or `lib.fileset.traceVal`.'
expectFailure '_emptyWithoutBase' 'lib.fileset: Directly evaluating a file set is not supported.
-\s*To turn it into a usable source, use `lib.fileset.toSource`.
-\s*To pretty-print the contents, use `lib.fileset.trace` or `lib.fileset.traceVal`.'
+[[:blank:]]*To turn it into a usable source, use `lib.fileset.toSource`.
+[[:blank:]]*To pretty-print the contents, use `lib.fileset.trace` or `lib.fileset.traceVal`.'
# Past versions of the internal representation are supported
expectEqual '_coerce ": value" { _type = "fileset"; _internalVersion = 0; _internalBase = ./.; }' \
@@ -416,9 +427,9 @@ expectEqual '_coerce ": value" { _type = "fileset"; _internalVersion = 2;
# Future versions of the internal representation are unsupported
expectFailure '_coerce ": value" { _type = "fileset"; _internalVersion = 4; }' ': value is a file set created from a future version of the file set library with a different internal representation:
-\s*- Internal version of the file set: 4
-\s*- Internal version of the library: 3
-\s*Make sure to update your Nixpkgs to have a newer version of `lib.fileset`.'
+[[:blank:]]*- Internal version of the file set: 4
+[[:blank:]]*- Internal version of the library: 3
+[[:blank:]]*Make sure to update your Nixpkgs to have a newer version of `lib.fileset`.'
# _create followed by _coerce should give the inputs back without any validation
expectEqual '{
@@ -511,6 +522,19 @@ expectEqual '_toSourceFilter (_create /. { foo = "regular"; }) "/foo" ""' 'true'
expectEqual '_toSourceFilter (_create /. { foo = null; }) "/foo" ""' 'false'
+## lib.fileset.toList
+# This function is mainly tested in checkFileset
+
+# The error context for an invalid argument must be correct
+expectFailure 'toList null' 'lib.fileset.toList: Argument is of type null, but it should be a file set or a path instead.'
+
+# Works for the empty fileset
+expectEqual 'toList _emptyWithoutBase' '[ ]'
+
+# Works on empty paths
+expectEqual 'toList ./.' '[ ]'
+
+
## lib.fileset.union, lib.fileset.unions
@@ -519,16 +543,16 @@ mkdir -p {foo,bar}/mock-root
expectFailure 'with ((import ).extend (import )).fileset;
toSource { root = ./.; fileset = union ./foo/mock-root ./bar/mock-root; }
' 'lib.fileset.union: Filesystem roots are not the same:
-\s*First argument: Filesystem root is "'"$work"'/foo/mock-root"
-\s*Second argument: Filesystem root is "'"$work"'/bar/mock-root"
-\s*Different filesystem roots are not supported.'
+[[:blank:]]*First argument: Filesystem root is "'"$work"'/foo/mock-root"
+[[:blank:]]*Second argument: Filesystem root is "'"$work"'/bar/mock-root"
+[[:blank:]]*Different filesystem roots are not supported.'
expectFailure 'with ((import ).extend (import )).fileset;
toSource { root = ./.; fileset = unions [ ./foo/mock-root ./bar/mock-root ]; }
' 'lib.fileset.unions: Filesystem roots are not the same:
-\s*Element 0: Filesystem root is "'"$work"'/foo/mock-root"
-\s*Element 1: Filesystem root is "'"$work"'/bar/mock-root"
-\s*Different filesystem roots are not supported.'
+[[:blank:]]*Element 0: Filesystem root is "'"$work"'/foo/mock-root"
+[[:blank:]]*Element 1: Filesystem root is "'"$work"'/bar/mock-root"
+[[:blank:]]*Different filesystem roots are not supported.'
rm -rf -- *
# Coercion errors show the correct context
@@ -632,9 +656,9 @@ mkdir -p {foo,bar}/mock-root
expectFailure 'with ((import ).extend (import )).fileset;
toSource { root = ./.; fileset = intersection ./foo/mock-root ./bar/mock-root; }
' 'lib.fileset.intersection: Filesystem roots are not the same:
-\s*First argument: Filesystem root is "'"$work"'/foo/mock-root"
-\s*Second argument: Filesystem root is "'"$work"'/bar/mock-root"
-\s*Different filesystem roots are not supported.'
+[[:blank:]]*First argument: Filesystem root is "'"$work"'/foo/mock-root"
+[[:blank:]]*Second argument: Filesystem root is "'"$work"'/bar/mock-root"
+[[:blank:]]*Different filesystem roots are not supported.'
rm -rf -- *
# Coercion errors show the correct context
@@ -741,8 +765,8 @@ rm -rf -- *
# Also not the other way around
mkdir a
expectFailure 'toSource { root = ./a; fileset = difference ./. ./a; }' 'lib.fileset.toSource: `fileset` could contain files in '"$work"', which is not under the `root` \('"$work"'/a\). Potential solutions:
-\s*- Set `root` to '"$work"' or any directory higher up. This changes the layout of the resulting store path.
-\s*- Set `fileset` to a file set that cannot contain files outside the `root` \('"$work"'/a\). This could change the files included in the result.'
+[[:blank:]]*- Set `root` to '"$work"' or any directory higher up. This changes the layout of the resulting store path.
+[[:blank:]]*- Set `fileset` to a file set that cannot contain files outside the `root` \('"$work"'/a\). This could change the files included in the result.'
rm -rf -- *
# Difference actually works
@@ -819,7 +843,7 @@ expectFailure 'fileFilter null (abort "this is not needed")' 'lib.fileset.fileFi
# The second argument needs to be an existing path
expectFailure 'fileFilter (file: abort "this is not needed") _emptyWithoutBase' 'lib.fileset.fileFilter: Second argument is a file set, but it should be a path instead.
-\s*If you need to filter files in a file set, use `intersection fileset \(fileFilter pred \./\.\)` instead.'
+[[:blank:]]*If you need to filter files in a file set, use `intersection fileset \(fileFilter pred \./\.\)` instead.'
expectFailure 'fileFilter (file: abort "this is not needed") null' 'lib.fileset.fileFilter: Second argument is of type null, but it should be a path instead.'
expectFailure 'fileFilter (file: abort "this is not needed") ./a' 'lib.fileset.fileFilter: Second argument \('"$work"'/a\) is a path that does not exist.'
@@ -1083,7 +1107,7 @@ rm -rf -- *
# String-like values are not supported
expectFailure 'fromSource (lib.cleanSource "")' 'lib.fileset.fromSource: The source origin of the argument is a string-like value \(""\), but it should be a path instead.
-\s*Sources created from paths in strings cannot be turned into file sets, use `lib.sources` or derivations instead.'
+[[:blank:]]*Sources created from paths in strings cannot be turned into file sets, use `lib.sources` or derivations instead.'
# Wrong type
expectFailure 'fromSource null' 'lib.fileset.fromSource: The source origin of the argument is of type null, but it should be a path instead.'
@@ -1400,10 +1424,10 @@ expectEqual '(import '"$storePath"' { fs = lib.fileset; }).outPath' \""$storePat
## But it fails if the path is imported with a fetcher that doesn't remove .git (like just using "${./.}")
expectFailure 'import "${./.}" { fs = lib.fileset; }' 'lib.fileset.gitTracked: The argument \(.*\) is a store path within a working tree of a Git repository.
-\s*This indicates that a source directory was imported into the store using a method such as `import "\$\{./.\}"` or `path:.`.
-\s*This function currently does not support such a use case, since it currently relies on `builtins.fetchGit`.
-\s*You could make this work by using a fetcher such as `fetchGit` instead of copying the whole repository.
-\s*If you can'\''t avoid copying the repo to the store, see https://github.com/NixOS/nix/issues/9292.'
+[[:blank:]]*This indicates that a source directory was imported into the store using a method such as `import "\$\{./.\}"` or `path:.`.
+[[:blank:]]*This function currently does not support such a use case, since it currently relies on `builtins.fetchGit`.
+[[:blank:]]*You could make this work by using a fetcher such as `fetchGit` instead of copying the whole repository.
+[[:blank:]]*If you can'\''t avoid copying the repo to the store, see https://github.com/NixOS/nix/issues/9292.'
## Even with submodules
if [[ -n "$fetchGitSupportsSubmodules" ]]; then
@@ -1427,15 +1451,15 @@ if [[ -n "$fetchGitSupportsSubmodules" ]]; then
## But it fails if the path is imported with a fetcher that doesn't remove .git (like just using "${./.}")
expectFailure 'import "${./.}" { fs = lib.fileset; }' 'lib.fileset.gitTrackedWith: The second argument \(.*\) is a store path within a working tree of a Git repository.
- \s*This indicates that a source directory was imported into the store using a method such as `import "\$\{./.\}"` or `path:.`.
- \s*This function currently does not support such a use case, since it currently relies on `builtins.fetchGit`.
- \s*You could make this work by using a fetcher such as `fetchGit` instead of copying the whole repository.
- \s*If you can'\''t avoid copying the repo to the store, see https://github.com/NixOS/nix/issues/9292.'
+ [[:blank:]]*This indicates that a source directory was imported into the store using a method such as `import "\$\{./.\}"` or `path:.`.
+ [[:blank:]]*This function currently does not support such a use case, since it currently relies on `builtins.fetchGit`.
+ [[:blank:]]*You could make this work by using a fetcher such as `fetchGit` instead of copying the whole repository.
+ [[:blank:]]*If you can'\''t avoid copying the repo to the store, see https://github.com/NixOS/nix/issues/9292.'
expectFailure 'import "${./.}/sub" { fs = lib.fileset; }' 'lib.fileset.gitTracked: The argument \(.*/sub\) is a store path within a working tree of a Git repository.
- \s*This indicates that a source directory was imported into the store using a method such as `import "\$\{./.\}"` or `path:.`.
- \s*This function currently does not support such a use case, since it currently relies on `builtins.fetchGit`.
- \s*You could make this work by using a fetcher such as `fetchGit` instead of copying the whole repository.
- \s*If you can'\''t avoid copying the repo to the store, see https://github.com/NixOS/nix/issues/9292.'
+ [[:blank:]]*This indicates that a source directory was imported into the store using a method such as `import "\$\{./.\}"` or `path:.`.
+ [[:blank:]]*This function currently does not support such a use case, since it currently relies on `builtins.fetchGit`.
+ [[:blank:]]*You could make this work by using a fetcher such as `fetchGit` instead of copying the whole repository.
+ [[:blank:]]*If you can'\''t avoid copying the repo to the store, see https://github.com/NixOS/nix/issues/9292.'
fi
rm -rf -- *
diff --git a/lib/fixed-points.nix b/lib/fixed-points.nix
index 3370b55a4ab9..3bd18fdd2a5a 100644
--- a/lib/fixed-points.nix
+++ b/lib/fixed-points.nix
@@ -145,6 +145,12 @@ rec {
in fix g
```
+ :::{.note}
+ The argument to the given fixed-point function after applying an overlay will *not* refer to its own return value, but rather to the value after evaluating the overlay function.
+
+ The given fixed-point function is called with a separate argument than if it was evaluated with `lib.fix`.
+ :::
+
:::{.example}
# Extend a fixed-point function with an overlay
@@ -230,13 +236,6 @@ rec {
fix (extends (final: prev: { c = final.a + final.b; }) f)
=> { a = 1; b = 3; c = 4; }
-
- :::{.note}
- The argument to the given fixed-point function after applying an overlay will *not* refer to its own return value, but rather to the value after evaluating the overlay function.
-
- The given fixed-point function is called with a separate argument than if it was evaluated with `lib.fix`.
- The new argument
- :::
*/
extends =
# The overlay to apply to the fixed-point function
diff --git a/lib/generators.nix b/lib/generators.nix
index ed59654cc07e..5f42a98de709 100644
--- a/lib/generators.nix
+++ b/lib/generators.nix
@@ -14,15 +14,58 @@
* Documentation in the manual, #sec-generators
*/
{ lib }:
-with (lib).trivial;
+
let
- libStr = lib.strings;
- libAttr = lib.attrsets;
+ inherit (lib)
+ addErrorContext
+ assertMsg
+ attrNames
+ concatLists
+ concatMapStringsSep
+ concatStrings
+ concatStringsSep
+ const
+ elem
+ escape
+ filter
+ flatten
+ foldl
+ functionArgs # Note: not the builtin; considers `__functor` in attrsets.
+ gvariant
+ hasInfix
+ head
+ id
+ init
+ isAttrs
+ isBool
+ isDerivation
+ isFloat
+ isFunction # Note: not the builtin; considers `__functor` in attrsets.
+ isInt
+ isList
+ isPath
+ isString
+ last
+ length
+ mapAttrs
+ mapAttrsToList
+ optionals
+ recursiveUpdate
+ replaceStrings
+ reverseList
+ splitString
+ tail
+ toList
+ ;
- inherit (lib) isFunction;
-in
-
-rec {
+ inherit (lib.strings)
+ escapeNixIdentifier
+ floatToString
+ match
+ split
+ toJSON
+ typeOf
+ ;
## -- HELPER FUNCTIONS & DEFAULTS --
@@ -30,13 +73,13 @@ rec {
* The builtin `toString` function has some strange defaults,
* suitable for bash scripts but not much else.
*/
- mkValueStringDefault = {}: v: with builtins;
+ mkValueStringDefault = {}: v:
let err = t: v: abort
("generators.mkValueStringDefault: " +
"${t} not supported: ${toPretty {} v}");
in if isInt v then toString v
# convert derivations to store paths
- else if lib.isDerivation v then toString v
+ else if isDerivation v then toString v
# we default to not quoting strings
else if isString v then v
# isString returns "1", which is not a good default
@@ -53,7 +96,7 @@ rec {
# Floats currently can't be converted to precise strings,
# condition warning on nix version once this isn't a problem anymore
# See https://github.com/NixOS/nix/pull/3480
- else if isFloat v then libStr.floatToString v
+ else if isFloat v then floatToString v
else err "this value is" (toString v);
@@ -69,7 +112,7 @@ rec {
mkKeyValueDefault = {
mkValueString ? mkValueStringDefault {}
}: sep: k: v:
- "${libStr.escape [sep] k}${sep}${mkValueString v}";
+ "${escape [sep] k}${sep}${mkValueString v}";
## -- FILE FORMAT GENERATORS --
@@ -86,9 +129,9 @@ rec {
}:
let mkLine = k: v: indent + mkKeyValue k v + "\n";
mkLines = if listsAsDuplicateKeys
- then k: v: map (mkLine k) (if lib.isList v then v else [v])
+ then k: v: map (mkLine k) (if isList v then v else [v])
else k: v: [ (mkLine k v) ];
- in attrs: libStr.concatStrings (lib.concatLists (libAttr.mapAttrsToList mkLines attrs));
+ in attrs: concatStrings (concatLists (mapAttrsToList mkLines attrs));
/* Generate an INI-style config file from an
@@ -113,7 +156,7 @@ rec {
*/
toINI = {
# apply transformations (e.g. escapes) to section names
- mkSectionName ? (name: libStr.escape [ "[" "]" ] name),
+ mkSectionName ? (name: escape [ "[" "]" ] name),
# format a setting line from key and value
mkKeyValue ? mkKeyValueDefault {} "=",
# allow lists as values for duplicate keys
@@ -122,8 +165,8 @@ rec {
let
# map function to string for each key val
mapAttrsToStringsSep = sep: mapFn: attrs:
- libStr.concatStringsSep sep
- (libAttr.mapAttrsToList mapFn attrs);
+ concatStringsSep sep
+ (mapAttrsToList mapFn attrs);
mkSection = sectName: sectValues: ''
[${mkSectionName sectName}]
'' + toKeyValue { inherit mkKeyValue listsAsDuplicateKeys; } sectValues;
@@ -164,7 +207,7 @@ rec {
*/
toINIWithGlobalSection = {
# apply transformations (e.g. escapes) to section names
- mkSectionName ? (name: libStr.escape [ "[" "]" ] name),
+ mkSectionName ? (name: escape [ "[" "]" ] name),
# format a setting line from key and value
mkKeyValue ? mkKeyValueDefault {} "=",
# allow lists as values for duplicate keys
@@ -195,12 +238,11 @@ rec {
*> name = "edolstra"
*/
toGitINI = attrs:
- with builtins;
let
mkSectionName = name:
let
- containsQuote = libStr.hasInfix ''"'' name;
- sections = libStr.splitString "." name;
+ containsQuote = hasInfix ''"'' name;
+ sections = splitString "." name;
section = head sections;
subsections = tail sections;
subsection = concatStringsSep "." subsections;
@@ -220,19 +262,19 @@ rec {
# generation for multiple ini values
mkKeyValue = k: v:
let mkKeyValue = mkKeyValueDefault { inherit mkValueString; } " = " k;
- in concatStringsSep "\n" (map (kv: "\t" + mkKeyValue kv) (lib.toList v));
+ in concatStringsSep "\n" (map (kv: "\t" + mkKeyValue kv) (toList v));
# converts { a.b.c = 5; } to { "a.b".c = 5; } for toINI
gitFlattenAttrs = let
recurse = path: value:
- if isAttrs value && !lib.isDerivation value then
- lib.mapAttrsToList (name: value: recurse ([ name ] ++ path) value) value
+ if isAttrs value && !isDerivation value then
+ mapAttrsToList (name: value: recurse ([ name ] ++ path) value) value
else if length path > 1 then {
- ${concatStringsSep "." (lib.reverseList (tail path))}.${head path} = value;
+ ${concatStringsSep "." (reverseList (tail path))}.${head path} = value;
} else {
${head path} = value;
};
- in attrs: lib.foldl lib.recursiveUpdate { } (lib.flatten (recurse [ ] attrs));
+ in attrs: foldl recursiveUpdate { } (flatten (recurse [ ] attrs));
toINI_ = toINI { inherit mkKeyValue mkSectionName; };
in
@@ -240,25 +282,12 @@ rec {
# mkKeyValueDefault wrapper that handles dconf INI quirks.
# The main differences of the format is that it requires strings to be quoted.
- mkDconfKeyValue = mkKeyValueDefault { mkValueString = v: toString (lib.gvariant.mkValue v); } "=";
+ mkDconfKeyValue = mkKeyValueDefault { mkValueString = v: toString (gvariant.mkValue v); } "=";
# Generates INI in dconf keyfile style. See https://help.gnome.org/admin/system-admin-guide/stable/dconf-keyfiles.html.en
# for details.
toDconfINI = toINI { mkKeyValue = mkDconfKeyValue; };
- /* Generates JSON from an arbitrary (non-function) value.
- * For more information see the documentation of the builtin.
- */
- toJSON = {}: builtins.toJSON;
-
-
- /* YAML has been a strict superset of JSON since 1.2, so we
- * use toJSON. Before it only had a few differences referring
- * to implicit typing rules, so it should work with older
- * parsers as well.
- */
- toYAML = toJSON;
-
withRecursion =
{
/* If this option is not null, the given value will stop evaluating at a certain depth */
@@ -266,7 +295,7 @@ rec {
/* If this option is true, an error will be thrown, if a certain given depth is exceeded */
, throwOnDepthLimit ? true
}:
- assert builtins.isInt depthLimit;
+ assert isInt depthLimit;
let
specialAttrs = [
"__functor"
@@ -275,7 +304,7 @@ rec {
"__pretty"
];
stepIntoAttr = evalNext: name:
- if builtins.elem name specialAttrs
+ if elem name specialAttrs
then id
else evalNext;
transform = depth:
@@ -284,7 +313,7 @@ rec {
then throw "Exceeded maximum eval-depth limit of ${toString depthLimit} while trying to evaluate with `generators.withRecursion'!"
else const ""
else id;
- mapAny = with builtins; depth: v:
+ mapAny = depth: v:
let
evalNext = x: mapAny (depth + 1) (transform (depth + 1) x);
in
@@ -311,9 +340,8 @@ rec {
indent ? ""
}:
let
- go = indent: v: with builtins;
- let isPath = v: typeOf v == "path";
- introSpace = if multiline then "\n${indent} " else " ";
+ go = indent: v:
+ let introSpace = if multiline then "\n${indent} " else " ";
outroSpace = if multiline then "\n${indent}" else " ";
in if isInt v then toString v
# toString loses precision on floats, so we use toJSON instead. This isn't perfect
@@ -322,16 +350,16 @@ rec {
else if isFloat v then builtins.toJSON v
else if isString v then
let
- lines = filter (v: ! isList v) (builtins.split "\n" v);
- escapeSingleline = libStr.escape [ "\\" "\"" "\${" ];
- escapeMultiline = libStr.replaceStrings [ "\${" "''" ] [ "''\${" "'''" ];
+ lines = filter (v: ! isList v) (split "\n" v);
+ escapeSingleline = escape [ "\\" "\"" "\${" ];
+ escapeMultiline = replaceStrings [ "\${" "''" ] [ "''\${" "'''" ];
singlelineResult = "\"" + concatStringsSep "\\n" (map escapeSingleline lines) + "\"";
multilineResult = let
escapedLines = map escapeMultiline lines;
# The last line gets a special treatment: if it's empty, '' is on its own line at the "outer"
# indentation level. Otherwise, '' is appended to the last line.
- lastLine = lib.last escapedLines;
- in "''" + introSpace + concatStringsSep introSpace (lib.init escapedLines)
+ lastLine = last escapedLines;
+ in "''" + introSpace + concatStringsSep introSpace (init escapedLines)
+ (if lastLine == "" then outroSpace else introSpace + lastLine) + "''";
in
if multiline && length lines > 1 then multilineResult else singlelineResult
@@ -342,11 +370,11 @@ rec {
else if isList v then
if v == [] then "[ ]"
else "[" + introSpace
- + libStr.concatMapStringsSep introSpace (go (indent + " ")) v
+ + concatMapStringsSep introSpace (go (indent + " ")) v
+ outroSpace + "]"
else if isFunction v then
- let fna = lib.functionArgs v;
- showFnas = concatStringsSep ", " (libAttr.mapAttrsToList
+ let fna = functionArgs v;
+ showFnas = concatStringsSep ", " (mapAttrsToList
(name: hasDefVal: if hasDefVal then name + "?" else name)
fna);
in if fna == {} then ""
@@ -359,10 +387,10 @@ rec {
else if v ? type && v.type == "derivation" then
""
else "{" + introSpace
- + libStr.concatStringsSep introSpace (libAttr.mapAttrsToList
+ + concatStringsSep introSpace (mapAttrsToList
(name: value:
- "${libStr.escapeNixIdentifier name} = ${
- builtins.addErrorContext "while evaluating an attribute `${name}`"
+ "${escapeNixIdentifier name} = ${
+ addErrorContext "while evaluating an attribute `${name}`"
(go (indent + " ") value)
};") v)
+ outroSpace + "}"
@@ -371,9 +399,7 @@ rec {
# PLIST handling
toPlist = {}: v: let
- isFloat = builtins.isFloat or (x: false);
- isPath = x: builtins.typeOf x == "path";
- expr = ind: x: with builtins;
+ expr = ind: x:
if x == null then "" else
if isBool x then bool ind x else
if isInt x then int ind x else
@@ -394,23 +420,23 @@ rec {
indent = ind: expr "\t${ind}";
- item = ind: libStr.concatMapStringsSep "\n" (indent ind);
+ item = ind: concatMapStringsSep "\n" (indent ind);
- list = ind: x: libStr.concatStringsSep "\n" [
+ list = ind: x: concatStringsSep "\n" [
(literal ind "")
(item ind x)
(literal ind "")
];
- attrs = ind: x: libStr.concatStringsSep "\n" [
+ attrs = ind: x: concatStringsSep "\n" [
(literal ind "")
(attr ind x)
(literal ind "")
];
attr = let attrFilter = name: value: name != "_module" && value != null;
- in ind: x: libStr.concatStringsSep "\n" (lib.flatten (lib.mapAttrsToList
- (name: value: lib.optionals (attrFilter name value) [
+ in ind: x: concatStringsSep "\n" (flatten (mapAttrsToList
+ (name: value: optionals (attrFilter name value) [
(key "\t${ind}" name)
(expr "\t${ind}" value)
]) x));
@@ -426,11 +452,10 @@ ${expr "" v}
* the Natural type.
*/
toDhall = { }@args: v:
- with builtins;
- let concatItems = lib.strings.concatStringsSep ", ";
+ let concatItems = concatStringsSep ", ";
in if isAttrs v then
"{ ${
- concatItems (lib.attrsets.mapAttrsToList
+ concatItems (mapAttrsToList
(key: value: "${key} = ${toDhall args value}") v)
} }"
else if isList v then
@@ -444,7 +469,7 @@ ${expr "" v}
else if v == null then
abort "generators.toDhall: cannot convert a null to Dhall"
else
- builtins.toJSON v;
+ toJSON v;
/*
Translate a simple Nix expression to Lua representation with occasional
@@ -488,7 +513,6 @@ ${expr "" v}
/* Interpret as variable bindings */
asBindings ? false,
}@args: v:
- with builtins;
let
innerIndent = "${indent} ";
introSpace = if multiline then "\n${innerIndent}" else " ";
@@ -501,9 +525,9 @@ ${expr "" v}
isLuaInline = { _type ? null, ... }: _type == "lua-inline";
generatedBindings =
- assert lib.assertMsg (badVarNames == []) "Bad Lua var names: ${toPretty {} badVarNames}";
- libStr.concatStrings (
- lib.attrsets.mapAttrsToList (key: value: "${indent}${key} = ${toLua innerArgs value}\n") v
+ assert assertMsg (badVarNames == []) "Bad Lua var names: ${toPretty {} badVarNames}";
+ concatStrings (
+ mapAttrsToList (key: value: "${indent}${key} = ${toLua innerArgs value}\n") v
);
# https://en.wikibooks.org/wiki/Lua_Programming/variable#Variable_names
@@ -515,7 +539,7 @@ ${expr "" v}
else if v == null then
"nil"
else if isInt v || isFloat v || isString v || isBool v then
- builtins.toJSON v
+ toJSON v
else if isList v then
(if v == [ ] then "{}" else
"{${introSpace}${concatItems (map (value: "${toLua innerArgs value}") v)}${outroSpace}}")
@@ -525,11 +549,11 @@ ${expr "" v}
"(${v.expr})"
else if v == { } then
"{}"
- else if libAttr.isDerivation v then
+ else if isDerivation v then
''"${toString v}"''
else
"{${introSpace}${concatItems (
- lib.attrsets.mapAttrsToList (key: value: "[${builtins.toJSON key}] = ${toLua innerArgs value}") v
+ mapAttrsToList (key: value: "[${toJSON key}] = ${toLua innerArgs value}") v
)}${outroSpace}}"
)
else
@@ -542,4 +566,37 @@ ${expr "" v}
mkLuaInline :: String -> AttrSet
*/
mkLuaInline = expr: { _type = "lua-inline"; inherit expr; };
+
+in
+
+# Everything in this attrset is the public interface of the file.
+{
+ inherit
+ mkDconfKeyValue
+ mkKeyValueDefault
+ mkLuaInline
+ mkValueStringDefault
+ toDconfINI
+ toDhall
+ toGitINI
+ toINI
+ toINIWithGlobalSection
+ toKeyValue
+ toLua
+ toPlist
+ toPretty
+ withRecursion
+ ;
+
+ /* Generates JSON from an arbitrary (non-function) value.
+ * For more information see the documentation of the builtin.
+ */
+ toJSON = {}: toJSON;
+
+ /* YAML has been a strict superset of JSON since 1.2, so we
+ * use toJSON. Before it only had a few differences referring
+ * to implicit typing rules, so it should work with older
+ * parsers as well.
+ */
+ toYAML = {}: toJSON;
}
diff --git a/lib/kernel.nix b/lib/kernel.nix
index 33da9663a8ed..7391f9e5d079 100644
--- a/lib/kernel.nix
+++ b/lib/kernel.nix
@@ -1,6 +1,8 @@
{ lib }:
-with lib;
+let
+ inherit (lib) mkIf versionAtLeast versionOlder;
+in
{
diff --git a/lib/licenses.nix b/lib/licenses.nix
index 39d8272f7573..4cda7e5c01a3 100644
--- a/lib/licenses.nix
+++ b/lib/licenses.nix
@@ -412,6 +412,11 @@ in mkLicense lset) ({
fullName = "Detection Rule License 1.0";
};
+ dtoa = {
+ spdxId = "dtoa";
+ fullName = "dtoa License";
+ };
+
eapl = {
fullName = "EPSON AVASYS PUBLIC LICENSE";
url = "https://avasys.jp/hp/menu000000700/hpg000000603.htm";
@@ -594,6 +599,11 @@ in mkLicense lset) ({
url = "https://fedoraproject.org/wiki/Licensing/GPL_Classpath_Exception";
};
+ giftware = {
+ spdxId = "Giftware";
+ fullName = "Giftware License";
+ };
+
hpnd = {
spdxId = "HPND";
fullName = "Historic Permission Notice and Disclaimer";
@@ -604,6 +614,11 @@ in mkLicense lset) ({
spdxId = "HPND-sell-variant";
};
+ hpndUc = {
+ spdxId = "HPND-UC";
+ fullName = "Historical Permission Notice and Disclaimer - University of California variant";
+ };
+
# Intel's license, seems free
iasl = {
spdxId = "Intel-ACPI";
@@ -889,6 +904,11 @@ in mkLicense lset) ({
url = "https://raw.githubusercontent.com/netdata/netdata/master/web/gui/v2/LICENSE.md";
};
+ nistSoftware = {
+ spdxId = "NIST-Software";
+ fullName = "NIST Software License";
+ };
+
nlpl = {
spdxId = "NLPL";
fullName = "No Limit Public License";
@@ -1066,6 +1086,11 @@ in mkLicense lset) ({
url = "https://sources.debian.org/copyright/license/debianutils/4.9.1/";
};
+ smlnj = {
+ spdxId = "SMLNJ";
+ fullName = "Standard ML of New Jersey License";
+ };
+
sspl = {
shortName = "SSPL";
fullName = "Server Side Public License";
@@ -1215,6 +1240,11 @@ in mkLicense lset) ({
url = "https://mcj.sourceforge.net/authors.html#xfig";
};
+ xinetd = {
+ spdxId = "xinetd";
+ fullName = "xinetd License";
+ };
+
zlib = {
spdxId = "Zlib";
fullName = "zlib License";
@@ -1229,13 +1259,13 @@ in mkLicense lset) ({
spdxId = "ZPL-2.1";
fullName = "Zope Public License 2.1";
};
+
+ xskat = {
+ spdxId = "XSkat";
+ fullName = "XSkat License";
+ };
} // {
# TODO: remove legacy aliases
- agpl3 = {
- spdxId = "AGPL-3.0";
- fullName = "GNU Affero General Public License v3.0";
- deprecated = true;
- };
gpl2 = {
spdxId = "GPL-2.0";
fullName = "GNU General Public License v2.0";
diff --git a/lib/lists.nix b/lib/lists.nix
index b612bc16697e..c162f921280d 100644
--- a/lib/lists.nix
+++ b/lib/lists.nix
@@ -1,54 +1,122 @@
-/* General list operations. */
+/**
+ General list operations.
+*/
{ lib }:
let
inherit (lib.strings) toInt;
inherit (lib.trivial) compare min id warn;
inherit (lib.attrsets) mapAttrs;
- inherit (lib.lists) sort;
in
rec {
inherit (builtins) head tail length isList elemAt concatLists filter elem genList map;
- /* Create a list consisting of a single element. `singleton x` is
- sometimes more convenient with respect to indentation than `[x]`
- when x spans multiple lines.
+ /**
+ Create a list consisting of a single element. `singleton x` is
+ sometimes more convenient with respect to indentation than `[x]`
+ when x spans multiple lines.
- Type: singleton :: a -> [a]
+ # Inputs
- Example:
- singleton "foo"
- => [ "foo" ]
+ `x`
+
+ : 1\. Function argument
+
+ # Type
+
+ ```
+ singleton :: a -> [a]
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.singleton` usage example
+
+ ```nix
+ singleton "foo"
+ => [ "foo" ]
+ ```
+
+ :::
*/
singleton = x: [x];
- /* Apply the function to each element in the list. Same as `map`, but arguments
- flipped.
+ /**
+ Apply the function to each element in the list.
+ Same as `map`, but arguments flipped.
- Type: forEach :: [a] -> (a -> b) -> [b]
+ # Inputs
- Example:
- forEach [ 1 2 ] (x:
- toString x
- )
- => [ "1" "2" ]
+ `xs`
+
+ : 1\. Function argument
+
+ `f`
+
+ : 2\. Function argument
+
+ # Type
+
+ ```
+ forEach :: [a] -> (a -> b) -> [b]
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.forEach` usage example
+
+ ```nix
+ forEach [ 1 2 ] (x:
+ toString x
+ )
+ => [ "1" "2" ]
+ ```
+
+ :::
*/
forEach = xs: f: map f xs;
- /* “right fold” a binary function `op` between successive elements of
- `list` with `nul` as the starting value, i.e.,
- `foldr op nul [x_1 x_2 ... x_n] == op x_1 (op x_2 ... (op x_n nul))`.
+ /**
+ “right fold” a binary function `op` between successive elements of
+ `list` with `nul` as the starting value, i.e.,
+ `foldr op nul [x_1 x_2 ... x_n] == op x_1 (op x_2 ... (op x_n nul))`.
- Type: foldr :: (a -> b -> b) -> b -> [a] -> b
- Example:
- concat = foldr (a: b: a + b) "z"
- concat [ "a" "b" "c" ]
- => "abcz"
- # different types
- strange = foldr (int: str: toString (int + 1) + str) "a"
- strange [ 1 2 3 4 ]
- => "2345a"
+ # Inputs
+
+ `op`
+
+ : 1\. Function argument
+
+ `nul`
+
+ : 2\. Function argument
+
+ `list`
+
+ : 3\. Function argument
+
+ # Type
+
+ ```
+ foldr :: (a -> b -> b) -> b -> [a] -> b
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.foldr` usage example
+
+ ```nix
+ concat = foldr (a: b: a + b) "z"
+ concat [ "a" "b" "c" ]
+ => "abcz"
+ # different types
+ strange = foldr (int: str: toString (int + 1) + str) "a"
+ strange [ 1 2 3 4 ]
+ => "2345a"
+ ```
+
+ :::
*/
foldr = op: nul: list:
let
@@ -59,24 +127,53 @@ rec {
else op (elemAt list n) (fold' (n + 1));
in fold' 0;
- /* `fold` is an alias of `foldr` for historic reasons */
+ /**
+ `fold` is an alias of `foldr` for historic reasons
+ */
# FIXME(Profpatsch): deprecate?
fold = foldr;
- /* “left fold”, like `foldr`, but from the left:
- `foldl op nul [x_1 x_2 ... x_n] == op (... (op (op nul x_1) x_2) ... x_n)`.
+ /**
+ “left fold”, like `foldr`, but from the left:
- Type: foldl :: (b -> a -> b) -> b -> [a] -> b
+ `foldl op nul [x_1 x_2 ... x_n] == op (... (op (op nul x_1) x_2) ... x_n)`.
- Example:
- lconcat = foldl (a: b: a + b) "z"
- lconcat [ "a" "b" "c" ]
- => "zabc"
- # different types
- lstrange = foldl (str: int: str + toString (int + 1)) "a"
- lstrange [ 1 2 3 4 ]
- => "a2345"
+ # Inputs
+
+ `op`
+
+ : 1\. Function argument
+
+ `nul`
+
+ : 2\. Function argument
+
+ `list`
+
+ : 3\. Function argument
+
+ # Type
+
+ ```
+ foldl :: (b -> a -> b) -> b -> [a] -> b
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.foldl` usage example
+
+ ```nix
+ lconcat = foldl (a: b: a + b) "z"
+ lconcat [ "a" "b" "c" ]
+ => "zabc"
+ # different types
+ lstrange = foldl (str: int: str + toString (int + 1)) "a"
+ lstrange [ 1 2 3 4 ]
+ => "a2345"
+ ```
+
+ :::
*/
foldl = op: nul: list:
let
@@ -86,7 +183,7 @@ rec {
else op (foldl' (n - 1)) (elemAt list n);
in foldl' (length list - 1);
- /*
+ /**
Reduce a list by applying a binary operator from left to right,
starting with an initial accumulator.
@@ -120,131 +217,305 @@ rec {
op (op (... (op (op (op acc₀ x₀) x₁) x₂) ...) xₙ₋₁) xₙ
```
- Type: foldl' :: (acc -> x -> acc) -> acc -> [x] -> acc
+ # Inputs
- Example:
- foldl' (acc: x: acc + x) 0 [1 2 3]
- => 6
- */
- foldl' =
- /* The binary operation to run, where the two arguments are:
+ `op`
+
+ : The binary operation to run, where the two arguments are:
1. `acc`: The current accumulator value: Either the initial one for the first iteration, or the result of the previous iteration
2. `x`: The corresponding list element for this iteration
- */
- op:
- # The initial accumulator value
- acc:
- # The list to fold
- list:
+ `acc`
+
+ : The initial accumulator value.
+
+ The accumulator value is evaluated in any case before the first iteration starts.
+
+ To avoid evaluation even before the `list` argument is given an eta expansion can be used:
+
+ ```nix
+ list: lib.foldl' op acc list
+ ```
+
+ `list`
+
+ : The list to fold
+
+ # Type
+
+ ```
+ foldl' :: (acc -> x -> acc) -> acc -> [x] -> acc
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.foldl'` usage example
+
+ ```nix
+ foldl' (acc: x: acc + x) 0 [1 2 3]
+ => 6
+ ```
+
+ :::
+ */
+ foldl' =
+ op:
+ acc:
# The builtin `foldl'` is a bit lazier than one might expect.
# See https://github.com/NixOS/nix/pull/7158.
# In particular, the initial accumulator value is not forced before the first iteration starts.
builtins.seq acc
- (builtins.foldl' op acc list);
+ (builtins.foldl' op acc);
- /* Map with index starting from 0
+ /**
+ Map with index starting from 0
- Type: imap0 :: (int -> a -> b) -> [a] -> [b]
+ # Inputs
- Example:
- imap0 (i: v: "${v}-${toString i}") ["a" "b"]
- => [ "a-0" "b-1" ]
+ `f`
+
+ : 1\. Function argument
+
+ `list`
+
+ : 2\. Function argument
+
+ # Type
+
+ ```
+ imap0 :: (int -> a -> b) -> [a] -> [b]
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.imap0` usage example
+
+ ```nix
+ imap0 (i: v: "${v}-${toString i}") ["a" "b"]
+ => [ "a-0" "b-1" ]
+ ```
+
+ :::
*/
imap0 = f: list: genList (n: f n (elemAt list n)) (length list);
- /* Map with index starting from 1
+ /**
+ Map with index starting from 1
- Type: imap1 :: (int -> a -> b) -> [a] -> [b]
- Example:
- imap1 (i: v: "${v}-${toString i}") ["a" "b"]
- => [ "a-1" "b-2" ]
+ # Inputs
+
+ `f`
+
+ : 1\. Function argument
+
+ `list`
+
+ : 2\. Function argument
+
+ # Type
+
+ ```
+ imap1 :: (int -> a -> b) -> [a] -> [b]
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.imap1` usage example
+
+ ```nix
+ imap1 (i: v: "${v}-${toString i}") ["a" "b"]
+ => [ "a-1" "b-2" ]
+ ```
+
+ :::
*/
imap1 = f: list: genList (n: f (n + 1) (elemAt list n)) (length list);
- /* Map and concatenate the result.
+ /**
+ Map and concatenate the result.
- Type: concatMap :: (a -> [b]) -> [a] -> [b]
+ # Type
- Example:
- concatMap (x: [x] ++ ["z"]) ["a" "b"]
- => [ "a" "z" "b" "z" ]
+ ```
+ concatMap :: (a -> [b]) -> [a] -> [b]
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.concatMap` usage example
+
+ ```nix
+ concatMap (x: [x] ++ ["z"]) ["a" "b"]
+ => [ "a" "z" "b" "z" ]
+ ```
+
+ :::
*/
- concatMap = builtins.concatMap or (f: list: concatLists (map f list));
+ concatMap = builtins.concatMap;
- /* Flatten the argument into a single list; that is, nested lists are
- spliced into the top-level lists.
+ /**
+ Flatten the argument into a single list; that is, nested lists are
+ spliced into the top-level lists.
- Example:
- flatten [1 [2 [3] 4] 5]
- => [1 2 3 4 5]
- flatten 1
- => [1]
+
+ # Inputs
+
+ `x`
+
+ : 1\. Function argument
+
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.flatten` usage example
+
+ ```nix
+ flatten [1 [2 [3] 4] 5]
+ => [1 2 3 4 5]
+ flatten 1
+ => [1]
+ ```
+
+ :::
*/
flatten = x:
if isList x
then concatMap (y: flatten y) x
else [x];
- /* Remove elements equal to 'e' from a list. Useful for buildInputs.
+ /**
+ Remove elements equal to 'e' from a list. Useful for buildInputs.
- Type: remove :: a -> [a] -> [a]
- Example:
- remove 3 [ 1 3 4 3 ]
- => [ 1 4 ]
+ # Inputs
+
+ `e`
+
+ : Element to remove from `list`
+
+ `list`
+
+ : The list
+
+ # Type
+
+ ```
+ remove :: a -> [a] -> [a]
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.remove` usage example
+
+ ```nix
+ remove 3 [ 1 3 4 3 ]
+ => [ 1 4 ]
+ ```
+
+ :::
*/
remove =
- # Element to remove from the list
e: filter (x: x != e);
- /* Find the sole element in the list matching the specified
- predicate, returns `default` if no such element exists, or
- `multiple` if there are multiple matching elements.
+ /**
+ Find the sole element in the list matching the specified
+ predicate.
- Type: findSingle :: (a -> bool) -> a -> a -> [a] -> a
+ Returns `default` if no such element exists, or
+ `multiple` if there are multiple matching elements.
- Example:
- findSingle (x: x == 3) "none" "multiple" [ 1 3 3 ]
- => "multiple"
- findSingle (x: x == 3) "none" "multiple" [ 1 3 ]
- => 3
- findSingle (x: x == 3) "none" "multiple" [ 1 9 ]
- => "none"
+
+ # Inputs
+
+ `pred`
+
+ : Predicate
+
+ `default`
+
+ : Default value to return if element was not found.
+
+ `multiple`
+
+ : Default value to return if more than one element was found
+
+ `list`
+
+ : Input list
+
+ # Type
+
+ ```
+ findSingle :: (a -> bool) -> a -> a -> [a] -> a
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.findSingle` usage example
+
+ ```nix
+ findSingle (x: x == 3) "none" "multiple" [ 1 3 3 ]
+ => "multiple"
+ findSingle (x: x == 3) "none" "multiple" [ 1 3 ]
+ => 3
+ findSingle (x: x == 3) "none" "multiple" [ 1 9 ]
+ => "none"
+ ```
+
+ :::
*/
findSingle =
- # Predicate
pred:
- # Default value to return if element was not found.
default:
- # Default value to return if more than one element was found
multiple:
- # Input list
list:
let found = filter pred list; len = length found;
in if len == 0 then default
else if len != 1 then multiple
else head found;
- /* Find the first index in the list matching the specified
- predicate or return `default` if no such element exists.
+ /**
+ Find the first index in the list matching the specified
+ predicate or return `default` if no such element exists.
- Type: findFirstIndex :: (a -> Bool) -> b -> [a] -> (Int | b)
+ # Inputs
- Example:
- findFirstIndex (x: x > 3) null [ 0 6 4 ]
- => 1
- findFirstIndex (x: x > 9) null [ 0 6 4 ]
- => null
+ `pred`
+
+ : Predicate
+
+ `default`
+
+ : Default value to return
+
+ `list`
+
+ : Input list
+
+ # Type
+
+ ```
+ findFirstIndex :: (a -> Bool) -> b -> [a] -> (Int | b)
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.findFirstIndex` usage example
+
+ ```nix
+ findFirstIndex (x: x > 3) null [ 0 6 4 ]
+ => 1
+ findFirstIndex (x: x > 9) null [ 0 6 4 ]
+ => null
+ ```
+
+ :::
*/
findFirstIndex =
- # Predicate
pred:
- # Default value to return
default:
- # Input list
list:
let
# A naive recursive implementation would be much simpler, but
@@ -279,23 +550,46 @@ rec {
else
resultIndex;
- /* Find the first element in the list matching the specified
- predicate or return `default` if no such element exists.
+ /**
+ Find the first element in the list matching the specified
+ predicate or return `default` if no such element exists.
- Type: findFirst :: (a -> bool) -> a -> [a] -> a
+ # Inputs
- Example:
- findFirst (x: x > 3) 7 [ 1 6 4 ]
- => 6
- findFirst (x: x > 9) 7 [ 1 6 4 ]
- => 7
+ `pred`
+
+ : Predicate
+
+ `default`
+
+ : Default value to return
+
+ `list`
+
+ : Input list
+
+ # Type
+
+ ```
+ findFirst :: (a -> bool) -> a -> [a] -> a
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.findFirst` usage example
+
+ ```nix
+ findFirst (x: x > 3) 7 [ 1 6 4 ]
+ => 6
+ findFirst (x: x > 9) 7 [ 1 6 4 ]
+ => 7
+ ```
+
+ :::
*/
findFirst =
- # Predicate
pred:
- # Default value to return
default:
- # Input list
list:
let
index = findFirstIndex pred null list;
@@ -305,157 +599,359 @@ rec {
else
elemAt list index;
- /* Return true if function `pred` returns true for at least one
- element of `list`.
+ /**
+ Return true if function `pred` returns true for at least one
+ element of `list`.
- Type: any :: (a -> bool) -> [a] -> bool
+ # Inputs
- Example:
- any isString [ 1 "a" { } ]
- => true
- any isString [ 1 { } ]
- => false
+ `pred`
+
+ : Predicate
+
+ `list`
+
+ : Input list
+
+ # Type
+
+ ```
+ any :: (a -> bool) -> [a] -> bool
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.any` usage example
+
+ ```nix
+ any isString [ 1 "a" { } ]
+ => true
+ any isString [ 1 { } ]
+ => false
+ ```
+
+ :::
*/
- any = builtins.any or (pred: foldr (x: y: if pred x then true else y) false);
+ any = builtins.any;
- /* Return true if function `pred` returns true for all elements of
- `list`.
+ /**
+ Return true if function `pred` returns true for all elements of
+ `list`.
- Type: all :: (a -> bool) -> [a] -> bool
+ # Inputs
- Example:
- all (x: x < 3) [ 1 2 ]
- => true
- all (x: x < 3) [ 1 2 3 ]
- => false
+ `pred`
+
+ : Predicate
+
+ `list`
+
+ : Input list
+
+ # Type
+
+ ```
+ all :: (a -> bool) -> [a] -> bool
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.all` usage example
+
+ ```nix
+ all (x: x < 3) [ 1 2 ]
+ => true
+ all (x: x < 3) [ 1 2 3 ]
+ => false
+ ```
+
+ :::
*/
- all = builtins.all or (pred: foldr (x: y: if pred x then y else false) true);
+ all = builtins.all;
- /* Count how many elements of `list` match the supplied predicate
- function.
+ /**
+ Count how many elements of `list` match the supplied predicate
+ function.
- Type: count :: (a -> bool) -> [a] -> int
+ # Inputs
- Example:
- count (x: x == 3) [ 3 2 3 4 6 ]
- => 2
+ `pred`
+
+ : Predicate
+
+ # Type
+
+ ```
+ count :: (a -> bool) -> [a] -> int
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.count` usage example
+
+ ```nix
+ count (x: x == 3) [ 3 2 3 4 6 ]
+ => 2
+ ```
+
+ :::
*/
count =
- # Predicate
pred: foldl' (c: x: if pred x then c + 1 else c) 0;
- /* Return a singleton list or an empty list, depending on a boolean
- value. Useful when building lists with optional elements
- (e.g. `++ optional (system == "i686-linux") firefox`).
+ /**
+ Return a singleton list or an empty list, depending on a boolean
+ value. Useful when building lists with optional elements
+ (e.g. `++ optional (system == "i686-linux") firefox`).
- Type: optional :: bool -> a -> [a]
+ # Inputs
- Example:
- optional true "foo"
- => [ "foo" ]
- optional false "foo"
- => [ ]
+ `cond`
+
+ : 1\. Function argument
+
+ `elem`
+
+ : 2\. Function argument
+
+ # Type
+
+ ```
+ optional :: bool -> a -> [a]
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.optional` usage example
+
+ ```nix
+ optional true "foo"
+ => [ "foo" ]
+ optional false "foo"
+ => [ ]
+ ```
+
+ :::
*/
optional = cond: elem: if cond then [elem] else [];
- /* Return a list or an empty list, depending on a boolean value.
+ /**
+ Return a list or an empty list, depending on a boolean value.
- Type: optionals :: bool -> [a] -> [a]
+ # Inputs
- Example:
- optionals true [ 2 3 ]
- => [ 2 3 ]
- optionals false [ 2 3 ]
- => [ ]
+ `cond`
+
+ : Condition
+
+ `elems`
+
+ : List to return if condition is true
+
+ # Type
+
+ ```
+ optionals :: bool -> [a] -> [a]
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.optionals` usage example
+
+ ```nix
+ optionals true [ 2 3 ]
+ => [ 2 3 ]
+ optionals false [ 2 3 ]
+ => [ ]
+ ```
+
+ :::
*/
optionals =
- # Condition
cond:
- # List to return if condition is true
elems: if cond then elems else [];
- /* If argument is a list, return it; else, wrap it in a singleton
- list. If you're using this, you should almost certainly
- reconsider if there isn't a more "well-typed" approach.
+ /**
+ If argument is a list, return it; else, wrap it in a singleton
+ list. If you're using this, you should almost certainly
+ reconsider if there isn't a more "well-typed" approach.
- Example:
- toList [ 1 2 ]
- => [ 1 2 ]
- toList "hi"
- => [ "hi "]
+ # Inputs
+
+ `x`
+
+ : 1\. Function argument
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.toList` usage example
+
+ ```nix
+ toList [ 1 2 ]
+ => [ 1 2 ]
+ toList "hi"
+ => [ "hi "]
+ ```
+
+ :::
*/
toList = x: if isList x then x else [x];
- /* Return a list of integers from `first` up to and including `last`.
+ /**
+ Return a list of integers from `first` up to and including `last`.
- Type: range :: int -> int -> [int]
+ # Inputs
- Example:
- range 2 4
- => [ 2 3 4 ]
- range 3 2
- => [ ]
+ `first`
+
+ : First integer in the range
+
+ `last`
+
+ : Last integer in the range
+
+ # Type
+
+ ```
+ range :: int -> int -> [int]
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.range` usage example
+
+ ```nix
+ range 2 4
+ => [ 2 3 4 ]
+ range 3 2
+ => [ ]
+ ```
+
+ :::
*/
range =
- # First integer in the range
first:
- # Last integer in the range
last:
if first > last then
[]
else
genList (n: first + n) (last - first + 1);
- /* Return a list with `n` copies of an element.
+ /**
+ Return a list with `n` copies of an element.
- Type: replicate :: int -> a -> [a]
+ # Inputs
- Example:
- replicate 3 "a"
- => [ "a" "a" "a" ]
- replicate 2 true
- => [ true true ]
+ `n`
+
+ : 1\. Function argument
+
+ `elem`
+
+ : 2\. Function argument
+
+ # Type
+
+ ```
+ replicate :: int -> a -> [a]
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.replicate` usage example
+
+ ```nix
+ replicate 3 "a"
+ => [ "a" "a" "a" ]
+ replicate 2 true
+ => [ true true ]
+ ```
+
+ :::
*/
replicate = n: elem: genList (_: elem) n;
- /* Splits the elements of a list in two lists, `right` and
- `wrong`, depending on the evaluation of a predicate.
+ /**
+ Splits the elements of a list in two lists, `right` and
+ `wrong`, depending on the evaluation of a predicate.
- Type: (a -> bool) -> [a] -> { right :: [a]; wrong :: [a]; }
+ # Inputs
- Example:
- partition (x: x > 2) [ 5 1 2 3 4 ]
- => { right = [ 5 3 4 ]; wrong = [ 1 2 ]; }
+ `pred`
+
+ : Predicate
+
+ `list`
+
+ : Input list
+
+ # Type
+
+ ```
+ (a -> bool) -> [a] -> { right :: [a]; wrong :: [a]; }
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.partition` usage example
+
+ ```nix
+ partition (x: x > 2) [ 5 1 2 3 4 ]
+ => { right = [ 5 3 4 ]; wrong = [ 1 2 ]; }
+ ```
+
+ :::
*/
- partition = builtins.partition or (pred:
- foldr (h: t:
- if pred h
- then { right = [h] ++ t.right; wrong = t.wrong; }
- else { right = t.right; wrong = [h] ++ t.wrong; }
- ) { right = []; wrong = []; });
+ partition = builtins.partition;
- /* Splits the elements of a list into many lists, using the return value of a predicate.
- Predicate should return a string which becomes keys of attrset `groupBy` returns.
+ /**
+ Splits the elements of a list into many lists, using the return value of a predicate.
+ Predicate should return a string which becomes keys of attrset `groupBy` returns.
+ `groupBy'` allows to customise the combining function and initial value
- `groupBy'` allows to customise the combining function and initial value
+ # Inputs
- Example:
- groupBy (x: boolToString (x > 2)) [ 5 1 2 3 4 ]
- => { true = [ 5 3 4 ]; false = [ 1 2 ]; }
- groupBy (x: x.name) [ {name = "icewm"; script = "icewm &";}
- {name = "xfce"; script = "xfce4-session &";}
- {name = "icewm"; script = "icewmbg &";}
- {name = "mate"; script = "gnome-session &";}
- ]
- => { icewm = [ { name = "icewm"; script = "icewm &"; }
- { name = "icewm"; script = "icewmbg &"; } ];
- mate = [ { name = "mate"; script = "gnome-session &"; } ];
- xfce = [ { name = "xfce"; script = "xfce4-session &"; } ];
- }
+ `op`
- groupBy' builtins.add 0 (x: boolToString (x > 2)) [ 5 1 2 3 4 ]
- => { true = 12; false = 3; }
+ : 1\. Function argument
+
+ `nul`
+
+ : 2\. Function argument
+
+ `pred`
+
+ : 3\. Function argument
+
+ `lst`
+
+ : 4\. Function argument
+
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.groupBy'` usage example
+
+ ```nix
+ groupBy (x: boolToString (x > 2)) [ 5 1 2 3 4 ]
+ => { true = [ 5 3 4 ]; false = [ 1 2 ]; }
+ groupBy (x: x.name) [ {name = "icewm"; script = "icewm &";}
+ {name = "xfce"; script = "xfce4-session &";}
+ {name = "icewm"; script = "icewmbg &";}
+ {name = "mate"; script = "gnome-session &";}
+ ]
+ => { icewm = [ { name = "icewm"; script = "icewm &"; }
+ { name = "icewm"; script = "icewmbg &"; } ];
+ mate = [ { name = "mate"; script = "gnome-session &"; } ];
+ xfce = [ { name = "xfce"; script = "xfce4-session &"; } ];
+ }
+
+ groupBy' builtins.add 0 (x: boolToString (x > 2)) [ 5 1 2 3 4 ]
+ => { true = 12; false = 3; }
+ ```
+
+ :::
*/
groupBy' = op: nul: pred: lst: mapAttrs (name: foldl op nul) (groupBy pred lst);
@@ -467,68 +963,153 @@ rec {
r // { ${key} = (r.${key} or []) ++ [e]; }
) {});
- /* Merges two lists of the same size together. If the sizes aren't the same
- the merging stops at the shortest. How both lists are merged is defined
- by the first argument.
+ /**
+ Merges two lists of the same size together. If the sizes aren't the same
+ the merging stops at the shortest. How both lists are merged is defined
+ by the first argument.
- Type: zipListsWith :: (a -> b -> c) -> [a] -> [b] -> [c]
+ # Inputs
- Example:
- zipListsWith (a: b: a + b) ["h" "l"] ["e" "o"]
- => ["he" "lo"]
+ `f`
+
+ : Function to zip elements of both lists
+
+ `fst`
+
+ : First list
+
+ `snd`
+
+ : Second list
+
+ # Type
+
+ ```
+ zipListsWith :: (a -> b -> c) -> [a] -> [b] -> [c]
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.zipListsWith` usage example
+
+ ```nix
+ zipListsWith (a: b: a + b) ["h" "l"] ["e" "o"]
+ => ["he" "lo"]
+ ```
+
+ :::
*/
zipListsWith =
- # Function to zip elements of both lists
f:
- # First list
fst:
- # Second list
snd:
genList
(n: f (elemAt fst n) (elemAt snd n)) (min (length fst) (length snd));
- /* Merges two lists of the same size together. If the sizes aren't the same
- the merging stops at the shortest.
+ /**
+ Merges two lists of the same size together. If the sizes aren't the same
+ the merging stops at the shortest.
- Type: zipLists :: [a] -> [b] -> [{ fst :: a; snd :: b; }]
+ # Inputs
- Example:
- zipLists [ 1 2 ] [ "a" "b" ]
- => [ { fst = 1; snd = "a"; } { fst = 2; snd = "b"; } ]
+ `fst`
+
+ : First list
+
+ `snd`
+
+ : Second list
+
+ # Type
+
+ ```
+ zipLists :: [a] -> [b] -> [{ fst :: a; snd :: b; }]
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.zipLists` usage example
+
+ ```nix
+ zipLists [ 1 2 ] [ "a" "b" ]
+ => [ { fst = 1; snd = "a"; } { fst = 2; snd = "b"; } ]
+ ```
+
+ :::
*/
zipLists = zipListsWith (fst: snd: { inherit fst snd; });
- /* Reverse the order of the elements of a list.
+ /**
+ Reverse the order of the elements of a list.
- Type: reverseList :: [a] -> [a]
+ # Inputs
- Example:
+ `xs`
- reverseList [ "b" "o" "j" ]
- => [ "j" "o" "b" ]
+ : 1\. Function argument
+
+ # Type
+
+ ```
+ reverseList :: [a] -> [a]
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.reverseList` usage example
+
+ ```nix
+ reverseList [ "b" "o" "j" ]
+ => [ "j" "o" "b" ]
+ ```
+
+ :::
*/
reverseList = xs:
let l = length xs; in genList (n: elemAt xs (l - n - 1)) l;
- /* Depth-First Search (DFS) for lists `list != []`.
+ /**
+ Depth-First Search (DFS) for lists `list != []`.
- `before a b == true` means that `b` depends on `a` (there's an
- edge from `b` to `a`).
+ `before a b == true` means that `b` depends on `a` (there's an
+ edge from `b` to `a`).
- Example:
- listDfs true hasPrefix [ "/home/user" "other" "/" "/home" ]
- == { minimal = "/"; # minimal element
- visited = [ "/home/user" ]; # seen elements (in reverse order)
- rest = [ "/home" "other" ]; # everything else
- }
- listDfs true hasPrefix [ "/home/user" "other" "/" "/home" "/" ]
- == { cycle = "/"; # cycle encountered at this element
- loops = [ "/" ]; # and continues to these elements
- visited = [ "/" "/home/user" ]; # elements leading to the cycle (in reverse order)
- rest = [ "/home" "other" ]; # everything else
+ # Inputs
- */
+ `stopOnCycles`
+
+ : 1\. Function argument
+
+ `before`
+
+ : 2\. Function argument
+
+ `list`
+
+ : 3\. Function argument
+
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.listDfs` usage example
+
+ ```nix
+ listDfs true hasPrefix [ "/home/user" "other" "/" "/home" ]
+ == { minimal = "/"; # minimal element
+ visited = [ "/home/user" ]; # seen elements (in reverse order)
+ rest = [ "/home" "other" ]; # everything else
+ }
+
+ listDfs true hasPrefix [ "/home/user" "other" "/" "/home" "/" ]
+ == { cycle = "/"; # cycle encountered at this element
+ loops = [ "/" ]; # and continues to these elements
+ visited = [ "/" "/home/user" ]; # elements leading to the cycle (in reverse order)
+ rest = [ "/home" "other" ]; # everything else
+ ```
+
+ :::
+ */
listDfs = stopOnCycles: before: list:
let
dfs' = us: visited: rest:
@@ -546,28 +1127,46 @@ rec {
(tail b.right ++ b.wrong);
in dfs' (head list) [] (tail list);
- /* Sort a list based on a partial ordering using DFS. This
- implementation is O(N^2), if your ordering is linear, use `sort`
- instead.
+ /**
+ Sort a list based on a partial ordering using DFS. This
+ implementation is O(N^2), if your ordering is linear, use `sort`
+ instead.
- `before a b == true` means that `b` should be after `a`
- in the result.
+ `before a b == true` means that `b` should be after `a`
+ in the result.
- Example:
- toposort hasPrefix [ "/home/user" "other" "/" "/home" ]
- == { result = [ "/" "/home" "/home/user" "other" ]; }
+ # Inputs
- toposort hasPrefix [ "/home/user" "other" "/" "/home" "/" ]
- == { cycle = [ "/home/user" "/" "/" ]; # path leading to a cycle
- loops = [ "/" ]; } # loops back to these elements
+ `before`
- toposort hasPrefix [ "other" "/home/user" "/home" "/" ]
- == { result = [ "other" "/" "/home" "/home/user" ]; }
+ : 1\. Function argument
- toposort (a: b: a < b) [ 3 2 1 ] == { result = [ 1 2 3 ]; }
+ `list`
- */
+ : 2\. Function argument
+
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.toposort` usage example
+
+ ```nix
+ toposort hasPrefix [ "/home/user" "other" "/" "/home" ]
+ == { result = [ "/" "/home" "/home/user" "other" ]; }
+
+ toposort hasPrefix [ "/home/user" "other" "/" "/home" "/" ]
+ == { cycle = [ "/home/user" "/" "/" ]; # path leading to a cycle
+ loops = [ "/" ]; } # loops back to these elements
+
+ toposort hasPrefix [ "other" "/home/user" "/home" "/" ]
+ == { result = [ "other" "/" "/home" "/home/user" ]; }
+
+ toposort (a: b: a < b) [ 3 2 1 ] == { result = [ 1 2 3 ]; }
+ ```
+
+ :::
+ */
toposort = before: list:
let
dfsthis = listDfs true before list;
@@ -587,39 +1186,45 @@ rec {
else # there are no cycles
{ result = [ dfsthis.minimal ] ++ toporest.result; };
- /* Sort a list based on a comparator function which compares two
- elements and returns true if the first argument is strictly below
- the second argument. The returned list is sorted in an increasing
- order. The implementation does a quick-sort.
+ /**
+ Sort a list based on a comparator function which compares two
+ elements and returns true if the first argument is strictly below
+ the second argument. The returned list is sorted in an increasing
+ order. The implementation does a quick-sort.
- See also [`sortOn`](#function-library-lib.lists.sortOn), which applies the
- default comparison on a function-derived property, and may be more efficient.
+ See also [`sortOn`](#function-library-lib.lists.sortOn), which applies the
+ default comparison on a function-derived property, and may be more efficient.
- Example:
- sort (p: q: p < q) [ 5 3 7 ]
- => [ 3 5 7 ]
+ # Inputs
- Type:
- sort :: (a -> a -> Bool) -> [a] -> [a]
+ `comparator`
+
+ : 1\. Function argument
+
+ `list`
+
+ : 2\. Function argument
+
+ # Type
+
+ ```
+ sort :: (a -> a -> Bool) -> [a] -> [a]
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.sort` usage example
+
+ ```nix
+ sort (p: q: p < q) [ 5 3 7 ]
+ => [ 3 5 7 ]
+ ```
+
+ :::
*/
- sort = builtins.sort or (
- strictLess: list:
- let
- len = length list;
- first = head list;
- pivot' = n: acc@{ left, right }: let el = elemAt list n; next = pivot' (n + 1); in
- if n == len
- then acc
- else if strictLess first el
- then next { inherit left; right = [ el ] ++ right; }
- else
- next { left = [ el ] ++ left; inherit right; };
- pivot = pivot' 1 { left = []; right = []; };
- in
- if len < 2 then list
- else (sort strictLess pivot.left) ++ [ first ] ++ (sort strictLess pivot.right));
+ sort = builtins.sort;
- /*
+ /**
Sort a list based on the default comparison of a derived property `b`.
The items are returned in `b`-increasing order.
@@ -635,12 +1240,33 @@ rec {
sortOn f == sort (p: q: f p < f q)
```
- Example:
- sortOn stringLength [ "aa" "b" "cccc" ]
- => [ "b" "aa" "cccc" ]
- Type:
- sortOn :: (a -> b) -> [a] -> [a], for comparable b
+ # Inputs
+
+ `f`
+
+ : 1\. Function argument
+
+ `list`
+
+ : 2\. Function argument
+
+ # Type
+
+ ```
+ sortOn :: (a -> b) -> [a] -> [a], for comparable b
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.sortOn` usage example
+
+ ```nix
+ sortOn stringLength [ "aa" "b" "cccc" ]
+ => [ "b" "aa" "cccc" ]
+ ```
+
+ :::
*/
sortOn = f: list:
let
@@ -655,17 +1281,40 @@ rec {
(a: b: head a < head b)
pairs);
- /* Compare two lists element-by-element.
+ /**
+ Compare two lists element-by-element.
- Example:
- compareLists compare [] []
- => 0
- compareLists compare [] [ "a" ]
- => -1
- compareLists compare [ "a" ] []
- => 1
- compareLists compare [ "a" "b" ] [ "a" "c" ]
- => -1
+ # Inputs
+
+ `cmp`
+
+ : 1\. Function argument
+
+ `a`
+
+ : 2\. Function argument
+
+ `b`
+
+ : 3\. Function argument
+
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.compareLists` usage example
+
+ ```nix
+ compareLists compare [] []
+ => 0
+ compareLists compare [] [ "a" ]
+ => -1
+ compareLists compare [ "a" ] []
+ => 1
+ compareLists compare [ "a" "b" ] [ "a" "c" ]
+ => -1
+ ```
+
+ :::
*/
compareLists = cmp: a: b:
if a == []
@@ -679,16 +1328,32 @@ rec {
then compareLists cmp (tail a) (tail b)
else rel;
- /* Sort list using "Natural sorting".
- Numeric portions of strings are sorted in numeric order.
+ /**
+ Sort list using "Natural sorting".
+ Numeric portions of strings are sorted in numeric order.
- Example:
- naturalSort ["disk11" "disk8" "disk100" "disk9"]
- => ["disk8" "disk9" "disk11" "disk100"]
- naturalSort ["10.46.133.149" "10.5.16.62" "10.54.16.25"]
- => ["10.5.16.62" "10.46.133.149" "10.54.16.25"]
- naturalSort ["v0.2" "v0.15" "v0.0.9"]
- => [ "v0.0.9" "v0.2" "v0.15" ]
+
+ # Inputs
+
+ `lst`
+
+ : 1\. Function argument
+
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.naturalSort` usage example
+
+ ```nix
+ naturalSort ["disk11" "disk8" "disk100" "disk9"]
+ => ["disk8" "disk9" "disk11" "disk100"]
+ naturalSort ["10.46.133.149" "10.5.16.62" "10.54.16.25"]
+ => ["10.5.16.62" "10.46.133.149" "10.54.16.25"]
+ naturalSort ["v0.2" "v0.15" "v0.0.9"]
+ => [ "v0.0.9" "v0.2" "v0.15" ]
+ ```
+
+ :::
*/
naturalSort = lst:
let
@@ -698,61 +1363,149 @@ rec {
in
map (x: elemAt x 1) (sort less prepared);
- /* Return the first (at most) N elements of a list.
+ /**
+ Return the first (at most) N elements of a list.
- Type: take :: int -> [a] -> [a]
- Example:
- take 2 [ "a" "b" "c" "d" ]
- => [ "a" "b" ]
- take 2 [ ]
- => [ ]
+ # Inputs
+
+ `count`
+
+ : Number of elements to take
+
+ `list`
+
+ : Input list
+
+ # Type
+
+ ```
+ take :: int -> [a] -> [a]
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.take` usage example
+
+ ```nix
+ take 2 [ "a" "b" "c" "d" ]
+ => [ "a" "b" ]
+ take 2 [ ]
+ => [ ]
+ ```
+
+ :::
*/
take =
- # Number of elements to take
count: sublist 0 count;
- /* Remove the first (at most) N elements of a list.
+ /**
+ Remove the first (at most) N elements of a list.
- Type: drop :: int -> [a] -> [a]
- Example:
- drop 2 [ "a" "b" "c" "d" ]
- => [ "c" "d" ]
- drop 2 [ ]
- => [ ]
+ # Inputs
+
+ `count`
+
+ : Number of elements to drop
+
+ `list`
+
+ : Input list
+
+ # Type
+
+ ```
+ drop :: int -> [a] -> [a]
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.drop` usage example
+
+ ```nix
+ drop 2 [ "a" "b" "c" "d" ]
+ => [ "c" "d" ]
+ drop 2 [ ]
+ => [ ]
+ ```
+
+ :::
*/
drop =
- # Number of elements to drop
count:
- # Input list
list: sublist count (length list) list;
- /* Whether the first list is a prefix of the second list.
+ /**
+ Whether the first list is a prefix of the second list.
- Type: hasPrefix :: [a] -> [a] -> bool
- Example:
+ # Inputs
+
+ `list1`
+
+ : 1\. Function argument
+
+ `list2`
+
+ : 2\. Function argument
+
+ # Type
+
+ ```
+ hasPrefix :: [a] -> [a] -> bool
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.hasPrefix` usage example
+
+ ```nix
hasPrefix [ 1 2 ] [ 1 2 3 4 ]
=> true
hasPrefix [ 0 1 ] [ 1 2 3 4 ]
=> false
+ ```
+
+ :::
*/
hasPrefix =
list1:
list2:
take (length list1) list2 == list1;
- /* Remove the first list as a prefix from the second list.
- Error if the first list isn't a prefix of the second list.
+ /**
+ Remove the first list as a prefix from the second list.
+ Error if the first list isn't a prefix of the second list.
- Type: removePrefix :: [a] -> [a] -> [a]
+ # Inputs
- Example:
+ `list1`
+
+ : 1\. Function argument
+
+ `list2`
+
+ : 2\. Function argument
+
+ # Type
+
+ ```
+ removePrefix :: [a] -> [a] -> [a]
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.removePrefix` usage example
+
+ ```nix
removePrefix [ 1 2 ] [ 1 2 3 4 ]
=> [ 3 4 ]
removePrefix [ 0 1 ] [ 1 2 3 4 ]
=>
+ ```
+
+ :::
*/
removePrefix =
list1:
@@ -762,23 +1515,46 @@ rec {
else
throw "lib.lists.removePrefix: First argument is not a list prefix of the second argument";
- /* Return a list consisting of at most `count` elements of `list`,
- starting at index `start`.
+ /**
+ Return a list consisting of at most `count` elements of `list`,
+ starting at index `start`.
- Type: sublist :: int -> int -> [a] -> [a]
+ # Inputs
- Example:
- sublist 1 3 [ "a" "b" "c" "d" "e" ]
- => [ "b" "c" "d" ]
- sublist 1 3 [ ]
- => [ ]
+ `start`
+
+ : Index at which to start the sublist
+
+ `count`
+
+ : Number of elements to take
+
+ `list`
+
+ : Input list
+
+ # Type
+
+ ```
+ sublist :: int -> int -> [a] -> [a]
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.sublist` usage example
+
+ ```nix
+ sublist 1 3 [ "a" "b" "c" "d" "e" ]
+ => [ "b" "c" "d" ]
+ sublist 1 3 [ ]
+ => [ ]
+ ```
+
+ :::
*/
sublist =
- # Index at which to start the sublist
start:
- # Number of elements to take
count:
- # Input list
list:
let len = length list; in
genList
@@ -787,17 +1563,40 @@ rec {
else if start + count > len then len - start
else count);
- /* The common prefix of two lists.
+ /**
+ The common prefix of two lists.
- Type: commonPrefix :: [a] -> [a] -> [a]
- Example:
+ # Inputs
+
+ `list1`
+
+ : 1\. Function argument
+
+ `list2`
+
+ : 2\. Function argument
+
+ # Type
+
+ ```
+ commonPrefix :: [a] -> [a] -> [a]
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.commonPrefix` usage example
+
+ ```nix
commonPrefix [ 1 2 3 4 5 6 ] [ 1 2 4 8 ]
=> [ 1 2 ]
commonPrefix [ 1 2 3 ] [ 1 2 3 4 5 ]
=> [ 1 2 3 ]
commonPrefix [ 1 2 3 ] [ 4 5 6 ]
=> [ ]
+ ```
+
+ :::
*/
commonPrefix =
list1:
@@ -813,87 +1612,225 @@ rec {
in
take commonPrefixLength list1;
- /* Return the last element of a list.
+ /**
+ Return the last element of a list.
- This function throws an error if the list is empty.
+ This function throws an error if the list is empty.
- Type: last :: [a] -> a
- Example:
- last [ 1 2 3 ]
- => 3
+ # Inputs
+
+ `list`
+
+ : 1\. Function argument
+
+ # Type
+
+ ```
+ last :: [a] -> a
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.last` usage example
+
+ ```nix
+ last [ 1 2 3 ]
+ => 3
+ ```
+
+ :::
*/
last = list:
assert lib.assertMsg (list != []) "lists.last: list must not be empty!";
elemAt list (length list - 1);
- /* Return all elements but the last.
+ /**
+ Return all elements but the last.
- This function throws an error if the list is empty.
+ This function throws an error if the list is empty.
- Type: init :: [a] -> [a]
- Example:
- init [ 1 2 3 ]
- => [ 1 2 ]
+ # Inputs
+
+ `list`
+
+ : 1\. Function argument
+
+ # Type
+
+ ```
+ init :: [a] -> [a]
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.init` usage example
+
+ ```nix
+ init [ 1 2 3 ]
+ => [ 1 2 ]
+ ```
+
+ :::
*/
init = list:
assert lib.assertMsg (list != []) "lists.init: list must not be empty!";
take (length list - 1) list;
- /* Return the image of the cross product of some lists by a function.
+ /**
+ Return the image of the cross product of some lists by a function.
- Example:
- crossLists (x:y: "${toString x}${toString y}") [[1 2] [3 4]]
- => [ "13" "14" "23" "24" ]
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.crossLists` usage example
+
+ ```nix
+ crossLists (x:y: "${toString x}${toString y}") [[1 2] [3 4]]
+ => [ "13" "14" "23" "24" ]
+ ```
+
+ :::
*/
crossLists = warn
"lib.crossLists is deprecated, use lib.cartesianProductOfSets instead."
(f: foldl (fs: args: concatMap (f: map f args) fs) [f]);
- /* Remove duplicate elements from the list. O(n^2) complexity.
+ /**
+ Remove duplicate elements from the `list`. O(n^2) complexity.
- Type: unique :: [a] -> [a]
- Example:
- unique [ 3 2 3 4 ]
- => [ 3 2 4 ]
- */
+ # Inputs
+
+ `list`
+
+ : Input list
+
+ # Type
+
+ ```
+ unique :: [a] -> [a]
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.unique` usage example
+
+ ```nix
+ unique [ 3 2 3 4 ]
+ => [ 3 2 4 ]
+ ```
+
+ :::
+ */
unique = foldl' (acc: e: if elem e acc then acc else acc ++ [ e ]) [];
- /* Check if list contains only unique elements. O(n^2) complexity.
+ /**
+ Check if list contains only unique elements. O(n^2) complexity.
- Type: allUnique :: [a] -> bool
- Example:
- allUnique [ 3 2 3 4 ]
- => false
- allUnique [ 3 2 4 1 ]
- => true
- */
+ # Inputs
+
+ `list`
+
+ : 1\. Function argument
+
+ # Type
+
+ ```
+ allUnique :: [a] -> bool
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.allUnique` usage example
+
+ ```nix
+ allUnique [ 3 2 3 4 ]
+ => false
+ allUnique [ 3 2 4 1 ]
+ => true
+ ```
+
+ :::
+ */
allUnique = list: (length (unique list) == length list);
- /* Intersects list 'e' and another list. O(nm) complexity.
+ /**
+ Intersects list 'list1' and another list (`list2`).
- Example:
- intersectLists [ 1 2 3 ] [ 6 3 2 ]
- => [ 3 2 ]
+ O(nm) complexity.
+
+ # Inputs
+
+ `list1`
+
+ : First list
+
+ `list2`
+
+ : Second list
+
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.intersectLists` usage example
+
+ ```nix
+ intersectLists [ 1 2 3 ] [ 6 3 2 ]
+ => [ 3 2 ]
+ ```
+
+ :::
*/
intersectLists = e: filter (x: elem x e);
- /* Subtracts list 'e' from another list. O(nm) complexity.
+ /**
+ Subtracts list 'e' from another list (`list2`).
- Example:
- subtractLists [ 3 2 ] [ 1 2 3 4 5 3 ]
- => [ 1 4 5 ]
+ O(nm) complexity.
+
+ # Inputs
+
+ `e`
+
+ : First list
+
+ `list2`
+
+ : Second list
+
+
+ # Examples
+ :::{.example}
+ ## `lib.lists.subtractLists` usage example
+
+ ```nix
+ subtractLists [ 3 2 ] [ 1 2 3 4 5 3 ]
+ => [ 1 4 5 ]
+ ```
+
+ :::
*/
subtractLists = e: filter (x: !(elem x e));
- /* Test if two lists have no common element.
- It should be slightly more efficient than (intersectLists a b == [])
+ /**
+ Test if two lists have no common element.
+ It should be slightly more efficient than (intersectLists a b == [])
+
+ # Inputs
+
+ `a`
+
+ : 1\. Function argument
+
+ `b`
+
+ : 2\. Function argument
*/
mutuallyExclusive = a: b: length a == 0 || !(any (x: elem x a) b);
diff --git a/lib/meta.nix b/lib/meta.nix
index 5d5f71d6c3cb..675e1912d4be 100644
--- a/lib/meta.nix
+++ b/lib/meta.nix
@@ -87,6 +87,10 @@ rec {
We can inject these into a pattern for the whole of a structured platform,
and then match that.
+
+ Example:
+ lib.meta.platformMatch { system = "aarch64-darwin"; } "aarch64-darwin"
+ => true
*/
platformMatch = platform: elem: (
# Check with simple string comparison if elem was a string.
@@ -112,6 +116,10 @@ rec {
platform, or `meta.platforms` is not present.
2. None of `meta.badPlatforms` pattern matches the given platform.
+
+ Example:
+ lib.meta.availableOn { system = "aarch64-darwin"; } pkg.zsh
+ => true
*/
availableOn = platform: pkg:
((!pkg?meta.platforms) || any (platformMatch platform) pkg.meta.platforms) &&
diff --git a/lib/modules.nix b/lib/modules.nix
index 0c484fa684aa..61964d466781 100644
--- a/lib/modules.nix
+++ b/lib/modules.nix
@@ -81,9 +81,9 @@ let
, # `class`:
# A nominal type for modules. When set and non-null, this adds a check to
# make sure that only compatible modules are imported.
- # This would be remove in the future, Prefer _module.args option instead.
class ? null
- , args ? {}
+ , # This would be remove in the future, Prefer _module.args option instead.
+ args ? {}
, # This would be remove in the future, Prefer _module.check option instead.
check ? true
}:
@@ -1256,7 +1256,78 @@ let
(opt.highestPrio or defaultOverridePriority)
(f opt.value);
- doRename = { from, to, visible, warn, use, withPriority ? true, condition ? true }:
+ /*
+ Return a module that help declares an option that has been renamed.
+ When a value is defined for the old option, it is forwarded to the `to` option.
+ */
+ doRename = {
+ # List of strings representing the attribute path of the old option.
+ from,
+ # List of strings representing the attribute path of the new option.
+ to,
+ # Boolean, whether the old option is to be included in documentation.
+ visible,
+ # Whether to warn when a value is defined for the old option.
+ # NOTE: This requires the NixOS assertions module to be imported, so
+ # - this generally does not work in submodules
+ # - this may or may not work outside NixOS
+ warn,
+ # A function that is applied to the option value, to form the value
+ # of the old `from` option.
+ #
+ # For example, the identity function can be passed, to return the option value unchanged.
+ # ```nix
+ # use = x: x;
+ # ```
+ #
+ # To add a warning, you can pass the partially applied `warn` function.
+ # ```nix
+ # use = lib.warn "Obsolete option `${opt.old}' is used. Use `${opt.to}' instead.";
+ # ```
+ use,
+ # Legacy option, enabled by default: whether to preserve the priority of definitions in `old`.
+ withPriority ? true,
+ # A boolean that defines the `mkIf` condition for `to`.
+ # If the condition evaluates to `true`, and the `to` path points into an
+ # `attrsOf (submodule ...)`, then `doRename` would cause an empty module to
+ # be created, even if the `from` option is undefined.
+ # By setting this to an expression that may return `false`, you can inhibit
+ # this undesired behavior.
+ #
+ # Example:
+ #
+ # ```nix
+ # { config, lib, ... }:
+ # let
+ # inherit (lib) mkOption mkEnableOption types doRename;
+ # in
+ # {
+ # options = {
+ #
+ # # Old service
+ # services.foo.enable = mkEnableOption "foo";
+ #
+ # # New multi-instance service
+ # services.foos = mkOption {
+ # type = types.attrsOf (types.submodule …);
+ # };
+ # };
+ # imports = [
+ # (doRename {
+ # from = [ "services" "foo" "bar" ];
+ # to = [ "services" "foos" "" "bar" ];
+ # visible = true;
+ # warn = false;
+ # use = x: x;
+ # withPriority = true;
+ # # Only define services.foos."" if needed. (It's not just about `bar`)
+ # condition = config.services.foo.enable;
+ # })
+ # ];
+ # }
+ # ```
+ condition ? true
+ }:
{ config, options, ... }:
let
fromOpt = getAttrFromPath from options;
diff --git a/lib/strings.nix b/lib/strings.nix
index 7083576dd529..32efc9bdb70e 100644
--- a/lib/strings.nix
+++ b/lib/strings.nix
@@ -95,8 +95,7 @@ rec {
concatStringsSep "/" ["usr" "local" "bin"]
=> "usr/local/bin"
*/
- concatStringsSep = builtins.concatStringsSep or (separator: list:
- lib.foldl' (x: y: x + y) "" (intersperse separator list));
+ concatStringsSep = builtins.concatStringsSep;
/* Maps a function over a list of strings and then concatenates the
result with the specified separator interspersed between
@@ -1039,30 +1038,32 @@ rec {
toInt "3.14"
=> error: floating point JSON numbers are not supported
*/
- toInt = str:
+ toInt =
+ let
+ matchStripInput = match "[[:space:]]*(-?[[:digit:]]+)[[:space:]]*";
+ matchLeadingZero = match "0[[:digit:]]+";
+ in
+ str:
let
# RegEx: Match any leading whitespace, possibly a '-', one or more digits,
# and finally match any trailing whitespace.
- strippedInput = match "[[:space:]]*(-?[[:digit:]]+)[[:space:]]*" str;
+ strippedInput = matchStripInput str;
# RegEx: Match a leading '0' then one or more digits.
- isLeadingZero = match "0[[:digit:]]+" (head strippedInput) == [];
+ isLeadingZero = matchLeadingZero (head strippedInput) == [];
# Attempt to parse input
parsedInput = fromJSON (head strippedInput);
generalError = "toInt: Could not convert ${escapeNixString str} to int.";
- octalAmbigError = "toInt: Ambiguity in interpretation of ${escapeNixString str}"
- + " between octal and zero padded integer.";
-
in
# Error on presence of non digit characters.
if strippedInput == null
then throw generalError
# Error on presence of leading zero/octal ambiguity.
else if isLeadingZero
- then throw octalAmbigError
+ then throw "toInt: Ambiguity in interpretation of ${escapeNixString str} between octal and zero padded integer."
# Error if parse function fails.
else if !isInt parsedInput
then throw generalError
@@ -1090,15 +1091,20 @@ rec {
toIntBase10 "3.14"
=> error: floating point JSON numbers are not supported
*/
- toIntBase10 = str:
+ toIntBase10 =
+ let
+ matchStripInput = match "[[:space:]]*0*(-?[[:digit:]]+)[[:space:]]*";
+ matchZero = match "0+";
+ in
+ str:
let
# RegEx: Match any leading whitespace, then match any zero padding,
# capture possibly a '-' followed by one or more digits,
# and finally match any trailing whitespace.
- strippedInput = match "[[:space:]]*0*(-?[[:digit:]]+)[[:space:]]*" str;
+ strippedInput = matchStripInput str;
# RegEx: Match at least one '0'.
- isZero = match "0+" (head strippedInput) == [];
+ isZero = matchZero (head strippedInput) == [];
# Attempt to parse input
parsedInput = fromJSON (head strippedInput);
diff --git a/lib/tests/misc.nix b/lib/tests/misc.nix
index 193e68a96933..6f1d9039db80 100644
--- a/lib/tests/misc.nix
+++ b/lib/tests/misc.nix
@@ -13,9 +13,96 @@ Alternatively, to run all `lib` tests:
[nixpkgs]$ nix-build lib/tests/release.nix
*/
-with import ../default.nix;
let
+ lib = import ../default.nix;
+
+ inherit (lib)
+ allUnique
+ and
+ attrNames
+ attrsets
+ attrsToList
+ bitAnd
+ bitOr
+ bitXor
+ boolToString
+ callPackagesWith
+ callPackageWith
+ cartesianProductOfSets
+ cli
+ composeExtensions
+ composeManyExtensions
+ concatLines
+ concatMapAttrs
+ concatMapStrings
+ concatStrings
+ concatStringsSep
+ const
+ escapeXML
+ evalModules
+ filter
+ fix
+ fold
+ foldAttrs
+ foldl
+ foldl'
+ foldlAttrs
+ foldr
+ functionArgs
+ generators
+ genList
+ getExe
+ getExe'
+ groupBy
+ groupBy'
+ hasAttrByPath
+ hasInfix
+ id
+ isStorePath
+ lazyDerivation
+ lists
+ listToAttrs
+ makeExtensible
+ makeOverridable
+ mapAttrs
+ matchAttrs
+ mergeAttrs
+ meta
+ mkOption
+ mod
+ nameValuePair
+ optionalDrvAttr
+ optionAttrSetToDocList
+ overrideExisting
+ packagesFromDirectoryRecursive
+ pipe
+ range
+ recursiveUpdateUntil
+ removePrefix
+ replicate
+ runTests
+ setFunctionArgs
+ showAttrPath
+ sort
+ sortOn
+ stringLength
+ strings
+ stringToCharacters
+ systems
+ tail
+ take
+ testAllTrue
+ toBaseDigits
+ toHexString
+ toInt
+ toIntBase10
+ toShellVars
+ types
+ updateManyAttrsByPath
+ versions
+ ;
+
testingThrow = expr: {
expr = (builtins.tryEval (builtins.seq expr "didn't throw"));
expected = { success = false; value = false; };
@@ -55,6 +142,24 @@ runTests {
expected = { a = false; b = false; c = true; };
};
+ testCallPackageWithOverridePreservesArguments =
+ let
+ f = { a ? 0, b }: {};
+ f' = callPackageWith { a = 1; b = 2; } f {};
+ in {
+ expr = functionArgs f'.override;
+ expected = functionArgs f;
+ };
+
+ testCallPackagesWithOverridePreservesArguments =
+ let
+ f = { a ? 0, b }: { nested = {}; };
+ f' = callPackagesWith { a = 1; b = 2; } f {};
+ in {
+ expr = functionArgs f'.nested.override;
+ expected = functionArgs f;
+ };
+
# TRIVIAL
testId = {
@@ -1973,6 +2078,24 @@ runTests {
}).drvPath;
};
+ testLazyDerivationMultiOutputReturnsDerivationAttrs = let
+ derivation = {
+ type = "derivation";
+ outputs = ["out" "dev"];
+ dev = "test dev";
+ out = "test out";
+ outPath = "test outPath";
+ outputName = "out";
+ drvPath = "test drvPath";
+ name = "test name";
+ system = "test system";
+ meta.position = "/hi:23";
+ };
+ in {
+ expr = lazyDerivation { inherit derivation; outputs = ["out" "dev"]; passthru.meta.position = "/hi:23"; };
+ expected = derivation;
+ };
+
testTypeDescriptionInt = {
expr = (with types; int).description;
expected = "signed integer";
diff --git a/lib/tests/modules/alias-with-priority-can-override.nix b/lib/tests/modules/alias-with-priority-can-override.nix
index 9a18c9d9f613..82a4c0df8cba 100644
--- a/lib/tests/modules/alias-with-priority-can-override.nix
+++ b/lib/tests/modules/alias-with-priority-can-override.nix
@@ -6,12 +6,19 @@
{ config, lib, ... }:
-with lib;
+let
+ inherit (lib)
+ mkAliasOptionModule
+ mkForce
+ mkOption
+ types
+ ;
+in
{
options = {
# A simple boolean option that can be enabled or disabled.
- enable = lib.mkOption {
+ enable = mkOption {
type = types.nullOr types.bool;
default = null;
example = true;
@@ -41,7 +48,7 @@ with lib;
# should override the next import.
( { config, lib, ... }:
{
- enableAlias = lib.mkForce false;
+ enableAlias = mkForce false;
}
)
diff --git a/lib/tests/modules/alias-with-priority.nix b/lib/tests/modules/alias-with-priority.nix
index a35a06fc6974..c64a586ab2d1 100644
--- a/lib/tests/modules/alias-with-priority.nix
+++ b/lib/tests/modules/alias-with-priority.nix
@@ -6,12 +6,19 @@
{ config, lib, ... }:
-with lib;
+let
+ inherit (lib)
+ mkAliasOptionModule
+ mkDefault
+ mkOption
+ types
+ ;
+in
{
options = {
# A simple boolean option that can be enabled or disabled.
- enable = lib.mkOption {
+ enable = mkOption {
type = types.nullOr types.bool;
default = null;
example = true;
@@ -41,7 +48,7 @@ with lib;
# should be able to be overridden by the next import.
( { config, lib, ... }:
{
- enableAlias = lib.mkDefault false;
+ enableAlias = mkDefault false;
}
)
diff --git a/lib/tests/modules/extendModules-168767-imports.nix b/lib/tests/modules/extendModules-168767-imports.nix
index 489e6b5a5d83..6b50b81236d1 100644
--- a/lib/tests/modules/extendModules-168767-imports.nix
+++ b/lib/tests/modules/extendModules-168767-imports.nix
@@ -2,7 +2,14 @@
, extendModules
, ...
}:
-with lib;
+
+let
+ inherit (lib)
+ mkOption
+ mkOverride
+ types
+ ;
+in
{
imports = [
diff --git a/lib/tests/release.nix b/lib/tests/release.nix
index 96d34be8c2d3..5b2a9df1635c 100644
--- a/lib/tests/release.nix
+++ b/lib/tests/release.nix
@@ -9,60 +9,7 @@
let
lib = import ../.;
testWithNix = nix:
- pkgs.runCommand "nixpkgs-lib-tests-nix-${nix.version}" {
- buildInputs = [
- (import ./check-eval.nix)
- (import ./maintainers.nix {
- inherit pkgs;
- lib = import ../.;
- })
- (import ./teams.nix {
- inherit pkgs;
- lib = import ../.;
- })
- (import ../path/tests {
- inherit pkgs;
- })
- ];
- nativeBuildInputs = [
- nix
- pkgs.gitMinimal
- ] ++ lib.optional pkgs.stdenv.isLinux pkgs.inotify-tools;
- strictDeps = true;
- } ''
- datadir="${nix}/share"
- export TEST_ROOT=$(pwd)/test-tmp
- export HOME=$(mktemp -d)
- export NIX_BUILD_HOOK=
- export NIX_CONF_DIR=$TEST_ROOT/etc
- export NIX_LOCALSTATE_DIR=$TEST_ROOT/var
- export NIX_LOG_DIR=$TEST_ROOT/var/log/nix
- export NIX_STATE_DIR=$TEST_ROOT/var/nix
- export NIX_STORE_DIR=$TEST_ROOT/store
- export PAGER=cat
- cacheDir=$TEST_ROOT/binary-cache
-
- nix-store --init
-
- cp -r ${../.} lib
- echo "Running lib/tests/modules.sh"
- bash lib/tests/modules.sh
-
- echo "Running lib/tests/filesystem.sh"
- TEST_LIB=$PWD/lib bash lib/tests/filesystem.sh
-
- echo "Running lib/tests/sources.sh"
- TEST_LIB=$PWD/lib bash lib/tests/sources.sh
-
- echo "Running lib/fileset/tests.sh"
- TEST_LIB=$PWD/lib bash lib/fileset/tests.sh
-
- echo "Running lib/tests/systems.nix"
- [[ $(nix-instantiate --eval --strict lib/tests/systems.nix | tee /dev/stderr) == '[ ]' ]];
-
- mkdir $out
- echo success > $out/${nix.version}
- '';
+ import ./test-with-nix.nix { inherit lib nix pkgs; };
in
pkgs.symlinkJoin {
diff --git a/lib/tests/test-with-nix.nix b/lib/tests/test-with-nix.nix
new file mode 100644
index 000000000000..9d66b91cab42
--- /dev/null
+++ b/lib/tests/test-with-nix.nix
@@ -0,0 +1,76 @@
+/**
+ * Instantiate the library tests for a given Nix version.
+ *
+ * IMPORTANT:
+ * This is used by the github.com/NixOS/nix CI.
+ *
+ * Try not to change the interface of this file, or if you need to, ping the
+ * Nix maintainers for help. Thank you!
+ */
+{
+ pkgs,
+ lib,
+ # Only ever use this nix; see comment at top
+ nix,
+}:
+
+pkgs.runCommand "nixpkgs-lib-tests-nix-${nix.version}" {
+ buildInputs = [
+ (import ./check-eval.nix)
+ (import ./maintainers.nix {
+ inherit pkgs;
+ lib = import ../.;
+ })
+ (import ./teams.nix {
+ inherit pkgs;
+ lib = import ../.;
+ })
+ (import ../path/tests {
+ inherit pkgs;
+ })
+ ];
+ nativeBuildInputs = [
+ nix
+ pkgs.gitMinimal
+ ] ++ lib.optional pkgs.stdenv.isLinux pkgs.inotify-tools;
+ strictDeps = true;
+} ''
+ datadir="${nix}/share"
+ export TEST_ROOT=$(pwd)/test-tmp
+ export HOME=$(mktemp -d)
+ export NIX_BUILD_HOOK=
+ export NIX_CONF_DIR=$TEST_ROOT/etc
+ export NIX_LOCALSTATE_DIR=$TEST_ROOT/var
+ export NIX_LOG_DIR=$TEST_ROOT/var/log/nix
+ export NIX_STATE_DIR=$TEST_ROOT/var/nix
+ export NIX_STORE_DIR=$TEST_ROOT/store
+ export PAGER=cat
+ cacheDir=$TEST_ROOT/binary-cache
+
+ nix-store --init
+
+ cp -r ${../.} lib
+ echo "Running lib/tests/modules.sh"
+ bash lib/tests/modules.sh
+
+ echo "Checking lib.version"
+ nix-instantiate lib -A version --eval || {
+ echo "lib.version does not evaluate when lib is isolated from the rest of the nixpkgs tree"
+ exit 1
+ }
+
+ echo "Running lib/tests/filesystem.sh"
+ TEST_LIB=$PWD/lib bash lib/tests/filesystem.sh
+
+ echo "Running lib/tests/sources.sh"
+ TEST_LIB=$PWD/lib bash lib/tests/sources.sh
+
+ echo "Running lib/fileset/tests.sh"
+ TEST_LIB=$PWD/lib bash lib/fileset/tests.sh
+
+ echo "Running lib/tests/systems.nix"
+ [[ $(nix-instantiate --eval --strict lib/tests/systems.nix | tee /dev/stderr) == '[ ]' ]];
+
+ mkdir $out
+ echo success > $out/${nix.version}
+''
diff --git a/lib/trivial.nix b/lib/trivial.nix
index 58620006de15..936ad207c03d 100644
--- a/lib/trivial.nix
+++ b/lib/trivial.nix
@@ -16,59 +16,114 @@ in {
## Simple (higher order) functions
- /* The identity function
- For when you need a function that does “nothing”.
+ /**
+ The identity function
+ For when you need a function that does “nothing”.
- Type: id :: a -> a
+
+ # Inputs
+
+ `x`
+
+ : The value to return
+
+ # Type
+
+ ```
+ id :: a -> a
+ ```
*/
- id =
- # The value to return
- x: x;
+ id = x: x;
- /* The constant function
+ /**
+ The constant function
- Ignores the second argument. If called with only one argument,
- constructs a function that always returns a static value.
+ Ignores the second argument. If called with only one argument,
+ constructs a function that always returns a static value.
- Type: const :: a -> b -> a
- Example:
- let f = const 5; in f 10
- => 5
+
+ # Inputs
+
+ `x`
+
+ : Value to return
+
+ `y`
+
+ : Value to ignore
+
+ # Type
+
+ ```
+ const :: a -> b -> a
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.trivial.const` usage example
+
+ ```nix
+ let f = const 5; in f 10
+ => 5
+ ```
+
+ :::
*/
const =
- # Value to return
x:
- # Value to ignore
y: x;
- /* Pipes a value through a list of functions, left to right.
+ /**
+ Pipes a value through a list of functions, left to right.
- Type: pipe :: a -> [] ->
- Example:
- pipe 2 [
- (x: x + 2) # 2 + 2 = 4
- (x: x * 2) # 4 * 2 = 8
- ]
- => 8
+ # Inputs
- # ideal to do text transformations
- pipe [ "a/b" "a/c" ] [
+ `value`
- # create the cp command
- (map (file: ''cp "${src}/${file}" $out\n''))
+ : Value to start piping.
- # concatenate all commands into one string
- lib.concatStrings
+ `fns`
- # make that string into a nix derivation
- (pkgs.runCommand "copy-to-out" {})
+ : List of functions to apply sequentially.
- ]
- =>
+ # Type
- The output type of each function has to be the input type
- of the next function, and the last function returns the
- final value.
+ ```
+ pipe :: a -> [] ->
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.trivial.pipe` usage example
+
+ ```nix
+ pipe 2 [
+ (x: x + 2) # 2 + 2 = 4
+ (x: x * 2) # 4 * 2 = 8
+ ]
+ => 8
+
+ # ideal to do text transformations
+ pipe [ "a/b" "a/c" ] [
+
+ # create the cp command
+ (map (file: ''cp "${src}/${file}" $out\n''))
+
+ # concatenate all commands into one string
+ lib.concatStrings
+
+ # make that string into a nix derivation
+ (pkgs.runCommand "copy-to-out" {})
+
+ ]
+ =>
+
+ The output type of each function has to be the input type
+ of the next function, and the last function returns the
+ final value.
+ ```
+
+ :::
*/
pipe = builtins.foldl' (x: f: f x);
@@ -79,148 +134,286 @@ in {
## Named versions corresponding to some builtin operators.
- /* Concatenate two lists
+ /**
+ Concatenate two lists
- Type: concat :: [a] -> [a] -> [a]
- Example:
- concat [ 1 2 ] [ 3 4 ]
- => [ 1 2 3 4 ]
+ # Inputs
+
+ `x`
+
+ : 1\. Function argument
+
+ `y`
+
+ : 2\. Function argument
+
+ # Type
+
+ ```
+ concat :: [a] -> [a] -> [a]
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.trivial.concat` usage example
+
+ ```nix
+ concat [ 1 2 ] [ 3 4 ]
+ => [ 1 2 3 4 ]
+ ```
+
+ :::
*/
concat = x: y: x ++ y;
- /* boolean “or” */
+ /**
+ boolean “or”
+
+
+ # Inputs
+
+ `x`
+
+ : 1\. Function argument
+
+ `y`
+
+ : 2\. Function argument
+ */
or = x: y: x || y;
- /* boolean “and” */
+ /**
+ boolean “and”
+
+
+ # Inputs
+
+ `x`
+
+ : 1\. Function argument
+
+ `y`
+
+ : 2\. Function argument
+ */
and = x: y: x && y;
- /* bitwise “and” */
- bitAnd = builtins.bitAnd
- or (import ./zip-int-bits.nix
- (a: b: if a==1 && b==1 then 1 else 0));
-
- /* bitwise “or” */
- bitOr = builtins.bitOr
- or (import ./zip-int-bits.nix
- (a: b: if a==1 || b==1 then 1 else 0));
-
- /* bitwise “xor” */
- bitXor = builtins.bitXor
- or (import ./zip-int-bits.nix
- (a: b: if a!=b then 1 else 0));
-
- /* bitwise “not” */
+ /**
+ bitwise “not”
+ */
bitNot = builtins.sub (-1);
- /* Convert a boolean to a string.
+ /**
+ Convert a boolean to a string.
- This function uses the strings "true" and "false" to represent
- boolean values. Calling `toString` on a bool instead returns "1"
- and "" (sic!).
+ This function uses the strings "true" and "false" to represent
+ boolean values. Calling `toString` on a bool instead returns "1"
+ and "" (sic!).
- Type: boolToString :: bool -> string
+
+ # Inputs
+
+ `b`
+
+ : 1\. Function argument
+
+ # Type
+
+ ```
+ boolToString :: bool -> string
+ ```
*/
boolToString = b: if b then "true" else "false";
- /* Merge two attribute sets shallowly, right side trumps left
+ /**
+ Merge two attribute sets shallowly, right side trumps left
- mergeAttrs :: attrs -> attrs -> attrs
+ mergeAttrs :: attrs -> attrs -> attrs
- Example:
- mergeAttrs { a = 1; b = 2; } { b = 3; c = 4; }
- => { a = 1; b = 3; c = 4; }
+
+ # Inputs
+
+ `x`
+
+ : Left attribute set
+
+ `y`
+
+ : Right attribute set (higher precedence for equal keys)
+
+
+ # Examples
+ :::{.example}
+ ## `lib.trivial.mergeAttrs` usage example
+
+ ```nix
+ mergeAttrs { a = 1; b = 2; } { b = 3; c = 4; }
+ => { a = 1; b = 3; c = 4; }
+ ```
+
+ :::
*/
mergeAttrs =
- # Left attribute set
x:
- # Right attribute set (higher precedence for equal keys)
y: x // y;
- /* Flip the order of the arguments of a binary function.
+ /**
+ Flip the order of the arguments of a binary function.
- Type: flip :: (a -> b -> c) -> (b -> a -> c)
- Example:
- flip concat [1] [2]
- => [ 2 1 ]
+ # Inputs
+
+ `f`
+
+ : 1\. Function argument
+
+ `a`
+
+ : 2\. Function argument
+
+ `b`
+
+ : 3\. Function argument
+
+ # Type
+
+ ```
+ flip :: (a -> b -> c) -> (b -> a -> c)
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.trivial.flip` usage example
+
+ ```nix
+ flip concat [1] [2]
+ => [ 2 1 ]
+ ```
+
+ :::
*/
flip = f: a: b: f b a;
- /* Apply function if the supplied argument is non-null.
+ /**
+ Apply function if the supplied argument is non-null.
- Example:
- mapNullable (x: x+1) null
- => null
- mapNullable (x: x+1) 22
- => 23
+
+ # Inputs
+
+ `f`
+
+ : Function to call
+
+ `a`
+
+ : Argument to check for null before passing it to `f`
+
+
+ # Examples
+ :::{.example}
+ ## `lib.trivial.mapNullable` usage example
+
+ ```nix
+ mapNullable (x: x+1) null
+ => null
+ mapNullable (x: x+1) 22
+ => 23
+ ```
+
+ :::
*/
mapNullable =
- # Function to call
f:
- # Argument to check for null before passing it to `f`
a: if a == null then a else f a;
# Pull in some builtins not included elsewhere.
inherit (builtins)
pathExists readFile isBool
isInt isFloat add sub lessThan
- seq deepSeq genericClosure;
-
+ seq deepSeq genericClosure
+ bitAnd bitOr bitXor;
## nixpkgs version strings
- /* Returns the current full nixpkgs version number. */
+ /**
+ Returns the current full nixpkgs version number.
+ */
version = release + versionSuffix;
- /* Returns the current nixpkgs release number as string. */
- release = lib.strings.fileContents ../.version;
+ /**
+ Returns the current nixpkgs release number as string.
+ */
+ release = lib.strings.fileContents ./.version;
- /* The latest release that is supported, at the time of release branch-off,
- if applicable.
+ /**
+ The latest release that is supported, at the time of release branch-off,
+ if applicable.
- Ideally, out-of-tree modules should be able to evaluate cleanly with all
- supported Nixpkgs versions (master, release and old release until EOL).
- So if possible, deprecation warnings should take effect only when all
- out-of-tree expressions/libs/modules can upgrade to the new way without
- losing support for supported Nixpkgs versions.
+ Ideally, out-of-tree modules should be able to evaluate cleanly with all
+ supported Nixpkgs versions (master, release and old release until EOL).
+ So if possible, deprecation warnings should take effect only when all
+ out-of-tree expressions/libs/modules can upgrade to the new way without
+ losing support for supported Nixpkgs versions.
- This release number allows deprecation warnings to be implemented such that
- they take effect as soon as the oldest release reaches end of life. */
+ This release number allows deprecation warnings to be implemented such that
+ they take effect as soon as the oldest release reaches end of life.
+ */
oldestSupportedRelease =
# Update on master only. Do not backport.
2311;
- /* Whether a feature is supported in all supported releases (at the time of
- release branch-off, if applicable). See `oldestSupportedRelease`. */
+ /**
+ Whether a feature is supported in all supported releases (at the time of
+ release branch-off, if applicable). See `oldestSupportedRelease`.
+
+
+ # Inputs
+
+ `release`
+
+ : Release number of feature introduction as an integer, e.g. 2111 for 21.11.
+ Set it to the upcoming release, matching the nixpkgs/.version file.
+ */
isInOldestRelease =
- /* Release number of feature introduction as an integer, e.g. 2111 for 21.11.
- Set it to the upcoming release, matching the nixpkgs/.version file.
- */
release:
release <= lib.trivial.oldestSupportedRelease;
- /* Returns the current nixpkgs release code name.
+ /**
+ Returns the current nixpkgs release code name.
- On each release the first letter is bumped and a new animal is chosen
- starting with that new letter.
+ On each release the first letter is bumped and a new animal is chosen
+ starting with that new letter.
*/
codeName = "Uakari";
- /* Returns the current nixpkgs version suffix as string. */
+ /**
+ Returns the current nixpkgs version suffix as string.
+ */
versionSuffix =
let suffixFile = ../.version-suffix;
in if pathExists suffixFile
then lib.strings.fileContents suffixFile
else "pre-git";
- /* Attempts to return the the current revision of nixpkgs and
- returns the supplied default value otherwise.
+ /**
+ Attempts to return the the current revision of nixpkgs and
+ returns the supplied default value otherwise.
- Type: revisionWithDefault :: string -> string
+
+ # Inputs
+
+ `default`
+
+ : Default value to return if revision can not be determined
+
+ # Type
+
+ ```
+ revisionWithDefault :: string -> string
+ ```
*/
revisionWithDefault =
- # Default value to return if revision can not be determined
default:
let
revisionFile = "${toString ./..}/.git-revision";
@@ -232,47 +425,115 @@ in {
nixpkgsVersion = warn "lib.nixpkgsVersion is a deprecated alias of lib.version." version;
- /* Determine whether the function is being called from inside a Nix
- shell.
+ /**
+ Determine whether the function is being called from inside a Nix
+ shell.
- Type: inNixShell :: bool
+ # Type
+
+ ```
+ inNixShell :: bool
+ ```
*/
inNixShell = builtins.getEnv "IN_NIX_SHELL" != "";
- /* Determine whether the function is being called from inside pure-eval mode
- by seeing whether `builtins` contains `currentSystem`. If not, we must be in
- pure-eval mode.
+ /**
+ Determine whether the function is being called from inside pure-eval mode
+ by seeing whether `builtins` contains `currentSystem`. If not, we must be in
+ pure-eval mode.
- Type: inPureEvalMode :: bool
+ # Type
+
+ ```
+ inPureEvalMode :: bool
+ ```
*/
inPureEvalMode = ! builtins ? currentSystem;
## Integer operations
- /* Return minimum of two numbers. */
+ /**
+ Return minimum of two numbers.
+
+
+ # Inputs
+
+ `x`
+
+ : 1\. Function argument
+
+ `y`
+
+ : 2\. Function argument
+ */
min = x: y: if x < y then x else y;
- /* Return maximum of two numbers. */
+ /**
+ Return maximum of two numbers.
+
+
+ # Inputs
+
+ `x`
+
+ : 1\. Function argument
+
+ `y`
+
+ : 2\. Function argument
+ */
max = x: y: if x > y then x else y;
- /* Integer modulus
+ /**
+ Integer modulus
- Example:
- mod 11 10
- => 1
- mod 1 10
- => 1
+
+ # Inputs
+
+ `base`
+
+ : 1\. Function argument
+
+ `int`
+
+ : 2\. Function argument
+
+
+ # Examples
+ :::{.example}
+ ## `lib.trivial.mod` usage example
+
+ ```nix
+ mod 11 10
+ => 1
+ mod 1 10
+ => 1
+ ```
+
+ :::
*/
mod = base: int: base - (int * (builtins.div base int));
## Comparisons
- /* C-style comparisons
+ /**
+ C-style comparisons
- a < b, compare a b => -1
- a == b, compare a b => 0
- a > b, compare a b => 1
+ a < b, compare a b => -1
+ a == b, compare a b => 0
+ a > b, compare a b => 1
+
+
+ # Inputs
+
+ `a`
+
+ : 1\. Function argument
+
+ `b`
+
+ : 2\. Function argument
*/
compare = a: b:
if a < b
@@ -281,50 +542,100 @@ in {
then 1
else 0;
- /* Split type into two subtypes by predicate `p`, take all elements
- of the first subtype to be less than all the elements of the
- second subtype, compare elements of a single subtype with `yes`
- and `no` respectively.
+ /**
+ Split type into two subtypes by predicate `p`, take all elements
+ of the first subtype to be less than all the elements of the
+ second subtype, compare elements of a single subtype with `yes`
+ and `no` respectively.
- Type: (a -> bool) -> (a -> a -> int) -> (a -> a -> int) -> (a -> a -> int)
- Example:
- let cmp = splitByAndCompare (hasPrefix "foo") compare compare; in
+ # Inputs
- cmp "a" "z" => -1
- cmp "fooa" "fooz" => -1
+ `p`
- cmp "f" "a" => 1
- cmp "fooa" "a" => -1
- # while
- compare "fooa" "a" => 1
+ : Predicate
+
+ `yes`
+
+ : Comparison function if predicate holds for both values
+
+ `no`
+
+ : Comparison function if predicate holds for neither value
+
+ `a`
+
+ : First value to compare
+
+ `b`
+
+ : Second value to compare
+
+ # Type
+
+ ```
+ (a -> bool) -> (a -> a -> int) -> (a -> a -> int) -> (a -> a -> int)
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.trivial.splitByAndCompare` usage example
+
+ ```nix
+ let cmp = splitByAndCompare (hasPrefix "foo") compare compare; in
+
+ cmp "a" "z" => -1
+ cmp "fooa" "fooz" => -1
+
+ cmp "f" "a" => 1
+ cmp "fooa" "a" => -1
+ # while
+ compare "fooa" "a" => 1
+ ```
+
+ :::
*/
splitByAndCompare =
- # Predicate
- p:
- # Comparison function if predicate holds for both values
- yes:
- # Comparison function if predicate holds for neither value
- no:
- # First value to compare
- a:
- # Second value to compare
- b:
+ p: yes: no: a: b:
if p a
then if p b then yes a b else -1
else if p b then 1 else no a b;
- /* Reads a JSON file.
+ /**
+ Reads a JSON file.
- Type: importJSON :: path -> any
+
+ # Inputs
+
+ `path`
+
+ : 1\. Function argument
+
+ # Type
+
+ ```
+ importJSON :: path -> any
+ ```
*/
importJSON = path:
builtins.fromJSON (builtins.readFile path);
- /* Reads a TOML file.
+ /**
+ Reads a TOML file.
- Type: importTOML :: path -> any
+
+ # Inputs
+
+ `path`
+
+ : 1\. Function argument
+
+ # Type
+
+ ```
+ importTOML :: path -> any
+ ```
*/
importTOML = path:
builtins.fromTOML (builtins.readFile path);
@@ -344,7 +655,7 @@ in {
# TODO: figure out a clever way to integrate location information from
# something like __unsafeGetAttrPos.
- /*
+ /**
Print a warning before returning the second argument. This function behaves
like `builtins.trace`, but requires a string message and formats it as a
warning, including the `warning: ` prefix.
@@ -352,28 +663,80 @@ in {
To get a call stack trace and abort evaluation, set the environment variable
`NIX_ABORT_ON_WARN=true` and set the Nix options `--option pure-eval false --show-trace`
- Type: string -> a -> a
+ # Inputs
+
+ `msg`
+
+ : Warning message to print.
+
+ `val`
+
+ : Value to return as-is.
+
+ # Type
+
+ ```
+ string -> a -> a
+ ```
*/
warn =
if lib.elem (builtins.getEnv "NIX_ABORT_ON_WARN") ["1" "true" "yes"]
then msg: builtins.trace "[1;31mwarning: ${msg}[0m" (abort "NIX_ABORT_ON_WARN=true; warnings are treated as unrecoverable errors.")
else msg: builtins.trace "[1;31mwarning: ${msg}[0m";
- /*
+ /**
Like warn, but only warn when the first argument is `true`.
- Type: bool -> string -> a -> a
+
+ # Inputs
+
+ `cond`
+
+ : 1\. Function argument
+
+ `msg`
+
+ : 2\. Function argument
+
+ `val`
+
+ : Value to return as-is.
+
+ # Type
+
+ ```
+ bool -> string -> a -> a
+ ```
*/
warnIf = cond: msg: if cond then warn msg else x: x;
- /*
+ /**
Like warnIf, but negated (warn if the first argument is `false`).
- Type: bool -> string -> a -> a
+
+ # Inputs
+
+ `cond`
+
+ : 1\. Function argument
+
+ `msg`
+
+ : 2\. Function argument
+
+ `val`
+
+ : Value to return as-is.
+
+ # Type
+
+ ```
+ bool -> string -> a -> a
+ ```
*/
warnIfNot = cond: msg: if cond then x: x else warn msg;
- /*
+ /**
Like the `assert b; e` expression, but with a custom error message and
without the semicolon.
@@ -384,33 +747,95 @@ in {
Calls can be juxtaposed using function application, as `(r: r) a = a`, so
`(r: r) (r: r) a = a`, and so forth.
- Type: bool -> string -> a -> a
- Example:
+ # Inputs
- throwIfNot (lib.isList overlays) "The overlays argument to nixpkgs must be a list."
- lib.foldr (x: throwIfNot (lib.isFunction x) "All overlays passed to nixpkgs must be functions.") (r: r) overlays
- pkgs
+ `cond`
+ : 1\. Function argument
+
+ `msg`
+
+ : 2\. Function argument
+
+ # Type
+
+ ```
+ bool -> string -> a -> a
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.trivial.throwIfNot` usage example
+
+ ```nix
+ throwIfNot (lib.isList overlays) "The overlays argument to nixpkgs must be a list."
+ lib.foldr (x: throwIfNot (lib.isFunction x) "All overlays passed to nixpkgs must be functions.") (r: r) overlays
+ pkgs
+ ```
+
+ :::
*/
throwIfNot = cond: msg: if cond then x: x else throw msg;
- /*
+ /**
Like throwIfNot, but negated (throw if the first argument is `true`).
- Type: bool -> string -> a -> a
+
+ # Inputs
+
+ `cond`
+
+ : 1\. Function argument
+
+ `msg`
+
+ : 2\. Function argument
+
+ # Type
+
+ ```
+ bool -> string -> a -> a
+ ```
*/
throwIf = cond: msg: if cond then throw msg else x: x;
- /* Check if the elements in a list are valid values from a enum, returning the identity function, or throwing an error message otherwise.
+ /**
+ Check if the elements in a list are valid values from a enum, returning the identity function, or throwing an error message otherwise.
- Example:
- let colorVariants = ["bright" "dark" "black"]
- in checkListOfEnum "color variants" [ "standard" "light" "dark" ] colorVariants;
- =>
- error: color variants: bright, black unexpected; valid ones: standard, light, dark
- Type: String -> List ComparableVal -> List ComparableVal -> a -> a
+ # Inputs
+
+ `msg`
+
+ : 1\. Function argument
+
+ `valid`
+
+ : 2\. Function argument
+
+ `given`
+
+ : 3\. Function argument
+
+ # Type
+
+ ```
+ String -> List ComparableVal -> List ComparableVal -> a -> a
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.trivial.checkListOfEnum` usage example
+
+ ```nix
+ let colorVariants = ["bright" "dark" "black"]
+ in checkListOfEnum "color variants" [ "standard" "light" "dark" ] colorVariants;
+ =>
+ error: color variants: bright, black unexpected; valid ones: standard, light, dark
+ ```
+
+ :::
*/
checkListOfEnum = msg: valid: given:
let
@@ -425,15 +850,27 @@ in {
## Function annotations
- /* Add metadata about expected function arguments to a function.
- The metadata should match the format given by
- builtins.functionArgs, i.e. a set from expected argument to a bool
- representing whether that argument has a default or not.
- setFunctionArgs : (a → b) → Map String Bool → (a → b)
+ /**
+ Add metadata about expected function arguments to a function.
+ The metadata should match the format given by
+ builtins.functionArgs, i.e. a set from expected argument to a bool
+ representing whether that argument has a default or not.
+ setFunctionArgs : (a → b) → Map String Bool → (a → b)
- This function is necessary because you can't dynamically create a
- function of the { a, b ? foo, ... }: format, but some facilities
- like callPackage expect to be able to query expected arguments.
+ This function is necessary because you can't dynamically create a
+ function of the { a, b ? foo, ... }: format, but some facilities
+ like callPackage expect to be able to query expected arguments.
+
+
+ # Inputs
+
+ `f`
+
+ : 1\. Function argument
+
+ `args`
+
+ : 2\. Function argument
*/
setFunctionArgs = f: args:
{ # TODO: Should we add call-time "type" checking like built in?
@@ -441,84 +878,133 @@ in {
__functionArgs = args;
};
- /* Extract the expected function arguments from a function.
- This works both with nix-native { a, b ? foo, ... }: style
- functions and functions with args set with 'setFunctionArgs'. It
- has the same return type and semantics as builtins.functionArgs.
- setFunctionArgs : (a → b) → Map String Bool.
+ /**
+ Extract the expected function arguments from a function.
+ This works both with nix-native { a, b ? foo, ... }: style
+ functions and functions with args set with 'setFunctionArgs'. It
+ has the same return type and semantics as builtins.functionArgs.
+ setFunctionArgs : (a → b) → Map String Bool.
+
+
+ # Inputs
+
+ `f`
+
+ : 1\. Function argument
*/
functionArgs = f:
if f ? __functor
then f.__functionArgs or (functionArgs (f.__functor f))
else builtins.functionArgs f;
- /* Check whether something is a function or something
- annotated with function args.
+ /**
+ Check whether something is a function or something
+ annotated with function args.
+
+
+ # Inputs
+
+ `f`
+
+ : 1\. Function argument
*/
isFunction = f: builtins.isFunction f ||
(f ? __functor && isFunction (f.__functor f));
- /*
+ /**
`mirrorFunctionArgs f g` creates a new function `g'` with the same behavior as `g` (`g' x == g x`)
but its function arguments mirroring `f` (`lib.functionArgs g' == lib.functionArgs f`).
- Type:
- mirrorFunctionArgs :: (a -> b) -> (a -> c) -> (a -> c)
- Example:
- addab = {a, b}: a + b
- addab { a = 2; b = 4; }
- => 6
- lib.functionArgs addab
- => { a = false; b = false; }
- addab1 = attrs: addab attrs + 1
- addab1 { a = 2; b = 4; }
- => 7
- lib.functionArgs addab1
- => { }
- addab1' = lib.mirrorFunctionArgs addab addab1
- addab1' { a = 2; b = 4; }
- => 7
- lib.functionArgs addab1'
- => { a = false; b = false; }
+ # Inputs
+
+ `f`
+
+ : Function to provide the argument metadata
+
+ `g`
+
+ : Function to set the argument metadata to
+
+ # Type
+
+ ```
+ mirrorFunctionArgs :: (a -> b) -> (a -> c) -> (a -> c)
+ ```
+
+ # Examples
+ :::{.example}
+ ## `lib.trivial.mirrorFunctionArgs` usage example
+
+ ```nix
+ addab = {a, b}: a + b
+ addab { a = 2; b = 4; }
+ => 6
+ lib.functionArgs addab
+ => { a = false; b = false; }
+ addab1 = attrs: addab attrs + 1
+ addab1 { a = 2; b = 4; }
+ => 7
+ lib.functionArgs addab1
+ => { }
+ addab1' = lib.mirrorFunctionArgs addab addab1
+ addab1' { a = 2; b = 4; }
+ => 7
+ lib.functionArgs addab1'
+ => { a = false; b = false; }
+ ```
+
+ :::
*/
mirrorFunctionArgs =
- # Function to provide the argument metadata
f:
let
fArgs = functionArgs f;
in
- # Function to set the argument metadata to
g:
setFunctionArgs g fArgs;
- /*
+ /**
Turns any non-callable values into constant functions.
Returns callable values as is.
- Example:
- nix-repl> lib.toFunction 1 2
- 1
+ # Inputs
- nix-repl> lib.toFunction (x: x + 1) 2
- 3
+ `v`
+
+ : Any value
+
+
+ # Examples
+ :::{.example}
+ ## `lib.trivial.toFunction` usage example
+
+ ```nix
+ nix-repl> lib.toFunction 1 2
+ 1
+
+ nix-repl> lib.toFunction (x: x + 1) 2
+ 3
+ ```
+
+ :::
*/
toFunction =
- # Any value
v:
if isFunction v
then v
else k: v;
- /* Convert the given positive integer to a string of its hexadecimal
- representation. For example:
+ /**
+ Convert the given positive integer to a string of its hexadecimal
+ representation. For example:
- toHexString 0 => "0"
+ toHexString 0 => "0"
- toHexString 16 => "10"
+ toHexString 16 => "10"
- toHexString 250 => "FA"
+ toHexString 250 => "FA"
*/
toHexString = let
hexDigits = {
@@ -535,14 +1021,26 @@ in {
else hexDigits.${toString d};
in i: lib.concatMapStrings toHexDigit (toBaseDigits 16 i);
- /* `toBaseDigits base i` converts the positive integer i to a list of its
- digits in the given base. For example:
+ /**
+ `toBaseDigits base i` converts the positive integer i to a list of its
+ digits in the given base. For example:
- toBaseDigits 10 123 => [ 1 2 3 ]
+ toBaseDigits 10 123 => [ 1 2 3 ]
- toBaseDigits 2 6 => [ 1 1 0 ]
+ toBaseDigits 2 6 => [ 1 1 0 ]
- toBaseDigits 16 250 => [ 15 10 ]
+ toBaseDigits 16 250 => [ 15 10 ]
+
+
+ # Inputs
+
+ `base`
+
+ : 1\. Function argument
+
+ `i`
+
+ : 2\. Function argument
*/
toBaseDigits = base: i:
let
diff --git a/lib/versions.nix b/lib/versions.nix
index 986e7e5f9b37..720d19e8ca29 100644
--- a/lib/versions.nix
+++ b/lib/versions.nix
@@ -9,7 +9,7 @@ rec {
splitVersion "1.2.3"
=> ["1" "2" "3"]
*/
- splitVersion = builtins.splitVersion or (lib.splitString ".");
+ splitVersion = builtins.splitVersion;
/* Get the major version string from a string.
diff --git a/lib/zip-int-bits.nix b/lib/zip-int-bits.nix
deleted file mode 100644
index 53efd2bb0a04..000000000000
--- a/lib/zip-int-bits.nix
+++ /dev/null
@@ -1,39 +0,0 @@
-/* Helper function to implement a fallback for the bit operators
- `bitAnd`, `bitOr` and `bitXor` on older nix version.
- See ./trivial.nix
-*/
-f: x: y:
- let
- # (intToBits 6) -> [ 0 1 1 ]
- intToBits = x:
- if x == 0 || x == -1 then
- []
- else
- let
- headbit = if (x / 2) * 2 != x then 1 else 0; # x & 1
- tailbits = if x < 0 then ((x + 1) / 2) - 1 else x / 2; # x >> 1
- in
- [headbit] ++ (intToBits tailbits);
-
- # (bitsToInt [ 0 1 1 ] 0) -> 6
- # (bitsToInt [ 0 1 0 ] 1) -> -6
- bitsToInt = l: signum:
- if l == [] then
- (if signum == 0 then 0 else -1)
- else
- (builtins.head l) + (2 * (bitsToInt (builtins.tail l) signum));
-
- xsignum = if x < 0 then 1 else 0;
- ysignum = if y < 0 then 1 else 0;
- zipListsWith' = fst: snd:
- if fst==[] && snd==[] then
- []
- else if fst==[] then
- [(f xsignum (builtins.head snd))] ++ (zipListsWith' [] (builtins.tail snd))
- else if snd==[] then
- [(f (builtins.head fst) ysignum )] ++ (zipListsWith' (builtins.tail fst) [] )
- else
- [(f (builtins.head fst) (builtins.head snd))] ++ (zipListsWith' (builtins.tail fst) (builtins.tail snd));
- in
- assert (builtins.isInt x) && (builtins.isInt y);
- bitsToInt (zipListsWith' (intToBits x) (intToBits y)) (f xsignum ysignum)
diff --git a/maintainers/maintainer-list.nix b/maintainers/maintainer-list.nix
index 90fea57f8758..fb4e94c85d22 100644
--- a/maintainers/maintainer-list.nix
+++ b/maintainers/maintainer-list.nix
@@ -203,6 +203,15 @@
fingerprint = "D292 365E 3C46 A5AA 75EE B30B 78DB 7EDE 3540 794B";
}];
};
+ _6543 = {
+ email = "6543@obermui.de";
+ github = "6543";
+ githubId = 24977596;
+ name = "6543";
+ keys = [{
+ fingerprint = "8722 B61D 7234 1082 553B 201C B8BE 6D61 0E61 C862";
+ }];
+ };
_6AA4FD = {
email = "f6442954@gmail.com";
github = "6AA4FD";
@@ -385,6 +394,13 @@
githubId = 2526296;
name = "Adrien Bustany";
};
+ abysssol = {
+ name = "abysssol";
+ email = "abysssol@pm.me";
+ matrix = "@abysssol:tchncs.de";
+ github = "abysssol";
+ githubId = 76763323;
+ };
acairncross = {
email = "acairncross@gmail.com";
github = "acairncross";
@@ -665,7 +681,7 @@
};
ajs124 = {
email = "nix@ajs124.de";
- matrix = "@andreas.schraegle:helsinki-systems.de";
+ matrix = "@ajs124:ajs124.de";
github = "ajs124";
githubId = 1229027;
name = "Andreas Schrägle";
@@ -745,6 +761,11 @@
githubId = 786394;
name = "Alexander Krupenkin ";
};
+ akshayka = {
+ github = "akshayka";
+ githubId = 1994308;
+ name = "Akshay Agrawal";
+ };
akshgpt7 = {
email = "akshgpt7@gmail.com";
github = "akshgpt7";
@@ -1736,6 +1757,12 @@
githubId = 113123021;
name = "Ashvith Shetty";
};
+ asininemonkey = {
+ email = "nixpkgs@asininemonkey.com";
+ github = "asininemonkey";
+ githubId = 65740649;
+ name = "Jose Cardoso";
+ };
aske = {
email = "aske@fmap.me";
github = "aske";
@@ -1748,6 +1775,15 @@
githubId = 453170;
name = "Alastair Pharo";
};
+ aspulse = {
+ email = "contact@aspulse.dev";
+ github = "aspulse";
+ githubId = 84216737;
+ name = "AsPulse / あすぱる";
+ keys = [{
+ fingerprint = "C919 E69E A7C0 E147 9E0F C26E 1EDA D0C6 70BD 062D";
+ }];
+ };
astavie = {
email = "astavie@pm.me";
github = "astavie";
@@ -1859,12 +1895,6 @@
github = "Atry";
githubId = 601530;
};
- attila-lendvai = {
- name = "Attila Lendvai";
- email = "attila@lendvai.name";
- github = "attila-lendvai";
- githubId = 840345;
- };
auchter = {
name = "Michael Auchter";
email = "a@phire.org";
@@ -2250,6 +2280,12 @@
githubId = 24027;
name = "Bruno Bigras";
};
+ bbjubjub = {
+ name = "Julie B.";
+ email = "julie+nixpkgs@bbjubjub.fr";
+ github = "bbjubjub2494";
+ githubId = 15657735;
+ };
bburdette = {
email = "bburdette@protonmail.com";
github = "bburdette";
@@ -2538,6 +2574,12 @@
githubId = 185443;
name = "Alexey Lebedeff";
};
+ binarycat = {
+ email = "binarycat@envs.net";
+ github = "lolbinarycat";
+ githubId = 19915050;
+ name = "binarycat";
+ };
binsky = {
email = "timo@binsky.org";
github = "binsky08";
@@ -2568,6 +2610,12 @@
github = "blaggacao";
githubId = 7548295;
};
+ blakesmith = {
+ name = "Blake Smith";
+ email = "blakesmith0@gmail.com";
+ github = "blakesmith";
+ githubId = 44368;
+ };
blankparticle = {
name = "BlankParticle";
email = "blankparticle@gmail.com";
@@ -2899,6 +2947,12 @@
githubId = 37375448;
name = "Buildit";
};
+ bwc9876 = {
+ email = "bwc9876@gmail.com";
+ github = "Bwc9876";
+ githubId = 25644444;
+ name = "Ben C";
+ };
bwlang = {
email = "brad@langhorst.com";
github = "bwlang";
@@ -2976,7 +3030,7 @@
};
cafkafk = {
email = "christina@cafkafk.com";
- matrix = "@cafkafk:nixos.dev";
+ matrix = "@cafkafk:gitter.im";
name = "Christina Sørensen";
github = "cafkafk";
githubId = 89321978;
@@ -3222,6 +3276,13 @@
github = "cdmistman";
githubId = 23486351;
};
+ cdombroski = {
+ name = "Chris Dombroski";
+ email = "cdombroski@gmail.com";
+ matrix = "@cdombroski:kow.is";
+ github = "cdombroski";
+ githubId = 244909;
+ };
ceedubs = {
email = "ceedubs@gmail.com";
github = "ceedubs";
@@ -3384,7 +3445,7 @@
name = "Philipp Dargel";
};
chito = {
- email = "iamchito@protonmail.com";
+ email = "chitochi@proton.me";
github = "chitochi";
githubId = 153365419;
matrix = "@chito:nichijou.dev";
@@ -3408,6 +3469,12 @@
githubId = 1118859;
name = "Scott Worley";
};
+ ChlorideCull = {
+ email = "nixrelated@chcl.se";
+ github = "ChlorideCull";
+ githubId = 4977063;
+ name = "Sebastian Johansson";
+ };
choochootrain = {
email = "hurshal@imap.cc";
github = "choochootrain";
@@ -4109,6 +4176,12 @@
github = "D3vil0p3r";
githubId = 83867734;
};
+ d4ilyrun = {
+ name = "Léo Duboin";
+ email = "leo@duboin.com";
+ github = "d4ilyrun";
+ githubId = 34611103;
+ };
dadada = {
name = "dadada";
email = "dadada@dadada.li";
@@ -4203,6 +4276,12 @@
githubId = 49398;
name = "Daniël de Kok";
};
+ daniel-fahey = {
+ name = "Daniel Fahey";
+ email = "daniel.fahey+nixpkgs@pm.me";
+ github = "daniel-fahey";
+ githubId = 7294692;
+ };
danielfullmer = {
email = "danielrf12@gmail.com";
github = "danielfullmer";
@@ -4295,6 +4374,15 @@
githubId = 34766150;
name = "datafoo";
};
+ DataHearth = {
+ email = "dev@antoine-langlois.net";
+ github = "DataHearth";
+ githubId = 28595242;
+ name = "DataHearth";
+ keys = [{
+ fingerprint = "A129 2547 0298 BFEE 7EE0 92B3 946E 2D0C 410C 7B3D";
+ }];
+ };
davegallant = {
name = "Dave Gallant";
email = "davegallant@gmail.com";
@@ -4398,6 +4486,12 @@
githubId = 49904992;
name = "Dawid Sowa";
};
+ dawoox = {
+ email = "contact@antoinebellanger.fr";
+ github = "dawoox";
+ githubId = 48325941;
+ name = "Dawoox";
+ };
daylinmorgan = {
email = "daylinmorgan@gmail.com";
github = "daylinmorgan";
@@ -4555,6 +4649,12 @@
githubId = 47436522;
name = "deliciouslytyped";
};
+ delliott = {
+ name = "Darragh Elliott";
+ github = "delliottxyz";
+ githubId = 150736012;
+ email = "me+git@delliott.xyz";
+ };
delroth = {
email = "delroth@gmail.com";
github = "delroth";
@@ -4788,6 +4888,12 @@
githubId = 8404455;
name = "Diego Lelis";
};
+ diegs = {
+ email = "dpontor@gmail.com";
+ github = "diegs";
+ githubId = 74719;
+ name = "Diego Pontoriero";
+ };
DieracDelta = {
email = "justin@restivo.me";
github = "DieracDelta";
@@ -4916,6 +5022,12 @@
githubId = 283316;
name = "Dane Lipscombe";
};
+ dmadisetti = {
+ email = "nix@madisetti.me";
+ github = "dmadisetti";
+ githubId = 2689338;
+ name = "Dylan Madisetti";
+ };
dmalikov = {
email = "malikov.d.y@gmail.com";
github = "dmalikov";
@@ -5310,6 +5422,12 @@
githubId = 7875;
name = "Rommel Martinez";
};
+ ecklf = {
+ email = "ecklf@icloud.com";
+ github = "ecklf";
+ githubId = 8146736;
+ name = "Florentin Eckl";
+ };
eclairevoyant = {
github = "eclairevoyant";
githubId = 848000;
@@ -5517,6 +5635,12 @@
githubId = 5737945;
name = "Elia Argentieri";
};
+ elisesouche = {
+ email = "elise@souche.one";
+ github = "elisesouche";
+ githubId = 161958668;
+ name = "Élise Souche";
+ };
elitak = {
email = "elitak@gmail.com";
github = "elitak";
@@ -5571,6 +5695,12 @@
githubId = 2536303;
name = "Enno Lohmeier";
};
+ elpdt852 = {
+ email = "nix@pdtpartners.com";
+ github = "elpdt852";
+ githubId = 122112154;
+ name = "Edgar Lee";
+ };
elvishjerricco = {
email = "elvishjerricco@gmail.com";
matrix = "@elvishjerricco:matrix.org";
@@ -5688,6 +5818,15 @@
githubId = 418227;
name = "Jean-Philippe Braun";
};
+ eownerdead = {
+ name = "EOWNERDEAD";
+ email = "eownerdead@disroot.org";
+ github = "eownerdead";
+ githubId = 141208772;
+ keys = [{
+ fingerprint = "4715 17D6 2495 A273 4DDB 5661 009E 5630 5CA5 4D63";
+ }];
+ };
eperuffo = {
email = "info@emanueleperuffo.com";
github = "emanueleperuffo";
@@ -5737,6 +5876,13 @@
githubId = 8706;
name = "Rafael Fernández López";
};
+ erethon = {
+ email = "dgrig@erethon.com";
+ matrix = "@dgrig:erethon.com";
+ github = "erethon";
+ githubId = 1254842;
+ name = "Dionysis Grigoropoulos";
+ };
ericbmerritt = {
email = "eric@afiniate.com";
github = "ericbmerritt";
@@ -5884,7 +6030,7 @@
};
etu = {
email = "elis@hirwing.se";
- matrix = "@etu:semi.social";
+ matrix = "@etu:failar.nu";
github = "etu";
githubId = 461970;
name = "Elis Hirwing";
@@ -5957,6 +6103,15 @@
githubId = 159288204;
name = "evey";
};
+ evilbulgarian = {
+ email = "vladi@aresgate.net";
+ github = "evilbulgarian";
+ githubId = 1960413;
+ name = "Vladi Gergov";
+ keys = [{
+ fingerprint = "50D5 67C5 D693 15A2 76F5 5634 3758 5F3C A9EC BFA4";
+ }];
+ };
evilmav = {
email = "elenskiy.ilya@gmail.com";
github = "evilmav";
@@ -6084,6 +6239,12 @@
githubId = 303897;
name = "Fabián Heredia Montiel";
};
+ fabianrig = {
+ email = "fabianrig@posteo.de";
+ github = "fabianrig";
+ githubId = 88741530;
+ name = "Fabian Rigoll";
+ };
fadenb = {
email = "tristan.helmich+nixos@gmail.com";
github = "fadenb";
@@ -6152,12 +6313,12 @@
};
federicoschonborn = {
name = "Federico Damián Schonborn";
- email = "fdschonborn@gmail.com";
+ email = "federicoschonborn@disroot.org";
github = "FedericoSchonborn";
githubId = 62166915;
matrix = "@FedericoDSchonborn:matrix.org";
keys = [
- { fingerprint = "517A 8A6A 09CA A11C 9667 CEE3 193F 70F1 5C9A B0A0"; }
+ { fingerprint = "C43F 4052 D289 3B73 33F8 0259 E4F6 F544 DE9E 29E8"; }
];
};
fedx-sudo = {
@@ -6320,12 +6481,6 @@
githubId = 1952914;
name = "Maxence Maireaux";
};
- flexagoon = {
- email = "flexagoon@pm.me";
- github = "flexagoon";
- githubId = 66178592;
- name = "Pavel Zolotarevskiy";
- };
flexiondotorg = {
name = "Martin Wimpress";
email = "martin@wimpress.org";
@@ -6473,6 +6628,11 @@
githubId = 726447;
name = "Francisco Demartino";
};
+ frankp = {
+ github = "MDM23";
+ githubId = 10290864;
+ name = "Peter Frank";
+ };
franzmondlichtmann = {
name = "Franz Schroepf";
email = "franz-schroepf@t-online.de";
@@ -6538,6 +6698,11 @@
githubId = 46672819;
name = "Frido Friedemann";
};
+ friedrichaltheide = {
+ github = "friedrichaltheide";
+ githubId = 11352905;
+ name = "Friedrich Altheide";
+ };
frlan = {
email = "frank@frank.uvena.de";
github = "frlan";
@@ -6660,6 +6825,16 @@
githubId = 29337229;
name = "mtths";
};
+ fx-chun = {
+ email = "faye@lolc.at";
+ matrix = "@faye:lolc.at";
+ github = "fx-chun";
+ githubId = 40049608;
+ name = "Faye Chun";
+ keys = [{
+ fingerprint = "ACB8 DB1F E88D A908 6332 BDB1 5A71 B010 2FD7 3FC0";
+ }];
+ };
fxfactorial = {
email = "edgar.factorial@gmail.com";
github = "fxfactorial";
@@ -6934,12 +7109,24 @@
github = "getpsyched";
githubId = 43472218;
};
+ getreu = {
+ email = "getreu@web.de";
+ github = "getreu";
+ githubId = 579082;
+ name = "Jens Getreu";
+ };
gfrascadorio = {
email = "gfrascadorio@tutanota.com";
github = "gfrascadorio";
githubId = 37602871;
name = "Galois";
};
+ ggg = {
+ email = "gggkiller2@gmail.com";
+ github = "GGG-KILLER";
+ githubId = 5892127;
+ name = "GGG";
+ };
ggpeti = {
email = "ggpeti@gmail.com";
matrix = "@ggpeti:ggpeti.com";
@@ -6953,6 +7140,15 @@
github = "ghostbuster91";
githubId = 5662622;
};
+ ghthor = {
+ email = "ghthor@gmail.com";
+ github = "ghthor";
+ githubId = 160298;
+ name = "Will Owens";
+ keys = [{
+ fingerprint = "8E98 BB01 BFF8 AEA4 E303 FC4C 8074 09C9 2CE2 3033";
+ }];
+ };
ghuntley = {
email = "ghuntley@ghuntley.com";
github = "ghuntley";
@@ -7298,6 +7494,12 @@
githubId = 21156405;
name = "GuangTao Zhang";
};
+ Guanran928 = {
+ email = "guanran928@outlook.com";
+ github = "Guanran928";
+ githubId = 68757440;
+ name = "Guanran928";
+ };
guekka = {
github = "Guekka";
githubId = 39066502;
@@ -7344,6 +7546,13 @@
githubId = 443978;
name = "Gabriel Volpe";
};
+ gwg313 = {
+ email = "gwg313@pm.me";
+ matrix = "@gwg313:matrix.org";
+ github = "gwg313";
+ githubId = 70684146;
+ name = "Glen Goodwin";
+ };
gytis-ivaskevicius = {
name = "Gytis Ivaskevicius";
email = "me@gytis.io";
@@ -7528,6 +7737,12 @@
githubId = 287769;
name = "Sergii Paryzhskyi";
};
+ heijligen = {
+ email = "src@posteo.de";
+ github = "heijligen";
+ githubId = 19170376;
+ name = "Thomas Heijligen";
+ };
heisfer = {
email = "heisfer@refract.dev";
github = "heisfer";
@@ -7824,6 +8039,12 @@
githubId = 1614615;
name = "Hendrik Schaeidt";
};
+ hsjobeki = {
+ email = "hsjobeki@gmail.com";
+ github = "hsjobeki";
+ githubId = 50398876;
+ name = "Johannes Kirschbauer";
+ };
htr = {
email = "hugo@linux.com";
github = "htr";
@@ -8114,6 +8335,13 @@
github = "ilyakooo0";
githubId = 6209627;
};
+ imadnyc = {
+ email = "me@imad.nyc";
+ github = "imadnyc";
+ githubId = 113966166;
+ name = "Abdullah Imad";
+ matrix = "@dre:imad.nyc";
+ };
imalison = {
email = "IvanMalison@gmail.com";
github = "colonelpanic8";
@@ -8266,6 +8494,12 @@
fingerprint = "E864 BDFA AB55 36FD C905 5195 DBF2 52AF FB26 19FD";
}];
};
+ ironicbadger = {
+ email = "alexktz@gmail.com";
+ github = "ironicbadger";
+ githubId = 2773080;
+ name = "Alex Kretzschmar";
+ };
ironpinguin = {
email = "michele@catalano.de";
github = "ironpinguin";
@@ -8455,6 +8689,12 @@
githubId = 2179419;
name = "Arseniy Seroka";
};
+ jakedevs = {
+ email = "work@jakedevs.net";
+ github = "jakedevs";
+ githubId = 153585330;
+ name = "Jacob Levi";
+ };
jakehamilton = {
name = "Jake Hamilton";
email = "jake.hamilton@hey.com";
@@ -9012,6 +9252,12 @@
githubId = 8900;
name = "Johan Magnus Jonsson";
};
+ jmarmstrong1207 = {
+ name = "James Armstrong";
+ email = "jm.armstrong1207@gmail.com";
+ github = "jmarmstrong1207";
+ githubId = 32995055;
+ };
jmbaur = {
email = "jaredbaur@fastmail.com";
github = "jmbaur";
@@ -9082,6 +9328,15 @@
githubId = 1102396;
name = "Jussi Maki";
};
+ joaquintrinanes = {
+ email = "hi@joaquint.io";
+ github = "JoaquinTrinanes";
+ name = "Joaquín Triñanes";
+ githubId = 1385934;
+ keys = [{
+ fingerprint = "3A13 5C15 E1D5 850D 2F90 AB25 6E14 46DD 451C 6BAF";
+ }];
+ };
jobojeha = {
email = "jobojeha@jeppener.de";
github = "jobojeha";
@@ -9256,6 +9511,13 @@
githubId = 392720;
name = "Jon Banafato";
};
+ jonas-w = {
+ email = "nixpkgs@03j.de";
+ github = "jonas-w";
+ githubId = 32615971;
+ name = "Jonas Wunderlich";
+ matrix = "@matrix:03j.de";
+ };
jonathanmarler = {
email = "johnnymarler@gmail.com";
github = "marler8997";
@@ -9268,6 +9530,12 @@
githubId = 1843676;
name = "Jonathan Reeve";
};
+ jonboh = {
+ email = "jon.bosque.hernando@gmail.com";
+ github = "jonboh";
+ githubId = 31407988;
+ name = "Jon Bosque";
+ };
jonnybolton = {
email = "jonnybolton@gmail.com";
github = "jonnynightingale";
@@ -9492,6 +9760,16 @@
githubId = 5802758;
name = "Joshua Trees";
};
+ juancmuller = {
+ email = "nix@juancmuller.com";
+ githubId = 208500;
+ github = "jcmuller";
+ matrix = "@jcmuller@beeper.com";
+ name = "Juan C. Müller";
+ keys = [{
+ fingerprint = "D78D 25D8 A1B8 2596 267F 35B8 F44E A51A 28F9 B4A7";
+ }];
+ };
juaningan = {
email = "juaningan@gmail.com";
github = "oneingan";
@@ -9719,6 +9997,15 @@
githubId = 1621930;
name = "Kamil Chmielewski";
};
+ kamillaova = {
+ name = "Kamilla Ova";
+ email = "me@kamillaova.dev";
+ github = "Kamillaova";
+ githubId = 54859825;
+ keys = [{
+ fingerprint = "B2D0 AA53 8DBE 60B0 0811 3FC0 2D52 5F67 791E 5834";
+ }];
+ };
kampfschlaefer = {
email = "arnold@arnoldarts.de";
github = "kampfschlaefer";
@@ -9818,14 +10105,6 @@
githubId = 15373888;
name = "Claudius Holeksa";
};
- ken-matsui = {
- github = "ken-matsui";
- githubId = 26405363;
- name = "Ken Matsui";
- keys = [{
- fingerprint = "3611 8CD3 6DE8 3334 B44A DDE4 1033 60B3 298E E433";
- }];
- };
kennyballou = {
email = "kb@devnulllabs.io";
github = "kennyballou";
@@ -10387,6 +10666,15 @@
githubId = 70764075;
name = "kud";
};
+ kugland = {
+ email = "kugland@gmail.com";
+ github = "kugland";
+ githubId = 1173932;
+ name = "André Kugland";
+ keys = [{
+ fingerprint = "6A62 5E60 E3FF FCAE B3AA 50DC 1DA9 3817 80CD D833";
+ }];
+ };
kupac = {
github = "Kupac";
githubId = 8224569;
@@ -10410,6 +10698,12 @@
githubId = 449813;
name = "Roman Kuznetsov";
};
+ kuznetsss = {
+ email = "kuzzz99@gmail.com";
+ github = "kuznetsss";
+ githubId = 15742918;
+ name = "Sergey Kuznetsov";
+ };
kwohlfahrt = {
email = "kai.wohlfahrt@gmail.com";
github = "kwohlfahrt";
@@ -10717,6 +11011,15 @@
githubId = 77865363;
name = "Leonid Belyaev";
};
+ leonm1 = {
+ github = "leonm1";
+ githubId = 32306579;
+ keys = [{
+ fingerprint = "C12D F14B DC9D 64E1 44C3 4D8A 755C DA4E 5923 416A";
+ }];
+ matrix = "@mattleon:matrix.org";
+ name = "Matt Leon";
+ };
leshainc = {
email = "leshainc@fomalhaut.me";
github = "LeshaInc";
@@ -10929,6 +11232,12 @@
githubId = 591860;
name = "Lionello Lunesu";
};
+ litchipi = {
+ email = "litchi.pi@proton.me";
+ github = "litchipi";
+ githubId = 61109829;
+ name = "Litchi Pi";
+ };
livnev = {
email = "lev@liv.nev.org.uk";
github = "livnev";
@@ -11073,15 +11382,6 @@
githubId = 4969294;
name = "Louis Tim Larsen";
};
- lourkeur = {
- name = "Louis Bettens";
- email = "louis@bettens.info";
- github = "lourkeur";
- githubId = 15657735;
- keys = [{
- fingerprint = "5B93 9CFA E8FC 4D8F E07A 3AEA DFE1 D4A0 1733 7E2A";
- }];
- };
loveisgrief = {
name = "LoveIsGrief";
email = "loveisgrief@tuta.io";
@@ -11172,6 +11472,12 @@
githubId = 35580196;
name = "Luca Guerra";
};
+ lucasbergman = {
+ email = "lucas@bergmans.us";
+ github = "lucasbergman";
+ githubId = 3717454;
+ name = "Lucas Bergman";
+ };
lucasew = {
email = "lucas59356@gmail.com";
github = "lucasew";
@@ -11581,6 +11887,12 @@
githubId = 1780588;
name = "Malte Poll";
};
+ maltejanz = {
+ email = "service.malte.j@protonmail.com";
+ github = "MalteJanz";
+ githubId = 18661391;
+ name = "Malte Janz";
+ };
malte-v = {
email = "nixpkgs@mal.tc";
github = "malte-v";
@@ -12367,6 +12679,12 @@
github = "MichaelBrunn3r";
githubId = 19626539;
};
+ MichaelCDormann = {
+ email = "michael.c.dormann@gmail.com";
+ name = "Michael Dormann";
+ github = "MichaelCDormann";
+ githubId = 12633081;
+ };
michaelCTS = {
email = "michael.vogel@cts.co";
name = "Michael Vogel";
@@ -12458,6 +12776,12 @@
githubId = 635591;
name = "Shahar Dawn Or";
};
+ mihaimaruseac = {
+ email = "mihaimaruseac@gmail.com";
+ github = "mihaimaruseac";
+ githubId = 323199;
+ name = "Mihai Maruseac";
+ };
mihnea-s = {
email = "mihn.stn@gmail.com";
github = "mihnea-s";
@@ -13423,6 +13747,12 @@
githubId = 77314501;
name = "Maurice Zhou";
};
+ nealfennimore = {
+ email = "hi@neal.codes";
+ github = "nealfennimore";
+ githubId = 5731551;
+ name = "Neal Fennimore";
+ };
Nebucatnetzer = {
email = "andreas+nixpkgs@zweili.ch";
github = "Nebucatnetzer";
@@ -13771,6 +14101,11 @@
githubId = 3159451;
name = "Nicolas Schneider";
};
+ NIS = {
+ name = "NSC IT Solutions";
+ github = "dev-nis";
+ githubId = 132921300;
+ };
nitsky = {
name = "nitsky";
github = "nitsky";
@@ -13866,13 +14201,6 @@
githubId = 47303199;
name = "Simon Gutgesell";
};
- noneucat = {
- email = "andy@lolc.at";
- matrix = "@noneucat:lolc.at";
- github = "noneucat";
- githubId = 40049608;
- name = "Andy Chun";
- };
noodlez1232 = {
email = "contact@nathanielbarragan.xyz";
matrix = "@noodlez1232:matrix.org";
@@ -14057,7 +14385,8 @@
githubId = 332423;
};
nu-nu-ko = {
- email = "host@nuko.city";
+ email = "nuko@shimeji.cafe";
+ matrix = "@nuko:shimeji.cafe";
github = "nu-nu-ko";
githubId = 153512689;
name = "nuko";
@@ -14383,6 +14712,12 @@
githubId = 111265;
name = "Ozan Sener";
};
+ osnyx = {
+ email = "os@flyingcircus.io";
+ github = "osnyx";
+ githubId = 104593071;
+ name = "Oliver Schmidt";
+ };
ostrolucky = {
email = "gabriel.ostrolucky@gmail.com";
github = "ostrolucky";
@@ -14731,6 +15066,12 @@
githubId = 8641;
name = "Pierre Carrier";
};
+ pcasaretto = {
+ email = "pcasaretto@gmail.com";
+ github = "pcasaretto";
+ githubId = 817039;
+ name = "Paulo Casaretto";
+ };
pedrohlc = {
email = "root@pedrohlc.com";
github = "PedroHLC";
@@ -14767,6 +15108,12 @@
github = "pennae";
githubId = 82953136;
};
+ perchun = {
+ name = "Perchun Pak";
+ email = "nixpkgs@perchun.it";
+ github = "PerchunPak";
+ githubId = 68118654;
+ };
peret = {
name = "Peter Retzlaff";
github = "peret";
@@ -14873,6 +15220,12 @@
fingerprint = "3AC6 F170 F011 33CE 393B CD94 BE94 8AFD 7E78 73BE";
}];
};
+ phijor = {
+ name = "Philipp Joram";
+ email = "nixpkgs@phijor.me";
+ github = "phijor";
+ githubId = 10487782;
+ };
philandstuff = {
email = "philip.g.potter@gmail.com";
github = "philandstuff";
@@ -15629,7 +15982,7 @@
githubId = 10837173;
};
qjoly = {
- email = "github@thoughtless.eu";
+ email = "github@une-pause-cafe.fr";
github = "qjoly";
githubId = 82603435;
name = "Quentin JOLY";
@@ -15748,6 +16101,12 @@
github = "rafaelrc7";
githubId = 5376043;
};
+ rafameou = {
+ email = "rafaelmazz22@gmail.com";
+ name = "Rafael Mazzutti";
+ github = "rafameou";
+ githubId = 26395874;
+ };
ragge = {
email = "r.dahlen@gmail.com";
github = "ragnard";
@@ -15845,6 +16204,12 @@
githubId = 11351304;
name = "Ricardo Ardissone";
};
+ raroh73 = {
+ email = "me@raroh73.com";
+ github = "Raroh73";
+ githubId = 96078496;
+ name = "Raroh73";
+ };
rasendubi = {
email = "rasen.dubi@gmail.com";
github = "rasendubi";
@@ -16288,6 +16653,12 @@
githubId = 641278;
name = "Robert T. McGibbon";
};
+ rmgpinto = {
+ email = "hessian_loom_0u@icloud.com";
+ github = "rmgpinto";
+ githubId = 24584;
+ name = "Ricardo Gândara Pinto";
+ };
rnhmjoj = {
email = "rnhmjoj@inventati.org";
matrix = "@rnhmjoj:maxwell.ydns.eu";
@@ -16425,6 +16796,15 @@
githubId = 1312525;
name = "Rongcui Dong";
};
+ rookeur = {
+ email = "adrien.langou@hotmail.com";
+ github = "Rookeur";
+ githubId = 57438432;
+ name = "Adrien Langou";
+ keys = [{
+ fingerprint = "3B8F FC41 0094 2CB4 5A2A 7DF2 5A44 DA8F 9071 91B0";
+ }];
+ };
roosemberth = {
email = "roosembert.palacios+nixpkgs@posteo.ch";
matrix = "@roosemberth:orbstheorem.ch";
@@ -16443,6 +16823,11 @@
fingerprint = "1401 1B63 393D 16C1 AA9C C521 8526 B757 4A53 6236";
}];
};
+ rosehobgoblin = {
+ name = "J. L. Bowden";
+ github = "rosehobgoblin";
+ githubId = 84164410;
+ };
rossabaker = {
name = "Ross A. Baker";
email = "ross@rossabaker.com";
@@ -16455,6 +16840,12 @@
github = "RossComputerGuy";
githubId = 19699320;
};
+ rostan-t = {
+ name = "Rostan Tabet";
+ email = "rostan.tabet@gmail.com";
+ github = "rostan-t";
+ githubId = 30502549;
+ };
rotaerk = {
name = "Matthew Stewart";
email = "m.scott.stewart@gmail.com";
@@ -16560,6 +16951,18 @@
github = "rubyowo";
githubId = 105302757;
};
+ rucadi = {
+ email = "ruben.canodiaz@gmail.com";
+ github = "rucadi";
+ githubId = 6445619;
+ name = "Ruben Cano Diaz";
+ };
+ RudiOnTheAir = {
+ name = "Rüdiger Schwoon";
+ email = "wolf@schwoon.info";
+ github = "RudiOnTheAir";
+ githubId = 47517341;
+ };
rudolfvesely = {
name = "Rudolf Vesely";
email = "i@rudolfvesely.com";
@@ -16763,6 +17166,12 @@
github = "samalws";
githubId = 20981725;
};
+ samasaur = {
+ name = "Samasaur";
+ email = "sam@samasaur.com";
+ github = "Samasaur1";
+ githubId = 30577766;
+ };
samb96 = {
email = "samb96@gmail.com";
github = "samb96";
@@ -16827,6 +17236,15 @@
githubId = 132835;
name = "Samuel Dionne-Riel";
};
+ samuelefacenda = {
+ name = "Samuele Facenda";
+ email = "samuele.facenda@gmail.com";
+ github = "SamueleFacenda";
+ githubId = 92163673;
+ keys = [{
+ fingerprint = "3BA5 A3DB 3239 E2AC 1F3B 68A0 0DB8 3F58 B259 6271";
+ }];
+ };
samuel-martineau = {
name = "Samuel Martineau";
email = "samuel@smartineau.me";
@@ -16869,6 +17287,12 @@
githubId = 1153271;
name = "Sander van der Burg";
};
+ Sanskarzz = {
+ email = "sanskar.gur@gmail.com";
+ github = "Sanskarzz";
+ githubId = 92817635;
+ name = "Sanskar Gurdasani";
+ };
sarcasticadmin = {
email = "rob@sarcasticadmin.com";
github = "sarcasticadmin";
@@ -16881,6 +17305,15 @@
githubId = 178904;
name = "Daniel Ehlers";
};
+ sascha8a = {
+ email = "sascha@localhost.systems";
+ github = "sascha8a";
+ githubId = 6937965;
+ name = "Alexander Lampalzer";
+ keys = [{
+ fingerprint = "0350 3136 E22C C561 30E3 A4AE 2087 9CCA CD5C D670";
+ }];
+ };
saschagrunert = {
email = "mail@saschagrunert.de";
github = "saschagrunert";
@@ -16905,6 +17338,12 @@
githubId = 8534888;
name = "Savanni D'Gerinel";
};
+ savedra1 = {
+ email = "michaelsavedra@gmail.com";
+ github = "savedra1";
+ githubId = 99875823;
+ name = "Michael Savedra";
+ };
savyajha = {
email = "savya.jha@hawkradius.com";
github = "savyajha";
@@ -17042,6 +17481,12 @@
fingerprint = "E173 237A C782 296D 98F5 ADAC E13D FD4B 4712 7951";
}];
};
+ sdht0 = {
+ email = "nixpkgs@sdht.in";
+ github = "sdht0";
+ githubId = 867424;
+ name = "Siddhartha Sahu";
+ };
sdier = {
email = "scott@dier.name";
matrix = "@sdier:matrix.org";
@@ -17135,6 +17580,12 @@
githubId = 1286668;
name = "Thilo Uttendorfer";
};
+ sentientmonkey = {
+ email = "swindsor@gmail.com";
+ github = "sentientmonkey";
+ githubId = 9032;
+ name = "Scott Windsor";
+ };
sents = {
email = "finn@krein.moe";
github = "sents";
@@ -17289,15 +17740,6 @@
matrix = "@shamrocklee:matrix.org";
name = "Yueh-Shun Li";
};
- shanesveller = {
- email = "shane@sveller.dev";
- github = "shanesveller";
- githubId = 831;
- keys = [{
- fingerprint = "F83C 407C ADC4 5A0F 1F2F 44E8 9210 C218 023C 15CD";
- }];
- name = "Shane Sveller";
- };
shard7 = {
email = "sh7user@gmail.com";
github = "shard77";
@@ -17456,6 +17898,12 @@
github = "shymega";
githubId = 1334592;
};
+ siddarthkay = {
+ email = "siddarthkay@gmail.com";
+ github = "siddarthkay";
+ githubId = 64726664;
+ name = "Siddarth Kumar";
+ };
siddharthdhakane = {
email = "siddharthdhakane@gmail.com";
github = "siddharthdhakane";
@@ -17501,6 +17949,7 @@
};
sikmir = {
email = "sikmir@disroot.org";
+ matrix = "@sikmir:matrix.org";
github = "sikmir";
githubId = 688044;
name = "Nikolay Korotkiy";
@@ -17508,6 +17957,12 @@
fingerprint = "ADF4 C13D 0E36 1240 BD01 9B51 D1DE 6D7F 6936 63A5";
}];
};
+ silky = {
+ name = "Noon van der Silk";
+ email = "noonsilk+nixpkgs@gmail.com";
+ github = "silky";
+ githubId = 129525;
+ };
Silver-Golden = {
name = "Brendan Golden";
email = "github+nixpkgs@brendan.ie";
@@ -17551,7 +18006,7 @@
};
sinanmohd = {
name = "Sinan Mohd";
- email = "sinan@firemail.cc";
+ email = "sinan@sinanmohd.com";
matrix = "@sinan:sinanmohd.com";
github = "sinanmohd";
githubId = 69694713;
@@ -17682,6 +18137,16 @@
githubId = 12828415;
name = "Michel Weitbrecht";
};
+ slotThe = {
+ name = "Tony Zorman";
+ email = "tonyzorman@mailbox.org";
+ github= "slotThe";
+ matrix = "@slot-:matrix.org";
+ githubId = 50166980;
+ keys = [{
+ fingerprint = "4896 FB6C 9528 46C3 414C 2475 C927 DE8C 7DFD 57B8";
+ }];
+ };
slwst = {
email = "email@slw.st";
github = "slwst";
@@ -17812,6 +18277,12 @@
githubId = 55726;
name = "Stanislav Ochotnický";
};
+ sodiboo = {
+ name = "sodiboo";
+ github = "sodiboo";
+ githubId = 37938646;
+ matrix = "@sodiboo:arcticfoxes.net";
+ };
softinio = {
email = "code@softinio.com";
github = "softinio";
@@ -17974,6 +18445,11 @@
githubId = 950799;
name = "Tomasz Czyż";
};
+ spoonbaker = {
+ github = "Spoonbaker";
+ githubId = 47164123;
+ name = "Spoonbaker";
+ };
sprock = {
email = "rmason@mun.ca";
github = "sprock";
@@ -18326,6 +18802,13 @@
githubId = 2666479;
name = "Y Nguyen";
};
+ superherointj = {
+ email = "sergiomarcelo@yandex.com";
+ github = "superherointj";
+ githubId = 5861043;
+ matrix = "@superherointj:matrix.org";
+ name = "Sérgio Marcelo";
+ };
SuperSandro2000 = {
email = "sandro.jaeckel@gmail.com";
matrix = "@sandro:supersandro.de";
@@ -18592,6 +19075,12 @@
githubId = 11619234;
name = "Sergey Volkov";
};
+ tarantoj = {
+ email = "taranto.james@gmail.com";
+ github = "tarantoj";
+ githubId = 13129552;
+ name = "James Taranto";
+ };
tari = {
email = "peter@taricorp.net";
github = "tari";
@@ -18891,6 +19380,13 @@
githubId = 42933;
name = "Andrew Childs";
};
+ thefossguy = {
+ name = "Pratham Patel";
+ email = "prathampatel@thefossguy.com";
+ matrix = "@thefossguy:matrix.org";
+ github = "thefossguy";
+ githubId = 44400303;
+ };
thehedgeh0g = {
name = "The Hedgehog";
email = "hedgehog@mrhedgehog.xyz";
@@ -18916,6 +19412,12 @@
githubId = 3105057;
name = "Jan Beinke";
};
+ themaxmur = {
+ name = "Maxim Muravev";
+ email = "muravjev.mak@yandex.ru";
+ github = "TheMaxMur";
+ githubId = 31189199;
+ };
thenonameguy = {
email = "thenonameguy24@gmail.com";
name = "Krisztian Szabo";
@@ -19584,6 +20086,12 @@
fingerprint = "E631 8869 586F 99B4 F6E6 D785 5942 58F0 389D 2802";
}];
};
+ twitchy0 = {
+ email = "code@nitinpassa.com";
+ github = "twitchy0";
+ githubId = 131159000;
+ name = "Nitin Passa";
+ };
twitchyliquid64 = {
name = "Tom";
email = "twitchyliquid64@ciphersink.net";
@@ -20104,6 +20612,12 @@
githubId = 326263;
name = "Danny Wilson";
};
+ vizid = {
+ email = "vizid1337@gmail.com";
+ github = "ViZiD";
+ githubId = 7444430;
+ name = "Radik Islamov";
+ };
vklquevs = {
email = "vklquevs@gmail.com";
github = "vklquevs";
@@ -20543,6 +21057,12 @@
githubId = 15619766;
name = "wldhx";
};
+ w-lfchen = {
+ email = "w-lfchen@posteo.net";
+ github = "w-lfchen";
+ githubId = 115360611;
+ name = "Wölfchen";
+ };
wmertens = {
email = "Wout.Mertens@gmail.com";
github = "wmertens";
@@ -20754,6 +21274,13 @@
githubId = 31734358;
name = "Xavier Groleau";
};
+ xgwq = {
+ name = "XGWQ";
+ email = "nixos@xnee.de";
+ matrix = "@xgwq:nerdberg.de";
+ github = "peterablehmann";
+ githubId = 36541313;
+ };
xiorcale = {
email = "quentin.vaucher@pm.me";
github = "xiorcale";
@@ -20830,6 +21357,12 @@
githubId = 973709;
name = "Jairo Llopis";
};
+ yamashitax = {
+ email = "hello@yamashit.ax";
+ github = "yamashitax";
+ githubId = 99486674;
+ name = "山下";
+ };
yana = {
email = "yana@riseup.net";
github = "yanateras";
@@ -20842,6 +21375,12 @@
github = "yanganto";
githubId = 10803111;
};
+ yannickulrich = {
+ email = "yannick.ulrich@proton.me";
+ github = "yannickulrich";
+ githubId = 749922;
+ name = "Yannick Ulrich";
+ };
yannip = {
email = "yPapandreou7@gmail.com";
github = "YanniPapandreou";
diff --git a/maintainers/scripts/bootstrap-files/README.md b/maintainers/scripts/bootstrap-files/README.md
index ae385cbd6ce8..7a1a2bef867c 100644
--- a/maintainers/scripts/bootstrap-files/README.md
+++ b/maintainers/scripts/bootstrap-files/README.md
@@ -6,8 +6,9 @@ binaries (without the reliance on external inputs):
- `bootstrap-tools`: an archive with the compiler toolchain and other
helper tools enough to build the rest of the `nixpkgs`.
- initial binaries needed to unpack `bootstrap-tools.*`. On `linux`
- it's just `busybox`, on `darwin` it's `sh`, `bzip2`, `mkdir` and
- `cpio`. These binaries can be executed directly from the store.
+ it's just `busybox`, on `darwin` it is unpack.nar.xz which contains
+ the binaries and script needed to unpack the tools. These binaries
+ can be executed directly from the store.
These are called "bootstrap files".
@@ -39,7 +40,7 @@ target:
```
To validate cross-targets `binfmt` `NixOS` helper can be useful.
- For `riscv64-unknown-linux-gnu` the `/etc/nixox/configuraqtion.nix`
+ For `riscv64-unknown-linux-gnu` the `/etc/nixos/configuration.nix`
entry would be `boot.binfmt.emulatedSystems = [ "riscv64-linux" ]`.
3. Propose the commit as a PR to update bootstrap tarballs, tag people
@@ -74,12 +75,3 @@ There are two types of bootstrap files:
The `.build` job contains `/on-server/` subdirectory with binaries to
be uploaded to `tarballs.nixos.org`.
The files are uploaded to `tarballs.nixos.org` by writers to `S3` store.
-
-## TODOs
-
-- `pkgs/stdenv/darwin` file layout is slightly different from
- `pkgs/stdenv/linux`. Once `linux` seed update becomes a routine we can
- bring `darwin` in sync if it's feasible.
-- `darwin` definition of `.build` `on-server/` directory layout differs
- and should be updated.
-
diff --git a/maintainers/scripts/bootstrap-files/refresh-tarballs.bash b/maintainers/scripts/bootstrap-files/refresh-tarballs.bash
index 21c43ade27f1..e7bf27f24046 100755
--- a/maintainers/scripts/bootstrap-files/refresh-tarballs.bash
+++ b/maintainers/scripts/bootstrap-files/refresh-tarballs.bash
@@ -6,6 +6,8 @@
#! nix-shell -p nix
#! nix-shell -p jq
+set -o pipefail
+
# How the refresher works:
#
# For a given list of :
@@ -15,6 +17,9 @@
# 4. calculate hashes and craft the commit message with the details on
# how to upload the result to 'tarballs.nixos.org'
+scratch_dir=$(mktemp -d)
+trap 'rm -rf -- "${scratch_dir}"' EXIT
+
usage() {
cat >&2 < {
url = "http://tarballs.nixos.org/${s3_prefix}/${nixpkgs_revision}/$fname";
- hash = "${sri}";$(printf "\n%s" "${executable_nix}")
+ hash = "${sri}";$(
+ [[ -n ${executable_nix} ]] && printf "\n %s" "${executable_nix}"
+ [[ -n ${name_nix} ]] && printf "\n %s" "${name_nix}"
+ [[ -n ${unpack_nix} ]] && printf "\n %s" "${unpack_nix}"
+)
};
EOF
done
diff --git a/maintainers/scripts/eval-release.nix b/maintainers/scripts/eval-release.nix
index 4f0ca2465025..10acfe328447 100644
--- a/maintainers/scripts/eval-release.nix
+++ b/maintainers/scripts/eval-release.nix
@@ -1,9 +1,8 @@
-# Evaluate `release.nix' like Hydra would. Too bad nix-instantiate
-# can't to do this.
-
-with import ../../lib;
+# Evaluate `release.nix' like Hydra would. Too bad nix-instantiate can't to do this.
let
+ inherit (import ../../lib) isDerivation mapAttrs;
+
trace = if builtins.getEnv "VERBOSE" == "1" then builtins.trace else (x: y: y);
rel = removeAttrs (import ../../pkgs/top-level/release.nix { }) [ "tarball" "unstable" "xbursttools" ];
diff --git a/maintainers/scripts/find-tarballs.nix b/maintainers/scripts/find-tarballs.nix
index c47b5168abd9..cae4bec201ce 100644
--- a/maintainers/scripts/find-tarballs.nix
+++ b/maintainers/scripts/find-tarballs.nix
@@ -1,11 +1,22 @@
# This expression returns a list of all fetchurl calls used by ‘expr’.
-with import ../.. { };
-with lib;
-
-{ expr }:
+{ expr, lib ? import ../../lib }:
let
+ inherit (lib)
+ addErrorContext
+ attrNames
+ concatLists
+ const
+ filter
+ genericClosure
+ isAttrs
+ isDerivation
+ isList
+ mapAttrsToList
+ optional
+ optionals
+ ;
root = expr;
diff --git a/maintainers/scripts/haskell/dependencies.nix b/maintainers/scripts/haskell/dependencies.nix
index fd8338c0029a..5965b1ba1828 100644
--- a/maintainers/scripts/haskell/dependencies.nix
+++ b/maintainers/scripts/haskell/dependencies.nix
@@ -2,8 +2,10 @@
let
pkgs = import ../../.. {};
inherit (pkgs) lib;
- getDeps = _: pkg: {
- deps = builtins.filter (x: x != null) (map (x: x.pname or null) (pkg.propagatedBuildInputs or []));
+ getDeps = _: pkg: let
+ pname = pkg.pname or null;
+ in {
+ deps = builtins.filter (x: x != null && x != pname) (map (x: x.pname or null) (pkg.propagatedBuildInputs or []));
broken = (pkg.meta.hydraPlatforms or [null]) == [];
};
in
diff --git a/maintainers/scripts/haskell/hydra-report.hs b/maintainers/scripts/haskell/hydra-report.hs
index 8b4f798cc543..e911830e8b3e 100755
--- a/maintainers/scripts/haskell/hydra-report.hs
+++ b/maintainers/scripts/haskell/hydra-report.hs
@@ -83,7 +83,7 @@ import Prelude hiding (id)
import Data.List (sortOn)
import Control.Concurrent.Async (concurrently)
import Control.Exception (evaluate)
-import qualified Data.IntMap.Strict as IntMap
+import qualified Data.IntMap.Lazy as IntMap
import qualified Data.IntSet as IntSet
import Data.Bifunctor (second)
import Data.Data (Proxy)
@@ -299,7 +299,7 @@ calculateReverseDependencies depMap =
Map.fromDistinctAscList $ zip keys (zip (rdepMap False) (rdepMap True))
where
-- This code tries to efficiently invert the dependency map and calculate
- -- it’s transitive closure by internally identifying every pkg with it’s index
+ -- its transitive closure by internally identifying every pkg with its index
-- in the package list and then using memoization.
keys :: [PkgName]
keys = Map.keys depMap
@@ -317,11 +317,11 @@ calculateReverseDependencies depMap =
intDeps :: [(Int, (Bool, [Int]))]
intDeps = zip [0..] (fmap depInfoToIdx depInfos)
- rdepMap onlyUnbroken = IntSet.size <$> resultList
+ rdepMap onlyUnbroken = IntSet.size <$> IntMap.elems resultList
where
- resultList = go <$> [0..]
+ resultList = IntMap.fromDistinctAscList [(i, go i) | i <- [0..length keys - 1]]
oneStepMap = IntMap.fromListWith IntSet.union $ (\(key,(_,deps)) -> (,IntSet.singleton key) <$> deps) <=< filter (\(_, (broken,_)) -> not (broken && onlyUnbroken)) $ intDeps
- go pkg = IntSet.unions (oneStep:((resultList !!) <$> IntSet.toList oneStep))
+ go pkg = IntSet.unions (oneStep:((resultList IntMap.!) <$> IntSet.toList oneStep))
where oneStep = IntMap.findWithDefault mempty pkg oneStepMap
-- | Generate a mapping of Hydra job names to maintainer GitHub handles. Calls
diff --git a/maintainers/scripts/haskell/regenerate-hackage-packages.sh b/maintainers/scripts/haskell/regenerate-hackage-packages.sh
index 96a18aa8ed87..8f629c53102f 100755
--- a/maintainers/scripts/haskell/regenerate-hackage-packages.sh
+++ b/maintainers/scripts/haskell/regenerate-hackage-packages.sh
@@ -66,10 +66,6 @@ done
HACKAGE2NIX="${HACKAGE2NIX:-hackage2nix}"
-# To prevent hackage2nix fails because of encoding.
-# See: https://github.com/NixOS/nixpkgs/pull/122023
-export LC_ALL=C.UTF-8
-
config_dir=pkgs/development/haskell-modules/configuration-hackage2nix
run_hackage2nix() {
diff --git a/maintainers/scripts/haskell/update-stackage.sh b/maintainers/scripts/haskell/update-stackage.sh
index 5dc52abdd668..fdb1cd184f69 100755
--- a/maintainers/scripts/haskell/update-stackage.sh
+++ b/maintainers/scripts/haskell/update-stackage.sh
@@ -8,7 +8,7 @@ set -eu -o pipefail
# (should be capitalized like the display name)
SOLVER=LTS
# Stackage solver verson, if any. Use latest if empty
-VERSION=21
+VERSION=
TMP_TEMPLATE=update-stackage.XXXXXXX
readonly SOLVER
readonly VERSION
diff --git a/maintainers/scripts/kde/collect-licenses.sh b/maintainers/scripts/kde/collect-licenses.sh
new file mode 100755
index 000000000000..87da901c255c
--- /dev/null
+++ b/maintainers/scripts/kde/collect-licenses.sh
@@ -0,0 +1,31 @@
+#!/usr/bin/env nix-shell
+#!nix-shell -i bash -p gnutar jq reuse
+set -eu
+cd "$(dirname "$(readlink -f "$0")")"/../../..
+
+TMPDIR=$(mktemp -d)
+trap 'rm -rf $TMPDIR' EXIT
+
+echo "# Prebuilding sources..."
+nix-build -A kdePackages.sources --no-link || true
+
+echo "# Evaluating sources..."
+declare -A sources
+eval "$(nix-instantiate --eval -A kdePackages.sources --json --strict | jq 'to_entries[] | "sources[" + .key + "]=" + .value' -r)"
+
+echo "# Collecting licenses..."
+for k in "${!sources[@]}"; do
+ echo "- Processing $k..."
+
+ if [ ! -f "${sources[$k]}" ]; then
+ echo "Not found!"
+ continue
+ fi
+
+ mkdir "$TMPDIR/$k"
+ tar -C "$TMPDIR/$k" -xf "${sources[$k]}"
+
+ (cd "$TMPDIR/$k"; reuse lint --json) | jq --arg name "$k" '{$name: .summary.used_licenses | sort}' -c > "$TMPDIR/$k.json"
+done
+
+jq -s 'add' -S "$TMPDIR"/*.json > pkgs/kde/generated/licenses.json
diff --git a/maintainers/scripts/kde/collect-logs.nu b/maintainers/scripts/kde/collect-logs.nu
new file mode 100755
index 000000000000..1d07fa9d2caf
--- /dev/null
+++ b/maintainers/scripts/kde/collect-logs.nu
@@ -0,0 +1,11 @@
+#!/usr/bin/env nix-shell
+#!nix-shell -i nu -p nushell
+cd $"($env.FILE_PWD)/../../.."
+
+mkdir logs
+nix-env -qaP -f . -A kdePackages --json --out-path | from json | values | par-each { |it|
+ echo $"Processing ($it.pname)..."
+ if "outputs" in $it {
+ nix-store --read-log $it.outputs.out | save -f $"logs/($it.pname).log"
+ }
+}
diff --git a/maintainers/scripts/kde/collect-metadata.py b/maintainers/scripts/kde/collect-metadata.py
new file mode 100755
index 000000000000..eaa619647136
--- /dev/null
+++ b/maintainers/scripts/kde/collect-metadata.py
@@ -0,0 +1,36 @@
+#!/usr/bin/env nix-shell
+#!nix-shell -i python3 -p "python3.withPackages(ps: [ ps.click ps.pyyaml ])"
+import pathlib
+
+import click
+
+import utils
+
+@click.command
+@click.argument(
+ "repo-metadata",
+ type=click.Path(
+ exists=True,
+ file_okay=False,
+ resolve_path=True,
+ path_type=pathlib.Path,
+ ),
+)
+@click.option(
+ "--nixpkgs",
+ type=click.Path(
+ exists=True,
+ file_okay=False,
+ resolve_path=True,
+ writable=True,
+ path_type=pathlib.Path,
+ ),
+ default=pathlib.Path(__file__).parent.parent.parent.parent
+)
+def main(repo_metadata: pathlib.Path, nixpkgs: pathlib.Path):
+ metadata = utils.KDERepoMetadata.from_repo_metadata_checkout(repo_metadata)
+ out_dir = nixpkgs / "pkgs/kde/generated"
+ metadata.write_json(out_dir)
+
+if __name__ == "__main__":
+ main() # type: ignore
diff --git a/maintainers/scripts/kde/collect-missing-deps.py b/maintainers/scripts/kde/collect-missing-deps.py
new file mode 100755
index 000000000000..f3943338b57f
--- /dev/null
+++ b/maintainers/scripts/kde/collect-missing-deps.py
@@ -0,0 +1,127 @@
+#!/usr/bin/env nix-shell
+#!nix-shell -i python3 -p python3
+import pathlib
+
+OK_MISSING = {
+ # we don't use precompiled QML
+ 'Qt6QuickCompiler',
+ 'Qt6QmlCompilerPlusPrivate',
+ # usually used for version numbers
+ 'Git',
+ # useless by itself, will warn if something else is not found
+ 'PkgConfig',
+ # license verification
+ 'ReuseTool',
+ # dev only
+ 'ClangFormat',
+ # doesn't exist
+ 'Qt6X11Extras',
+}
+
+OK_MISSING_BY_PACKAGE = {
+ "angelfish": {
+ "Qt6Feedback", # we don't have it
+ },
+ "attica": {
+ "Python3", # only used for license checks
+ },
+ "discover": {
+ "rpm-ostree-1", # we don't have rpm-ostree (duh)
+ "Snapd", # we don't have snaps and probably never will
+ },
+ "elisa": {
+ "UPNPQT", # upstream says it's broken
+ },
+ "extra-cmake-modules": {
+ "Sphinx", # only used for docs, bloats closure size
+ "QCollectionGenerator"
+ },
+ "kio-extras-kf5": {
+ "KDSoapWSDiscoveryClient", # actually vendored on KF5 version
+ },
+ "kitinerary": {
+ "OsmTools", # used for map data updates, we use prebuilt
+ },
+ "kosmindoormap": {
+ "OsmTools", # same
+ "Protobuf",
+ },
+ "kpty": {
+ "UTEMPTER", # we don't have it and it probably wouldn't work anyway
+ },
+ "kpublictransport": {
+ "OsmTools", # same
+ "PolyClipping",
+ "Protobuf",
+ },
+ "krfb": {
+ "Qt6XkbCommonSupport", # not real
+ },
+ "kuserfeedback": {
+ "Qt6Svg", # all used for backend console stuff we don't ship
+ "QmlLint",
+ "Qt6Charts",
+ "FLEX",
+ "BISON",
+ "Php",
+ "PhpUnit",
+ },
+ "kwin": {
+ "display-info", # newer versions identify as libdisplay-info
+ },
+ "mlt": {
+ "Qt5", # intentionally disabled
+ "SWIG",
+ },
+ "plasma-desktop": {
+ "scim", # upstream is dead, not packaged in Nixpkgs
+ },
+ "powerdevil": {
+ "DDCUtil", # cursed, intentionally disabled
+ },
+ "pulseaudio-qt": {
+ "Qt6Qml", # tests only
+ "Qt6Quick",
+ },
+ "syntax-highlighting": {
+ "XercesC", # only used for extra validation at build time
+ }
+}
+
+def main():
+ here = pathlib.Path(__file__).parent.parent.parent.parent
+ logs = (here / "logs").glob("*.log")
+
+ for log in sorted(logs):
+ pname = log.stem
+
+ missing = []
+ is_in_block = False
+ with log.open(errors="replace") as fd:
+ for line in fd:
+ line = line.strip()
+ if line.startswith("-- No package '"):
+ package = line.removeprefix("-- No package '").removesuffix("' found")
+ missing.append(package)
+ if line == "-- The following OPTIONAL packages have not been found:" or line == "-- The following RECOMMENDED packages have not been found:":
+ is_in_block = True
+ elif line.startswith("--") and is_in_block:
+ is_in_block = False
+ elif line.startswith("*") and is_in_block:
+ package = line.removeprefix("* ")
+ missing.append(package)
+
+ missing = {
+ package
+ for package in missing
+ if not any(package.startswith(i) for i in OK_MISSING | OK_MISSING_BY_PACKAGE.get(pname, set()))
+ }
+
+ if missing:
+ print(pname + ":")
+ for line in missing:
+ print(" -", line)
+ print()
+
+if __name__ == '__main__':
+ main()
diff --git a/maintainers/scripts/kde/generate-sources.py b/maintainers/scripts/kde/generate-sources.py
new file mode 100755
index 000000000000..7b3b2ac35d26
--- /dev/null
+++ b/maintainers/scripts/kde/generate-sources.py
@@ -0,0 +1,121 @@
+#!/usr/bin/env nix-shell
+#!nix-shell -i python3 -p "python3.withPackages(ps: [ ps.beautifulsoup4 ps.click ps.httpx ps.jinja2 ps.pyyaml ])
+import base64
+import binascii
+import json
+import pathlib
+from typing import Optional
+from urllib.parse import urlparse
+
+import bs4
+import click
+import httpx
+import jinja2
+
+import utils
+
+
+LEAF_TEMPLATE = jinja2.Template('''
+{mkKdeDerivation}:
+mkKdeDerivation {
+ pname = "{{ pname }}";
+}
+'''.strip())
+
+ROOT_TEMPLATE = jinja2.Template('''
+{callPackage}: {
+ {%- for p in packages %}
+ {{ p }} = callPackage ./{{ p }} {};
+ {%- endfor %}
+}
+'''.strip());
+
+def to_sri(hash):
+ raw = binascii.unhexlify(hash)
+ b64 = base64.b64encode(raw).decode()
+ return f"sha256-{b64}"
+
+
+@click.command
+@click.argument(
+ "set",
+ type=click.Choice(["frameworks", "gear", "plasma"]),
+ required=True
+)
+@click.argument(
+ "version",
+ type=str,
+ required=True
+)
+@click.option(
+ "--nixpkgs",
+ type=click.Path(
+ exists=True,
+ file_okay=False,
+ resolve_path=True,
+ writable=True,
+ path_type=pathlib.Path,
+ ),
+ default=pathlib.Path(__file__).parent.parent.parent.parent
+)
+@click.option(
+ "--sources-url",
+ type=str,
+ default=None,
+)
+def main(set: str, version: str, nixpkgs: pathlib.Path, sources_url: Optional[str]):
+ root_dir = nixpkgs / "pkgs/kde"
+ set_dir = root_dir / set
+ generated_dir = root_dir / "generated"
+ metadata = utils.KDERepoMetadata.from_json(generated_dir)
+
+ if sources_url is None:
+ set_url = {
+ "frameworks": "kf",
+ "gear": "releases",
+ "plasma": "plasma",
+ }[set]
+ sources_url = f"https://kde.org/info/sources/source-{set_url}-{version}.html"
+
+ sources = httpx.get(sources_url)
+ sources.raise_for_status()
+ bs = bs4.BeautifulSoup(sources.text, features="html.parser")
+
+ results = {}
+ for item in bs.select("tr")[1:]:
+ link = item.select_one("td:nth-child(1) a")
+ assert link
+
+ hash = item.select_one("td:nth-child(3) tt")
+ assert hash
+
+ project_name, version = link.text.rsplit("-", maxsplit=1)
+ if project_name not in metadata.projects_by_name:
+ print(f"Warning: unknown tarball: {project_name}")
+
+ results[project_name] = {
+ "version": version,
+ "url": "mirror://kde" + urlparse(link.attrs["href"]).path,
+ "hash": to_sri(hash.text)
+ }
+
+ pkg_dir = set_dir / project_name
+ pkg_file = pkg_dir / "default.nix"
+ if not pkg_file.exists():
+ print(f"Generated new package: {set}/{project_name}")
+ pkg_dir.mkdir(parents=True, exist_ok=True)
+ with pkg_file.open("w") as fd:
+ fd.write(LEAF_TEMPLATE.render(pname=project_name) + "\n")
+
+ set_dir.mkdir(parents=True, exist_ok=True)
+ with (set_dir / "default.nix").open("w") as fd:
+ fd.write(ROOT_TEMPLATE.render(packages=sorted(results.keys())) + "\n")
+
+ sources_dir = generated_dir / "sources"
+ sources_dir.mkdir(parents=True, exist_ok=True)
+ with (sources_dir / f"{set}.json").open("w") as fd:
+ json.dump(results, fd, indent=2)
+
+
+if __name__ == "__main__":
+ main() # type: ignore
diff --git a/maintainers/scripts/kde/utils.py b/maintainers/scripts/kde/utils.py
new file mode 100644
index 000000000000..7a82c4955c6b
--- /dev/null
+++ b/maintainers/scripts/kde/utils.py
@@ -0,0 +1,185 @@
+import collections
+import dataclasses
+import functools
+import json
+import pathlib
+import subprocess
+
+import yaml
+
+class DataclassEncoder(json.JSONEncoder):
+ def default(self, it):
+ if dataclasses.is_dataclass(it):
+ return dataclasses.asdict(it)
+ return super().default(it)
+
+
+@dataclasses.dataclass
+class Project:
+ name: str
+ description: str | None
+ project_path: str
+ repo_path: str | None
+
+ def __hash__(self) -> int:
+ return hash(self.name)
+
+ @classmethod
+ def from_yaml(cls, path: pathlib.Path):
+ data = yaml.safe_load(path.open())
+ return cls(
+ name=data["identifier"],
+ description=data["description"],
+ project_path=data["projectpath"],
+ repo_path=data["repopath"]
+ )
+
+
+def get_git_commit(path: pathlib.Path):
+ return subprocess.check_output(["git", "-C", path, "rev-parse", "--short", "HEAD"]).decode().strip()
+
+
+def validate_unique(projects: list[Project], attr: str):
+ seen = set()
+ for item in projects:
+ attr_value = getattr(item, attr)
+ if attr_value in seen:
+ raise Exception(f"Duplicate {attr}: {attr_value}")
+ seen.add(attr_value)
+
+
+THIRD_PARTY = {
+ "third-party/appstream": "appstream-qt",
+ "third-party/cmark": "cmark",
+ "third-party/gpgme": "gpgme",
+ "third-party/kdsoap": "kdsoap",
+ "third-party/libaccounts-qt": "accounts-qt",
+ "third-party/libgpg-error": "libgpg-error",
+ "third-party/libquotient": "libquotient",
+ "third-party/packagekit-qt": "packagekit-qt",
+ "third-party/poppler": "poppler",
+ "third-party/qcoro": "qcoro",
+ "third-party/qmltermwidget": "qmltermwidget",
+ "third-party/qtkeychain": "qtkeychain",
+ "third-party/signond": "signond",
+ "third-party/taglib": "taglib",
+ "third-party/wayland-protocols": "wayland-protocols",
+ "third-party/wayland": "wayland",
+ "third-party/zxing-cpp": "zxing-cpp",
+}
+
+IGNORE = {
+ "kdesupport/phonon-directshow",
+ "kdesupport/phonon-mmf",
+ "kdesupport/phonon-mplayer",
+ "kdesupport/phonon-quicktime",
+ "kdesupport/phonon-waveout",
+ "kdesupport/phonon-xine"
+}
+
+WARNED = set()
+
+
+@dataclasses.dataclass
+class KDERepoMetadata:
+ version: str
+ projects: list[Project]
+ dep_graph: dict[Project, set[Project]]
+
+ @functools.cached_property
+ def projects_by_name(self):
+ return {p.name: p for p in self.projects}
+
+ @functools.cached_property
+ def projects_by_path(self):
+ return {p.project_path: p for p in self.projects}
+
+ def try_lookup_package(self, path):
+ if path in IGNORE:
+ return None
+ project = self.projects_by_path.get(path)
+ if project is None and path not in WARNED:
+ WARNED.add(path)
+ print(f"Warning: unknown project {path}")
+ return project
+
+ @classmethod
+ def from_repo_metadata_checkout(cls, repo_metadata: pathlib.Path):
+ projects = [
+ Project.from_yaml(metadata_file)
+ for metadata_file in repo_metadata.glob("projects-invent/**/metadata.yaml")
+ ] + [
+ Project(id, None, project_path, None)
+ for project_path, id in THIRD_PARTY.items()
+ ]
+
+ validate_unique(projects, "name")
+ validate_unique(projects, "project_path")
+
+ self = cls(
+ version=get_git_commit(repo_metadata),
+ projects=projects,
+ dep_graph={},
+ )
+
+ dep_specs = [
+ "dependency-data-common",
+ "dependency-data-kf6-qt6"
+ ]
+ dep_graph = collections.defaultdict(set)
+
+ for spec in dep_specs:
+ spec_path = repo_metadata / "dependencies" / spec
+ for line in spec_path.open():
+ line = line.strip()
+ if line.startswith("#"):
+ continue
+ if not line:
+ continue
+
+ dependent, dependency = line.split(": ")
+
+ dependent = self.try_lookup_package(dependent)
+ if dependent is None:
+ continue
+
+ dependency = self.try_lookup_package(dependency)
+ if dependency is None:
+ continue
+
+ dep_graph[dependent].add(dependency)
+
+ self.dep_graph = dep_graph
+
+ return self
+
+ def write_json(self, root: pathlib.Path):
+ root.mkdir(parents=True, exist_ok=True)
+
+ with (root / "projects.json").open("w") as fd:
+ json.dump(self.projects_by_name, fd, cls=DataclassEncoder, sort_keys=True, indent=2)
+
+ with (root / "dependencies.json").open("w") as fd:
+ deps = {k.name: sorted(dep.name for dep in v) for k, v in self.dep_graph.items()}
+ json.dump({"version": self.version, "dependencies": deps}, fd, cls=DataclassEncoder, sort_keys=True, indent=2)
+
+ @classmethod
+ def from_json(cls, root: pathlib.Path):
+ projects = [
+ Project(**v) for v in json.load((root / "projects.json").open()).values()
+ ]
+
+ deps = json.load((root / "dependencies.json").open())
+ self = cls(
+ version=deps["version"],
+ projects=projects,
+ dep_graph={},
+ )
+
+ dep_graph = collections.defaultdict(set)
+ for dependent, dependencies in deps["dependencies"].items():
+ for dependency in dependencies:
+ dep_graph[self.projects_by_name[dependent]].add(self.projects_by_name[dependency])
+
+ self.dep_graph = dep_graph
+ return self
diff --git a/maintainers/scripts/luarocks-packages.csv b/maintainers/scripts/luarocks-packages.csv
index 939905ab81d9..fd6c59c0b46f 100644
--- a/maintainers/scripts/luarocks-packages.csv
+++ b/maintainers/scripts/luarocks-packages.csv
@@ -95,6 +95,7 @@ magick,,,,,5.1,donovanglover
markdown,,,,,,
mediator_lua,,,,,,
middleclass,,,,,,
+mimetypes,,,,,,
mpack,,,,,,
moonscript,https://github.com/leafo/moonscript.git,dev-1,,,,arobyn
nlua,,,,,,teto
@@ -116,6 +117,7 @@ stdlib,,,,41.2.2,,vyp
teal-language-server,,,http://luarocks.org/dev,,,
telescope.nvim,,,,,5.1,
telescope-manix,,,,,,
+tiktoken_core,,,,,,natsukium
tl,,,,,,mephistophiles
toml,,,,,,mrcjkb
toml-edit,,,,,5.1,mrcjkb
diff --git a/maintainers/scripts/nix-generate-from-cpan.nix b/maintainers/scripts/nix-generate-from-cpan.nix
index bf48a5318611..a8135f4b304a 100644
--- a/maintainers/scripts/nix-generate-from-cpan.nix
+++ b/maintainers/scripts/nix-generate-from-cpan.nix
@@ -21,6 +21,7 @@ stdenv.mkDerivation {
meta = {
maintainers = with lib.maintainers; [ eelco ];
description = "Utility to generate a Nix expression for a Perl package from CPAN";
+ mainProgram = "nix-generate-from-cpan";
platforms = lib.platforms.unix;
};
}
diff --git a/maintainers/scripts/nixpkgs-lint.nix b/maintainers/scripts/nixpkgs-lint.nix
index 873905373af0..b400ce034b3e 100644
--- a/maintainers/scripts/nixpkgs-lint.nix
+++ b/maintainers/scripts/nixpkgs-lint.nix
@@ -19,6 +19,7 @@ stdenv.mkDerivation {
meta = with lib; {
maintainers = [ maintainers.eelco ];
description = "A utility for Nixpkgs contributors to check Nixpkgs for common errors";
+ mainProgram = "nixpkgs-lint";
platforms = platforms.unix;
};
}
diff --git a/maintainers/team-list.nix b/maintainers/team-list.nix
index 39c1ddcc33c4..0f481ed3eb9d 100644
--- a/maintainers/team-list.nix
+++ b/maintainers/team-list.nix
@@ -96,6 +96,15 @@ with lib.maintainers; {
shortName = "Blockchains";
};
+ budgie = {
+ members = [
+ bobby285271
+ federicoschonborn
+ ];
+ scope = "Maintain Budgie desktop environment";
+ shortName = "Budgie";
+ };
+
buildbot = {
members = [
lopsided98
@@ -176,7 +185,7 @@ with lib.maintainers; {
cosmopolitan = {
members = [
- lourkeur
+ bbjubjub
tomberek
];
scope = "Maintain the Cosmopolitan LibC and related programs.";
@@ -188,6 +197,7 @@ with lib.maintainers; {
ivar
mdarocha
corngood
+ ggg
raphaelr
jamiemagee
anpin
@@ -302,6 +312,8 @@ with lib.maintainers; {
dpausp
frlan
leona
+ osnyx
+ ma27
];
scope = "Team for Flying Circus employees who collectively maintain packages.";
shortName = "Flying Circus employees";
@@ -342,7 +354,6 @@ with lib.maintainers; {
imincik
nh2
nialov
- r-burns
sikmir
willcohen
];
@@ -430,7 +441,6 @@ with lib.maintainers; {
helsinki-systems = {
# Verify additions to this team with at least one already existing member of the team.
members = [
- ajs124
das_j
];
scope = "Group registration for packages maintained by Helsinki Systems";
@@ -495,6 +505,7 @@ with lib.maintainers; {
members = [
aanderse
cpages
+ dschrempf
edwtjo
minijackson
peterhoeg
@@ -775,7 +786,6 @@ with lib.maintainers; {
members = [
aanderse
drupol
- etu
ma27
talyz
];
@@ -821,12 +831,18 @@ with lib.maintainers; {
qt-kde = {
members = [
+ ilya-fedin
+ k900
+ LunNova
+ mjm
+ nickcao
+ SuperSandro2000
ttuegel
];
githubTeams = [
"qt-kde"
];
- scope = "Maintain the KDE desktop environment and Qt.";
+ scope = "Maintain the Qt framework, KDE application suite, Plasma desktop environment and related projects.";
shortName = "Qt / KDE";
enableFeatureFreezePing = true;
};
@@ -864,6 +880,7 @@ with lib.maintainers; {
members = [
Madouura
Flakebi
+ mschwaig
];
githubTeams = [
"rocm-maintainers"
@@ -923,6 +940,18 @@ with lib.maintainers; {
shortName = "Serokell employees";
};
+ steam = {
+ members = [
+ atemu
+ eclairevoyant
+ jonringer
+ k900
+ mkg20001
+ ];
+ scope = "Maintain steam module and packages";
+ shortName = "Steam";
+ };
+
systemd = {
members = [ ];
githubTeams = [
diff --git a/nixos/doc/manual/configuration/x-windows.chapter.md b/nixos/doc/manual/configuration/x-windows.chapter.md
index 0451e4d25265..bf1872ae01ac 100644
--- a/nixos/doc/manual/configuration/x-windows.chapter.md
+++ b/nixos/doc/manual/configuration/x-windows.chapter.md
@@ -150,6 +150,7 @@ Or if you have an older card, you may have to use one of the legacy
drivers:
```nix
+services.xserver.videoDrivers = [ "nvidiaLegacy470" ];
services.xserver.videoDrivers = [ "nvidiaLegacy390" ];
services.xserver.videoDrivers = [ "nvidiaLegacy340" ];
services.xserver.videoDrivers = [ "nvidiaLegacy304" ];
diff --git a/nixos/doc/manual/default.nix b/nixos/doc/manual/default.nix
index a368b16201f8..5f51bb53ad7f 100644
--- a/nixos/doc/manual/default.nix
+++ b/nixos/doc/manual/default.nix
@@ -105,7 +105,9 @@ in rec {
mkdir -p $dst
cp ${../../../doc/style.css} $dst/style.css
- cp ${../../../doc/overrides.css} $dst/overrides.css
+ cp ${../../../doc/anchor.min.js} $dst/anchor.min.js
+ cp ${../../../doc/anchor-use.js} $dst/anchor-use.js
+
cp -r ${pkgs.documentation-highlighter} $dst/highlightjs
${prepareManualFromMD}
@@ -115,10 +117,11 @@ in rec {
--revision ${lib.escapeShellArg revision} \
--generator "nixos-render-docs ${lib.version}" \
--stylesheet style.css \
- --stylesheet overrides.css \
--stylesheet highlightjs/mono-blue.css \
--script ./highlightjs/highlight.pack.js \
--script ./highlightjs/loader.js \
+ --script ./anchor.min.js \
+ --script ./anchor-use.js \
--toc-depth 1 \
--chunk-toc-depth 1 \
./manual.md \
diff --git a/nixos/doc/manual/development/replace-modules.section.md b/nixos/doc/manual/development/replace-modules.section.md
index ac9f5adbaf98..45e2adbc2608 100644
--- a/nixos/doc/manual/development/replace-modules.section.md
+++ b/nixos/doc/manual/development/replace-modules.section.md
@@ -47,9 +47,8 @@ without having to know its implementation details.
```nix
{ config, lib, pkgs, ... }:
-with lib;
-
let
+ inherit (lib) mkIf mkOption types;
cfg = config.programs.man;
in
diff --git a/nixos/doc/manual/development/running-nixos-tests.section.md b/nixos/doc/manual/development/running-nixos-tests.section.md
index 33076f5dc2a7..b8191ebd313c 100644
--- a/nixos/doc/manual/development/running-nixos-tests.section.md
+++ b/nixos/doc/manual/development/running-nixos-tests.section.md
@@ -18,3 +18,13 @@ you can view a log of the test:
```ShellSession
$ nix-store --read-log result
```
+
+## System Requirements {#sec-running-nixos-tests-requirements}
+
+NixOS tests require virtualization support.
+This means that the machine must have `kvm` in its [system features](https://nixos.org/manual/nix/stable/command-ref/conf-file.html?highlight=system-features#conf-system-features) list, or `apple-virt` in case of macOS.
+These features are autodetected locally, but `apple-virt` is only autodetected since Nix 2.19.0.
+
+Features of **remote builders** must additionally be configured manually on the client, e.g. on NixOS with [`nix.buildMachines.*.supportedFeatures`](https://search.nixos.org/options?show=nix.buildMachines.*.supportedFeatures&sort=alpha_asc&query=nix.buildMachines) or through general [Nix configuration](https://nixos.org/manual/nix/stable/advanced-topics/distributed-builds).
+
+If you run the tests on a **macOS** machine, you also need a "remote" builder for Linux; possibly a VM. [nix-darwin](https://daiderd.com/nix-darwin/) users may enable [`nix.linux-builder.enable`](https://daiderd.com/nix-darwin/manual/index.html#opt-nix.linux-builder.enable) to launch such a VM.
diff --git a/nixos/doc/manual/development/settings-options.section.md b/nixos/doc/manual/development/settings-options.section.md
index 3a4800742b04..71ec9bbc8892 100644
--- a/nixos/doc/manual/development/settings-options.section.md
+++ b/nixos/doc/manual/development/settings-options.section.md
@@ -73,6 +73,34 @@ have a predefined type and string generator already declared under
It returns a set with INI-specific attributes `type` and `generate`
as specified [below](#pkgs-formats-result).
+ The type of the input is an *attrset* of sections; key-value pairs where
+ the key is the section name and the value is the corresponding content
+ which is also an *attrset* of key-value pairs for the actual key-value
+ mappings of the INI format.
+ The values of the INI atoms are subject to the above parameters (e.g. lists
+ may be transformed into multiple key-value pairs depending on
+ `listToValue`).
+
+`pkgs.formats.iniWithGlobalSection` { *`listsAsDuplicateKeys`* ? false, *`listToValue`* ? null, \.\.\. }
+
+: A function taking an attribute set with values
+
+ `listsAsDuplicateKeys`
+
+ : A boolean for controlling whether list values can be used to
+ represent duplicate INI keys
+
+ `listToValue`
+
+ : A function for turning a list of values into a single value.
+
+ It returns a set with INI-specific attributes `type` and `generate`
+ as specified [below](#pkgs-formats-result).
+ The type of the input is an *attrset* of the structure
+ `{ sections = {}; globalSection = {}; }` where *sections* are several
+ sections as with *pkgs.formats.ini* and *globalSection* being just a single
+ attrset of key-value pairs for a single section, the global section which
+ preceedes the section definitions.
`pkgs.formats.toml` { }
diff --git a/nixos/doc/manual/development/writing-modules.chapter.md b/nixos/doc/manual/development/writing-modules.chapter.md
index e07b899e6df7..20157a21e890 100644
--- a/nixos/doc/manual/development/writing-modules.chapter.md
+++ b/nixos/doc/manual/development/writing-modules.chapter.md
@@ -104,9 +104,8 @@ functions system environment substitution should *not* be disabled explicitly.
```nix
{ config, lib, pkgs, ... }:
-with lib;
-
let
+ inherit (lib) concatStringsSep mkIf mkOption optionalString types;
cfg = config.services.locate;
in {
options.services.locate = {
@@ -163,9 +162,7 @@ in {
::: {#exec-escaping-example .example}
### Escaping in Exec directives
```nix
-{ config, lib, pkgs, utils, ... }:
-
-with lib;
+{ config, pkgs, utils, ... }:
let
cfg = config.services.echo;
diff --git a/nixos/doc/manual/development/writing-nixos-tests.section.md b/nixos/doc/manual/development/writing-nixos-tests.section.md
index 84b247fd2042..50886376c240 100644
--- a/nixos/doc/manual/development/writing-nixos-tests.section.md
+++ b/nixos/doc/manual/development/writing-nixos-tests.section.md
@@ -261,7 +261,7 @@ added using the parameter `extraPythonPackages`. For example, you could add
testScript = ''
import numpy as np
- assert str(np.zeros(4) == "array([0., 0., 0., 0.])")
+ assert str(np.zeros(4)) == "[0. 0. 0. 0.]"
'';
}
```
diff --git a/nixos/doc/manual/installation/installing.chapter.md b/nixos/doc/manual/installation/installing.chapter.md
index 815bcc071cd9..c7deb07352f1 100644
--- a/nixos/doc/manual/installation/installing.chapter.md
+++ b/nixos/doc/manual/installation/installing.chapter.md
@@ -272,6 +272,9 @@ update /etc/fstab.
# parted /dev/sda -- mkpart ESP fat32 1MB 512MB
# parted /dev/sda -- set 3 esp on
```
+ ::: {.note}
+ In case you decided to not create a swap partition, replace `3` by `2`. To be sure of the id number of ESP, run `parted --list`.
+ :::
Once complete, you can follow with
[](#sec-installation-manual-partitioning-formatting).
diff --git a/nixos/doc/manual/release-notes/rl-2405.section.md b/nixos/doc/manual/release-notes/rl-2405.section.md
index c42e5a327152..59a4b923db8c 100644
--- a/nixos/doc/manual/release-notes/rl-2405.section.md
+++ b/nixos/doc/manual/release-notes/rl-2405.section.md
@@ -16,18 +16,38 @@ In addition to numerous new and upgraded packages, this release has the followin
- `linuxPackages_testing_bcachefs` is now fully deprecated by `linuxPackages_latest`, and is therefore no longer available.
+- The default kernel package has been updated from 6.1 to 6.6. All supported kernels remain available.
+
- NixOS now installs a stub ELF loader that prints an informative error message when users attempt to run binaries not made for NixOS.
- This can be disabled through the `environment.stub-ld.enable` option.
- If you use `programs.nix-ld.enable`, no changes are needed. The stub will be disabled automatically.
+- On flake-based NixOS configurations using `nixpkgs.lib.nixosSystem`, NixOS will automatically set `NIX_PATH` and the system-wide flake registry (`/etc/nix/registry.json`) to point `` and the unqualified flake path `nixpkgs` to the version of nixpkgs used to build the system.
+
+ This makes `nix run nixpkgs#hello` and `nix-build '' -A hello` work out of the box with no added configuration, reusing dependencies already on the system.
+
+ This may be undesirable if nix commands are not going to be run on the built system since it adds nixpkgs to the system closure. For such closure-size-constrained non-interactive systems, this setting should be disabled.
+
+ To disable this, set [nixpkgs.flake.setNixPath](#opt-nixpkgs.flake.setNixPath) and [nixpkgs.flake.setFlakeRegistry](#opt-nixpkgs.flake.setFlakeRegistry) to false.
+
- Julia environments can now be built with arbitrary packages from the ecosystem using the `.withPackages` function. For example: `julia.withPackages ["Plots"]`.
- A new option `systemd.sysusers.enable` was added. If enabled, users and
groups are created with systemd-sysusers instead of with a custom perl script.
+- A new option `virtualisation.containers.cdi` was added. It contains `static` and `dynamic` attributes (corresponding to `/etc/cdi` and `/run/cdi` respectively) to configure the Container Device Interface (CDI).
+
+- `virtualisation.docker.enableNvidia` and `virtualisation.podman.enableNvidia` options are deprecated. `virtualisation.containers.cdi.dynamic.nvidia.enable` should be used instead. This option will expose GPUs on containers with the `--device` CLI option. This is supported by Docker 25, Podman 3.2.0 and Singularity 4. Any container runtime that supports the CDI specification will take advantage of this feature.
+
- A new option `system.etc.overlay.enable` was added. If enabled, `/etc` is
mounted via an overlayfs instead of being created by a custom perl script.
+- NixOS AMIs are now uploaded regularly to a new AWS Account.
+ Instructions on how to use them can be found on .
+ We are working on integration the data into the NixOS homepage.
+ The list in `nixos/modules/virtualisation/amazon-ec2-amis.nix` will stop
+ being updated and will be removed in the future.
+
- It is now possible to have a completely perlless system (i.e. a system
without perl). Previously, the NixOS activation depended on two perl scripts
which can now be replaced via an opt-in mechanism. To make your system
@@ -38,6 +58,8 @@ In addition to numerous new and upgraded packages, this release has the followin
}
```
+- Plasma 6 is now available and can be installed with `services.xserver.desktopManager.plasma6.enable = true;`. Plasma 5 will likely be deprecated in the next release (24.11). Note that Plasma 6 runs as Wayland by default, and the X11 session needs to be explicitly selected if necessary.
+
## New Services {#sec-release-24.05-new-services}
@@ -60,49 +82,90 @@ In addition to numerous new and upgraded packages, this release has the followin
- [ollama](https://ollama.ai), server for running large language models locally.
+- [Mihomo](https://github.com/MetaCubeX/mihomo), a rule-based proxy in Go. Available as [services.mihomo.enable](#opt-services.mihomo.enable).
+
- [hebbot](https://github.com/haecker-felix/hebbot), a Matrix bot to generate "This Week in X" like blog posts. Available as [services.hebbot](#opt-services.hebbot.enable).
+- [Python Matter Server](https://github.com/home-assistant-libs/python-matter-server), a
+ Matter Controller Server exposing websocket connections for use with other services, notably Home Assistant.
+ Available as [services.matter-server](#opt-services.matter-server.enable)
+
- [Anki Sync Server](https://docs.ankiweb.net/sync-server.html), the official sync server built into recent versions of Anki. Available as [services.anki-sync-server](#opt-services.anki-sync-server.enable).
The pre-existing [services.ankisyncd](#opt-services.ankisyncd.enable) has been marked deprecated and will be dropped after 24.05 due to lack of maintenance of the anki-sync-server softwares.
+- [transfer-sh](https://github.com/dutchcoders/transfer.sh), a tool that supports easy and fast file sharing from the command-line. Available as [services.transfer-sh](#opt-services.transfer-sh.enable).
+
- [Suwayomi Server](https://github.com/Suwayomi/Suwayomi-Server), a free and open source manga reader server that runs extensions built for [Tachiyomi](https://tachiyomi.org). Available as [services.suwayomi-server](#opt-services.suwayomi-server.enable).
- [ping_exporter](https://github.com/czerwonk/ping_exporter), a Prometheus exporter for ICMP echo requests. Available as [services.prometheus.exporters.ping](#opt-services.prometheus.exporters.ping.enable).
- [TigerBeetle](https://tigerbeetle.com/), a distributed financial accounting database designed for mission critical safety and performance. Available as [services.tigerbeetle](#opt-services.tigerbeetle.enable).
+- [go-camo](https://github.com/cactus/go-camo), a secure image proxy server. Available as [services.go-camo](#opt-services.go-camo.enable).
+
+- [Monado](https://monado.freedesktop.org/), an open source XR runtime. Available as [services.monado](#opt-services.monado.enable).
+
+- [Pretix](https://pretix.eu/about/en/), an open source ticketing software for events. Available as [services.pretix]($opt-services-pretix.enable).
+
- [Clevis](https://github.com/latchset/clevis), a pluggable framework for automated decryption, used to unlock encrypted devices in initrd. Available as [boot.initrd.clevis.enable](#opt-boot.initrd.clevis.enable).
+- [armagetronad](https://wiki.armagetronad.org), a mid-2000s 3D lightcycle game widely played at iD Tech Camps. You can define multiple servers using `services.armagetronad..enable`.
+
- [TuxClocker](https://github.com/Lurkki14/tuxclocker), a hardware control and monitoring program. Available as [programs.tuxclocker](#opt-programs.tuxclocker.enable).
- [ALVR](https://github.com/alvr-org/alvr), a VR desktop streamer. Available as [programs.alvr](#opt-programs.alvr.enable)
- [RustDesk](https://rustdesk.com), a full-featured open source remote control alternative for self-hosting and security with minimal configuration. Alternative to TeamViewer.
+- [Scrutiny](https://github.com/AnalogJ/scrutiny), a S.M.A.R.T monitoring tool for hard disks with a web frontend.
+
- [systemd-lock-handler](https://git.sr.ht/~whynothugo/systemd-lock-handler/), a bridge between logind D-Bus events and systemd targets. Available as [services.systemd-lock-handler.enable](#opt-services.systemd-lock-handler.enable).
+- [Mealie](https://nightly.mealie.io/), a self-hosted recipe manager and meal planner with a RestAPI backend and a reactive frontend application built in NuxtJS for a pleasant user experience for the whole family. Available as [services.mealie](#opt-services.mealie.enable)
+
## Backward Incompatibilities {#sec-release-24.05-incompatibilities}
-- `himalaya` was updated to v1.0.0-beta, which introduces breaking changes. Check out the [release note](https://github.com/soywod/himalaya/releases/tag/v1.0.0-beta) for details.
+- `k3s`: was updated to version [v1.29](https://github.com/k3s-io/k3s/releases/tag/v1.29.1%2Bk3s2), all previous versions (k3s_1_26, k3s_1_27, k3s_1_28) will be removed. See [changelog and upgrade notes](https://github.com/kubernetes/kubernetes/blob/master/CHANGELOG/CHANGELOG-1.29.md#urgent-upgrade-notes) for more information.
+
+- `himalaya` was updated to `v1.0.0-beta.3`, which introduces breaking changes. Check out the [release note](https://github.com/soywod/himalaya/releases/tag/v1.0.0-beta.3) for details.
- The `power.ups` module now generates `upsd.conf`, `upsd.users` and `upsmon.conf` automatically from a set of new configuration options. This breaks compatibility with existing `power.ups` setups where these files were created manually. Back up these files before upgrading NixOS.
+- `pdns` was updated to version [v4.9.x](https://doc.powerdns.com/authoritative/changelog/4.9.html), which introduces breaking changes. Check out the [Upgrade Notes](https://doc.powerdns.com/authoritative/upgrading.html#to-4-9-0) for details.
+
+- `unrar` was updated to v7. See [changelog](https://www.rarlab.com/unrar7notes.htm) for more information.
+
- `k9s` was updated to v0.31. There have been various breaking changes in the config file format,
check out the changelog of [v0.29](https://github.com/derailed/k9s/releases/tag/v0.29.0),
[v0.30](https://github.com/derailed/k9s/releases/tag/v0.30.0) and
[v0.31](https://github.com/derailed/k9s/releases/tag/v0.31.0) for details. It is recommended
to back up your current configuration and let k9s recreate the new base configuration.
+- NixOS AMIs are now uploaded regularly to a new AWS Account.
+ Instructions on how to use them can be found on .
+ We are working on integration the data into the NixOS homepage.
+ The list in `nixos/modules/virtualisation/amazon-ec2-amis.nix` will stop
+ being updated and will be removed in the future.
+
+- The option `services.postgresql.ensureUsers._.ensurePermissions` has been removed as it's
+ not declarative and is broken with newer postgresql versions. Consider using
+ [](#opt-services.postgresql.ensureUsers._.ensureDBOwnership)
+ instead or a tool that's more suited for managing the data inside a postgresql database.
+
- `idris2` was updated to v0.7.0. This version introduces breaking changes. Check out the [changelog](https://github.com/idris-lang/Idris2/blob/v0.7.0/CHANGELOG.md#v070) for details.
+- `nvtop` family of packages was reorganized into nested attrset. `nvtop` has been renamed to `nvtopPackages.full`, and all `nvtop-{amd,nvidia,intel,msm}` packages are now named as `nvtopPackages.{amd,nvidia,intel,msm}`
+
- `neo4j` has been updated to 5, you may want to read the [release notes for Neo4j 5](https://neo4j.com/release-notes/database/neo4j-5/)
- `services.neo4j.allowUpgrade` was removed and no longer has any effect. Neo4j 5 supports automatic rolling upgrades.
- `nitter` requires a `guest_accounts.jsonl` to be provided as a path or loaded into the default location at `/var/lib/nitter/guest_accounts.jsonl`. See [Guest Account Branch Deployment](https://github.com/zedeus/nitter/wiki/Guest-Account-Branch-Deployment) for details.
+- `boot.supportedFilesystems` and `boot.initrd.supportedFilesystems` are now attribute sets instead of lists. Assignment from lists as done previously is still supported, but checking whether a filesystem is enabled must now by done using `supportedFilesystems.fs or false` instead of using `lib.elem "fs" supportedFilesystems` as was done previously.
+
- `services.aria2.rpcSecret` has been replaced with `services.aria2.rpcSecretFile`.
This was done so that secrets aren't stored in the world-readable nix store.
To migrate, you will have create a file with the same exact string, and change
@@ -112,10 +175,16 @@ The pre-existing [services.ankisyncd](#opt-services.ankisyncd.enable) has been m
- Invidious has changed its default database username from `kemal` to `invidious`. Setups involving an externally provisioned database (i.e. `services.invidious.database.createLocally == false`) should adjust their configuration accordingly. The old `kemal` user will not be removed automatically even when the database is provisioned automatically.(https://github.com/NixOS/nixpkgs/pull/265857)
+- `writeReferencesToFile` is deprecated in favour of the new trivial build helper `writeClosure`. The latter accepts a list of paths and has an unambiguous name and cleaner implementation.
+
- `inetutils` now has a lower priority to avoid shadowing the commonly used `util-linux`. If one wishes to restore the default priority, simply use `lib.setPrio 5 inetutils` or override with `meta.priority = 5`.
- `paperless`' `services.paperless.extraConfig` setting has been removed and converted to the freeform type and option named `services.paperless.settings`.
+- `services.homepage-dashboard` now takes it's configuration using native Nix expressions, rather than dumping templated configurations into `/var/lib/homepage-dashboard` where they were previously managed manually. There are now new options which allow the configuration of bookmarks, services, widgets and custom CSS/JS natively in Nix.
+
+- `hare` may now be cross-compiled. For that to work, however, `haredoc` needed to stop being built together with it. Thus, the latter is now its own package with the name of `haredoc`.
+
- The legacy and long deprecated systemd target `network-interfaces.target` has been removed. Use `network.target` instead.
- `services.frp.settings` now generates the frp configuration file in TOML format as [recommended by upstream](https://github.com/fatedier/frp#configuration-files), instead of the legacy INI format. This has also introduced other changes in the configuration file structure and options.
@@ -128,6 +197,10 @@ The pre-existing [services.ankisyncd](#opt-services.ankisyncd.enable) has been m
release notes of [v19](https://github.com/systemd/mkosi/releases/tag/v19) and
[v20](https://github.com/systemd/mkosi/releases/tag/v20) for a list of changes.
+- The `services.vikunja` systemd service now uses `vikunja` as dynamic user instead of `vikunja-api`. Database users might need to be changed.
+
+- The `services.vikunja.setupNginx` setting has been removed. Users now need to setup the webserver configuration on their own with a proxy pass to the vikunja service.
+
- The `woodpecker-*` packages have been updated to v2 which includes [breaking changes](https://woodpecker-ci.org/docs/next/migrations#200).
- `services.nginx` will no longer advertise HTTP/3 availability automatically. This must now be manually added, preferably to each location block.
@@ -153,6 +226,8 @@ The pre-existing [services.ankisyncd](#opt-services.ankisyncd.enable) has been m
- The bundled Lua was updated to Lua v5.2, which includes breaking changes. See the [Lua manual](https://www.lua.org/manual/5.2/manual.html#8) for more information.
- The WebSocket API [was rewritten](https://github.com/MCJack123/craftos2/issues/337), which introduced breaking changes.
+- The `gtest` package has been updated past v1.13.0, which requires C++14 or higher.
+
- The latest available version of Nextcloud is v28 (available as `pkgs.nextcloud28`). The installation logic is as follows:
- If [`services.nextcloud.package`](#opt-services.nextcloud.package) is specified explicitly, this package will be installed (**recommended**)
- If [`system.stateVersion`](#opt-system.stateVersion) is >=24.05, `pkgs.nextcloud28` will be installed by default.
@@ -163,12 +238,18 @@ The pre-existing [services.ankisyncd](#opt-services.ankisyncd.enable) has been m
- The `cudaPackages` package scope has been updated to `cudaPackages_12`.
+- Ada packages (libraries and tools) have been moved into the `gnatPackages` scope. `gnatPackages` uses the default GNAT compiler, `gnat12Packages` and `gnat13Packages` use the respective matching compiler version.
+
+- `spark2014` has been renamed to `gnatprove`. A version of `gnatprove` matching different GNAT versions is available from the different `gnatPackages` sets.
+
- `services.resolved.fallbackDns` can now be used to disable the upstream fallback servers entirely by setting it to an empty list. To get the previous behaviour of the upstream defaults set it to null, the new default, instead.
- `xxd` has been moved from `vim` default output to its own output to reduce closure size. The canonical way to reference it across all platforms is `unixtools.xxd`.
- The `stalwart-mail` package has been updated to v0.5.3, which includes [breaking changes](https://github.com/stalwartlabs/mail-server/blob/v0.5.3/UPGRADING.md).
+- `services.zope2` has been removed as `zope2` is unmaintained and was relying on Python2.
+
- `services.avahi.nssmdns` got split into `services.avahi.nssmdns4` and `services.avahi.nssmdns6` which enable the mDNS NSS switch for IPv4 and IPv6 respectively.
Since most mDNS responders only register IPv4 addresses, most users want to keep the IPv6 support disabled to avoid long timeouts.
@@ -177,6 +258,8 @@ The pre-existing [services.ankisyncd](#opt-services.ankisyncd.enable) has been m
`wants`), because the dependency that `multi-user.target` has on
`network-online.target` is planned for removal.
+- `services.pgbouncer` now has systemd support enabled and will log to journald. The default setting for `services.pgbouncer.logFile` is now `null` to disable logging to a separate log file.
+
- `services.archisteamfarm` no longer uses the abbreviation `asf` for its state directory (`/var/lib/asf`), user and group (both `asf`). Instead the long name `archisteamfarm` is used.
Configurations with `system.stateVersion` 23.11 or earlier, default to the old stateDirectory until the 24.11 release and must either set the option explicitly or move the data to the new directory.
@@ -247,7 +330,18 @@ The pre-existing [services.ankisyncd](#opt-services.ankisyncd.enable) has been m
- `addDriverRunpath` has been added to facilitate the deprecation of the old `addOpenGLRunpath` setuphook. This change is motivated by the evolution of the setuphook to include all hardware acceleration.
-- Cinnamon has been updated to 6.0. Please beware that the [Wayland session](https://blog.linuxmint.com/?p=4591) is still experimental in this release.
+- Cinnamon has been updated to 6.0. Please beware that the [Wayland session](https://blog.linuxmint.com/?p=4591) is still experimental in this release and could potentially [affect Xorg sessions](https://blog.linuxmint.com/?p=4639). We suggest a reboot when switching between sessions.
+
+- MATE has been updated to 1.28.
+ - To properly support panel plugins built with Wayland (in-process) support, we are introducing `services.xserver.desktopManager.mate.extraPanelApplets` option, please use that for installing panel applets.
+ - Similarly, please use `services.xserver.desktopManager.mate.extraCajaExtensions` option for installing Caja extensions.
+ - To use the Wayland session, enable `services.xserver.desktopManager.mate.enableWaylandSession`. This is opt-in for now as it is in early stage and introduces a new set of Wayfire closure. Due to [known issues with LightDM](https://github.com/canonical/lightdm/issues/63), we suggest using SDDM for display manager.
+
+- The Budgie module installs gnome-terminal by default (instead of mate-terminal).
+
+- New `boot.loader.systemd-boot.xbootldrMountPoint` allows setting up a separate [XBOOTLDR partition](https://uapi-group.org/specifications/specs/boot_loader_specification/) to store boot files. Useful on systems with a small EFI System partition that cannot be easily repartitioned.
+
+- `boot.loader.systemd-boot` will now verify that `efiSysMountPoint` (and `xbootldrMountPoint` if configured) are mounted partitions.
- `services.postgresql.extraPlugins` changed its type from just a list of packages to also a function that returns such a list.
For example a config line like ``services.postgresql.extraPlugins = with pkgs.postgresql_11.pkgs; [ postgis ];`` is recommended to be changed to ``services.postgresql.extraPlugins = ps: with ps; [ postgis ];``;
@@ -270,6 +364,8 @@ The pre-existing [services.ankisyncd](#opt-services.ankisyncd.enable) has been m
- [Lilypond](https://lilypond.org/index.html) and [Denemo](https://www.denemo.org) are now compiled with Guile 3.0.
+- The EC2 image module now enables the [Amazon SSM Agent](https://docs.aws.amazon.com/systems-manager/latest/userguide/ssm-agent.html) by default.
+
- The following options of the Nextcloud module were moved into [`services.nextcloud.settings`](#opt-services.nextcloud.settings) and renamed to match the name from Nextcloud's `config.php`:
- `logLevel` -> [`loglevel`](#opt-services.nextcloud.settings.loglevel),
- `logType` -> [`log_type`](#opt-services.nextcloud.settings.log_type),
@@ -296,6 +392,8 @@ The pre-existing [services.ankisyncd](#opt-services.ankisyncd.enable) has been m
- A new hardening flag, `zerocallusedregs` was made available, corresponding to the gcc/clang option `-fzero-call-used-regs=used-gpr`.
+- A new hardening flag, `trivialautovarinit` was made available, corresponding to the gcc/clang option `-ftrivial-auto-var-init=pattern`.
+
- New options were added to the dnsdist module to enable and configure a DNSCrypt endpoint (see `services.dnsdist.dnscrypt.enable`, etc.).
The module can generate the DNSCrypt provider key pair, certificates and also performs their rotation automatically with no downtime.
@@ -310,6 +408,9 @@ The pre-existing [services.ankisyncd](#opt-services.ankisyncd.enable) has been m
- [Nginx virtual hosts](#opt-services.nginx.virtualHosts) using `forceSSL` or
`globalRedirect` can now have redirect codes other than 301 through
+
+- `bacula` now allows to configure `TLS` for encrypted communication.
+
`redirectCode`.
- `libjxl` 0.9.0 [dropped support for the butteraugli API](https://github.com/libjxl/libjxl/pull/2576). You will no longer be able to set `enableButteraugli` on `libaom`.
@@ -337,6 +438,8 @@ The pre-existing [services.ankisyncd](#opt-services.ankisyncd.enable) has been m
- The `services.paperless` module no longer uses the previously downloaded NLTK data stored in `/var/cache/paperless/nltk`. This directory can be removed.
+- The `services.teeworlds` module now has a wealth of configuration options, including a new `package` option.
+
- The `hardware.pulseaudio` module now sets permission of pulse user home directory to 755 when running in "systemWide" mode. It fixes [issue 114399](https://github.com/NixOS/nixpkgs/issues/114399).
- The module `services.github-runner` has been removed. To configure a single GitHub Actions Runner refer to `services.github-runners.*`. Note that this will trigger a new runner registration.
@@ -348,6 +451,11 @@ The pre-existing [services.ankisyncd](#opt-services.ankisyncd.enable) has been m
- The `mpich` package expression now requires `withPm` to be a list, e.g. `"hydra:gforker"` becomes `[ "hydra" "gforker" ]`.
+- When merging systemd unit options (of type `unitOption`),
+ if at least one definition is a list, all those which aren't are now lifted into a list,
+ making it possible to accumulate definitions without resorting to `mkForce`,
+ hence to retain the definitions not anticipating that need.
+
- YouTrack is bumped to 2023.3. The update is not performed automatically, it requires manual interaction. See the YouTrack section in the manual for details.
- QtMultimedia has changed its default backend to `QT_MEDIA_BACKEND=ffmpeg` (previously `gstreamer` on Linux or `darwin` on MacOS).
@@ -355,4 +463,7 @@ The pre-existing [services.ankisyncd](#opt-services.ankisyncd.enable) has been m
- The `drbd` out-of-tree Linux kernel driver has been added in version `9.2.7`. With it the DRBD 9.x features can be used instead of the 8.x features provided by the `8.4.11` in-tree driver.
-- The oil shell is now using the c++ version by default. The python based build is still available as `oil-python`
+- The oil shell's c++ version is now available as `oils-for-unix`. The python version is still available as `oil`
+
+- `documentation.man.mandoc` now by default uses `MANPATH` to set the directories where mandoc will search for manual pages.
+ This enables mandoc to find manual pages in Nix profiles. To set the manual search paths via the `mandoc.conf` configuration file like before, use `documentation.man.mandoc.settings.manpath` instead.
diff --git a/nixos/lib/make-disk-image.nix b/nixos/lib/make-disk-image.nix
index 1a33abd01ea1..9bdbf4e0713d 100644
--- a/nixos/lib/make-disk-image.nix
+++ b/nixos/lib/make-disk-image.nix
@@ -56,6 +56,14 @@ This partition table type uses GPT and:
- creates an FAT32 ESP partition from 8MiB to specified `bootSize` parameter (256MiB by default), set it bootable ;
- creates an primary ext4 partition starting after the boot partition and extending to the full disk image
+#### `efixbootldr`
+
+This partition table type uses GPT and:
+
+- creates an FAT32 ESP partition from 8MiB to 100MiB, set it bootable ;
+- creates an FAT32 BOOT partition from 100MiB to specified `bootSize` parameter (256MiB by default), set `bls_boot` flag ;
+- creates an primary ext4 partition starting after the boot partition and extending to the full disk image
+
#### `hybrid`
This partition table type uses GPT and:
@@ -111,19 +119,7 @@ To solve this, you can run `fdisk -l $image` and generate `dd if=$image of=$imag
# When setting one of `user' or `group', the other needs to be set too.
contents ? []
-, # Type of partition table to use; either "legacy", "efi", or "none".
- # For "efi" images, the GPT partition table is used and a mandatory ESP
- # partition of reasonable size is created in addition to the root partition.
- # For "legacy", the msdos partition table is used and a single large root
- # partition is created.
- # For "legacy+gpt", the GPT partition table is used, a 1MiB no-fs partition for
- # use by the bootloader is created, and a single large root partition is
- # created.
- # For "hybrid", the GPT partition table is used and a mandatory ESP
- # partition of reasonable size is created in addition to the root partition.
- # Also a legacy MBR will be present.
- # For "none", no partition table is created. Enabling `installBootLoader`
- # most likely fails as GRUB will probably refuse to install.
+, # Type of partition table to use; described in the `Image Partitioning` section above.
partitionTableType ? "legacy"
, # Whether to invoke `switch-to-configuration boot` during image creation
@@ -193,11 +189,11 @@ To solve this, you can run `fdisk -l $image` and generate `dd if=$image of=$imag
additionalPaths ? []
}:
-assert (lib.assertOneOf "partitionTableType" partitionTableType [ "legacy" "legacy+gpt" "efi" "hybrid" "none" ]);
+assert (lib.assertOneOf "partitionTableType" partitionTableType [ "legacy" "legacy+gpt" "efi" "efixbootldr" "hybrid" "none" ]);
assert (lib.assertMsg (fsType == "ext4" && deterministic -> rootFSUID != null) "In deterministic mode with a ext4 partition, rootFSUID must be non-null, by default, it is equal to rootGPUID.");
# We use -E offset=X below, which is only supported by e2fsprogs
assert (lib.assertMsg (partitionTableType != "none" -> fsType == "ext4") "to produce a partition table, we need to use -E offset flag which is support only for fsType = ext4");
-assert (lib.assertMsg (touchEFIVars -> partitionTableType == "hybrid" || partitionTableType == "efi" || partitionTableType == "legacy+gpt") "EFI variables can be used only with a partition table of type: hybrid, efi or legacy+gpt.");
+assert (lib.assertMsg (touchEFIVars -> partitionTableType == "hybrid" || partitionTableType == "efi" || partitionTableType == "efixbootldr" || partitionTableType == "legacy+gpt") "EFI variables can be used only with a partition table of type: hybrid, efi, efixbootldr, or legacy+gpt.");
# If only Nix store image, then: contents must be empty, configFile must be unset, and we should no install bootloader.
assert (lib.assertMsg (onlyNixStore -> contents == [] && configFile == null && !installBootLoader) "In a only Nix store image, the contents must be empty, no configuration must be provided and no bootloader should be installed.");
# Either both or none of {user,group} need to be set
@@ -225,6 +221,7 @@ let format' = format; in let
legacy = "1";
"legacy+gpt" = "2";
efi = "2";
+ efixbootldr = "3";
hybrid = "3";
}.${partitionTableType};
@@ -266,6 +263,23 @@ let format' = format; in let
$diskImage
''}
'';
+ efixbootldr = ''
+ parted --script $diskImage -- \
+ mklabel gpt \
+ mkpart ESP fat32 8MiB 100MiB \
+ set 1 boot on \
+ mkpart BOOT fat32 100MiB ${bootSize} \
+ set 2 bls_boot on \
+ mkpart ROOT ext4 ${bootSize} -1
+ ${optionalString deterministic ''
+ sgdisk \
+ --disk-guid=97FD5997-D90B-4AA3-8D16-C1723AEA73C \
+ --partition-guid=1:1C06F03B-704E-4657-B9CD-681A087A2FDC \
+ --partition-guid=2:970C694F-AFD0-4B99-B750-CDB7A329AB6F \
+ --partition-guid=3:${rootGPUID} \
+ $diskImage
+ ''}
+ '';
hybrid = ''
parted --script $diskImage -- \
mklabel gpt \
@@ -436,7 +450,7 @@ let format' = format; in let
diskImage=nixos.raw
${if diskSize == "auto" then ''
- ${if partitionTableType == "efi" || partitionTableType == "hybrid" then ''
+ ${if partitionTableType == "efi" || partitionTableType == "efixbootldr" || partitionTableType == "hybrid" then ''
# Add the GPT at the end
gptSpace=$(( 512 * 34 * 1 ))
# Normally we'd need to account for alignment and things, if bootSize
@@ -536,6 +550,9 @@ let format' = format; in let
concatStringsSep " " (lib.optional useEFIBoot "-drive if=pflash,format=raw,unit=0,readonly=on,file=${efiFirmware}"
++ lib.optionals touchEFIVars [
"-drive if=pflash,format=raw,unit=1,file=$efiVars"
+ ] ++ lib.optionals (OVMF.systemManagementModeRequired or false) [
+ "-machine" "q35,smm=on"
+ "-global" "driver=cfi.pflash01,property=secure,value=on"
]
);
inherit memSize;
@@ -567,6 +584,15 @@ let format' = format; in let
${optionalString touchEFIVars "mount -t efivarfs efivarfs /sys/firmware/efi/efivars"}
''}
+ ${optionalString (partitionTableType == "efixbootldr") ''
+ mkdir -p /mnt/{boot,efi}
+ mkfs.vfat -n ESP /dev/vda1
+ mkfs.vfat -n BOOT /dev/vda2
+ mount /dev/vda1 /mnt/efi
+ mount /dev/vda2 /mnt/boot
+
+ ${optionalString touchEFIVars "mount -t efivarfs efivarfs /sys/firmware/efi/efivars"}
+ ''}
# Install a configuration.nix
mkdir -p /mnt/etc/nixos
@@ -583,6 +609,13 @@ let format' = format; in let
''}
# Set up core system link, bootloader (sd-boot, GRUB, uboot, etc.), etc.
+
+ # NOTE: systemd-boot-builder.py calls nix-env --list-generations which
+ # clobbers $HOME/.nix-defexpr/channels/nixos This would cause a folder
+ # /homeless-shelter to show up in the final image which in turn breaks
+ # nix builds in the target image if sandboxing is turned off (through
+ # __noChroot for example).
+ export HOME=$TMPDIR
NIXOS_INSTALL_BOOTLOADER=1 nixos-enter --root $mountPoint -- /nix/var/nix/profiles/system/bin/switch-to-configuration boot
# The above scripts will generate a random machine-id and we don't want to bake a single ID into all our images
diff --git a/nixos/lib/make-iso9660-image.nix b/nixos/lib/make-iso9660-image.nix
index 2f7dcf519a16..ec520f570682 100644
--- a/nixos/lib/make-iso9660-image.nix
+++ b/nixos/lib/make-iso9660-image.nix
@@ -1,4 +1,4 @@
-{ stdenv, closureInfo, xorriso, syslinux, libossp_uuid
+{ lib, stdenv, callPackage, closureInfo, xorriso, syslinux, libossp_uuid, squashfsTools
, # The file name of the resulting ISO image.
isoName ? "cd.iso"
@@ -16,6 +16,17 @@
# symlink to `object' that will be added to the CD.
storeContents ? []
+, # In addition to `contents', the closure of the store paths listed
+ # in `squashfsContents' is compressed as squashfs and the result is
+ # placed in /nix-store.squashfs on the CD.
+ # FIXME: This is a performance optimization to avoid Hydra copying
+ # the squashfs between builders and should be removed when Hydra
+ # is smarter about scheduling.
+ squashfsContents ? []
+
+, # Compression settings for squashfs
+ squashfsCompression ? "xz -Xdict-size 100%"
+
, # Whether this should be an El-Torito bootable CD.
bootable ? false
@@ -45,12 +56,20 @@ assert bootable -> bootImage != "";
assert efiBootable -> efiBootImage != "";
assert usbBootable -> isohybridMbrImage != "";
+let
+ needSquashfs = squashfsContents != [];
+ makeSquashfsDrv = callPackage ./make-squashfs.nix {
+ storeContents = squashfsContents;
+ comp = squashfsCompression;
+ };
+in
stdenv.mkDerivation {
name = isoName;
__structuredAttrs = true;
buildCommandPath = ./make-iso9660-image.sh;
- nativeBuildInputs = [ xorriso syslinux zstd libossp_uuid ];
+ nativeBuildInputs = [ xorriso syslinux zstd libossp_uuid ]
+ ++ lib.optionals needSquashfs makeSquashfsDrv.nativeBuildInputs;
inherit isoName bootable bootImage compressImage volumeID efiBootImage efiBootable isohybridMbrImage usbBootable;
@@ -60,6 +79,8 @@ stdenv.mkDerivation {
objects = map (x: x.object) storeContents;
symlinks = map (x: x.symlink) storeContents;
+ squashfsCommand = lib.optionalString needSquashfs makeSquashfsDrv.buildCommand;
+
# For obtaining the closure of `storeContents'.
closureInfo = closureInfo { rootPaths = map (x: x.object) storeContents; };
}
diff --git a/nixos/lib/make-iso9660-image.sh b/nixos/lib/make-iso9660-image.sh
index 34febe9cfe0e..5881195e461f 100644
--- a/nixos/lib/make-iso9660-image.sh
+++ b/nixos/lib/make-iso9660-image.sh
@@ -68,6 +68,11 @@ for i in $(< $closureInfo/store-paths); do
addPath "${i:1}" "$i"
done
+# If needed, build a squashfs and add that
+if [[ -n "$squashfsCommand" ]]; then
+ (out="nix-store.squashfs" eval "$squashfsCommand")
+ addPath "nix-store.squashfs" "nix-store.squashfs"
+fi
# Also include a manifest of the closures in a format suitable for
# nix-store --load-db.
diff --git a/nixos/lib/make-options-doc/default.nix b/nixos/lib/make-options-doc/default.nix
index 284934a7608e..09a4022845e0 100644
--- a/nixos/lib/make-options-doc/default.nix
+++ b/nixos/lib/make-options-doc/default.nix
@@ -1,20 +1,95 @@
-/* Generate JSON, XML and DocBook documentation for given NixOS options.
+/**
+ Generates documentation for [nix modules](https://nix.dev/tutorials/module-system/module-system.html).
- Minimal example:
+ It uses the declared `options` to generate documentation in various formats.
- { pkgs, }:
+ # Outputs
- let
- eval = import (pkgs.path + "/nixos/lib/eval-config.nix") {
- baseModules = [
- ../module.nix
- ];
- modules = [];
- };
- in pkgs.nixosOptionsDoc {
- options = eval.options;
+ This function returns an attribute set with the following entries.
+
+ ## optionsCommonMark
+
+ Documentation in CommonMark text format.
+
+ ## optionsJSON
+
+ All options in a JSON format suitable for further automated processing.
+
+ `example.json`
+ ```json
+ {
+ ...
+ "fileSystems..options": {
+ "declarations": ["nixos/modules/tasks/filesystems.nix"],
+ "default": {
+ "_type": "literalExpression",
+ "text": "[\n \"defaults\"\n]"
+ },
+ "description": "Options used to mount the file system.",
+ "example": {
+ "_type": "literalExpression",
+ "text": "[\n \"data=journal\"\n]"
+ },
+ "loc": ["fileSystems", "", "options"],
+ "readOnly": false,
+ "type": "non-empty (list of string (with check: non-empty))"
+ "relatedPackages": "- [`pkgs.tmux`](\n https://search.nixos.org/packages?show=tmux&sort=relevance&query=tmux\n )\n",
+ },
+ ...
+ }
+ ```
+
+ ## optionsDocBook
+
+ deprecated since 23.11 and will be removed in 24.05.
+
+ ## optionsAsciiDoc
+
+ Documentation rendered as AsciiDoc. This is useful for e.g. man pages.
+
+ > Note: NixOS itself uses this ouput to to build the configuration.nix man page"
+
+ ## optionsNix
+
+ All options as a Nix attribute set value, with the same schema as `optionsJSON`.
+
+ # Example
+
+ ## Example: NixOS configuration
+
+ ```nix
+ let
+ # Evaluate a NixOS configuration
+ eval = import (pkgs.path + "/nixos/lib/eval-config.nix") {
+ # Overriden explicitly here, this would include all modules from NixOS otherwise.
+ # See: docs of eval-config.nix for more details
+ baseModules = [];
+ modules = [
+ ./module.nix
+ ];
+ };
+ in
+ pkgs.nixosOptionsDoc {
+ inherit (eval) options;
}
+ ```
+ ## Example: non-NixOS modules
+
+ `nixosOptionsDoc` can also be used to build documentation for non-NixOS modules.
+
+ ```nix
+ let
+ eval = lib.evalModules {
+ modules = [
+ ./module.nix
+ ];
+ };
+ in
+ pkgs.nixosOptionsDoc {
+ inherit (eval) options;
+ }
+ ```
*/
{ pkgs
, lib
diff --git a/nixos/lib/systemd-lib.nix b/nixos/lib/systemd-lib.nix
index c9cca619ed70..c00b2d0f207c 100644
--- a/nixos/lib/systemd-lib.nix
+++ b/nixos/lib/systemd-lib.nix
@@ -73,13 +73,26 @@ in rec {
optional (attr ? ${name} && (! isMacAddress attr.${name} && attr.${name} != "none"))
"Systemd ${group} field `${name}` must be a valid MAC address or the special value `none`.";
-
+ isNumberOrRangeOf = check: v:
+ if isInt v
+ then check v
+ else let
+ parts = splitString "-" v;
+ lower = toIntBase10 (head parts);
+ upper = if tail parts != [] then toIntBase10 (head (tail parts)) else lower;
+ in
+ length parts <= 2 && lower <= upper && check lower && check upper;
isPort = i: i >= 0 && i <= 65535;
+ isPortOrPortRange = isNumberOrRangeOf isPort;
assertPort = name: group: attr:
optional (attr ? ${name} && ! isPort attr.${name})
"Error on the systemd ${group} field `${name}': ${attr.name} is not a valid port number.";
+ assertPortOrPortRange = name: group: attr:
+ optional (attr ? ${name} && ! isPortOrPortRange attr.${name})
+ "Error on the systemd ${group} field `${name}': ${attr.name} is not a valid port number or range of port numbers.";
+
assertValueOneOf = name: values: group: attr:
optional (attr ? ${name} && !elem attr.${name} values)
"Systemd ${group} field `${name}' cannot have value `${toString attr.${name}}'.";
@@ -378,7 +391,7 @@ in rec {
'';
targetToUnit = name: def:
- { inherit (def) aliases wantedBy requiredBy enable overrideStrategy;
+ { inherit (def) aliases wantedBy requiredBy upheldBy enable overrideStrategy;
text =
''
[Unit]
@@ -387,7 +400,7 @@ in rec {
};
serviceToUnit = name: def:
- { inherit (def) aliases wantedBy requiredBy enable overrideStrategy;
+ { inherit (def) aliases wantedBy requiredBy upheldBy enable overrideStrategy;
text = commonUnitText def (''
[Service]
'' + (let env = cfg.globalEnvironment // def.environment;
@@ -408,7 +421,7 @@ in rec {
};
socketToUnit = name: def:
- { inherit (def) aliases wantedBy requiredBy enable overrideStrategy;
+ { inherit (def) aliases wantedBy requiredBy upheldBy enable overrideStrategy;
text = commonUnitText def ''
[Socket]
${attrsToSection def.socketConfig}
@@ -418,7 +431,7 @@ in rec {
};
timerToUnit = name: def:
- { inherit (def) aliases wantedBy requiredBy enable overrideStrategy;
+ { inherit (def) aliases wantedBy requiredBy upheldBy enable overrideStrategy;
text = commonUnitText def ''
[Timer]
${attrsToSection def.timerConfig}
@@ -426,7 +439,7 @@ in rec {
};
pathToUnit = name: def:
- { inherit (def) aliases wantedBy requiredBy enable overrideStrategy;
+ { inherit (def) aliases wantedBy requiredBy upheldBy enable overrideStrategy;
text = commonUnitText def ''
[Path]
${attrsToSection def.pathConfig}
@@ -434,7 +447,7 @@ in rec {
};
mountToUnit = name: def:
- { inherit (def) aliases wantedBy requiredBy enable overrideStrategy;
+ { inherit (def) aliases wantedBy requiredBy upheldBy enable overrideStrategy;
text = commonUnitText def ''
[Mount]
${attrsToSection def.mountConfig}
@@ -442,7 +455,7 @@ in rec {
};
automountToUnit = name: def:
- { inherit (def) aliases wantedBy requiredBy enable overrideStrategy;
+ { inherit (def) aliases wantedBy requiredBy upheldBy enable overrideStrategy;
text = commonUnitText def ''
[Automount]
${attrsToSection def.automountConfig}
@@ -450,7 +463,7 @@ in rec {
};
sliceToUnit = name: def:
- { inherit (def) aliases wantedBy requiredBy enable overrideStrategy;
+ { inherit (def) aliases wantedBy requiredBy upheldBy enable overrideStrategy;
text = commonUnitText def ''
[Slice]
${attrsToSection def.sliceConfig}
diff --git a/nixos/lib/systemd-unit-options.nix b/nixos/lib/systemd-unit-options.nix
index df05d165d9e8..e4953ba72dd9 100644
--- a/nixos/lib/systemd-unit-options.nix
+++ b/nixos/lib/systemd-unit-options.nix
@@ -6,7 +6,7 @@ with lib;
let
checkService = checkUnitConfig "Service" [
(assertValueOneOf "Type" [
- "exec" "simple" "forking" "oneshot" "dbus" "notify" "idle"
+ "exec" "simple" "forking" "oneshot" "dbus" "notify" "notify-reload" "idle"
])
(assertValueOneOf "Restart" [
"no" "on-success" "on-failure" "on-abnormal" "on-abort" "always"
@@ -21,14 +21,8 @@ in rec {
let
defs' = filterOverrides defs;
in
- if isList (head defs').value
- then concatMap (def:
- if builtins.typeOf def.value == "list"
- then def.value
- else
- throw "The definitions for systemd unit options should be either all lists, representing repeatable options, or all non-lists, but for the option ${showOption loc}, the definitions are a mix of list and non-list ${lib.options.showDefs defs'}"
- ) defs'
-
+ if any (def: isList def.value) defs'
+ then concatMap (def: toList def.value) defs'
else mergeEqualOption loc defs';
};
diff --git a/nixos/lib/test-driver/pyproject.toml b/nixos/lib/test-driver/pyproject.toml
index 8638f14dfdae..17b7130a4bad 100644
--- a/nixos/lib/test-driver/pyproject.toml
+++ b/nixos/lib/test-driver/pyproject.toml
@@ -37,7 +37,6 @@ target-version = ['py39']
include = '\.pyi?$'
[tool.mypy]
-python_version = "3.10"
warn_redundant_casts = true
disallow_untyped_calls = true
disallow_untyped_defs = true
diff --git a/nixos/lib/test-driver/test_driver/driver.py b/nixos/lib/test-driver/test_driver/driver.py
index 786821b0cc0d..f792c0459199 100644
--- a/nixos/lib/test-driver/test_driver/driver.py
+++ b/nixos/lib/test-driver/test_driver/driver.py
@@ -7,11 +7,15 @@ from contextlib import contextmanager
from pathlib import Path
from typing import Any, Callable, ContextManager, Dict, Iterator, List, Optional, Union
+from colorama import Fore, Style
+
from test_driver.logger import rootlog
from test_driver.machine import Machine, NixStartScript, retry
from test_driver.polling_condition import PollingCondition
from test_driver.vlan import VLan
+SENTINEL = object()
+
def get_tmp_dir() -> Path:
"""Returns a temporary directory that is defined by TMPDIR, TEMP, TMP or CWD
@@ -187,23 +191,61 @@ class Driver:
# to swallow them and prevent itself from terminating.
os.kill(os.getpid(), signal.SIGTERM)
- def create_machine(self, args: Dict[str, Any]) -> Machine:
+ def create_machine(
+ self,
+ start_command: str | dict,
+ *,
+ name: Optional[str] = None,
+ keep_vm_state: bool = False,
+ ) -> Machine:
+ # Legacy args handling
+ # FIXME: remove after 24.05
+ if isinstance(start_command, dict):
+ if name is not None or keep_vm_state:
+ raise TypeError(
+ "Dictionary passed to create_machine must be the only argument"
+ )
+
+ args = start_command
+ start_command = args.pop("startCommand", SENTINEL)
+
+ if start_command is SENTINEL:
+ raise TypeError(
+ "Dictionary passed to create_machine must contain startCommand"
+ )
+
+ if not isinstance(start_command, str):
+ raise TypeError(
+ f"startCommand must be a string, got: {repr(start_command)}"
+ )
+
+ name = args.pop("name", None)
+ keep_vm_state = args.pop("keep_vm_state", False)
+
+ if args:
+ raise TypeError(
+ f"Unsupported arguments passed to create_machine: {args}"
+ )
+
+ rootlog.warning(
+ Fore.YELLOW
+ + Style.BRIGHT
+ + "WARNING: Using create_machine with a single dictionary argument is deprecated and will be removed in NixOS 24.11"
+ + Style.RESET_ALL
+ )
+ # End legacy args handling
+
tmp_dir = get_tmp_dir()
- if args.get("startCommand"):
- start_command: str = args.get("startCommand", "")
- cmd = NixStartScript(start_command)
- name = args.get("name", cmd.machine_name)
- else:
- cmd = Machine.create_startcommand(args) # type: ignore
- name = args.get("name", "machine")
+ cmd = NixStartScript(start_command)
+ name = name or cmd.machine_name
return Machine(
tmp_dir=tmp_dir,
out_dir=self.out_dir,
start_command=cmd,
name=name,
- keep_vm_state=args.get("keep_vm_state", False),
+ keep_vm_state=keep_vm_state,
)
def serial_stdout_on(self) -> None:
diff --git a/nixos/lib/test-driver/test_driver/logger.py b/nixos/lib/test-driver/test_driver/logger.py
index 116244b5e4ae..0b0623bddfa1 100644
--- a/nixos/lib/test-driver/test_driver/logger.py
+++ b/nixos/lib/test-driver/test_driver/logger.py
@@ -1,6 +1,3 @@
-# mypy: disable-error-code="no-untyped-call"
-# drop the above line when mypy is upgraded to include
-# https://github.com/python/typeshed/commit/49b717ca52bf0781a538b04c0d76a5513f7119b8
import codecs
import os
import sys
@@ -10,6 +7,7 @@ from contextlib import contextmanager
from queue import Empty, Queue
from typing import Any, Dict, Iterator
from xml.sax.saxutils import XMLGenerator
+from xml.sax.xmlreader import AttributesImpl
from colorama import Fore, Style
@@ -22,7 +20,7 @@ class Logger:
self.queue: "Queue[Dict[str, str]]" = Queue()
self.xml.startDocument()
- self.xml.startElement("logfile", attrs={})
+ self.xml.startElement("logfile", attrs=AttributesImpl({}))
self._print_serial_logs = True
@@ -44,7 +42,7 @@ class Logger:
return message
def log_line(self, message: str, attributes: Dict[str, str]) -> None:
- self.xml.startElement("line", attributes)
+ self.xml.startElement("line", attrs=AttributesImpl(attributes))
self.xml.characters(message)
self.xml.endElement("line")
@@ -89,8 +87,8 @@ class Logger:
)
)
- self.xml.startElement("nest", attrs={})
- self.xml.startElement("head", attributes)
+ self.xml.startElement("nest", attrs=AttributesImpl({}))
+ self.xml.startElement("head", attrs=AttributesImpl(attributes))
self.xml.characters(message)
self.xml.endElement("head")
diff --git a/nixos/lib/test-driver/test_driver/machine.py b/nixos/lib/test-driver/test_driver/machine.py
index 93411a4a348e..df8628bce956 100644
--- a/nixos/lib/test-driver/test_driver/machine.py
+++ b/nixos/lib/test-driver/test_driver/machine.py
@@ -208,7 +208,6 @@ class StartCommand:
),
stdin=subprocess.PIPE,
stdout=subprocess.PIPE,
- stderr=subprocess.STDOUT,
shell=True,
cwd=state_dir,
env=self.build_environment(state_dir, shared_dir),
@@ -235,77 +234,6 @@ class NixStartScript(StartCommand):
return name
-class LegacyStartCommand(StartCommand):
- """Used in some places to create an ad-hoc machine instead of
- using nix test instrumentation + module system for that purpose.
- Legacy.
- """
-
- def __init__(
- self,
- netBackendArgs: Optional[str] = None, # noqa: N803
- netFrontendArgs: Optional[str] = None, # noqa: N803
- hda: Optional[Tuple[Path, str]] = None,
- cdrom: Optional[str] = None,
- usb: Optional[str] = None,
- bios: Optional[str] = None,
- qemuBinary: Optional[str] = None, # noqa: N803
- qemuFlags: Optional[str] = None, # noqa: N803
- ):
- if qemuBinary is not None:
- self._cmd = qemuBinary
- else:
- self._cmd = "qemu-kvm"
-
- self._cmd += " -m 384"
-
- # networking
- net_backend = "-netdev user,id=net0"
- net_frontend = "-device virtio-net-pci,netdev=net0"
- if netBackendArgs is not None:
- net_backend += "," + netBackendArgs
- if netFrontendArgs is not None:
- net_frontend += "," + netFrontendArgs
- self._cmd += f" {net_backend} {net_frontend}"
-
- # hda
- hda_cmd = ""
- if hda is not None:
- hda_path = hda[0].resolve()
- hda_interface = hda[1]
- if hda_interface == "scsi":
- hda_cmd += (
- f" -drive id=hda,file={hda_path},werror=report,if=none"
- " -device scsi-hd,drive=hda"
- )
- else:
- hda_cmd += f" -drive file={hda_path},if={hda_interface},werror=report"
- self._cmd += hda_cmd
-
- # cdrom
- if cdrom is not None:
- self._cmd += f" -cdrom {cdrom}"
-
- # usb
- usb_cmd = ""
- if usb is not None:
- # https://github.com/qemu/qemu/blob/master/docs/usb2.txt
- usb_cmd += (
- " -device usb-ehci"
- f" -drive id=usbdisk,file={usb},if=none,readonly"
- " -device usb-storage,drive=usbdisk "
- )
- self._cmd += usb_cmd
-
- # bios
- if bios is not None:
- self._cmd += f" -bios {bios}"
-
- # qemu flags
- if qemuFlags is not None:
- self._cmd += f" {qemuFlags}"
-
-
class Machine:
"""A handle to the machine with this name, that also knows how to manage
the machine lifecycle with the help of a start script / command."""
@@ -377,29 +305,6 @@ class Machine:
self.booted = False
self.connected = False
- @staticmethod
- def create_startcommand(args: Dict[str, str]) -> StartCommand:
- rootlog.warning(
- "Using legacy create_startcommand(), "
- "please use proper nix test vm instrumentation, instead "
- "to generate the appropriate nixos test vm qemu startup script"
- )
- hda = None
- if args.get("hda"):
- hda_arg: str = args.get("hda", "")
- hda_arg_path: Path = Path(hda_arg)
- hda = (hda_arg_path, args.get("hdaInterface", ""))
- return LegacyStartCommand(
- netBackendArgs=args.get("netBackendArgs"),
- netFrontendArgs=args.get("netFrontendArgs"),
- hda=hda,
- cdrom=args.get("cdrom"),
- usb=args.get("usb"),
- bios=args.get("bios"),
- qemuBinary=args.get("qemuBinary"),
- qemuFlags=args.get("qemuFlags"),
- )
-
def is_up(self) -> bool:
return self.booted and self.connected
diff --git a/nixos/lib/test-script-prepend.py b/nixos/lib/test-script-prepend.py
index 15e59ce01047..976992ea0015 100644
--- a/nixos/lib/test-script-prepend.py
+++ b/nixos/lib/test-script-prepend.py
@@ -26,6 +26,17 @@ class PollingConditionProtocol(Protocol):
raise Exception("This is just type information for the Nix test driver")
+class CreateMachineProtocol(Protocol):
+ def __call__(
+ self,
+ start_command: str | dict,
+ *,
+ name: Optional[str] = None,
+ keep_vm_state: bool = False,
+ ) -> Machine:
+ raise Exception("This is just type information for the Nix test driver")
+
+
start_all: Callable[[], None]
subtest: Callable[[str], ContextManager[None]]
retry: RetryProtocol
@@ -34,7 +45,7 @@ machines: List[Machine]
vlans: List[VLan]
driver: Driver
log: Logger
-create_machine: Callable[[Dict[str, Any]], Machine]
+create_machine: CreateMachineProtocol
run_tests: Callable[[], None]
join_all: Callable[[], None]
serial_stdout_off: Callable[[], None]
diff --git a/nixos/lib/testing/nixos-test-base.nix b/nixos/lib/testing/nixos-test-base.nix
index 59e6e3843367..d76a25361f8c 100644
--- a/nixos/lib/testing/nixos-test-base.nix
+++ b/nixos/lib/testing/nixos-test-base.nix
@@ -16,7 +16,11 @@ in
# The human version (e.g. 21.05-pre) is left as is, because it is useful
# for external modules that test with e.g. testers.nixosTest and rely on that
# version number.
- config.system.nixos.revision = mkForce "constant-nixos-revision";
+ config.system.nixos = {
+ revision = mkForce "constant-nixos-revision";
+ versionSuffix = mkForce "test";
+ label = mkForce "test";
+ };
}
];
diff --git a/nixos/lib/testing/nodes.nix b/nixos/lib/testing/nodes.nix
index 73e6d386fd1d..7941d69e38d2 100644
--- a/nixos/lib/testing/nodes.nix
+++ b/nixos/lib/testing/nodes.nix
@@ -14,6 +14,25 @@ let
types
;
+ inherit (hostPkgs) hostPlatform;
+
+ guestSystem =
+ if hostPlatform.isLinux
+ then hostPlatform.system
+ else
+ let
+ hostToGuest = {
+ "x86_64-darwin" = "x86_64-linux";
+ "aarch64-darwin" = "aarch64-linux";
+ };
+
+ supportedHosts = lib.concatStringsSep ", " (lib.attrNames hostToGuest);
+
+ message =
+ "NixOS Test: don't know which VM guest system to pair with VM host system: ${hostPlatform.system}. Perhaps you intended to run the tests on a Linux host, or one of the following systems that may run NixOS tests: ${supportedHosts}";
+ in
+ hostToGuest.${hostPlatform.system} or (throw message);
+
baseOS =
import ../eval-config.nix {
inherit lib;
@@ -27,13 +46,14 @@ let
({ config, ... }:
{
virtualisation.qemu.package = testModuleArgs.config.qemu.package;
+ virtualisation.host.pkgs = hostPkgs;
})
({ options, ... }: {
key = "nodes.nix-pkgs";
config = optionalAttrs (!config.node.pkgsReadOnly) (
mkIf (!options.nixpkgs.pkgs.isDefined) {
# TODO: switch to nixpkgs.hostPlatform and make sure containers-imperative test still evaluates.
- nixpkgs.system = hostPkgs.stdenv.hostPlatform.system;
+ nixpkgs.system = guestSystem;
}
);
})
diff --git a/nixos/lib/testing/pkgs.nix b/nixos/lib/testing/pkgs.nix
index 22dd586868e3..46d82c65d26a 100644
--- a/nixos/lib/testing/pkgs.nix
+++ b/nixos/lib/testing/pkgs.nix
@@ -2,7 +2,11 @@
{
config = {
# default pkgs for use in VMs
- _module.args.pkgs = hostPkgs;
+ _module.args.pkgs =
+ # TODO: deprecate it everywhere; not just on darwin. Throw on darwin?
+ lib.warnIf hostPkgs.stdenv.hostPlatform.isDarwin
+ "Do not use the `pkgs` module argument in tests you want to run on darwin. It is ambiguous, and many tests are broken because of it. If you need to use a package on the VM host, use `hostPkgs`. Otherwise, use `config.node.pkgs`, or `config.nodes..nixpkgs.pkgs`."
+ hostPkgs;
defaults = {
# TODO: a module to set a shared pkgs, if options.nixpkgs.* is untouched by user (highestPrio) */
diff --git a/nixos/lib/testing/run.nix b/nixos/lib/testing/run.nix
index 9440c1acdfd8..de5a9b97e61d 100644
--- a/nixos/lib/testing/run.nix
+++ b/nixos/lib/testing/run.nix
@@ -41,7 +41,9 @@ in
rawTestDerivation = hostPkgs.stdenv.mkDerivation {
name = "vm-test-run-${config.name}";
- requiredSystemFeatures = [ "kvm" "nixos-test" ];
+ requiredSystemFeatures = [ "nixos-test" ]
+ ++ lib.optionals hostPkgs.stdenv.hostPlatform.isLinux [ "kvm" ]
+ ++ lib.optionals hostPkgs.stdenv.hostPlatform.isDarwin [ "apple-virt" ];
buildCommand = ''
mkdir -p $out
diff --git a/nixos/maintainers/scripts/lxd/lxd-container-image.nix b/nixos/maintainers/scripts/lxd/lxd-container-image.nix
index 3f330952d695..930ab34af385 100644
--- a/nixos/maintainers/scripts/lxd/lxd-container-image.nix
+++ b/nixos/maintainers/scripts/lxd/lxd-container-image.nix
@@ -20,8 +20,7 @@
};
in ''
if [ ! -e /etc/nixos/configuration.nix ]; then
- mkdir -p /etc/nixos
- cp ${config} /etc/nixos/configuration.nix
+ install -m 644 -D ${config} /etc/nixos/configuration.nix
fi
'';
diff --git a/nixos/modules/config/ldso.nix b/nixos/modules/config/ldso.nix
index 72ae3958d886..bd6f0dc5a83b 100644
--- a/nixos/modules/config/ldso.nix
+++ b/nixos/modules/config/ldso.nix
@@ -6,9 +6,9 @@ let
libDir = pkgs.stdenv.hostPlatform.libDir;
ldsoBasename = builtins.unsafeDiscardStringContext (last (splitString "/" pkgs.stdenv.cc.bintools.dynamicLinker));
- pkgs32 = pkgs.pkgsi686Linux;
- libDir32 = pkgs32.stdenv.hostPlatform.libDir;
- ldsoBasename32 = builtins.unsafeDiscardStringContext (last (splitString "/" pkgs32.stdenv.cc.bintools.dynamicLinker));
+ # Hard-code to avoid creating another instance of nixpkgs. Also avoids eval errors in some cases.
+ libDir32 = "lib"; # pkgs.pkgsi686Linux.stdenv.hostPlatform.libDir
+ ldsoBasename32 = "ld-linux.so.2"; # last (splitString "/" pkgs.pkgsi686Linux.stdenv.cc.bintools.dynamicLinker)
in {
options = {
environment.ldso = mkOption {
diff --git a/nixos/modules/config/nix.nix b/nixos/modules/config/nix.nix
index 2769d8b25ef6..a40953a3a3c9 100644
--- a/nixos/modules/config/nix.nix
+++ b/nixos/modules/config/nix.nix
@@ -1,5 +1,5 @@
/*
- Manages /etc/nix.conf.
+ Manages /etc/nix/nix.conf.
See also
- ./nix-channel.nix
@@ -14,8 +14,10 @@ let
concatStringsSep
boolToString
escape
+ filterAttrs
floatToString
getVersion
+ hasPrefix
isBool
isDerivation
isFloat
@@ -95,14 +97,19 @@ let
mkKeyValuePairs = attrs: concatStringsSep "\n" (mapAttrsToList mkKeyValue attrs);
+ isExtra = key: hasPrefix "extra-" key;
+
in
pkgs.writeTextFile {
name = "nix.conf";
+ # workaround for https://github.com/NixOS/nix/issues/9487
+ # extra-* settings must come after their non-extra counterpart
text = ''
# WARNING: this file is generated from the nix.* options in
# your NixOS configuration, typically
# /etc/nixos/configuration.nix. Do not edit it!
- ${mkKeyValuePairs cfg.settings}
+ ${mkKeyValuePairs (filterAttrs (key: value: !(isExtra key)) cfg.settings)}
+ ${mkKeyValuePairs (filterAttrs (key: value: isExtra key) cfg.settings)}
${cfg.extraOptions}
'';
checkPhase = lib.optionalString cfg.checkConfig (
@@ -345,7 +352,7 @@ in
show-trace = true;
system-features = [ "big-parallel" "kvm" "recursive-nix" ];
- sandbox-paths = { "/bin/sh" = "''${pkgs.busybox-sandbox-shell.out}/bin/busybox"; };
+ sandbox-paths = [ "/bin/sh=''${pkgs.busybox-sandbox-shell.out}/bin/busybox" ];
}
'';
description = lib.mdDoc ''
diff --git a/nixos/modules/config/no-x-libs.nix b/nixos/modules/config/no-x-libs.nix
index 2f763290e32d..fea6e0c4110b 100644
--- a/nixos/modules/config/no-x-libs.nix
+++ b/nixos/modules/config/no-x-libs.nix
@@ -66,8 +66,8 @@ with lib;
networkmanager-sstp = super.networkmanager-vpnc.override { withGnome = false; };
networkmanager-vpnc = super.networkmanager-vpnc.override { withGnome = false; };
pango = super.pango.override { x11Support = false; };
- pinentry = super.pinentry.override { enabledFlavors = [ "curses" "tty" "emacs" ]; withLibsecret = false; };
- pipewire = super.pipewire.override { x11Support = false; };
+ pinentry-curses = super.pinentry-curses.override { withLibsecret = false; };
+ pipewire = super.pipewire.override { vulkanSupport = false; x11Support = false; };
pythonPackagesExtensions = super.pythonPackagesExtensions ++ [
(python-final: python-prev: {
# tk feature requires wayland which fails to compile
@@ -83,6 +83,7 @@ with lib;
# translateManpages -> perlPackages.po4a -> texlive-combined-basic -> texlive-core-big -> libX11
util-linux = super.util-linux.override { translateManpages = false; };
vim-full = super.vim-full.override { guiSupport = false; };
+ vte = super.vte.override { gtkVersion = null; };
zbar = super.zbar.override { enableVideo = false; withXorg = false; };
}));
};
diff --git a/nixos/modules/config/resolvconf.nix b/nixos/modules/config/resolvconf.nix
index e9ae4d651d26..3b8cc0cb8f42 100644
--- a/nixos/modules/config/resolvconf.nix
+++ b/nixos/modules/config/resolvconf.nix
@@ -28,6 +28,8 @@ let
'' + optionalString cfg.useLocalResolver ''
# This hosts runs a full-blown DNS resolver.
name_servers='127.0.0.1'
+ '' + optionalString (cfg.useLocalResolver && config.networking.enableIPv6) ''
+ name_servers='::1'
'' + cfg.extraConfig;
in
diff --git a/nixos/modules/config/users-groups.nix b/nixos/modules/config/users-groups.nix
index 967ad0846d75..02cd1a17f538 100644
--- a/nixos/modules/config/users-groups.nix
+++ b/nixos/modules/config/users-groups.nix
@@ -649,7 +649,6 @@ in {
home = "/root";
shell = mkDefault cfg.defaultUserShell;
group = "root";
- initialHashedPassword = mkDefault "!";
};
nobody = {
uid = ids.uids.nobody;
@@ -705,6 +704,11 @@ in {
in stringAfter [ "users" ] ''
if [ -e ${lingerDir} ] ; then
cd ${lingerDir}
+ for user in ${lingerDir}/*; do
+ if ! id "$user" >/dev/null 2>&1; then
+ rm --force -- "$user"
+ fi
+ done
ls ${lingerDir} | sort | comm -3 -1 ${lingeringUsersFile} - | xargs -r ${pkgs.systemd}/bin/loginctl disable-linger
ls ${lingerDir} | sort | comm -3 -2 ${lingeringUsersFile} - | xargs -r ${pkgs.systemd}/bin/loginctl enable-linger
fi
@@ -897,7 +901,26 @@ in {
));
warnings =
- builtins.filter (x: x != null) (
+ flip concatMap (attrValues cfg.users) (user: let
+ unambiguousPasswordConfiguration = 1 >= length (filter (x: x != null) ([
+ user.hashedPassword
+ user.hashedPasswordFile
+ user.password
+ ] ++ optionals cfg.mutableUsers [
+ # For immutable users, initialHashedPassword is set to hashedPassword,
+ # so using these options would always trigger the assertion.
+ user.initialHashedPassword
+ user.initialPassword
+ ]));
+ in optional (!unambiguousPasswordConfiguration) ''
+ The user '${user.name}' has multiple of the options
+ `hashedPassword`, `password`, `hashedPasswordFile`, `initialPassword`
+ & `initialHashedPassword` set to a non-null value.
+ The options silently discard others by the order of precedence
+ given above which can lead to surprising results. To resolve this warning,
+ set at most one of the options above to a non-`null` value.
+ '')
+ ++ builtins.filter (x: x != null) (
flip mapAttrsToList cfg.users (_: user:
# This regex matches a subset of the Modular Crypto Format (MCF)[1]
# informal standard. Since this depends largely on the OS or the
diff --git a/nixos/modules/config/vte.nix b/nixos/modules/config/vte.nix
index a969607f6e0b..48f85246560a 100644
--- a/nixos/modules/config/vte.nix
+++ b/nixos/modules/config/vte.nix
@@ -1,5 +1,3 @@
-# VTE
-
{ config, pkgs, lib, ... }:
with lib;
@@ -9,7 +7,7 @@ let
vteInitSnippet = ''
# Show current working directory in VTE terminals window title.
# Supports both bash and zsh, requires interactive shell.
- . ${pkgs.vte}/etc/profile.d/vte.sh
+ . ${pkgs.vte.override { gtkVersion = null; }}/etc/profile.d/vte.sh
'';
in
diff --git a/nixos/modules/config/xdg/portal.nix b/nixos/modules/config/xdg/portal.nix
index 07d4fa76c2e8..5aa23377f9ff 100644
--- a/nixos/modules/config/xdg/portal.nix
+++ b/nixos/modules/config/xdg/portal.nix
@@ -119,19 +119,6 @@ in
let
cfg = config.xdg.portal;
packages = [ pkgs.xdg-desktop-portal ] ++ cfg.extraPortals;
- configPackages = cfg.configPackages;
-
- joinedPortals = pkgs.buildEnv {
- name = "xdg-portals";
- paths = packages;
- pathsToLink = [ "/share/xdg-desktop-portal/portals" "/share/applications" ];
- };
-
- joinedPortalConfigs = pkgs.buildEnv {
- name = "xdg-portal-configs";
- paths = configPackages;
- pathsToLink = [ "/share/xdg-desktop-portal" ];
- };
in
mkIf cfg.enable {
warnings = lib.optional (cfg.configPackages == [ ] && cfg.config == { }) ''
@@ -158,17 +145,18 @@ in
systemd.packages = packages;
environment = {
- # fixes screen sharing on plasmawayland on non-chromium apps by linking
- # share/applications/*.desktop files
- # see https://github.com/NixOS/nixpkgs/issues/145174
- systemPackages = [ joinedPortals ];
- pathsToLink = [ "/share/applications" ];
+ systemPackages = packages ++ cfg.configPackages;
+ pathsToLink = [
+ # Portal definitions and upstream desktop environment portal configurations.
+ "/share/xdg-desktop-portal"
+ # .desktop files to register fallback icon and app name.
+ "/share/applications"
+ ];
sessionVariables = {
GTK_USE_PORTAL = mkIf cfg.gtkUsePortal "1";
NIXOS_XDG_OPEN_USE_PORTAL = mkIf cfg.xdgOpenUsePortal "1";
- XDG_DESKTOP_PORTAL_DIR = "${joinedPortals}/share/xdg-desktop-portal/portals";
- NIXOS_XDG_DESKTOP_PORTAL_CONFIG_DIR = mkIf (cfg.configPackages != [ ]) "${joinedPortalConfigs}/share/xdg-desktop-portal";
+ NIX_XDG_DESKTOP_PORTAL_DIR = "/run/current-system/sw/share/xdg-desktop-portal/portals";
};
etc = lib.concatMapAttrs
diff --git a/nixos/modules/hardware/printers.nix b/nixos/modules/hardware/printers.nix
index 846ff6f3fb4f..4fb6a192cdd2 100644
--- a/nixos/modules/hardware/printers.nix
+++ b/nixos/modules/hardware/printers.nix
@@ -2,18 +2,23 @@
with lib;
let
cfg = config.hardware.printers;
- ppdOptionsString = options: optionalString (options != {})
- (concatStringsSep " "
- (mapAttrsToList (name: value: "-o '${name}'='${value}'") options)
- );
- ensurePrinter = p: ''
- ${pkgs.cups}/bin/lpadmin -p '${p.name}' -E \
- ${optionalString (p.location != null) "-L '${p.location}'"} \
- ${optionalString (p.description != null) "-D '${p.description}'"} \
- -v '${p.deviceUri}' \
- -m '${p.model}' \
- ${ppdOptionsString p.ppdOptions}
+
+ ensurePrinter = p: let
+ args = cli.toGNUCommandLineShell {} ({
+ p = p.name;
+ v = p.deviceUri;
+ m = p.model;
+ } // optionalAttrs (p.location != null) {
+ L = p.location;
+ } // optionalAttrs (p.description != null) {
+ D = p.description;
+ } // optionalAttrs (p.ppdOptions != {}) {
+ o = mapAttrsToList (name: value: "'${name}'='${value}'") p.ppdOptions;
+ });
+ in ''
+ ${pkgs.cups}/bin/lpadmin ${args} -E
'';
+
ensureDefaultPrinter = name: ''
${pkgs.cups}/bin/lpadmin -d '${name}'
'';
diff --git a/nixos/modules/hardware/video/switcheroo-control.nix b/nixos/modules/hardware/video/switcheroo-control.nix
index 982388f8e5f4..967120d6744a 100644
--- a/nixos/modules/hardware/video/switcheroo-control.nix
+++ b/nixos/modules/hardware/video/switcheroo-control.nix
@@ -1,18 +1,19 @@
{ config, pkgs, lib, ... }:
-with lib;
let
- pkg = [ pkgs.switcheroo-control ];
cfg = config.services.switcherooControl;
in {
options.services.switcherooControl = {
- enable = mkEnableOption (lib.mdDoc "switcheroo-control, a D-Bus service to check the availability of dual-GPU");
+ enable = lib.mkEnableOption "switcheroo-control, a D-Bus service to check the availability of dual-GPU";
+ package = lib.mkPackageOption pkgs "switcheroo-control" { };
};
- config = mkIf cfg.enable {
- services.dbus.packages = pkg;
- environment.systemPackages = pkg;
- systemd.packages = pkg;
- systemd.targets.multi-user.wants = [ "switcheroo-control.service" ];
+ config = lib.mkIf cfg.enable {
+ services.dbus.packages = [ cfg.package ];
+ environment.systemPackages = [ cfg.package ];
+ systemd = {
+ packages = [ cfg.package ];
+ targets.multi-user.wants = [ "switcheroo-control.service" ];
+ };
};
}
diff --git a/nixos/modules/hardware/video/webcam/ipu6.nix b/nixos/modules/hardware/video/webcam/ipu6.nix
index c2dbdc217bd6..a7767e446bd4 100644
--- a/nixos/modules/hardware/video/webcam/ipu6.nix
+++ b/nixos/modules/hardware/video/webcam/ipu6.nix
@@ -30,7 +30,10 @@ in
ipu6-drivers
];
- hardware.firmware = [ pkgs.ipu6-camera-bins ];
+ hardware.firmware = with pkgs; [
+ ipu6-camera-bins
+ ivsc-firmware
+ ];
services.udev.extraRules = ''
SUBSYSTEM=="intel-ipu6-psys", MODE="0660", GROUP="video"
diff --git a/nixos/modules/i18n/input-method/fcitx5.nix b/nixos/modules/i18n/input-method/fcitx5.nix
index 530727f3f292..755336220520 100644
--- a/nixos/modules/i18n/input-method/fcitx5.nix
+++ b/nixos/modules/i18n/input-method/fcitx5.nix
@@ -5,7 +5,10 @@ with lib;
let
im = config.i18n.inputMethod;
cfg = im.fcitx5;
- fcitx5Package = pkgs.fcitx5-with-addons.override { inherit (cfg) addons; };
+ fcitx5Package =
+ if cfg.plasma6Support
+ then pkgs.qt6Packages.fcitx5-with-addons.override { inherit (cfg) addons; }
+ else pkgs.libsForQt5.fcitx5-with-addons.override { inherit (cfg) addons; };
settingsFormat = pkgs.formats.ini { };
in
{
@@ -27,6 +30,15 @@ in
See [Using Fcitx 5 on Wayland](https://fcitx-im.org/wiki/Using_Fcitx_5_on_Wayland).
'';
};
+ plasma6Support = mkOption {
+ type = types.bool;
+ default = config.services.desktopManager.plasma6.enable;
+ defaultText = literalExpression "config.services.desktopManager.plasma6.enable";
+ description = lib.mdDoc ''
+ Use qt6 versions of fcitx5 packages.
+ Required for configuring fcitx5 in KDE System Settings.
+ '';
+ };
quickPhrase = mkOption {
type = with types; attrsOf str;
default = { };
diff --git a/nixos/modules/image/repart-image.nix b/nixos/modules/image/repart-image.nix
index 7ac47ee32ff4..83e766268cf0 100644
--- a/nixos/modules/image/repart-image.nix
+++ b/nixos/modules/image/repart-image.nix
@@ -2,6 +2,7 @@
# NixOS module that can be imported.
{ lib
+, stdenvNoCC
, runCommand
, python3
, black
@@ -25,14 +26,18 @@
, xz
# arguments
+, name
+, version
, imageFileBasename
, compression
, fileSystems
-, partitions
+, partitionsJSON
, split
, seed
, definitionsDirectory
, sectorSize
+, mkfsEnv ? {}
+, createEmpty ? true
}:
let
@@ -71,42 +76,88 @@ let
"xz" = "xz --keep --verbose --threads=0 -${toString compression.level}";
}."${compression.algorithm}";
in
+ stdenvNoCC.mkDerivation (finalAttrs:
+ (if (version != null)
+ then { pname = name; inherit version; }
+ else { inherit name; }
+ ) // {
+ __structuredAttrs = true;
-runCommand imageFileBasename
-{
nativeBuildInputs = [
systemd
fakeroot
util-linux
+ ] ++ lib.optionals (compression.enable) [
compressionPkg
] ++ fileSystemTools;
-} ''
- amendedRepartDefinitions=$(${amendRepartDefinitions} ${partitions} ${definitionsDirectory})
- mkdir -p $out
- cd $out
+ env = mkfsEnv;
- echo "Building image with systemd-repart..."
- unshare --map-root-user fakeroot systemd-repart \
- --dry-run=no \
- --empty=create \
- --size=auto \
- --seed="${seed}" \
- --definitions="$amendedRepartDefinitions" \
- --split="${lib.boolToString split}" \
- --json=pretty \
- ${lib.optionalString (sectorSize != null) "--sector-size=${toString sectorSize}"} \
- ${imageFileBasename}.raw \
- | tee repart-output.json
+ inherit partitionsJSON definitionsDirectory;
+ # relative path to the repart definitions that are read by systemd-repart
+ finalRepartDefinitions = "repart.d";
+
+ systemdRepartFlags = [
+ "--dry-run=no"
+ "--size=auto"
+ "--seed=${seed}"
+ "--definitions=${finalAttrs.finalRepartDefinitions}"
+ "--split=${lib.boolToString split}"
+ "--json=pretty"
+ ] ++ lib.optionals createEmpty [
+ "--empty=create"
+ ] ++ lib.optionals (sectorSize != null) [
+ "--sector-size=${toString sectorSize}"
+ ];
+
+ dontUnpack = true;
+ dontConfigure = true;
+ doCheck = false;
+
+ patchPhase = ''
+ runHook prePatch
+
+ amendedRepartDefinitionsDir=$(${amendRepartDefinitions} $partitionsJSON $definitionsDirectory)
+ ln -vs $amendedRepartDefinitionsDir $finalRepartDefinitions
+
+ runHook postPatch
+ '';
+
+ buildPhase = ''
+ runHook preBuild
+
+ echo "Building image with systemd-repart..."
+ unshare --map-root-user fakeroot systemd-repart \
+ ''${systemdRepartFlags[@]} \
+ ${imageFileBasename}.raw \
+ | tee repart-output.json
+
+ runHook postBuild
+ '';
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p $out
+ ''
# Compression is implemented in the same derivation as opposed to in a
# separate derivation to allow users to save disk space. Disk images are
# already very space intensive so we want to allow users to mitigate this.
- if ${lib.boolToString compression.enable}; then
+ + lib.optionalString compression.enable
+ ''
for f in ${imageFileBasename}*; do
echo "Compressing $f with ${compression.algorithm}..."
# Keep the original file when compressing and only delete it afterwards
${compressionCommand} $f && rm $f
done
- fi
-''
+ '' + ''
+ mv -v repart-output.json ${imageFileBasename}* $out
+
+ runHook postInstall
+ '';
+
+ passthru = {
+ inherit amendRepartDefinitions;
+ };
+})
diff --git a/nixos/modules/image/repart.nix b/nixos/modules/image/repart.nix
index 6a933f0d83cc..1a43297f4b43 100644
--- a/nixos/modules/image/repart.nix
+++ b/nixos/modules/image/repart.nix
@@ -60,6 +60,11 @@ let
};
};
};
+
+ mkfsOptionsToEnv = opts: lib.mapAttrs' (fsType: options: {
+ name = "SYSTEMD_REPART_MKFS_OPTIONS_${lib.toUpper fsType}";
+ value = builtins.concatStringsSep " " options;
+ }) opts;
in
{
options.image.repart = {
@@ -183,6 +188,38 @@ in
'';
};
+ mkfsOptions = lib.mkOption {
+ type = with lib.types; attrsOf (listOf str);
+ default = {};
+ example = lib.literalExpression ''
+ {
+ vfat = [ "-S 512" "-c" ];
+ }
+ '';
+ description = lib.mdDoc ''
+ Specify extra options for created file systems. The specified options
+ are converted to individual environment variables of the format
+ `SYSTEMD_REPART_MKFS_OPTIONS_`.
+
+ See [upstream systemd documentation](https://github.com/systemd/systemd/blob/v255/docs/ENVIRONMENT.md?plain=1#L575-L577)
+ for information about the usage of these environment variables.
+
+ The example would produce the following environment variable:
+ ```
+ SYSTEMD_REPART_MKFS_OPTIONS_VFAT="-S 512 -c"
+ ```
+ '';
+ };
+
+ finalPartitions = lib.mkOption {
+ type = lib.types.attrs;
+ internal = true;
+ readOnly = true;
+ description = lib.mdDoc ''
+ Convenience option to access partitions with added closures.
+ '';
+ };
+
};
config = {
@@ -196,6 +233,16 @@ in
"zstd" = ".zst";
"xz" = ".xz";
}."${cfg.compression.algorithm}";
+
+ makeClosure = paths: pkgs.closureInfo { rootPaths = paths; };
+
+ # Add the closure of the provided Nix store paths to cfg.partitions so
+ # that amend-repart-definitions.py can read it.
+ addClosure = _name: partitionConfig: partitionConfig // (
+ lib.optionalAttrs
+ (partitionConfig.storePaths or [ ] != [ ])
+ { closure = "${makeClosure partitionConfig.storePaths}/store-paths"; }
+ );
in
{
name = lib.mkIf (config.system.image.id != null) (lib.mkOptionDefault config.system.image.id);
@@ -211,6 +258,8 @@ in
"xz" = 3;
}."${cfg.compression.algorithm}";
};
+
+ finalPartitions = lib.mapAttrs addClosure cfg.partitions;
};
system.build.image =
@@ -219,34 +268,25 @@ in
(f: f != null)
(lib.mapAttrsToList (_n: v: v.repartConfig.Format or null) cfg.partitions);
- makeClosure = paths: pkgs.closureInfo { rootPaths = paths; };
-
- # Add the closure of the provided Nix store paths to cfg.partitions so
- # that amend-repart-definitions.py can read it.
- addClosure = _name: partitionConfig: partitionConfig // (
- lib.optionalAttrs
- (partitionConfig.storePaths or [ ] != [ ])
- { closure = "${makeClosure partitionConfig.storePaths}/store-paths"; }
- );
-
- finalPartitions = lib.mapAttrs addClosure cfg.partitions;
format = pkgs.formats.ini { };
definitionsDirectory = utils.systemdUtils.lib.definitions
"repart.d"
format
- (lib.mapAttrs (_n: v: { Partition = v.repartConfig; }) finalPartitions);
+ (lib.mapAttrs (_n: v: { Partition = v.repartConfig; }) cfg.finalPartitions);
- partitions = pkgs.writeText "partitions.json" (builtins.toJSON finalPartitions);
+ partitionsJSON = pkgs.writeText "partitions.json" (builtins.toJSON cfg.finalPartitions);
+
+ mkfsEnv = mkfsOptionsToEnv cfg.mkfsOptions;
in
pkgs.callPackage ./repart-image.nix {
systemd = cfg.package;
- inherit (cfg) imageFileBasename compression split seed sectorSize;
- inherit fileSystems definitionsDirectory partitions;
+ inherit (cfg) name version imageFileBasename compression split seed sectorSize;
+ inherit fileSystems definitionsDirectory partitionsJSON mkfsEnv;
};
- meta.maintainers = with lib.maintainers; [ nikstur ];
+ meta.maintainers = with lib.maintainers; [ nikstur willibutz ];
};
}
diff --git a/nixos/modules/installer/cd-dvd/installation-cd-graphical-calamares-plasma6.nix b/nixos/modules/installer/cd-dvd/installation-cd-graphical-calamares-plasma6.nix
new file mode 100644
index 000000000000..11118db3aae2
--- /dev/null
+++ b/nixos/modules/installer/cd-dvd/installation-cd-graphical-calamares-plasma6.nix
@@ -0,0 +1,46 @@
+# This module defines a NixOS installation CD that contains Plasma 6.
+
+{ pkgs, ... }:
+
+{
+ imports = [ ./installation-cd-graphical-calamares.nix ];
+
+ isoImage.edition = "plasma6";
+
+ services.xserver = {
+ desktopManager.plasma6.enable = true;
+
+ # Automatically login as nixos.
+ displayManager = {
+ sddm.enable = true;
+ autoLogin = {
+ enable = true;
+ user = "nixos";
+ };
+ };
+ };
+
+ environment.systemPackages = [
+ # FIXME: using Qt5 builds of Maliit as upstream has not ported to Qt6 yet
+ pkgs.maliit-framework
+ pkgs.maliit-keyboard
+ ];
+
+ system.activationScripts.installerDesktop = let
+
+ # Comes from documentation.nix when xserver and nixos.enable are true.
+ manualDesktopFile = "/run/current-system/sw/share/applications/nixos-manual.desktop";
+
+ homeDir = "/home/nixos/";
+ desktopDir = homeDir + "Desktop/";
+
+ in ''
+ mkdir -p ${desktopDir}
+ chown nixos ${homeDir} ${desktopDir}
+
+ ln -sfT ${manualDesktopFile} ${desktopDir + "nixos-manual.desktop"}
+ ln -sfT ${pkgs.gparted}/share/applications/gparted.desktop ${desktopDir + "gparted.desktop"}
+ ln -sfT ${pkgs.calamares-nixos}/share/applications/io.calamares.calamares.desktop ${desktopDir + "io.calamares.calamares.desktop"}
+ '';
+
+}
diff --git a/nixos/modules/installer/cd-dvd/installation-cd-minimal-new-kernel-no-zfs.nix b/nixos/modules/installer/cd-dvd/installation-cd-minimal-new-kernel-no-zfs.nix
index 9d09cdbe0206..fc3cb08bdbbb 100644
--- a/nixos/modules/installer/cd-dvd/installation-cd-minimal-new-kernel-no-zfs.nix
+++ b/nixos/modules/installer/cd-dvd/installation-cd-minimal-new-kernel-no-zfs.nix
@@ -1,15 +1,7 @@
-{ pkgs, ... }:
+{ lib, ... }:
{
imports = [ ./installation-cd-minimal-new-kernel.nix ];
- # Makes `availableOn` fail for zfs, see .
- # This is a workaround since we cannot remove the `"zfs"` string from `supportedFilesystems`.
- # The proper fix would be to make `supportedFilesystems` an attrset with true/false which we
- # could then `lib.mkForce false`
- nixpkgs.overlays = [(final: super: {
- zfs = super.zfs.overrideAttrs(_: {
- meta.platforms = [];
- });
- })];
+ boot.supportedFilesystems.zfs = lib.mkForce false;
}
diff --git a/nixos/modules/installer/cd-dvd/iso-image.nix b/nixos/modules/installer/cd-dvd/iso-image.nix
index 6adb94e09aff..f5b6af3a6b7f 100644
--- a/nixos/modules/installer/cd-dvd/iso-image.nix
+++ b/nixos/modules/installer/cd-dvd/iso-image.nix
@@ -811,12 +811,6 @@ in
optional config.isoImage.includeSystemBuildDependencies
config.system.build.toplevel.drvPath;
- # Create the squashfs image that contains the Nix store.
- system.build.squashfsStore = pkgs.callPackage ../../../lib/make-squashfs.nix {
- storeContents = config.isoImage.storeContents;
- comp = config.isoImage.squashfsCompression;
- };
-
# Individual files to be included on the CD, outside of the Nix
# store on the CD.
isoImage.contents =
@@ -827,9 +821,6 @@ in
{ source = config.system.build.initialRamdisk + "/" + config.system.boot.loader.initrdFile;
target = "/boot/" + config.system.boot.loader.initrdFile;
}
- { source = config.system.build.squashfsStore;
- target = "/nix-store.squashfs";
- }
{ source = pkgs.writeText "version" config.system.nixos.label;
target = "/version.txt";
}
@@ -878,6 +869,8 @@ in
bootable = config.isoImage.makeBiosBootable;
bootImage = "/isolinux/isolinux.bin";
syslinux = if config.isoImage.makeBiosBootable then pkgs.syslinux else null;
+ squashfsContents = config.isoImage.storeContents;
+ squashfsCompression = config.isoImage.squashfsCompression;
} // optionalAttrs (config.isoImage.makeUsbBootable && config.isoImage.makeBiosBootable) {
usbBootable = true;
isohybridMbrImage = "${pkgs.syslinux}/share/syslinux/isohdpfx.bin";
diff --git a/nixos/modules/installer/netboot/netboot.nix b/nixos/modules/installer/netboot/netboot.nix
index a50f22cbe471..028a2d74041e 100644
--- a/nixos/modules/installer/netboot/netboot.nix
+++ b/nixos/modules/installer/netboot/netboot.nix
@@ -62,19 +62,12 @@ with lib;
};
fileSystems."/nix/store" = mkImageMediaOverride
- { fsType = "overlay";
- device = "overlay";
- options = [
- "lowerdir=/nix/.ro-store"
- "upperdir=/nix/.rw-store/store"
- "workdir=/nix/.rw-store/work"
- ];
-
- depends = [
- "/nix/.ro-store"
- "/nix/.rw-store/store"
- "/nix/.rw-store/work"
- ];
+ { overlay = {
+ lowerdir = [ "/nix/.ro-store" ];
+ upperdir = "/nix/.rw-store/store";
+ workdir = "/nix/.rw-store/work";
+ };
+ neededForBoot = true;
};
boot.initrd.availableKernelModules = [ "squashfs" "overlay" ];
diff --git a/nixos/modules/installer/sd-card/sd-image-aarch64-new-kernel-no-zfs-installer.nix b/nixos/modules/installer/sd-card/sd-image-aarch64-new-kernel-no-zfs-installer.nix
index 0e5055960294..da5410057887 100644
--- a/nixos/modules/installer/sd-card/sd-image-aarch64-new-kernel-no-zfs-installer.nix
+++ b/nixos/modules/installer/sd-card/sd-image-aarch64-new-kernel-no-zfs-installer.nix
@@ -1,15 +1,7 @@
-{ pkgs, ... }:
+{ lib, ... }:
{
imports = [ ./sd-image-aarch64-new-kernel-installer.nix ];
- # Makes `availableOn` fail for zfs, see .
- # This is a workaround since we cannot remove the `"zfs"` string from `supportedFilesystems`.
- # The proper fix would be to make `supportedFilesystems` an attrset with true/false which we
- # could then `lib.mkForce false`
- nixpkgs.overlays = [(final: super: {
- zfs = super.zfs.overrideAttrs(_: {
- meta.platforms = [];
- });
- })];
+ boot.supportedFilesystems.zfs = lib.mkForce false;
}
diff --git a/nixos/modules/misc/mandoc.nix b/nixos/modules/misc/mandoc.nix
index 73646a60aabb..706e2ac2c283 100644
--- a/nixos/modules/misc/mandoc.nix
+++ b/nixos/modules/misc/mandoc.nix
@@ -17,6 +17,8 @@ let
)
output
);
+
+ makeLeadingSlashes = map (path: if builtins.substring 0 1 path != "/" then "/${path}" else path);
in
{
meta.maintainers = [ lib.maintainers.sternenseemann ];
@@ -29,6 +31,7 @@ in
type = with lib.types; listOf str;
default = [ "share/man" ];
example = lib.literalExpression "[ \"share/man\" \"share/man/fr\" ]";
+ apply = makeLeadingSlashes;
description = ''
Change the paths included in the MANPATH environment variable,
i. e. the directories where {manpage}`man(1)`
@@ -41,6 +44,28 @@ in
'';
};
+ cachePath = lib.mkOption {
+ type = with lib.types; listOf str;
+ default = cfg.manPath;
+ defaultText = lib.literalExpression "config.documentation.man.mandoc.manPath";
+ example = lib.literalExpression "[ \"share/man\" \"share/man/fr\" ]";
+ apply = makeLeadingSlashes;
+ description = ''
+ Change the paths where mandoc {manpage}`makewhatis(8)`generates the
+ manual page index caches. {option}`documentation.man.generateCaches`
+ should be enabled to allow cache generation. This list should only
+ include the paths to manpages installed in the system configuration,
+ i. e. /run/current-system/sw/share/man. {manpage}`makewhatis(8)`
+ creates a database in each directory using the files
+ `mansection/[arch/]title.section` and `catsection/[arch/]title.0`
+ in it. If a directory contains no manual pages, no database is
+ created in that directory.
+ This option only needs to be set manually if extra paths should be
+ indexed or {option}`documentation.man.manPath` contains paths that
+ can't be indexed.
+ '';
+ };
+
package = lib.mkOption {
type = lib.types.package;
default = pkgs.mandoc;
@@ -178,19 +203,14 @@ in
# TODO(@sternenseemman): fix symlinked directories not getting indexed,
# see: https://inbox.vuxu.org/mandoc-tech/20210906171231.GF83680@athene.usta.de/T/#e85f773c1781e3fef85562b2794f9cad7b2909a3c
extraSetup = lib.mkIf config.documentation.man.generateCaches ''
- for man_path in ${
- lib.concatMapStringsSep " " (path:
- "$out/" + lib.escapeShellArg path
- ) cfg.manPath} ${lib.concatMapStringsSep " " (path:
- lib.escapeShellArg path) cfg.settings.manpath
- }
+ for man_path in ${lib.concatMapStringsSep " " (path: "$out" + lib.escapeShellArg path) cfg.cachePath}
do
[[ -d "$man_path" ]] && ${makewhatis} -T utf8 $man_path
done
'';
# tell mandoc the paths containing man pages
- profileRelativeSessionVariables."MANPATH" = map (path: if builtins.substring 0 1 path != "/" then "/${path}" else path) cfg.manPath;
+ profileRelativeSessionVariables."MANPATH" = lib.mkIf (cfg.manPath != [ ]) cfg.manPath;
};
};
}
diff --git a/nixos/modules/misc/nixpkgs-flake.nix b/nixos/modules/misc/nixpkgs-flake.nix
new file mode 100644
index 000000000000..8bfe05ca1994
--- /dev/null
+++ b/nixos/modules/misc/nixpkgs-flake.nix
@@ -0,0 +1,105 @@
+{ config, options, lib, pkgs, ... }:
+
+with lib;
+
+let
+ cfg = config.nixpkgs.flake;
+in
+{
+ options.nixpkgs.flake = {
+ source = mkOption {
+ # In newer Nix versions, particularly with lazy trees, outPath of
+ # flakes becomes a Nix-language path object. We deliberately allow this
+ # to gracefully come through the interface in discussion with @roberth.
+ #
+ # See: https://github.com/NixOS/nixpkgs/pull/278522#discussion_r1460292639
+ type = types.nullOr (types.either types.str types.path);
+
+ default = null;
+ defaultText = "if (using nixpkgsFlake.lib.nixosSystem) then self.outPath else null";
+
+ example = ''builtins.fetchTarball { name = "source"; sha256 = "${lib.fakeHash}"; url = "https://github.com/nixos/nixpkgs/archive/somecommit.tar.gz"; }'';
+
+ description = mdDoc ''
+ The path to the nixpkgs sources used to build the system. This is automatically set up to be
+ the store path of the nixpkgs flake used to build the system if using
+ `nixpkgs.lib.nixosSystem`, and is otherwise null by default.
+
+ This can also be optionally set if the NixOS system is not built with a flake but still uses
+ pinned sources: set this to the store path for the nixpkgs sources used to build the system,
+ as may be obtained by `builtins.fetchTarball`, for example.
+
+ Note: the name of the store path must be "source" due to
+ .
+ '';
+ };
+
+ setNixPath = mkOption {
+ type = types.bool;
+
+ default = cfg.source != null;
+ defaultText = "config.nixpkgs.flake.source != null";
+
+ description = mdDoc ''
+ Whether to set {env}`NIX_PATH` to include `nixpkgs=flake:nixpkgs` such that ``
+ lookups receive the version of nixpkgs that the system was built with, in concert with
+ {option}`nixpkgs.flake.setFlakeRegistry`.
+
+ This is on by default for NixOS configurations built with flakes.
+
+ This makes {command}`nix-build '' -A hello` work out of the box on flake systems.
+
+ Note that this option makes the NixOS closure depend on the nixpkgs sources, which may add
+ undesired closure size if the system will not have any nix commands run on it.
+ '';
+ };
+
+ setFlakeRegistry = mkOption {
+ type = types.bool;
+
+ default = cfg.source != null;
+ defaultText = "config.nixpkgs.flake.source != null";
+
+ description = mdDoc ''
+ Whether to pin nixpkgs in the system-wide flake registry (`/etc/nix/registry.json`) to the
+ store path of the sources of nixpkgs used to build the NixOS system.
+
+ This is on by default for NixOS configurations built with flakes.
+
+ This option makes {command}`nix run nixpkgs#hello` reuse dependencies from the system, avoid
+ refetching nixpkgs, and have a consistent result every time.
+
+ Note that this option makes the NixOS closure depend on the nixpkgs sources, which may add
+ undesired closure size if the system will not have any nix commands run on it.
+ '';
+ };
+ };
+
+ config = mkIf (cfg.source != null) (mkMerge [
+ {
+ assertions = [
+ {
+ assertion = cfg.setNixPath -> cfg.setFlakeRegistry;
+ message = ''
+ Setting `nixpkgs.flake.setNixPath` requires that `nixpkgs.flake.setFlakeRegistry` also
+ be set, since it is implemented in terms of indirection through the flake registry.
+ '';
+ }
+ ];
+ }
+ (mkIf cfg.setFlakeRegistry {
+ nix.registry.nixpkgs.to = mkDefault {
+ type = "path";
+ path = cfg.source;
+ };
+ })
+ (mkIf cfg.setNixPath {
+ # N.B. This does not include nixos-config in NIX_PATH unlike modules/config/nix-channel.nix
+ # because we would need some kind of evil shim taking the *calling* flake's self path,
+ # perhaps, to ever make that work (in order to know where the Nix expr for the system came
+ # from and how to call it).
+ nix.nixPath = mkDefault ([ "nixpkgs=flake:nixpkgs" ]
+ ++ optional config.nix.channel.enable "/nix/var/nix/profiles/per-user/root/channels");
+ })
+ ]);
+}
diff --git a/nixos/modules/misc/nixpkgs.nix b/nixos/modules/misc/nixpkgs.nix
index da321a923449..10f800cd741a 100644
--- a/nixos/modules/misc/nixpkgs.nix
+++ b/nixos/modules/misc/nixpkgs.nix
@@ -208,7 +208,11 @@ in
example = { system = "x86_64-linux"; };
# Make sure that the final value has all fields for sake of other modules
# referring to this.
- apply = lib.systems.elaborate;
+ apply = inputBuildPlatform:
+ let elaborated = lib.systems.elaborate inputBuildPlatform;
+ in if lib.systems.equals elaborated cfg.hostPlatform
+ then cfg.hostPlatform # make identical, so that `==` equality works; see https://github.com/NixOS/nixpkgs/issues/278001
+ else elaborated;
defaultText = literalExpression
''config.nixpkgs.hostPlatform'';
description = lib.mdDoc ''
diff --git a/nixos/modules/misc/nixpkgs/test.nix b/nixos/modules/misc/nixpkgs/test.nix
index 0536cfc9624a..be9a88a07788 100644
--- a/nixos/modules/misc/nixpkgs/test.nix
+++ b/nixos/modules/misc/nixpkgs/test.nix
@@ -12,6 +12,10 @@ let
nixpkgs.hostPlatform = "aarch64-linux";
nixpkgs.buildPlatform = "aarch64-darwin";
};
+ withSameHostAndBuild = eval {
+ nixpkgs.hostPlatform = "aarch64-linux";
+ nixpkgs.buildPlatform = "aarch64-linux";
+ };
ambiguous = {
_file = "ambiguous.nix";
nixpkgs.hostPlatform = "aarch64-linux";
@@ -81,6 +85,8 @@ lib.recurseIntoAttrs {
assert withHost._module.args.pkgs.stdenv.buildPlatform.system == "aarch64-linux";
assert withHostAndBuild._module.args.pkgs.stdenv.hostPlatform.system == "aarch64-linux";
assert withHostAndBuild._module.args.pkgs.stdenv.buildPlatform.system == "aarch64-darwin";
+ assert withSameHostAndBuild.config.nixpkgs.buildPlatform == withSameHostAndBuild.config.nixpkgs.hostPlatform;
+ assert withSameHostAndBuild._module.args.pkgs.stdenv.buildPlatform == withSameHostAndBuild._module.args.pkgs.stdenv.hostPlatform;
assert builtins.trace (lib.head (getErrors ambiguous))
getErrors ambiguous ==
[''
diff --git a/nixos/modules/module-list.nix b/nixos/modules/module-list.nix
index ec022713e12e..d17e638a0883 100644
--- a/nixos/modules/module-list.nix
+++ b/nixos/modules/module-list.nix
@@ -133,6 +133,7 @@
./misc/meta.nix
./misc/nixops-autoluks.nix
./misc/nixpkgs.nix
+ ./misc/nixpkgs-flake.nix
./misc/passthru.nix
./misc/version.nix
./misc/wordlist.nix
@@ -162,6 +163,7 @@
./programs/clash-verge.nix
./programs/cnping.nix
./programs/command-not-found/command-not-found.nix
+ ./programs/coolercontrol.nix
./programs/criu.nix
./programs/darling.nix
./programs/dconf.nix
@@ -201,6 +203,7 @@
./programs/i3lock.nix
./programs/iotop.nix
./programs/java.nix
+ ./programs/joycond-cemuhook.nix
./programs/k3b.nix
./programs/k40-whisperer.nix
./programs/kbdlight.nix
@@ -241,6 +244,7 @@
./programs/proxychains.nix
./programs/qdmr.nix
./programs/qt5ct.nix
+ ./programs/quark-goldleaf.nix
./programs/regreet.nix
./programs/rog-control-center.nix
./programs/rust-motd.nix
@@ -510,6 +514,7 @@
./services/editors/infinoted.nix
./services/finance/odoo.nix
./services/games/archisteamfarm.nix
+ ./services/games/armagetronad.nix
./services/games/crossfire-server.nix
./services/games/deliantra-server.nix
./services/games/factorio.nix
@@ -545,6 +550,8 @@
./services/hardware/kanata.nix
./services/hardware/lcd.nix
./services/hardware/lirc.nix
+ ./services/hardware/nvidia-container-toolkit-cdi-generator
+ ./services/hardware/monado.nix
./services/hardware/nvidia-optimus.nix
./services/hardware/openrgb.nix
./services/hardware/pcscd.nix
@@ -580,6 +587,7 @@
./services/home-automation/govee2mqtt.nix
./services/home-automation/home-assistant.nix
./services/home-automation/homeassistant-satellite.nix
+ ./services/home-automation/matter-server.nix
./services/home-automation/zigbee2mqtt.nix
./services/home-automation/zwave-js.nix
./services/logging/SystemdJournal2Gelf.nix
@@ -713,6 +721,7 @@
./services/misc/libreddit.nix
./services/misc/lidarr.nix
./services/misc/lifecycled.nix
+ ./services/misc/llama-cpp.nix
./services/misc/logkeys.nix
./services/misc/mame.nix
./services/misc/mbpfan.nix
@@ -775,12 +784,14 @@
./services/misc/svnserve.nix
./services/misc/synergy.nix
./services/misc/sysprof.nix
+ ./services/misc/tabby.nix
./services/misc/tandoor-recipes.nix
./services/misc/taskserver
./services/misc/tautulli.nix
./services/misc/tiddlywiki.nix
./services/misc/tp-auto-kbbl.nix
./services/misc/tuxclocker.nix
+ ./services/misc/transfer-sh.nix
./services/misc/tzupdate.nix
./services/misc/uhub.nix
./services/misc/weechat.nix
@@ -839,6 +850,7 @@
./services/monitoring/riemann.nix
./services/monitoring/rustdesk-server.nix
./services/monitoring/scollector.nix
+ ./services/monitoring/scrutiny.nix
./services/monitoring/smartd.nix
./services/monitoring/snmpd.nix
./services/monitoring/statsd.nix
@@ -897,7 +909,6 @@
./services/networking/autossh.nix
./services/networking/avahi-daemon.nix
./services/networking/babeld.nix
- ./services/networking/bee-clef.nix
./services/networking/bee.nix
./services/networking/biboumi.nix
./services/networking/bind.nix
@@ -962,6 +973,7 @@
./services/networking/gns3-server.nix
./services/networking/gnunet.nix
./services/networking/go-autoconfig.nix
+ ./services/networking/go-camo.nix
./services/networking/go-neb.nix
./services/networking/go-shadowsocks2.nix
./services/networking/gobgpd.nix
@@ -1007,6 +1019,7 @@
./services/networking/lxd-image-server.nix
./services/networking/magic-wormhole-mailbox-server.nix
./services/networking/matterbridge.nix
+ ./services/networking/mihomo.nix
./services/networking/minidlna.nix
./services/networking/miniupnpd.nix
./services/networking/miredo.nix
@@ -1023,6 +1036,7 @@
./services/networking/multipath.nix
./services/networking/murmur.nix
./services/networking/mxisd.nix
+ ./services/networking/mycelium.nix
./services/networking/namecoind.nix
./services/networking/nar-serve.nix
./services/networking/nat.nix
@@ -1319,6 +1333,7 @@
./services/web-apps/mastodon.nix
./services/web-apps/matomo.nix
./services/web-apps/mattermost.nix
+ ./services/web-apps/mealie.nix
./services/web-apps/mediawiki.nix
./services/web-apps/meme-bingo-web.nix
./services/web-apps/microbin.nix
@@ -1346,6 +1361,7 @@
./services/web-apps/plausible.nix
./services/web-apps/powerdns-admin.nix
./services/web-apps/pretalx.nix
+ ./services/web-apps/pretix.nix
./services/web-apps/prosody-filer.nix
./services/web-apps/rimgo.nix
./services/web-apps/sftpgo.nix
@@ -1400,7 +1416,6 @@
./services/web-servers/unit/default.nix
./services/web-servers/uwsgi.nix
./services/web-servers/varnish/default.nix
- ./services/web-servers/zope2.nix
./services/x11/clight.nix
./services/x11/colord.nix
./services/x11/desktop-managers/default.nix
diff --git a/nixos/modules/programs/_1password-gui.nix b/nixos/modules/programs/_1password-gui.nix
index 83ef6037fb5a..eb2effee4326 100644
--- a/nixos/modules/programs/_1password-gui.nix
+++ b/nixos/modules/programs/_1password-gui.nix
@@ -51,14 +51,6 @@ in
setuid = false;
setgid = true;
};
-
- "1Password-KeyringHelper" = {
- source = "${package}/share/1password/1Password-KeyringHelper";
- owner = "root";
- group = "onepassword";
- setuid = true;
- setgid = true;
- };
};
};
diff --git a/nixos/modules/programs/ccache.nix b/nixos/modules/programs/ccache.nix
index 567c853e8c7d..7972b2ac4a56 100644
--- a/nixos/modules/programs/ccache.nix
+++ b/nixos/modules/programs/ccache.nix
@@ -1,35 +1,43 @@
{ config, pkgs, lib, ... }:
-with lib;
let
cfg = config.programs.ccache;
in {
options.programs.ccache = {
# host configuration
- enable = mkEnableOption (lib.mdDoc "CCache");
- cacheDir = mkOption {
- type = types.path;
+ enable = lib.mkEnableOption (lib.mdDoc "CCache");
+ cacheDir = lib.mkOption {
+ type = lib.types.path;
description = lib.mdDoc "CCache directory";
default = "/var/cache/ccache";
};
# target configuration
- packageNames = mkOption {
- type = types.listOf types.str;
+ packageNames = lib.mkOption {
+ type = lib.types.listOf lib.types.str;
description = lib.mdDoc "Nix top-level packages to be compiled using CCache";
default = [];
example = [ "wxGTK32" "ffmpeg" "libav_all" ];
};
+ owner = lib.mkOption {
+ type = lib.types.str;
+ default = "root";
+ description = lib.mdDoc "Owner of CCache directory";
+ };
+ group = lib.mkOption {
+ type = lib.types.str;
+ default = "nixbld";
+ description = lib.mdDoc "Group owner of CCache directory";
+ };
};
- config = mkMerge [
+ config = lib.mkMerge [
# host configuration
- (mkIf cfg.enable {
- systemd.tmpfiles.rules = [ "d ${cfg.cacheDir} 0770 root nixbld -" ];
+ (lib.mkIf cfg.enable {
+ systemd.tmpfiles.rules = [ "d ${cfg.cacheDir} 0770 ${cfg.owner} ${cfg.group} -" ];
# "nix-ccache --show-stats" and "nix-ccache --clear"
security.wrappers.nix-ccache = {
- owner = "root";
- group = "nixbld";
+ inherit (cfg) owner group;
setuid = false;
setgid = true;
source = pkgs.writeScript "nix-ccache.pl" ''
@@ -50,9 +58,9 @@ in {
})
# target configuration
- (mkIf (cfg.packageNames != []) {
+ (lib.mkIf (cfg.packageNames != []) {
nixpkgs.overlays = [
- (self: super: genAttrs cfg.packageNames (pn: super.${pn}.override { stdenv = builtins.trace "with ccache: ${pn}" self.ccacheStdenv; }))
+ (self: super: lib.genAttrs cfg.packageNames (pn: super.${pn}.override { stdenv = builtins.trace "with ccache: ${pn}" self.ccacheStdenv; }))
(self: super: {
ccacheWrapper = super.ccacheWrapper.override {
@@ -65,7 +73,7 @@ in {
echo "Directory '$CCACHE_DIR' does not exist"
echo "Please create it with:"
echo " sudo mkdir -m0770 '$CCACHE_DIR'"
- echo " sudo chown root:nixbld '$CCACHE_DIR'"
+ echo " sudo chown ${cfg.owner}:${cfg.group} '$CCACHE_DIR'"
echo "====="
exit 1
fi
diff --git a/nixos/modules/programs/chromium.nix b/nixos/modules/programs/chromium.nix
index 45a9e9e2a689..5e8983730048 100644
--- a/nixos/modules/programs/chromium.nix
+++ b/nixos/modules/programs/chromium.nix
@@ -98,6 +98,24 @@ in
}
'';
};
+
+ initialPrefs = mkOption {
+ type = types.attrs;
+ description = lib.mdDoc ''
+ Initial preferences are used to configure the browser for the first run.
+ Unlike {option}`programs.chromium.extraOpts`, initialPrefs can be changed by users in the browser settings.
+ More information can be found in the Chromium documentation:
+
+ '';
+ default = {};
+ example = literalExpression ''
+ {
+ "first_run_tabs" = [
+ "https://nixos.org/"
+ ];
+ }
+ '';
+ };
};
};
@@ -110,6 +128,7 @@ in
{ source = "${cfg.plasmaBrowserIntegrationPackage}/etc/chromium/native-messaging-hosts/org.kde.plasma.browser_integration.json"; };
"chromium/policies/managed/default.json" = lib.mkIf (defaultProfile != {}) { text = builtins.toJSON defaultProfile; };
"chromium/policies/managed/extra.json" = lib.mkIf (cfg.extraOpts != {}) { text = builtins.toJSON cfg.extraOpts; };
+ "chromium/initial_preferences" = lib.mkIf (cfg.initialPrefs != {}) { text = builtins.toJSON cfg.initialPrefs; };
# for google-chrome https://www.chromium.org/administrators/linux-quick-start
"opt/chrome/native-messaging-hosts/org.kde.plasma.browser_integration.json" = lib.mkIf cfg.enablePlasmaBrowserIntegration
{ source = "${cfg.plasmaBrowserIntegrationPackage}/etc/opt/chrome/native-messaging-hosts/org.kde.plasma.browser_integration.json"; };
diff --git a/nixos/modules/programs/clash-verge.nix b/nixos/modules/programs/clash-verge.nix
index 57a1c0377edb..e1afafa7cadc 100644
--- a/nixos/modules/programs/clash-verge.nix
+++ b/nixos/modules/programs/clash-verge.nix
@@ -3,6 +3,7 @@
{
options.programs.clash-verge = {
enable = lib.mkEnableOption (lib.mdDoc "Clash Verge");
+ package = lib.mkPackageOption pkgs "clash-verge" {};
autoStart = lib.mkEnableOption (lib.mdDoc "Clash Verge auto launch");
tunMode = lib.mkEnableOption (lib.mdDoc "Clash Verge TUN mode");
};
@@ -14,10 +15,10 @@
lib.mkIf cfg.enable {
environment.systemPackages = [
- pkgs.clash-verge
+ cfg.package
(lib.mkIf cfg.autoStart (pkgs.makeAutostartItem {
name = "clash-verge";
- package = pkgs.clash-verge;
+ package = cfg.package;
}))
];
@@ -25,7 +26,7 @@
owner = "root";
group = "root";
capabilities = "cap_net_bind_service,cap_net_admin=+ep";
- source = "${lib.getExe pkgs.clash-verge}";
+ source = "${lib.getExe cfg.package}";
};
};
diff --git a/nixos/modules/programs/coolercontrol.nix b/nixos/modules/programs/coolercontrol.nix
new file mode 100644
index 000000000000..6e7299ad16b7
--- /dev/null
+++ b/nixos/modules/programs/coolercontrol.nix
@@ -0,0 +1,37 @@
+{ config
+, lib
+, pkgs
+, ...
+}:
+
+let
+ cfg = config.programs.coolercontrol;
+in
+{
+ ##### interface
+ options = {
+ programs.coolercontrol.enable = lib.mkEnableOption (lib.mdDoc "CoolerControl GUI & its background services");
+ };
+
+ ##### implementation
+ config = lib.mkIf cfg.enable {
+ environment.systemPackages = with pkgs.coolercontrol; [
+ coolercontrol-gui
+ ];
+
+ systemd = {
+ packages = with pkgs.coolercontrol; [
+ coolercontrol-liqctld
+ coolercontrold
+ ];
+
+ # https://github.com/NixOS/nixpkgs/issues/81138
+ services = {
+ coolercontrol-liqctld.wantedBy = [ "multi-user.target" ];
+ coolercontrold.wantedBy = [ "multi-user.target" ];
+ };
+ };
+ };
+
+ meta.maintainers = with lib.maintainers; [ OPNA2608 codifryed ];
+}
diff --git a/nixos/modules/programs/gnupg.nix b/nixos/modules/programs/gnupg.nix
index 8f82de033666..66be1f247fbd 100644
--- a/nixos/modules/programs/gnupg.nix
+++ b/nixos/modules/programs/gnupg.nix
@@ -1,8 +1,7 @@
{ config, lib, pkgs, ... }:
-with lib;
-
let
+ inherit (lib) mkRemovedOptionModule mkOption mkPackageOption types mkIf optionalString;
cfg = config.programs.gnupg;
@@ -15,6 +14,7 @@ let
defaultPinentryFlavor =
if xserverCfg.desktopManager.lxqt.enable
|| xserverCfg.desktopManager.plasma5.enable
+ || xserverCfg.desktopManager.plasma6.enable
|| xserverCfg.desktopManager.deepin.enable then
"qt"
else if xserverCfg.desktopManager.xfce.enable then
@@ -25,8 +25,10 @@ let
"curses";
in
-
{
+ imports = [
+ (mkRemovedOptionModule [ "programs" "gnupg" "agent" "pinentryFlavor" ] "Use programs.gnupg.agent.pinentryPackage instead")
+ ];
options.programs.gnupg = {
package = mkPackageOption pkgs "gnupg" { };
@@ -65,17 +67,17 @@ in
'';
};
- agent.pinentryFlavor = mkOption {
- type = types.nullOr (types.enum pkgs.pinentry.flavors);
- example = "gnome3";
- default = defaultPinentryFlavor;
- defaultText = literalMD ''matching the configured desktop environment'';
+ agent.pinentryPackage = mkOption {
+ type = types.nullOr types.package;
+ example = lib.literalMD "pkgs.pinentry-gnome3";
+ default = pkgs.pinentry-curses;
+ defaultText = lib.literalMD "matching the configured desktop environment or `pkgs.pinentry-curses`";
description = lib.mdDoc ''
- Which pinentry interface to use. If not null, the path to the
- pinentry binary will be set in /etc/gnupg/gpg-agent.conf.
- If not set at all, it'll pick an appropriate flavor depending on the
- system configuration (qt flavor for lxqt and plasma5, gtk2 for xfce
- 4.12, gnome3 on all other systems with X enabled, ncurses otherwise).
+ Which pinentry package to use. The path to the mainProgram as defined in
+ the package's meta attriutes will be set in /etc/gnupg/gpg-agent.conf.
+ If not set by the user, it'll pick an appropriate flavor depending on the
+ system configuration (qt flavor for lxqt and plasma5, gtk2 for xfce,
+ gnome3 on all other systems with X enabled, curses otherwise).
'';
};
@@ -101,9 +103,8 @@ in
};
config = mkIf cfg.agent.enable {
- programs.gnupg.agent.settings = {
- pinentry-program = lib.mkIf (cfg.agent.pinentryFlavor != null)
- "${pkgs.pinentry.${cfg.agent.pinentryFlavor}}/bin/pinentry";
+ programs.gnupg.agent.settings = mkIf (cfg.agent.pinentryPackage != null) {
+ pinentry-program = lib.getExe cfg.agent.pinentryPackage;
};
environment.etc."gnupg/gpg-agent.conf".source =
@@ -206,9 +207,9 @@ in
wantedBy = [ "sockets.target" ];
};
- services.dbus.packages = mkIf (cfg.agent.pinentryFlavor == "gnome3") [ pkgs.gcr ];
+ services.dbus.packages = mkIf (lib.elem "gnome3" (cfg.agent.pinentryPackage.flavors or [])) [ pkgs.gcr ];
- environment.systemPackages = with pkgs; [ cfg.package ];
+ environment.systemPackages = [ cfg.package ];
environment.interactiveShellInit = ''
# Bind gpg-agent to this TTY if gpg commands are used.
@@ -229,12 +230,10 @@ in
'';
assertions = [
- { assertion = cfg.agent.enableSSHSupport -> !config.programs.ssh.startAgent;
+ {
+ assertion = cfg.agent.enableSSHSupport -> !config.programs.ssh.startAgent;
message = "You can't use ssh-agent and GnuPG agent with SSH support enabled at the same time!";
}
];
};
-
- # uses attributes of the linked package
- meta.buildDocsInSandbox = false;
}
diff --git a/nixos/modules/programs/kdeconnect.nix b/nixos/modules/programs/kdeconnect.nix
index a16fad03eefe..8cdf1eb4e645 100644
--- a/nixos/modules/programs/kdeconnect.nix
+++ b/nixos/modules/programs/kdeconnect.nix
@@ -20,7 +20,10 @@ with lib;
cfg = config.programs.kdeconnect;
in
mkIf cfg.enable {
- environment.systemPackages = [ cfg.package ];
+ environment.systemPackages = [
+ cfg.package
+ pkgs.sshfs
+ ];
networking.firewall = rec {
allowedTCPPortRanges = [ { from = 1714; to = 1764; } ];
allowedUDPPortRanges = allowedTCPPortRanges;
diff --git a/nixos/modules/programs/quark-goldleaf.nix b/nixos/modules/programs/quark-goldleaf.nix
new file mode 100644
index 000000000000..71aadc8c594e
--- /dev/null
+++ b/nixos/modules/programs/quark-goldleaf.nix
@@ -0,0 +1,18 @@
+{ config, lib, pkgs, ... }:
+let
+ cfg = config.programs.quark-goldleaf;
+in
+{
+ options = {
+ programs.quark-goldleaf = {
+ enable = lib.mkEnableOption "quark-goldleaf with udev rules applied";
+ };
+ };
+
+ config = lib.mkIf cfg.enable {
+ environment.systemPackages = [ pkgs.quark-goldleaf ];
+ services.udev.packages = [ pkgs.quark-goldleaf ];
+ };
+
+ meta.maintainers = pkgs.quark-goldleaf.meta.maintainers;
+}
diff --git a/nixos/modules/programs/starship.nix b/nixos/modules/programs/starship.nix
index 34f6f0882c61..7f8d9eb3363d 100644
--- a/nixos/modules/programs/starship.nix
+++ b/nixos/modules/programs/starship.nix
@@ -12,7 +12,7 @@ let
nativeBuildInputs = [ pkgs.yq ];
} ''
tomlq -s -t 'reduce .[] as $item ({}; . * $item)' \
- ${lib.concatStringsSep " " (map (f: "${pkgs.starship}/share/starship/presets/${f}.toml") cfg.presets)} \
+ ${lib.concatStringsSep " " (map (f: "${cfg.package}/share/starship/presets/${f}.toml") cfg.presets)} \
${userSettingsFile} \
> $out
'';
@@ -26,23 +26,20 @@ let
in
{
options.programs.starship = {
- enable = lib.mkEnableOption (lib.mdDoc "the Starship shell prompt");
+ enable = lib.mkEnableOption "the Starship shell prompt";
- interactiveOnly = lib.mkOption {
- default = true;
- example = false;
- type = lib.types.bool;
- description = lib.mdDoc ''
- Whether to enable starship only when the shell is interactive.
- Some plugins require this to be set to false to function correctly.
- '';
- };
+ package = lib.mkPackageOption pkgs "starship" { };
+
+ interactiveOnly = lib.mkEnableOption ''
+ starship only when the shell is interactive.
+ Some plugins require this to be set to false to function correctly
+ '' // { default = true; };
presets = lib.mkOption {
default = [ ];
example = [ "nerd-font-symbols" ];
type = with lib.types; listOf str;
- description = lib.mdDoc ''
+ description = ''
Presets files to be merged with settings in order.
'';
};
@@ -50,7 +47,7 @@ in
settings = lib.mkOption {
inherit (settingsFormat) type;
default = { };
- description = lib.mdDoc ''
+ description = ''
Configuration included in `starship.toml`.
See https://starship.rs/config/#prompt for documentation.
@@ -68,7 +65,7 @@ in
if [[ ! -f "$HOME/.config/starship.toml" ]]; then
export STARSHIP_CONFIG=${settingsFile}
fi
- eval "$(${pkgs.starship}/bin/starship init bash)"
+ eval "$(${cfg.package}/bin/starship init bash)"
fi
'';
@@ -81,7 +78,7 @@ in
if not test -f "$HOME/.config/starship.toml";
set -x STARSHIP_CONFIG ${settingsFile}
end
- eval (${pkgs.starship}/bin/starship init fish)
+ eval (${cfg.package}/bin/starship init fish)
end
'';
@@ -94,7 +91,7 @@ in
if [[ ! -f "$HOME/.config/starship.toml" ]]; then
export STARSHIP_CONFIG=${settingsFile}
fi
- eval "$(${pkgs.starship}/bin/starship init zsh)"
+ eval "$(${cfg.package}/bin/starship init zsh)"
fi
'';
};
diff --git a/nixos/modules/programs/steam.nix b/nixos/modules/programs/steam.nix
index 29c449c16946..c93a34f61849 100644
--- a/nixos/modules/programs/steam.nix
+++ b/nixos/modules/programs/steam.nix
@@ -43,6 +43,9 @@ in {
}
'';
apply = steam: steam.override (prev: {
+ extraEnv = (lib.optionalAttrs (cfg.extraCompatPackages != [ ]) {
+ STEAM_EXTRA_COMPAT_TOOLS_PATHS = makeSearchPathOutput "steamcompattool" "" cfg.extraCompatPackages;
+ }) // (prev.extraEnv or {});
extraLibraries = pkgs: let
prevLibs = if prev ? extraLibraries then prev.extraLibraries pkgs else [ ];
additionalLibs = with config.hardware.opengl;
@@ -56,6 +59,8 @@ in {
# use the setuid wrapped bubblewrap
bubblewrap = "${config.security.wrapperDir}/..";
};
+ } // optionalAttrs cfg.extest.enable {
+ extraEnv.LD_PRELOAD = "${pkgs.pkgsi686Linux.extest}/lib/libextest.so";
});
description = lib.mdDoc ''
The Steam package to use. Additional libraries are added from the system
@@ -66,6 +71,23 @@ in {
'';
};
+ extraCompatPackages = mkOption {
+ type = types.listOf types.package;
+ default = [ ];
+ example = literalExpression ''
+ with pkgs; [
+ proton-ge-bin
+ ]
+ '';
+ description = lib.mdDoc ''
+ Extra packages to be used as compatibility tools for Steam on Linux. Packages will be included
+ in the `STEAM_EXTRA_COMPAT_TOOLS_PATHS` environmental variable. For more information see
+ https://github.com/ValveSoftware/steam-for-linux/issues/6310.
+
+ These packages must be Steam compatibility tools that have a `steamcompattool` output.
+ '';
+ };
+
remotePlay.openFirewall = mkOption {
type = types.bool;
default = false;
@@ -82,6 +104,14 @@ in {
'';
};
+ localNetworkGameTransfers.openFirewall = mkOption {
+ type = types.bool;
+ default = false;
+ description = lib.mdDoc ''
+ Open ports in the firewall for Steam Local Network Game Transfers.
+ '';
+ };
+
gamescopeSession = mkOption {
description = mdDoc "Run a GameScope driven Steam session from your display-manager";
default = {};
@@ -106,6 +136,11 @@ in {
};
};
};
+
+ extest.enable = mkEnableOption (lib.mdDoc ''
+ Load the extest library into Steam, to translate X11 input events to
+ uinput events (e.g. for using Steam Input on Wayland)
+ '');
};
config = mkIf cfg.enable {
@@ -139,17 +174,25 @@ in {
] ++ lib.optional cfg.gamescopeSession.enable steam-gamescope;
networking.firewall = lib.mkMerge [
+ (mkIf (cfg.remotePlay.openFirewall || cfg.localNetworkGameTransfers.openFirewall) {
+ allowedUDPPorts = [ 27036 ]; # Peer discovery
+ })
+
(mkIf cfg.remotePlay.openFirewall {
allowedTCPPorts = [ 27036 ];
- allowedUDPPortRanges = [ { from = 27031; to = 27036; } ];
+ allowedUDPPortRanges = [ { from = 27031; to = 27035; } ];
})
(mkIf cfg.dedicatedServer.openFirewall {
allowedTCPPorts = [ 27015 ]; # SRCDS Rcon port
allowedUDPPorts = [ 27015 ]; # Gameplay traffic
})
+
+ (mkIf cfg.localNetworkGameTransfers.openFirewall {
+ allowedTCPPorts = [ 27040 ]; # Data transfers
+ })
];
};
- meta.maintainers = with maintainers; [ mkg20001 ];
+ meta.maintainers = teams.steam;
}
diff --git a/nixos/modules/programs/wayland/sway.nix b/nixos/modules/programs/wayland/sway.nix
index 57ee629b2881..2bd297af5254 100644
--- a/nixos/modules/programs/wayland/sway.nix
+++ b/nixos/modules/programs/wayland/sway.nix
@@ -119,10 +119,10 @@ in {
extraPackages = mkOption {
type = with types; listOf package;
default = with pkgs; [
- swaylock swayidle foot dmenu
+ swaylock swayidle foot dmenu wmenu
];
defaultText = literalExpression ''
- with pkgs; [ swaylock swayidle foot dmenu ];
+ with pkgs; [ swaylock swayidle foot dmenu wmenu ];
'';
example = literalExpression ''
with pkgs; [
@@ -152,6 +152,7 @@ in {
'';
}
];
+
environment = {
systemPackages = optional (cfg.package != null) cfg.package ++ cfg.extraPackages;
# Needed for the default wallpaper:
@@ -166,8 +167,12 @@ in {
"sway/config".source = mkOptionDefault "${cfg.package}/etc/sway/config";
};
};
+
+ programs.gnupg.agent.pinentryPackage = lib.mkDefault pkgs.pinentry-gnome3;
+
# https://bugs.debian.org/cgi-bin/bugreport.cgi?bug=1050913
xdg.portal.config.sway.default = mkDefault [ "wlr" "gtk" ];
+
# To make a Sway session available if a display manager like SDDM is enabled:
services.xserver.displayManager.sessionPackages = optionals (cfg.package != null) [ cfg.package ]; }
(import ./wayland-session.nix { inherit lib pkgs; })
diff --git a/nixos/modules/programs/yazi.nix b/nixos/modules/programs/yazi.nix
index 273a7eeed05f..338eddb60d80 100644
--- a/nixos/modules/programs/yazi.nix
+++ b/nixos/modules/programs/yazi.nix
@@ -22,7 +22,7 @@ in
description = lib.mdDoc ''
Configuration included in `${name}.toml`.
- See https://github.com/sxyazi/yazi/blob/v${cfg.package.version}/config/docs/${name}.md for documentation.
+ See https://yazi-rs.github.io/docs/configuration/${name}/ for documentation.
'';
}))
names);
@@ -47,7 +47,5 @@ in
};
meta = {
maintainers = with lib.maintainers; [ linsui ];
- # The version of the package is used in the doc.
- buildDocsInSandbox = false;
};
}
diff --git a/nixos/modules/security/ca.nix b/nixos/modules/security/ca.nix
index 3cd56bff04d1..ae188ea709dd 100644
--- a/nixos/modules/security/ca.nix
+++ b/nixos/modules/security/ca.nix
@@ -11,7 +11,8 @@ let
extraCertificateFiles = cfg.certificateFiles;
extraCertificateStrings = cfg.certificates;
};
- caBundle = "${cacertPackage}/etc/ssl/certs/ca-bundle.crt";
+ caBundleName = if cfg.useCompatibleBundle then "ca-no-trust-rules-bundle.crt" else "ca-bundle.crt";
+ caBundle = "${cacertPackage}/etc/ssl/certs/${caBundleName}";
in
@@ -23,6 +24,17 @@ in
internal = true;
};
+ security.pki.useCompatibleBundle = mkEnableOption ''usage of a compatibility bundle.
+
+ Such a bundle consist exclusively of `BEGIN CERTIFICATE` and no `BEGIN TRUSTED CERTIFICATE`,
+ which is a OpenSSL specific PEM format.
+
+ It is known to be incompatible with certain software stacks.
+
+ Nevertheless, enabling this will strip all additional trust rules provided by the
+ certificates themselves, this can have security consequences depending on your usecases.
+ '';
+
security.pki.certificateFiles = mkOption {
type = types.listOf types.path;
default = [];
diff --git a/nixos/modules/security/pam.nix b/nixos/modules/security/pam.nix
index ed03254cb5ee..560e5eff5c39 100644
--- a/nixos/modules/security/pam.nix
+++ b/nixos/modules/security/pam.nix
@@ -96,6 +96,10 @@ let
pamOpts = { config, name, ... }: let cfg = config; in let config = parentConfig; in {
+ imports = [
+ (lib.mkRenamedOptionModule [ "enableKwallet" ] [ "kwallet" "enable" ])
+ ];
+
options = {
name = mkOption {
@@ -462,16 +466,23 @@ let
'';
};
- enableKwallet = mkOption {
- default = false;
- type = types.bool;
- description = lib.mdDoc ''
- If enabled, pam_wallet will attempt to automatically unlock the
- user's default KDE wallet upon login. If the user has no wallet named
- "kdewallet", or the login password does not match their wallet
- password, KDE will prompt separately after login.
- '';
+ kwallet = {
+ enable = mkOption {
+ default = false;
+ type = types.bool;
+ description = lib.mdDoc ''
+ If enabled, pam_wallet will attempt to automatically unlock the
+ user's default KDE wallet upon login. If the user has no wallet named
+ "kdewallet", or the login password does not match their wallet
+ password, KDE will prompt separately after login.
+ '';
+ };
+
+ package = mkPackageOption pkgs.plasma5Packages "kwallet-pam" {
+ pkgsText = "pkgs.plasma5Packages";
+ };
};
+
sssdStrictAccess = mkOption {
default = false;
type = types.bool;
@@ -686,7 +697,7 @@ let
(config.security.pam.enableEcryptfs
|| config.security.pam.enableFscrypt
|| cfg.pamMount
- || cfg.enableKwallet
+ || cfg.kwallet.enable
|| cfg.enableGnomeKeyring
|| config.services.intune.enable
|| cfg.googleAuthenticator.enable
@@ -711,9 +722,7 @@ let
{ name = "mount"; enable = cfg.pamMount; control = "optional"; modulePath = "${pkgs.pam_mount}/lib/security/pam_mount.so"; settings = {
disable_interactive = true;
}; }
- { name = "kwallet5"; enable = cfg.enableKwallet; control = "optional"; modulePath = "${pkgs.plasma5Packages.kwallet-pam}/lib/security/pam_kwallet5.so"; settings = {
- kwalletd = "${pkgs.plasma5Packages.kwallet.bin}/bin/kwalletd5";
- }; }
+ { name = "kwallet"; enable = cfg.kwallet.enable; control = "optional"; modulePath = "${cfg.kwallet.package}/lib/security/pam_kwallet5.so"; }
{ name = "gnome_keyring"; enable = cfg.enableGnomeKeyring; control = "optional"; modulePath = "${pkgs.gnome.gnome-keyring}/lib/security/pam_gnome_keyring.so"; }
{ name = "intune"; enable = config.services.intune.enable; control = "optional"; modulePath = "${pkgs.intune-portal}/lib/security/pam_intune.so"; }
{ name = "gnupg"; enable = cfg.gnupg.enable; control = "optional"; modulePath = "${pkgs.pam_gnupg}/lib/security/pam_gnupg.so"; settings = {
@@ -848,9 +857,7 @@ let
order = "user,group,default";
debug = true;
}; }
- { name = "kwallet5"; enable = cfg.enableKwallet; control = "optional"; modulePath = "${pkgs.plasma5Packages.kwallet-pam}/lib/security/pam_kwallet5.so"; settings = {
- kwalletd = "${pkgs.plasma5Packages.kwallet.bin}/bin/kwalletd5";
- }; }
+ { name = "kwallet"; enable = cfg.kwallet.enable; control = "optional"; modulePath = "${cfg.kwallet.package}/lib/security/pam_kwallet5.so"; }
{ name = "gnome_keyring"; enable = cfg.enableGnomeKeyring; control = "optional"; modulePath = "${pkgs.gnome.gnome-keyring}/lib/security/pam_gnome_keyring.so"; settings = {
auto_start = true;
}; }
@@ -1458,9 +1465,9 @@ in
'';
}
{
- assertion = config.security.pam.zfs.enable -> (config.boot.zfs.enabled || config.boot.zfs.enableUnstable);
+ assertion = config.security.pam.zfs.enable -> config.boot.zfs.enabled;
message = ''
- `security.pam.zfs.enable` requires enabling ZFS (`boot.zfs.enabled` or `boot.zfs.enableUnstable`).
+ `security.pam.zfs.enable` requires enabling ZFS (`boot.zfs.enabled`).
'';
}
{
diff --git a/nixos/modules/services/audio/spotifyd.nix b/nixos/modules/services/audio/spotifyd.nix
index 1194b6f200d7..04bb523e25b1 100644
--- a/nixos/modules/services/audio/spotifyd.nix
+++ b/nixos/modules/services/audio/spotifyd.nix
@@ -24,7 +24,7 @@ in
type = types.lines;
description = lib.mdDoc ''
(Deprecated) Configuration for Spotifyd. For syntax and directives, see
- .
+ .
'';
};
@@ -34,7 +34,7 @@ in
example = { global.bitrate = 320; };
description = lib.mdDoc ''
Configuration for Spotifyd. For syntax and directives, see
- .
+ .
'';
};
};
diff --git a/nixos/modules/services/backup/bacula.nix b/nixos/modules/services/backup/bacula.nix
index 5a75a46e5259..39975adf5909 100644
--- a/nixos/modules/services/backup/bacula.nix
+++ b/nixos/modules/services/backup/bacula.nix
@@ -4,11 +4,36 @@
# TODO: test configuration when building nixexpr (use -t parameter)
# TODO: support sqlite3 (it's deprecate?) and mysql
-with lib;
let
+ inherit (lib)
+ concatStringsSep
+ literalExpression
+ mapAttrsToList
+ mdDoc
+ mkIf
+ mkOption
+ optional
+ optionalString
+ types
+ ;
libDir = "/var/lib/bacula";
+ yes_no = bool: if bool then "yes" else "no";
+ tls_conf = tls_cfg: optionalString tls_cfg.enable (
+ concatStringsSep
+ "\n"
+ (
+ ["TLS Enable = yes;"]
+ ++ optional (tls_cfg.require != null) "TLS Require = ${yes_no tls_cfg.require};"
+ ++ optional (tls_cfg.certificate != null) ''TLS Certificate = "${tls_cfg.certificate}";''
+ ++ [''TLS Key = "${tls_cfg.key}";'']
+ ++ optional (tls_cfg.verifyPeer != null) "TLS Verify Peer = ${yes_no tls_cfg.verifyPeer};"
+ ++ optional (tls_cfg.allowedCN != [ ]) "TLS Allowed CN = ${concatStringsSep " " (tls_cfg.allowedCN)};"
+ ++ optional (tls_cfg.caCertificateFile != null) ''TLS CA Certificate File = "${tls_cfg.caCertificateFile}";''
+ )
+ );
+
fd_cfg = config.services.bacula-fd;
fd_conf = pkgs.writeText "bacula-fd.conf"
''
@@ -18,6 +43,7 @@ let
WorkingDirectory = ${libDir};
Pid Directory = /run;
${fd_cfg.extraClientConfig}
+ ${tls_conf fd_cfg.tls}
}
${concatStringsSep "\n" (mapAttrsToList (name: value: ''
@@ -25,6 +51,7 @@ let
Name = "${name}";
Password = ${value.password};
Monitor = ${value.monitor};
+ ${tls_conf value.tls}
}
'') fd_cfg.director)}
@@ -44,6 +71,7 @@ let
WorkingDirectory = ${libDir};
Pid Directory = /run;
${sd_cfg.extraStorageConfig}
+ ${tls_conf sd_cfg.tls}
}
${concatStringsSep "\n" (mapAttrsToList (name: value: ''
@@ -70,6 +98,7 @@ let
Name = "${name}";
Password = ${value.password};
Monitor = ${value.monitor};
+ ${tls_conf value.tls}
}
'') sd_cfg.director)}
@@ -90,6 +119,7 @@ let
Working Directory = ${libDir};
Pid Directory = /run/;
QueryFile = ${pkgs.bacula}/etc/query.sql;
+ ${tls_conf dir_cfg.tls}
${dir_cfg.extraDirectorConfig}
}
@@ -108,13 +138,99 @@ let
${dir_cfg.extraConfig}
'';
- directorOptions = {...}:
+ linkOption = name: destination: "[${name}](#opt-${builtins.replaceStrings [ "<" ">"] ["_" "_"] destination})";
+ tlsLink = destination: submodulePath: linkOption "${submodulePath}.${destination}" "${submodulePath}.${destination}";
+
+ tlsOptions = submodulePath: {...}:
+ {
+ options = {
+ enable = mkOption {
+ type = types.bool;
+ default = false;
+ description = mdDoc ''
+ Specifies if TLS should be enabled.
+ If this set to `false` TLS will be completely disabled, even if ${tlsLink "tls.require" submodulePath} is true.
+ '';
+ };
+ require = mkOption {
+ type = types.nullOr types.bool;
+ default = null;
+ description = mdDoc ''
+ Require TLS or TLS-PSK encryption.
+ This directive is ignored unless one of ${tlsLink "tls.enable" submodulePath} is true or TLS PSK Enable is set to `yes`.
+ If TLS is not required while TLS or TLS-PSK are enabled, then the Bacula component
+ will connect with other components either with or without TLS or TLS-PSK
+
+ If ${tlsLink "tls.enable" submodulePath} or TLS-PSK is enabled and TLS is required, then the Bacula
+ component will refuse any connection request that does not use TLS.
+ '';
+ };
+ certificate = mkOption {
+ type = types.nullOr types.path;
+ default = null;
+ description = mdDoc ''
+ The full path to the PEM encoded TLS certificate.
+ It will be used as either a client or server certificate,
+ depending on the connection direction.
+ This directive is required in a server context, but it may
+ not be specified in a client context if ${tlsLink "tls.verifyPeer" submodulePath} is
+ `false` in the corresponding server context.
+ '';
+ };
+ key = mkOption {
+ type = types.path;
+ description = mdDoc ''
+ The path of a PEM encoded TLS private key.
+ It must correspond to the TLS certificate.
+ '';
+ };
+ verifyPeer = mkOption {
+ type = types.nullOr types.bool;
+ default = null;
+ description = mdDoc ''
+ Verify peer certificate.
+ Instructs server to request and verify the client's X.509 certificate.
+ Any client certificate signed by a known-CA will be accepted.
+ Additionally, the client's X509 certificate Common Name must meet the value of the Address directive.
+ If ${tlsLink "tls.allowedCN" submodulePath} is used,
+ the client's x509 certificate Common Name must also correspond to
+ one of the CN specified in the ${tlsLink "tls.allowedCN" submodulePath} directive.
+ This directive is valid only for a server and not in client context.
+
+ Standard from Bacula is `true`.
+ '';
+ };
+ allowedCN = mkOption {
+ type = types.listOf types.str;
+ default = [ ];
+ description = mdDoc ''
+ Common name attribute of allowed peer certificates.
+ This directive is valid for a server and in a client context.
+ If this directive is specified, the peer certificate will be verified against this list.
+ In the case this directive is configured on a server side, the allowed
+ CN list will not be checked if ${tlsLink "tls.verifyPeer" submodulePath} is false.
+ '';
+ };
+ caCertificateFile = mkOption {
+ type = types.nullOr types.path;
+ default = null;
+ description = mdDoc ''
+ The path specifying a PEM encoded TLS CA certificate(s).
+ Multiple certificates are permitted in the file.
+ One of TLS CA Certificate File or TLS CA Certificate Dir are required in a server context, unless
+ ${tlsLink "tls.verifyPeer" submodulePath} is false, and are always required in a client context.
+ '';
+ };
+ };
+ };
+
+ directorOptions = submodulePath:{...}:
{
options = {
password = mkOption {
type = types.str;
# TODO: required?
- description = lib.mdDoc ''
+ description = mdDoc ''
Specifies the password that must be supplied for the default Bacula
Console to be authorized. The same password must appear in the
Director resource of the Console configuration file. For added
@@ -135,7 +251,7 @@ let
type = types.enum [ "no" "yes" ];
default = "no";
example = "yes";
- description = lib.mdDoc ''
+ description = mdDoc ''
If Monitor is set to `no`, this director will have
full access to this Storage daemon. If Monitor is set to
`yes`, this director will only be able to fetch the
@@ -146,6 +262,13 @@ let
security problems.
'';
};
+
+ tls = mkOption {
+ type = types.submodule (tlsOptions "${submodulePath}.director.");
+ description = mdDoc ''
+ TLS Options for the Director in this Configuration.
+ '';
+ };
};
};
@@ -154,7 +277,7 @@ let
options = {
changerDevice = mkOption {
type = types.str;
- description = lib.mdDoc ''
+ description = mdDoc ''
The specified name-string must be the generic SCSI device name of the
autochanger that corresponds to the normal read/write Archive Device
specified in the Device resource. This generic SCSI device name
@@ -173,7 +296,7 @@ let
changerCommand = mkOption {
type = types.str;
- description = lib.mdDoc ''
+ description = mdDoc ''
The name-string specifies an external program to be called that will
automatically change volumes as required by Bacula. Normally, this
directive will be specified only in the AutoChanger resource, which
@@ -195,14 +318,14 @@ let
};
devices = mkOption {
- description = lib.mdDoc "";
+ description = mdDoc "";
type = types.listOf types.str;
};
extraAutochangerConfig = mkOption {
default = "";
type = types.lines;
- description = lib.mdDoc ''
+ description = mdDoc ''
Extra configuration to be passed in Autochanger directive.
'';
example = ''
@@ -219,7 +342,7 @@ let
archiveDevice = mkOption {
# TODO: required?
type = types.str;
- description = lib.mdDoc ''
+ description = mdDoc ''
The specified name-string gives the system file name of the storage
device managed by this storage daemon. This will usually be the
device file name of a removable storage device (tape drive), for
@@ -236,7 +359,7 @@ let
mediaType = mkOption {
# TODO: required?
type = types.str;
- description = lib.mdDoc ''
+ description = mdDoc ''
The specified name-string names the type of media supported by this
device, for example, `DLT7000`. Media type names are
arbitrary in that you set them to anything you want, but they must be
@@ -274,7 +397,7 @@ let
extraDeviceConfig = mkOption {
default = "";
type = types.lines;
- description = lib.mdDoc ''
+ description = mdDoc ''
Extra configuration to be passed in Device directive.
'';
example = ''
@@ -295,7 +418,7 @@ in {
enable = mkOption {
type = types.bool;
default = false;
- description = lib.mdDoc ''
+ description = mdDoc ''
Whether to enable the Bacula File Daemon.
'';
};
@@ -304,7 +427,7 @@ in {
default = "${config.networking.hostName}-fd";
defaultText = literalExpression ''"''${config.networking.hostName}-fd"'';
type = types.str;
- description = lib.mdDoc ''
+ description = mdDoc ''
The client name that must be used by the Director when connecting.
Generally, it is a good idea to use a name related to the machine so
that error messages can be easily identified if you have multiple
@@ -315,7 +438,7 @@ in {
port = mkOption {
default = 9102;
type = types.port;
- description = lib.mdDoc ''
+ description = mdDoc ''
This specifies the port number on which the Client listens for
Director connections. It must agree with the FDPort specified in
the Client resource of the Director's configuration file.
@@ -324,16 +447,26 @@ in {
director = mkOption {
default = {};
- description = lib.mdDoc ''
+ description = mdDoc ''
This option defines director resources in Bacula File Daemon.
'';
- type = with types; attrsOf (submodule directorOptions);
+ type = types.attrsOf (types.submodule (directorOptions "services.bacula-fd"));
};
+
+ tls = mkOption {
+ type = types.submodule (tlsOptions "services.bacula-fd");
+ default = { };
+ description = mdDoc ''
+ TLS Options for the File Daemon.
+ Important notice: The backup won't be encrypted.
+ '';
+ };
+
extraClientConfig = mkOption {
default = "";
type = types.lines;
- description = lib.mdDoc ''
+ description = mdDoc ''
Extra configuration to be passed in Client directive.
'';
example = ''
@@ -345,7 +478,7 @@ in {
extraMessagesConfig = mkOption {
default = "";
type = types.lines;
- description = lib.mdDoc ''
+ description = mdDoc ''
Extra configuration to be passed in Messages directive.
'';
example = ''
@@ -358,7 +491,7 @@ in {
enable = mkOption {
type = types.bool;
default = false;
- description = lib.mdDoc ''
+ description = mdDoc ''
Whether to enable Bacula Storage Daemon.
'';
};
@@ -367,7 +500,7 @@ in {
default = "${config.networking.hostName}-sd";
defaultText = literalExpression ''"''${config.networking.hostName}-sd"'';
type = types.str;
- description = lib.mdDoc ''
+ description = mdDoc ''
Specifies the Name of the Storage daemon.
'';
};
@@ -375,7 +508,7 @@ in {
port = mkOption {
default = 9103;
type = types.port;
- description = lib.mdDoc ''
+ description = mdDoc ''
Specifies port number on which the Storage daemon listens for
Director connections.
'';
@@ -383,32 +516,32 @@ in {
director = mkOption {
default = {};
- description = lib.mdDoc ''
+ description = mdDoc ''
This option defines Director resources in Bacula Storage Daemon.
'';
- type = with types; attrsOf (submodule directorOptions);
+ type = types.attrsOf (types.submodule (directorOptions "services.bacula-sd"));
};
device = mkOption {
default = {};
- description = lib.mdDoc ''
+ description = mdDoc ''
This option defines Device resources in Bacula Storage Daemon.
'';
- type = with types; attrsOf (submodule deviceOptions);
+ type = types.attrsOf (types.submodule deviceOptions);
};
autochanger = mkOption {
default = {};
- description = lib.mdDoc ''
+ description = mdDoc ''
This option defines Autochanger resources in Bacula Storage Daemon.
'';
- type = with types; attrsOf (submodule autochangerOptions);
+ type = types.attrsOf (types.submodule autochangerOptions);
};
extraStorageConfig = mkOption {
default = "";
type = types.lines;
- description = lib.mdDoc ''
+ description = mdDoc ''
Extra configuration to be passed in Storage directive.
'';
example = ''
@@ -420,13 +553,21 @@ in {
extraMessagesConfig = mkOption {
default = "";
type = types.lines;
- description = lib.mdDoc ''
+ description = mdDoc ''
Extra configuration to be passed in Messages directive.
'';
example = ''
console = all
'';
};
+ tls = mkOption {
+ type = types.submodule (tlsOptions "services.bacula-sd");
+ default = { };
+ description = mdDoc ''
+ TLS Options for the Storage Daemon.
+ Important notice: The backup won't be encrypted.
+ '';
+ };
};
@@ -434,7 +575,7 @@ in {
enable = mkOption {
type = types.bool;
default = false;
- description = lib.mdDoc ''
+ description = mdDoc ''
Whether to enable Bacula Director Daemon.
'';
};
@@ -443,7 +584,7 @@ in {
default = "${config.networking.hostName}-dir";
defaultText = literalExpression ''"''${config.networking.hostName}-dir"'';
type = types.str;
- description = lib.mdDoc ''
+ description = mdDoc ''
The director name used by the system administrator. This directive is
required.
'';
@@ -452,7 +593,7 @@ in {
port = mkOption {
default = 9101;
type = types.port;
- description = lib.mdDoc ''
+ description = mdDoc ''
Specify the port (a positive integer) on which the Director daemon
will listen for Bacula Console connections. This same port number
must be specified in the Director resource of the Console
@@ -465,7 +606,7 @@ in {
password = mkOption {
# TODO: required?
type = types.str;
- description = lib.mdDoc ''
+ description = mdDoc ''
Specifies the password that must be supplied for a Director.
'';
};
@@ -473,7 +614,7 @@ in {
extraMessagesConfig = mkOption {
default = "";
type = types.lines;
- description = lib.mdDoc ''
+ description = mdDoc ''
Extra configuration to be passed in Messages directive.
'';
example = ''
@@ -484,7 +625,7 @@ in {
extraDirectorConfig = mkOption {
default = "";
type = types.lines;
- description = lib.mdDoc ''
+ description = mdDoc ''
Extra configuration to be passed in Director directive.
'';
example = ''
@@ -496,13 +637,22 @@ in {
extraConfig = mkOption {
default = "";
type = types.lines;
- description = lib.mdDoc ''
+ description = mdDoc ''
Extra configuration for Bacula Director Daemon.
'';
example = ''
TODO
'';
};
+
+ tls = mkOption {
+ type = types.submodule (tlsOptions "services.bacula-dir");
+ default = { };
+ description = mdDoc ''
+ TLS Options for the Director.
+ Important notice: The backup won't be encrypted.
+ '';
+ };
};
};
diff --git a/nixos/modules/services/backup/syncoid.nix b/nixos/modules/services/backup/syncoid.nix
index 7b8d3b431309..4a04f0aa1622 100644
--- a/nixos/modules/services/backup/syncoid.nix
+++ b/nixos/modules/services/backup/syncoid.nix
@@ -134,7 +134,7 @@ in
localSourceAllow = mkOption {
type = types.listOf types.str;
# Permissions snapshot and destroy are in case --no-sync-snap is not used
- default = [ "bookmark" "hold" "send" "snapshot" "destroy" ];
+ default = [ "bookmark" "hold" "send" "snapshot" "destroy" "mount" ];
description = lib.mdDoc ''
Permissions granted for the {option}`services.syncoid.user` user
for local source datasets. See
diff --git a/nixos/modules/services/cluster/kubernetes/default.nix b/nixos/modules/services/cluster/kubernetes/default.nix
index 3fb916c76971..a920b6cb1268 100644
--- a/nixos/modules/services/cluster/kubernetes/default.nix
+++ b/nixos/modules/services/cluster/kubernetes/default.nix
@@ -285,7 +285,7 @@ in {
systemd.tmpfiles.rules = [
"d /opt/cni/bin 0755 root root -"
"d /run/kubernetes 0755 kubernetes kubernetes -"
- "d /var/lib/kubernetes 0755 kubernetes kubernetes -"
+ "d ${cfg.dataDir} 0755 kubernetes kubernetes -"
];
users.users.kubernetes = {
@@ -294,6 +294,7 @@ in {
group = "kubernetes";
home = cfg.dataDir;
createHome = true;
+ homeMode = "755";
};
users.groups.kubernetes.gid = config.ids.gids.kubernetes;
diff --git a/nixos/modules/services/cluster/kubernetes/kubelet.nix b/nixos/modules/services/cluster/kubernetes/kubelet.nix
index fd2dce7ee6a2..313dbe234018 100644
--- a/nixos/modules/services/cluster/kubernetes/kubelet.nix
+++ b/nixos/modules/services/cluster/kubernetes/kubelet.nix
@@ -33,6 +33,41 @@ let
kubeconfig = top.lib.mkKubeConfig "kubelet" cfg.kubeconfig;
+ # Flag based settings are deprecated, use the `--config` flag with a
+ # `KubeletConfiguration` struct.
+ # https://kubernetes.io/docs/tasks/administer-cluster/kubelet-config-file/
+ #
+ # NOTE: registerWithTaints requires a []core/v1.Taint, therefore requires
+ # additional work to be put in config format.
+ #
+ kubeletConfig = pkgs.writeText "kubelet-config" (builtins.toJSON ({
+ apiVersion = "kubelet.config.k8s.io/v1beta1";
+ kind = "KubeletConfiguration";
+ address = cfg.address;
+ port = cfg.port;
+ authentication = {
+ x509 = lib.optionalAttrs (cfg.clientCaFile != null) { clientCAFile = cfg.clientCaFile; };
+ webhook = {
+ enabled = true;
+ cacheTTL = "10s";
+ };
+ };
+ authorization = {
+ mode = "Webhook";
+ };
+ cgroupDriver = "systemd";
+ hairpinMode = "hairpin-veth";
+ registerNode = cfg.registerNode;
+ containerRuntimeEndpoint = cfg.containerRuntimeEndpoint;
+ healthzPort = cfg.healthz.port;
+ healthzBindAddress = cfg.healthz.bind;
+ } // lib.optionalAttrs (cfg.tlsCertFile != null) { tlsCertFile = cfg.tlsCertFile; }
+ // lib.optionalAttrs (cfg.tlsKeyFile != null) { tlsPrivateKeyFile = cfg.tlsKeyFile; }
+ // lib.optionalAttrs (cfg.clusterDomain != "") { clusterDomain = cfg.clusterDomain; }
+ // lib.optionalAttrs (cfg.clusterDns != "") { clusterDNS = [ cfg.clusterDns ] ; }
+ // lib.optionalAttrs (cfg.featureGates != []) { featureGates = cfg.featureGates; }
+ ));
+
manifestPath = "kubernetes/manifests";
taintOptions = with lib.types; { name, ... }: {
@@ -294,21 +329,7 @@ in
Restart = "on-failure";
RestartSec = "1000ms";
ExecStart = ''${top.package}/bin/kubelet \
- --address=${cfg.address} \
- --authentication-token-webhook \
- --authentication-token-webhook-cache-ttl="10s" \
- --authorization-mode=Webhook \
- ${optionalString (cfg.clientCaFile != null)
- "--client-ca-file=${cfg.clientCaFile}"} \
- ${optionalString (cfg.clusterDns != "")
- "--cluster-dns=${cfg.clusterDns}"} \
- ${optionalString (cfg.clusterDomain != "")
- "--cluster-domain=${cfg.clusterDomain}"} \
- ${optionalString (cfg.featureGates != [])
- "--feature-gates=${concatMapStringsSep "," (feature: "${feature}=true") cfg.featureGates}"} \
- --hairpin-mode=hairpin-veth \
- --healthz-bind-address=${cfg.healthz.bind} \
- --healthz-port=${toString cfg.healthz.port} \
+ --config=${kubeletConfig} \
--hostname-override=${cfg.hostname} \
--kubeconfig=${kubeconfig} \
${optionalString (cfg.nodeIp != null)
@@ -316,18 +337,10 @@ in
--pod-infra-container-image=pause \
${optionalString (cfg.manifests != {})
"--pod-manifest-path=/etc/${manifestPath}"} \
- --port=${toString cfg.port} \
- --register-node=${boolToString cfg.registerNode} \
${optionalString (taints != "")
"--register-with-taints=${taints}"} \
--root-dir=${top.dataDir} \
- ${optionalString (cfg.tlsCertFile != null)
- "--tls-cert-file=${cfg.tlsCertFile}"} \
- ${optionalString (cfg.tlsKeyFile != null)
- "--tls-private-key-file=${cfg.tlsKeyFile}"} \
${optionalString (cfg.verbosity != null) "--v=${toString cfg.verbosity}"} \
- --container-runtime-endpoint=${cfg.containerRuntimeEndpoint} \
- --cgroup-driver=systemd \
${cfg.extraOpts}
'';
WorkingDirectory = top.dataDir;
diff --git a/nixos/modules/services/cluster/kubernetes/pki.nix b/nixos/modules/services/cluster/kubernetes/pki.nix
index 9a01238c2391..a4b5cb8eda86 100644
--- a/nixos/modules/services/cluster/kubernetes/pki.nix
+++ b/nixos/modules/services/cluster/kubernetes/pki.nix
@@ -192,7 +192,8 @@ in
mkdir -p "$(dirname "${certmgrAPITokenPath}")"
if [ -f "${cfsslAPITokenPath}" ]; then
ln -fs "${cfsslAPITokenPath}" "${certmgrAPITokenPath}"
- else
+ elif [ ! -f "${certmgrAPITokenPath}" ]; then
+ # Don't remove the token if it already exists
install -m 600 /dev/null "${certmgrAPITokenPath}"
fi
''
diff --git a/nixos/modules/services/continuous-integration/hydra/default.nix b/nixos/modules/services/continuous-integration/hydra/default.nix
index 54bbe69703f9..10e1f0532c84 100644
--- a/nixos/modules/services/continuous-integration/hydra/default.nix
+++ b/nixos/modules/services/continuous-integration/hydra/default.nix
@@ -39,7 +39,7 @@ let
hydra-package =
let
- makeWrapperArgs = concatStringsSep " " (mapAttrsToList (key: value: "--set \"${key}\" \"${value}\"") hydraEnv);
+ makeWrapperArgs = concatStringsSep " " (mapAttrsToList (key: value: "--set-default \"${key}\" \"${value}\"") hydraEnv);
in pkgs.buildEnv rec {
name = "hydra-env";
nativeBuildInputs = [ pkgs.makeWrapper ];
@@ -178,6 +178,24 @@ in
description = lib.mdDoc "Whether to run the server in debug mode.";
};
+ maxServers = mkOption {
+ type = types.int;
+ default = 25;
+ description = lib.mdDoc "Maximum number of starman workers to spawn.";
+ };
+
+ minSpareServers = mkOption {
+ type = types.int;
+ default = 4;
+ description = lib.mdDoc "Minimum number of spare starman workers to keep.";
+ };
+
+ maxSpareServers = mkOption {
+ type = types.int;
+ default = 5;
+ description = lib.mdDoc "Maximum number of spare starman workers to keep.";
+ };
+
extraConfig = mkOption {
type = types.lines;
description = lib.mdDoc "Extra lines for the Hydra configuration.";
@@ -224,6 +242,16 @@ in
###### implementation
config = mkIf cfg.enable {
+ assertions = [
+ {
+ assertion = cfg.maxServers != 0 && cfg.maxSpareServers != 0 && cfg.minSpareServers != 0;
+ message = "services.hydra.{minSpareServers,maxSpareServers,minSpareServers} cannot be 0";
+ }
+ {
+ assertion = cfg.minSpareServers < cfg.maxSpareServers;
+ message = "services.hydra.minSpareServers cannot be bigger than servives.hydra.maxSpareServers";
+ }
+ ];
users.groups.hydra = {
gid = config.ids.gids.hydra;
@@ -258,7 +286,7 @@ in
using_frontend_proxy = 1
base_uri = ${cfg.hydraURL}
notification_sender = ${cfg.notificationSender}
- max_servers = 25
+ max_servers = ${toString cfg.maxServers}
${optionalString (cfg.logo != null) ''
hydra_logo = ${cfg.logo}
''}
@@ -359,8 +387,8 @@ in
serviceConfig =
{ ExecStart =
"@${hydra-package}/bin/hydra-server hydra-server -f -h '${cfg.listenHost}' "
- + "-p ${toString cfg.port} --max_spare_servers 5 --max_servers 25 "
- + "--max_requests 100 ${optionalString cfg.debugServer "-d"}";
+ + "-p ${toString cfg.port} --min_spare_servers ${toString cfg.minSpareServers} --max_spare_servers ${toString cfg.maxSpareServers} "
+ + "--max_servers ${toString cfg.maxServers} --max_requests 100 ${optionalString cfg.debugServer "-d"}";
User = "hydra-www";
PermissionsStartOnly = true;
Restart = "always";
diff --git a/nixos/modules/services/databases/lldap.nix b/nixos/modules/services/databases/lldap.nix
index e821da8e58aa..033de7af886f 100644
--- a/nixos/modules/services/databases/lldap.nix
+++ b/nixos/modules/services/databases/lldap.nix
@@ -107,10 +107,25 @@ in
wants = [ "network-online.target" ];
after = [ "network-online.target" ];
wantedBy = [ "multi-user.target" ];
+ # lldap defaults to a hardcoded `jwt_secret` value if none is provided, which is bad, because
+ # an attacker could create a valid admin jwt access token fairly trivially.
+ # Because there are 3 different ways `jwt_secret` can be provided, we check if any one of them is present,
+ # and if not, bootstrap a secret in `/var/lib/lldap/jwt_secret_file` and give that to lldap.
+ script = lib.optionalString (!cfg.settings ? jwt_secret) ''
+ if [[ -z "$LLDAP_JWT_SECRET_FILE" ]] && [[ -z "$LLDAP_JWT_SECRET" ]]; then
+ if [[ ! -e "./jwt_secret_file" ]]; then
+ ${lib.getExe pkgs.openssl} rand -base64 -out ./jwt_secret_file 32
+ fi
+ export LLDAP_JWT_SECRET_FILE="./jwt_secret_file"
+ fi
+ '' + ''
+ ${lib.getExe cfg.package} run --config-file ${format.generate "lldap_config.toml" cfg.settings}
+ '';
serviceConfig = {
- ExecStart = "${lib.getExe cfg.package} run --config-file ${format.generate "lldap_config.toml" cfg.settings}";
StateDirectory = "lldap";
+ StateDirectoryMode = "0750";
WorkingDirectory = "%S/lldap";
+ UMask = "0027";
User = "lldap";
Group = "lldap";
DynamicUser = true;
diff --git a/nixos/modules/services/databases/memcached.nix b/nixos/modules/services/databases/memcached.nix
index 542c80ab2e67..fd943c20091a 100644
--- a/nixos/modules/services/databases/memcached.nix
+++ b/nixos/modules/services/databases/memcached.nix
@@ -37,7 +37,7 @@ in
description = lib.mdDoc "The port to bind to.";
};
- enableUnixSocket = mkEnableOption (lib.mdDoc "unix socket at /run/memcached/memcached.sock");
+ enableUnixSocket = mkEnableOption (lib.mdDoc "Unix Domain Socket at /run/memcached/memcached.sock instead of listening on an IP address and port. The `listen` and `port` options are ignored.");
maxMemory = mkOption {
type = types.ints.unsigned;
diff --git a/nixos/modules/services/databases/mysql.nix b/nixos/modules/services/databases/mysql.nix
index 128bb0862175..a6d71cca88de 100644
--- a/nixos/modules/services/databases/mysql.nix
+++ b/nixos/modules/services/databases/mysql.nix
@@ -7,6 +7,9 @@ let
cfg = config.services.mysql;
isMariaDB = lib.getName cfg.package == lib.getName pkgs.mariadb;
+ isOracle = lib.getName cfg.package == lib.getName pkgs.mysql80;
+ # Oracle MySQL has supported "notify" service type since 8.0
+ hasNotify = isMariaDB || (isOracle && versionAtLeast cfg.package.version "8.0");
mysqldOptions =
"--user=${cfg.user} --datadir=${cfg.dataDir} --basedir=${cfg.package}";
@@ -377,19 +380,11 @@ in
# The super user account to use on *first* run of MySQL server
superUser = if isMariaDB then cfg.user else "root";
in ''
- ${optionalString (!isMariaDB) ''
+ ${optionalString (!hasNotify) ''
# Wait until the MySQL server is available for use
- count=0
while [ ! -e /run/mysqld/mysqld.sock ]
do
- if [ $count -eq 30 ]
- then
- echo "Tried 30 times, giving up..."
- exit 1
- fi
-
echo "MySQL daemon not yet started. Waiting for 1 second..."
- count=$((count++))
sleep 1
done
''}
@@ -477,7 +472,7 @@ in
serviceConfig = mkMerge [
{
- Type = if isMariaDB then "notify" else "simple";
+ Type = if hasNotify then "notify" else "simple";
Restart = "on-abort";
RestartSec = "5s";
diff --git a/nixos/modules/services/databases/pgbouncer.nix b/nixos/modules/services/databases/pgbouncer.nix
index 65b287e84442..157d49c13161 100644
--- a/nixos/modules/services/databases/pgbouncer.nix
+++ b/nixos/modules/services/databases/pgbouncer.nix
@@ -66,9 +66,6 @@ let
${optionalString (cfg.adminUsers != null) "admin_users = ${cfg.adminUsers}"}
${optionalString (cfg.statsUsers != null) "stats_users = ${cfg.statsUsers}"}
- # linux
- pidfile = /run/pgbouncer/pgbouncer.pid
-
# extra
${cfg.extraConfig}
'';
@@ -96,10 +93,9 @@ in {
logFile = mkOption {
type = types.nullOr types.str;
- default = "pgbouncer.log";
+ default = null;
description = lib.mdDoc ''
- Specifies the log file.
- Either this or syslog has to be specified.
+ Specifies a log file in addition to journald.
'';
};
@@ -601,22 +597,21 @@ in {
systemd.services.pgbouncer = {
description = "PgBouncer - PostgreSQL connection pooler";
- wants = [ "postgresql.service" ];
- after = [ "postgresql.service" ];
+ wants = [ "network-online.target" ] ++ lib.optional config.services.postgresql.enable "postgresql.service";
+ after = [ "network-online.target" ] ++ lib.optional config.services.postgresql.enable "postgresql.service";
wantedBy = [ "multi-user.target" ];
serviceConfig = {
- Type = "forking";
+ Type = "notify";
User = cfg.user;
Group = cfg.group;
- ExecStart = "${pkgs.pgbouncer}/bin/pgbouncer -d ${confFile}";
+ ExecStart = "${lib.getExe pkgs.pgbouncer} ${confFile}";
ExecReload = "${pkgs.coreutils}/bin/kill -SIGHUP $MAINPID";
RuntimeDirectory = "pgbouncer";
- PIDFile = "/run/pgbouncer/pgbouncer.pid";
LimitNOFILE = cfg.openFilesLimit;
};
};
- networking.firewall.allowedTCPPorts = optional cfg.openFirewall cfg.port;
+ networking.firewall.allowedTCPPorts = optional cfg.openFirewall cfg.listenPort;
};
diff --git a/nixos/modules/services/databases/postgresql.md b/nixos/modules/services/databases/postgresql.md
index 7d141f12b5de..3ff1f00fa9cf 100644
--- a/nixos/modules/services/databases/postgresql.md
+++ b/nixos/modules/services/databases/postgresql.md
@@ -277,7 +277,7 @@ self: super: {
Here's a recipe on how to override a particular plugin through an overlay:
```
self: super: {
- postgresql_15 = super.postgresql_15.override { this = self.postgresql_15; } // {
+ postgresql_15 = super.postgresql_15// {
pkgs = super.postgresql_15.pkgs // {
pg_repack = super.postgresql_15.pkgs.pg_repack.overrideAttrs (_: {
name = "pg_repack-v20181024";
diff --git a/nixos/modules/services/databases/postgresql.nix b/nixos/modules/services/databases/postgresql.nix
index ed5915735730..c3f3b98ae5e7 100644
--- a/nixos/modules/services/databases/postgresql.nix
+++ b/nixos/modules/services/databases/postgresql.nix
@@ -14,7 +14,7 @@ let
# package = pkgs.postgresql_;
# };
# works.
- base = if cfg.enableJIT && !cfg.package.jitSupport then cfg.package.withJIT else cfg.package;
+ base = if cfg.enableJIT then cfg.package.withJIT else cfg.package;
in
if cfg.extraPlugins == []
then base
@@ -161,33 +161,6 @@ in
'';
};
- ensurePermissions = mkOption {
- type = types.attrsOf types.str;
- default = {};
- visible = false; # This option has been deprecated.
- description = lib.mdDoc ''
- This option is DEPRECATED and should not be used in nixpkgs anymore,
- use `ensureDBOwnership` instead. It can also break with newer
- versions of PostgreSQL (≥ 15).
-
- Permissions to ensure for the user, specified as an attribute set.
- The attribute names specify the database and tables to grant the permissions for.
- The attribute values specify the permissions to grant. You may specify one or
- multiple comma-separated SQL privileges here.
-
- For more information on how to specify the target
- and on which privileges exist, see the
- [GRANT syntax](https://www.postgresql.org/docs/current/sql-grant.html).
- The attributes are used as `GRANT ''${attrValue} ON ''${attrName}`.
- '';
- example = literalExpression ''
- {
- "DATABASE \"nextcloud\"" = "ALL PRIVILEGES";
- "ALL TABLES IN SCHEMA public" = "ALL PRIVILEGES";
- }
- '';
- };
-
ensureDBOwnership = mkOption {
type = types.bool;
default = false;
@@ -460,16 +433,6 @@ in
Offender: ${name} has not been found among databases.
'';
}) cfg.ensureUsers;
- # `ensurePermissions` is now deprecated, let's avoid it.
- warnings = lib.optional (any ({ ensurePermissions, ... }: ensurePermissions != {}) cfg.ensureUsers) "
- `services.postgresql.ensureUsers.*.ensurePermissions` is used in your expressions,
- this option is known to be broken with newer PostgreSQL versions,
- consider migrating to `services.postgresql.ensureUsers.*.ensureDBOwnership` or
- consult the release notes or manual for more migration guidelines.
-
- This option will be removed in NixOS 24.05 unless it sees significant
- maintenance improvements.
- ";
services.postgresql.settings =
{
@@ -583,11 +546,6 @@ in
concatMapStrings
(user:
let
- userPermissions = concatStringsSep "\n"
- (mapAttrsToList
- (database: permission: ''$PSQL -tAc 'GRANT ${permission} ON ${database} TO "${user.name}"' '')
- user.ensurePermissions
- );
dbOwnershipStmt = optionalString
user.ensureDBOwnership
''$PSQL -tAc 'ALTER DATABASE "${user.name}" OWNER TO "${user.name}";' '';
@@ -599,7 +557,6 @@ in
userClauses = ''$PSQL -tAc 'ALTER ROLE "${user.name}" ${concatStringsSep " " clauseSqlStatements}' '';
in ''
$PSQL -tAc "SELECT 1 FROM pg_roles WHERE rolname='${user.name}'" | grep -q 1 || $PSQL -tAc 'CREATE USER "${user.name}"'
- ${userPermissions}
${userClauses}
${dbOwnershipStmt}
diff --git a/nixos/modules/services/desktop-managers/plasma6.nix b/nixos/modules/services/desktop-managers/plasma6.nix
new file mode 100644
index 000000000000..e20b431f0b58
--- /dev/null
+++ b/nixos/modules/services/desktop-managers/plasma6.nix
@@ -0,0 +1,299 @@
+{
+ config,
+ lib,
+ pkgs,
+ utils,
+ ...
+}: let
+ cfg = config.services.desktopManager.plasma6;
+
+ inherit (pkgs) kdePackages;
+ inherit (lib) literalExpression mkDefault mkIf mkOption mkPackageOptionMD types;
+
+ activationScript = ''
+ # will be rebuilt automatically
+ rm -fv $HOME/.cache/ksycoca*
+ '';
+in {
+ options = {
+ services.desktopManager.plasma6 = {
+ enable = mkOption {
+ type = types.bool;
+ default = false;
+ description = lib.mdDoc "Enable the Plasma 6 (KDE 6) desktop environment.";
+ };
+
+ enableQt5Integration = mkOption {
+ type = types.bool;
+ default = true;
+ description = lib.mdDoc "Enable Qt 5 integration (theming, etc). Disable for a pure Qt 6 system.";
+ };
+
+ notoPackage = mkPackageOptionMD pkgs "Noto fonts - used for UI by default" {
+ default = ["noto-fonts"];
+ example = "noto-fonts-lgc-plus";
+ };
+ };
+
+ environment.plasma6.excludePackages = mkOption {
+ description = lib.mdDoc "List of default packages to exclude from the configuration";
+ type = types.listOf types.package;
+ default = [];
+ example = literalExpression "[ pkgs.kdePackages.elisa ]";
+ };
+ };
+
+ imports = [
+ (lib.mkRenamedOptionModule [ "services" "xserver" "desktopManager" "plasma6" "enable" ] [ "services" "desktopManager" "plasma6" "enable" ])
+ (lib.mkRenamedOptionModule [ "services" "xserver" "desktopManager" "plasma6" "enableQt5Integration" ] [ "services" "desktopManager" "plasma6" "enableQt5Integration" ])
+ (lib.mkRenamedOptionModule [ "services" "xserver" "desktopManager" "plasma6" "notoPackage" ] [ "services" "desktopManager" "plasma6" "notoPackage" ])
+ ];
+
+ config = mkIf cfg.enable {
+ assertions = [
+ {
+ assertion = cfg.enable -> !config.services.xserver.desktopManager.plasma5.enable;
+ message = "Cannot enable plasma5 and plasma6 at the same time!";
+ }
+ ];
+
+ qt.enable = true;
+ environment.systemPackages = with kdePackages; let
+ requiredPackages = [
+ # Hack? To make everything run on Wayland
+ qtwayland
+ # Needed to render SVG icons
+ qtsvg
+
+ # Frameworks with globally loadable bits
+ frameworkintegration # provides Qt plugin
+ kauth # provides helper service
+ kcoreaddons # provides extra mime type info
+ kded # provides helper service
+ kfilemetadata # provides Qt plugins
+ kguiaddons # provides geo URL handlers
+ kiconthemes # provides Qt plugins
+ kimageformats # provides Qt plugins
+ kio # provides helper service + a bunch of other stuff
+ kpackage # provides kpackagetool tool
+ kservice # provides kbuildsycoca6 tool
+ kwallet # provides helper service
+ kwallet-pam # provides helper service
+ kwalletmanager # provides KCMs and stuff
+ plasma-activities # provides plasma-activities-cli tool
+ solid # provides solid-hardware6 tool
+ phonon-vlc # provides Phonon plugin
+
+ # Core Plasma parts
+ kwin
+ pkgs.xwayland
+
+ kscreen
+ libkscreen
+
+ kscreenlocker
+
+ kactivitymanagerd
+ kde-cli-tools
+ kglobalacceld
+ kwrited # wall message proxy, not to be confused with kwrite
+
+ milou
+ polkit-kde-agent-1
+
+ plasma-desktop
+ plasma-workspace
+
+ # Crash handler
+ drkonqi
+
+ # Application integration
+ libplasma # provides Kirigami platform theme
+ plasma-integration # provides Qt platform theme
+ kde-gtk-config
+
+ # Artwork + themes
+ breeze
+ breeze-icons
+ breeze-gtk
+ ocean-sound-theme
+ plasma-workspace-wallpapers
+ pkgs.hicolor-icon-theme # fallback icons
+ qqc2-breeze-style
+ qqc2-desktop-style
+
+ # misc Plasma extras
+ kdeplasma-addons
+
+ pkgs.xdg-user-dirs # recommended upstream
+
+ # Plasma utilities
+ kmenuedit
+
+ kinfocenter
+ plasma-systemmonitor
+ ksystemstats
+ libksysguard
+
+ spectacle
+ systemsettings
+ kcmutils
+
+ # Gear
+ baloo
+ dolphin
+ dolphin-plugins
+ ffmpegthumbs
+ kdegraphics-thumbnailers
+ kde-inotify-survey
+ kio-admin
+ kio-extras
+ kio-fuse
+ ];
+ optionalPackages = [
+ plasma-browser-integration
+ konsole
+ (lib.getBin qttools) # Expose qdbus in PATH
+
+ ark
+ elisa
+ gwenview
+ okular
+ kate
+ khelpcenter
+ print-manager
+ ];
+ in
+ requiredPackages
+ ++ utils.removePackagesByName optionalPackages config.environment.plasma6.excludePackages
+ ++ lib.optionals config.services.desktopManager.plasma6.enableQt5Integration [
+ breeze.qt5
+ plasma-integration.qt5
+ pkgs.plasma5Packages.kwayland-integration
+ pkgs.plasma5Packages.kio
+ kio-extras-kf5
+ ]
+ # Optional hardware support features
+ ++ lib.optionals config.hardware.bluetooth.enable [bluedevil bluez-qt pkgs.openobex pkgs.obexftp]
+ ++ lib.optional config.networking.networkmanager.enable plasma-nm
+ ++ lib.optional config.hardware.pulseaudio.enable plasma-pa
+ ++ lib.optional config.services.pipewire.pulse.enable plasma-pa
+ ++ lib.optional config.powerManagement.enable powerdevil
+ ++ lib.optional config.services.colord.enable colord-kde
+ ++ lib.optional config.services.hardware.bolt.enable plasma-thunderbolt
+ ++ lib.optional config.services.samba.enable kdenetwork-filesharing
+ ++ lib.optional config.services.xserver.wacom.enable wacomtablet
+ ++ lib.optional config.services.flatpak.enable flatpak-kcm;
+
+ environment.pathsToLink = [
+ # FIXME: modules should link subdirs of `/share` rather than relying on this
+ "/share"
+ "/libexec" # for drkonqi
+ ];
+
+ environment.etc."X11/xkb".source = config.services.xserver.xkb.dir;
+
+ # Add ~/.config/kdedefaults to XDG_CONFIG_DIRS for shells, since Plasma sets that.
+ # FIXME: maybe we should append to XDG_CONFIG_DIRS in /etc/set-environment instead?
+ environment.sessionVariables.XDG_CONFIG_DIRS = ["$HOME/.config/kdedefaults"];
+
+ # Needed for things that depend on other store.kde.org packages to install correctly,
+ # notably Plasma look-and-feel packages (a.k.a. Global Themes)
+ #
+ # FIXME: this is annoyingly impure and should really be fixed at source level somehow,
+ # but kpackage is a library so we can't just wrap the one thing invoking it and be done.
+ # This also means things won't work for people not on Plasma, but at least this way it
+ # works for SOME people.
+ environment.sessionVariables.KPACKAGE_DEP_RESOLVERS_PATH = "${kdePackages.frameworkintegration.out}/libexec/kf6/kpackagehandlers";
+
+ # Enable GTK applications to load SVG icons
+ services.xserver.gdk-pixbuf.modulePackages = [pkgs.librsvg];
+
+ fonts.packages = [cfg.notoPackage pkgs.hack-font];
+ fonts.fontconfig.defaultFonts = {
+ monospace = ["Hack" "Noto Sans Mono"];
+ sansSerif = ["Noto Sans"];
+ serif = ["Noto Serif"];
+ };
+
+ programs.gnupg.agent.pinentryPackage = mkDefault pkgs.pinentry-qt;
+ programs.ssh.askPassword = mkDefault "${kdePackages.ksshaskpass.out}/bin/ksshaskpass";
+
+ # Enable helpful DBus services.
+ services.accounts-daemon.enable = true;
+ # when changing an account picture the accounts-daemon reads a temporary file containing the image which systemsettings5 may place under /tmp
+ systemd.services.accounts-daemon.serviceConfig.PrivateTmp = false;
+
+ services.power-profiles-daemon.enable = mkDefault true;
+ services.system-config-printer.enable = mkIf config.services.printing.enable (mkDefault true);
+ services.udisks2.enable = true;
+ services.upower.enable = config.powerManagement.enable;
+ services.xserver.libinput.enable = mkDefault true;
+
+ # Extra UDEV rules used by Solid
+ services.udev.packages = [
+ # libmtp has "bin", "dev", "out" outputs. UDEV rules file is in "out".
+ pkgs.libmtp.out
+ pkgs.media-player-info
+ ];
+
+ # Set up Dr. Konqi as crash handler
+ systemd.packages = [kdePackages.drkonqi];
+ systemd.services."drkonqi-coredump-processor@".wantedBy = ["systemd-coredump@.service"];
+
+ xdg.portal.enable = true;
+ xdg.portal.extraPortals = [kdePackages.xdg-desktop-portal-kde];
+ xdg.portal.configPackages = mkDefault [kdePackages.xdg-desktop-portal-kde];
+ services.pipewire.enable = mkDefault true;
+
+ services.xserver.displayManager = {
+ sessionPackages = [kdePackages.plasma-workspace];
+ defaultSession = mkDefault "plasma";
+ };
+ services.xserver.displayManager.sddm = {
+ package = kdePackages.sddm;
+ theme = mkDefault "breeze";
+ wayland.compositor = "kwin";
+ extraPackages = with kdePackages; [
+ breeze-icons
+ kirigami
+ plasma5support
+ qtsvg
+ qtvirtualkeyboard
+ ];
+ };
+
+ security.pam.services = {
+ login.kwallet = {
+ enable = true;
+ package = kdePackages.kwallet-pam;
+ };
+ kde.kwallet = {
+ enable = true;
+ package = kdePackages.kwallet-pam;
+ };
+ kde-fingerprint = lib.mkIf config.services.fprintd.enable { fprintAuth = true; };
+ kde-smartcard = lib.mkIf config.security.pam.p11.enable { p11Auth = true; };
+ };
+
+ programs.dconf.enable = true;
+
+ programs.firefox.nativeMessagingHosts.packages = [kdePackages.plasma-browser-integration];
+
+ programs.chromium = {
+ enablePlasmaBrowserIntegration = true;
+ plasmaBrowserIntegrationPackage = pkgs.kdePackages.plasma-browser-integration;
+ };
+
+ programs.kdeconnect.package = kdePackages.kdeconnect-kde;
+
+ # FIXME: ugly hack. See #292632 for details.
+ system.userActivationScripts.rebuildSycoca = activationScript;
+ systemd.user.services.nixos-rebuild-sycoca = {
+ description = "Rebuild KDE system configuration cache";
+ wantedBy = [ "graphical-session-pre.target" ];
+ serviceConfig.Type = "oneshot";
+ script = activationScript;
+ };
+ };
+}
diff --git a/nixos/modules/services/desktops/pipewire/pipewire.nix b/nixos/modules/services/desktops/pipewire/pipewire.nix
index da409030b3a3..182615cd4d6c 100644
--- a/nixos/modules/services/desktops/pipewire/pipewire.nix
+++ b/nixos/modules/services/desktops/pipewire/pipewire.nix
@@ -1,11 +1,15 @@
-# pipewire service.
+# PipeWire service.
{ config, lib, pkgs, ... }:
with lib;
let
json = pkgs.formats.json {};
- mapToFiles = location: config: concatMapAttrs (name: value: { "pipewire/${location}.conf.d/${name}.conf".source = json.generate "${name}" value;}) config;
+ mapToFiles = location: config: concatMapAttrs (name: value: { "share/pipewire/${location}.conf.d/${name}.conf" = json.generate "${name}" value; }) config;
+ extraConfigPkgFromFiles = locations: filesSet: pkgs.runCommand "pipewire-extra-config" { } ''
+ mkdir -p ${lib.concatMapStringsSep " " (l: "$out/share/pipewire/${l}.conf.d") locations}
+ ${lib.concatMapStringsSep ";" ({name, value}: "ln -s ${value} $out/${name}") (lib.attrsToList filesSet)}
+ '';
cfg = config.services.pipewire;
enable32BitAlsaPlugins = cfg.alsa.support32Bit
&& pkgs.stdenv.isx86_64
@@ -19,13 +23,48 @@ let
mkdir -p "$out/lib"
ln -s "${cfg.package.jack}/lib" "$out/lib/pipewire"
'';
+
+ configPackages = cfg.configPackages;
+
+ extraConfigPkg = extraConfigPkgFromFiles
+ [ "pipewire" "client" "client-rt" "jack" "pipewire-pulse" ]
+ (
+ mapToFiles "pipewire" cfg.extraConfig.pipewire
+ // mapToFiles "client" cfg.extraConfig.client
+ // mapToFiles "client-rt" cfg.extraConfig.client-rt
+ // mapToFiles "jack" cfg.extraConfig.jack
+ // mapToFiles "pipewire-pulse" cfg.extraConfig.pipewire-pulse
+ );
+
+ configs = pkgs.buildEnv {
+ name = "pipewire-configs";
+ paths = configPackages
+ ++ [ extraConfigPkg ]
+ ++ lib.optionals cfg.wireplumber.enable cfg.wireplumber.configPackages;
+ pathsToLink = [ "/share/pipewire" ];
+ };
+
+ requiredLv2Packages = lib.flatten
+ (
+ lib.concatMap
+ (p:
+ lib.attrByPath ["passthru" "requiredLv2Packages"] [] p
+ )
+ configPackages
+ );
+
+ lv2Plugins = pkgs.buildEnv {
+ name = "pipewire-lv2-plugins";
+ paths = cfg.extraLv2Packages ++ requiredLv2Packages;
+ pathsToLink = [ "/lib/lv2" ];
+ };
in {
meta.maintainers = teams.freedesktop.members ++ [ lib.maintainers.k900 ];
###### interface
options = {
services.pipewire = {
- enable = mkEnableOption (lib.mdDoc "pipewire service");
+ enable = mkEnableOption (lib.mdDoc "PipeWire service");
package = mkPackageOption pkgs "pipewire" { };
@@ -33,7 +72,7 @@ in {
default = true;
type = types.bool;
description = lib.mdDoc ''
- Automatically run pipewire when connections are made to the pipewire socket.
+ Automatically run PipeWire when connections are made to the PipeWire socket.
'';
};
@@ -56,6 +95,14 @@ in {
enable = mkEnableOption (lib.mdDoc "JACK audio emulation");
};
+ raopOpenFirewall = mkOption {
+ type = lib.types.bool;
+ default = false;
+ description = lib.mdDoc ''
+ Opens UDP/6001-6002, required by RAOP/Airplay for timing and control data.
+ '';
+ };
+
pulse = {
enable = mkEnableOption (lib.mdDoc "PulseAudio server emulation");
};
@@ -200,6 +247,34 @@ in {
'';
};
};
+
+ configPackages = lib.mkOption {
+ type = lib.types.listOf lib.types.package;
+ default = [];
+ description = lib.mdDoc ''
+ List of packages that provide PipeWire configuration, in the form of
+ `share/pipewire/*/*.conf` files.
+
+ LV2 dependencies will be picked up from config packages automatically
+ via `passthru.requiredLv2Packages`.
+ '';
+ };
+
+ extraLv2Packages = lib.mkOption {
+ type = lib.types.listOf lib.types.package;
+ default = [];
+ example = lib.literalExpression "[ pkgs.lsp-plugins ]";
+ description = lib.mdDoc ''
+ List of packages that provide LV2 plugins in `lib/lv2` that should
+ be made available to PipeWire for [filter chains][wiki-filter-chain].
+
+ Config packages have their required LV2 plugins added automatically,
+ so they don't need to be specified here. Config packages need to set
+ `passthru.requiredLv2Packages` for this to work.
+
+ [wiki-filter-chain]: https://docs.pipewire.org/page_module_filter_chain.html
+ '';
+ };
};
};
@@ -230,6 +305,18 @@ in {
assertion = (cfg.alsa.enable || cfg.pulse.enable) -> cfg.audio.enable;
message = "Using PipeWire's ALSA/PulseAudio compatibility layers requires running PipeWire as the sound server. Set `services.pipewire.audio.enable` to true.";
}
+ {
+ assertion = builtins.length
+ (builtins.attrNames
+ (
+ lib.filterAttrs
+ (name: value:
+ lib.hasPrefix "pipewire/" name || name == "pipewire"
+ )
+ config.environment.etc
+ )) == 1;
+ message = "Using `environment.etc.\"pipewire<...>\"` directly is no longer supported in 24.05. Use `services.pipewire.extraConfig` or `services.pipewire.configPackages` instead.";
+ }
];
environment.systemPackages = [ cfg.package ]
@@ -249,6 +336,9 @@ in {
systemd.user.sockets.pipewire.enable = !cfg.systemWide;
systemd.user.services.pipewire.enable = !cfg.systemWide;
+ systemd.services.pipewire.environment.LV2_PATH = lib.mkIf cfg.systemWide "${lv2Plugins}/lib/lv2";
+ systemd.user.services.pipewire.environment.LV2_PATH = lib.mkIf (!cfg.systemWide) "${lv2Plugins}/lib/lv2";
+
# Mask pw-pulse if it's not wanted
systemd.user.services.pipewire-pulse.enable = cfg.pulse.enable;
systemd.user.sockets.pipewire-pulse.enable = cfg.pulse.enable;
@@ -283,16 +373,14 @@ in {
"alsa/conf.d/99-pipewire-default.conf" = mkIf cfg.alsa.enable {
source = "${cfg.package}/share/alsa/alsa.conf.d/99-pipewire-default.conf";
};
- }
- // mapToFiles "pipewire" cfg.extraConfig.pipewire
- // mapToFiles "client" cfg.extraConfig.client
- // mapToFiles "client-rt" cfg.extraConfig.client-rt
- // mapToFiles "jack" cfg.extraConfig.jack
- // mapToFiles "pipewire-pulse" cfg.extraConfig.pipewire-pulse;
+ pipewire.source = "${configs}/share/pipewire";
+ };
environment.sessionVariables.LD_LIBRARY_PATH =
lib.mkIf cfg.jack.enable [ "${cfg.package.jack}/lib" ];
+ networking.firewall.allowedUDPPorts = lib.mkIf cfg.raopOpenFirewall [ 6001 6002 ];
+
users = lib.mkIf cfg.systemWide {
users.pipewire = {
uid = config.ids.uids.pipewire;
@@ -301,7 +389,7 @@ in {
"audio"
"video"
] ++ lib.optional config.security.rtkit.enable "rtkit";
- description = "Pipewire system service user";
+ description = "PipeWire system service user";
isSystemUser = true;
home = "/var/lib/pipewire";
createHome = true;
diff --git a/nixos/modules/services/desktops/pipewire/wireplumber.nix b/nixos/modules/services/desktops/pipewire/wireplumber.nix
index 95a7ece26c5d..de177d0e4ef3 100644
--- a/nixos/modules/services/desktops/pipewire/wireplumber.nix
+++ b/nixos/modules/services/desktops/pipewire/wireplumber.nix
@@ -14,60 +14,133 @@ in
type = lib.types.bool;
default = config.services.pipewire.enable;
defaultText = lib.literalExpression "config.services.pipewire.enable";
- description = lib.mdDoc "Whether to enable Wireplumber, a modular session / policy manager for PipeWire";
+ description = lib.mdDoc "Whether to enable WirePlumber, a modular session / policy manager for PipeWire";
};
package = lib.mkOption {
type = lib.types.package;
default = pkgs.wireplumber;
defaultText = lib.literalExpression "pkgs.wireplumber";
- description = lib.mdDoc "The wireplumber derivation to use.";
+ description = lib.mdDoc "The WirePlumber derivation to use.";
+ };
+
+ configPackages = lib.mkOption {
+ type = lib.types.listOf lib.types.package;
+ default = [ ];
+ description = lib.mdDoc ''
+ List of packages that provide WirePlumber configuration, in the form of
+ `share/wireplumber/*/*.lua` files.
+
+ LV2 dependencies will be picked up from config packages automatically
+ via `passthru.requiredLv2Packages`.
+ '';
+ };
+
+ extraLv2Packages = lib.mkOption {
+ type = lib.types.listOf lib.types.package;
+ default = [];
+ example = lib.literalExpression "[ pkgs.lsp-plugins ]";
+ description = lib.mdDoc ''
+ List of packages that provide LV2 plugins in `lib/lv2` that should
+ be made available to WirePlumber for [filter chains][wiki-filter-chain].
+
+ Config packages have their required LV2 plugins added automatically,
+ so they don't need to be specified here. Config packages need to set
+ `passthru.requiredLv2Packages` for this to work.
+
+ [wiki-filter-chain]: https://docs.pipewire.org/page_module_filter_chain.html
+ '';
};
};
};
- config = lib.mkIf cfg.enable {
- assertions = [
- {
- assertion = !config.hardware.bluetooth.hsphfpd.enable;
- message = "Using Wireplumber conflicts with hsphfpd, as it provides the same functionality. `hardware.bluetooth.hsphfpd.enable` needs be set to false";
- }
- ];
-
- environment.systemPackages = [ cfg.package ];
-
- environment.etc."wireplumber/main.lua.d/80-nixos.lua" = lib.mkIf (!pwUsedForAudio) {
- text = ''
- -- Pipewire is not used for audio, so prevent it from grabbing audio devices
- alsa_monitor.enable = function() end
+ config =
+ let
+ pwNotForAudioConfigPkg = pkgs.writeTextDir "share/wireplumber/wireplumber.conf.d/90-nixos-no-audio.conf" ''
+ # PipeWire is not used for audio, so WirePlumber should not be handling it
+ wireplumber.profiles = {
+ main = {
+ hardware.audio = disabled
+ hardware.bluetooth = disabled
+ }
+ }
'';
- };
- environment.etc."wireplumber/main.lua.d/80-systemwide.lua" = lib.mkIf config.services.pipewire.systemWide {
- text = ''
- -- When running system-wide, these settings need to be disabled (they
- -- use functions that aren't available on the system dbus).
- alsa_monitor.properties["alsa.reserve"] = false
- default_access.properties["enable-flatpak-portal"] = false
+
+ systemwideConfigPkg = pkgs.writeTextDir "share/wireplumber/wireplumber.conf.d/90-nixos-systemwide.conf" ''
+ # When running system-wide, we don't have logind to call ReserveDevice,
+ # And bluetooth logind integration needs to be disabled
+ wireplumber.profiles = {
+ main = {
+ support.reserve-device = disabled
+ monitor.bluez.seat-monitoring = disabled
+ }
+ }
'';
+
+ configPackages = cfg.configPackages
+ ++ lib.optional (!pwUsedForAudio) pwNotForAudioConfigPkg
+ ++ lib.optional config.services.pipewire.systemWide systemwideConfigPkg;
+
+ configs = pkgs.buildEnv {
+ name = "wireplumber-configs";
+ paths = configPackages;
+ pathsToLink = [ "/share/wireplumber" ];
+ };
+
+ requiredLv2Packages = lib.flatten
+ (
+ lib.concatMap
+ (p:
+ lib.attrByPath ["passthru" "requiredLv2Packages"] [] p
+ )
+ configPackages
+ );
+
+ lv2Plugins = pkgs.buildEnv {
+ name = "wireplumber-lv2-plugins";
+ paths = cfg.extraLv2Packages ++ requiredLv2Packages;
+ pathsToLink = [ "/lib/lv2" ];
+ };
+ in
+ lib.mkIf cfg.enable {
+ assertions = [
+ {
+ assertion = !config.hardware.bluetooth.hsphfpd.enable;
+ message = "Using WirePlumber conflicts with hsphfpd, as it provides the same functionality. `hardware.bluetooth.hsphfpd.enable` needs be set to false";
+ }
+ {
+ assertion = builtins.length
+ (builtins.attrNames
+ (
+ lib.filterAttrs
+ (name: value:
+ lib.hasPrefix "wireplumber/" name || name == "wireplumber"
+ )
+ config.environment.etc
+ )) == 1;
+ message = "Using `environment.etc.\"wireplumber<...>\"` directly is no longer supported in 24.05. Use `services.pipewire.wireplumber.configPackages` instead.";
+ }
+ ];
+
+ environment.systemPackages = [ cfg.package ];
+
+ environment.etc.wireplumber.source = "${configs}/share/wireplumber";
+
+ systemd.packages = [ cfg.package ];
+
+ systemd.services.wireplumber.enable = config.services.pipewire.systemWide;
+ systemd.user.services.wireplumber.enable = !config.services.pipewire.systemWide;
+
+ systemd.services.wireplumber.wantedBy = [ "pipewire.service" ];
+ systemd.user.services.wireplumber.wantedBy = [ "pipewire.service" ];
+
+ systemd.services.wireplumber.environment = lib.mkIf config.services.pipewire.systemWide {
+ # Force WirePlumber to use system dbus.
+ DBUS_SESSION_BUS_ADDRESS = "unix:path=/run/dbus/system_bus_socket";
+ LV2_PATH = "${lv2Plugins}/lib/lv2";
+ };
+
+ systemd.user.services.wireplumber.environment.LV2_PATH =
+ lib.mkIf (!config.services.pipewire.systemWide) "${lv2Plugins}/lib/lv2";
};
- environment.etc."wireplumber/bluetooth.lua.d/80-systemwide.lua" = lib.mkIf config.services.pipewire.systemWide {
- text = ''
- -- When running system-wide, logind-integration needs to be disabled.
- bluez_monitor.properties["with-logind"] = false
- '';
- };
-
- systemd.packages = [ cfg.package ];
-
- systemd.services.wireplumber.enable = config.services.pipewire.systemWide;
- systemd.user.services.wireplumber.enable = !config.services.pipewire.systemWide;
-
- systemd.services.wireplumber.wantedBy = [ "pipewire.service" ];
- systemd.user.services.wireplumber.wantedBy = [ "pipewire.service" ];
-
- systemd.services.wireplumber.environment = lib.mkIf config.services.pipewire.systemWide {
- # Force wireplumber to use system dbus.
- DBUS_SESSION_BUS_ADDRESS = "unix:path=/run/dbus/system_bus_socket";
- };
- };
}
diff --git a/nixos/modules/services/development/hoogle.nix b/nixos/modules/services/development/hoogle.nix
index 88dd01fd8aab..c90bb7f01902 100644
--- a/nixos/modules/services/development/hoogle.nix
+++ b/nixos/modules/services/development/hoogle.nix
@@ -56,6 +56,16 @@ in {
description = lib.mdDoc "Set the host to bind on.";
default = "127.0.0.1";
};
+
+ extraOptions = mkOption {
+ type = types.listOf types.str;
+ default = [];
+ example = [ "--no-security-headers" ];
+ description = lib.mdDoc ''
+ Additional command-line arguments to pass to
+ {command}`hoogle server`
+ '';
+ };
};
config = mkIf cfg.enable {
@@ -66,7 +76,10 @@ in {
serviceConfig = {
Restart = "always";
- ExecStart = ''${hoogleEnv}/bin/hoogle server --local --port ${toString cfg.port} --home ${cfg.home} --host ${cfg.host}'';
+ ExecStart = ''
+ ${hoogleEnv}/bin/hoogle server --local --port ${toString cfg.port} --home ${cfg.home} --host ${cfg.host} \
+ ${concatStringsSep " " cfg.extraOptions}
+ '';
DynamicUser = true;
diff --git a/nixos/modules/services/development/lorri.nix b/nixos/modules/services/development/lorri.nix
index 74f56f5890fc..df3d814d7444 100644
--- a/nixos/modules/services/development/lorri.nix
+++ b/nixos/modules/services/development/lorri.nix
@@ -44,8 +44,7 @@ in {
serviceConfig = {
ExecStart = "${cfg.package}/bin/lorri daemon";
PrivateTmp = true;
- ProtectSystem = "strict";
- ProtectHome = "read-only";
+ ProtectSystem = "full";
Restart = "on-failure";
};
};
diff --git a/nixos/modules/services/development/nixseparatedebuginfod.nix b/nixos/modules/services/development/nixseparatedebuginfod.nix
index daf85153d339..a2ec0d2c80e1 100644
--- a/nixos/modules/services/development/nixseparatedebuginfod.nix
+++ b/nixos/modules/services/development/nixseparatedebuginfod.nix
@@ -90,7 +90,9 @@ in
users.groups.nixseparatedebuginfod = { };
- nix.settings.extra-allowed-users = [ "nixseparatedebuginfod" ];
+ nix.settings = lib.optionalAttrs (lib.versionAtLeast config.nix.package.version "2.4") {
+ extra-allowed-users = [ "nixseparatedebuginfod" ];
+ };
environment.variables.DEBUGINFOD_URLS = "http://${url}";
diff --git a/nixos/modules/services/display-managers/greetd.nix b/nixos/modules/services/display-managers/greetd.nix
index 2212f97a9ffe..c2d345152de9 100644
--- a/nixos/modules/services/display-managers/greetd.nix
+++ b/nixos/modules/services/display-managers/greetd.nix
@@ -78,7 +78,7 @@ in
serviceConfig = {
ExecStart = "${pkgs.greetd.greetd}/bin/greetd --config ${settingsFormat.generate "greetd.toml" cfg.settings}";
- Restart = mkIf cfg.restart "always";
+ Restart = mkIf cfg.restart "on-success";
# Defaults from greetd upstream configuration
IgnoreSIGPIPE = false;
diff --git a/nixos/modules/services/games/archisteamfarm.nix b/nixos/modules/services/games/archisteamfarm.nix
index c00ae8116b39..4bb7234f430f 100644
--- a/nixos/modules/services/games/archisteamfarm.nix
+++ b/nixos/modules/services/games/archisteamfarm.nix
@@ -270,6 +270,6 @@ in
meta = {
buildDocsInSandbox = false;
- maintainers = with lib.maintainers; [ lom SuperSandro2000 ];
+ maintainers = with lib.maintainers; [ SuperSandro2000 ];
};
}
diff --git a/nixos/modules/services/games/armagetronad.nix b/nixos/modules/services/games/armagetronad.nix
new file mode 100644
index 000000000000..f79818e0e53b
--- /dev/null
+++ b/nixos/modules/services/games/armagetronad.nix
@@ -0,0 +1,268 @@
+{ config, lib, pkgs, ... }:
+let
+ inherit (lib) mkEnableOption mkIf mkOption mkMerge literalExpression;
+ inherit (lib) mapAttrsToList filterAttrs unique recursiveUpdate types;
+
+ mkValueStringArmagetron = with lib; v:
+ if isInt v then toString v
+ else if isFloat v then toString v
+ else if isString v then v
+ else if true == v then "1"
+ else if false == v then "0"
+ else if null == v then ""
+ else throw "unsupported type: ${builtins.typeOf v}: ${(lib.generators.toPretty {} v)}";
+
+ settingsFormat = pkgs.formats.keyValue {
+ mkKeyValue = lib.generators.mkKeyValueDefault
+ {
+ mkValueString = mkValueStringArmagetron;
+ } " ";
+ listsAsDuplicateKeys = true;
+ };
+
+ cfg = config.services.armagetronad;
+ enabledServers = lib.filterAttrs (n: v: v.enable) cfg.servers;
+ nameToId = serverName: "armagetronad-${serverName}";
+ getStateDirectory = serverName: "armagetronad/${serverName}";
+ getServerRoot = serverName: "/var/lib/${getStateDirectory serverName}";
+in
+{
+ options = {
+ services.armagetronad = {
+ servers = mkOption {
+ description = lib.mdDoc "Armagetron server definitions.";
+ default = { };
+ type = types.attrsOf (types.submodule {
+ options = {
+ enable = mkEnableOption (lib.mdDoc "armagetronad");
+
+ package = lib.mkPackageOptionMD pkgs "armagetronad-dedicated" {
+ example = ''
+ pkgs.armagetronad."0.2.9-sty+ct+ap".dedicated
+ '';
+ extraDescription = ''
+ Ensure that you use a derivation which contains the path `bin/armagetronad-dedicated`.
+ '';
+ };
+
+ host = mkOption {
+ type = types.str;
+ default = "0.0.0.0";
+ description = lib.mdDoc "Host to listen on. Used for SERVER_IP.";
+ };
+
+ port = mkOption {
+ type = types.port;
+ default = 4534;
+ description = lib.mdDoc "Port to listen on. Used for SERVER_PORT.";
+ };
+
+ dns = mkOption {
+ type = types.nullOr types.str;
+ default = null;
+ description = lib.mdDoc "DNS address to use for this server. Optional.";
+ };
+
+ openFirewall = mkOption {
+ type = types.bool;
+ default = true;
+ description = lib.mdDoc "Set to true to open the configured UDP port for Armagetron Advanced.";
+ };
+
+ name = mkOption {
+ type = types.str;
+ description = "The name of this server.";
+ };
+
+ settings = mkOption {
+ type = settingsFormat.type;
+ default = { };
+ description = lib.mdDoc ''
+ Armagetron Advanced server rules configuration. Refer to:
+
+ or `armagetronad-dedicated --doc` for a list.
+
+ This attrset is used to populate `settings_custom.cfg`; see:
+
+ '';
+ example = literalExpression ''
+ {
+ CYCLE_RUBBER = 40;
+ }
+ '';
+ };
+
+ roundSettings = mkOption {
+ type = settingsFormat.type;
+ default = { };
+ description = lib.mdDoc ''
+ Armagetron Advanced server per-round configuration. Refer to:
+
+ or `armagetronad-dedicated --doc` for a list.
+
+ This attrset is used to populate `everytime.cfg`; see:
+
+ '';
+ example = literalExpression ''
+ {
+ SAY = [
+ "Hosted on NixOS"
+ "https://nixos.org"
+ "iD Tech High Rubber rul3z!! Happy New Year 2008!!1"
+ ];
+ }
+ '';
+ };
+ };
+ });
+ };
+ };
+ };
+
+ config = mkIf (enabledServers != { }) {
+ systemd.tmpfiles.settings = mkMerge (mapAttrsToList
+ (serverName: serverCfg:
+ let
+ serverId = nameToId serverName;
+ serverRoot = getServerRoot serverName;
+ serverInfo = (
+ {
+ SERVER_IP = serverCfg.host;
+ SERVER_PORT = serverCfg.port;
+ SERVER_NAME = serverCfg.name;
+ } // (lib.optionalAttrs (serverCfg.dns != null) { SERVER_DNS = serverCfg.dns; })
+ );
+ customSettings = serverCfg.settings;
+ everytimeSettings = serverCfg.roundSettings;
+
+ serverInfoCfg = settingsFormat.generate "server_info.${serverName}.cfg" serverInfo;
+ customSettingsCfg = settingsFormat.generate "settings_custom.${serverName}.cfg" customSettings;
+ everytimeSettingsCfg = settingsFormat.generate "everytime.${serverName}.cfg" everytimeSettings;
+ in
+ {
+ "10-armagetronad-${serverId}" = {
+ "${serverRoot}/data" = {
+ d = {
+ group = serverId;
+ user = serverId;
+ mode = "0750";
+ };
+ };
+ "${serverRoot}/settings" = {
+ d = {
+ group = serverId;
+ user = serverId;
+ mode = "0750";
+ };
+ };
+ "${serverRoot}/var" = {
+ d = {
+ group = serverId;
+ user = serverId;
+ mode = "0750";
+ };
+ };
+ "${serverRoot}/resource" = {
+ d = {
+ group = serverId;
+ user = serverId;
+ mode = "0750";
+ };
+ };
+ "${serverRoot}/input" = {
+ "f+" = {
+ group = serverId;
+ user = serverId;
+ mode = "0640";
+ };
+ };
+ "${serverRoot}/settings/server_info.cfg" = {
+ "L+" = {
+ argument = "${serverInfoCfg}";
+ };
+ };
+ "${serverRoot}/settings/settings_custom.cfg" = {
+ "L+" = {
+ argument = "${customSettingsCfg}";
+ };
+ };
+ "${serverRoot}/settings/everytime.cfg" = {
+ "L+" = {
+ argument = "${everytimeSettingsCfg}";
+ };
+ };
+ };
+ }
+ )
+ enabledServers
+ );
+
+ systemd.services = mkMerge (mapAttrsToList
+ (serverName: serverCfg:
+ let
+ serverId = nameToId serverName;
+ in
+ {
+ "armagetronad-${serverName}" = {
+ description = "Armagetron Advanced Dedicated Server for ${serverName}";
+ wants = [ "basic.target" ];
+ after = [ "basic.target" "network.target" "multi-user.target" ];
+ wantedBy = [ "multi-user.target" ];
+ serviceConfig =
+ let
+ serverRoot = getServerRoot serverName;
+ in
+ {
+ Type = "simple";
+ StateDirectory = getStateDirectory serverName;
+ ExecStart = "${lib.getExe serverCfg.package} --daemon --input ${serverRoot}/input --userdatadir ${serverRoot}/data --userconfigdir ${serverRoot}/settings --vardir ${serverRoot}/var --autoresourcedir ${serverRoot}/resource";
+ Restart = "on-failure";
+ CapabilityBoundingSet = "";
+ LockPersonality = true;
+ NoNewPrivileges = true;
+ PrivateDevices = true;
+ PrivateTmp = true;
+ PrivateUsers = true;
+ ProtectClock = true;
+ ProtectControlGroups = true;
+ ProtectHome = true;
+ ProtectHostname = true;
+ ProtectKernelLogs = true;
+ ProtectKernelModules = true;
+ ProtectKernelTunables = true;
+ ProtectProc = "invisible";
+ ProtectSystem = "strict";
+ RestrictNamespaces = true;
+ RestrictSUIDSGID = true;
+ User = serverId;
+ Group = serverId;
+ };
+ };
+ })
+ enabledServers
+ );
+
+ networking.firewall.allowedUDPPorts =
+ unique (mapAttrsToList (serverName: serverCfg: serverCfg.port) (filterAttrs (serverName: serverCfg: serverCfg.openFirewall) enabledServers));
+
+ users.users = mkMerge (mapAttrsToList
+ (serverName: serverCfg:
+ {
+ ${nameToId serverName} = {
+ group = nameToId serverName;
+ description = "Armagetron Advanced dedicated user for server ${serverName}";
+ isSystemUser = true;
+ };
+ })
+ enabledServers
+ );
+
+ users.groups = mkMerge (mapAttrsToList
+ (serverName: serverCfg:
+ {
+ ${nameToId serverName} = { };
+ })
+ enabledServers
+ );
+ };
+}
diff --git a/nixos/modules/services/games/teeworlds.nix b/nixos/modules/services/games/teeworlds.nix
index bd0df1ffca57..04b611fb3cb1 100644
--- a/nixos/modules/services/games/teeworlds.nix
+++ b/nixos/modules/services/games/teeworlds.nix
@@ -6,13 +6,86 @@ let
cfg = config.services.teeworlds;
register = cfg.register;
+ bool = b: if b != null && b then "1" else "0";
+ optionalSetting = s: setting: optionalString (s != null) "${setting} ${s}";
+ lookup = attrs: key: default: if attrs ? key then attrs."${key}" else default;
+
+ inactivePenaltyOptions = {
+ "spectator" = "1";
+ "spectator/kick" = "2";
+ "kick" = "3";
+ };
+ skillLevelOptions = {
+ "casual" = "0";
+ "normal" = "1";
+ "competitive" = "2";
+ };
+ tournamentModeOptions = {
+ "disable" = "0";
+ "enable" = "1";
+ "restrictSpectators" = "2";
+ };
+
teeworldsConf = pkgs.writeText "teeworlds.cfg" ''
sv_port ${toString cfg.port}
- sv_register ${if cfg.register then "1" else "0"}
- ${optionalString (cfg.name != null) "sv_name ${cfg.name}"}
- ${optionalString (cfg.motd != null) "sv_motd ${cfg.motd}"}
- ${optionalString (cfg.password != null) "password ${cfg.password}"}
- ${optionalString (cfg.rconPassword != null) "sv_rcon_password ${cfg.rconPassword}"}
+ sv_register ${bool cfg.register}
+ sv_name ${cfg.name}
+ ${optionalSetting cfg.motd "sv_motd"}
+ ${optionalSetting cfg.password "password"}
+ ${optionalSetting cfg.rconPassword "sv_rcon_password"}
+
+ ${optionalSetting cfg.server.bindAddr "bindaddr"}
+ ${optionalSetting cfg.server.hostName "sv_hostname"}
+ sv_high_bandwidth ${bool cfg.server.enableHighBandwidth}
+ sv_inactivekick ${lookup inactivePenaltyOptions cfg.server.inactivePenalty "spectator/kick"}
+ sv_inactivekick_spec ${bool cfg.server.kickInactiveSpectators}
+ sv_inactivekick_time ${toString cfg.server.inactiveTime}
+ sv_max_clients ${toString cfg.server.maxClients}
+ sv_max_clients_per_ip ${toString cfg.server.maxClientsPerIP}
+ sv_skill_level ${lookup skillLevelOptions cfg.server.skillLevel "normal"}
+ sv_spamprotection ${bool cfg.server.enableSpamProtection}
+
+ sv_gametype ${cfg.game.gameType}
+ sv_map ${cfg.game.map}
+ sv_match_swap ${bool cfg.game.swapTeams}
+ sv_player_ready_mode ${bool cfg.game.enableReadyMode}
+ sv_player_slots ${toString cfg.game.playerSlots}
+ sv_powerups ${bool cfg.game.enablePowerups}
+ sv_scorelimit ${toString cfg.game.scoreLimit}
+ sv_strict_spectate_mode ${bool cfg.game.restrictSpectators}
+ sv_teamdamage ${bool cfg.game.enableTeamDamage}
+ sv_timelimit ${toString cfg.game.timeLimit}
+ sv_tournament_mode ${lookup tournamentModeOptions cfg.server.tournamentMode "disable"}
+ sv_vote_kick ${bool cfg.game.enableVoteKick}
+ sv_vote_kick_bantime ${toString cfg.game.voteKickBanTime}
+ sv_vote_kick_min ${toString cfg.game.voteKickMinimumPlayers}
+
+ ${optionalSetting cfg.server.bindAddr "bindaddr"}
+ ${optionalSetting cfg.server.hostName "sv_hostname"}
+ sv_high_bandwidth ${bool cfg.server.enableHighBandwidth}
+ sv_inactivekick ${lookup inactivePenaltyOptions cfg.server.inactivePenalty "spectator/kick"}
+ sv_inactivekick_spec ${bool cfg.server.kickInactiveSpectators}
+ sv_inactivekick_time ${toString cfg.server.inactiveTime}
+ sv_max_clients ${toString cfg.server.maxClients}
+ sv_max_clients_per_ip ${toString cfg.server.maxClientsPerIP}
+ sv_skill_level ${lookup skillLevelOptions cfg.server.skillLevel "normal"}
+ sv_spamprotection ${bool cfg.server.enableSpamProtection}
+
+ sv_gametype ${cfg.game.gameType}
+ sv_map ${cfg.game.map}
+ sv_match_swap ${bool cfg.game.swapTeams}
+ sv_player_ready_mode ${bool cfg.game.enableReadyMode}
+ sv_player_slots ${toString cfg.game.playerSlots}
+ sv_powerups ${bool cfg.game.enablePowerups}
+ sv_scorelimit ${toString cfg.game.scoreLimit}
+ sv_strict_spectate_mode ${bool cfg.game.restrictSpectators}
+ sv_teamdamage ${bool cfg.game.enableTeamDamage}
+ sv_timelimit ${toString cfg.game.timeLimit}
+ sv_tournament_mode ${lookup tournamentModeOptions cfg.server.tournamentMode "disable"}
+ sv_vote_kick ${bool cfg.game.enableVoteKick}
+ sv_vote_kick_bantime ${toString cfg.game.voteKickBanTime}
+ sv_vote_kick_min ${toString cfg.game.voteKickMinimumPlayers}
+
${concatStringsSep "\n" cfg.extraOptions}
'';
@@ -22,17 +95,19 @@ in
services.teeworlds = {
enable = mkEnableOption (lib.mdDoc "Teeworlds Server");
+ package = mkPackageOptionMD pkgs "teeworlds-server" { };
+
openPorts = mkOption {
type = types.bool;
default = false;
- description = lib.mdDoc "Whether to open firewall ports for Teeworlds";
+ description = lib.mdDoc "Whether to open firewall ports for Teeworlds.";
};
name = mkOption {
- type = types.nullOr types.str;
- default = null;
+ type = types.str;
+ default = "unnamed server";
description = lib.mdDoc ''
- Name of the server. Defaults to 'unnamed server'.
+ Name of the server.
'';
};
@@ -41,7 +116,7 @@ in
example = true;
default = false;
description = lib.mdDoc ''
- Whether the server registers as public server in the global server list. This is disabled by default because of privacy.
+ Whether the server registers as a public server in the global server list. This is disabled by default for privacy reasons.
'';
};
@@ -49,7 +124,7 @@ in
type = types.nullOr types.str;
default = null;
description = lib.mdDoc ''
- Set the server message of the day text.
+ The server's message of the day text.
'';
};
@@ -85,6 +160,217 @@ in
'';
example = [ "sv_map dm1" "sv_gametype dm" ];
};
+
+ server = {
+ bindAddr = mkOption {
+ type = types.nullOr types.str;
+ default = null;
+ description = lib.mdDoc ''
+ The address the server will bind to.
+ '';
+ };
+
+ enableHighBandwidth = mkOption {
+ type = types.bool;
+ default = false;
+ description = lib.mdDoc ''
+ Whether to enable high bandwidth mode on LAN servers. This will double the amount of bandwidth required for running the server.
+ '';
+ };
+
+ hostName = mkOption {
+ type = types.nullOr types.str;
+ default = null;
+ description = lib.mdDoc ''
+ Hostname for the server.
+ '';
+ };
+
+ inactivePenalty = mkOption {
+ type = types.enum [ "spectator" "spectator/kick" "kick" ];
+ example = "spectator";
+ default = "spectator/kick";
+ description = lib.mdDoc ''
+ Specify what to do when a client goes inactive (see [](#opt-services.teeworlds.server.inactiveTime)).
+
+ - `spectator`: send the client into spectator mode
+
+ - `spectator/kick`: send the client into a free spectator slot, otherwise kick the client
+
+ - `kick`: kick the client
+ '';
+ };
+
+ kickInactiveSpectators = mkOption {
+ type = types.bool;
+ default = false;
+ description = lib.mdDoc ''
+ Whether to kick inactive spectators.
+ '';
+ };
+
+ inactiveTime = mkOption {
+ type = types.ints.unsigned;
+ default = 3;
+ description = lib.mdDoc ''
+ The amount of minutes a client has to idle before it is considered inactive.
+ '';
+ };
+
+ maxClients = mkOption {
+ type = types.ints.unsigned;
+ default = 12;
+ description = lib.mdDoc ''
+ The maximum amount of clients that can be connected to the server at the same time.
+ '';
+ };
+
+ maxClientsPerIP = mkOption {
+ type = types.ints.unsigned;
+ default = 12;
+ description = lib.mdDoc ''
+ The maximum amount of clients with the same IP address that can be connected to the server at the same time.
+ '';
+ };
+
+ skillLevel = mkOption {
+ type = types.enum [ "casual" "normal" "competitive" ];
+ default = "normal";
+ description = lib.mdDoc ''
+ The skill level shown in the server browser.
+ '';
+ };
+
+ enableSpamProtection = mkOption {
+ type = types.bool;
+ default = true;
+ description = lib.mdDoc ''
+ Whether to enable chat spam protection.
+ '';
+ };
+ };
+
+ game = {
+ gameType = mkOption {
+ type = types.str;
+ example = "ctf";
+ default = "dm";
+ description = lib.mdDoc ''
+ The game type to use on the server.
+
+ The default gametypes are `dm`, `tdm`, `ctf`, `lms`, and `lts`.
+ '';
+ };
+
+ map = mkOption {
+ type = types.str;
+ example = "ctf5";
+ default = "dm1";
+ description = lib.mdDoc ''
+ The map to use on the server.
+ '';
+ };
+
+ swapTeams = mkOption {
+ type = types.bool;
+ default = true;
+ description = lib.mdDoc ''
+ Whether to swap teams each round.
+ '';
+ };
+
+ enableReadyMode = mkOption {
+ type = types.bool;
+ default = false;
+ description = lib.mdDoc ''
+ Whether to enable "ready mode"; where players can pause/unpause the game
+ and start the game in warmup, using their ready state.
+ '';
+ };
+
+ playerSlots = mkOption {
+ type = types.ints.unsigned;
+ default = 8;
+ description = lib.mdDoc ''
+ The amount of slots to reserve for players (as opposed to spectators).
+ '';
+ };
+
+ enablePowerups = mkOption {
+ type = types.bool;
+ default = true;
+ description = lib.mdDoc ''
+ Whether to allow powerups such as the ninja.
+ '';
+ };
+
+ scoreLimit = mkOption {
+ type = types.ints.unsigned;
+ example = 400;
+ default = 20;
+ description = lib.mdDoc ''
+ The score limit needed to win a round.
+ '';
+ };
+
+ restrictSpectators = mkOption {
+ type = types.bool;
+ default = false;
+ description = lib.mdDoc ''
+ Whether to restrict access to information such as health, ammo and armour in spectator mode.
+ '';
+ };
+
+ enableTeamDamage = mkOption {
+ type = types.bool;
+ default = false;
+ description = lib.mdDoc ''
+ Whether to enable team damage; whether to allow team mates to inflict damage on one another.
+ '';
+ };
+
+ timeLimit = mkOption {
+ type = types.ints.unsigned;
+ default = 0;
+ description = lib.mdDoc ''
+ Time limit of the game. In cases of equal points, there will be sudden death.
+ Setting this to 0 disables a time limit.
+ '';
+ };
+
+ tournamentMode = mkOption {
+ type = types.enum [ "disable" "enable" "restrictSpectators" ];
+ default = "disable";
+ description = lib.mdDoc ''
+ Whether to enable tournament mode. In tournament mode, players join as spectators.
+ If this is set to `restrictSpectators`, tournament mode is enabled but spectator chat is restricted.
+ '';
+ };
+
+ enableVoteKick = mkOption {
+ type = types.bool;
+ default = true;
+ description = lib.mdDoc ''
+ Whether to enable voting to kick players.
+ '';
+ };
+
+ voteKickBanTime = mkOption {
+ type = types.ints.unsigned;
+ default = 5;
+ description = lib.mdDoc ''
+ The amount of minutes that a player is banned for if they get kicked by a vote.
+ '';
+ };
+
+ voteKickMinimumPlayers = mkOption {
+ type = types.ints.unsigned;
+ default = 5;
+ description = lib.mdDoc ''
+ The minimum amount of players required to start a kick vote.
+ '';
+ };
+ };
};
};
@@ -100,7 +386,7 @@ in
serviceConfig = {
DynamicUser = true;
- ExecStart = "${pkgs.teeworlds-server}/bin/teeworlds_srv -f ${teeworldsConf}";
+ ExecStart = "${cfg.package}/bin/teeworlds_srv -f ${teeworldsConf}";
# Hardening
CapabilityBoundingSet = false;
diff --git a/nixos/modules/services/hardware/asusd.nix b/nixos/modules/services/hardware/asusd.nix
index ebbdea26c051..ff9a751e5be8 100644
--- a/nixos/modules/services/hardware/asusd.nix
+++ b/nixos/modules/services/hardware/asusd.nix
@@ -8,6 +8,8 @@ in
services.asusd = {
enable = lib.mkEnableOption (lib.mdDoc "the asusd service for ASUS ROG laptops");
+ package = lib.mkPackageOption pkgs "asusctl" { };
+
enableUserService = lib.mkOption {
type = lib.types.bool;
default = false;
@@ -73,7 +75,7 @@ in
};
config = lib.mkIf cfg.enable {
- environment.systemPackages = [ pkgs.asusctl ];
+ environment.systemPackages = [ cfg.package ];
environment.etc =
let
@@ -92,9 +94,9 @@ in
};
services.dbus.enable = true;
- systemd.packages = [ pkgs.asusctl ];
- services.dbus.packages = [ pkgs.asusctl ];
- services.udev.packages = [ pkgs.asusctl ];
+ systemd.packages = [ cfg.package ];
+ services.dbus.packages = [ cfg.package ];
+ services.udev.packages = [ cfg.package ];
services.supergfxd.enable = lib.mkDefault true;
systemd.user.services.asusd-user.enable = cfg.enableUserService;
diff --git a/nixos/modules/services/hardware/bolt.nix b/nixos/modules/services/hardware/bolt.nix
index 6990a9ea63b3..3bdf67cc1758 100644
--- a/nixos/modules/services/hardware/bolt.nix
+++ b/nixos/modules/services/hardware/bolt.nix
@@ -1,14 +1,13 @@
-# Thunderbolt 3 device manager
-
{ config, lib, pkgs, ...}:
with lib;
+let
+ cfg = config.services.hardware.bolt;
+in
{
options = {
-
services.hardware.bolt = {
-
enable = mkOption {
type = types.bool;
default = false;
@@ -20,15 +19,13 @@ with lib;
'';
};
+ package = mkPackageOption pkgs "bolt" { };
};
-
};
- config = mkIf config.services.hardware.bolt.enable {
-
- environment.systemPackages = [ pkgs.bolt ];
- services.udev.packages = [ pkgs.bolt ];
- systemd.packages = [ pkgs.bolt ];
-
+ config = mkIf cfg.enable {
+ environment.systemPackages = [ cfg.package ];
+ services.udev.packages = [ cfg.package ];
+ systemd.packages = [ cfg.package ];
};
}
diff --git a/nixos/modules/services/hardware/fwupd.nix b/nixos/modules/services/hardware/fwupd.nix
index 8a9e38d0547b..c4837ff80ec7 100644
--- a/nixos/modules/services/hardware/fwupd.nix
+++ b/nixos/modules/services/hardware/fwupd.nix
@@ -14,11 +14,11 @@ let
customEtc = {
"fwupd/fwupd.conf" = {
- source = format.generate "fwupd.conf" {
+ source = format.generate "fwupd.conf" ({
fwupd = cfg.daemonSettings;
} // lib.optionalAttrs (lib.length (lib.attrNames cfg.uefiCapsuleSettings) != 0) {
uefi_capsule = cfg.uefiCapsuleSettings;
- };
+ });
# fwupd tries to chmod the file if it doesn't have the right permissions
mode = "0640";
};
diff --git a/nixos/modules/services/hardware/hddfancontrol.nix b/nixos/modules/services/hardware/hddfancontrol.nix
index f472b5774cbf..746154e7aa17 100644
--- a/nixos/modules/services/hardware/hddfancontrol.nix
+++ b/nixos/modules/services/hardware/hddfancontrol.nix
@@ -60,6 +60,10 @@ in
systemd.services.hddfancontrol = {
wantedBy = [ "multi-user.target" ];
environment.HDDFANCONTROL_ARGS = lib.escapeShellArgs args;
+ serviceConfig = {
+ # Hardening
+ PrivateNetwork = true;
+ };
};
}
);
diff --git a/nixos/modules/services/hardware/monado.nix b/nixos/modules/services/hardware/monado.nix
new file mode 100644
index 000000000000..9f9c6c39a0b4
--- /dev/null
+++ b/nixos/modules/services/hardware/monado.nix
@@ -0,0 +1,102 @@
+{ config
+, lib
+, pkgs
+, ...
+}:
+let
+ inherit (lib) mkDefault mkEnableOption mkIf mkOption mkPackageOption types;
+
+ cfg = config.services.monado;
+
+in
+{
+ options.services.monado = {
+ enable = mkEnableOption "Monado user service";
+
+ package = mkPackageOption pkgs "monado" { };
+
+ defaultRuntime = mkOption {
+ type = types.bool;
+ description = ''
+ Whether to enable Monado as the default OpenXR runtime on the system.
+
+ Note that applications can bypass this option by setting an active
+ runtime in a writable XDG_CONFIG_DIRS location like `~/.config`.
+ '';
+ default = false;
+ example = true;
+ };
+
+ highPriority = mkEnableOption "high priority capability for monado-service"
+ // mkOption { default = true; };
+ };
+
+ config = mkIf cfg.enable {
+ security.wrappers."monado-service" = mkIf cfg.highPriority {
+ setuid = false;
+ owner = "root";
+ group = "root";
+ # cap_sys_nice needed for asynchronous reprojection
+ capabilities = "cap_sys_nice+eip";
+ source = lib.getExe' cfg.package "monado-service";
+ };
+
+ services.udev.packages = with pkgs; [ xr-hardware ];
+
+ systemd.user = {
+ services.monado = {
+ description = "Monado XR runtime service module";
+ requires = [ "monado.socket" ];
+ conflicts = [ "monado-dev.service" ];
+
+ unitConfig.ConditionUser = "!root";
+
+ environment = {
+ # Default options
+ # https://gitlab.freedesktop.org/monado/monado/-/blob/4548e1738591d0904f8db4df8ede652ece889a76/src/xrt/targets/service/monado.in.service#L12
+ XRT_COMPOSITOR_LOG = mkDefault "debug";
+ XRT_PRINT_OPTIONS = mkDefault "on";
+ IPC_EXIT_ON_DISCONNECT = mkDefault "off";
+ };
+
+ serviceConfig = {
+ ExecStart =
+ if cfg.highPriority
+ then "${config.security.wrapperDir}/monado-service"
+ else lib.getExe' cfg.package "monado-service";
+ Restart = "no";
+ };
+
+ restartTriggers = [ cfg.package ];
+ };
+
+ sockets.monado = {
+ description = "Monado XR service module connection socket";
+ conflicts = [ "monado-dev.service" ];
+
+ unitConfig.ConditionUser = "!root";
+
+ socketConfig = {
+ ListenStream = "%t/monado_comp_ipc";
+ RemoveOnStop = true;
+
+ # If Monado crashes while starting up, we want to close incoming OpenXR connections
+ FlushPending = true;
+ };
+
+ restartTriggers = [ cfg.package ];
+
+ wantedBy = [ "sockets.target" ];
+ };
+ };
+
+ environment.systemPackages = [ cfg.package ];
+ environment.pathsToLink = [ "/share/openxr" ];
+
+ environment.etc."xdg/openxr/1/active_runtime.json" = mkIf cfg.defaultRuntime {
+ source = "${cfg.package}/share/openxr/1/openxr_monado.json";
+ };
+ };
+
+ meta.maintainers = with lib.maintainers; [ Scrumplex ];
+}
diff --git a/nixos/modules/services/hardware/nvidia-container-toolkit-cdi-generator/cdi-generate.nix b/nixos/modules/services/hardware/nvidia-container-toolkit-cdi-generator/cdi-generate.nix
new file mode 100644
index 000000000000..1aaa2d07b9bd
--- /dev/null
+++ b/nixos/modules/services/hardware/nvidia-container-toolkit-cdi-generator/cdi-generate.nix
@@ -0,0 +1,60 @@
+{
+ addDriverRunpath,
+ glibc,
+ jq,
+ lib,
+ nvidia-container-toolkit,
+ nvidia-driver,
+ runtimeShell,
+ writeScriptBin,
+}:
+let
+ mountOptions = { options = ["ro" "nosuid" "nodev" "bind"]; };
+ mounts = [
+ # FIXME: Making /usr mounts optional
+ { hostPath = lib.getExe' nvidia-driver "nvidia-cuda-mps-control";
+ containerPath = "/usr/bin/nvidia-cuda-mps-control"; }
+ { hostPath = lib.getExe' nvidia-driver "nvidia-cuda-mps-server";
+ containerPath = "/usr/bin/nvidia-cuda-mps-server"; }
+ { hostPath = lib.getExe' nvidia-driver "nvidia-debugdump";
+ containerPath = "/usr/bin/nvidia-debugdump"; }
+ { hostPath = lib.getExe' nvidia-driver "nvidia-powerd";
+ containerPath = "/usr/bin/nvidia-powerd"; }
+ { hostPath = lib.getExe' nvidia-driver "nvidia-smi";
+ containerPath = "/usr/bin/nvidia-smi"; }
+ { hostPath = lib.getExe' nvidia-container-toolkit "nvidia-ctk";
+ containerPath = "/usr/bin/nvidia-ctk"; }
+ { hostPath = "${lib.getLib glibc}/lib";
+ containerPath = "${lib.getLib glibc}/lib"; }
+
+ # FIXME: use closureinfo
+ {
+ hostPath = addDriverRunpath.driverLink;
+ containerPath = addDriverRunpath.driverLink;
+ }
+ { hostPath = "${lib.getLib glibc}/lib";
+ containerPath = "${lib.getLib glibc}/lib"; }
+ { hostPath = "${lib.getLib glibc}/lib64";
+ containerPath = "${lib.getLib glibc}/lib64"; }
+ ];
+ jqAddMountExpression = ".containerEdits.mounts[.containerEdits.mounts | length] |= . +";
+ mountsToJq = lib.concatMap
+ (mount:
+ ["${lib.getExe jq} '${jqAddMountExpression} ${builtins.toJSON (mount // mountOptions)}'"])
+ mounts;
+in
+writeScriptBin "nvidia-cdi-generator"
+''
+#! ${runtimeShell}
+
+function cdiGenerate {
+ ${lib.getExe' nvidia-container-toolkit "nvidia-ctk"} cdi generate \
+ --format json \
+ --ldconfig-path ${lib.getExe' glibc "ldconfig"} \
+ --library-search-path ${lib.getLib nvidia-driver}/lib \
+ --nvidia-ctk-path ${lib.getExe' nvidia-container-toolkit "nvidia-ctk"}
+}
+
+cdiGenerate | \
+ ${lib.concatStringsSep " | " mountsToJq} > $RUNTIME_DIRECTORY/nvidia-container-toolkit.json
+''
diff --git a/nixos/modules/services/hardware/nvidia-container-toolkit-cdi-generator/default.nix b/nixos/modules/services/hardware/nvidia-container-toolkit-cdi-generator/default.nix
new file mode 100644
index 000000000000..b95bdf191fad
--- /dev/null
+++ b/nixos/modules/services/hardware/nvidia-container-toolkit-cdi-generator/default.nix
@@ -0,0 +1,40 @@
+{ config, lib, pkgs, ... }:
+
+{
+
+ options = {
+
+ hardware.nvidia-container-toolkit-cdi-generator.enable = lib.mkOption {
+ default = false;
+ internal = true;
+ visible = false;
+ type = lib.types.bool;
+ description = lib.mdDoc ''
+ Enable dynamic CDI configuration for NVidia devices by running
+ nvidia-container-toolkit on boot.
+ '';
+ };
+
+ };
+
+ config = {
+
+ systemd.services.nvidia-container-toolkit-cdi-generator = lib.mkIf config.hardware.nvidia-container-toolkit-cdi-generator.enable {
+ description = "Container Device Interface (CDI) for Nvidia generator";
+ wantedBy = [ "multi-user.target" ];
+ after = [ "systemd-udev-settle.service" ];
+ serviceConfig = {
+ RuntimeDirectory = "cdi";
+ RemainAfterExit = true;
+ ExecStart =
+ let
+ script = pkgs.callPackage ./cdi-generate.nix { nvidia-driver = config.hardware.nvidia.package; };
+ in
+ lib.getExe script;
+ Type = "oneshot";
+ };
+ };
+
+ };
+
+}
diff --git a/nixos/modules/services/hardware/pcscd.nix b/nixos/modules/services/hardware/pcscd.nix
index b5963e1d29a3..77c2d9b53f03 100644
--- a/nixos/modules/services/hardware/pcscd.nix
+++ b/nixos/modules/services/hardware/pcscd.nix
@@ -3,6 +3,7 @@
with lib;
let
+ cfg = config.services.pcscd;
cfgFile = pkgs.writeText "reader.conf" config.services.pcscd.readerConfig;
package = if config.security.polkit.enable
@@ -41,6 +42,12 @@ in
See {manpage}`reader.conf(5)` for valid options.
'';
};
+
+ extraArgs = mkOption {
+ type = types.listOf types.str;
+ default = [ ];
+ description = lib.mdDoc "Extra command line arguments to be passed to the PCSC daemon.";
+ };
};
config = mkIf config.services.pcscd.enable {
@@ -64,7 +71,7 @@ in
# around it, we force the path to the cfgFile.
#
# https://github.com/NixOS/nixpkgs/issues/121088
- serviceConfig.ExecStart = [ "" "${package}/bin/pcscd -f -x -c ${cfgFile}" ];
+ serviceConfig.ExecStart = [ "" "${lib.getExe package} -f -x -c ${cfgFile} ${lib.escapeShellArgs cfg.extraArgs}" ];
};
};
}
diff --git a/nixos/modules/services/hardware/thinkfan.nix b/nixos/modules/services/hardware/thinkfan.nix
index cca35f492b8e..b62fb5e9f8c9 100644
--- a/nixos/modules/services/hardware/thinkfan.nix
+++ b/nixos/modules/services/hardware/thinkfan.nix
@@ -217,8 +217,13 @@ in {
systemd.services = {
thinkfan.environment.THINKFAN_ARGS = escapeShellArgs ([ "-c" configFile ] ++ cfg.extraArgs);
- thinkfan.serviceConfig.Restart = "on-failure";
- thinkfan.serviceConfig.RestartSec = "30s";
+ thinkfan.serviceConfig = {
+ Restart = "on-failure";
+ RestartSec = "30s";
+
+ # Hardening
+ PrivateNetwork = true;
+ };
# must be added manually, see issue #81138
thinkfan.wantedBy = [ "multi-user.target" ];
diff --git a/nixos/modules/services/home-automation/ebusd.nix b/nixos/modules/services/home-automation/ebusd.nix
index 519d116e0e55..f68a8bdb6bfa 100644
--- a/nixos/modules/services/home-automation/ebusd.nix
+++ b/nixos/modules/services/home-automation/ebusd.nix
@@ -15,12 +15,12 @@ let
"--port=${toString cfg.port}"
"--configpath=${cfg.configpath}"
"--scanconfig=${cfg.scanconfig}"
+ "--log=all:${cfg.logs.all}"
"--log=main:${cfg.logs.main}"
"--log=network:${cfg.logs.network}"
"--log=bus:${cfg.logs.bus}"
"--log=update:${cfg.logs.update}"
"--log=other:${cfg.logs.other}"
- "--log=all:${cfg.logs.all}"
] ++ lib.optionals cfg.readonly [
"--readonly"
] ++ lib.optionals cfg.mqtt.enable [
diff --git a/nixos/modules/services/home-automation/matter-server.nix b/nixos/modules/services/home-automation/matter-server.nix
new file mode 100644
index 000000000000..864ef9e20083
--- /dev/null
+++ b/nixos/modules/services/home-automation/matter-server.nix
@@ -0,0 +1,125 @@
+{ lib
+, pkgs
+, config
+, ...
+}:
+
+with lib;
+
+let
+ cfg = config.services.matter-server;
+ storageDir = "matter-server";
+ storagePath = "/var/lib/${storageDir}";
+ vendorId = "4939"; # home-assistant vendor ID
+in
+
+{
+ meta.maintainers = with lib.maintainers; [ leonm1 ];
+
+ options.services.matter-server = with types; {
+ enable = mkEnableOption (lib.mdDoc "Matter-server");
+
+ package = mkPackageOptionMD pkgs "python-matter-server" { };
+
+ port = mkOption {
+ type = types.port;
+ default = 5580;
+ description = "Port to expose the matter-server service on.";
+ };
+
+ logLevel = mkOption {
+ type = types.enum [ "critical" "error" "warning" "info" "debug" ];
+ default = "info";
+ description = "Verbosity of logs from the matter-server";
+ };
+
+ extraArgs = mkOption {
+ type = listOf str;
+ default = [];
+ description = ''
+ Extra arguments to pass to the matter-server executable.
+ See https://github.com/home-assistant-libs/python-matter-server?tab=readme-ov-file#running-the-development-server for options.
+ '';
+ };
+ };
+
+ config = mkIf cfg.enable {
+ systemd.services.matter-server = {
+ after = [ "network-online.target" ];
+ before = [ "home-assistant.service" ];
+ wants = [ "network-online.target" ];
+ wantedBy = [ "multi-user.target" ];
+ description = "Matter Server";
+ environment.HOME = storagePath;
+ serviceConfig = {
+ ExecStart = (concatStringsSep " " [
+ "${cfg.package}/bin/matter-server"
+ "--port" (toString cfg.port)
+ "--vendorid" vendorId
+ "--storage-path" storagePath
+ "--log-level" "${cfg.logLevel}"
+ "${escapeShellArgs cfg.extraArgs}"
+ ]);
+ # Start with a clean root filesystem, and allowlist what the container
+ # is permitted to access.
+ TemporaryFileSystem = "/";
+ # Allowlist /nix/store (to allow the binary to find its dependencies)
+ # and dbus.
+ ReadOnlyPaths = "/nix/store /run/dbus";
+ # Let systemd manage `/var/lib/matter-server` for us inside the
+ # ephemeral TemporaryFileSystem.
+ StateDirectory = storageDir;
+ # `python-matter-server` writes to /data even when a storage-path is
+ # specified. This bind-mount points /data at the systemd-managed
+ # /var/lib/matter-server, so all files get dropped into the state
+ # directory.
+ BindPaths = "${storagePath}:/data";
+
+ # Hardening bits
+ AmbientCapabilities = "";
+ CapabilityBoundingSet = "";
+ DevicePolicy = "closed";
+ DynamicUser = true;
+ LockPersonality = true;
+ MemoryDenyWriteExecute = true;
+ NoNewPrivileges = true;
+ PrivateDevices = true;
+ PrivateTmp = true;
+ PrivateUsers = true;
+ ProcSubset = "pid";
+ ProtectClock = true;
+ ProtectControlGroups = true;
+ ProtectHome = true;
+ ProtectHostname = true;
+ ProtectKernelLogs = true;
+ ProtectKernelModules = true;
+ ProtectKernelTunables = true;
+ ProtectProc = "invisible";
+ RestrictAddressFamilies = [
+ "AF_INET"
+ "AF_INET6"
+ "AF_NETLINK"
+ ];
+ RestrictNamespaces = true;
+ RestrictRealtime = true;
+ RestrictSUIDSGID = true;
+ SystemCallFilter = concatStringsSep " " [
+ "~" # Blocklist
+ "@clock"
+ "@cpu-emulation"
+ "@debug"
+ "@module"
+ "@mount"
+ "@obsolete"
+ "@privileged"
+ "@raw-io"
+ "@reboot"
+ "@resources"
+ "@swap"
+ ];
+ UMask = "0077";
+ };
+ };
+ };
+}
+
diff --git a/nixos/modules/services/mail/listmonk.nix b/nixos/modules/services/mail/listmonk.nix
index 945eb436c1f2..d6399304cc10 100644
--- a/nixos/modules/services/mail/listmonk.nix
+++ b/nixos/modules/services/mail/listmonk.nix
@@ -187,7 +187,11 @@ in {
# Indeed, it will try to create all the folders and realize one of them already exist.
# Therefore, we have to create it ourselves.
''${pkgs.coreutils}/bin/mkdir -p "''${STATE_DIRECTORY}/listmonk/uploads"''
- "${cfg.package}/bin/listmonk --config ${cfgFile} --idempotent --install --upgrade --yes"
+ # setup database if not already done
+ "${cfg.package}/bin/listmonk --config ${cfgFile} --idempotent --install --yes"
+ # apply db migrations (setup and migrations can not be done in one step
+ # with "--install --upgrade" listmonk ignores the upgrade)
+ "${cfg.package}/bin/listmonk --config ${cfgFile} --upgrade --yes"
"${updateDatabaseConfigScript}/bin/update-database-config.sh"
];
ExecStart = "${cfg.package}/bin/listmonk --config ${cfgFile}";
diff --git a/nixos/modules/services/mail/stalwart-mail.nix b/nixos/modules/services/mail/stalwart-mail.nix
index f576a426b318..8ab3497f7a17 100644
--- a/nixos/modules/services/mail/stalwart-mail.nix
+++ b/nixos/modules/services/mail/stalwart-mail.nix
@@ -32,10 +32,15 @@ in {
global.tracing.level = mkDefault "info";
queue.path = mkDefault "${dataDir}/queue";
report.path = mkDefault "${dataDir}/reports";
+ store.db.type = mkDefault "sqlite";
store.db.path = mkDefault "${dataDir}/data/index.sqlite3";
- store.blob.type = mkDefault "local";
- store.blob.local.path = mkDefault "${dataDir}/data/blobs";
+ store.blob.type = mkDefault "fs";
+ store.blob.path = mkDefault "${dataDir}/data/blobs";
+ storage.data = mkDefault "db";
+ storage.fts = mkDefault "db";
+ storage.blob = mkDefault "blob";
resolver.type = mkDefault "system";
+ resolver.public-suffix = mkDefault ["https://publicsuffix.org/list/public_suffix_list.dat"];
};
systemd.services.stalwart-mail = {
@@ -57,8 +62,8 @@ in {
KillSignal = "SIGINT";
Restart = "on-failure";
RestartSec = 5;
- StandardOutput = "syslog";
- StandardError = "syslog";
+ StandardOutput = "journal";
+ StandardError = "journal";
SyslogIdentifier = "stalwart-mail";
DynamicUser = true;
diff --git a/nixos/modules/services/matrix/matrix-sliding-sync.nix b/nixos/modules/services/matrix/matrix-sliding-sync.nix
index 8b22cd7dba80..d62e41bebd64 100644
--- a/nixos/modules/services/matrix/matrix-sliding-sync.nix
+++ b/nixos/modules/services/matrix/matrix-sliding-sync.nix
@@ -37,7 +37,7 @@ in
type = lib.types.str;
default = "127.0.0.1:8009";
example = "[::]:8008";
- description = lib.mdDoc "The interface and port to listen on.";
+ description = lib.mdDoc "The interface and port or path (for unix socket) to listen on.";
};
SYNCV3_LOG_LEVEL = lib.mkOption {
@@ -98,6 +98,7 @@ in
ExecStart = lib.getExe cfg.package;
StateDirectory = "matrix-sliding-sync";
WorkingDirectory = "%S/matrix-sliding-sync";
+ RuntimeDirectory = "matrix-sliding-sync";
Restart = "on-failure";
RestartSec = "1s";
};
diff --git a/nixos/modules/services/matrix/synapse.nix b/nixos/modules/services/matrix/synapse.nix
index e3f9c7742cc7..7291c0fcbcdd 100644
--- a/nixos/modules/services/matrix/synapse.nix
+++ b/nixos/modules/services/matrix/synapse.nix
@@ -1232,7 +1232,8 @@ in {
ProtectKernelTunables = true;
ProtectProc = "invisible";
ProtectSystem = "strict";
- ReadWritePaths = [ cfg.dataDir cfg.settings.media_store_path ];
+ ReadWritePaths = [ cfg.dataDir cfg.settings.media_store_path ] ++
+ (map (listener: dirOf listener.path) (filter (listener: listener.path != null) cfg.settings.listeners));
RemoveIPC = true;
RestrictAddressFamilies = [ "AF_INET" "AF_INET6" "AF_UNIX" ];
RestrictNamespaces = true;
diff --git a/nixos/modules/services/misc/atuin.nix b/nixos/modules/services/misc/atuin.nix
index 2d6ffc510ce5..7e89929884d6 100644
--- a/nixos/modules/services/misc/atuin.nix
+++ b/nixos/modules/services/misc/atuin.nix
@@ -8,6 +8,8 @@ in
services.atuin = {
enable = lib.mkEnableOption (mdDoc "Atuin server for shell history sync");
+ package = lib.mkPackageOption pkgs "atuin" { };
+
openRegistration = mkOption {
type = types.bool;
default = false;
@@ -52,10 +54,13 @@ in
};
uri = mkOption {
- type = types.str;
+ type = types.nullOr types.str;
default = "postgresql:///atuin?host=/run/postgresql";
example = "postgresql://atuin@localhost:5432/atuin";
- description = mdDoc "URI to the database";
+ description = mdDoc ''
+ URI to the database.
+ Can be set to null in which case ATUIN_DB_URI should be set through an EnvironmentFile
+ '';
};
};
};
@@ -85,7 +90,7 @@ in
wantedBy = [ "multi-user.target" ];
serviceConfig = {
- ExecStart = "${pkgs.atuin}/bin/atuin server start";
+ ExecStart = "${lib.getExe cfg.package} server start";
RuntimeDirectory = "atuin";
RuntimeDirectoryMode = "0700";
DynamicUser = true;
@@ -132,9 +137,10 @@ in
ATUIN_PORT = toString cfg.port;
ATUIN_MAX_HISTORY_LENGTH = toString cfg.maxHistoryLength;
ATUIN_OPEN_REGISTRATION = lib.boolToString cfg.openRegistration;
- ATUIN_DB_URI = cfg.database.uri;
ATUIN_PATH = cfg.path;
ATUIN_CONFIG_DIR = "/run/atuin"; # required to start, but not used as configuration is via environment variables
+ } // lib.optionalAttrs (cfg.database.uri != null) {
+ ATUIN_DB_URI = cfg.database.uri;
};
};
diff --git a/nixos/modules/services/misc/docker-registry.nix b/nixos/modules/services/misc/docker-registry.nix
index e8fbc05423d3..78d1d6339ed6 100644
--- a/nixos/modules/services/misc/docker-registry.nix
+++ b/nixos/modules/services/misc/docker-registry.nix
@@ -63,6 +63,12 @@ in {
type = types.port;
};
+ openFirewall = mkOption {
+ type = types.bool;
+ default = false;
+ description = lib.mdDoc "Opens the port used by the firewall.";
+ };
+
storagePath = mkOption {
type = types.nullOr types.path;
default = "/var/lib/docker-registry";
@@ -154,5 +160,9 @@ in {
isSystemUser = true;
};
users.groups.docker-registry = {};
+
+ networking.firewall = mkIf cfg.openFirewall {
+ allowedTCPPorts = [ cfg.port ];
+ };
};
}
diff --git a/nixos/modules/services/misc/etebase-server.nix b/nixos/modules/services/misc/etebase-server.nix
index 045048a1a2e3..6ec3807f0fb2 100644
--- a/nixos/modules/services/misc/etebase-server.nix
+++ b/nixos/modules/services/misc/etebase-server.nix
@@ -5,9 +5,6 @@ with lib;
let
cfg = config.services.etebase-server;
- pythonEnv = pkgs.python3.withPackages (ps: with ps;
- [ etebase-server daphne ]);
-
iniFmt = pkgs.formats.ini {};
configIni = iniFmt.generate "etebase-server.ini" cfg.settings;
@@ -46,6 +43,13 @@ in
'';
};
+ package = mkOption {
+ type = types.package;
+ default = pkgs.python3.pkgs.etebase-server;
+ defaultText = literalExpression "pkgs.python3.pkgs.etebase-server";
+ description = lib.mdDoc "etebase-server package to use.";
+ };
+
dataDir = mkOption {
type = types.str;
default = "/var/lib/etebase-server";
@@ -164,7 +168,7 @@ in
(runCommand "etebase-server" {
nativeBuildInputs = [ makeWrapper ];
} ''
- makeWrapper ${pythonEnv}/bin/etebase-server \
+ makeWrapper ${cfg.package}/bin/etebase-server \
$out/bin/etebase-server \
--chdir ${escapeShellArg cfg.dataDir} \
--prefix ETEBASE_EASY_CONFIG_PATH : "${configIni}"
@@ -173,13 +177,15 @@ in
systemd.tmpfiles.rules = [
"d '${cfg.dataDir}' - ${cfg.user} ${config.users.users.${cfg.user}.group} - -"
+ ] ++ lib.optionals (cfg.unixSocket != null) [
+ "d '${builtins.dirOf cfg.unixSocket}' - ${cfg.user} ${config.users.users.${cfg.user}.group} - -"
];
systemd.services.etebase-server = {
description = "An Etebase (EteSync 2.0) server";
after = [ "network.target" "systemd-tmpfiles-setup.service" ];
+ path = [ cfg.package ];
wantedBy = [ "multi-user.target" ];
- path = [ pythonEnv ];
serviceConfig = {
User = cfg.user;
Restart = "always";
@@ -187,24 +193,26 @@ in
};
environment = {
ETEBASE_EASY_CONFIG_PATH = configIni;
+ PYTHONPATH = cfg.package.pythonPath;
};
preStart = ''
# Auto-migrate on first run or if the package has changed
versionFile="${cfg.dataDir}/src-version"
- if [[ $(cat "$versionFile" 2>/dev/null) != ${pkgs.etebase-server} ]]; then
+ if [[ $(cat "$versionFile" 2>/dev/null) != ${cfg.package} ]]; then
etebase-server migrate --no-input
etebase-server collectstatic --no-input --clear
- echo ${pkgs.etebase-server} > "$versionFile"
+ echo ${cfg.package} > "$versionFile"
fi
'';
script =
let
+ python = cfg.package.python;
networking = if cfg.unixSocket != null
- then "-u ${cfg.unixSocket}"
- else "-b 0.0.0.0 -p ${toString cfg.port}";
+ then "--uds ${cfg.unixSocket}"
+ else "--host 0.0.0.0 --port ${toString cfg.port}";
in ''
- cd "${pythonEnv}/lib/etebase-server";
- daphne ${networking} \
+ ${python.pkgs.uvicorn}/bin/uvicorn ${networking} \
+ --app-dir ${cfg.package}/${cfg.package.python.sitePackages} \
etebase_server.asgi:application
'';
};
diff --git a/nixos/modules/services/misc/gitlab.nix b/nixos/modules/services/misc/gitlab.nix
index ec347a75f063..e95ab0a112bc 100644
--- a/nixos/modules/services/misc/gitlab.nix
+++ b/nixos/modules/services/misc/gitlab.nix
@@ -1439,6 +1439,8 @@ in {
nodejs
gnupg
+ "${cfg.packages.gitlab}/share/gitlab/vendor/gems/sidekiq-${cfg.packages.gitlab.rubyEnv.gems.sidekiq.version}"
+
# Needed for GitLab project imports
gnutar
gzip
@@ -1452,7 +1454,12 @@ in {
TimeoutSec = "infinity";
Restart = "always";
WorkingDirectory = "${cfg.packages.gitlab}/share/gitlab";
- ExecStart="${cfg.packages.gitlab.rubyEnv}/bin/sidekiq -C \"${cfg.packages.gitlab}/share/gitlab/config/sidekiq_queues.yml\" -e production";
+ ExecStart = utils.escapeSystemdExecArgs [
+ "${cfg.packages.gitlab}/share/gitlab/bin/sidekiq-cluster"
+ "-e" "production"
+ "-r" "."
+ "*" # all queue groups
+ ];
};
};
@@ -1550,7 +1557,7 @@ in {
gnutar
gzip
openssh
- gitlab-workhorse
+ cfg.packages.gitlab-workhorse
];
serviceConfig = {
Type = "simple";
diff --git a/nixos/modules/services/misc/homepage-dashboard.nix b/nixos/modules/services/misc/homepage-dashboard.nix
index 07a09e2b6bbf..02f1378cb0d5 100644
--- a/nixos/modules/services/misc/homepage-dashboard.nix
+++ b/nixos/modules/services/misc/homepage-dashboard.nix
@@ -6,6 +6,8 @@
let
cfg = config.services.homepage-dashboard;
+ # Define the settings format used for this program
+ settingsFormat = pkgs.formats.yaml { };
in
{
options = {
@@ -25,31 +27,217 @@ in
default = 8082;
description = lib.mdDoc "Port for Homepage to bind to.";
};
+
+ environmentFile = lib.mkOption {
+ type = lib.types.str;
+ description = ''
+ The path to an environment file that contains environment variables to pass
+ to the homepage-dashboard service, for the purpose of passing secrets to
+ the service.
+
+ See the upstream documentation:
+
+ https://gethomepage.dev/latest/installation/docker/#using-environment-secrets
+ '';
+ default = "";
+ };
+
+ customCSS = lib.mkOption {
+ type = lib.types.lines;
+ description = lib.mdDoc ''
+ Custom CSS for styling Homepage.
+
+ See https://gethomepage.dev/latest/configs/custom-css-js/.
+ '';
+ default = "";
+ };
+
+ customJS = lib.mkOption {
+ type = lib.types.lines;
+ description = lib.mdDoc ''
+ Custom Javascript for Homepage.
+
+ See https://gethomepage.dev/latest/configs/custom-css-js/.
+ '';
+ default = "";
+ };
+
+ bookmarks = lib.mkOption {
+ inherit (settingsFormat) type;
+ description = lib.mdDoc ''
+ Homepage bookmarks configuration.
+
+ See https://gethomepage.dev/latest/configs/bookmarks/.
+ '';
+ # Defaults: https://github.com/gethomepage/homepage/blob/main/src/skeleton/bookmarks.yaml
+ example = [
+ {
+ Developer = [
+ { Github = [{ abbr = "GH"; href = "https://github.com/"; }]; }
+ ];
+ }
+ {
+ Entertainment = [
+ { YouTube = [{ abbr = "YT"; href = "https://youtube.com/"; }]; }
+ ];
+ }
+ ];
+ default = [ ];
+ };
+
+ services = lib.mkOption {
+ inherit (settingsFormat) type;
+ description = lib.mdDoc ''
+ Homepage services configuration.
+
+ See https://gethomepage.dev/latest/configs/services/.
+ '';
+ # Defaults: https://github.com/gethomepage/homepage/blob/main/src/skeleton/services.yaml
+ example = [
+ {
+ "My First Group" = [
+ {
+ "My First Service" = {
+ href = "http://localhost/";
+ description = "Homepage is awesome";
+ };
+ }
+ ];
+ }
+ {
+ "My Second Group" = [
+ {
+ "My Second Service" = {
+ href = "http://localhost/";
+ description = "Homepage is the best";
+ };
+ }
+ ];
+ }
+ ];
+ default = [ ];
+ };
+
+ widgets = lib.mkOption {
+ inherit (settingsFormat) type;
+ description = lib.mdDoc ''
+ Homepage widgets configuration.
+
+ See https://gethomepage.dev/latest/configs/service-widgets/.
+ '';
+ # Defaults: https://github.com/gethomepage/homepage/blob/main/src/skeleton/widgets.yaml
+ example = [
+ {
+ resources = {
+ cpu = true;
+ memory = true;
+ disk = "/";
+ };
+ }
+ {
+ search = {
+ provider = "duckduckgo";
+ target = "_blank";
+ };
+ }
+ ];
+ default = [ ];
+ };
+
+ kubernetes = lib.mkOption {
+ inherit (settingsFormat) type;
+ description = lib.mdDoc ''
+ Homepage kubernetes configuration.
+
+ See https://gethomepage.dev/latest/configs/kubernetes/.
+ '';
+ default = { };
+ };
+
+ docker = lib.mkOption {
+ inherit (settingsFormat) type;
+ description = lib.mdDoc ''
+ Homepage docker configuration.
+
+ See https://gethomepage.dev/latest/configs/docker/.
+ '';
+ default = { };
+ };
+
+ settings = lib.mkOption {
+ inherit (settingsFormat) type;
+ description = lib.mdDoc ''
+ Homepage settings.
+
+ See https://gethomepage.dev/latest/configs/settings/.
+ '';
+ # Defaults: https://github.com/gethomepage/homepage/blob/main/src/skeleton/settings.yaml
+ default = { };
+ };
};
};
- config = lib.mkIf cfg.enable {
- systemd.services.homepage-dashboard = {
- description = "Homepage Dashboard";
- after = [ "network.target" ];
- wantedBy = [ "multi-user.target" ];
+ config =
+ let
+ # If homepage-dashboard is enabled, but none of the configuration values have been updated,
+ # then default to "unmanaged" configuration which is manually updated in
+ # var/lib/homepage-dashboard. This is to maintain backwards compatibility, and should be
+ # deprecated in a future release.
+ managedConfig = !(
+ cfg.bookmarks == [ ] &&
+ cfg.customCSS == "" &&
+ cfg.customJS == "" &&
+ cfg.docker == { } &&
+ cfg.kubernetes == { } &&
+ cfg.services == [ ] &&
+ cfg.settings == { } &&
+ cfg.widgets == [ ]
+ );
- environment = {
- HOMEPAGE_CONFIG_DIR = "/var/lib/homepage-dashboard";
- PORT = "${toString cfg.listenPort}";
+ configDir = if managedConfig then "/etc/homepage-dashboard" else "/var/lib/homepage-dashboard";
+
+ msg = "using unmanaged configuration for homepage-dashboard is deprecated and will be removed"
+ + " in 24.05. please see the NixOS documentation for `services.homepage-dashboard' and add"
+ + " your bookmarks, services, widgets, and other configuration using the options provided.";
+ in
+ lib.mkIf cfg.enable {
+ warnings = lib.optional (!managedConfig) msg;
+
+ environment.etc = lib.mkIf managedConfig {
+ "homepage-dashboard/custom.css".text = cfg.customCSS;
+ "homepage-dashboard/custom.js".text = cfg.customJS;
+
+ "homepage-dashboard/bookmarks.yaml".source = settingsFormat.generate "bookmarks.yaml" cfg.bookmarks;
+ "homepage-dashboard/docker.yaml".source = settingsFormat.generate "docker.yaml" cfg.docker;
+ "homepage-dashboard/kubernetes.yaml".source = settingsFormat.generate "kubernetes.yaml" cfg.kubernetes;
+ "homepage-dashboard/services.yaml".source = settingsFormat.generate "services.yaml" cfg.services;
+ "homepage-dashboard/settings.yaml".source = settingsFormat.generate "settings.yaml" cfg.settings;
+ "homepage-dashboard/widgets.yaml".source = settingsFormat.generate "widgets.yaml" cfg.widgets;
};
- serviceConfig = {
- Type = "simple";
- DynamicUser = true;
- StateDirectory = "homepage-dashboard";
- ExecStart = "${lib.getExe cfg.package}";
- Restart = "on-failure";
+ systemd.services.homepage-dashboard = {
+ description = "Homepage Dashboard";
+ after = [ "network.target" ];
+ wantedBy = [ "multi-user.target" ];
+
+ environment = {
+ HOMEPAGE_CONFIG_DIR = configDir;
+ PORT = toString cfg.listenPort;
+ LOG_TARGETS = lib.mkIf managedConfig "stdout";
+ };
+
+ serviceConfig = {
+ Type = "simple";
+ DynamicUser = true;
+ EnvironmentFile = lib.mkIf (cfg.environmentFile != null) cfg.environmentFile;
+ StateDirectory = lib.mkIf (!managedConfig) "homepage-dashboard";
+ ExecStart = lib.getExe cfg.package;
+ Restart = "on-failure";
+ };
+ };
+
+ networking.firewall = lib.mkIf cfg.openFirewall {
+ allowedTCPPorts = [ cfg.listenPort ];
};
};
-
- networking.firewall = lib.mkIf cfg.openFirewall {
- allowedTCPPorts = [ cfg.listenPort ];
- };
- };
}
diff --git a/nixos/modules/services/misc/llama-cpp.nix b/nixos/modules/services/misc/llama-cpp.nix
index 4d76456fb2fd..305d4538e89a 100644
--- a/nixos/modules/services/misc/llama-cpp.nix
+++ b/nixos/modules/services/misc/llama-cpp.nix
@@ -56,7 +56,7 @@ in {
serviceConfig = {
Type = "idle";
KillSignal = "SIGINT";
- ExecStart = "${cfg.package}/bin/llama-cpp-server --log-disable --host ${cfg.host} --port ${builtins.toString cfg.port} -m ${cfg.model} ${utils.escapeSystemdExecArgs cfg.extraFlags}";
+ ExecStart = "${cfg.package}/bin/llama-server --log-disable --host ${cfg.host} --port ${builtins.toString cfg.port} -m ${cfg.model} ${utils.escapeSystemdExecArgs cfg.extraFlags}";
Restart = "on-failure";
RestartSec = 300;
diff --git a/nixos/modules/services/misc/ollama.nix b/nixos/modules/services/misc/ollama.nix
index d9359d2b5cd4..7a5661510e25 100644
--- a/nixos/modules/services/misc/ollama.nix
+++ b/nixos/modules/services/misc/ollama.nix
@@ -1,50 +1,77 @@
-{ config, lib, pkgs, ... }: let
+{ config, lib, pkgs, ... }:
+let
+ inherit (lib) types;
cfg = config.services.ollama;
-
-in {
-
+ ollamaPackage = cfg.package.override {
+ inherit (cfg) acceleration;
+ linuxPackages = config.boot.kernelPackages // {
+ nvidia_x11 = config.hardware.nvidia.package;
+ };
+ };
+in
+{
options = {
services.ollama = {
- enable = lib.mkEnableOption (
- lib.mdDoc "Server for local large language models"
- );
+ enable = lib.mkEnableOption "ollama server for local large language models";
+ package = lib.mkPackageOption pkgs "ollama" { };
listenAddress = lib.mkOption {
- type = lib.types.str;
+ type = types.str;
default = "127.0.0.1:11434";
- description = lib.mdDoc ''
- Specifies the bind address on which the ollama server HTTP interface listens.
+ example = "0.0.0.0:11111";
+ description = ''
+ The address which the ollama server HTTP interface binds and listens to.
+ '';
+ };
+ acceleration = lib.mkOption {
+ type = types.nullOr (types.enum [ "rocm" "cuda" ]);
+ default = null;
+ example = "rocm";
+ description = ''
+ What interface to use for hardware acceleration.
+
+ - `rocm`: supported by modern AMD GPUs
+ - `cuda`: supported by modern NVIDIA GPUs
+ '';
+ };
+ environmentVariables = lib.mkOption {
+ type = types.attrsOf types.str;
+ default = { };
+ example = {
+ HOME = "/tmp";
+ OLLAMA_LLM_LIBRARY = "cpu";
+ };
+ description = ''
+ Set arbitrary environment variables for the ollama service.
+
+ Be aware that these are only seen by the ollama server (systemd service),
+ not normal invocations like `ollama run`.
+ Since `ollama run` is mostly a shell around the ollama server, this is usually sufficient.
'';
};
- package = lib.mkPackageOption pkgs "ollama" { };
};
};
config = lib.mkIf cfg.enable {
-
- systemd = {
- services.ollama = {
- wantedBy = [ "multi-user.target" ];
- description = "Server for local large language models";
- after = [ "network.target" ];
- environment = {
- HOME = "%S/ollama";
- OLLAMA_MODELS = "%S/ollama/models";
- OLLAMA_HOST = cfg.listenAddress;
- };
- serviceConfig = {
- ExecStart = "${lib.getExe cfg.package} serve";
- WorkingDirectory = "/var/lib/ollama";
- StateDirectory = [ "ollama" ];
- DynamicUser = true;
- };
+ systemd.services.ollama = {
+ description = "Server for local large language models";
+ wantedBy = [ "multi-user.target" ];
+ after = [ "network.target" ];
+ environment = cfg.environmentVariables // {
+ HOME = "%S/ollama";
+ OLLAMA_MODELS = "%S/ollama/models";
+ OLLAMA_HOST = cfg.listenAddress;
+ };
+ serviceConfig = {
+ ExecStart = "${lib.getExe ollamaPackage} serve";
+ WorkingDirectory = "%S/ollama";
+ StateDirectory = [ "ollama" ];
+ DynamicUser = true;
};
};
- environment.systemPackages = [ cfg.package ];
-
+ environment.systemPackages = [ ollamaPackage ];
};
- meta.maintainers = with lib.maintainers; [ onny ];
-
+ meta.maintainers = with lib.maintainers; [ abysssol onny ];
}
diff --git a/nixos/modules/services/misc/paperless.nix b/nixos/modules/services/misc/paperless.nix
index 1256d8315c8b..9314c4f3848d 100644
--- a/nixos/modules/services/misc/paperless.nix
+++ b/nixos/modules/services/misc/paperless.nix
@@ -307,6 +307,9 @@ in
Restart = "on-failure";
};
environment = env;
+ # Allow the consumer to access the private /tmp directory of the server.
+ # This is required to support consuming files via a local folder.
+ unitConfig.JoinsNamespaceOf = "paperless-task-queue.service";
};
systemd.services.paperless-web = {
@@ -339,6 +342,7 @@ in
User = cfg.user;
Restart = "on-failure";
+ LimitNOFILE = 65536;
# gunicorn needs setuid, liblapack needs mbind
SystemCallFilter = defaultServiceConfig.SystemCallFilter ++ [ "@setuid mbind" ];
# Needs to serve web page
diff --git a/nixos/modules/services/misc/sourcehut/default.nix b/nixos/modules/services/misc/sourcehut/default.nix
index 80a6162b2168..557d6d7e7168 100644
--- a/nixos/modules/services/misc/sourcehut/default.nix
+++ b/nixos/modules/services/misc/sourcehut/default.nix
@@ -790,13 +790,21 @@ in
'';
};
systemd.tmpfiles.settings."10-sourcehut-gitsrht" = mkIf cfg.git.enable (
- builtins.listToAttrs (map (name: {
- name = "/var/log/sourcehut/gitsrht-${name}";
- value.f = {
- inherit (cfg.git) user group;
- mode = "0644";
- };
- }) [ "keys" "shell" "update-hook" ])
+ mkMerge [
+ (builtins.listToAttrs (map (name: {
+ name = "/var/log/sourcehut/gitsrht-${name}";
+ value.f = {
+ inherit (cfg.git) user group;
+ mode = "0644";
+ };
+ }) [ "keys" "shell" "update-hook" ]))
+ {
+ ${cfg.settings."git.sr.ht".repos}.d = {
+ inherit (cfg.git) user group;
+ mode = "0644";
+ };
+ }
+ ]
);
systemd.services.sshd = {
preStart = mkIf cfg.hg.enable ''
diff --git a/nixos/modules/services/misc/tabby.nix b/nixos/modules/services/misc/tabby.nix
new file mode 100644
index 000000000000..a3072e5df75e
--- /dev/null
+++ b/nixos/modules/services/misc/tabby.nix
@@ -0,0 +1,203 @@
+{ config, lib, pkgs, ... }:
+let
+ inherit (lib) types;
+
+ cfg = config.services.tabby;
+ format = pkgs.formats.toml { };
+ tabbyPackage = cfg.package.override {
+ inherit (cfg) acceleration;
+ };
+in
+{
+ options = {
+ services.tabby = {
+ enable = lib.mkEnableOption (
+ lib.mdDoc "Self-hosted AI coding assistant using large language models"
+ );
+
+ package = lib.mkPackageOption pkgs "tabby" { };
+
+ port = lib.mkOption {
+ type = types.port;
+ default = 11029;
+ description = lib.mdDoc ''
+ Specifies the bind port on which the tabby server HTTP interface listens.
+ '';
+ };
+
+ model = lib.mkOption {
+ type = types.str;
+ default = "TabbyML/StarCoder-1B";
+ description = lib.mdDoc ''
+ Specify the model that tabby will use to generate completions.
+
+ This model will be downloaded automatically if it is not already present.
+
+ If you want to utilize an existing model that you've already
+ downloaded you'll need to move it into tabby's state directory which
+ lives in `/var/lib/tabby`. Because the tabby.service is configured to
+ use a DyanmicUser the service will need to have been started at least
+ once before you can move the locally existing model into
+ `/var/lib/tabby`. You can set the model to 'none' and tabby will
+ startup and fail to download a model, but will have created the
+ `/var/lib/tabby` directory. You can then copy over the model manually
+ into `/var/lib/tabby`, update the model option to the name you just
+ downloaded and copied over then `nixos-rebuild switch` to start using
+ it.
+
+ $ tabby download --model TabbyML/DeepseekCoder-6.7B
+ $ find ~/.tabby/ | tail -n1
+ /home/ghthor/.tabby/models/TabbyML/DeepseekCoder-6.7B/ggml/q8_0.v2.gguf
+ $ sudo rsync -r ~/.tabby/models/ /var/lib/tabby/models/
+ $ sudo chown -R tabby:tabby /var/lib/tabby/models/
+
+ See for Model Options:
+ > https://github.com/TabbyML/registry-tabby
+ '';
+ };
+
+ acceleration = lib.mkOption {
+ type = types.nullOr (types.enum [ "cpu" "rocm" "cuda" "metal" ]);
+ default = null;
+ example = "rocm";
+ description = lib.mdDoc ''
+ Specifies the device to use for hardware acceleration.
+
+ - `cpu`: no acceleration just use the CPU
+ - `rocm`: supported by modern AMD GPUs
+ - `cuda`: supported by modern NVIDIA GPUs
+ - `metal`: supported on darwin aarch64 machines
+
+ Tabby will try and determine what type of acceleration that is
+ already enabled in your configuration when `acceleration = null`.
+
+ - nixpkgs.config.cudaSupport
+ - nixpkgs.config.rocmSupport
+ - if stdenv.isDarwin && stdenv.isAarch64
+
+ IFF multiple acceleration methods are found to be enabled or if you
+ haven't set either `cudaSupport or rocmSupport` you will have to
+ specify the device type manually here otherwise it will default to
+ the first from the list above or to cpu.
+ '';
+ };
+
+ settings = lib.mkOption {
+ inherit (format) type;
+ default = { };
+ description = lib.mdDoc ''
+ Tabby scheduler configuration
+
+ See for more details:
+ > https://tabby.tabbyml.com/docs/configuration/#repository-context-for-code-completion
+ '';
+ example = lib.literalExpression ''
+ settings = {
+ repositories = [
+ { name = "tabby"; git_url = "https://github.com/TabbyML/tabby.git"; }
+ { name = "CTranslate2"; git_url = "git@github.com:OpenNMT/CTranslate2.git"; }
+
+ # local directory is also supported, but limited by systemd DynamicUser=1
+ # adding local repositories will need to be done manually
+ { name = "repository_a"; git_url = "file:///var/lib/tabby/repository_a"; }
+ ];
+ };
+ '';
+ };
+
+ usageCollection = lib.mkOption {
+ type = types.bool;
+ default = false;
+ description = lib.mdDoc ''
+ Enable sending anonymous usage data.
+
+ See for more details:
+ > https://tabby.tabbyml.com/docs/configuration#usage-collection
+ '';
+ };
+
+ indexInterval = lib.mkOption {
+ type = types.str;
+ default = "5hours";
+ example = "5hours";
+ description = lib.mdDoc ''
+ Run tabby scheduler to generate the index database at this interval.
+ Updates by default every 5 hours. This value applies to
+ `OnUnitInactiveSec`
+
+ The format is described in
+ {manpage}`systemd.time(7)`.
+
+ To disable running `tabby scheduler --now` updates, set to `"never"`
+ '';
+ };
+ };
+ };
+
+ # TODO(ghthor): firewall config
+
+ config = lib.mkIf cfg.enable {
+ environment = {
+ etc."tabby/config.toml".source = format.generate "config.toml" cfg.settings;
+ systemPackages = [ tabbyPackage ];
+ };
+
+
+ systemd = let
+ serviceUser = {
+ WorkingDirectory = "/var/lib/tabby";
+ StateDirectory = [ "tabby" ];
+ ConfigurationDirectory = [ "tabby" ];
+ DynamicUser = true;
+ User = "tabby";
+ Group = "tabby";
+ };
+
+ serviceEnv = lib.mkMerge [
+ {
+ TABBY_ROOT = "%S/tabby";
+ }
+ (lib.mkIf (!cfg.usageCollection) {
+ TABBY_DISABLE_USAGE_COLLECTION = "1";
+ })
+ ];
+ in {
+ services.tabby = {
+ wantedBy = [ "multi-user.target" ];
+ description = "Self-hosted AI coding assistant using large language models";
+ after = [ "network.target" ];
+ environment = serviceEnv;
+ serviceConfig = lib.mkMerge [
+ serviceUser
+ {
+ ExecStart =
+ "${lib.getExe tabbyPackage} serve --model ${cfg.model} --port ${toString cfg.port} --device ${tabbyPackage.featureDevice}";
+ }
+ ];
+ };
+
+ services.tabby-scheduler = lib.mkIf (cfg.indexInterval != "never") {
+ wantedBy = [ "multi-user.target" ];
+ description = "Tabby repository indexing service";
+ after = [ "network.target" ];
+ environment = serviceEnv;
+ preStart = "cp -f /etc/tabby/config.toml \${TABBY_ROOT}/config.toml";
+ serviceConfig = lib.mkMerge [
+ serviceUser
+ {
+ # Type = "oneshot";
+ ExecStart = "${lib.getExe tabbyPackage} scheduler --now";
+ }
+ ];
+ };
+ timers.tabby-scheduler = lib.mkIf (cfg.indexInterval != "never") {
+ description = "Update timer for tabby-scheduler";
+ partOf = [ "tabby-scheduler.service" ];
+ wantedBy = [ "timers.target" ];
+ timerConfig.OnUnitInactiveSec = cfg.indexInterval;
+ };
+ };
+ };
+
+ meta.maintainers = with lib.maintainers; [ ghthor ];
+}
diff --git a/nixos/modules/services/misc/tandoor-recipes.nix b/nixos/modules/services/misc/tandoor-recipes.nix
index 6c51a9bb8555..a8300ecd5233 100644
--- a/nixos/modules/services/misc/tandoor-recipes.nix
+++ b/nixos/modules/services/misc/tandoor-recipes.nix
@@ -17,14 +17,11 @@ let
lib.mapAttrs (_: toString) cfg.extraConfig
);
- manage =
- let
- setupEnv = lib.concatStringsSep "\n" (mapAttrsToList (name: val: "export ${name}=\"${val}\"") env);
- in
- pkgs.writeShellScript "manage" ''
- ${setupEnv}
- exec ${pkg}/bin/tandoor-recipes "$@"
- '';
+ manage = pkgs.writeShellScript "manage" ''
+ set -o allexport # Export the following env vars
+ ${lib.toShellVars env}
+ exec ${pkg}/bin/tandoor-recipes "$@"
+ '';
in
{
meta.maintainers = with maintainers; [ ambroisie ];
diff --git a/nixos/modules/services/misc/transfer-sh.nix b/nixos/modules/services/misc/transfer-sh.nix
new file mode 100644
index 000000000000..899d9dfc3c10
--- /dev/null
+++ b/nixos/modules/services/misc/transfer-sh.nix
@@ -0,0 +1,102 @@
+{ config, lib, pkgs, ... }:
+
+let
+ cfg = config.services.transfer-sh;
+ inherit (lib)
+ mkDefault mkEnableOption mkPackageOption mkIf mkOption
+ types mapAttrs isBool getExe boolToString mdDoc optionalAttrs;
+in
+{
+ options.services.transfer-sh = {
+ enable = mkEnableOption (mdDoc "Easy and fast file sharing from the command-line");
+
+ package = mkPackageOption pkgs "transfer-sh" { };
+
+ settings = mkOption {
+ type = types.submodule { freeformType = with types; attrsOf (oneOf [ bool int str ]); };
+ default = { };
+ example = {
+ LISTENER = ":8080";
+ BASEDIR = "/var/lib/transfer.sh";
+ TLS_LISTENER_ONLY = false;
+ };
+ description = mdDoc ''
+ Additional configuration for transfer-sh, see
+
+ for supported values.
+
+ For secrets use secretFile option instead.
+ '';
+ };
+
+ provider = mkOption {
+ type = types.enum [ "local" "s3" "storj" "gdrive" ];
+ default = "local";
+ description = mdDoc "Storage providers to use";
+ };
+
+ secretFile = mkOption {
+ type = types.nullOr types.path;
+ default = null;
+ example = "/run/secrets/transfer-sh.env";
+ description = mdDoc ''
+ Path to file containing environment variables.
+ Useful for passing down secrets.
+ Some variables that can be considered secrets are:
+ - AWS_ACCESS_KEY
+ - AWS_ACCESS_KEY
+ - TLS_PRIVATE_KEY
+ - HTTP_AUTH_HTPASSWD
+ '';
+ };
+ };
+
+ config =
+ let
+ localProvider = (cfg.provider == "local");
+ stateDirectory = "/var/lib/transfer.sh";
+ in
+ mkIf cfg.enable
+ {
+ services.transfer-sh.settings = {
+ LISTENER = mkDefault ":8080";
+ } // optionalAttrs localProvider {
+ BASEDIR = mkDefault stateDirectory;
+ };
+
+ systemd.services.transfer-sh = {
+ after = [ "network.target" ];
+ wantedBy = [ "multi-user.target" ];
+ environment = mapAttrs (_: v: if isBool v then boolToString v else toString v) cfg.settings;
+ serviceConfig = {
+ CapabilityBoundingSet = [ "CAP_NET_BIND_SERVICE" ];
+ DevicePolicy = "closed";
+ DynamicUser = true;
+ ExecStart = "${getExe cfg.package} --provider ${cfg.provider}";
+ LockPersonality = true;
+ MemoryDenyWriteExecute = true;
+ PrivateDevices = true;
+ PrivateUsers = true;
+ ProtectClock = true;
+ ProtectControlGroups = true;
+ ProtectHostname = true;
+ ProtectKernelLogs = true;
+ ProtectKernelModules = true;
+ ProtectKernelTunables = true;
+ ProtectProc = "invisible";
+ RestrictAddressFamilies = [ "AF_INET" "AF_INET6" ];
+ RestrictNamespaces = true;
+ RestrictRealtime = true;
+ SystemCallArchitectures = [ "native" ];
+ SystemCallFilter = [ "@system-service" ];
+ StateDirectory = baseNameOf stateDirectory;
+ } // optionalAttrs (cfg.secretFile != null) {
+ EnvironmentFile = cfg.secretFile;
+ } // optionalAttrs localProvider {
+ ReadWritePaths = cfg.settings.BASEDIR;
+ };
+ };
+ };
+
+ meta.maintainers = with lib.maintainers; [ ocfox ];
+}
diff --git a/nixos/modules/services/monitoring/mackerel-agent.nix b/nixos/modules/services/monitoring/mackerel-agent.nix
index 5915634ed26f..d1e84c0359dc 100644
--- a/nixos/modules/services/monitoring/mackerel-agent.nix
+++ b/nixos/modules/services/monitoring/mackerel-agent.nix
@@ -81,7 +81,7 @@ in {
include = mkDefault "/etc/mackerel-agent/conf.d/*.conf";
};
- # upstream service file in https://git.io/JUt4Q
+ # upstream service file in https://github.com/mackerelio/mackerel-agent/blob/master/packaging/rpm/src/mackerel-agent.service
systemd.services.mackerel-agent = {
description = "mackerel.io agent";
wants = [ "network-online.target" ];
diff --git a/nixos/modules/services/monitoring/prometheus/exporters.nix b/nixos/modules/services/monitoring/prometheus/exporters.nix
index 6be6ba7edf72..b46b4596d563 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters.nix
@@ -1,4 +1,4 @@
-{ config, pkgs, lib, options, ... }:
+{ config, pkgs, lib, options, utils, ... }:
let
inherit (lib) concatStrings foldl foldl' genAttrs literalExpression maintainers
@@ -94,10 +94,10 @@ let
"zfs"
]
(name:
- import (./. + "/exporters/${name}.nix") { inherit config lib pkgs options; }
+ import (./. + "/exporters/${name}.nix") { inherit config lib pkgs options utils; }
)) // (mapAttrs
(name: params:
- import (./. + "/exporters/${params.name}.nix") { inherit config lib pkgs options; type = params.type ; })
+ import (./. + "/exporters/${params.name}.nix") { inherit config lib pkgs options utils; type = params.type ; })
{
exportarr-bazarr = {
name = "exportarr";
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/apcupsd.nix b/nixos/modules/services/monitoring/prometheus/exporters/apcupsd.nix
index a8a9f84ea8ea..de6cda18bc37 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/apcupsd.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/apcupsd.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/artifactory.nix b/nixos/modules/services/monitoring/prometheus/exporters/artifactory.nix
index bc67fe59b3b8..b3afdb596686 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/artifactory.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/artifactory.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/bind.nix b/nixos/modules/services/monitoring/prometheus/exporters/bind.nix
index bd2003f06504..100446c1a4eb 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/bind.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/bind.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/bird.nix b/nixos/modules/services/monitoring/prometheus/exporters/bird.nix
index 5f6c36f4c567..fc52135e3b45 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/bird.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/bird.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/bitcoin.nix b/nixos/modules/services/monitoring/prometheus/exporters/bitcoin.nix
index 330d54126448..45f00a04a86c 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/bitcoin.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/bitcoin.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/blackbox.nix b/nixos/modules/services/monitoring/prometheus/exporters/blackbox.nix
index ce2c391de523..e8399e1bec80 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/blackbox.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/blackbox.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/buildkite-agent.nix b/nixos/modules/services/monitoring/prometheus/exporters/buildkite-agent.nix
index 0515b72b13f9..6bfadc3b7632 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/buildkite-agent.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/buildkite-agent.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/collectd.nix b/nixos/modules/services/monitoring/prometheus/exporters/collectd.nix
index f67596f05a3a..3b2b123bbd07 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/collectd.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/collectd.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/dmarc.nix b/nixos/modules/services/monitoring/prometheus/exporters/dmarc.nix
index 437cece588a7..a4a917b473ce 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/dmarc.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/dmarc.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/dnsmasq.nix b/nixos/modules/services/monitoring/prometheus/exporters/dnsmasq.nix
index ece42a34cb06..4cfee7c54a41 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/dnsmasq.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/dnsmasq.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/domain.nix b/nixos/modules/services/monitoring/prometheus/exporters/domain.nix
index 61e2fc80afde..b2c8e6664c0f 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/domain.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/domain.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/dovecot.nix b/nixos/modules/services/monitoring/prometheus/exporters/dovecot.nix
index 6fb438353a4c..df6b1ef3200c 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/dovecot.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/dovecot.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/exportarr.nix b/nixos/modules/services/monitoring/prometheus/exporters/exportarr.nix
index 8511abbee1bd..c632b0290262 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/exportarr.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/exportarr.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options, type }:
+{ config, lib, pkgs, options, type, ... }:
let
cfg = config.services.prometheus.exporters."exportarr-${type}";
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/fastly.nix b/nixos/modules/services/monitoring/prometheus/exporters/fastly.nix
index 36409caccf2e..097ea3959478 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/fastly.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/fastly.nix
@@ -1,41 +1,55 @@
-{ config, lib, pkgs, options }:
+{ config
+, lib
+, pkgs
+, options
+, ...
+}:
-with lib;
+let
+ inherit (lib)
+ escapeShellArgs
+ mkOption
+ optionals
+ types
+ ;
-let cfg = config.services.prometheus.exporters.fastly;
+ cfg = config.services.prometheus.exporters.fastly;
in
{
port = 9118;
- extraOpts = {
- debug = mkEnableOption (lib.mdDoc "Debug logging mode for fastly-exporter");
-
+ extraOpts = with types; {
configFile = mkOption {
- type = types.nullOr types.path;
+ type = nullOr path;
default = null;
- description = lib.mdDoc ''
+ example = "./fastly-exporter-config.txt";
+ description = ''
Path to a fastly-exporter configuration file.
Example one can be generated with `fastly-exporter --config-file-example`.
'';
- example = "./fastly-exporter-config.txt";
};
tokenPath = mkOption {
- type = types.nullOr types.path;
- apply = final: if final == null then null else toString final;
- description = lib.mdDoc ''
+ type = path;
+ description = ''
A run-time path to the token file, which is supposed to be provisioned
outside of Nix store.
'';
};
};
serviceOpts = {
- script = ''
- ${optionalString (cfg.tokenPath != null)
- "export FASTLY_API_TOKEN=$(cat ${toString cfg.tokenPath})"}
- ${pkgs.prometheus-fastly-exporter}/bin/fastly-exporter \
- -listen http://${cfg.listenAddress}:${toString cfg.port}
- ${optionalString cfg.debug "-debug true"} \
- ${optionalString (cfg.configFile != null) "-config-file ${cfg.configFile}"}
+ serviceConfig = {
+ LoadCredential = "fastly-api-token:${cfg.tokenPath}";
+ };
+ script = let
+ call = escapeShellArgs ([
+ "${pkgs.prometheus-fastly-exporter}/bin/fastly-exporter"
+ "-listen" "${cfg.listenAddress}:${toString cfg.port}"
+ ] ++ optionals (cfg.configFile != null) [
+ "--config-file" cfg.configFile
+ ] ++ cfg.extraFlags);
+ in ''
+ export FASTLY_API_TOKEN="$(cat $CREDENTIALS_DIRECTORY/fastly-api-token)"
+ ${call}
'';
};
}
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/flow.nix b/nixos/modules/services/monitoring/prometheus/exporters/flow.nix
index 81099aaf1704..42292abeada2 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/flow.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/flow.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/fritzbox.nix b/nixos/modules/services/monitoring/prometheus/exporters/fritzbox.nix
index dc53d21406ff..7b881a8e2693 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/fritzbox.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/fritzbox.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/graphite.nix b/nixos/modules/services/monitoring/prometheus/exporters/graphite.nix
index 34a887104212..07c06afe1409 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/graphite.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/graphite.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
let
cfg = config.services.prometheus.exporters.graphite;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/idrac.nix b/nixos/modules/services/monitoring/prometheus/exporters/idrac.nix
index f5604bc00ee0..78ae4826215c 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/idrac.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/idrac.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
let
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/imap-mailstat.nix b/nixos/modules/services/monitoring/prometheus/exporters/imap-mailstat.nix
index c5024a258e71..68fc63e40fcd 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/imap-mailstat.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/imap-mailstat.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/influxdb.nix b/nixos/modules/services/monitoring/prometheus/exporters/influxdb.nix
index 61c0c08d2250..d0d7f16bdadf 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/influxdb.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/influxdb.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/ipmi.nix b/nixos/modules/services/monitoring/prometheus/exporters/ipmi.nix
index 9adbe31d84d6..fe9734d33c7c 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/ipmi.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/ipmi.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/jitsi.nix b/nixos/modules/services/monitoring/prometheus/exporters/jitsi.nix
index 024602718602..bc670ba9cc0e 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/jitsi.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/jitsi.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/json.nix b/nixos/modules/services/monitoring/prometheus/exporters/json.nix
index 473f3a7e47e3..7f78985d80cd 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/json.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/json.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/junos-czerwonk.nix b/nixos/modules/services/monitoring/prometheus/exporters/junos-czerwonk.nix
index 15e0c9ecb177..72119d17fcb7 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/junos-czerwonk.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/junos-czerwonk.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/kea.nix b/nixos/modules/services/monitoring/prometheus/exporters/kea.nix
index 3abb6ff6bdf8..ccfdd98b8db9 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/kea.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/kea.nix
@@ -1,7 +1,8 @@
{ config
, lib
, pkgs
-, options
+, utils
+, ...
}:
with lib;
@@ -9,18 +10,22 @@ with lib;
let
cfg = config.services.prometheus.exporters.kea;
in {
+ imports = [
+ (mkRenamedOptionModule [ "controlSocketPaths" ] [ "targets" ])
+ ];
port = 9547;
extraOpts = {
- controlSocketPaths = mkOption {
+ targets = mkOption {
type = types.listOf types.str;
example = literalExpression ''
[
"/run/kea/kea-dhcp4.socket"
"/run/kea/kea-dhcp6.socket"
+ "http://127.0.0.1:8547"
]
'';
description = lib.mdDoc ''
- Paths to kea control sockets
+ Paths or URLs to the Kea control socket.
'';
};
};
@@ -32,12 +37,11 @@ in {
serviceConfig = {
User = "kea";
DynamicUser = true;
- ExecStart = ''
- ${pkgs.prometheus-kea-exporter}/bin/kea-exporter \
- --address ${cfg.listenAddress} \
- --port ${toString cfg.port} \
- ${concatStringsSep " " cfg.controlSocketPaths}
- '';
+ ExecStart = utils.escapeSystemdExecArgs ([
+ (lib.getExe pkgs.prometheus-kea-exporter)
+ "--address" cfg.listenAddress
+ "--port" cfg.port
+ ] ++ cfg.extraFlags ++ cfg.targets);
RuntimeDirectory = "kea";
RuntimeDirectoryPreserve = true;
RestrictAddressFamilies = [
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/keylight.nix b/nixos/modules/services/monitoring/prometheus/exporters/keylight.nix
index dfa56343b871..afdb664a0de5 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/keylight.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/keylight.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/knot.nix b/nixos/modules/services/monitoring/prometheus/exporters/knot.nix
index 775848750803..0352aff8b013 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/knot.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/knot.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/lnd.nix b/nixos/modules/services/monitoring/prometheus/exporters/lnd.nix
index 9f914b1dc146..66d9c02f904b 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/lnd.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/lnd.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/mail.nix b/nixos/modules/services/monitoring/prometheus/exporters/mail.nix
index 15079f5841f4..8c88f47ab86a 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/mail.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/mail.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/mikrotik.nix b/nixos/modules/services/monitoring/prometheus/exporters/mikrotik.nix
index 54dab4b5581a..a8dba75251d8 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/mikrotik.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/mikrotik.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/minio.nix b/nixos/modules/services/monitoring/prometheus/exporters/minio.nix
index 82cc3fc314f2..e24d4f766e30 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/minio.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/minio.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/modemmanager.nix b/nixos/modules/services/monitoring/prometheus/exporters/modemmanager.nix
index 222ea3e5384f..0eb193c0021f 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/modemmanager.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/modemmanager.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/mongodb.nix b/nixos/modules/services/monitoring/prometheus/exporters/mongodb.nix
index b36a09c60920..1ed6bbf0325d 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/mongodb.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/mongodb.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/mysqld.nix b/nixos/modules/services/monitoring/prometheus/exporters/mysqld.nix
index 849c514de681..c6da052ccdf3 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/mysqld.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/mysqld.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
let
cfg = config.services.prometheus.exporters.mysqld;
inherit (lib) types mkOption mdDoc mkIf mkForce cli concatStringsSep optionalString escapeShellArgs;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/nextcloud.nix b/nixos/modules/services/monitoring/prometheus/exporters/nextcloud.nix
index 28a3eb6a134c..82deea6864e8 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/nextcloud.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/nextcloud.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/nginx.nix b/nixos/modules/services/monitoring/prometheus/exporters/nginx.nix
index 88dc79fc2503..339749226aa4 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/nginx.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/nginx.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/nginxlog.nix b/nixos/modules/services/monitoring/prometheus/exporters/nginxlog.nix
index 674dc9dd4158..b79a034e1384 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/nginxlog.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/nginxlog.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/node.nix b/nixos/modules/services/monitoring/prometheus/exporters/node.nix
index dd8602e2c63d..9b8a0d2c6bc2 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/node.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/node.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/nut.nix b/nixos/modules/services/monitoring/prometheus/exporters/nut.nix
index 1c86b48b4509..a14e379079b0 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/nut.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/nut.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
@@ -36,6 +36,17 @@ in
provisioned outside of Nix store.
'';
};
+ nutVariables = mkOption {
+ type = types.listOf types.str;
+ default = [ ];
+ description = ''
+ List of NUT variable names to monitor.
+
+ If no variables are set, all numeric variables will be exported automatically.
+ See the [upstream docs](https://github.com/DRuggeri/nut_exporter?tab=readme-ov-file#variables-and-information)
+ for more information.
+ '';
+ };
};
serviceOpts = {
script = ''
@@ -44,7 +55,9 @@ in
${pkgs.prometheus-nut-exporter}/bin/nut_exporter \
--nut.server=${cfg.nutServer} \
--web.listen-address="${cfg.listenAddress}:${toString cfg.port}" \
- ${optionalString (cfg.nutUser != "") "--nut.username=${cfg.nutUser}"}
+ ${optionalString (cfg.nutUser != "") "--nut.username=${cfg.nutUser}"} \
+ ${optionalString (cfg.nutVariables != []) "--nut.vars_enable=${concatStringsSep "," cfg.nutVariables}"} \
+ ${concatStringsSep " " cfg.extraFlags}
'';
};
}
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/pgbouncer.nix b/nixos/modules/services/monitoring/prometheus/exporters/pgbouncer.nix
index 9e55cadae523..9587403c7802 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/pgbouncer.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/pgbouncer.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/php-fpm.nix b/nixos/modules/services/monitoring/prometheus/exporters/php-fpm.nix
index 8238f1ac1856..4ea5f64012c0 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/php-fpm.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/php-fpm.nix
@@ -2,6 +2,7 @@
, lib
, pkgs
, options
+, ...
}:
let
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/pihole.nix b/nixos/modules/services/monitoring/prometheus/exporters/pihole.nix
index 6f403b3e58c8..4b7eca7493a6 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/pihole.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/pihole.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/ping.nix b/nixos/modules/services/monitoring/prometheus/exporters/ping.nix
index af78b6bef625..bda5038a0c64 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/ping.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/ping.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/postfix.nix b/nixos/modules/services/monitoring/prometheus/exporters/postfix.nix
index 9f402b123110..ead8e806f85a 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/postfix.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/postfix.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/postgres.nix b/nixos/modules/services/monitoring/prometheus/exporters/postgres.nix
index 755d771ecdff..514b2d0c8f2d 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/postgres.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/postgres.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/process.nix b/nixos/modules/services/monitoring/prometheus/exporters/process.nix
index 278d6cd78074..86c71a88e28b 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/process.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/process.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/pve.nix b/nixos/modules/services/monitoring/prometheus/exporters/pve.nix
index 83e740320df2..96db49d9591f 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/pve.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/pve.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
let
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/py-air-control.nix b/nixos/modules/services/monitoring/prometheus/exporters/py-air-control.nix
index f03b3c4df916..60243e0ed069 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/py-air-control.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/py-air-control.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/redis.nix b/nixos/modules/services/monitoring/prometheus/exporters/redis.nix
index befbcb21f766..71f94a700efd 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/redis.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/redis.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/restic.nix b/nixos/modules/services/monitoring/prometheus/exporters/restic.nix
index 5b32c93a666d..12962af5f111 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/restic.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/restic.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
@@ -93,12 +93,14 @@ in
};
serviceOpts = {
+ script = ''
+ export RESTIC_PASSWORD_FILE=$CREDENTIALS_DIRECTORY/RESTIC_PASSWORD_FILE
+ ${pkgs.prometheus-restic-exporter}/bin/restic-exporter.py \
+ ${concatStringsSep " \\\n " cfg.extraFlags}
+ '';
serviceConfig = {
- ExecStart = ''
- ${pkgs.prometheus-restic-exporter}/bin/restic-exporter.py \
- ${concatStringsSep " \\\n " cfg.extraFlags}
- '';
EnvironmentFile = mkIf (cfg.environmentFile != null) cfg.environmentFile;
+ LoadCredential = [ "RESTIC_PASSWORD_FILE:${cfg.passwordFile}" ];
};
environment =
let
@@ -108,8 +110,7 @@ in
toRcloneVal = v: if lib.isBool v then lib.boolToString v else v;
in
{
- RESTIC_REPO_URL = cfg.repository;
- RESTIC_REPO_PASSWORD_FILE = cfg.passwordFile;
+ RESTIC_REPOSITORY = cfg.repository;
LISTEN_ADDRESS = cfg.listenAddress;
LISTEN_PORT = toString cfg.port;
REFRESH_INTERVAL = toString cfg.refreshInterval;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/rspamd.nix b/nixos/modules/services/monitoring/prometheus/exporters/rspamd.nix
index f9dcfad07d30..8169d4075a9f 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/rspamd.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/rspamd.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/rtl_433.nix b/nixos/modules/services/monitoring/prometheus/exporters/rtl_433.nix
index 1f7235cb7830..42b659501161 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/rtl_433.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/rtl_433.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
let
cfg = config.services.prometheus.exporters.rtl_433;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/sabnzbd.nix b/nixos/modules/services/monitoring/prometheus/exporters/sabnzbd.nix
index b9ab305f7c08..0d937ac6673f 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/sabnzbd.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/sabnzbd.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
let
inherit (lib) mkOption types;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/scaphandre.nix b/nixos/modules/services/monitoring/prometheus/exporters/scaphandre.nix
index 3b6ebf65b090..d4c929d88b9c 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/scaphandre.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/scaphandre.nix
@@ -2,6 +2,7 @@
, lib
, pkgs
, options
+, ...
}:
let
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/script.nix b/nixos/modules/services/monitoring/prometheus/exporters/script.nix
index eab0e1d8a6b5..f37fa456d27c 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/script.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/script.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/shelly.nix b/nixos/modules/services/monitoring/prometheus/exporters/shelly.nix
index b9cfd1b1e84a..1d2329dfbae1 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/shelly.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/shelly.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/smartctl.nix b/nixos/modules/services/monitoring/prometheus/exporters/smartctl.nix
index 50e1321a1e9c..1040e9ecadbd 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/smartctl.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/smartctl.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/smokeping.nix b/nixos/modules/services/monitoring/prometheus/exporters/smokeping.nix
index 459f5842f546..2bacc9cd7cac 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/smokeping.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/smokeping.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/snmp.nix b/nixos/modules/services/monitoring/prometheus/exporters/snmp.nix
index 452cb154bcf6..207446e39f49 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/snmp.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/snmp.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/sql.nix b/nixos/modules/services/monitoring/prometheus/exporters/sql.nix
index 678bc348679d..dbfa69678a0c 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/sql.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/sql.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
let
cfg = config.services.prometheus.exporters.sql;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/statsd.nix b/nixos/modules/services/monitoring/prometheus/exporters/statsd.nix
index d9d732d8c125..94df86167e8c 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/statsd.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/statsd.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/surfboard.nix b/nixos/modules/services/monitoring/prometheus/exporters/surfboard.nix
index b1d6760b40b3..337ebd4ed66f 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/surfboard.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/surfboard.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/tor.nix b/nixos/modules/services/monitoring/prometheus/exporters/tor.nix
index 7a9167110a27..b91f69aded3d 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/tor.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/tor.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/unbound.nix b/nixos/modules/services/monitoring/prometheus/exporters/unbound.nix
index f2336429d42f..2f4444a96c69 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/unbound.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/unbound.nix
@@ -2,6 +2,7 @@
, lib
, pkgs
, options
+, ...
}:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/unifi.nix b/nixos/modules/services/monitoring/prometheus/exporters/unifi.nix
index 70f26d9783be..b7addcd56827 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/unifi.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/unifi.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/unpoller.nix b/nixos/modules/services/monitoring/prometheus/exporters/unpoller.nix
index 3b7f978528cd..aff1197a8775 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/unpoller.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/unpoller.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/v2ray.nix b/nixos/modules/services/monitoring/prometheus/exporters/v2ray.nix
index a019157c664b..7b21e5fc7cb7 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/v2ray.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/v2ray.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/varnish.nix b/nixos/modules/services/monitoring/prometheus/exporters/varnish.nix
index a7e5b41dffc6..98fbba82c8e9 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/varnish.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/varnish.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/wireguard.nix b/nixos/modules/services/monitoring/prometheus/exporters/wireguard.nix
index 9b7590314936..127c8021a9f0 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/wireguard.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/wireguard.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/prometheus/exporters/zfs.nix b/nixos/modules/services/monitoring/prometheus/exporters/zfs.nix
index ff12a52d49a9..21f6354cc4a2 100644
--- a/nixos/modules/services/monitoring/prometheus/exporters/zfs.nix
+++ b/nixos/modules/services/monitoring/prometheus/exporters/zfs.nix
@@ -1,4 +1,4 @@
-{ config, lib, pkgs, options }:
+{ config, lib, pkgs, options, ... }:
with lib;
diff --git a/nixos/modules/services/monitoring/scrutiny.nix b/nixos/modules/services/monitoring/scrutiny.nix
new file mode 100644
index 000000000000..031f5a30cada
--- /dev/null
+++ b/nixos/modules/services/monitoring/scrutiny.nix
@@ -0,0 +1,217 @@
+{ config, lib, pkgs, ... }:
+let
+ inherit (lib) maintainers;
+ inherit (lib.meta) getExe;
+ inherit (lib.modules) mkIf mkMerge;
+ inherit (lib.options) literalExpression mkEnableOption mkOption mkPackageOption;
+ inherit (lib.types) bool enum nullOr port str submodule;
+
+ cfg = config.services.scrutiny;
+ # Define the settings format used for this program
+ settingsFormat = pkgs.formats.yaml { };
+in
+{
+ options = {
+ services.scrutiny = {
+ enable = mkEnableOption "Scrutiny, a web application for drive monitoring";
+
+ package = mkPackageOption pkgs "scrutiny" { };
+
+ openFirewall = mkEnableOption "opening the default ports in the firewall for Scrutiny";
+
+ influxdb.enable = mkOption {
+ type = bool;
+ default = true;
+ description = ''
+ Enables InfluxDB on the host system using the `services.influxdb2` NixOS module
+ with default options.
+
+ If you already have InfluxDB configured, or wish to connect to an external InfluxDB
+ instance, disable this option.
+ '';
+ };
+
+ settings = mkOption {
+ description = ''
+ Scrutiny settings to be rendered into the configuration file.
+
+ See https://github.com/AnalogJ/scrutiny/blob/master/example.scrutiny.yaml.
+ '';
+ default = { };
+ type = submodule {
+ freeformType = settingsFormat.type;
+
+ options.web.listen.port = mkOption {
+ type = port;
+ default = 8080;
+ description = "Port for web application to listen on.";
+ };
+
+ options.web.listen.host = mkOption {
+ type = str;
+ default = "0.0.0.0";
+ description = "Interface address for web application to bind to.";
+ };
+
+ options.web.listen.basepath = mkOption {
+ type = str;
+ default = "";
+ example = "/scrutiny";
+ description = ''
+ If Scrutiny will be behind a path prefixed reverse proxy, you can override this
+ value to serve Scrutiny on a subpath.
+ '';
+ };
+
+ options.log.level = mkOption {
+ type = enum [ "INFO" "DEBUG" ];
+ default = "INFO";
+ description = "Log level for Scrutiny.";
+ };
+
+ options.web.influxdb.scheme = mkOption {
+ type = str;
+ default = "http";
+ description = "URL scheme to use when connecting to InfluxDB.";
+ };
+
+ options.web.influxdb.host = mkOption {
+ type = str;
+ default = "0.0.0.0";
+ description = "IP or hostname of the InfluxDB instance.";
+ };
+
+ options.web.influxdb.port = mkOption {
+ type = port;
+ default = 8086;
+ description = "The port of the InfluxDB instance.";
+ };
+
+ options.web.influxdb.tls.insecure_skip_verify = mkEnableOption "skipping TLS verification when connecting to InfluxDB";
+
+ options.web.influxdb.token = mkOption {
+ type = nullOr str;
+ default = null;
+ description = "Authentication token for connecting to InfluxDB.";
+ };
+
+ options.web.influxdb.org = mkOption {
+ type = nullOr str;
+ default = null;
+ description = "InfluxDB organisation under which to store data.";
+ };
+
+ options.web.influxdb.bucket = mkOption {
+ type = nullOr str;
+ default = null;
+ description = "InfluxDB bucket in which to store data.";
+ };
+ };
+ };
+
+ collector = {
+ enable = mkEnableOption "the Scrutiny metrics collector";
+
+ package = mkPackageOption pkgs "scrutiny-collector" { };
+
+ schedule = mkOption {
+ type = str;
+ default = "*:0/15";
+ description = ''
+ How often to run the collector in systemd calendar format.
+ '';
+ };
+
+ settings = mkOption {
+ description = ''
+ Collector settings to be rendered into the collector configuration file.
+
+ See https://github.com/AnalogJ/scrutiny/blob/master/example.collector.yaml.
+ '';
+ default = { };
+ type = submodule {
+ freeformType = settingsFormat.type;
+
+ options.host.id = mkOption {
+ type = nullOr str;
+ default = null;
+ description = "Host ID for identifying/labelling groups of disks";
+ };
+
+ options.api.endpoint = mkOption {
+ type = str;
+ default = "http://localhost:${toString cfg.settings.web.listen.port}";
+ defaultText = literalExpression ''"http://localhost:''${config.services.scrutiny.settings.web.listen.port}"'';
+ description = "Scrutiny app API endpoint for sending metrics to.";
+ };
+
+ options.log.level = mkOption {
+ type = enum [ "INFO" "DEBUG" ];
+ default = "INFO";
+ description = "Log level for Scrutiny collector.";
+ };
+ };
+ };
+ };
+ };
+ };
+
+ config = mkMerge [
+ (mkIf cfg.enable {
+ services.influxdb2.enable = cfg.influxdb.enable;
+
+ networking.firewall = mkIf cfg.openFirewall {
+ allowedTCPPorts = [ cfg.settings.web.listen.port ];
+ };
+
+ systemd.services.scrutiny = {
+ description = "Hard Drive S.M.A.R.T Monitoring, Historical Trends & Real World Failure Thresholds";
+ wantedBy = [ "multi-user.target" ];
+ after = [ "network.target" ] ++ lib.optional cfg.influxdb.enable "influxdb2.service";
+ wants = lib.optional cfg.influxdb.enable "influxdb2.service";
+ environment = {
+ SCRUTINY_VERSION = "1";
+ SCRUTINY_WEB_DATABASE_LOCATION = "/var/lib/scrutiny/scrutiny.db";
+ SCRUTINY_WEB_SRC_FRONTEND_PATH = "${cfg.package}/share/scrutiny";
+ };
+ serviceConfig = {
+ DynamicUser = true;
+ ExecStart = "${getExe cfg.package} start --config ${settingsFormat.generate "scrutiny.yaml" cfg.settings}";
+ Restart = "always";
+ StateDirectory = "scrutiny";
+ StateDirectoryMode = "0750";
+ };
+ };
+ })
+ (mkIf cfg.collector.enable {
+ services.smartd = {
+ enable = true;
+ extraOptions = [
+ "-A /var/log/smartd/"
+ "--interval=600"
+ ];
+ };
+
+ systemd = {
+ services.scrutiny-collector = {
+ description = "Scrutiny Collector Service";
+ after = lib.optional cfg.enable "scrutiny.service";
+ wants = lib.optional cfg.enable "scrutiny.service";
+ environment = {
+ COLLECTOR_VERSION = "1";
+ COLLECTOR_API_ENDPOINT = cfg.collector.settings.api.endpoint;
+ };
+ serviceConfig = {
+ Type = "oneshot";
+ ExecStart = "${getExe cfg.collector.package} run --config ${settingsFormat.generate "scrutiny-collector.yaml" cfg.collector.settings}";
+ };
+ startAt = cfg.collector.schedule;
+ };
+
+ timers.scrutiny-collector.timerConfig.Persistent = true;
+ };
+ })
+ ];
+
+ meta.maintainers = [ maintainers.jnsgruk ];
+}
diff --git a/nixos/modules/services/networking/bee-clef.nix b/nixos/modules/services/networking/bee-clef.nix
deleted file mode 100644
index 75e76f019a71..000000000000
--- a/nixos/modules/services/networking/bee-clef.nix
+++ /dev/null
@@ -1,107 +0,0 @@
-{ config, lib, pkgs, ... }:
-
-# NOTE for now nothing is installed into /etc/bee-clef/. the config files are used as read-only from the nix store.
-
-with lib;
-let
- cfg = config.services.bee-clef;
-in {
- meta = {
- maintainers = with maintainers; [ attila-lendvai ];
- };
-
- ### interface
-
- options = {
- services.bee-clef = {
- enable = mkEnableOption (lib.mdDoc "clef external signer instance for Ethereum Swarm Bee");
-
- dataDir = mkOption {
- type = types.nullOr types.str;
- default = "/var/lib/bee-clef";
- description = lib.mdDoc ''
- Data dir for bee-clef. Beware that some helper scripts may not work when changed!
- The service itself should work fine, though.
- '';
- };
-
- passwordFile = mkOption {
- type = types.nullOr types.str;
- default = "/var/lib/bee-clef/password";
- description = lib.mdDoc "Password file for bee-clef.";
- };
-
- user = mkOption {
- type = types.str;
- default = "bee-clef";
- description = lib.mdDoc ''
- User the bee-clef daemon should execute under.
- '';
- };
-
- group = mkOption {
- type = types.str;
- default = "bee-clef";
- description = lib.mdDoc ''
- Group the bee-clef daemon should execute under.
- '';
- };
- };
- };
-
- ### implementation
-
- config = mkIf cfg.enable {
- # if we ever want to have rules.js under /etc/bee-clef/
- # environment.etc."bee-clef/rules.js".source = ${pkgs.bee-clef}/rules.js
-
- systemd.packages = [ pkgs.bee-clef ]; # include the upstream bee-clef.service file
-
- systemd.tmpfiles.rules = [
- "d '${cfg.dataDir}/' 0750 ${cfg.user} ${cfg.group}"
- "d '${cfg.dataDir}/keystore' 0700 ${cfg.user} ${cfg.group}"
- ];
-
- systemd.services.bee-clef = {
- path = [
- # these are needed for the ensure-clef-account script
- pkgs.coreutils
- pkgs.gnused
- pkgs.gawk
- ];
-
- wantedBy = [ "bee.service" "multi-user.target" ];
-
- serviceConfig = {
- User = cfg.user;
- Group = cfg.group;
- ExecStartPre = ''${pkgs.bee-clef}/share/bee-clef/ensure-clef-account "${cfg.dataDir}" "${pkgs.bee-clef}/share/bee-clef/"'';
- ExecStart = [
- "" # this hides/overrides what's in the original entry
- "${pkgs.bee-clef}/share/bee-clef/bee-clef-service start"
- ];
- ExecStop = [
- "" # this hides/overrides what's in the original entry
- "${pkgs.bee-clef}/share/bee-clef/bee-clef-service stop"
- ];
- Environment = [
- "CONFIGDIR=${cfg.dataDir}"
- "PASSWORD_FILE=${cfg.passwordFile}"
- ];
- };
- };
-
- users.users = optionalAttrs (cfg.user == "bee-clef") {
- bee-clef = {
- group = cfg.group;
- home = cfg.dataDir;
- isSystemUser = true;
- description = "Daemon user for the bee-clef service";
- };
- };
-
- users.groups = optionalAttrs (cfg.group == "bee-clef") {
- bee-clef = {};
- };
- };
-}
diff --git a/nixos/modules/services/networking/bee.nix b/nixos/modules/services/networking/bee.nix
index 962cfd30c3fe..a4d20494bf6b 100644
--- a/nixos/modules/services/networking/bee.nix
+++ b/nixos/modules/services/networking/bee.nix
@@ -8,7 +8,7 @@ let
in {
meta = {
# doc = ./bee.xml;
- maintainers = with maintainers; [ attila-lendvai ];
+ maintainers = with maintainers; [ ];
};
### interface
@@ -73,13 +73,10 @@ in {
}
];
- warnings = optional (! config.services.bee-clef.enable) "The bee service requires an external signer. Consider setting `config.services.bee-clef.enable` = true";
-
services.bee.settings = {
data-dir = lib.mkDefault "/var/lib/bee";
password-file = lib.mkDefault "/var/lib/bee/password";
clef-signer-enable = lib.mkDefault true;
- clef-signer-endpoint = lib.mkDefault "/var/lib/bee-clef/clef.ipc";
swap-endpoint = lib.mkDefault "https://rpc.slock.it/goerli";
};
@@ -90,9 +87,6 @@ in {
];
systemd.services.bee = {
- requires = optional config.services.bee-clef.enable
- "bee-clef.service";
-
wantedBy = [ "multi-user.target" ];
serviceConfig = {
@@ -120,7 +114,6 @@ Bee has SWAP enabled by default and it needs ethereum endpoint to operate.
It is recommended to use external signer with bee.
Check documentation for more info:
- SWAP https://docs.ethswarm.org/docs/installation/manual#swap-bandwidth-incentives
-- External signer https://docs.ethswarm.org/docs/installation/bee-clef
After you finish configuration run 'sudo bee-get-addr'."
fi
@@ -133,8 +126,6 @@ After you finish configuration run 'sudo bee-get-addr'."
home = cfg.settings.data-dir;
isSystemUser = true;
description = "Daemon user for Ethereum Swarm Bee";
- extraGroups = optional config.services.bee-clef.enable
- config.services.bee-clef.group;
};
};
diff --git a/nixos/modules/services/networking/bird-lg.nix b/nixos/modules/services/networking/bird-lg.nix
index be9f4101e6ab..1c59f7a6ae7c 100644
--- a/nixos/modules/services/networking/bird-lg.nix
+++ b/nixos/modules/services/networking/bird-lg.nix
@@ -194,8 +194,8 @@ in
allowedIPs = mkOption {
type = types.listOf types.str;
default = [ ];
- example = [ "192.168.25.52" "192.168.25.53" ];
- description = lib.mdDoc "List of IPs to allow (default all allowed).";
+ example = [ "192.168.25.52" "192.168.25.53" "192.168.0.0/24" ];
+ description = lib.mdDoc "List of IPs or networks to allow (default all allowed).";
};
birdSocket = mkOption {
diff --git a/nixos/modules/services/networking/dhcpcd.nix b/nixos/modules/services/networking/dhcpcd.nix
index 266a7ea1435e..8d5ac02ba88b 100644
--- a/nixos/modules/services/networking/dhcpcd.nix
+++ b/nixos/modules/services/networking/dhcpcd.nix
@@ -13,6 +13,8 @@ let
enableDHCP = config.networking.dhcpcd.enable &&
(config.networking.useDHCP || any (i: i.useDHCP == true) interfaces);
+ enableNTPService = (config.services.ntp.enable || config.services.ntpd-rs.enable || config.services.openntpd.enable || config.services.chrony.enable);
+
# Don't start dhcpcd on explicitly configured interfaces or on
# interfaces that are part of a bridge, bond or sit device.
ignoredInterfaces =
@@ -89,20 +91,22 @@ let
${cfg.extraConfig}
'';
- exitHook = pkgs.writeText "dhcpcd.exit-hook"
- ''
+ exitHook = pkgs.writeText "dhcpcd.exit-hook" ''
+ ${optionalString enableNTPService ''
if [ "$reason" = BOUND -o "$reason" = REBOOT ]; then
- # Restart ntpd. We need to restart it to make sure that it
- # will actually do something: if ntpd cannot resolve the
- # server hostnames in its config file, then it will never do
- # anything ever again ("couldn't resolve ..., giving up on
- # it"), so we silently lose time synchronisation. This also
- # applies to openntpd.
- /run/current-system/systemd/bin/systemctl try-reload-or-restart ntpd.service openntpd.service chronyd.service ntpd-rs.service || true
+ # Restart ntpd. We need to restart it to make sure that it will actually do something:
+ # if ntpd cannot resolve the server hostnames in its config file, then it will never do
+ # anything ever again ("couldn't resolve ..., giving up on it"), so we silently lose
+ # time synchronisation. This also applies to openntpd.
+ ${optionalString config.services.ntp.enable "/run/current-system/systemd/bin/systemctl try-reload-or-restart ntpd.service || true"}
+ ${optionalString config.services.ntpd-rs.enable "/run/current-system/systemd/bin/systemctl try-reload-or-restart ntpd-rs.service || true"}
+ ${optionalString config.services.openntpd.enable "/run/current-system/systemd/bin/systemctl try-reload-or-restart openntpd.service || true"}
+ ${optionalString config.services.chrony.enable "/run/current-system/systemd/bin/systemctl try-reload-or-restart chronyd.service || true"}
fi
+ ''}
- ${cfg.runHook}
- '';
+ ${cfg.runHook}
+ '';
in
@@ -232,7 +236,7 @@ in
wants = [ "network.target" ];
before = [ "network-online.target" ];
- restartTriggers = [ exitHook ];
+ restartTriggers = optional (enableNTPService || cfg.runHook != "") [ exitHook ];
# Stopping dhcpcd during a reconfiguration is undesirable
# because it brings down the network interfaces configured by
@@ -261,7 +265,9 @@ in
environment.systemPackages = [ dhcpcd ];
- environment.etc."dhcpcd.exit-hook".source = exitHook;
+ environment.etc."dhcpcd.exit-hook" = mkIf (enableNTPService || cfg.runHook != "") {
+ source = exitHook;
+ };
powerManagement.resumeCommands = mkIf config.systemd.services.dhcpcd.enable
''
diff --git a/nixos/modules/services/networking/dnscache.nix b/nixos/modules/services/networking/dnscache.nix
index eff13f69f470..4f5b77a5b685 100644
--- a/nixos/modules/services/networking/dnscache.nix
+++ b/nixos/modules/services/networking/dnscache.nix
@@ -86,7 +86,11 @@ in {
config = mkIf config.services.dnscache.enable {
environment.systemPackages = [ pkgs.djbdns ];
- users.users.dnscache.isSystemUser = true;
+ users.users.dnscache = {
+ isSystemUser = true;
+ group = "dnscache";
+ };
+ users.groups.dnscache = {};
systemd.services.dnscache = {
description = "djbdns dnscache server";
diff --git a/nixos/modules/services/networking/go-camo.nix b/nixos/modules/services/networking/go-camo.nix
new file mode 100644
index 000000000000..cb3b6eade464
--- /dev/null
+++ b/nixos/modules/services/networking/go-camo.nix
@@ -0,0 +1,73 @@
+{ lib, pkgs, config, ... }:
+
+let
+ cfg = config.services.go-camo;
+ inherit (lib) mkOption mkEnableOption mkIf mkMerge types optionalString;
+in
+{
+ options.services.go-camo = {
+ enable = mkEnableOption "go-camo service";
+ listen = mkOption {
+ type = types.nullOr types.str;
+ default = null;
+ description = "Address:Port to bind to for HTTP (default: 0.0.0.0:8080).";
+ apply = v: optionalString (v != null) "--listen=${v}";
+ };
+ sslListen = mkOption {
+ type = types.nullOr types.str;
+ default = null;
+ description = "Address:Port to bind to for HTTPS.";
+ apply = v: optionalString (v != null) "--ssl-listen=${v}";
+ };
+ sslKey = mkOption {
+ type = types.nullOr types.path;
+ default = null;
+ description = "Path to TLS private key.";
+ apply = v: optionalString (v != null) "--ssl-key=${v}";
+ };
+ sslCert = mkOption {
+ type = types.nullOr types.path;
+ default = null;
+ description = "Path to TLS certificate.";
+ apply = v: optionalString (v != null) "--ssl-cert=${v}";
+ };
+ keyFile = mkOption {
+ type = types.path;
+ default = null;
+ description = ''
+ A file containing the HMAC key to use for signing URLs.
+ The file can contain any string. Can be generated using "openssl rand -base64 18 > the_file".
+ '';
+ };
+ extraOptions = mkOption {
+ type = with types; listOf str;
+ default = [];
+ description = "Extra options passed to the go-camo command.";
+ };
+ };
+
+ config = mkIf cfg.enable {
+ systemd.services.go-camo = {
+ description = "go-camo service";
+ wantedBy = [ "multi-user.target" ];
+ after = [ "network.target" ];
+ environment = {
+ GOCAMO_HMAC_FILE = "%d/hmac";
+ };
+ script = ''
+ export GOCAMO_HMAC=$(cat "$GOCAMO_HMAC_FILE")
+ exec ${lib.escapeShellArgs(lib.lists.remove "" ([ "${pkgs.go-camo}/bin/go-camo" cfg.listen cfg.sslListen cfg.sslKey cfg.sslCert ] ++ cfg.extraOptions))}
+ '';
+ serviceConfig = {
+ NoNewPrivileges = true;
+ ProtectSystem = "strict";
+ DynamicUser = true;
+ User = "gocamo";
+ Group = "gocamo";
+ LoadCredential = [
+ "hmac:${cfg.keyFile}"
+ ];
+ };
+ };
+ };
+}
diff --git a/nixos/modules/services/networking/knot.nix b/nixos/modules/services/networking/knot.nix
index 94c32586736a..6488a159b3b7 100644
--- a/nixos/modules/services/networking/knot.nix
+++ b/nixos/modules/services/networking/knot.nix
@@ -1,8 +1,36 @@
-{ config, lib, pkgs, ... }:
+{ config, lib, pkgs, utils, ... }:
-with lib;
let
+ inherit (lib)
+ attrNames
+ concatMapStrings
+ concatMapStringsSep
+ concatStrings
+ concatStringsSep
+ elem
+ filter
+ flip
+ hasAttr
+ hasPrefix
+ isAttrs
+ isBool
+ isDerivation
+ isList
+ mapAttrsToList
+ mkChangedOptionModule
+ mkEnableOption
+ mkIf
+ mkOption
+ mkPackageOption
+ optionals
+ types
+ ;
+
+ inherit (utils)
+ escapeSystemdExecArgs
+ ;
+
cfg = config.services.knot;
yamlConfig = let
@@ -113,8 +141,7 @@ let
mkConfigFile = configString: pkgs.writeTextFile {
name = "knot.conf";
text = (concatMapStringsSep "\n" (file: "include: ${file}") cfg.keyFiles) + "\n" + configString;
- # TODO: maybe we could do some checks even when private keys complicate this?
- checkPhase = lib.optionalString (cfg.keyFiles == []) ''
+ checkPhase = lib.optionalString cfg.checkConfig ''
${cfg.package}/bin/knotc --config=$out conf-check
'';
};
@@ -142,12 +169,45 @@ let
in {
options = {
services.knot = {
- enable = mkEnableOption (lib.mdDoc "Knot authoritative-only DNS server");
+ enable = mkEnableOption "Knot authoritative-only DNS server";
+
+ enableXDP = mkOption {
+ type = types.bool;
+ default = lib.hasAttrByPath [ "xdp" "listen" ] cfg.settings;
+ defaultText = ''
+ Enabled when the `xdp.listen` setting is configured through `settings`.
+ '';
+ example = true;
+ description = ''
+ Extends the systemd unit with permissions to allow for the use of
+ the eXpress Data Path (XDP).
+
+ ::: {.note}
+ Make sure to read up on functional [limitations](https://www.knot-dns.cz/docs/latest/singlehtml/index.html#mode-xdp-limitations)
+ when running in XDP mode.
+ :::
+ '';
+ };
+
+ checkConfig = mkOption {
+ type = types.bool;
+ # TODO: maybe we could do some checks even when private keys complicate this?
+ # conf-check fails hard on missing IPs/devices with XDP
+ default = cfg.keyFiles == [] && !cfg.enableXDP;
+ defaultText = ''
+ Disabled when the config uses `keyFiles` or `enableXDP`.
+ '';
+ example = false;
+ description = ''
+ Toggles the configuration test at build time. It runs in a
+ sandbox, and therefore cannot be used in all scenarios.
+ '';
+ };
extraArgs = mkOption {
type = types.listOf types.str;
default = [];
- description = lib.mdDoc ''
+ description = ''
List of additional command line parameters for knotd
'';
};
@@ -155,7 +215,7 @@ in {
keyFiles = mkOption {
type = types.listOf types.path;
default = [];
- description = lib.mdDoc ''
+ description = ''
A list of files containing additional configuration
to be included using the include directive. This option
allows to include configuration like TSIG keys without
@@ -168,7 +228,7 @@ in {
settings = mkOption {
type = types.attrs;
default = {};
- description = lib.mdDoc ''
+ description = ''
Extra configuration as nix values.
'';
};
@@ -176,7 +236,7 @@ in {
settingsFile = mkOption {
type = types.nullOr types.path;
default = null;
- description = lib.mdDoc ''
+ description = ''
As alternative to ``settings``, you can provide whole configuration
directly in the almost-YAML format of Knot DNS.
You might want to utilize ``pkgs.writeText "knot.conf" "longConfigString"`` for this.
@@ -210,19 +270,35 @@ in {
wants = [ "network.target" ];
after = ["network.target" ];
- serviceConfig = {
+ serviceConfig = let
+ # https://www.knot-dns.cz/docs/3.3/singlehtml/index.html#pre-requisites
+ xdpCapabilities = lib.optionals (cfg.enableXDP) [
+ "CAP_NET_ADMIN"
+ "CAP_NET_RAW"
+ "CAP_SYS_ADMIN"
+ "CAP_IPC_LOCK"
+ ] ++ lib.optionals (lib.versionOlder config.boot.kernelPackages.kernel.version "5.11") [
+ "CAP_SYS_RESOURCE"
+ ];
+ in {
Type = "notify";
- ExecStart = "${cfg.package}/bin/knotd --config=${configFile} --socket=${socketFile} ${concatStringsSep " " cfg.extraArgs}";
- ExecReload = "${knot-cli-wrappers}/bin/knotc reload";
+ ExecStart = escapeSystemdExecArgs ([
+ (lib.getExe cfg.package)
+ "--config=${configFile}"
+ "--socket=${socketFile}"
+ ] ++ cfg.extraArgs);
+ ExecReload = escapeSystemdExecArgs [
+ "${knot-cli-wrappers}/bin/knotc" "reload"
+ ];
User = "knot";
Group = "knot";
AmbientCapabilities = [
"CAP_NET_BIND_SERVICE"
- ];
+ ] ++ xdpCapabilities;
CapabilityBoundingSet = [
"CAP_NET_BIND_SERVICE"
- ];
+ ] ++ xdpCapabilities;
DeviceAllow = "";
DevicePolicy = "closed";
LockPersonality = true;
@@ -247,6 +323,9 @@ in {
"AF_INET"
"AF_INET6"
"AF_UNIX"
+ ] ++ optionals (cfg.enableXDP) [
+ "AF_NETLINK"
+ "AF_XDP"
];
RestrictNamespaces = true;
RestrictRealtime =true;
@@ -258,6 +337,8 @@ in {
SystemCallFilter = [
"@system-service"
"~@privileged"
+ ] ++ optionals (cfg.enableXDP) [
+ "bpf"
];
UMask = "0077";
};
diff --git a/nixos/modules/services/networking/mihomo.nix b/nixos/modules/services/networking/mihomo.nix
new file mode 100644
index 000000000000..ae700603b529
--- /dev/null
+++ b/nixos/modules/services/networking/mihomo.nix
@@ -0,0 +1,118 @@
+# NOTE:
+# cfg.configFile contains secrets such as proxy servers' credential!
+# we dont want plaintext secrets in world-readable `/nix/store`.
+
+{ lib
+, config
+, pkgs
+, ...
+}:
+let
+ cfg = config.services.mihomo;
+in
+{
+ options.services.mihomo = {
+ enable = lib.mkEnableOption "Mihomo, A rule-based proxy in Go.";
+
+ package = lib.mkPackageOption pkgs "mihomo" { };
+
+ configFile = lib.mkOption {
+ default = null;
+ type = lib.types.nullOr lib.types.path;
+ description = "Configuration file to use.";
+ };
+
+ webui = lib.mkOption {
+ default = null;
+ type = lib.types.nullOr lib.types.path;
+ description = ''
+ Local web interface to use.
+
+ You can also use the following website, just in case:
+ - metacubexd:
+ - https://d.metacubex.one
+ - https://metacubex.github.io/metacubexd
+ - https://metacubexd.pages.dev
+ - yacd:
+ - https://yacd.haishan.me
+ - clash-dashboard (buggy):
+ - https://clash.razord.top
+ '';
+ };
+
+ extraOpts = lib.mkOption {
+ default = null;
+ type = lib.types.nullOr lib.types.str;
+ description = "Extra command line options to use.";
+ };
+
+ tunMode = lib.mkEnableOption ''
+ necessary permission for Mihomo's systemd service for TUN mode to function properly.
+
+ Keep in mind, that you still need to enable TUN mode manually in Mihomo's configuration.
+ '';
+ };
+
+ config = lib.mkIf cfg.enable {
+ ### systemd service
+ systemd.services."mihomo" = {
+ description = "Mihomo daemon, A rule-based proxy in Go.";
+ documentation = [ "https://wiki.metacubex.one/" ];
+ requires = [ "network-online.target" ];
+ after = [ "network-online.target" ];
+ wantedBy = [ "multi-user.target" ];
+ serviceConfig =
+ {
+ ExecStart = lib.concatStringsSep " " [
+ (lib.getExe cfg.package)
+ "-d /var/lib/private/mihomo"
+ (lib.optionalString (cfg.configFile != null) "-f \${CREDENTIALS_DIRECTORY}/config.yaml")
+ (lib.optionalString (cfg.webui != null) "-ext-ui ${cfg.webui}")
+ (lib.optionalString (cfg.extraOpts != null) cfg.extraOpts)
+ ];
+
+ DynamicUser = true;
+ StateDirectory = "mihomo";
+ LoadCredential = "config.yaml:${cfg.configFile}";
+
+ ### Hardening
+ AmbientCapabilities = "";
+ CapabilityBoundingSet = "";
+ DeviceAllow = "";
+ LockPersonality = true;
+ MemoryDenyWriteExecute = true;
+ NoNewPrivileges = true;
+ PrivateDevices = true;
+ PrivateMounts = true;
+ PrivateTmp = true;
+ PrivateUsers = true;
+ ProcSubset = "pid";
+ ProtectClock = true;
+ ProtectControlGroups = true;
+ ProtectHome = true;
+ ProtectHostname = true;
+ ProtectKernelLogs = true;
+ ProtectKernelModules = true;
+ ProtectKernelTunables = true;
+ ProtectProc = "invisible";
+ ProtectSystem = "strict";
+ RestrictRealtime = true;
+ RestrictSUIDSGID = true;
+ RestrictNamespaces = true;
+ RestrictAddressFamilies = "AF_INET AF_INET6";
+ SystemCallArchitectures = "native";
+ SystemCallFilter = "@system-service bpf";
+ UMask = "0077";
+ }
+ // lib.optionalAttrs cfg.tunMode {
+ AmbientCapabilities = "CAP_NET_ADMIN";
+ CapabilityBoundingSet = "CAP_NET_ADMIN";
+ PrivateDevices = false;
+ PrivateUsers = false;
+ RestrictAddressFamilies = "AF_INET AF_INET6 AF_NETLINK";
+ };
+ };
+ };
+
+ meta.maintainers = with lib.maintainers; [ Guanran928 ];
+}
diff --git a/nixos/modules/services/networking/mosquitto.nix b/nixos/modules/services/networking/mosquitto.nix
index ad9eefb42252..4a08f5ed2370 100644
--- a/nixos/modules/services/networking/mosquitto.nix
+++ b/nixos/modules/services/networking/mosquitto.nix
@@ -177,17 +177,6 @@ let
''
++ hashedLines));
- makeACLFile = idx: users: supplement:
- pkgs.writeText "mosquitto-acl-${toString idx}.conf"
- (concatStringsSep
- "\n"
- (flatten [
- supplement
- (mapAttrsToList
- (n: u: [ "user ${n}" ] ++ map (t: "topic ${t}") u.acl)
- users)
- ]));
-
authPluginOptions = with types; submodule {
options = {
plugin = mkOption {
@@ -342,7 +331,7 @@ let
formatListener = idx: listener:
[
"listener ${toString listener.port} ${toString listener.address}"
- "acl_file ${makeACLFile idx listener.users listener.acl}"
+ "acl_file /etc/mosquitto/acl-${toString idx}.conf"
]
++ optional (! listener.omitPasswordAuth) "password_file ${cfg.dataDir}/passwd-${toString idx}"
++ formatFreeform {} listener.settings
@@ -698,6 +687,27 @@ in
cfg.listeners);
};
+ environment.etc = listToAttrs (
+ imap0
+ (idx: listener: {
+ name = "mosquitto/acl-${toString idx}.conf";
+ value = {
+ user = config.users.users.mosquitto.name;
+ group = config.users.users.mosquitto.group;
+ mode = "0400";
+ text = (concatStringsSep
+ "\n"
+ (flatten [
+ listener.acl
+ (mapAttrsToList
+ (n: u: [ "user ${n}" ] ++ map (t: "topic ${t}") u.acl)
+ listener.users)
+ ]));
+ };
+ })
+ cfg.listeners
+ );
+
users.users.mosquitto = {
description = "Mosquitto MQTT Broker Daemon owner";
group = "mosquitto";
diff --git a/nixos/modules/services/networking/murmur.nix b/nixos/modules/services/networking/murmur.nix
index 5805f332a66f..1fb5063e5ad8 100644
--- a/nixos/modules/services/networking/murmur.nix
+++ b/nixos/modules/services/networking/murmur.nix
@@ -33,7 +33,7 @@ let
sendversion=${boolToString cfg.sendVersion}
${optionalString (cfg.registerName != "") "registerName=${cfg.registerName}"}
- ${optionalString (cfg.registerPassword == "") "registerPassword=${cfg.registerPassword}"}
+ ${optionalString (cfg.registerPassword != "") "registerPassword=${cfg.registerPassword}"}
${optionalString (cfg.registerUrl != "") "registerUrl=${cfg.registerUrl}"}
${optionalString (cfg.registerHostname != "") "registerHostname=${cfg.registerHostname}"}
diff --git a/nixos/modules/services/networking/mycelium.nix b/nixos/modules/services/networking/mycelium.nix
new file mode 100644
index 000000000000..9c4bca7c6861
--- /dev/null
+++ b/nixos/modules/services/networking/mycelium.nix
@@ -0,0 +1,133 @@
+{ config, pkgs, lib, ... }:
+
+let
+ cfg = config.services.mycelium;
+in
+{
+ options.services.mycelium = {
+ enable = lib.mkEnableOption "mycelium network";
+ peers = lib.mkOption {
+ type = lib.types.listOf lib.types.str;
+ description = ''
+ List of peers to connect to, in the formats:
+ - `quic://[2001:0db8::1]:9651`
+ - `quic://192.0.2.1:9651`
+ - `tcp://[2001:0db8::1]:9651`
+ - `tcp://192.0.2.1:9651`
+
+ If addHostedPublicNodes is set to true, the hosted public nodes will also be added.
+ '';
+ default = [ ];
+ };
+ keyFile = lib.mkOption {
+ type = lib.types.nullOr lib.types.path;
+ default = null;
+ description = ''
+ Optional path to a file containing the mycelium key material.
+ If unset, the default location (`/var/lib/mycelium/key.bin`) will be used.
+ If no key exist at this location, it will be generated on startup.
+ '';
+ };
+ openFirewall = lib.mkOption {
+ type = lib.types.bool;
+ default = false;
+ description = "Open the firewall for mycelium";
+ };
+ package = lib.mkOption {
+ type = lib.types.package;
+ default = pkgs.mycelium;
+ defaultText = lib.literalExpression ''"''${pkgs.mycelium}"'';
+ description = "The mycelium package to use";
+ };
+ addHostedPublicNodes = lib.mkOption {
+ type = lib.types.bool;
+ default = true;
+ description = ''
+ Adds the hosted peers from https://github.com/threefoldtech/mycelium#hosted-public-nodes.
+ '';
+ };
+ };
+ config = lib.mkIf cfg.enable {
+ networking.firewall.allowedTCPPorts = lib.optionals cfg.openFirewall [ 9651 ];
+ networking.firewall.allowedUDPPorts = lib.optionals cfg.openFirewall [ 9650 9651 ];
+
+ systemd.services.mycelium = {
+ description = "Mycelium network";
+ after = [ "network.target" ];
+ wantedBy = [ "multi-user.target" ];
+ restartTriggers = [
+ cfg.keyFile
+ ];
+
+ unitConfig.Documentation = "https://github.com/threefoldtech/mycelium";
+
+ serviceConfig = {
+ User = "mycelium";
+ DynamicUser = true;
+ StateDirectory = "mycelium";
+ ProtectHome = true;
+ ProtectSystem = true;
+ LoadCredential = lib.mkIf (cfg.keyFile != null) "keyfile:${cfg.keyFile}";
+ SyslogIdentifier = "mycelium";
+ AmbientCapabilities = [ "CAP_NET_ADMIN" ];
+ MemoryDenyWriteExecute = true;
+ ProtectControlGroups = true;
+ ProtectKernelModules = true;
+ ProtectKernelTunables = true;
+ RestrictAddressFamilies = "AF_UNIX AF_INET AF_INET6 AF_NETLINK";
+ RestrictNamespaces = true;
+ RestrictRealtime = true;
+ SystemCallArchitectures = "native";
+ SystemCallFilter = [ "@system-service" "~@privileged @keyring" ];
+ ExecStart = lib.concatStringsSep " " ([
+ (lib.getExe cfg.package)
+ (if (cfg.keyFile != null) then
+ "--key-file \${CREDENTIALS_DIRECTORY}/keyfile" else
+ "--key-file %S/mycelium/key.bin"
+ )
+ "--tun-name"
+ "mycelium"
+ ] ++
+ (lib.optional (cfg.addHostedPublicNodes || cfg.peers != [ ]) "--peers")
+ ++ cfg.peers ++ (lib.optionals cfg.addHostedPublicNodes [
+ "tcp://188.40.132.242:9651" # DE 01
+ "tcp://[2a01:4f8:221:1e0b::2]:9651"
+ "quic://188.40.132.242:9651"
+ "quic://[2a01:4f8:221:1e0b::2]:9651"
+
+ "tcp://136.243.47.186:9651" # DE 02
+ "tcp://[2a01:4f8:212:fa6::2]:9651"
+ "quic://136.243.47.186:9651"
+ "quic://[2a01:4f8:212:fa6::2]:9651"
+
+ "tcp://185.69.166.7:9651" # BE 03
+ "tcp://[2a02:1802:5e:0:8478:51ff:fee2:3331]:9651"
+ "quic://185.69.166.7:9651"
+ "quic://[2a02:1802:5e:0:8478:51ff:fee2:3331]:9651"
+
+ "tcp://185.69.166.8:9651" # BE 04
+ "tcp://[2a02:1802:5e:0:8c9e:7dff:fec9:f0d2]:9651"
+ "quic://185.69.166.8:9651"
+ "quic://[2a02:1802:5e:0:8c9e:7dff:fec9:f0d2]:9651"
+
+ "tcp://65.21.231.58:9651" # FI 05
+ "tcp://[2a01:4f9:6a:1dc5::2]:9651"
+ "quic://65.21.231.58:9651"
+ "quic://[2a01:4f9:6a:1dc5::2]:9651"
+
+ "tcp://65.109.18.113:9651" # FI 06
+ "tcp://[2a01:4f9:5a:1042::2]:9651"
+ "quic://65.109.18.113:9651"
+ "quic://[2a01:4f9:5a:1042::2]:9651"
+ ]));
+ Restart = "always";
+ RestartSec = 5;
+ TimeoutStopSec = 5;
+ };
+ };
+ };
+ meta = {
+ maintainers = with lib.maintainers; [ flokli lassulus ];
+ };
+}
+
diff --git a/nixos/modules/services/networking/nebula.nix b/nixos/modules/services/networking/nebula.nix
index e13876172dac..2f9e41ae9c80 100644
--- a/nixos/modules/services/networking/nebula.nix
+++ b/nixos/modules/services/networking/nebula.nix
@@ -10,6 +10,15 @@ let
format = pkgs.formats.yaml {};
nameToId = netName: "nebula-${netName}";
+
+ resolveFinalPort = netCfg:
+ if netCfg.listen.port == null then
+ if (netCfg.isLighthouse || netCfg.isRelay) then
+ 4242
+ else
+ 0
+ else
+ netCfg.listen.port;
in
{
# Interface
@@ -95,8 +104,15 @@ in
};
listen.port = mkOption {
- type = types.port;
- default = 4242;
+ type = types.nullOr types.port;
+ default = null;
+ defaultText = lib.literalExpression ''
+ if (config.services.nebula.networks.''${name}.isLighthouse ||
+ config.services.nebula.networks.''${name}.isRelay) then
+ 4242
+ else
+ 0;
+ '';
description = lib.mdDoc "Port number to listen on.";
};
@@ -174,7 +190,7 @@ in
};
listen = {
host = netCfg.listen.host;
- port = netCfg.listen.port;
+ port = resolveFinalPort netCfg;
};
tun = {
disabled = netCfg.tun.disable;
@@ -185,7 +201,15 @@ in
outbound = netCfg.firewall.outbound;
};
} netCfg.settings;
- configFile = format.generate "nebula-config-${netName}.yml" settings;
+ configFile = format.generate "nebula-config-${netName}.yml" (
+ warnIf
+ ((settings.lighthouse.am_lighthouse || settings.relay.am_relay) && settings.listen.port == 0)
+ ''
+ Nebula network '${netName}' is configured as a lighthouse or relay, and its port is ${builtins.toString settings.listen.port}.
+ You will likely experience connectivity issues: https://nebula.defined.net/docs/config/listen/#listenport
+ ''
+ settings
+ );
in
{
# Create the systemd service for Nebula.
@@ -229,7 +253,7 @@ in
# Open the chosen ports for UDP.
networking.firewall.allowedUDPPorts =
- unique (mapAttrsToList (netName: netCfg: netCfg.listen.port) enabledNetworks);
+ unique (filter (port: port > 0) (mapAttrsToList (netName: netCfg: resolveFinalPort netCfg) enabledNetworks));
# Create the service users and groups.
users.users = mkMerge (mapAttrsToList (netName: netCfg:
diff --git a/nixos/modules/services/networking/networkmanager.nix b/nixos/modules/services/networking/networkmanager.nix
index c96439cf2641..573a02cbda9e 100644
--- a/nixos/modules/services/networking/networkmanager.nix
+++ b/nixos/modules/services/networking/networkmanager.nix
@@ -101,7 +101,23 @@ let
pre-down = "pre-down.d/";
};
- macAddressOpt = mkOption {
+ macAddressOptWifi = mkOption {
+ type = types.either types.str (types.enum [ "permanent" "preserve" "random" "stable" "stable-ssid" ]);
+ default = "preserve";
+ example = "00:11:22:33:44:55";
+ description = lib.mdDoc ''
+ Set the MAC address of the interface.
+
+ - `"XX:XX:XX:XX:XX:XX"`: MAC address of the interface
+ - `"permanent"`: Use the permanent MAC address of the device
+ - `"preserve"`: Don’t change the MAC address of the device upon activation
+ - `"random"`: Generate a randomized value upon each connect
+ - `"stable"`: Generate a stable, hashed MAC address
+ - `"stable-ssid"`: Generate a stable MAC addressed based on Wi-Fi network
+ '';
+ };
+
+ macAddressOptEth = mkOption {
type = types.either types.str (types.enum [ "permanent" "preserve" "random" "stable" ]);
default = "preserve";
example = "00:11:22:33:44:55";
@@ -258,10 +274,10 @@ in
'';
};
- ethernet.macAddress = macAddressOpt;
+ ethernet.macAddress = macAddressOptEth;
wifi = {
- macAddress = macAddressOpt;
+ macAddress = macAddressOptWifi;
backend = mkOption {
type = types.enum [ "wpa_supplicant" "iwd" ];
@@ -291,7 +307,7 @@ in
};
dns = mkOption {
- type = types.enum [ "default" "dnsmasq" "unbound" "systemd-resolved" "none" ];
+ type = types.enum [ "default" "dnsmasq" "systemd-resolved" "none" ];
default = "default";
description = lib.mdDoc ''
Set the DNS (`resolv.conf`) processing mode.
@@ -436,6 +452,7 @@ in
And if you edit a declarative profile NetworkManager will move it to the persistent storage and treat it like a ad-hoc one,
but there will be two profiles as soon as the systemd unit from this option runs again which can be confusing since NetworkManager tools will start displaying two profiles with the same name and probably a bit different settings depending on what you edited.
A profile won't be deleted even if it's removed from the config until the system reboots because that's when NetworkManager clears it's temp directory.
+ If `networking.resolvconf.enable` is true, attributes affecting the name resolution (such as `ignore-auto-dns`) may not end up changing `/etc/resolv.conf` as expected when other name services (for example `networking.dhcpcd`) are enabled. Run `resolvconf -l` in the terminal to see what each service produces.
'';
};
environmentFiles = mkOption {
@@ -583,6 +600,7 @@ in
description = "Ensure that NetworkManager declarative profiles are created";
wantedBy = [ "multi-user.target" ];
before = [ "network-online.target" ];
+ after = [ "NetworkManager.service" ];
script = let
path = id: "/run/NetworkManager/system-connections/${id}.nmconnection";
in ''
@@ -592,9 +610,7 @@ in
${pkgs.envsubst}/bin/envsubst -i ${ini.generate (lib.escapeShellArg profile.n) profile.v} > ${path (lib.escapeShellArg profile.n)}
'') (lib.mapAttrsToList (n: v: { inherit n v; }) cfg.ensureProfiles.profiles)
+ ''
- if systemctl is-active --quiet NetworkManager; then
- ${pkgs.networkmanager}/bin/nmcli connection reload
- fi
+ ${pkgs.networkmanager}/bin/nmcli connection reload
'';
serviceConfig = {
EnvironmentFile = cfg.ensureProfiles.environmentFiles;
diff --git a/nixos/modules/services/networking/sabnzbd.nix b/nixos/modules/services/networking/sabnzbd.nix
index cff2622b38e9..2f0d17ad3d17 100644
--- a/nixos/modules/services/networking/sabnzbd.nix
+++ b/nixos/modules/services/networking/sabnzbd.nix
@@ -36,6 +36,14 @@ in
default = "sabnzbd";
description = lib.mdDoc "Group to run the service as";
};
+
+ openFirewall = mkOption {
+ type = types.bool;
+ default = false;
+ description = lib.mdDoc ''
+ Open ports in the firewall for the sabnzbd web interface
+ '';
+ };
};
};
@@ -43,17 +51,16 @@ in
###### implementation
config = mkIf cfg.enable {
-
- users.users.sabnzbd = {
- uid = config.ids.uids.sabnzbd;
- group = "sabnzbd";
- description = "sabnzbd user";
- home = "/var/lib/sabnzbd/";
- createHome = true;
+ users.users = mkIf (cfg.user == "sabnzbd") {
+ sabnzbd = {
+ uid = config.ids.uids.sabnzbd;
+ group = cfg.group;
+ description = "sabnzbd user";
+ };
};
- users.groups.sabnzbd = {
- gid = config.ids.gids.sabnzbd;
+ users.groups = mkIf (cfg.group == "sabnzbd") {
+ sabnzbd.gid = config.ids.gids.sabnzbd;
};
systemd.services.sabnzbd = {
@@ -63,10 +70,15 @@ in
serviceConfig = {
Type = "forking";
GuessMainPID = "no";
- User = "${cfg.user}";
- Group = "${cfg.group}";
+ User = cfg.user;
+ Group = cfg.group;
+ StateDirectory = "sabnzbd";
ExecStart = "${lib.getBin cfg.package}/bin/sabnzbd -d -f ${cfg.configFile}";
};
};
+
+ networking.firewall = mkIf cfg.openFirewall {
+ allowedTCPPorts = [ 8080 ];
+ };
};
}
diff --git a/nixos/modules/services/networking/searx.nix b/nixos/modules/services/networking/searx.nix
index 938d585e3179..5bbf875f0d57 100644
--- a/nixos/modules/services/networking/searx.nix
+++ b/nixos/modules/services/networking/searx.nix
@@ -213,7 +213,7 @@ in
serviceConfig = {
User = "searx";
Group = "searx";
- ExecStart = "${cfg.package}/bin/searx-run";
+ ExecStart = lib.getExe cfg.package;
} // optionalAttrs (cfg.environmentFile != null)
{ EnvironmentFile = builtins.toPath cfg.environmentFile; };
environment = {
diff --git a/nixos/modules/services/networking/tailscale.nix b/nixos/modules/services/networking/tailscale.nix
index f11fe57d6ce5..972299a4697a 100644
--- a/nixos/modules/services/networking/tailscale.nix
+++ b/nixos/modules/services/networking/tailscale.nix
@@ -66,6 +66,13 @@ in {
default = [];
example = ["--ssh"];
};
+
+ extraDaemonFlags = mkOption {
+ description = lib.mdDoc "Extra flags to pass to {command}`tailscaled`.";
+ type = types.listOf types.str;
+ default = [];
+ example = ["--no-logs-no-support"];
+ };
};
config = mkIf cfg.enable {
@@ -80,7 +87,7 @@ in {
] ++ lib.optional config.networking.resolvconf.enable config.networking.resolvconf.package;
serviceConfig.Environment = [
"PORT=${toString cfg.port}"
- ''"FLAGS=--tun ${lib.escapeShellArg cfg.interfaceName}"''
+ ''"FLAGS=--tun ${lib.escapeShellArg cfg.interfaceName} ${lib.concatStringsSep " " cfg.extraDaemonFlags}"''
] ++ (lib.optionals (cfg.permitCertUid != null) [
"TS_PERMIT_CERT_UID=${cfg.permitCertUid}"
]);
diff --git a/nixos/modules/services/networking/tinyproxy.nix b/nixos/modules/services/networking/tinyproxy.nix
index 8ff12b52f10c..2b7509e99ca4 100644
--- a/nixos/modules/services/networking/tinyproxy.nix
+++ b/nixos/modules/services/networking/tinyproxy.nix
@@ -7,6 +7,7 @@ let
mkValueStringTinyproxy = with lib; v:
if true == v then "yes"
else if false == v then "no"
+ else if types.path.check v then ''"${v}"''
else generators.mkValueStringDefault {} v;
mkKeyValueTinyproxy = {
mkValueString ? mkValueStringDefault {}
diff --git a/nixos/modules/services/networking/unbound.nix b/nixos/modules/services/networking/unbound.nix
index 616b32f11797..242fcd500bb0 100644
--- a/nixos/modules/services/networking/unbound.nix
+++ b/nixos/modules/services/networking/unbound.nix
@@ -24,12 +24,24 @@ let
confNoServer = concatStringsSep "\n" ((mapAttrsToList (toConf "") (builtins.removeAttrs cfg.settings [ "server" ])) ++ [""]);
confServer = concatStringsSep "\n" (mapAttrsToList (toConf " ") (builtins.removeAttrs cfg.settings.server [ "define-tag" ]));
- confFile = pkgs.writeText "unbound.conf" ''
+ confFileUnchecked = pkgs.writeText "unbound.conf" ''
server:
${optionalString (cfg.settings.server.define-tag != "") (toOption " " "define-tag" cfg.settings.server.define-tag)}
${confServer}
${confNoServer}
'';
+ confFile = if cfg.checkconf then pkgs.runCommandLocal "unbound-checkconf" { } ''
+ cp ${confFileUnchecked} unbound.conf
+
+ # fake stateDir which is not accesible in the sandbox
+ mkdir -p $PWD/state
+ sed -i unbound.conf \
+ -e '/auto-trust-anchor-file/d' \
+ -e "s|${cfg.stateDir}|$PWD/state|"
+ ${cfg.package}/bin/unbound-checkconf unbound.conf
+
+ cp ${confFileUnchecked} $out
+ '' else confFileUnchecked;
rootTrustAnchorFile = "${cfg.stateDir}/root.key";
@@ -62,6 +74,18 @@ in {
description = lib.mdDoc "Directory holding all state for unbound to run.";
};
+ checkconf = mkOption {
+ type = types.bool;
+ default = !cfg.settings ? include && !cfg.settings ? remote-control;
+ defaultText = "!services.unbound.settings ? include && !services.unbound.settings ? remote-control";
+ description = lib.mdDoc ''
+ Wether to check the resulting config file with unbound checkconf for syntax errors.
+
+ If settings.include is used, this options is disabled, as the import can likely not be accessed at build time.
+ If settings.remote-control is used, this option is disabled, too as the control-key-file, server-cert-file and server-key-file cannot be accessed at build time.
+ '';
+ };
+
resolveLocalQueries = mkOption {
type = types.bool;
default = true;
@@ -206,8 +230,6 @@ in {
resolvconf = {
useLocalResolver = mkDefault true;
};
-
- networkmanager.dns = "unbound";
};
environment.etc."unbound/unbound.conf".source = confFile;
diff --git a/nixos/modules/services/security/esdm.nix b/nixos/modules/services/security/esdm.nix
index 134b4be1a94c..c34fba1b3c75 100644
--- a/nixos/modules/services/security/esdm.nix
+++ b/nixos/modules/services/security/esdm.nix
@@ -4,49 +4,33 @@ let
cfg = config.services.esdm;
in
{
+ imports = [
+ # removed option 'services.esdm.cuseRandomEnable'
+ (lib.mkRemovedOptionModule [ "services" "esdm" "cuseRandomEnable" ] ''
+ Use services.esdm.enableLinuxCompatServices instead.
+ '')
+ # removed option 'services.esdm.cuseUrandomEnable'
+ (lib.mkRemovedOptionModule [ "services" "esdm" "cuseUrandomEnable" ] ''
+ Use services.esdm.enableLinuxCompatServices instead.
+ '')
+ # removed option 'services.esdm.procEnable'
+ (lib.mkRemovedOptionModule [ "services" "esdm" "procEnable" ] ''
+ Use services.esdm.enableLinuxCompatServices instead.
+ '')
+ # removed option 'services.esdm.verbose'
+ (lib.mkRemovedOptionModule [ "services" "esdm" "verbose" ] ''
+ There is no replacement.
+ '')
+ ];
+
options.services.esdm = {
enable = lib.mkEnableOption (lib.mdDoc "ESDM service configuration");
package = lib.mkPackageOption pkgs "esdm" { };
- serverEnable = lib.mkOption {
+ enableLinuxCompatServices = lib.mkOption {
type = lib.types.bool;
default = true;
description = lib.mdDoc ''
- Enable option for ESDM server service. If serverEnable == false, then the esdm-server
- will not start. Also the subsequent services esdm-cuse-random, esdm-cuse-urandom
- and esdm-proc will not start as these have the entry Want=esdm-server.service.
- '';
- };
- cuseRandomEnable = lib.mkOption {
- type = lib.types.bool;
- default = true;
- description = lib.mdDoc ''
- Enable option for ESDM cuse-random service. Determines if the esdm-cuse-random.service
- is started.
- '';
- };
- cuseUrandomEnable = lib.mkOption {
- type = lib.types.bool;
- default = true;
- description = lib.mdDoc ''
- Enable option for ESDM cuse-urandom service. Determines if the esdm-cuse-urandom.service
- is started.
- '';
- };
- procEnable = lib.mkOption {
- type = lib.types.bool;
- default = true;
- description = lib.mdDoc ''
- Enable option for ESDM proc service. Determines if the esdm-proc.service
- is started.
- '';
- };
- verbose = lib.mkOption {
- type = lib.types.bool;
- default = false;
- description = lib.mdDoc ''
- Enable verbose ExecStart for ESDM. If verbose == true, then the corresponding "ExecStart"
- values of the 4 aforementioned services are overwritten with the option
- for the highest verbosity.
+ Enable /dev/random, /dev/urandom and /proc/sys/kernel/random/* userspace wrapper.
'';
};
};
@@ -55,46 +39,13 @@ in
lib.mkMerge [
({
systemd.packages = [ cfg.package ];
+ systemd.services."esdm-server".wantedBy = [ "basic.target" ];
})
# It is necessary to set those options for these services to be started by systemd in NixOS
- (lib.mkIf cfg.serverEnable {
- systemd.services."esdm-server".wantedBy = [ "basic.target" ];
- systemd.services."esdm-server".serviceConfig = lib.mkIf cfg.verbose {
- ExecStart = [
- " " # unset previous value defined in 'esdm-server.service'
- "${cfg.package}/bin/esdm-server -f -vvvvvv"
- ];
- };
- })
-
- (lib.mkIf cfg.cuseRandomEnable {
- systemd.services."esdm-cuse-random".wantedBy = [ "basic.target" ];
- systemd.services."esdm-cuse-random".serviceConfig = lib.mkIf cfg.verbose {
- ExecStart = [
- " " # unset previous value defined in 'esdm-cuse-random.service'
- "${cfg.package}/bin/esdm-cuse-random -f -v 6"
- ];
- };
- })
-
- (lib.mkIf cfg.cuseUrandomEnable {
- systemd.services."esdm-cuse-urandom".wantedBy = [ "basic.target" ];
- systemd.services."esdm-cuse-urandom".serviceConfig = lib.mkIf cfg.verbose {
- ExecStart = [
- " " # unset previous value defined in 'esdm-cuse-urandom.service'
- "${config.services.esdm.package}/bin/esdm-cuse-urandom -f -v 6"
- ];
- };
- })
-
- (lib.mkIf cfg.procEnable {
- systemd.services."esdm-proc".wantedBy = [ "basic.target" ];
- systemd.services."esdm-proc".serviceConfig = lib.mkIf cfg.verbose {
- ExecStart = [
- " " # unset previous value defined in 'esdm-proc.service'
- "${cfg.package}/bin/esdm-proc --relabel -f -o allow_other /proc/sys/kernel/random -v 6"
- ];
- };
+ (lib.mkIf cfg.enableLinuxCompatServices {
+ systemd.targets."esdm-linux-compat".wantedBy = [ "basic.target" ];
+ systemd.services."esdm-server-suspend".wantedBy = [ "sleep.target" "suspend.target" "hibernate.target" ];
+ systemd.services."esdm-server-resume".wantedBy = [ "sleep.target" "suspend.target" "hibernate.target" ];
})
]);
diff --git a/nixos/modules/services/security/kanidm.nix b/nixos/modules/services/security/kanidm.nix
index c659d93b4087..9d074c3027d0 100644
--- a/nixos/modules/services/security/kanidm.nix
+++ b/nixos/modules/services/security/kanidm.nix
@@ -132,6 +132,28 @@ in
default = "WriteReplica";
type = lib.types.enum [ "WriteReplica" "WriteReplicaNoUI" "ReadOnlyReplica" ];
};
+ online_backup = {
+ path = lib.mkOption {
+ description = lib.mdDoc "Path to the output directory for backups.";
+ type = lib.types.path;
+ default = "/var/lib/kanidm/backups";
+ };
+ schedule = lib.mkOption {
+ description = lib.mdDoc "The schedule for backups in cron format.";
+ type = lib.types.str;
+ default = "00 22 * * *";
+ };
+ versions = lib.mkOption {
+ description = lib.mdDoc ''
+ Number of backups to keep.
+
+ The default is set to `0`, in order to disable backups by default.
+ '';
+ type = lib.types.ints.unsigned;
+ default = 0;
+ example = 7;
+ };
+ };
};
};
default = { };
@@ -233,6 +255,14 @@ in
environment.systemPackages = lib.mkIf cfg.enableClient [ cfg.package ];
+ systemd.tmpfiles.settings."10-kanidm" = {
+ ${cfg.serverSettings.online_backup.path}.d = {
+ mode = "0700";
+ user = "kanidm";
+ group = "kanidm";
+ };
+ };
+
systemd.services.kanidm = lib.mkIf cfg.enableServer {
description = "kanidm identity management daemon";
wantedBy = [ "multi-user.target" ];
@@ -253,6 +283,8 @@ in
BindPaths = [
# To create the socket
"/run/kanidmd:/run/kanidmd"
+ # To store backups
+ cfg.serverSettings.online_backup.path
];
AmbientCapabilities = [ "CAP_NET_BIND_SERVICE" ];
diff --git a/nixos/modules/services/security/vaultwarden/default.nix b/nixos/modules/services/security/vaultwarden/default.nix
index 470db735bf64..60d8015d0cee 100644
--- a/nixos/modules/services/security/vaultwarden/default.nix
+++ b/nixos/modules/services/security/vaultwarden/default.nix
@@ -180,7 +180,6 @@ in {
users.groups.vaultwarden = { };
systemd.services.vaultwarden = {
- aliases = [ "bitwarden_rs.service" ];
after = [ "network.target" ];
path = with pkgs; [ openssl ];
serviceConfig = {
@@ -202,7 +201,6 @@ in {
};
systemd.services.backup-vaultwarden = mkIf (cfg.backupDir != null) {
- aliases = [ "backup-bitwarden_rs.service" ];
description = "Backup vaultwarden";
environment = {
DATA_FOLDER = "/var/lib/bitwarden_rs";
@@ -222,7 +220,6 @@ in {
};
systemd.timers.backup-vaultwarden = mkIf (cfg.backupDir != null) {
- aliases = [ "backup-bitwarden_rs.timer" ];
description = "Backup vaultwarden on time";
timerConfig = {
OnCalendar = mkDefault "23:00";
@@ -240,6 +237,9 @@ in {
};
};
- # uses attributes of the linked package
- meta.buildDocsInSandbox = false;
+ meta = {
+ # uses attributes of the linked package
+ buildDocsInSandbox = false;
+ maintainers = with lib.maintainers; [ dotlambda SuperSandro2000 ];
+ };
}
diff --git a/nixos/modules/services/security/yubikey-agent.nix b/nixos/modules/services/security/yubikey-agent.nix
index a9f15e4405f2..3d5f84af2cf4 100644
--- a/nixos/modules/services/security/yubikey-agent.nix
+++ b/nixos/modules/services/security/yubikey-agent.nix
@@ -6,9 +6,6 @@ with lib;
let
cfg = config.services.yubikey-agent;
-
- # reuse the pinentryFlavor option from the gnupg module
- pinentryFlavor = config.programs.gnupg.agent.pinentryFlavor;
in
{
###### interface
@@ -40,14 +37,9 @@ in
# This overrides the systemd user unit shipped with the
# yubikey-agent package
- systemd.user.services.yubikey-agent = mkIf (pinentryFlavor != null) {
- path = [ pkgs.pinentry.${pinentryFlavor} ];
- wantedBy = [
- (if pinentryFlavor == "tty" || pinentryFlavor == "curses" then
- "default.target"
- else
- "graphical-session.target")
- ];
+ systemd.user.services.yubikey-agent = mkIf (config.programs.gnupg.agent.pinentryPackage != null) {
+ path = [ config.programs.gnupg.agent.pinentryPackage ];
+ wantedBy = [ "default.target" ];
};
# Yubikey-agent expects pcsd to be running in order to function.
diff --git a/nixos/modules/services/system/automatic-timezoned.nix b/nixos/modules/services/system/automatic-timezoned.nix
index 8934ed3a7ef2..7d3cd004a7ba 100644
--- a/nixos/modules/services/system/automatic-timezoned.nix
+++ b/nixos/modules/services/system/automatic-timezoned.nix
@@ -50,7 +50,7 @@ in
serviceConfig = {
Type = "exec";
User = "automatic-timezoned";
- ExecStart = "${cfg.package}/bin/automatic-timezoned --zoneinfo-path=${pkgs.tzdata}/share/zoneinfo/zone1970.tab";
+ ExecStart = "${cfg.package}/bin/automatic-timezoned";
};
wantedBy = [ "default.target" ];
};
diff --git a/nixos/modules/services/torrent/transmission.nix b/nixos/modules/services/torrent/transmission.nix
index 5dd02eb33163..a9fb123b981e 100644
--- a/nixos/modules/services/torrent/transmission.nix
+++ b/nixos/modules/services/torrent/transmission.nix
@@ -74,7 +74,7 @@ in
description = lib.mdDoc "";
};
options.message-level = mkOption {
- type = types.ints.between 0 3;
+ type = types.ints.between 0 6;
default = 2;
description = lib.mdDoc "Set verbosity of transmission messages.";
};
diff --git a/nixos/modules/services/web-apps/engelsystem.nix b/nixos/modules/services/web-apps/engelsystem.nix
index 669620debce5..ae7b2b9e7d0c 100644
--- a/nixos/modules/services/web-apps/engelsystem.nix
+++ b/nixos/modules/services/web-apps/engelsystem.nix
@@ -99,7 +99,6 @@ in {
'';
services.phpfpm.pools.engelsystem = {
- phpPackage = pkgs.php81;
user = "engelsystem";
settings = {
"listen.owner" = config.services.nginx.user;
diff --git a/nixos/modules/services/web-apps/gotosocial.nix b/nixos/modules/services/web-apps/gotosocial.nix
index 45464f646da8..657509c11005 100644
--- a/nixos/modules/services/web-apps/gotosocial.nix
+++ b/nixos/modules/services/web-apps/gotosocial.nix
@@ -27,7 +27,7 @@ let
in
{
meta.doc = ./gotosocial.md;
- meta.maintainers = with lib.maintainers; [ misuzu ];
+ meta.maintainers = with lib.maintainers; [ misuzu blakesmith ];
options.services.gotosocial = {
enable = lib.mkEnableOption (lib.mdDoc "ActivityPub social network server");
diff --git a/nixos/modules/services/web-apps/hedgedoc.nix b/nixos/modules/services/web-apps/hedgedoc.nix
index adcfe80a7332..8b17c6cbc3be 100644
--- a/nixos/modules/services/web-apps/hedgedoc.nix
+++ b/nixos/modules/services/web-apps/hedgedoc.nix
@@ -236,9 +236,9 @@ in
};
services.hedgedoc.settings = {
- defaultNotePath = lib.mkDefault "${cfg.package}/public/default.md";
- docsPath = lib.mkDefault "${cfg.package}/public/docs";
- viewPath = lib.mkDefault "${cfg.package}/public/views";
+ defaultNotePath = lib.mkDefault "${cfg.package}/share/hedgedoc/public/default.md";
+ docsPath = lib.mkDefault "${cfg.package}/share/hedgedoc/public/docs";
+ viewPath = lib.mkDefault "${cfg.package}/share/hedgedoc/public/views";
};
systemd.services.hedgedoc = {
@@ -263,7 +263,7 @@ in
Group = name;
Restart = "always";
- ExecStart = "${cfg.package}/bin/hedgedoc";
+ ExecStart = lib.getExe cfg.package;
RuntimeDirectory = [ name ];
StateDirectory = [ name ];
WorkingDirectory = "/run/${name}";
diff --git a/nixos/modules/services/web-apps/komga.nix b/nixos/modules/services/web-apps/komga.nix
index 31f475fc7b04..d7ab2a9e612e 100644
--- a/nixos/modules/services/web-apps/komga.nix
+++ b/nixos/modules/services/web-apps/komga.nix
@@ -1,99 +1,122 @@
-{ config, pkgs, lib, ... }:
-
-with lib;
+{
+ config,
+ pkgs,
+ lib,
+ ...
+}:
let
cfg = config.services.komga;
-
-in {
+ inherit (lib) mkOption mkEnableOption maintainers;
+ inherit (lib.types) port str bool;
+in
+{
options = {
services.komga = {
- enable = mkEnableOption (lib.mdDoc "Komga, a free and open source comics/mangas media server");
+ enable = mkEnableOption "Komga, a free and open source comics/mangas media server";
port = mkOption {
- type = types.port;
+ type = port;
default = 8080;
- description = lib.mdDoc ''
- The port that Komga will listen on.
- '';
+ description = "The port that Komga will listen on.";
};
user = mkOption {
- type = types.str;
+ type = str;
default = "komga";
- description = lib.mdDoc ''
- User account under which Komga runs.
- '';
+ description = "User account under which Komga runs.";
};
group = mkOption {
- type = types.str;
+ type = str;
default = "komga";
- description = lib.mdDoc ''
- Group under which Komga runs.
- '';
+ description = "Group under which Komga runs.";
};
stateDir = mkOption {
- type = types.str;
+ type = str;
default = "/var/lib/komga";
- description = lib.mdDoc ''
- State and configuration directory Komga will use.
- '';
+ description = "State and configuration directory Komga will use.";
};
openFirewall = mkOption {
- type = types.bool;
+ type = bool;
default = false;
- description = lib.mdDoc ''
- Whether to open the firewall for the port in {option}`services.komga.port`.
- '';
+ description = "Whether to open the firewall for the port in {option}`services.komga.port`.";
};
};
};
- config = mkIf cfg.enable {
+ config =
+ let
+ inherit (lib) mkIf getExe;
+ in
+ mkIf cfg.enable {
- networking.firewall.allowedTCPPorts = mkIf cfg.openFirewall [ cfg.port ];
+ networking.firewall.allowedTCPPorts = mkIf cfg.openFirewall [ cfg.port ];
- users.groups = mkIf (cfg.group == "komga") {
- komga = {};
- };
+ users.groups = mkIf (cfg.group == "komga") { komga = { }; };
- users.users = mkIf (cfg.user == "komga") {
- komga = {
- group = cfg.group;
- home = cfg.stateDir;
- description = "Komga Daemon user";
- isSystemUser = true;
- };
- };
-
- systemd.services.komga = {
- environment = {
- SERVER_PORT = builtins.toString cfg.port;
- KOMGA_CONFIGDIR = cfg.stateDir;
+ users.users = mkIf (cfg.user == "komga") {
+ komga = {
+ group = cfg.group;
+ home = cfg.stateDir;
+ description = "Komga Daemon user";
+ isSystemUser = true;
+ };
};
- description = "Komga is a free and open source comics/mangas media server";
+ systemd.services.komga = {
+ environment = {
+ SERVER_PORT = builtins.toString cfg.port;
+ KOMGA_CONFIGDIR = cfg.stateDir;
+ };
- wantedBy = [ "multi-user.target" ];
- wants = [ "network-online.target" ];
- after = [ "network-online.target" ];
+ description = "Komga is a free and open source comics/mangas media server";
- serviceConfig = {
- User = cfg.user;
- Group = cfg.group;
+ wantedBy = [ "multi-user.target" ];
+ wants = [ "network-online.target" ];
+ after = [ "network-online.target" ];
- Type = "simple";
- Restart = "on-failure";
- ExecStart = "${pkgs.komga}/bin/komga";
+ serviceConfig = {
+ User = cfg.user;
+ Group = cfg.group;
- StateDirectory = mkIf (cfg.stateDir == "/var/lib/komga") "komga";
+ Type = "simple";
+ Restart = "on-failure";
+ ExecStart = getExe pkgs.komga;
+
+ StateDirectory = mkIf (cfg.stateDir == "/var/lib/komga") "komga";
+
+ RemoveIPC = true;
+ NoNewPrivileges = true;
+ CapabilityBoundingSet = "";
+ SystemCallFilter = [ "@system-service" ];
+ ProtectSystem = "full";
+ PrivateTmp = true;
+ ProtectProc = "invisible";
+ ProtectClock = true;
+ ProcSubset = "pid";
+ PrivateUsers = true;
+ PrivateDevices = true;
+ ProtectHostname = true;
+ ProtectKernelTunables = true;
+ RestrictAddressFamilies = [
+ "AF_INET"
+ "AF_INET6"
+ "AF_NETLINK"
+ ];
+ LockPersonality = true;
+ RestrictNamespaces = true;
+ ProtectKernelLogs = true;
+ ProtectControlGroups = true;
+ ProtectKernelModules = true;
+ SystemCallArchitectures = "native";
+ RestrictSUIDSGID = true;
+ RestrictRealtime = true;
+ };
};
-
};
- };
meta.maintainers = with maintainers; [ govanify ];
}
diff --git a/nixos/modules/services/web-apps/mastodon.nix b/nixos/modules/services/web-apps/mastodon.nix
index 8d09d1b97828..7fc710c6fcec 100644
--- a/nixos/modules/services/web-apps/mastodon.nix
+++ b/nixos/modules/services/web-apps/mastodon.nix
@@ -4,7 +4,8 @@ let
cfg = config.services.mastodon;
opt = options.services.mastodon;
- # We only want to create a database if we're actually going to connect to it.
+ # We only want to create a Redis and PostgreSQL databases if we're actually going to connect to it local.
+ redisActuallyCreateLocally = cfg.redis.createLocally && (cfg.redis.host == "127.0.0.1" || cfg.redis.enableUnixSocket);
databaseActuallyCreateLocally = cfg.database.createLocally && cfg.database.host == "/run/postgresql";
env = {
@@ -33,6 +34,7 @@ let
TRUSTED_PROXY_IP = cfg.trustedProxy;
}
+ // lib.optionalAttrs (cfg.redis.createLocally && cfg.redis.enableUnixSocket) { REDIS_URL = "unix://${config.services.redis.servers.mastodon.unixSocket}"; }
// lib.optionalAttrs (cfg.database.host != "/run/postgresql" && cfg.database.port != null) { DB_PORT = toString cfg.database.port; }
// lib.optionalAttrs cfg.smtp.authenticate { SMTP_LOGIN = cfg.smtp.user; }
// lib.optionalAttrs (cfg.elasticsearch.host != null) { ES_HOST = cfg.elasticsearch.host; }
@@ -116,9 +118,11 @@ let
threads = toString (if processCfg.threads == null then cfg.sidekiqThreads else processCfg.threads);
in {
after = [ "network.target" "mastodon-init-dirs.service" ]
+ ++ lib.optional redisActuallyCreateLocally "redis-mastodon.service"
++ lib.optional databaseActuallyCreateLocally "postgresql.service"
++ lib.optional cfg.automaticMigrations "mastodon-init-db.service";
requires = [ "mastodon-init-dirs.service" ]
+ ++ lib.optional redisActuallyCreateLocally "redis-mastodon.service"
++ lib.optional databaseActuallyCreateLocally "postgresql.service"
++ lib.optional cfg.automaticMigrations "mastodon-init-db.service";
description = "Mastodon sidekiq${jobClassLabel}";
@@ -146,9 +150,11 @@ let
name = "mastodon-streaming-${toString i}";
value = {
after = [ "network.target" "mastodon-init-dirs.service" ]
+ ++ lib.optional redisActuallyCreateLocally "redis-mastodon.service"
++ lib.optional databaseActuallyCreateLocally "postgresql.service"
++ lib.optional cfg.automaticMigrations "mastodon-init-db.service";
requires = [ "mastodon-init-dirs.service" ]
+ ++ lib.optional redisActuallyCreateLocally "redis-mastodon.service"
++ lib.optional databaseActuallyCreateLocally "postgresql.service"
++ lib.optional cfg.automaticMigrations "mastodon-init-db.service";
wantedBy = [ "mastodon.target" "mastodon-streaming.target" ];
@@ -404,6 +410,19 @@ in {
type = lib.types.port;
default = 31637;
};
+
+ passwordFile = lib.mkOption {
+ description = lib.mdDoc "A file containing the password for Redis database.";
+ type = lib.types.nullOr lib.types.path;
+ default = null;
+ example = "/run/keys/mastodon-redis-password";
+ };
+
+ enableUnixSocket = lib.mkOption {
+ description = lib.mdDoc "Use Unix socket";
+ type = lib.types.bool;
+ default = true;
+ };
};
database = {
@@ -612,6 +631,13 @@ in {
config = lib.mkIf cfg.enable (lib.mkMerge [{
assertions = [
+ {
+ assertion = redisActuallyCreateLocally -> (!cfg.redis.enableUnixSocket || cfg.redis.passwordFile == null);
+ message = ''
+ needs to be disabled if
+ is used.
+ '';
+ }
{
assertion = databaseActuallyCreateLocally -> (cfg.user == cfg.database.user && cfg.database.user == cfg.database.name);
message = ''
@@ -689,6 +715,8 @@ in {
OTP_SECRET="$(cat ${cfg.otpSecretFile})"
VAPID_PRIVATE_KEY="$(cat ${cfg.vapidPrivateKeyFile})"
VAPID_PUBLIC_KEY="$(cat ${cfg.vapidPublicKeyFile})"
+ '' + lib.optionalString (cfg.redis.passwordFile != null)''
+ REDIS_PASSWORD="$(cat ${cfg.redis.passwordFile})"
'' + lib.optionalString (cfg.database.passwordFile != null) ''
DB_PASS="$(cat ${cfg.database.passwordFile})"
'' + lib.optionalString cfg.smtp.authenticate ''
@@ -751,9 +779,11 @@ in {
systemd.services.mastodon-web = {
after = [ "network.target" "mastodon-init-dirs.service" ]
+ ++ lib.optional redisActuallyCreateLocally "redis-mastodon.service"
++ lib.optional databaseActuallyCreateLocally "postgresql.service"
++ lib.optional cfg.automaticMigrations "mastodon-init-db.service";
requires = [ "mastodon-init-dirs.service" ]
+ ++ lib.optional redisActuallyCreateLocally "redis-mastodon.service"
++ lib.optional databaseActuallyCreateLocally "postgresql.service"
++ lib.optional cfg.automaticMigrations "mastodon-init-db.service";
wantedBy = [ "mastodon.target" ];
@@ -834,11 +864,14 @@ in {
enable = true;
hostname = lib.mkDefault "${cfg.localDomain}";
};
- services.redis.servers.mastodon = lib.mkIf (cfg.redis.createLocally && cfg.redis.host == "127.0.0.1") {
- enable = true;
- port = cfg.redis.port;
- bind = "127.0.0.1";
- };
+ services.redis.servers.mastodon = lib.mkIf redisActuallyCreateLocally (lib.mkMerge [
+ {
+ enable = true;
+ }
+ (lib.mkIf (!cfg.redis.enableUnixSocket) {
+ port = cfg.redis.port;
+ })
+ ]);
services.postgresql = lib.mkIf databaseActuallyCreateLocally {
enable = true;
ensureUsers = [
@@ -859,6 +892,7 @@ in {
};
})
(lib.attrsets.setAttrByPath [ cfg.user "packages" ] [ cfg.package pkgs.imagemagick ])
+ (lib.mkIf (cfg.redis.createLocally && cfg.redis.enableUnixSocket) {${config.services.mastodon.user}.extraGroups = [ "redis-mastodon" ];})
];
users.groups.${cfg.group}.members = lib.optional cfg.configureNginx config.services.nginx.user;
diff --git a/nixos/modules/services/web-apps/mealie.nix b/nixos/modules/services/web-apps/mealie.nix
new file mode 100644
index 000000000000..8bb7542c6b56
--- /dev/null
+++ b/nixos/modules/services/web-apps/mealie.nix
@@ -0,0 +1,79 @@
+{ config, lib, pkgs, ...}:
+let
+ cfg = config.services.mealie;
+ pkg = cfg.package;
+in
+{
+ options.services.mealie = {
+ enable = lib.mkEnableOption "Mealie, a recipe manager and meal planner";
+
+ package = lib.mkPackageOption pkgs "mealie" { };
+
+ listenAddress = lib.mkOption {
+ type = lib.types.str;
+ default = "0.0.0.0";
+ description = "Address on which the service should listen.";
+ };
+
+ port = lib.mkOption {
+ type = lib.types.port;
+ default = 9000;
+ description = "Port on which to serve the Mealie service.";
+ };
+
+ settings = lib.mkOption {
+ type = with lib.types; attrsOf anything;
+ default = {};
+ description = lib.mdDoc ''
+ Configuration of the Mealie service.
+
+ See [the mealie documentation](https://nightly.mealie.io/documentation/getting-started/installation/backend-config/) for available options and default values.
+
+ In addition to the official documentation, you can set {env}`MEALIE_LOG_FILE`.
+ '';
+ example = {
+ ALLOW_SIGNUP = "false";
+ };
+ };
+
+ credentialsFile = lib.mkOption {
+ type = with lib.types; nullOr path;
+ default = null;
+ example = "/run/secrets/mealie-credentials.env";
+ description = ''
+ File containing credentials used in mealie such as {env}`POSTGRES_PASSWORD`
+ or sensitive LDAP options.
+
+ Expects the format of an `EnvironmentFile=`, as described by {manpage}`systemd.exec(5)`.
+ '';
+ };
+ };
+
+ config = lib.mkIf cfg.enable {
+ systemd.services.mealie = {
+ description = "Mealie, a self hosted recipe manager and meal planner";
+
+ after = [ "network-online.target" ];
+ wants = [ "network-online.target" ];
+ wantedBy = [ "multi-user.target" ];
+
+ environment = {
+ PRODUCTION = "true";
+ ALEMBIC_CONFIG_FILE="${pkg}/config/alembic.ini";
+ API_PORT = toString cfg.port;
+ DATA_DIR = "/var/lib/mealie";
+ CRF_MODEL_PATH = "/var/lib/mealie/model.crfmodel";
+ } // (builtins.mapAttrs (_: val: toString val) cfg.settings);
+
+ serviceConfig = {
+ DynamicUser = true;
+ User = "mealie";
+ ExecStartPre = "${pkg}/libexec/init_db";
+ ExecStart = "${lib.getExe pkg} -b ${cfg.listenAddress}:${builtins.toString cfg.port}";
+ EnvironmentFile = lib.mkIf (cfg.credentialsFile != null) cfg.credentialsFile;
+ StateDirectory = "mealie";
+ StandardOutput="journal";
+ };
+ };
+ };
+}
diff --git a/nixos/modules/services/web-apps/miniflux.nix b/nixos/modules/services/web-apps/miniflux.nix
index 1a5b7d0c24e9..16b6fb0d655d 100644
--- a/nixos/modules/services/web-apps/miniflux.nix
+++ b/nixos/modules/services/web-apps/miniflux.nix
@@ -16,10 +16,20 @@ in
{
options = {
services.miniflux = {
- enable = mkEnableOption (lib.mdDoc "miniflux and creates a local postgres database for it");
+ enable = mkEnableOption (lib.mdDoc "miniflux");
package = mkPackageOption pkgs "miniflux" { };
+ createDatabaseLocally = lib.mkOption {
+ type = lib.types.bool;
+ default = true;
+ description = ''
+ Whether a PostgreSQL database should be automatically created and
+ configured on the local host. If set to `false`, you need provision a
+ database yourself and make sure to create the hstore extension in it.
+ '';
+ };
+
config = mkOption {
type = with types; attrsOf (oneOf [ str int ]);
example = literalExpression ''
@@ -38,7 +48,7 @@ in
'';
};
- adminCredentialsFile = mkOption {
+ adminCredentialsFile = mkOption {
type = types.path;
description = lib.mdDoc ''
File containing the ADMIN_USERNAME and
@@ -51,14 +61,14 @@ in
};
config = mkIf cfg.enable {
- services.miniflux.config = {
+ services.miniflux.config = {
LISTEN_ADDR = mkDefault defaultAddress;
- DATABASE_URL = "user=miniflux host=/run/postgresql dbname=miniflux";
+ DATABASE_URL = lib.mkIf cfg.createDatabaseLocally "user=miniflux host=/run/postgresql dbname=miniflux";
RUN_MIGRATIONS = 1;
CREATE_ADMIN = 1;
};
- services.postgresql = {
+ services.postgresql = lib.mkIf cfg.createDatabaseLocally {
enable = true;
ensureUsers = [ {
name = "miniflux";
@@ -67,7 +77,7 @@ in
ensureDatabases = [ "miniflux" ];
};
- systemd.services.miniflux-dbsetup = {
+ systemd.services.miniflux-dbsetup = lib.mkIf cfg.createDatabaseLocally {
description = "Miniflux database setup";
requires = [ "postgresql.service" ];
after = [ "network.target" "postgresql.service" ];
@@ -81,8 +91,9 @@ in
systemd.services.miniflux = {
description = "Miniflux service";
wantedBy = [ "multi-user.target" ];
- requires = [ "miniflux-dbsetup.service" ];
- after = [ "network.target" "postgresql.service" "miniflux-dbsetup.service" ];
+ requires = lib.optional cfg.createDatabaseLocally "miniflux-dbsetup.service";
+ after = [ "network.target" ]
+ ++ lib.optionals cfg.createDatabaseLocally [ "postgresql.service" "miniflux-dbsetup.service" ];
serviceConfig = {
ExecStart = "${cfg.package}/bin/miniflux";
@@ -129,6 +140,7 @@ in
include "${pkgs.apparmorRulesFromClosure { name = "miniflux"; } cfg.package}"
r ${cfg.package}/bin/miniflux,
r @{sys}/kernel/mm/transparent_hugepage/hpage_pmd_size,
+ rw /run/miniflux/**,
}
'';
};
diff --git a/nixos/modules/services/web-apps/nextcloud.nix b/nixos/modules/services/web-apps/nextcloud.nix
index 08f90dcf59d8..7f998207c434 100644
--- a/nixos/modules/services/web-apps/nextcloud.nix
+++ b/nixos/modules/services/web-apps/nextcloud.nix
@@ -14,7 +14,6 @@ let
expose_php = "Off";
error_reporting = "E_ALL & ~E_DEPRECATED & ~E_STRICT";
display_errors = "stderr";
- "opcache.enable_cli" = "1";
"opcache.interned_strings_buffer" = "8";
"opcache.max_accelerated_files" = "10000";
"opcache.memory_consumption" = "128";
@@ -45,7 +44,7 @@ let
};
};
- webroot = pkgs.runCommand
+ webroot = pkgs.runCommandLocal
"${cfg.package.name or "nextcloud"}-with-apps"
{ }
''
diff --git a/nixos/modules/services/web-apps/photoprism.nix b/nixos/modules/services/web-apps/photoprism.nix
index d3773cc9cf78..39eb7c65c635 100644
--- a/nixos/modules/services/web-apps/photoprism.nix
+++ b/nixos/modules/services/web-apps/photoprism.nix
@@ -12,17 +12,14 @@ let
lib.mapAttrs (_: toString) cfg.settings
);
- manage =
- let
- setupEnv = lib.concatStringsSep "\n" (lib.mapAttrsToList (name: val: "export ${name}=${lib.escapeShellArg val}") env);
- in
- pkgs.writeShellScript "manage" ''
- ${setupEnv}
- eval "$(${config.systemd.package}/bin/systemctl show -pUID,MainPID photoprism.service | ${pkgs.gnused}/bin/sed "s/UID/ServiceUID/")"
- exec ${pkgs.util-linux}/bin/nsenter \
- -t $MainPID -m -S $ServiceUID -G $ServiceUID --wdns=${cfg.storagePath} \
- ${cfg.package}/bin/photoprism "$@"
- '';
+ manage = pkgs.writeShellScript "manage" ''
+ set -o allexport # Export the following env vars
+ ${lib.toShellVars env}
+ eval "$(${config.systemd.package}/bin/systemctl show -pUID,MainPID photoprism.service | ${pkgs.gnused}/bin/sed "s/UID/ServiceUID/")"
+ exec ${pkgs.util-linux}/bin/nsenter \
+ -t $MainPID -m -S $ServiceUID -G $ServiceUID --wdns=${cfg.storagePath} \
+ ${cfg.package}/bin/photoprism "$@"
+ '';
in
{
meta.maintainers = with lib.maintainers; [ stunkymonkey ];
@@ -107,6 +104,7 @@ in
StateDirectory = "photoprism";
WorkingDirectory = "/var/lib/photoprism";
RuntimeDirectory = "photoprism";
+ ReadWritePaths = [ cfg.originalsPath cfg.importPath cfg.storagePath ];
LoadCredential = lib.optionalString (cfg.passwordFile != null)
"PHOTOPRISM_ADMIN_PASSWORD:${cfg.passwordFile}";
diff --git a/nixos/modules/services/web-apps/pretix.nix b/nixos/modules/services/web-apps/pretix.nix
new file mode 100644
index 000000000000..2355f8c450a1
--- /dev/null
+++ b/nixos/modules/services/web-apps/pretix.nix
@@ -0,0 +1,581 @@
+{ config
+, lib
+, pkgs
+, utils
+, ...
+}:
+
+let
+ inherit (lib)
+ concatMapStringsSep
+ escapeShellArgs
+ filter
+ filterAttrs
+ getExe
+ getExe'
+ isAttrs
+ isList
+ literalExpression
+ mapAttrs
+ mkDefault
+ mkEnableOption
+ mkIf
+ mkOption
+ mkPackageOption
+ optionals
+ optionalString
+ recursiveUpdate
+ types
+ ;
+
+ filterRecursiveNull = o:
+ if isAttrs o then
+ mapAttrs (_: v: filterRecursiveNull v) (filterAttrs (_: v: v != null) o)
+ else if isList o then
+ map filterRecursiveNull (filter (v: v != null) o)
+ else
+ o;
+
+ cfg = config.services.pretix;
+ format = pkgs.formats.ini { };
+
+ configFile = format.generate "pretix.cfg" (filterRecursiveNull cfg.settings);
+
+ finalPackage = cfg.package.override {
+ inherit (cfg) plugins;
+ };
+
+ pythonEnv = cfg.package.python.buildEnv.override {
+ extraLibs = with cfg.package.python.pkgs; [
+ (toPythonModule finalPackage)
+ gunicorn
+ ]
+ ++ lib.optionals (cfg.settings.memcached.location != null)
+ cfg.package.optional-dependencies.memcached
+ ;
+ };
+
+ withRedis = cfg.settings.redis.location != null;
+in
+{
+ meta = with lib; {
+ maintainers = with maintainers; [ hexa ];
+ };
+
+ options.services.pretix = {
+ enable = mkEnableOption "pretix";
+
+ package = mkPackageOption pkgs "pretix" { };
+
+ group = mkOption {
+ type = types.str;
+ default = "pretix";
+ description = ''
+ Group under which pretix should run.
+ '';
+ };
+
+ user = mkOption {
+ type = types.str;
+ default = "pretix";
+ description = ''
+ User under which pretix should run.
+ '';
+ };
+
+ environmentFile = mkOption {
+ type = types.nullOr types.path;
+ default = null;
+ example = "/run/keys/pretix-secrets.env";
+ description = ''
+ Environment file to pass secret configuration values.
+
+ Each line must follow the `PRETIX_SECTION_KEY=value` pattern.
+ '';
+ };
+
+ plugins = mkOption {
+ type = types.listOf types.package;
+ default = [];
+ example = literalExpression ''
+ with config.services.pretix.package.plugins; [
+ passbook
+ pages
+ ];
+ '';
+ description = ''
+ Pretix plugins to install into the Python environment.
+ '';
+ };
+
+ gunicorn.extraArgs = mkOption {
+ type = with types; listOf str;
+ default = [
+ "--name=pretix"
+ ];
+ example = [
+ "--name=pretix"
+ "--workers=4"
+ "--max-requests=1200"
+ "--max-requests-jitter=50"
+ "--log-level=info"
+ ];
+ description = ''
+ Extra arguments to pass to gunicorn.
+ See for details.
+ '';
+ apply = escapeShellArgs;
+ };
+
+ celery = {
+ extraArgs = mkOption {
+ type = with types; listOf str;
+ default = [ ];
+ description = ''
+ Extra arguments to pass to celery.
+
+ See for more info.
+ '';
+ apply = utils.escapeSystemdExecArgs;
+ };
+ };
+
+ nginx = {
+ enable = mkOption {
+ type = types.bool;
+ default = true;
+ example = false;
+ description = ''
+ Whether to set up an nginx virtual host.
+ '';
+ };
+
+ domain = mkOption {
+ type = types.str;
+ example = "talks.example.com";
+ description = ''
+ The domain name under which to set up the virtual host.
+ '';
+ };
+ };
+
+ database.createLocally = mkOption {
+ type = types.bool;
+ default = true;
+ example = false;
+ description = ''
+ Whether to automatically set up the database on the local DBMS instance.
+
+ Only supported for PostgreSQL. Not required for sqlite.
+ '';
+ };
+
+ settings = mkOption {
+ type = types.submodule {
+ freeformType = format.type;
+ options = {
+ pretix = {
+ instance_name = mkOption {
+ type = types.str;
+ example = "tickets.example.com";
+ description = ''
+ The name of this installation.
+ '';
+ };
+
+ url = mkOption {
+ type = types.str;
+ example = "https://tickets.example.com";
+ description = ''
+ The installation’s full URL, without a trailing slash.
+ '';
+ };
+
+ cachedir = mkOption {
+ type = types.path;
+ default = "/var/cache/pretix";
+ description = ''
+ Directory for storing temporary files.
+ '';
+ };
+
+ datadir = mkOption {
+ type = types.path;
+ default = "/var/lib/pretix";
+ description = ''
+ Directory for storing user uploads and similar data.
+ '';
+ };
+
+ logdir = mkOption {
+ type = types.path;
+ default = "/var/log/pretix";
+ description = ''
+ Directory for storing log files.
+ '';
+ };
+
+ currency = mkOption {
+ type = types.str;
+ default = "EUR";
+ example = "USD";
+ description = ''
+ Default currency for events in its ISO 4217 three-letter code.
+ '';
+ };
+
+ registration = mkOption {
+ type = types.bool;
+ default = false;
+ example = true;
+ description = ''
+ Whether to allow registration of new admin users.
+ '';
+ };
+ };
+
+ database = {
+ backend = mkOption {
+ type = types.enum [
+ "sqlite3"
+ "postgresql"
+ ];
+ default = "postgresql";
+ description = ''
+ Database backend to use.
+
+ Only postgresql is recommended for production setups.
+ '';
+ };
+
+ host = mkOption {
+ type = with types; nullOr types.path;
+ default = if cfg.settings.database.backend == "postgresql" then "/run/postgresql" else null;
+ defaultText = literalExpression ''
+ if config.services.pretix.settings..database.backend == "postgresql" then "/run/postgresql"
+ else null
+ '';
+ description = ''
+ Database host or socket path.
+ '';
+ };
+
+ name = mkOption {
+ type = types.str;
+ default = "pretix";
+ description = ''
+ Database name.
+ '';
+ };
+
+ user = mkOption {
+ type = types.str;
+ default = "pretix";
+ description = ''
+ Database username.
+ '';
+ };
+ };
+
+ mail = {
+ from = mkOption {
+ type = types.str;
+ example = "tickets@example.com";
+ description = ''
+ E-Mail address used in the `FROM` header of outgoing mails.
+ '';
+ };
+
+ host = mkOption {
+ type = types.str;
+ default = "localhost";
+ example = "mail.example.com";
+ description = ''
+ Hostname of the SMTP server use for mail delivery.
+ '';
+ };
+
+ port = mkOption {
+ type = types.port;
+ default = 25;
+ example = 587;
+ description = ''
+ Port of the SMTP server to use for mail delivery.
+ '';
+ };
+ };
+
+ celery = {
+ backend = mkOption {
+ type = types.str;
+ default = "redis+socket://${config.services.redis.servers.pretix.unixSocket}?virtual_host=1";
+ defaultText = literalExpression ''
+ optionalString config.services.pretix.celery.enable "redis+socket://''${config.services.redis.servers.pretix.unixSocket}?virtual_host=1"
+ '';
+ description = ''
+ URI to the celery backend used for the asynchronous job queue.
+ '';
+ };
+
+ broker = mkOption {
+ type = types.str;
+ default = "redis+socket://${config.services.redis.servers.pretix.unixSocket}?virtual_host=2";
+ defaultText = literalExpression ''
+ optionalString config.services.pretix.celery.enable "redis+socket://''${config.services.redis.servers.pretix.unixSocket}?virtual_host=2"
+ '';
+ description = ''
+ URI to the celery broker used for the asynchronous job queue.
+ '';
+ };
+ };
+
+ redis = {
+ location = mkOption {
+ type = with types; nullOr str;
+ default = "unix://${config.services.redis.servers.pretix.unixSocket}?db=0";
+ defaultText = literalExpression ''
+ "unix://''${config.services.redis.servers.pretix.unixSocket}?db=0"
+ '';
+ description = ''
+ URI to the redis server, used to speed up locking, caching and session storage.
+ '';
+ };
+
+ sessions = mkOption {
+ type = types.bool;
+ default = true;
+ example = false;
+ description = ''
+ Whether to use redis as the session storage.
+ '';
+ };
+ };
+
+ memcached = {
+ location = mkOption {
+ type = with types; nullOr str;
+ default = null;
+ example = "127.0.0.1:11211";
+ description = ''
+ The `host:port` combination or the path to the UNIX socket of a memcached instance.
+
+ Can be used instead of Redis for caching.
+ '';
+ };
+ };
+
+ tools = {
+ pdftk = mkOption {
+ type = types.path;
+ default = getExe pkgs.pdftk;
+ defaultText = literalExpression ''
+ lib.getExe pkgs.pdftk
+ '';
+ description = ''
+ Path to the pdftk executable.
+ '';
+ };
+ };
+ };
+ };
+ default = { };
+ description = ''
+ pretix configuration as a Nix attribute set. All settings can also be passed
+ from the environment.
+
+ See for possible options.
+ '';
+ };
+ };
+
+ config = mkIf cfg.enable {
+ # https://docs.pretix.eu/en/latest/admin/installation/index.html
+
+ environment.systemPackages = [
+ (pkgs.writeScriptBin "pretix-manage" ''
+ cd ${cfg.settings.pretix.datadir}
+ sudo=exec
+ if [[ "$USER" != ${cfg.user} ]]; then
+ sudo='exec /run/wrappers/bin/sudo -u ${cfg.user} ${optionalString withRedis "-g redis-pretix"} --preserve-env=PRETIX_CONFIG_FILE'
+ fi
+ export PRETIX_CONFIG_FILE=${configFile}
+ $sudo ${getExe' pythonEnv "pretix-manage"} "$@"
+ '')
+ ];
+
+ services = {
+ nginx = mkIf cfg.nginx.enable {
+ enable = true;
+ recommendedGzipSettings = mkDefault true;
+ recommendedOptimisation = mkDefault true;
+ recommendedProxySettings = mkDefault true;
+ recommendedTlsSettings = mkDefault true;
+ upstreams.pretix.servers."unix:/run/pretix/pretix.sock" = { };
+ virtualHosts.${cfg.nginx.domain} = {
+ # https://docs.pretix.eu/en/latest/admin/installation/manual_smallscale.html#ssl
+ extraConfig = ''
+ more_set_headers Referrer-Policy same-origin;
+ more_set_headers X-Content-Type-Options nosniff;
+ '';
+ locations = {
+ "/".proxyPass = "http://pretix";
+ "/media/" = {
+ alias = "${cfg.settings.pretix.datadir}/media/";
+ extraConfig = ''
+ access_log off;
+ expires 7d;
+ '';
+ };
+ "^~ /media/(cachedfiles|invoices)" = {
+ extraConfig = ''
+ deny all;
+ return 404;
+ '';
+ };
+ "/static/" = {
+ alias = "${finalPackage}/${cfg.package.python.sitePackages}/pretix/static.dist/";
+ extraConfig = ''
+ access_log off;
+ more_set_headers Cache-Control "public";
+ expires 365d;
+ '';
+ };
+ };
+ };
+ };
+
+ postgresql = mkIf (cfg.database.createLocally && cfg.settings.database.backend == "postgresql") {
+ enable = true;
+ ensureUsers = [ {
+ name = cfg.settings.database.user;
+ ensureDBOwnership = true;
+ } ];
+ ensureDatabases = [ cfg.settings.database.name ];
+ };
+
+ redis.servers.pretix.enable = withRedis;
+ };
+
+ systemd.services = let
+ commonUnitConfig = {
+ environment.PRETIX_CONFIG_FILE = configFile;
+ serviceConfig = {
+ User = "pretix";
+ Group = "pretix";
+ EnvironmentFile = optionals (cfg.environmentFile != null) [
+ cfg.environmentFile
+ ];
+ StateDirectory = [
+ "pretix"
+ ];
+ StateDirectoryMode = "0755";
+ CacheDirectory = "pretix";
+ LogsDirectory = "pretix";
+ WorkingDirectory = cfg.settings.pretix.datadir;
+ SupplementaryGroups = optionals withRedis [
+ "redis-pretix"
+ ];
+ AmbientCapabilities = "";
+ CapabilityBoundingSet = [ "" ];
+ DevicePolicy = "closed";
+ LockPersonality = true;
+ MemoryDenyWriteExecute = false; # required by pdftk
+ NoNewPrivileges = true;
+ PrivateDevices = true;
+ PrivateTmp = true;
+ ProcSubset = "pid";
+ ProtectControlGroups = true;
+ ProtectHome = true;
+ ProtectHostname = true;
+ ProtectKernelLogs = true;
+ ProtectKernelModules = true;
+ ProtectKernelTunables = true;
+ ProtectProc = "invisible";
+ ProtectSystem = "strict";
+ RemoveIPC = true;
+ RestrictAddressFamilies = [
+ "AF_INET"
+ "AF_INET6"
+ "AF_UNIX"
+ ];
+ RestrictNamespaces = true;
+ RestrictRealtime = true;
+ RestrictSUIDSGID = true;
+ SystemCallArchitectures = "native";
+ SystemCallFilter = [
+ "@system-service"
+ "~@privileged"
+ "@chown"
+ ];
+ UMask = "0022";
+ };
+ };
+ in {
+ pretix-web = recursiveUpdate commonUnitConfig {
+ description = "pretix web service";
+ after = [
+ "network.target"
+ "redis-pretix.service"
+ "postgresql.service"
+ ];
+ wantedBy = [ "multi-user.target" ];
+ preStart = ''
+ versionFile="${cfg.settings.pretix.datadir}/.version"
+ version=$(cat "$versionFile" 2>/dev/null || echo 0)
+
+ pluginsFile="${cfg.settings.pretix.datadir}/.plugins"
+ plugins=$(cat "$pluginsFile" 2>/dev/null || echo "")
+ configuredPlugins="${concatMapStringsSep "|" (package: package.name) cfg.plugins}"
+
+ if [[ $version != ${cfg.package.version} || $plugins != $configuredPlugins ]]; then
+ ${getExe' pythonEnv "pretix-manage"} migrate
+
+ echo "${cfg.package.version}" > "$versionFile"
+ echo "$configuredPlugins" > "$pluginsFile"
+ fi
+ '';
+ serviceConfig = {
+ TimeoutStartSec = "5min";
+ ExecStart = "${getExe' pythonEnv "gunicorn"} --bind unix:/run/pretix/pretix.sock ${cfg.gunicorn.extraArgs} pretix.wsgi";
+ RuntimeDirectory = "pretix";
+ };
+ };
+
+ pretix-periodic = recursiveUpdate commonUnitConfig {
+ description = "pretix periodic task runner";
+ # every 15 minutes
+ startAt = [ "*:3,18,33,48" ];
+ serviceConfig = {
+ Type = "oneshot";
+ ExecStart = "${getExe' pythonEnv "pretix-manage"} runperiodic";
+ };
+ };
+
+ pretix-worker = recursiveUpdate commonUnitConfig {
+ description = "pretix asynchronous job runner";
+ after = [
+ "network.target"
+ "redis-pretix.service"
+ "postgresql.service"
+ ];
+ wantedBy = [ "multi-user.target" ];
+ serviceConfig.ExecStart = "${getExe' pythonEnv "celery"} -A pretix.celery_app worker ${cfg.celery.extraArgs}";
+ };
+ };
+
+ systemd.sockets.pretix-web.socketConfig = {
+ ListenStream = "/run/pretix/pretix.sock";
+ SocketUser = "nginx";
+ };
+
+ users = {
+ groups."${cfg.group}" = {};
+ users."${cfg.user}" = {
+ isSystemUser = true;
+ createHome = true;
+ home = cfg.settings.pretix.datadir;
+ inherit (cfg) group;
+ };
+ };
+ };
+}
diff --git a/nixos/modules/services/web-apps/vikunja.nix b/nixos/modules/services/web-apps/vikunja.nix
index b893f2c1f33c..efa9c676d9a5 100644
--- a/nixos/modules/services/web-apps/vikunja.nix
+++ b/nixos/modules/services/web-apps/vikunja.nix
@@ -9,10 +9,13 @@ let
useMysql = cfg.database.type == "mysql";
usePostgresql = cfg.database.type == "postgres";
in {
+ imports = [
+ (mkRemovedOptionModule [ "services" "vikunja" "setupNginx" ] "services.vikunja no longer supports the automatic set up of a nginx virtual host. Set up your own webserver config with a proxy pass to the vikunja service.")
+ ];
+
options.services.vikunja = with lib; {
enable = mkEnableOption (lib.mdDoc "vikunja service");
- package-api = mkPackageOption pkgs "vikunja-api" { };
- package-frontend = mkPackageOption pkgs "vikunja-frontend" { };
+ package = mkPackageOption pkgs "vikunja" { };
environmentFiles = mkOption {
type = types.listOf types.path;
default = [ ];
@@ -21,25 +24,10 @@ in {
For example passwords should be set in one of these files.
'';
};
- setupNginx = mkOption {
- type = types.bool;
- default = config.services.nginx.enable;
- defaultText = literalExpression "config.services.nginx.enable";
- description = lib.mdDoc ''
- Whether to setup NGINX.
- Further nginx configuration can be done by changing
- {option}`services.nginx.virtualHosts.`.
- This does not enable TLS or ACME by default. To enable this, set the
- {option}`services.nginx.virtualHosts..enableACME` to
- `true` and if appropriate do the same for
- {option}`services.nginx.virtualHosts..forceSSL`.
- '';
- };
frontendScheme = mkOption {
type = types.enum [ "http" "https" ];
description = lib.mdDoc ''
Whether the site is available via http or https.
- This does not configure https or ACME in nginx!
'';
};
frontendHostname = mkOption {
@@ -104,42 +92,27 @@ in {
};
};
- systemd.services.vikunja-api = {
- description = "vikunja-api";
+ systemd.services.vikunja = {
+ description = "vikunja";
after = [ "network.target" ] ++ lib.optional usePostgresql "postgresql.service" ++ lib.optional useMysql "mysql.service";
wantedBy = [ "multi-user.target" ];
- path = [ cfg.package-api ];
+ path = [ cfg.package ];
restartTriggers = [ configFile ];
serviceConfig = {
Type = "simple";
DynamicUser = true;
StateDirectory = "vikunja";
- ExecStart = "${cfg.package-api}/bin/vikunja";
+ ExecStart = "${cfg.package}/bin/vikunja";
Restart = "always";
EnvironmentFile = cfg.environmentFiles;
};
};
- services.nginx.virtualHosts."${cfg.frontendHostname}" = mkIf cfg.setupNginx {
- locations = {
- "/" = {
- root = cfg.package-frontend;
- tryFiles = "try_files $uri $uri/ /";
- };
- "~* ^/(api|dav|\\.well-known)/" = {
- proxyPass = "http://localhost:${toString cfg.port}";
- extraConfig = ''
- client_max_body_size 20M;
- '';
- };
- };
- };
-
environment.etc."vikunja/config.yaml".source = configFile;
environment.systemPackages = [
- cfg.package-api # for admin `vikunja` CLI
+ cfg.package # for admin `vikunja` CLI
];
};
}
diff --git a/nixos/modules/services/web-servers/garage.nix b/nixos/modules/services/web-servers/garage.nix
index 48dd5b34757c..616be978b6e5 100644
--- a/nixos/modules/services/web-servers/garage.nix
+++ b/nixos/modules/services/web-servers/garage.nix
@@ -75,7 +75,19 @@ in
source = configFile;
};
- environment.systemPackages = [ cfg.package ]; # For administration
+ # For administration
+ environment.systemPackages = [
+ (pkgs.writeScriptBin "garage" ''
+ # make it so all future variables set are automatically exported as environment variables
+ set -a
+
+ # source the set environmentFile (since systemd EnvironmentFile is supposed to be a minor subset of posix sh parsing) (with shell arg escaping to avoid quoting issues)
+ [ -f ${lib.escapeShellArg cfg.environmentFile} ] && . ${lib.escapeShellArg cfg.environmentFile}
+
+ # exec the program with quoted args (also with shell arg escaping for the program path to avoid quoting issues there)
+ exec ${lib.escapeShellArg (lib.getExe cfg.package)} "$@"
+ '')
+ ];
systemd.services.garage = {
description = "Garage Object Storage (S3 compatible)";
diff --git a/nixos/modules/services/web-servers/stargazer.nix b/nixos/modules/services/web-servers/stargazer.nix
index 18f57363137c..4eca33326040 100644
--- a/nixos/modules/services/web-servers/stargazer.nix
+++ b/nixos/modules/services/web-servers/stargazer.nix
@@ -129,6 +129,12 @@ in
example = lib.literalExpression "\"1y\"";
};
+ debugMode = lib.mkOption {
+ type = lib.types.bool;
+ default = false;
+ description = lib.mdDoc "Run Stargazer in debug mode.";
+ };
+
routes = lib.mkOption {
type = lib.types.listOf
(lib.types.submodule {
@@ -195,7 +201,7 @@ in
after = [ "network.target" ];
wantedBy = [ "multi-user.target" ];
serviceConfig = {
- ExecStart = "${pkgs.stargazer}/bin/stargazer ${configFile}";
+ ExecStart = "${pkgs.stargazer}/bin/stargazer ${configFile} ${lib.optionalString cfg.debugMode "-D"}";
Restart = "always";
# User and group
User = cfg.user;
diff --git a/nixos/modules/services/web-servers/zope2.nix b/nixos/modules/services/web-servers/zope2.nix
deleted file mode 100644
index 29731b29eea4..000000000000
--- a/nixos/modules/services/web-servers/zope2.nix
+++ /dev/null
@@ -1,262 +0,0 @@
-{ config, lib, pkgs, ... }:
-
-with lib;
-
-let
-
- cfg = config.services.zope2;
-
- zope2Opts = { name, ... }: {
- options = {
-
- name = mkOption {
- default = "${name}";
- type = types.str;
- description = lib.mdDoc "The name of the zope2 instance. If undefined, the name of the attribute set will be used.";
- };
-
- threads = mkOption {
- default = 2;
- type = types.int;
- description = lib.mdDoc "Specify the number of threads that Zope's ZServer web server will use to service requests. ";
- };
-
- http_address = mkOption {
- default = "localhost:8080";
- type = types.str;
- description = lib.mdDoc "Give a port and address for the HTTP server.";
- };
-
- user = mkOption {
- default = "zope2";
- type = types.str;
- description = lib.mdDoc "The name of the effective user for the Zope process.";
- };
-
- clientHome = mkOption {
- default = "/var/lib/zope2/${name}";
- type = types.path;
- description = lib.mdDoc "Home directory of zope2 instance.";
- };
- extra = mkOption {
- default =
- ''
-
- mount-point /
- cache-size 30000
-
- blob-dir /var/lib/zope2/${name}/blobstorage
-
- path /var/lib/zope2/${name}/filestorage/Data.fs
-
-
-
- '';
- type = types.lines;
- description = lib.mdDoc "Extra zope.conf";
- };
-
- packages = mkOption {
- type = types.listOf types.package;
- description = lib.mdDoc "The list of packages you want to make available to the zope2 instance.";
- };
-
- };
- };
-
-in
-
-{
-
- ###### interface
-
- options = {
-
- services.zope2.instances = mkOption {
- default = {};
- type = with types; attrsOf (submodule zope2Opts);
- example = literalExpression ''
- {
- plone01 = {
- http_address = "127.0.0.1:8080";
- extra =
- '''
-
- mount-point /
- cache-size 30000
-
- blob-dir /var/lib/zope2/plone01/blobstorage
-
- path /var/lib/zope2/plone01/filestorage/Data.fs
-
-
-
- ''';
- };
- }
- '';
- description = lib.mdDoc "zope2 instances to be created automatically by the system.";
- };
- };
-
- ###### implementation
-
- config = mkIf (cfg.instances != {}) {
-
- users.users.zope2 = {
- isSystemUser = true;
- group = "zope2";
- };
- users.groups.zope2 = {};
-
- systemd.services =
- let
-
- createZope2Instance = opts: name:
- let
- interpreter = pkgs.writeScript "interpreter"
- ''
- import sys
-
- _interactive = True
- if len(sys.argv) > 1:
- _options, _args = __import__("getopt").getopt(sys.argv[1:], 'ic:m:')
- _interactive = False
- for (_opt, _val) in _options:
- if _opt == '-i':
- _interactive = True
- elif _opt == '-c':
- exec _val
- elif _opt == '-m':
- sys.argv[1:] = _args
- _args = []
- __import__("runpy").run_module(
- _val, {}, "__main__", alter_sys=True)
-
- if _args:
- sys.argv[:] = _args
- __file__ = _args[0]
- del _options, _args
- execfile(__file__)
-
- if _interactive:
- del _interactive
- __import__("code").interact(banner="", local=globals())
- '';
- env = pkgs.buildEnv {
- name = "zope2-${name}-env";
- paths = [
- pkgs.python27
- pkgs.python27Packages.recursive-pth-loader
- pkgs.python27Packages."plone.recipe.zope2instance"
- ] ++ attrValues pkgs.python27.modules
- ++ opts.packages;
- postBuild =
- ''
- echo "#!$out/bin/python" > $out/bin/interpreter
- cat ${interpreter} >> $out/bin/interpreter
- '';
- };
- conf = pkgs.writeText "zope2-${name}-conf"
- ''
- %define INSTANCEHOME ${env}
- instancehome $INSTANCEHOME
- %define CLIENTHOME ${opts.clientHome}/${opts.name}
- clienthome $CLIENTHOME
-
- debug-mode off
- security-policy-implementation C
- verbose-security off
- default-zpublisher-encoding utf-8
- zserver-threads ${toString opts.threads}
- effective-user ${opts.user}
-
- pid-filename ${opts.clientHome}/${opts.name}/pid
- lock-filename ${opts.clientHome}/${opts.name}/lock
- python-check-interval 1000
- enable-product-installation off
-
-
- zope_i18n_compile_mo_files false
-
-
-
- level INFO
-
- path /var/log/zope2/${name}.log
- level INFO
-
-
-
-
- level WARN
-
- path /var/log/zope2/${name}-Z2.log
- format %(message)s
-
-
-
-
- address ${opts.http_address}
-
-
-
-
- name temporary storage for sessioning
-
- mount-point /temp_folder
- container-class Products.TemporaryFolder.TemporaryContainer
-
-
- ${opts.extra}
- '';
- ctlScript = pkgs.writeScript "zope2-${name}-ctl-script"
- ''
- #!${env}/bin/python
-
- import sys
- import plone.recipe.zope2instance.ctl
-
- if __name__ == '__main__':
- sys.exit(plone.recipe.zope2instance.ctl.main(
- ["-C", "${conf}"]
- + sys.argv[1:]))
- '';
-
- ctl = pkgs.writeScript "zope2-${name}-ctl"
- ''
- #!${pkgs.bash}/bin/bash -e
- export PYTHONHOME=${env}
- exec ${ctlScript} "$@"
- '';
- in {
- #description = "${name} instance";
- after = [ "network.target" ]; # with RelStorage also add "postgresql.service"
- wantedBy = [ "multi-user.target" ];
- path = opts.packages;
- preStart =
- ''
- mkdir -p /var/log/zope2/
- touch /var/log/zope2/${name}.log
- touch /var/log/zope2/${name}-Z2.log
- chown ${opts.user} /var/log/zope2/${name}.log
- chown ${opts.user} /var/log/zope2/${name}-Z2.log
-
- mkdir -p ${opts.clientHome}/filestorage ${opts.clientHome}/blobstorage
- mkdir -p ${opts.clientHome}/${opts.name}
- chown ${opts.user} ${opts.clientHome} -R
-
- ${ctl} adduser admin admin
- '';
-
- serviceConfig.Type = "forking";
- serviceConfig.ExecStart = "${ctl} start";
- serviceConfig.ExecStop = "${ctl} stop";
- serviceConfig.ExecReload = "${ctl} restart";
- };
-
- in listToAttrs (map (name: { name = "zope2-${name}"; value = createZope2Instance (builtins.getAttr name cfg.instances) name; }) (builtins.attrNames cfg.instances));
-
- };
-
-}
diff --git a/nixos/modules/services/x11/desktop-managers/budgie.nix b/nixos/modules/services/x11/desktop-managers/budgie.nix
index 463c45675cee..466ef5c565b7 100644
--- a/nixos/modules/services/x11/desktop-managers/budgie.nix
+++ b/nixos/modules/services/x11/desktop-managers/budgie.nix
@@ -39,7 +39,13 @@ let
'';
destination = "/share/gnome-background-properties/nixos.xml";
};
+
+ budgie-control-center = pkgs.budgie.budgie-control-center.override {
+ enableSshSocket = config.services.openssh.startWhenNeeded;
+ };
in {
+ meta.maintainers = lib.teams.budgie.members;
+
options = {
services.xserver.desktopManager.budgie = {
enable = mkEnableOption (mdDoc "the Budgie desktop");
@@ -114,7 +120,7 @@ in {
[
# Budgie Desktop.
budgie.budgie-backgrounds
- budgie.budgie-control-center
+ budgie-control-center
(budgie.budgie-desktop-with-plugins.override { plugins = cfg.extraPlugins; })
budgie.budgie-desktop-view
budgie.budgie-screensaver
@@ -140,7 +146,6 @@ in {
mate.atril
mate.engrampa
mate.mate-calc
- mate.mate-terminal
mate.mate-system-monitor
vlc
@@ -154,8 +159,11 @@ in {
] config.environment.budgie.excludePackages)
++ cfg.sessionPath;
+ # Both budgie-desktop-view and nemo defaults to this emulator.
+ programs.gnome-terminal.enable = mkDefault true;
+
# Fonts.
- fonts.packages = mkDefault [
+ fonts.packages = [
pkgs.noto-fonts
pkgs.hack-font
];
@@ -208,7 +216,6 @@ in {
services.colord.enable = mkDefault true; # for BCC's Color panel.
services.gnome.at-spi2-core.enable = mkDefault true; # for BCC's A11y panel.
services.accounts-daemon.enable = mkDefault true; # for BCC's Users panel.
- services.fprintd.enable = mkDefault true; # for BCC's Users panel.
services.udisks2.enable = mkDefault true; # for BCC's Details panel.
# For BCC's Online Accounts panel.
@@ -233,8 +240,8 @@ in {
services.gvfs.enable = mkDefault true;
# Register packages for DBus.
- services.dbus.packages = with pkgs; [
- budgie.budgie-control-center
+ services.dbus.packages = [
+ budgie-control-center
];
# Register packages for udev.
diff --git a/nixos/modules/services/x11/desktop-managers/deepin.nix b/nixos/modules/services/x11/desktop-managers/deepin.nix
index 7d3acada6073..902e3a9317dd 100644
--- a/nixos/modules/services/x11/desktop-managers/deepin.nix
+++ b/nixos/modules/services/x11/desktop-managers/deepin.nix
@@ -66,6 +66,7 @@ in
services.upower.enable = mkDefault config.powerManagement.enable;
networking.networkmanager.enable = mkDefault true;
programs.dconf.enable = mkDefault true;
+ programs.gnupg.agent.pinentryPackage = mkDefault pkgs.pinentry-qt;
fonts.packages = with pkgs; [ noto-fonts ];
xdg.mime.enable = true;
@@ -173,19 +174,20 @@ in
];
optionalPackages = [
onboard # dde-dock plugin
- deepin-camera
deepin-calculator
deepin-compressor
deepin-editor
deepin-picker
deepin-draw
- deepin-album
- deepin-image-viewer
deepin-music
deepin-movie-reborn
deepin-system-monitor
- deepin-screen-recorder
deepin-shortcut-viewer
+ # freeimage has knownVulnerabilties, don't install packages using freeiamge by default
+ # deepin-album
+ # deepin-camera
+ # deepin-image-viewer
+ # deepin-screen-recorder
];
in
requiredPackages
diff --git a/nixos/modules/services/x11/desktop-managers/default.nix b/nixos/modules/services/x11/desktop-managers/default.nix
index 66cb4ee29c0a..33d0a7b52643 100644
--- a/nixos/modules/services/x11/desktop-managers/default.nix
+++ b/nixos/modules/services/x11/desktop-managers/default.nix
@@ -18,7 +18,7 @@ in
# determines the default: later modules (if enabled) are preferred.
# E.g., if Plasma 5 is enabled, it supersedes xterm.
imports = [
- ./none.nix ./xterm.nix ./phosh.nix ./xfce.nix ./plasma5.nix ./lumina.nix
+ ./none.nix ./xterm.nix ./phosh.nix ./xfce.nix ./plasma5.nix ../../desktop-managers/plasma6.nix ./lumina.nix
./lxqt.nix ./enlightenment.nix ./gnome.nix ./retroarch.nix ./kodi.nix
./mate.nix ./pantheon.nix ./surf-display.nix ./cde.nix
./cinnamon.nix ./budgie.nix ./deepin.nix
diff --git a/nixos/modules/services/x11/desktop-managers/lxqt.nix b/nixos/modules/services/x11/desktop-managers/lxqt.nix
index 50ad72dc7388..3d02deba6fc7 100644
--- a/nixos/modules/services/x11/desktop-managers/lxqt.nix
+++ b/nixos/modules/services/x11/desktop-managers/lxqt.nix
@@ -62,6 +62,8 @@ in
# Link some extra directories in /run/current-system/software/share
environment.pathsToLink = [ "/share" ];
+ programs.gnupg.agent.pinentryPackage = mkDefault pkgs.pinentry-qt;
+
# virtual file systems support for PCManFM-QT
services.gvfs.enable = true;
diff --git a/nixos/modules/services/x11/desktop-managers/mate.nix b/nixos/modules/services/x11/desktop-managers/mate.nix
index f535a1d298b9..957eac7848e7 100644
--- a/nixos/modules/services/x11/desktop-managers/mate.nix
+++ b/nixos/modules/services/x11/desktop-managers/mate.nix
@@ -20,6 +20,22 @@ in
};
debug = mkEnableOption (lib.mdDoc "mate-session debug messages");
+
+ extraPanelApplets = mkOption {
+ default = [ ];
+ example = literalExpression "with pkgs.mate; [ mate-applets ]";
+ type = types.listOf types.package;
+ description = lib.mdDoc "Extra applets to add to mate-panel.";
+ };
+
+ extraCajaExtensions = mkOption {
+ default = [ ];
+ example = lib.literalExpression "with pkgs.mate; [ caja-extensions ]";
+ type = types.listOf types.package;
+ description = lib.mdDoc "Extra extensions to add to caja.";
+ };
+
+ enableWaylandSession = mkEnableOption (lib.mdDoc "MATE Wayland session");
};
environment.mate.excludePackages = mkOption {
@@ -31,55 +47,63 @@ in
};
- config = mkIf cfg.enable {
+ config = mkMerge [
+ (mkIf (cfg.enable || cfg.enableWaylandSession) {
+ services.xserver.displayManager.sessionPackages = [
+ pkgs.mate.mate-session-manager
+ ];
- services.xserver.displayManager.sessionPackages = [
- pkgs.mate.mate-session-manager
- ];
+ # Debugging
+ environment.sessionVariables.MATE_SESSION_DEBUG = mkIf cfg.debug "1";
- # Let caja find extensions
- environment.sessionVariables.CAJA_EXTENSION_DIRS = [ "${config.system.path}/lib/caja/extensions-2.0" ];
+ environment.systemPackages = utils.removePackagesByName
+ (pkgs.mate.basePackages ++
+ pkgs.mate.extraPackages ++
+ [
+ (pkgs.mate.caja-with-extensions.override {
+ extensions = cfg.extraCajaExtensions;
+ })
+ (pkgs.mate.mate-panel-with-applets.override {
+ applets = cfg.extraPanelApplets;
+ })
+ pkgs.desktop-file-utils
+ pkgs.glib
+ pkgs.gtk3.out
+ pkgs.shared-mime-info
+ pkgs.xdg-user-dirs # Update user dirs as described in https://freedesktop.org/wiki/Software/xdg-user-dirs/
+ pkgs.yelp # for 'Contents' in 'Help' menus
+ ])
+ config.environment.mate.excludePackages;
- # Let mate-panel find applets
- environment.sessionVariables."MATE_PANEL_APPLETS_DIR" = "${config.system.path}/share/mate-panel/applets";
- environment.sessionVariables."MATE_PANEL_EXTRA_MODULES" = "${config.system.path}/lib/mate-panel/applets";
+ programs.dconf.enable = true;
+ # Shell integration for VTE terminals
+ programs.bash.vteIntegration = mkDefault true;
+ programs.zsh.vteIntegration = mkDefault true;
- # Debugging
- environment.sessionVariables.MATE_SESSION_DEBUG = mkIf cfg.debug "1";
+ # Mate uses this for printing
+ programs.system-config-printer.enable = (mkIf config.services.printing.enable (mkDefault true));
- environment.systemPackages = utils.removePackagesByName
- (pkgs.mate.basePackages ++
- pkgs.mate.extraPackages ++
- [
- pkgs.desktop-file-utils
- pkgs.glib
- pkgs.gtk3.out
- pkgs.shared-mime-info
- pkgs.xdg-user-dirs # Update user dirs as described in https://freedesktop.org/wiki/Software/xdg-user-dirs/
- pkgs.yelp # for 'Contents' in 'Help' menus
- ])
- config.environment.mate.excludePackages;
+ services.gnome.at-spi2-core.enable = true;
+ services.gnome.gnome-keyring.enable = true;
+ services.udev.packages = [ pkgs.mate.mate-settings-daemon ];
+ services.gvfs.enable = true;
+ services.upower.enable = config.powerManagement.enable;
+ services.xserver.libinput.enable = mkDefault true;
- programs.dconf.enable = true;
- # Shell integration for VTE terminals
- programs.bash.vteIntegration = mkDefault true;
- programs.zsh.vteIntegration = mkDefault true;
+ security.pam.services.mate-screensaver.unixAuth = true;
- # Mate uses this for printing
- programs.system-config-printer.enable = (mkIf config.services.printing.enable (mkDefault true));
+ xdg.portal.configPackages = mkDefault [ pkgs.mate.mate-desktop ];
- services.gnome.at-spi2-core.enable = true;
- services.gnome.gnome-keyring.enable = true;
- services.udev.packages = [ pkgs.mate.mate-settings-daemon ];
- services.gvfs.enable = true;
- services.upower.enable = config.powerManagement.enable;
- services.xserver.libinput.enable = mkDefault true;
+ environment.pathsToLink = [ "/share" ];
+ })
+ (mkIf cfg.enableWaylandSession {
+ programs.wayfire.enable = true;
+ programs.wayfire.plugins = [ pkgs.wayfirePlugins.firedecor ];
- security.pam.services.mate-screensaver.unixAuth = true;
-
- xdg.portal.configPackages = mkDefault [ pkgs.mate.mate-desktop ];
-
- environment.pathsToLink = [ "/share" ];
- };
+ environment.sessionVariables.NIX_GSETTINGS_OVERRIDES_DIR = "${pkgs.mate.mate-gsettings-overrides}/share/gsettings-schemas/nixos-gsettings-overrides/glib-2.0/schemas";
+ environment.systemPackages = [ pkgs.mate.mate-wayland-session ];
+ services.xserver.displayManager.sessionPackages = [ pkgs.mate.mate-wayland-session ];
+ })
+ ];
}
diff --git a/nixos/modules/services/x11/desktop-managers/plasma5.nix b/nixos/modules/services/x11/desktop-managers/plasma5.nix
index 0eb492ce4684..f516a29fb5db 100644
--- a/nixos/modules/services/x11/desktop-managers/plasma5.nix
+++ b/nixos/modules/services/x11/desktop-managers/plasma5.nix
@@ -336,6 +336,7 @@ in
serif = [ "Noto Serif" ];
};
+ programs.gnupg.agent.pinentryPackage = mkDefault pkgs.pinentry-qt;
programs.ssh.askPassword = mkDefault "${pkgs.plasma5Packages.ksshaskpass.out}/bin/ksshaskpass";
# Enable helpful DBus services.
@@ -362,7 +363,7 @@ in
security.pam.services.kde = { allowNullPassword = true; };
- security.pam.services.login.enableKwallet = true;
+ security.pam.services.login.kwallet.enable = true;
systemd.user.services = {
plasma-early-setup = mkIf cfg.runUsingSystemd {
diff --git a/nixos/modules/services/x11/desktop-managers/xfce.nix b/nixos/modules/services/x11/desktop-managers/xfce.nix
index e28486bcc12d..3ba27b201507 100644
--- a/nixos/modules/services/x11/desktop-managers/xfce.nix
+++ b/nixos/modules/services/x11/desktop-managers/xfce.nix
@@ -131,6 +131,7 @@ in
xfdesktop
] ++ optional cfg.enableScreensaver xfce4-screensaver) excludePackages;
+ programs.gnupg.agent.pinentryPackage = mkDefault pkgs.pinentry-gtk2;
programs.xfconf.enable = true;
programs.thunar.enable = true;
diff --git a/nixos/modules/services/x11/display-managers/sddm.nix b/nixos/modules/services/x11/display-managers/sddm.nix
index 0576619cc8d2..a315a3ebf322 100644
--- a/nixos/modules/services/x11/display-managers/sddm.nix
+++ b/nixos/modules/services/x11/display-managers/sddm.nix
@@ -1,16 +1,24 @@
{ config, lib, pkgs, ... }:
-with lib;
let
xcfg = config.services.xserver;
dmcfg = xcfg.displayManager;
cfg = dmcfg.sddm;
xEnv = config.systemd.services.display-manager.environment;
- sddm = cfg.package;
+ sddm = cfg.package.override (old: {
+ withWayland = cfg.wayland.enable;
+ extraPackages = old.extraPackages or [ ] ++ cfg.extraPackages;
+ });
iniFmt = pkgs.formats.ini { };
+ inherit (lib)
+ concatMapStrings concatStringsSep getExe
+ attrNames getAttr optionalAttrs optionalString
+ mkRemovedOptionModule mkRenamedOptionModule mkIf mkEnableOption mkOption mkPackageOption types
+ ;
+
xserverWrapper = pkgs.writeShellScript "xserver-wrapper" ''
${concatMapStrings (n: "export ${n}=\"${getAttr n xEnv}\"\n") (attrNames xEnv)}
exec systemd-cat -t xserver-wrapper ${dmcfg.xserverBin} ${toString dmcfg.xserverArgs} "$@"
@@ -35,12 +43,21 @@ let
DefaultSession = optionalString (dmcfg.defaultSession != null) "${dmcfg.defaultSession}.desktop";
DisplayServer = if cfg.wayland.enable then "wayland" else "x11";
+ } // optionalAttrs (cfg.wayland.compositor == "kwin") {
+ GreeterEnvironment = concatStringsSep " " [
+ "LANG=C.UTF-8"
+ "QT_WAYLAND_SHELL_INTEGRATION=layer-shell"
+ ];
+ InputMethod = ""; # needed if we are using --inputmethod with kwin
};
Theme = {
Current = cfg.theme;
ThemeDir = "/run/current-system/sw/share/sddm/themes";
FacesDir = "/run/current-system/sw/share/sddm/faces";
+ } // optionalAttrs (cfg.theme == "breeze") {
+ CursorTheme = "breeze_cursors";
+ CursorSize = 24;
};
Users = {
@@ -66,7 +83,7 @@ let
SessionDir = "${dmcfg.sessionData.desktops}/share/wayland-sessions";
CompositorCommand = lib.optionalString cfg.wayland.enable cfg.wayland.compositorCommand;
};
- } // lib.optionalAttrs dmcfg.autoLogin.enable {
+ } // optionalAttrs dmcfg.autoLogin.enable {
Autologin = {
User = dmcfg.autoLogin.user;
Session = autoLoginSessionName;
@@ -80,6 +97,34 @@ let
autoLoginSessionName =
"${dmcfg.sessionData.autologinSession}.desktop";
+ compositorCmds = {
+ kwin = concatStringsSep " " [
+ "${lib.getBin pkgs.kdePackages.kwin}/bin/kwin_wayland"
+ "--no-global-shortcuts"
+ "--no-kactivities"
+ "--no-lockscreen"
+ "--locale1"
+ ];
+ # This is basically the upstream default, but with Weston referenced by full path
+ # and the configuration generated from NixOS options.
+ weston =
+ let
+ westonIni = (pkgs.formats.ini { }).generate "weston.ini" {
+ libinput = {
+ enable-tap = xcfg.libinput.mouse.tapping;
+ left-handed = xcfg.libinput.mouse.leftHanded;
+ };
+ keyboard = {
+ keymap_model = xcfg.xkb.model;
+ keymap_layout = xcfg.xkb.layout;
+ keymap_variant = xcfg.xkb.variant;
+ keymap_options = xcfg.xkb.options;
+ };
+ };
+ in
+ "${getExe pkgs.weston} --shell=kiosk -c ${westonIni}";
+ };
+
in
{
imports = [
@@ -108,7 +153,7 @@ in
'';
};
- package = mkPackageOption pkgs [ "plasma5Packages" "sddm" ] {};
+ package = mkPackageOption pkgs [ "plasma5Packages" "sddm" ] { };
enableHidpi = mkOption {
type = types.bool;
@@ -140,6 +185,15 @@ in
'';
};
+ extraPackages = mkOption {
+ type = types.listOf types.package;
+ default = [ ];
+ defaultText = "[]";
+ description = lib.mdDoc ''
+ Extra Qt plugins / QML libraries to add to the environment.
+ '';
+ };
+
autoNumlock = mkOption {
type = types.bool;
default = false;
@@ -194,24 +248,16 @@ in
wayland = {
enable = mkEnableOption "experimental Wayland support";
+ compositor = mkOption {
+ description = lib.mdDoc "The compositor to use: ${lib.concatStringsSep ", " (builtins.attrNames compositorCmds)}";
+ type = types.enum (builtins.attrNames compositorCmds);
+ default = "weston";
+ };
+
compositorCommand = mkOption {
type = types.str;
internal = true;
-
- # This is basically the upstream default, but with Weston referenced by full path
- # and the configuration generated from NixOS options.
- default = let westonIni = (pkgs.formats.ini {}).generate "weston.ini" {
- libinput = {
- enable-tap = xcfg.libinput.mouse.tapping;
- left-handed = xcfg.libinput.mouse.leftHanded;
- };
- keyboard = {
- keymap_model = xcfg.xkb.model;
- keymap_layout = xcfg.xkb.layout;
- keymap_variant = xcfg.xkb.variant;
- keymap_options = xcfg.xkb.options;
- };
- }; in "${pkgs.weston}/bin/weston --shell=fullscreen-shell.so -c ${westonIni}";
+ default = compositorCmds.${cfg.wayland.compositor};
description = lib.mdDoc "Command used to start the selected compositor";
};
};
@@ -235,16 +281,6 @@ in
}
];
- services.xserver.displayManager.job = {
- environment = {
- # Load themes from system environment
- QT_PLUGIN_PATH = "/run/current-system/sw/" + pkgs.qt5.qtbase.qtPluginPrefix;
- QML2_IMPORT_PATH = "/run/current-system/sw/" + pkgs.qt5.qtbase.qtQmlPrefix;
- };
-
- execCmd = "exec /run/current-system/sw/bin/sddm";
- };
-
security.pam.services = {
sddm.text = ''
auth substack login
@@ -289,30 +325,41 @@ in
uid = config.ids.uids.sddm;
};
- environment.etc."sddm.conf".source = cfgFile;
- environment.pathsToLink = [
- "/share/sddm"
- ];
+ environment = {
+ etc."sddm.conf".source = cfgFile;
+ pathsToLink = [
+ "/share/sddm"
+ ];
+ systemPackages = [ sddm ];
+ };
users.groups.sddm.gid = config.ids.gids.sddm;
- environment.systemPackages = [ sddm ];
- services.dbus.packages = [ sddm ];
- systemd.tmpfiles.packages = [ sddm ];
+ services = {
+ dbus.packages = [ sddm ];
+ xserver = {
+ displayManager.job.execCmd = "exec /run/current-system/sw/bin/sddm";
+ # To enable user switching, allow sddm to allocate TTYs/displays dynamically.
+ tty = null;
+ display = null;
+ };
+ };
- # We're not using the upstream unit, so copy these: https://github.com/sddm/sddm/blob/develop/services/sddm.service.in
- systemd.services.display-manager.after = [
- "systemd-user-sessions.service"
- "getty@tty7.service"
- "plymouth-quit.service"
- "systemd-logind.service"
- ];
- systemd.services.display-manager.conflicts = [
- "getty@tty7.service"
- ];
+ systemd = {
+ tmpfiles.packages = [ sddm ];
- # To enable user switching, allow sddm to allocate TTYs/displays dynamically.
- services.xserver.tty = null;
- services.xserver.display = null;
+ # We're not using the upstream unit, so copy these: https://github.com/sddm/sddm/blob/develop/services/sddm.service.in
+ services.display-manager = {
+ after = [
+ "systemd-user-sessions.service"
+ "getty@tty7.service"
+ "plymouth-quit.service"
+ "systemd-logind.service"
+ ];
+ conflicts = [
+ "getty@tty7.service"
+ ];
+ };
+ };
};
}
diff --git a/nixos/modules/services/x11/window-managers/nimdow.nix b/nixos/modules/services/x11/window-managers/nimdow.nix
index de3192876024..9cee4bb271a5 100644
--- a/nixos/modules/services/x11/window-managers/nimdow.nix
+++ b/nixos/modules/services/x11/window-managers/nimdow.nix
@@ -8,16 +8,23 @@ in
{
options = {
services.xserver.windowManager.nimdow.enable = mkEnableOption (lib.mdDoc "nimdow");
+ services.xserver.windowManager.nimdow.package = mkOption {
+ type = types.package;
+ default = pkgs.nimdow;
+ defaultText = "pkgs.nimdow";
+ description = lib.mdDoc "nimdow package to use";
+ };
};
+
config = mkIf cfg.enable {
services.xserver.windowManager.session = singleton {
name = "nimdow";
start = ''
- ${pkgs.nimdow}/bin/nimdow &
+ ${cfg.package}/bin/nimdow &
waitPID=$!
'';
};
- environment.systemPackages = [ pkgs.nimdow ];
+ environment.systemPackages = [ cfg.package pkgs.st ];
};
}
diff --git a/nixos/modules/services/x11/window-managers/xmonad.nix b/nixos/modules/services/x11/window-managers/xmonad.nix
index c35446bf405b..2962f2851fa9 100644
--- a/nixos/modules/services/x11/window-managers/xmonad.nix
+++ b/nixos/modules/services/x11/window-managers/xmonad.nix
@@ -37,7 +37,7 @@ let
xmonad = if (cfg.config != null) then xmonad-config else xmonad-vanilla;
in {
- meta.maintainers = with maintainers; [ lassulus xaverdh ivanbrennan ];
+ meta.maintainers = with maintainers; [ lassulus xaverdh ivanbrennan slotThe ];
options = {
services.xserver.windowManager.xmonad = {
diff --git a/nixos/modules/services/x11/xserver.nix b/nixos/modules/services/x11/xserver.nix
index 38fb1074fcdf..4e0235f9ad1d 100644
--- a/nixos/modules/services/x11/xserver.nix
+++ b/nixos/modules/services/x11/xserver.nix
@@ -749,6 +749,8 @@ in
boot.kernel.sysctl."fs.inotify.max_user_instances" = mkDefault 524288;
boot.kernel.sysctl."fs.inotify.max_user_watches" = mkDefault 524288;
+ programs.gnupg.agent.pinentryPackage = lib.mkOverride 1100 pkgs.pinentry-gnome3;
+
systemd.defaultUnit = mkIf cfg.autorun "graphical.target";
systemd.services.display-manager =
diff --git a/nixos/modules/system/boot/binfmt.nix b/nixos/modules/system/boot/binfmt.nix
index 08e3dce70844..2242c9da62d0 100644
--- a/nixos/modules/system/boot/binfmt.nix
+++ b/nixos/modules/system/boot/binfmt.nix
@@ -331,6 +331,7 @@ in {
"proc-sys-fs-binfmt_misc.mount"
"systemd-binfmt.service"
];
+ services.systemd-binfmt.after = [ "systemd-tmpfiles-setup.service" ];
services.systemd-binfmt.restartTriggers = [ (builtins.toJSON config.boot.binfmt.registrations) ];
})
];
diff --git a/nixos/modules/system/boot/kernel.nix b/nixos/modules/system/boot/kernel.nix
index a46331ccd431..950cff386d02 100644
--- a/nixos/modules/system/boot/kernel.nix
+++ b/nixos/modules/system/boot/kernel.nix
@@ -81,6 +81,13 @@ in
extraStructuredConfig.FOO = lib.kernel.yes;
features.foo = true;
}
+ {
+ name = "foo-ml-mbox";
+ patch = (fetchurl {
+ url = "https://lore.kernel.org/lkml/19700205182810.58382-1-email@domain/t.mbox.gz";
+ hash = "sha256-...";
+ });
+ }
]
'';
description = lib.mdDoc ''
@@ -226,7 +233,9 @@ in
symlinks because modprobe only supports one directory.
'';
# Convert the list of path to only one path.
- apply = pkgs.aggregateModules;
+ apply = let
+ kernel-name = config.boot.kernelPackages.kernel.name or "kernel";
+ in modules: (pkgs.aggregateModules modules).override { name = kernel-name + "-modules"; };
};
system.requiredKernelConfig = mkOption {
@@ -292,6 +301,7 @@ in
"usbhid"
"hid_generic" "hid_lenovo" "hid_apple" "hid_roccat"
"hid_logitech_hidpp" "hid_logitech_dj" "hid_microsoft" "hid_cherry"
+ "hid_corsair"
] ++ optionals pkgs.stdenv.hostPlatform.isx86 [
# Misc. x86 keyboard stuff.
diff --git a/nixos/modules/system/boot/loader/systemd-boot/systemd-boot-builder.py b/nixos/modules/system/boot/loader/systemd-boot/systemd-boot-builder.py
index a9978d7adf80..03bff1dee5b9 100644
--- a/nixos/modules/system/boot/loader/systemd-boot/systemd-boot-builder.py
+++ b/nixos/modules/system/boot/loader/systemd-boot/systemd-boot-builder.py
@@ -17,6 +17,9 @@ from dataclasses import dataclass
# These values will be replaced with actual values during the package build
EFI_SYS_MOUNT_POINT = "@efiSysMountPoint@"
+BOOT_MOUNT_POINT = "@bootMountPoint@"
+LOADER_CONF = f"{EFI_SYS_MOUNT_POINT}/loader/loader.conf" # Always stored on the ESP
+NIXOS_DIR = "@nixosDir@"
TIMEOUT = "@timeout@"
EDITOR = "@editor@" == "1"
CONSOLE_MODE = "@consoleMode@"
@@ -28,6 +31,7 @@ CONFIGURATION_LIMIT = int("@configurationLimit@")
CAN_TOUCH_EFI_VARIABLES = "@canTouchEfiVariables@"
GRACEFUL = "@graceful@"
COPY_EXTRA_FILES = "@copyExtraFiles@"
+CHECK_MOUNTPOINTS = "@checkMountpoints@"
@dataclass
class BootSpec:
@@ -39,6 +43,7 @@ class BootSpec:
system: str
toplevel: str
specialisations: Dict[str, "BootSpec"]
+ sortKey: str
initrdSecrets: str | None = None
@@ -69,6 +74,7 @@ def system_dir(profile: str | None, generation: int, specialisation: str | None)
return d
BOOT_ENTRY = """title {title}
+sort-key {sort_key}
version Generation {generation} {description}
linux {kernel}
initrd {initrd}
@@ -87,7 +93,7 @@ def generation_conf_filename(profile: str | None, generation: int, specialisatio
def write_loader_conf(profile: str | None, generation: int, specialisation: str | None) -> None:
- with open(f"{EFI_SYS_MOUNT_POINT}/loader/loader.conf.tmp", 'w') as f:
+ with open(f"{LOADER_CONF}.tmp", 'w') as f:
if TIMEOUT != "":
f.write(f"timeout {TIMEOUT}\n")
f.write("default %s\n" % generation_conf_filename(profile, generation, specialisation))
@@ -96,7 +102,7 @@ def write_loader_conf(profile: str | None, generation: int, specialisation: str
f.write(f"console-mode {CONSOLE_MODE}\n")
f.flush()
os.fsync(f.fileno())
- os.rename(f"{EFI_SYS_MOUNT_POINT}/loader/loader.conf.tmp", f"{EFI_SYS_MOUNT_POINT}/loader/loader.conf")
+ os.rename(f"{LOADER_CONF}.tmp", LOADER_CONF)
def get_bootspec(profile: str | None, generation: int) -> BootSpec:
@@ -119,16 +125,22 @@ def get_bootspec(profile: str | None, generation: int) -> BootSpec:
def bootspec_from_json(bootspec_json: Dict) -> BootSpec:
specialisations = bootspec_json['org.nixos.specialisation.v1']
specialisations = {k: bootspec_from_json(v) for k, v in specialisations.items()}
- return BootSpec(**bootspec_json['org.nixos.bootspec.v1'], specialisations=specialisations)
+ systemdBootExtension = bootspec_json.get('org.nixos.systemd-boot', {})
+ sortKey = systemdBootExtension.get('sortKey', 'nixos')
+ return BootSpec(
+ **bootspec_json['org.nixos.bootspec.v1'],
+ specialisations=specialisations,
+ sortKey=sortKey
+ )
def copy_from_file(file: str, dry_run: bool = False) -> str:
store_file_path = os.path.realpath(file)
suffix = os.path.basename(store_file_path)
store_dir = os.path.basename(os.path.dirname(store_file_path))
- efi_file_path = "/efi/nixos/%s-%s.efi" % (store_dir, suffix)
+ efi_file_path = f"{NIXOS_DIR}/{store_dir}-{suffix}.efi"
if not dry_run:
- copy_if_not_exists(store_file_path, f"{EFI_SYS_MOUNT_POINT}%s" % (efi_file_path))
+ copy_if_not_exists(store_file_path, f"{BOOT_MOUNT_POINT}{efi_file_path}")
return efi_file_path
def write_entry(profile: str | None, generation: int, specialisation: str | None,
@@ -145,7 +157,7 @@ def write_entry(profile: str | None, generation: int, specialisation: str | None
try:
if bootspec.initrdSecrets is not None:
- subprocess.check_call([bootspec.initrdSecrets, f"{EFI_SYS_MOUNT_POINT}%s" % (initrd)])
+ subprocess.check_call([bootspec.initrdSecrets, f"{BOOT_MOUNT_POINT}%s" % (initrd)])
except subprocess.CalledProcessError:
if current:
print("failed to create initrd secrets!", file=sys.stderr)
@@ -155,7 +167,7 @@ def write_entry(profile: str | None, generation: int, specialisation: str | None
f'for "{title} - Configuration {generation}", an older generation', file=sys.stderr)
print("note: this is normal after having removed "
"or renamed a file in `boot.initrd.secrets`", file=sys.stderr)
- entry_file = f"{EFI_SYS_MOUNT_POINT}/loader/entries/%s" % (
+ entry_file = f"{BOOT_MOUNT_POINT}/loader/entries/%s" % (
generation_conf_filename(profile, generation, specialisation))
tmp_path = "%s.tmp" % (entry_file)
kernel_params = "init=%s " % bootspec.init
@@ -166,6 +178,7 @@ def write_entry(profile: str | None, generation: int, specialisation: str | None
with open(tmp_path, 'w') as f:
f.write(BOOT_ENTRY.format(title=title,
+ sort_key=bootspec.sortKey,
generation=generation,
kernel=kernel,
initrd=initrd,
@@ -202,14 +215,14 @@ def get_generations(profile: str | None = None) -> list[SystemIdentifier]:
def remove_old_entries(gens: list[SystemIdentifier]) -> None:
- rex_profile = re.compile(r"^" + re.escape(EFI_SYS_MOUNT_POINT) + "/loader/entries/nixos-(.*)-generation-.*\.conf$")
- rex_generation = re.compile(r"^" + re.escape(EFI_SYS_MOUNT_POINT) + "/loader/entries/nixos.*-generation-([0-9]+)(-specialisation-.*)?\.conf$")
+ rex_profile = re.compile(r"^" + re.escape(BOOT_MOUNT_POINT) + "/loader/entries/nixos-(.*)-generation-.*\.conf$")
+ rex_generation = re.compile(r"^" + re.escape(BOOT_MOUNT_POINT) + "/loader/entries/nixos.*-generation-([0-9]+)(-specialisation-.*)?\.conf$")
known_paths = []
for gen in gens:
bootspec = get_bootspec(gen.profile, gen.generation)
known_paths.append(copy_from_file(bootspec.kernel, True))
known_paths.append(copy_from_file(bootspec.initrd, True))
- for path in glob.iglob(f"{EFI_SYS_MOUNT_POINT}/loader/entries/nixos*-generation-[1-9]*.conf"):
+ for path in glob.iglob(f"{BOOT_MOUNT_POINT}/loader/entries/nixos*-generation-[1-9]*.conf"):
if rex_profile.match(path):
prof = rex_profile.sub(r"\1", path)
else:
@@ -220,11 +233,18 @@ def remove_old_entries(gens: list[SystemIdentifier]) -> None:
continue
if not (prof, gen_number, None) in gens:
os.unlink(path)
- for path in glob.iglob(f"{EFI_SYS_MOUNT_POINT}/efi/nixos/*"):
+ for path in glob.iglob(f"{BOOT_MOUNT_POINT}/{NIXOS_DIR}/*"):
if not path in known_paths and not os.path.isdir(path):
os.unlink(path)
+def cleanup_esp() -> None:
+ for path in glob.iglob(f"{EFI_SYS_MOUNT_POINT}/loader/entries/nixos*"):
+ os.unlink(path)
+ if os.path.isdir(f"{EFI_SYS_MOUNT_POINT}/{NIXOS_DIR}"):
+ shutil.rmtree(f"{EFI_SYS_MOUNT_POINT}/{NIXOS_DIR}")
+
+
def get_profiles() -> list[str]:
if os.path.isdir("/nix/var/nix/profiles/system-profiles/"):
return [x
@@ -255,6 +275,9 @@ def install_bootloader(args: argparse.Namespace) -> None:
# flags to pass to bootctl install/update
bootctl_flags = []
+ if BOOT_MOUNT_POINT != EFI_SYS_MOUNT_POINT:
+ bootctl_flags.append(f"--boot-path={BOOT_MOUNT_POINT}")
+
if CAN_TOUCH_EFI_VARIABLES != "1":
bootctl_flags.append("--no-variables")
@@ -263,8 +286,8 @@ def install_bootloader(args: argparse.Namespace) -> None:
if os.getenv("NIXOS_INSTALL_BOOTLOADER") == "1":
# bootctl uses fopen() with modes "wxe" and fails if the file exists.
- if os.path.exists(f"{EFI_SYS_MOUNT_POINT}/loader/loader.conf"):
- os.unlink(f"{EFI_SYS_MOUNT_POINT}/loader/loader.conf")
+ if os.path.exists(LOADER_CONF):
+ os.unlink(LOADER_CONF)
subprocess.check_call([f"{SYSTEMD}/bin/bootctl", f"--esp-path={EFI_SYS_MOUNT_POINT}"] + bootctl_flags + ["install"])
else:
@@ -291,13 +314,15 @@ def install_bootloader(args: argparse.Namespace) -> None:
print("updating systemd-boot from %s to %s" % (installed_version, available_version))
subprocess.check_call([f"{SYSTEMD}/bin/bootctl", f"--esp-path={EFI_SYS_MOUNT_POINT}"] + bootctl_flags + ["update"])
- os.makedirs(f"{EFI_SYS_MOUNT_POINT}/efi/nixos", exist_ok=True)
- os.makedirs(f"{EFI_SYS_MOUNT_POINT}/loader/entries", exist_ok=True)
+ os.makedirs(f"{BOOT_MOUNT_POINT}/{NIXOS_DIR}", exist_ok=True)
+ os.makedirs(f"{BOOT_MOUNT_POINT}/loader/entries", exist_ok=True)
gens = get_generations()
for profile in get_profiles():
gens += get_generations(profile)
+
remove_old_entries(gens)
+
for gen in gens:
try:
bootspec = get_bootspec(gen.profile, gen.generation)
@@ -315,9 +340,15 @@ def install_bootloader(args: argparse.Namespace) -> None:
else:
raise e
- for root, _, files in os.walk(f"{EFI_SYS_MOUNT_POINT}/efi/nixos/.extra-files", topdown=False):
- relative_root = root.removeprefix(f"{EFI_SYS_MOUNT_POINT}/efi/nixos/.extra-files").removeprefix("/")
- actual_root = os.path.join(f"{EFI_SYS_MOUNT_POINT}", relative_root)
+ if BOOT_MOUNT_POINT != EFI_SYS_MOUNT_POINT:
+ # Cleanup any entries in ESP if xbootldrMountPoint is set.
+ # If the user later unsets xbootldrMountPoint, entries in XBOOTLDR will not be cleaned up
+ # automatically, as we don't have information about the mount point anymore.
+ cleanup_esp()
+
+ for root, _, files in os.walk(f"{BOOT_MOUNT_POINT}/{NIXOS_DIR}/.extra-files", topdown=False):
+ relative_root = root.removeprefix(f"{BOOT_MOUNT_POINT}/{NIXOS_DIR}/.extra-files").removeprefix("/")
+ actual_root = os.path.join(f"{BOOT_MOUNT_POINT}", relative_root)
for file in files:
actual_file = os.path.join(actual_root, file)
@@ -330,7 +361,7 @@ def install_bootloader(args: argparse.Namespace) -> None:
os.rmdir(actual_root)
os.rmdir(root)
- os.makedirs(f"{EFI_SYS_MOUNT_POINT}/efi/nixos/.extra-files", exist_ok=True)
+ os.makedirs(f"{BOOT_MOUNT_POINT}/{NIXOS_DIR}/.extra-files", exist_ok=True)
subprocess.check_call(COPY_EXTRA_FILES)
@@ -340,6 +371,8 @@ def main() -> None:
parser.add_argument('default_config', metavar='DEFAULT-CONFIG', help=f"The default {DISTRO_NAME} config to boot")
args = parser.parse_args()
+ subprocess.check_call(CHECK_MOUNTPOINTS)
+
try:
install_bootloader(args)
finally:
@@ -347,9 +380,14 @@ def main() -> None:
# it can leave the system in an unbootable state, when a crash/outage
# happens shortly after an update. To decrease the likelihood of this
# event sync the efi filesystem after each update.
- rc = libc.syncfs(os.open(f"{EFI_SYS_MOUNT_POINT}", os.O_RDONLY))
+ rc = libc.syncfs(os.open(f"{BOOT_MOUNT_POINT}", os.O_RDONLY))
if rc != 0:
- print(f"could not sync {EFI_SYS_MOUNT_POINT}: {os.strerror(rc)}", file=sys.stderr)
+ print(f"could not sync {BOOT_MOUNT_POINT}: {os.strerror(rc)}", file=sys.stderr)
+
+ if BOOT_MOUNT_POINT != EFI_SYS_MOUNT_POINT:
+ rc = libc.syncfs(os.open(EFI_SYS_MOUNT_POINT, os.O_RDONLY))
+ if rc != 0:
+ print(f"could not sync {EFI_SYS_MOUNT_POINT}: {os.strerror(rc)}", file=sys.stderr)
if __name__ == '__main__':
diff --git a/nixos/modules/system/boot/loader/systemd-boot/systemd-boot.nix b/nixos/modules/system/boot/loader/systemd-boot/systemd-boot.nix
index ea4553b8208f..ba07506266e2 100644
--- a/nixos/modules/system/boot/loader/systemd-boot/systemd-boot.nix
+++ b/nixos/modules/system/boot/loader/systemd-boot/systemd-boot.nix
@@ -7,7 +7,7 @@ let
efi = config.boot.loader.efi;
- systemdBootBuilder = pkgs.substituteAll {
+ systemdBootBuilder = pkgs.substituteAll rec {
src = ./systemd-boot-builder.py;
isExecutable = true;
@@ -28,33 +28,48 @@ let
inherit (efi) efiSysMountPoint canTouchEfiVariables;
+ bootMountPoint = if cfg.xbootldrMountPoint != null
+ then cfg.xbootldrMountPoint
+ else efi.efiSysMountPoint;
+
+ nixosDir = "/EFI/nixos";
+
inherit (config.system.nixos) distroName;
memtest86 = optionalString cfg.memtest86.enable pkgs.memtest86plus;
netbootxyz = optionalString cfg.netbootxyz.enable pkgs.netbootxyz-efi;
+ checkMountpoints = pkgs.writeShellScript "check-mountpoints" ''
+ fail() {
+ echo "$1 = '$2' is not a mounted partition. Is the path configured correctly?" >&2
+ exit 1
+ }
+ ${pkgs.util-linuxMinimal}/bin/findmnt ${efiSysMountPoint} > /dev/null || fail efiSysMountPoint ${efiSysMountPoint}
+ ${lib.optionalString
+ (cfg.xbootldrMountPoint != null)
+ "${pkgs.util-linuxMinimal}/bin/findmnt ${cfg.xbootldrMountPoint} > /dev/null || fail xbootldrMountPoint ${cfg.xbootldrMountPoint}"}
+ '';
+
copyExtraFiles = pkgs.writeShellScript "copy-extra-files" ''
empty_file=$(${pkgs.coreutils}/bin/mktemp)
${concatStrings (mapAttrsToList (n: v: ''
- ${pkgs.coreutils}/bin/install -Dp "${v}" "${efi.efiSysMountPoint}/"${escapeShellArg n}
- ${pkgs.coreutils}/bin/install -D $empty_file "${efi.efiSysMountPoint}/efi/nixos/.extra-files/"${escapeShellArg n}
+ ${pkgs.coreutils}/bin/install -Dp "${v}" "${bootMountPoint}/"${escapeShellArg n}
+ ${pkgs.coreutils}/bin/install -D $empty_file "${bootMountPoint}/${nixosDir}/.extra-files/"${escapeShellArg n}
'') cfg.extraFiles)}
${concatStrings (mapAttrsToList (n: v: ''
- ${pkgs.coreutils}/bin/install -Dp "${pkgs.writeText n v}" "${efi.efiSysMountPoint}/loader/entries/"${escapeShellArg n}
- ${pkgs.coreutils}/bin/install -D $empty_file "${efi.efiSysMountPoint}/efi/nixos/.extra-files/loader/entries/"${escapeShellArg n}
+ ${pkgs.coreutils}/bin/install -Dp "${pkgs.writeText n v}" "${bootMountPoint}/loader/entries/"${escapeShellArg n}
+ ${pkgs.coreutils}/bin/install -D $empty_file "${bootMountPoint}/${nixosDir}/.extra-files/loader/entries/"${escapeShellArg n}
'') cfg.extraEntries)}
'';
};
- checkedSystemdBootBuilder = pkgs.runCommand "systemd-boot" {
- nativeBuildInputs = [ pkgs.mypy ];
- } ''
+ checkedSystemdBootBuilder = pkgs.runCommand "systemd-boot" { } ''
mkdir -p $out/bin
install -m755 ${systemdBootBuilder} $out/bin/systemd-boot-builder
- mypy \
+ ${lib.getExe pkgs.buildPackages.mypy} \
--no-implicit-optional \
--disallow-untyped-calls \
--disallow-untyped-defs \
@@ -72,6 +87,16 @@ in {
imports =
[ (mkRenamedOptionModule [ "boot" "loader" "gummiboot" "enable" ] [ "boot" "loader" "systemd-boot" "enable" ])
+ (lib.mkChangedOptionModule
+ [ "boot" "loader" "systemd-boot" "memtest86" "entryFilename" ]
+ [ "boot" "loader" "systemd-boot" "memtest86" "sortKey" ]
+ (config: lib.strings.removeSuffix ".conf" config.boot.loader.systemd-boot.memtest86.entryFilename)
+ )
+ (lib.mkChangedOptionModule
+ [ "boot" "loader" "systemd-boot" "netbootxyz" "entryFilename" ]
+ [ "boot" "loader" "systemd-boot" "netbootxyz" "sortKey" ]
+ (config: lib.strings.removeSuffix ".conf" config.boot.loader.systemd-boot.netbootxyz.entryFilename)
+ )
];
options.boot.loader.systemd-boot = {
@@ -87,6 +112,35 @@ in {
'';
};
+ sortKey = mkOption {
+ default = "nixos";
+ type = lib.types.str;
+ description = ''
+ The sort key used for the NixOS bootloader entries.
+ This key determines sorting relative to non-NixOS entries.
+ See also https://uapi-group.org/specifications/specs/boot_loader_specification/#sorting
+
+ This option can also be used to control the sorting of NixOS specialisations.
+
+ By default, specialisations inherit the sort key of their parent generation
+ and will have the same value for both the sort-key and the version (i.e. the generation number),
+ systemd-boot will therefore sort them based on their file name, meaning that
+ in your boot menu you will have each main generation directly followed by
+ its specialisations sorted alphabetically by their names.
+
+ If you want a different ordering for a specialisation, you can override
+ its sort-key which will cause the specialisation to be uncoupled from its
+ parent generation. It will then be sorted by its new sort-key just like
+ any other boot entry.
+
+ The sort-key is stored in the generation's bootspec, which means that
+ generations keep their sort-keys even if the original definition of the
+ generation was removed from the NixOS configuration.
+ It also means that updating the sort-key will only affect new generations,
+ while old ones will keep the sort-key that they were originally built with.
+ '';
+ };
+
editor = mkOption {
default = true;
@@ -101,6 +155,18 @@ in {
'';
};
+ xbootldrMountPoint = mkOption {
+ default = null;
+ type = types.nullOr types.str;
+ description = lib.mdDoc ''
+ Where the XBOOTLDR partition is mounted.
+
+ If set, this partition will be used as $BOOT to store boot loader entries and extra files
+ instead of the EFI partition. As per the bootloader specification, it is recommended that
+ the EFI and XBOOTLDR partitions be mounted at `/efi` and `/boot`, respectively.
+ '';
+ };
+
configurationLimit = mkOption {
default = null;
example = 120;
@@ -110,7 +176,7 @@ in {
Useful to prevent boot partition running out of disk space.
`null` means no limit i.e. all generations
- that were not garbage collected yet.
+ that have not been garbage collected yet.
'';
};
@@ -157,13 +223,15 @@ in {
'';
};
- entryFilename = mkOption {
- default = "memtest86.conf";
+ sortKey = mkOption {
+ default = "o_memtest86";
type = types.str;
description = lib.mdDoc ''
- `systemd-boot` orders the menu entries by the config file names,
+ `systemd-boot` orders the menu entries by their sort keys,
so if you want something to appear after all the NixOS entries,
it should start with {file}`o` or onwards.
+
+ See also {option}`boot.loader.systemd-boot.sortKey`.
'';
};
};
@@ -180,13 +248,15 @@ in {
'';
};
- entryFilename = mkOption {
- default = "o_netbootxyz.conf";
+ sortKey = mkOption {
+ default = "o_netbootxyz";
type = types.str;
description = lib.mdDoc ''
- `systemd-boot` orders the menu entries by the config file names,
+ `systemd-boot` orders the menu entries by their sort keys,
so if you want something to appear after all the NixOS entries,
it should start with {file}`o` or onwards.
+
+ See also {option}`boot.loader.systemd-boot.sortKey`.
'';
};
};
@@ -198,17 +268,19 @@ in {
{ "memtest86.conf" = '''
title Memtest86+
efi /efi/memtest86/memtest.efi
+ sort-key z_memtest
'''; }
'';
description = lib.mdDoc ''
Any additional entries you want added to the `systemd-boot` menu.
- These entries will be copied to {file}`/boot/loader/entries`.
+ These entries will be copied to {file}`$BOOT/loader/entries`.
Each attribute name denotes the destination file name,
and the corresponding attribute value is the contents of the entry.
- `systemd-boot` orders the menu entries by the config file names,
- so if you want something to appear after all the NixOS entries,
- it should start with {file}`o` or onwards.
+ To control the ordering of the entry in the boot menu, use the sort-key
+ field, see
+ https://uapi-group.org/specifications/specs/boot_loader_specification/#sorting
+ and {option}`boot.loader.systemd-boot.sortKey`.
'';
};
@@ -219,9 +291,9 @@ in {
{ "efi/memtest86/memtest.efi" = "''${pkgs.memtest86plus}/memtest.efi"; }
'';
description = lib.mdDoc ''
- A set of files to be copied to {file}`/boot`.
+ A set of files to be copied to {file}`$BOOT`.
Each attribute name denotes the destination file name in
- {file}`/boot`, while the corresponding
+ {file}`$BOOT`, while the corresponding
attribute value specifies the source file.
'';
};
@@ -245,6 +317,18 @@ in {
config = mkIf cfg.enable {
assertions = [
+ {
+ assertion = (hasPrefix "/" efi.efiSysMountPoint);
+ message = "The ESP mount point '${efi.efiSysMountPoint}' must be an absolute path";
+ }
+ {
+ assertion = cfg.xbootldrMountPoint == null || (hasPrefix "/" cfg.xbootldrMountPoint);
+ message = "The XBOOTLDR mount point '${cfg.xbootldrMountPoint}' must be an absolute path";
+ }
+ {
+ assertion = cfg.xbootldrMountPoint != efi.efiSysMountPoint;
+ message = "The XBOOTLDR mount point '${cfg.xbootldrMountPoint}' cannot be the same as the ESP mount point '${efi.efiSysMountPoint}'";
+ }
{
assertion = (config.boot.kernelPackages.kernel.features or { efiBootStub = true; }) ? efiBootStub;
message = "This kernel does not support the EFI boot stub";
@@ -289,19 +373,25 @@ in {
boot.loader.systemd-boot.extraEntries = mkMerge [
(mkIf cfg.memtest86.enable {
- "${cfg.memtest86.entryFilename}" = ''
+ "memtest86.conf" = ''
title Memtest86+
efi /efi/memtest86/memtest.efi
+ sort-key ${cfg.memtest86.sortKey}
'';
})
(mkIf cfg.netbootxyz.enable {
- "${cfg.netbootxyz.entryFilename}" = ''
+ "netbootxyz.conf" = ''
title netboot.xyz
efi /efi/netbootxyz/netboot.xyz.efi
+ sort-key ${cfg.netbootxyz.sortKey}
'';
})
];
+ boot.bootspec.extensions."org.nixos.systemd-boot" = {
+ inherit (config.boot.loader.systemd-boot) sortKey;
+ };
+
system = {
build.installBootLoader = finalSystemdBootBuilder;
diff --git a/nixos/modules/system/boot/luksroot.nix b/nixos/modules/system/boot/luksroot.nix
index 86a3875e2c67..3020734783e7 100644
--- a/nixos/modules/system/boot/luksroot.nix
+++ b/nixos/modules/system/boot/luksroot.nix
@@ -982,8 +982,10 @@ in
}
{ assertion = config.boot.initrd.systemd.enable -> !luks.fido2Support;
message = ''
- systemd stage 1 does not support configuring FIDO2 unlocking through `boot.initrd.luks.devices..fido2`.
- Use systemd-cryptenroll(1) to configure FIDO2 support.
+ systemd stage 1 does not support configuring FIDO2 unlocking through `boot.initrd.luks.fido2Support`.
+ Use systemd-cryptenroll(1) to configure FIDO2 support, and set
+ `boot.initrd.luks.devices.''${DEVICE}.crypttabExtraOpts` as appropriate per crypttab(5)
+ (e.g. `fido2-device=auto`).
'';
}
# TODO
diff --git a/nixos/modules/system/boot/networkd.nix b/nixos/modules/system/boot/networkd.nix
index a7399bd55e77..9b0d750d12ce 100644
--- a/nixos/modules/system/boot/networkd.nix
+++ b/nixos/modules/system/boot/networkd.nix
@@ -647,9 +647,9 @@ let
"BatmanAdvanced"
])
# Note: For DHCP the values both, none, v4, v6 are deprecated
- (assertValueOneOf "DHCP" ["yes" "no" "ipv4" "ipv6"])
+ (assertValueOneOf "DHCP" (boolValues ++ ["ipv4" "ipv6"]))
(assertValueOneOf "DHCPServer" boolValues)
- (assertValueOneOf "LinkLocalAddressing" ["yes" "no" "ipv4" "ipv6" "fallback" "ipv4-fallback"])
+ (assertValueOneOf "LinkLocalAddressing" (boolValues ++ ["ipv4" "ipv6" "fallback" "ipv4-fallback"]))
(assertValueOneOf "IPv6LinkLocalAddressGenerationMode" ["eui64" "none" "stable-privacy" "random"])
(assertValueOneOf "IPv4LLRoute" boolValues)
(assertValueOneOf "DefaultRouteOnDevice" boolValues)
@@ -729,8 +729,8 @@ let
(assertInt "FirewallMark")
(assertRange "FirewallMark" 1 4294967295)
(assertInt "Priority")
- (assertPort "SourcePort")
- (assertPort "DestinationPort")
+ (assertPortOrPortRange "SourcePort")
+ (assertPortOrPortRange "DestinationPort")
(assertValueOneOf "InvertRule" boolValues)
(assertValueOneOf "Family" ["ipv4" "ipv6" "both"])
(assertInt "SuppressPrefixLength")
@@ -797,6 +797,7 @@ let
"UseHostname"
"Hostname"
"UseDomains"
+ "UseGateway"
"UseRoutes"
"UseTimezone"
"ClientIdentifier"
@@ -829,6 +830,7 @@ let
(assertValueOneOf "SendHostname" boolValues)
(assertValueOneOf "UseHostname" boolValues)
(assertValueOneOf "UseDomains" (boolValues ++ ["route"]))
+ (assertValueOneOf "UseGateway" boolValues)
(assertValueOneOf "UseRoutes" boolValues)
(assertValueOneOf "UseTimezone" boolValues)
(assertValueOneOf "ClientIdentifier" ["mac" "duid" "duid-only"])
diff --git a/nixos/modules/system/boot/plymouth.nix b/nixos/modules/system/boot/plymouth.nix
index b041b8951fa3..16bca40993ae 100644
--- a/nixos/modules/system/boot/plymouth.nix
+++ b/nixos/modules/system/boot/plymouth.nix
@@ -186,6 +186,8 @@ in
# module might come from a theme
cp ${themesEnv}/lib/plymouth/*.so $out
cp ${plymouth}/lib/plymouth/renderers/*.so $out/renderers
+ # useless in the initrd, and adds several megabytes to the closure
+ rm $out/renderers/x11.so
'';
"/etc/plymouth/themes".source = pkgs.runCommand "plymouth-initrd-themes" {} ''
# Check if the actual requested theme is here
@@ -271,6 +273,8 @@ in
# module might come from a theme
cp ${themesEnv}/lib/plymouth/*.so $out/lib/plymouth
cp ${plymouth}/lib/plymouth/renderers/*.so $out/lib/plymouth/renderers
+ # useless in the initrd, and adds several megabytes to the closure
+ rm $out/lib/plymouth/renderers/x11.so
mkdir -p $out/share/plymouth/themes
cp ${plymouth}/share/plymouth/plymouthd.defaults $out/share/plymouth
diff --git a/nixos/modules/system/boot/stage-1.nix b/nixos/modules/system/boot/stage-1.nix
index 8f3f3612805f..02a3f5113cc0 100644
--- a/nixos/modules/system/boot/stage-1.nix
+++ b/nixos/modules/system/boot/stage-1.nix
@@ -3,7 +3,7 @@
# the modules necessary to mount the root file system, then calls the
# init in the root file system to start the second boot stage.
-{ config, lib, utils, pkgs, ... }:
+{ config, options, lib, utils, pkgs, ... }:
with lib;
@@ -13,15 +13,11 @@ let
kernel-name = config.boot.kernelPackages.kernel.name or "kernel";
- modulesTree = config.system.modulesTree.override { name = kernel-name + "-modules"; };
- firmware = config.hardware.firmware;
-
-
# Determine the set of modules that we need to mount the root FS.
modulesClosure = pkgs.makeModulesClosure {
rootModules = config.boot.initrd.availableKernelModules ++ config.boot.initrd.kernelModules;
- kernel = modulesTree;
- firmware = firmware;
+ kernel = config.system.modulesTree;
+ firmware = config.hardware.firmware;
allowMissing = false;
};
@@ -636,10 +632,8 @@ in
};
boot.initrd.supportedFilesystems = mkOption {
- default = [ ];
- example = [ "btrfs" ];
- type = types.listOf types.str;
- description = lib.mdDoc "Names of supported filesystem types in the initial ramdisk.";
+ default = { };
+ inherit (options.boot.supportedFilesystems) example type description;
};
boot.initrd.verbose = mkOption {
@@ -690,7 +684,7 @@ in
config = mkIf config.boot.initrd.enable {
assertions = [
- { assertion = any (fs: fs.mountPoint == "/") fileSystems;
+ { assertion = !config.boot.initrd.systemd.enable -> any (fs: fs.mountPoint == "/") fileSystems;
message = "The ‘fileSystems’ option does not specify your root file system.";
}
{ assertion = let inherit (config.boot) resumeDevice; in
diff --git a/nixos/modules/system/boot/systemd.nix b/nixos/modules/system/boot/systemd.nix
index e29fa49ea23b..a8885aee78f2 100644
--- a/nixos/modules/system/boot/systemd.nix
+++ b/nixos/modules/system/boot/systemd.nix
@@ -97,6 +97,7 @@ let
# Maintaining state across reboots.
"systemd-random-seed.service"
+ ] ++ (optional cfg.package.withBootloader "systemd-boot-random-seed.service") ++ [
"systemd-backlight@.service"
"systemd-rfkill.service"
"systemd-rfkill.socket"
@@ -667,7 +668,6 @@ in
# Don't bother with certain units in containers.
systemd.services.systemd-remount-fs.unitConfig.ConditionVirtualization = "!container";
- systemd.services.systemd-random-seed.unitConfig.ConditionVirtualization = "!container";
# Increase numeric PID range (set directly instead of copying a one-line file from systemd)
# https://github.com/systemd/systemd/pull/12226
diff --git a/nixos/modules/system/boot/systemd/coredump.nix b/nixos/modules/system/boot/systemd/coredump.nix
index 03ef00e5683c..271d8f86d0e6 100644
--- a/nixos/modules/system/boot/systemd/coredump.nix
+++ b/nixos/modules/system/boot/systemd/coredump.nix
@@ -52,7 +52,7 @@ in {
# See: https://github.com/NixOS/nixpkgs/issues/213408
pkgs.substitute {
src = "${systemd}/example/sysctl.d/50-coredump.conf";
- replacements = [
+ substitutions = [
"--replace"
"${systemd}"
"${pkgs.symlinkJoin { name = "systemd"; paths = [ systemd ]; }}"
diff --git a/nixos/modules/system/boot/systemd/initrd.nix b/nixos/modules/system/boot/systemd/initrd.nix
index 9641921fc795..e4f61db0cd02 100644
--- a/nixos/modules/system/boot/systemd/initrd.nix
+++ b/nixos/modules/system/boot/systemd/initrd.nix
@@ -90,16 +90,12 @@ let
inherit (cfg) packages package;
};
- fileSystems = filter utils.fsNeededForBoot config.system.build.fileSystems;
-
kernel-name = config.boot.kernelPackages.kernel.name or "kernel";
- modulesTree = config.system.modulesTree.override { name = kernel-name + "-modules"; };
- firmware = config.hardware.firmware;
# Determine the set of modules that we need to mount the root FS.
modulesClosure = pkgs.makeModulesClosure {
rootModules = config.boot.initrd.availableKernelModules ++ config.boot.initrd.kernelModules;
- kernel = modulesTree;
- firmware = firmware;
+ kernel = config.system.modulesTree;
+ firmware = config.hardware.firmware;
allowMissing = false;
};
@@ -214,6 +210,19 @@ in {
default = [];
};
+ root = lib.mkOption {
+ type = lib.types.enum [ "fstab" "gpt-auto" ];
+ default = "fstab";
+ example = "gpt-auto";
+ description = ''
+ Controls how systemd will interpret the root FS in initrd. See
+ {manpage}`kernel-command-line(7)`. NixOS currently does not
+ allow specifying the root file system itself this
+ way. Instead, the `fstab` value is used in order to interpret
+ the root file system specified with the `fileSystems` option.
+ '';
+ };
+
emergencyAccess = mkOption {
type = with types; oneOf [ bool (nullOr (passwdEntry str)) ];
description = lib.mdDoc ''
@@ -344,7 +353,12 @@ in {
};
config = mkIf (config.boot.initrd.enable && cfg.enable) {
- assertions = map (name: {
+ assertions = [
+ {
+ assertion = cfg.root == "fstab" -> any (fs: fs.mountPoint == "/") (builtins.attrValues config.fileSystems);
+ message = "The ‘fileSystems’ option does not specify your root file system.";
+ }
+ ] ++ map (name: {
assertion = lib.attrByPath name (throw "impossible") config.boot.initrd == "";
message = ''
systemd stage 1 does not support 'boot.initrd.${lib.concatStringsSep "." name}'. Please
@@ -373,7 +387,12 @@ in {
"autofs"
# systemd-cryptenroll
] ++ lib.optional cfg.enableTpm2 "tpm-tis"
- ++ lib.optional (cfg.enableTpm2 && !(pkgs.stdenv.hostPlatform.isRiscV64 || pkgs.stdenv.hostPlatform.isArmv7)) "tpm-crb";
+ ++ lib.optional (cfg.enableTpm2 && !(pkgs.stdenv.hostPlatform.isRiscV64 || pkgs.stdenv.hostPlatform.isArmv7)) "tpm-crb"
+ ++ lib.optional cfg.package.withEfi "efivarfs";
+
+ boot.kernelParams = [
+ "root=${config.boot.initrd.systemd.root}"
+ ] ++ lib.optional (config.boot.resumeDevice != "") "resume=${config.boot.resumeDevice}";
boot.initrd.systemd = {
initrdBin = [pkgs.bash pkgs.coreutils cfg.package.kmod cfg.package];
@@ -497,7 +516,7 @@ in {
case $o in
init=*)
IFS== read -r -a initParam <<< "$o"
- closure="$(dirname "''${initParam[1]}")"
+ closure="''${initParam[1]}"
;;
esac
done
@@ -508,6 +527,13 @@ in {
exit 1
fi
+ # Resolve symlinks in the init parameter. We need this for some boot loaders
+ # (e.g. boot.loader.generationsDir).
+ closure="$(chroot /sysroot ${pkgs.coreutils}/bin/realpath "$closure")"
+
+ # Assume the directory containing the init script is the closure.
+ closure="$(dirname "$closure")"
+
# If we are not booting a NixOS closure (e.g. init=/bin/sh),
# we don't know what root to prepare so we don't do anything
if ! [ -x "/sysroot$(readlink "/sysroot$closure/prepare-root" || echo "$closure/prepare-root")" ]; then
@@ -556,7 +582,5 @@ in {
serviceConfig.Type = "oneshot";
};
};
-
- boot.kernelParams = lib.mkIf (config.boot.resumeDevice != "") [ "resume=${config.boot.resumeDevice}" ];
};
}
diff --git a/nixos/modules/system/boot/systemd/oomd.nix b/nixos/modules/system/boot/systemd/oomd.nix
index 000b18c01609..edc25784367a 100644
--- a/nixos/modules/system/boot/systemd/oomd.nix
+++ b/nixos/modules/system/boot/systemd/oomd.nix
@@ -49,15 +49,15 @@ in {
systemd.slices."-".sliceConfig = lib.mkIf cfg.enableRootSlice {
ManagedOOMMemoryPressure = "kill";
- ManagedOOMMemoryPressureLimit = "80%";
+ ManagedOOMMemoryPressureLimit = lib.mkDefault "80%";
};
systemd.slices."system".sliceConfig = lib.mkIf cfg.enableSystemSlice {
ManagedOOMMemoryPressure = "kill";
- ManagedOOMMemoryPressureLimit = "80%";
+ ManagedOOMMemoryPressureLimit = lib.mkDefault "80%";
};
systemd.slices."user-".sliceConfig = lib.mkIf cfg.enableUserSlices {
ManagedOOMMemoryPressure = "kill";
- ManagedOOMMemoryPressureLimit = "80%";
+ ManagedOOMMemoryPressureLimit = lib.mkDefault "80%";
};
systemd.user.units."slice" = lib.mkIf cfg.enableUserSlices {
text = ''
diff --git a/nixos/modules/system/boot/systemd/repart.nix b/nixos/modules/system/boot/systemd/repart.nix
index 3be744acd0b3..6cc387cb6f43 100644
--- a/nixos/modules/system/boot/systemd/repart.nix
+++ b/nixos/modules/system/boot/systemd/repart.nix
@@ -10,6 +10,20 @@ let
"repart.d"
format
(lib.mapAttrs (_n: v: { Partition = v; }) cfg.partitions);
+
+ partitionAssertions = lib.mapAttrsToList (fileName: definition:
+ let
+ maxLabelLength = 36; # GPT_LABEL_MAX defined in systemd's gpt.h
+ labelLength = builtins.stringLength definition.Label;
+ in
+ {
+ assertion = definition ? Label -> maxLabelLength >= labelLength;
+ message = ''
+ The partition label '${definition.Label}' defined for '${fileName}' is ${toString labelLength}
+ characters long, but the maximum label length supported by systemd is ${toString maxLabelLength}.
+ '';
+ }
+ ) cfg.partitions;
in
{
options = {
@@ -81,7 +95,7 @@ in
'boot.initrd.systemd.repart.enable' requires 'boot.initrd.systemd.enable' to be enabled.
'';
}
- ];
+ ] ++ partitionAssertions;
# systemd-repart uses loopback devices for partition creation
boot.initrd.availableKernelModules = lib.optional initrdCfg.enable "loop";
diff --git a/nixos/modules/system/boot/timesyncd.nix b/nixos/modules/system/boot/timesyncd.nix
index 2666e4cd6b28..ef17c1481abb 100644
--- a/nixos/modules/system/boot/timesyncd.nix
+++ b/nixos/modules/system/boot/timesyncd.nix
@@ -21,6 +21,9 @@ with lib;
type = types.listOf types.str;
description = lib.mdDoc ''
The set of NTP servers from which to synchronise.
+ Note if this is set to an empty list, the defaults systemd itself is
+ compiled with ({0..4}.nixos.pool.ntp.org) apply,
+ In case you want to disable timesyncd altogether, use the `enable` option.
'';
};
extraConfig = mkOption {
diff --git a/nixos/modules/system/boot/uki.nix b/nixos/modules/system/boot/uki.nix
index 63a7cbc5967b..c8d3c2f6605f 100644
--- a/nixos/modules/system/boot/uki.nix
+++ b/nixos/modules/system/boot/uki.nix
@@ -7,8 +7,6 @@ let
inherit (pkgs.stdenv.hostPlatform) efiArch;
format = pkgs.formats.ini { };
- ukifyConfig = format.generate "ukify.conf" cfg.settings;
-
in
{
@@ -27,6 +25,20 @@ in
description = lib.mdDoc "Version of the image or generation the UKI belongs to";
};
+ tries = lib.mkOption {
+ type = lib.types.nullOr lib.types.ints.unsigned;
+ default = null;
+ description = lib.mdDoc ''
+ Number of boot attempts before this UKI is considered bad.
+
+ If no tries are specified (the default) automatic boot assessment remains inactive.
+
+ See documentation on [Automatic Boot Assessment](https://systemd.io/AUTOMATIC_BOOT_ASSESSMENT/) and
+ [boot counting](https://uapi-group.org/specifications/specs/boot_loader_specification/#boot-counting)
+ for more information.
+ '';
+ };
+
settings = lib.mkOption {
type = format.type;
description = lib.mdDoc ''
@@ -34,6 +46,15 @@ in
contains and how it is built.
'';
};
+
+ configFile = lib.mkOption {
+ type = lib.types.path;
+ description = lib.mdDoc ''
+ The configuration file passed to {manpage}`ukify(1)` to create the UKI.
+
+ By default this configuration file is created from {option}`boot.uki.settings`.
+ '';
+ };
};
system.boot.loader.ukiFile = lib.mkOption {
@@ -61,21 +82,26 @@ in
OSRelease = lib.mkOptionDefault "@${config.system.build.etc}/etc/os-release";
# This is needed for cross compiling.
EFIArch = lib.mkOptionDefault efiArch;
+ } // lib.optionalAttrs (config.hardware.deviceTree.enable && config.hardware.deviceTree.name != null) {
+ DeviceTree = lib.mkOptionDefault "${config.hardware.deviceTree.package}/${config.hardware.deviceTree.name}";
};
};
+ boot.uki.configFile = lib.mkOptionDefault (format.generate "ukify.conf" cfg.settings);
+
system.boot.loader.ukiFile =
let
name = config.boot.uki.name;
version = config.boot.uki.version;
versionInfix = if version != null then "_${version}" else "";
+ triesInfix = if cfg.tries != null then "+${builtins.toString cfg.tries}" else "";
in
- name + versionInfix + ".efi";
+ name + versionInfix + triesInfix + ".efi";
system.build.uki = pkgs.runCommand config.system.boot.loader.ukiFile { } ''
mkdir -p $out
${pkgs.buildPackages.systemdUkify}/lib/systemd/ukify build \
- --config=${ukifyConfig} \
+ --config=${cfg.configFile} \
--output="$out/${config.system.boot.loader.ukiFile}"
'';
diff --git a/nixos/modules/system/etc/build-composefs-dump.py b/nixos/modules/system/etc/build-composefs-dump.py
index bf4ec791ecf7..bba454dd888d 100644
--- a/nixos/modules/system/etc/build-composefs-dump.py
+++ b/nixos/modules/system/etc/build-composefs-dump.py
@@ -199,7 +199,8 @@ def main() -> None:
size=os.stat(source).st_size,
filetype=FileType.file,
mode=mode,
- payload=target,
+ # payload needs to be relative path in this case
+ payload=target.lstrip("/"),
)
paths[target] = composefs_path
add_leading_directories(target, attrs, paths)
diff --git a/nixos/modules/system/etc/etc.nix b/nixos/modules/system/etc/etc.nix
index baf37ba6def3..9f735364196c 100644
--- a/nixos/modules/system/etc/etc.nix
+++ b/nixos/modules/system/etc/etc.nix
@@ -238,7 +238,9 @@ in
# this should not run because /etc is mounted via a systemd mount unit
# instead. To a large extent this mimics what composefs does. Because
# it's relatively simple, however, we avoid the composefs dependency.
- if [[ ! $IN_NIXOS_SYSTEMD_STAGE1 ]]; then
+ # Since this script is not idempotent, it should not run when etc hasn't
+ # changed.
+ if [[ ! $IN_NIXOS_SYSTEMD_STAGE1 ]] && [[ "${config.system.build.etc}/etc" != "$(readlink -f /run/current-system/etc)" ]]; then
echo "remounting /etc..."
tmpMetadataMount=$(mktemp --directory)
diff --git a/nixos/modules/tasks/filesystems.nix b/nixos/modules/tasks/filesystems.nix
index 1378a0090c1d..191b46271194 100644
--- a/nixos/modules/tasks/filesystems.nix
+++ b/nixos/modules/tasks/filesystems.nix
@@ -105,7 +105,7 @@ let
type = types.bool;
description = lib.mdDoc ''
If the device does not currently contain a filesystem (as
- determined by {command}`blkid`, then automatically
+ determined by {command}`blkid`), then automatically
format it with the filesystem type specified in
{option}`fsType`. Use with caution.
'';
@@ -246,10 +246,23 @@ in
};
boot.supportedFilesystems = mkOption {
- default = [ ];
- example = [ "btrfs" ];
- type = types.listOf types.str;
- description = lib.mdDoc "Names of supported filesystem types.";
+ default = { };
+ example = lib.literalExpression ''
+ {
+ btrfs = true;
+ zfs = lib.mkForce false;
+ }
+ '';
+ type = types.coercedTo
+ (types.listOf types.str)
+ (enabled: lib.listToAttrs (map (fs: lib.nameValuePair fs true) enabled))
+ (types.attrsOf types.bool);
+ description = lib.mdDoc ''
+ Names of supported filesystem types, or an attribute set of file system types
+ and their state. The set form may be used together with `lib.mkForce` to
+ explicitly disable support for specific filesystems, e.g. to disable ZFS
+ with an unsupported kernel.
+ '';
};
boot.specialFileSystems = mkOption {
diff --git a/nixos/modules/tasks/filesystems/apfs.nix b/nixos/modules/tasks/filesystems/apfs.nix
index 2f2be351df61..980a3ad0f9c4 100644
--- a/nixos/modules/tasks/filesystems/apfs.nix
+++ b/nixos/modules/tasks/filesystems/apfs.nix
@@ -4,12 +4,12 @@ with lib;
let
- inInitrd = any (fs: fs == "apfs") config.boot.initrd.supportedFilesystems;
+ inInitrd = config.boot.initrd.supportedFilesystems.apfs or false;
in
{
- config = mkIf (any (fs: fs == "apfs") config.boot.supportedFilesystems) {
+ config = mkIf (config.boot.supportedFilesystems.apfs or false) {
system.fsPackages = [ pkgs.apfsprogs ];
diff --git a/nixos/modules/tasks/filesystems/bcachefs.nix b/nixos/modules/tasks/filesystems/bcachefs.nix
index 3b990ce30b21..ba33edd702f7 100644
--- a/nixos/modules/tasks/filesystems/bcachefs.nix
+++ b/nixos/modules/tasks/filesystems/bcachefs.nix
@@ -118,7 +118,7 @@ let
in
{
- config = lib.mkIf (lib.elem "bcachefs" config.boot.supportedFilesystems) (lib.mkMerge [
+ config = lib.mkIf (config.boot.supportedFilesystems.bcachefs or false) (lib.mkMerge [
{
inherit assertions;
# needed for systemd-remount-fs
@@ -133,7 +133,7 @@ in
};
}
- (lib.mkIf ((lib.elem "bcachefs" config.boot.initrd.supportedFilesystems) || (bootFs != {})) {
+ (lib.mkIf ((config.boot.initrd.supportedFilesystems.bcachefs or false) || (bootFs != {})) {
inherit assertions;
# chacha20 and poly1305 are required only for decryption attempts
boot.initrd.availableKernelModules = [ "bcachefs" "sha256" "chacha20" "poly1305" ];
diff --git a/nixos/modules/tasks/filesystems/btrfs.nix b/nixos/modules/tasks/filesystems/btrfs.nix
index 87fe326c0974..8494a06f97a2 100644
--- a/nixos/modules/tasks/filesystems/btrfs.nix
+++ b/nixos/modules/tasks/filesystems/btrfs.nix
@@ -4,8 +4,8 @@ with lib;
let
- inInitrd = any (fs: fs == "btrfs") config.boot.initrd.supportedFilesystems;
- inSystem = any (fs: fs == "btrfs") config.boot.supportedFilesystems;
+ inInitrd = config.boot.initrd.supportedFilesystems.btrfs or false;
+ inSystem = config.boot.supportedFilesystems.btrfs or false;
cfgScrub = config.services.btrfs.autoScrub;
diff --git a/nixos/modules/tasks/filesystems/cifs.nix b/nixos/modules/tasks/filesystems/cifs.nix
index 837b9e19bfb9..5a562b2940f7 100644
--- a/nixos/modules/tasks/filesystems/cifs.nix
+++ b/nixos/modules/tasks/filesystems/cifs.nix
@@ -4,14 +4,14 @@ with lib;
let
- inInitrd = any (fs: fs == "cifs") config.boot.initrd.supportedFilesystems;
+ inInitrd = config.boot.initrd.supportedFilesystems.cifs or false;
in
{
config = {
- system.fsPackages = mkIf (any (fs: fs == "cifs") config.boot.supportedFilesystems) [ pkgs.cifs-utils ];
+ system.fsPackages = mkIf (config.boot.supportedFilesystems.cifs or false) [ pkgs.cifs-utils ];
boot.initrd.availableKernelModules = mkIf inInitrd
[ "cifs" "nls_utf8" "hmac" "md4" "ecb" "des_generic" "sha256" ];
diff --git a/nixos/modules/tasks/filesystems/ecryptfs.nix b/nixos/modules/tasks/filesystems/ecryptfs.nix
index 8138e6591610..f966a1be1536 100644
--- a/nixos/modules/tasks/filesystems/ecryptfs.nix
+++ b/nixos/modules/tasks/filesystems/ecryptfs.nix
@@ -4,7 +4,7 @@
with lib;
{
- config = mkIf (any (fs: fs == "ecryptfs") config.boot.supportedFilesystems) {
+ config = mkIf (config.boot.supportedFilesystems.ecryptfs or false) {
system.fsPackages = [ pkgs.ecryptfs ];
security.wrappers = {
"mount.ecryptfs_private" =
diff --git a/nixos/modules/tasks/filesystems/erofs.nix b/nixos/modules/tasks/filesystems/erofs.nix
index a3d657669350..b13fa2531557 100644
--- a/nixos/modules/tasks/filesystems/erofs.nix
+++ b/nixos/modules/tasks/filesystems/erofs.nix
@@ -2,8 +2,8 @@
let
- inInitrd = lib.any (fs: fs == "erofs") config.boot.initrd.supportedFilesystems;
- inSystem = lib.any (fs: fs == "erofs") config.boot.supportedFilesystems;
+ inInitrd = config.boot.initrd.supportedFilesystems.erofs or false;
+ inSystem = config.boot.supportedFilesystems.erofs or false;
in
diff --git a/nixos/modules/tasks/filesystems/exfat.nix b/nixos/modules/tasks/filesystems/exfat.nix
index 540b9b91c3ec..4011653c00df 100644
--- a/nixos/modules/tasks/filesystems/exfat.nix
+++ b/nixos/modules/tasks/filesystems/exfat.nix
@@ -3,7 +3,7 @@
with lib;
{
- config = mkIf (any (fs: fs == "exfat") config.boot.supportedFilesystems) {
+ config = mkIf (config.boot.supportedFilesystems.exfat or false) {
system.fsPackages = if config.boot.kernelPackages.kernelOlder "5.7" then [
pkgs.exfat # FUSE
] else [
diff --git a/nixos/modules/tasks/filesystems/ext.nix b/nixos/modules/tasks/filesystems/ext.nix
index 1c34ee2c7035..165fe9474c3e 100644
--- a/nixos/modules/tasks/filesystems/ext.nix
+++ b/nixos/modules/tasks/filesystems/ext.nix
@@ -2,8 +2,10 @@
let
- inInitrd = lib.any (fs: fs == "ext2" || fs == "ext3" || fs == "ext4") config.boot.initrd.supportedFilesystems;
- inSystem = lib.any (fs: fs == "ext2" || fs == "ext3" || fs == "ext4") config.boot.supportedFilesystems;
+ hasExtX = s: s.ext2 or s.ext3 or s.ext4 or false;
+
+ inInitrd = hasExtX config.boot.initrd.supportedFilesystems;
+ inSystem = hasExtX config.boot.supportedFilesystems;
in
diff --git a/nixos/modules/tasks/filesystems/f2fs.nix b/nixos/modules/tasks/filesystems/f2fs.nix
index 4f99f9a57fa6..f4f5fcab9cae 100644
--- a/nixos/modules/tasks/filesystems/f2fs.nix
+++ b/nixos/modules/tasks/filesystems/f2fs.nix
@@ -3,11 +3,10 @@
with lib;
let
- inInitrd = any (fs: fs == "f2fs") config.boot.initrd.supportedFilesystems;
- fileSystems = filter (x: x.fsType == "f2fs") config.system.build.fileSystems;
+ inInitrd = config.boot.initrd.supportedFilesystems.f2fs or false;
in
{
- config = mkIf (any (fs: fs == "f2fs") config.boot.supportedFilesystems) {
+ config = mkIf (config.boot.supportedFilesystems.f2fs or false) {
system.fsPackages = [ pkgs.f2fs-tools ];
diff --git a/nixos/modules/tasks/filesystems/glusterfs.nix b/nixos/modules/tasks/filesystems/glusterfs.nix
index e8c7fa8efbae..02ef95262dbd 100644
--- a/nixos/modules/tasks/filesystems/glusterfs.nix
+++ b/nixos/modules/tasks/filesystems/glusterfs.nix
@@ -3,7 +3,7 @@
with lib;
{
- config = mkIf (any (fs: fs == "glusterfs") config.boot.supportedFilesystems) {
+ config = mkIf (config.boot.supportedFilesystems.glusterfs or false) {
system.fsPackages = [ pkgs.glusterfs ];
diff --git a/nixos/modules/tasks/filesystems/jfs.nix b/nixos/modules/tasks/filesystems/jfs.nix
index b5132b4caa33..73ddb0fb18bb 100644
--- a/nixos/modules/tasks/filesystems/jfs.nix
+++ b/nixos/modules/tasks/filesystems/jfs.nix
@@ -3,10 +3,10 @@
with lib;
let
- inInitrd = any (fs: fs == "jfs") config.boot.initrd.supportedFilesystems;
+ inInitrd = config.boot.initrd.supportedFilesystems.jfs or false;
in
{
- config = mkIf (any (fs: fs == "jfs") config.boot.supportedFilesystems) {
+ config = mkIf (config.boot.supportedFilesystems.jfs or false) {
system.fsPackages = [ pkgs.jfsutils ];
diff --git a/nixos/modules/tasks/filesystems/nfs.nix b/nixos/modules/tasks/filesystems/nfs.nix
index 8c631f0772db..462568b5db3e 100644
--- a/nixos/modules/tasks/filesystems/nfs.nix
+++ b/nixos/modules/tasks/filesystems/nfs.nix
@@ -4,7 +4,7 @@ with lib;
let
- inInitrd = any (fs: fs == "nfs") config.boot.initrd.supportedFilesystems;
+ inInitrd = config.boot.initrd.supportedFilesystems.nfs or false;
nfsStateDir = "/var/lib/nfs";
@@ -58,7 +58,7 @@ in
###### implementation
- config = mkIf (any (fs: fs == "nfs" || fs == "nfs4") config.boot.supportedFilesystems) {
+ config = mkIf (config.boot.supportedFilesystems.nfs or config.boot.supportedFilesystems.nfs4 or false) {
services.rpcbind.enable = true;
diff --git a/nixos/modules/tasks/filesystems/ntfs.nix b/nixos/modules/tasks/filesystems/ntfs.nix
index c40d2a1a80bc..99ba494a7a39 100644
--- a/nixos/modules/tasks/filesystems/ntfs.nix
+++ b/nixos/modules/tasks/filesystems/ntfs.nix
@@ -3,7 +3,7 @@
with lib;
{
- config = mkIf (any (fs: fs == "ntfs" || fs == "ntfs-3g") config.boot.supportedFilesystems) {
+ config = mkIf (config.boot.supportedFilesystems.ntfs or config.boot.supportedFilesystems.ntfs-3g or false) {
system.fsPackages = [ pkgs.ntfs3g ];
diff --git a/nixos/modules/tasks/filesystems/reiserfs.nix b/nixos/modules/tasks/filesystems/reiserfs.nix
index 3c6a0f0cd917..f3f5e6aaa10b 100644
--- a/nixos/modules/tasks/filesystems/reiserfs.nix
+++ b/nixos/modules/tasks/filesystems/reiserfs.nix
@@ -4,12 +4,12 @@ with lib;
let
- inInitrd = any (fs: fs == "reiserfs") config.boot.initrd.supportedFilesystems;
+ inInitrd = config.boot.initrd.supportedFilesystems.reiserfs or false;
in
{
- config = mkIf (any (fs: fs == "reiserfs") config.boot.supportedFilesystems) {
+ config = mkIf (config.boot.supportedFilesystems.reiserfs or false) {
system.fsPackages = [ pkgs.reiserfsprogs ];
diff --git a/nixos/modules/tasks/filesystems/squashfs.nix b/nixos/modules/tasks/filesystems/squashfs.nix
index 10d45a21d3ca..a0fac904766a 100644
--- a/nixos/modules/tasks/filesystems/squashfs.nix
+++ b/nixos/modules/tasks/filesystems/squashfs.nix
@@ -2,7 +2,7 @@
let
- inInitrd = lib.any (fs: fs == "squashfs") config.boot.initrd.supportedFilesystems;
+ inInitrd = config.boot.initrd.supportedFilesystems.squashfs or false;
in
diff --git a/nixos/modules/tasks/filesystems/sshfs.nix b/nixos/modules/tasks/filesystems/sshfs.nix
index cd71dda16d8b..63ff7f2b6b39 100644
--- a/nixos/modules/tasks/filesystems/sshfs.nix
+++ b/nixos/modules/tasks/filesystems/sshfs.nix
@@ -1,7 +1,11 @@
{ config, lib, pkgs, ... }:
{
- config = lib.mkIf (lib.any (fs: fs == "sshfs" || fs == "fuse.sshfs") config.boot.supportedFilesystems) {
- system.fsPackages = [ pkgs.sshfs ];
- };
+ config = lib.mkIf
+ (config.boot.supportedFilesystems.sshfs
+ or config.boot.supportedFilesystems."fuse.sshfs"
+ or false)
+ {
+ system.fsPackages = [ pkgs.sshfs ];
+ };
}
diff --git a/nixos/modules/tasks/filesystems/unionfs-fuse.nix b/nixos/modules/tasks/filesystems/unionfs-fuse.nix
index f9954b5182f9..929454ff1529 100644
--- a/nixos/modules/tasks/filesystems/unionfs-fuse.nix
+++ b/nixos/modules/tasks/filesystems/unionfs-fuse.nix
@@ -3,7 +3,7 @@
{
config = lib.mkMerge [
- (lib.mkIf (lib.any (fs: fs == "unionfs-fuse") config.boot.initrd.supportedFilesystems) {
+ (lib.mkIf (config.boot.initrd.supportedFilesystems.unionfs-fuse or false) {
boot.initrd.kernelModules = [ "fuse" ];
boot.initrd.extraUtilsCommands = lib.mkIf (!config.boot.initrd.systemd.enable) ''
@@ -35,7 +35,7 @@
};
})
- (lib.mkIf (lib.any (fs: fs == "unionfs-fuse") config.boot.supportedFilesystems) {
+ (lib.mkIf (config.boot.supportedFilesystems.unionfs-fuse or false) {
system.fsPackages = [ pkgs.unionfs-fuse ];
})
diff --git a/nixos/modules/tasks/filesystems/vboxsf.nix b/nixos/modules/tasks/filesystems/vboxsf.nix
index 5497194f6a8d..00245b5af252 100644
--- a/nixos/modules/tasks/filesystems/vboxsf.nix
+++ b/nixos/modules/tasks/filesystems/vboxsf.nix
@@ -4,7 +4,7 @@ with lib;
let
- inInitrd = any (fs: fs == "vboxsf") config.boot.initrd.supportedFilesystems;
+ inInitrd = config.boot.initrd.supportedFilesystems.vboxsf or false;
package = pkgs.runCommand "mount.vboxsf" { preferLocalBuild = true; } ''
mkdir -p $out/bin
@@ -13,7 +13,7 @@ let
in
{
- config = mkIf (any (fs: fs == "vboxsf") config.boot.supportedFilesystems) {
+ config = mkIf (config.boot.supportedFilesystems.vboxsf or false) {
system.fsPackages = [ package ];
diff --git a/nixos/modules/tasks/filesystems/vfat.nix b/nixos/modules/tasks/filesystems/vfat.nix
index 9281b34633c2..d7acc0c9e50b 100644
--- a/nixos/modules/tasks/filesystems/vfat.nix
+++ b/nixos/modules/tasks/filesystems/vfat.nix
@@ -4,12 +4,12 @@ with lib;
let
- inInitrd = any (fs: fs == "vfat") config.boot.initrd.supportedFilesystems;
+ inInitrd = config.boot.initrd.supportedFilesystems.vfat or false;
in
{
- config = mkIf (any (fs: fs == "vfat") config.boot.supportedFilesystems) {
+ config = mkIf (config.boot.supportedFilesystems.vfat or false) {
system.fsPackages = [ pkgs.dosfstools pkgs.mtools ];
diff --git a/nixos/modules/tasks/filesystems/xfs.nix b/nixos/modules/tasks/filesystems/xfs.nix
index 76f31e660ad3..50dc1b3340aa 100644
--- a/nixos/modules/tasks/filesystems/xfs.nix
+++ b/nixos/modules/tasks/filesystems/xfs.nix
@@ -4,12 +4,12 @@ with lib;
let
- inInitrd = any (fs: fs == "xfs") config.boot.initrd.supportedFilesystems;
+ inInitrd = config.boot.initrd.supportedFilesystems.xfs or false;
in
{
- config = mkIf (any (fs: fs == "xfs") config.boot.supportedFilesystems) {
+ config = mkIf (config.boot.supportedFilesystems.xfs or false) {
system.fsPackages = [ pkgs.xfsprogs.bin ];
diff --git a/nixos/modules/tasks/filesystems/zfs.nix b/nixos/modules/tasks/filesystems/zfs.nix
index b289d2151eb7..d11424c11c81 100644
--- a/nixos/modules/tasks/filesystems/zfs.nix
+++ b/nixos/modules/tasks/filesystems/zfs.nix
@@ -20,8 +20,8 @@ let
clevisDatasets = map (e: e.device) (filter (e: e.device != null && (hasAttr e.device config.boot.initrd.clevis.devices) && e.fsType == "zfs" && (fsNeededForBoot e)) config.system.build.fileSystems);
- inInitrd = any (fs: fs == "zfs") config.boot.initrd.supportedFilesystems;
- inSystem = any (fs: fs == "zfs") config.boot.supportedFilesystems;
+ inInitrd = config.boot.initrd.supportedFilesystems.zfs or false;
+ inSystem = config.boot.supportedFilesystems.zfs or false;
autosnapPkg = pkgs.zfstools.override {
zfs = cfgZfs.package;
@@ -211,6 +211,7 @@ in
imports = [
(mkRemovedOptionModule [ "boot" "zfs" "enableLegacyCrypto" ] "The corresponding package was removed from nixpkgs.")
+ (mkRemovedOptionModule [ "boot" "zfs" "enableUnstable" ] "Instead set `boot.zfs.package = pkgs.zfs_unstable;`")
];
###### interface
@@ -219,9 +220,9 @@ in
boot.zfs = {
package = mkOption {
type = types.package;
- default = if cfgZfs.enableUnstable then pkgs.zfsUnstable else pkgs.zfs;
- defaultText = literalExpression "if zfsUnstable is enabled then pkgs.zfsUnstable else pkgs.zfs";
- description = lib.mdDoc "Configured ZFS userland tools package, use `pkgs.zfsUnstable` if you want to track the latest staging ZFS branch.";
+ default = pkgs.zfs;
+ defaultText = literalExpression "pkgs.zfs";
+ description = lib.mdDoc "Configured ZFS userland tools package, use `pkgs.zfs_unstable` if you want to track the latest staging ZFS branch.";
};
modulePackage = mkOption {
@@ -239,19 +240,6 @@ in
description = lib.mdDoc "True if ZFS filesystem support is enabled";
};
- enableUnstable = mkOption {
- type = types.bool;
- default = false;
- description = lib.mdDoc ''
- Use the unstable zfs package. This might be an option, if the latest
- kernel is not yet supported by a published release of ZFS. Enabling
- this option will install a development version of ZFS on Linux. The
- version will have already passed an extensive test suite, but it is
- more likely to hit an undiscovered bug compared to running a released
- version of ZFS on Linux.
- '';
- };
-
allowHibernation = mkOption {
type = types.bool;
default = false;
@@ -347,24 +335,12 @@ in
removeLinuxDRM = lib.mkOption {
type = types.bool;
default = false;
- description = lib.mdDoc ''
- Linux 6.2 dropped some kernel symbols required on aarch64 required by zfs.
- Enabling this option will bring them back to allow this kernel version.
- Note that in some jurisdictions this may be illegal as it might be considered
- removing copyright protection from the code.
- See https://www.ifross.org/?q=en/artikel/ongoing-dispute-over-value-exportsymbolgpl-function for further information.
+ description = ''
+ Patch the kernel to change symbols needed by ZFS from
+ EXPORT_SYMBOL_GPL to EXPORT_SYMBOL.
- If configure your kernel package with `zfs.latestCompatibleLinuxPackages`, you will need to also pass removeLinuxDRM to that package like this:
-
- ```
- { pkgs, ... }: {
- boot.kernelPackages = (pkgs.zfs.override {
- removeLinuxDRM = pkgs.hostPlatform.isAarch64;
- }).latestCompatibleLinuxPackages;
-
- boot.zfs.removeLinuxDRM = true;
- }
- ```
+ Currently has no effect, but may again in future if a kernel
+ update breaks ZFS due to symbols being newly changed to GPL.
'';
};
};
@@ -588,7 +564,7 @@ in
kernelParams = lib.optionals (!config.boot.zfs.allowHibernation) [ "nohibernate" ];
extraModulePackages = [
- (cfgZfs.modulePackage.override { inherit (cfgZfs) removeLinuxDRM; })
+ cfgZfs.modulePackage
];
};
@@ -725,21 +701,6 @@ in
services.udev.packages = [ cfgZfs.package ]; # to hook zvol naming, etc.
systemd.packages = [ cfgZfs.package ];
- # Export kernel_neon_* symbols again.
- # This change is necessary until ZFS figures out a solution
- # with upstream or in their build system to fill the gap for
- # this symbol.
- # In the meantime, we restore what was once a working piece of code
- # in the kernel.
- boot.kernelPatches = lib.optional (cfgZfs.removeLinuxDRM && pkgs.stdenv.hostPlatform.system == "aarch64-linux") {
- name = "export-neon-symbols-as-gpl";
- patch = pkgs.fetchpatch {
- url = "https://github.com/torvalds/linux/commit/aaeca98456431a8d9382ecf48ac4843e252c07b3.patch";
- hash = "sha256-L2g4G1tlWPIi/QRckMuHDcdWBcKpObSWSRTvbHRIwIk=";
- revert = true;
- };
- };
-
systemd.services = let
createImportService' = pool: createImportService {
inherit pool;
diff --git a/nixos/modules/testing/test-instrumentation.nix b/nixos/modules/testing/test-instrumentation.nix
index 6aa718c1975d..50a54a006415 100644
--- a/nixos/modules/testing/test-instrumentation.nix
+++ b/nixos/modules/testing/test-instrumentation.nix
@@ -42,8 +42,10 @@ let
# Otherwise we get errors on the terminal because bash tries to
# setup things like job control.
# Note: calling bash explicitly here instead of sh makes sure that
- # we can also run non-NixOS guests during tests.
- PS1= exec /usr/bin/env bash --norc /dev/hvc0
+ # we can also run non-NixOS guests during tests. This, however, is
+ # mostly futureproofing as the test instrumentation is still very
+ # tightly coupled to NixOS.
+ PS1= exec ${pkgs.coreutils}/bin/env bash --norc /dev/hvc0
'';
serviceConfig.KillSignal = "SIGHUP";
};
@@ -121,7 +123,9 @@ in
}
];
- contents."/usr/bin/env".source = "${pkgs.coreutils}/bin/env";
+ storePaths = [
+ "${pkgs.coreutils}/bin/env"
+ ];
})
];
diff --git a/nixos/modules/virtualisation/amazon-ec2-amis.nix b/nixos/modules/virtualisation/amazon-ec2-amis.nix
index ff88f02e5d33..97636f4dcb2a 100644
--- a/nixos/modules/virtualisation/amazon-ec2-amis.nix
+++ b/nixos/modules/virtualisation/amazon-ec2-amis.nix
@@ -1,3 +1,6 @@
+# NOTE: this file will stop being updated.
+# please use https://nixos.github.io/amis/ and https://nixos.github.io/amis/images.json
+# instead.
let self = {
"14.04".ap-northeast-1.x86_64-linux.hvm-ebs = "ami-71c6f470";
"14.04".ap-northeast-1.x86_64-linux.pv-ebs = "ami-4dcbf84c";
@@ -584,5 +587,68 @@ let self = {
"23.05".us-west-1.aarch64-linux.hvm-ebs = "ami-0e75c8f3deb1f842b";
"23.05".us-west-2.aarch64-linux.hvm-ebs = "ami-0d0979d889078d036";
- latest = self."23.05";
+
+ # 23.11.4976.79baff8812a0
+
+ "23.11".eu-north-1.x86_64-linux.hvm-ebs = "ami-00e64007071666b9e";
+ "23.11".ap-south-2.x86_64-linux.hvm-ebs = "ami-0bbb8e5663f912455";
+ "23.11".ap-south-1.x86_64-linux.hvm-ebs = "ami-057ec482a7bc9cb87";
+ "23.11".eu-south-1.x86_64-linux.hvm-ebs = "ami-083f9740e86ab36b9";
+ "23.11".eu-south-2.x86_64-linux.hvm-ebs = "ami-0686a9ef630e6eeef";
+ "23.11".me-central-1.x86_64-linux.hvm-ebs = "ami-0475d5925ff0390f8";
+ "23.11".il-central-1.x86_64-linux.hvm-ebs = "ami-0997e21a353de1226";
+ "23.11".ca-central-1.x86_64-linux.hvm-ebs = "ami-0f9fc310496ea54ec";
+ "23.11".eu-central-1.x86_64-linux.hvm-ebs = "ami-0923e79b6f9b198fa";
+ "23.11".eu-central-2.x86_64-linux.hvm-ebs = "ami-0b02e6421cde609ff";
+ "23.11".us-west-1.x86_64-linux.hvm-ebs = "ami-0e94c086e49480566";
+ "23.11".us-west-2.x86_64-linux.hvm-ebs = "ami-0a2f8942a90eb233a";
+ "23.11".af-south-1.x86_64-linux.hvm-ebs = "ami-0c9ff564e4a503c56";
+ "23.11".eu-west-3.x86_64-linux.hvm-ebs = "ami-0955d4d89c446d5ff";
+ "23.11".eu-west-2.x86_64-linux.hvm-ebs = "ami-0c5834d32e6dce6c8";
+ "23.11".eu-west-1.x86_64-linux.hvm-ebs = "ami-0e7d1823ac80520e6";
+ "23.11".ap-northeast-3.x86_64-linux.hvm-ebs = "ami-06b3692ef87ef308a";
+ "23.11".ap-northeast-2.x86_64-linux.hvm-ebs = "ami-0fb1e23007bdc6083";
+ "23.11".me-south-1.x86_64-linux.hvm-ebs = "ami-0a4beeb2fc744c149";
+ "23.11".ap-northeast-1.x86_64-linux.hvm-ebs = "ami-0f60ab2288ac784c3";
+ "23.11".sa-east-1.x86_64-linux.hvm-ebs = "ami-058779e1d5c1cc6ae";
+ "23.11".ap-east-1.x86_64-linux.hvm-ebs = "ami-039879f1b367e167f";
+ "23.11".ca-west-1.x86_64-linux.hvm-ebs = "ami-07dc9bc5645a981f1";
+ "23.11".ap-southeast-1.x86_64-linux.hvm-ebs = "ami-0ee92af1fc4c4e5f3";
+ "23.11".ap-southeast-2.x86_64-linux.hvm-ebs = "ami-0814092ef52275887";
+ "23.11".ap-southeast-3.x86_64-linux.hvm-ebs = "ami-0d20b4b6529b6214c";
+ "23.11".ap-southeast-4.x86_64-linux.hvm-ebs = "ami-0aa7b8aa04e5ec741";
+ "23.11".us-east-1.x86_64-linux.hvm-ebs = "ami-0c2e37dc938ed9405";
+ "23.11".us-east-2.x86_64-linux.hvm-ebs = "ami-05c218c4a08c58296";
+
+ "23.11".eu-north-1.aarch64-linux.hvm-ebs = "ami-05e68ac90786e5ac0";
+ "23.11".ap-south-2.aarch64-linux.hvm-ebs = "ami-0a53356d3176a82af";
+ "23.11".ap-south-1.aarch64-linux.hvm-ebs = "ami-0cc335be202b53954";
+ "23.11".eu-south-1.aarch64-linux.hvm-ebs = "ami-05d387849385390c0";
+ "23.11".eu-south-2.aarch64-linux.hvm-ebs = "ami-0193deb9aa4b398bb";
+ "23.11".me-central-1.aarch64-linux.hvm-ebs = "ami-05bd96550451e131d";
+ "23.11".il-central-1.aarch64-linux.hvm-ebs = "ami-0264204c502a16f49";
+ "23.11".ca-central-1.aarch64-linux.hvm-ebs = "ami-08345537f80791b3c";
+ "23.11".eu-central-1.aarch64-linux.hvm-ebs = "ami-03b257130b2b01000";
+ "23.11".eu-central-2.aarch64-linux.hvm-ebs = "ami-0b4412d8a4d3fb7ae";
+ "23.11".us-west-1.aarch64-linux.hvm-ebs = "ami-0a2d70cd7a3b03e24";
+ "23.11".us-west-2.aarch64-linux.hvm-ebs = "ami-01b8e062c74311de0";
+ "23.11".af-south-1.aarch64-linux.hvm-ebs = "ami-011c296fee301596b";
+ "23.11".eu-west-3.aarch64-linux.hvm-ebs = "ami-024531ee8546d7ec7";
+ "23.11".eu-west-2.aarch64-linux.hvm-ebs = "ami-0a5dda5cf7e00b39b";
+ "23.11".eu-west-1.aarch64-linux.hvm-ebs = "ami-013f7a51b602dec7d";
+ "23.11".ap-northeast-3.aarch64-linux.hvm-ebs = "ami-0220fc0e06979c6ff";
+ "23.11".ap-northeast-2.aarch64-linux.hvm-ebs = "ami-0978048539bbad573";
+ "23.11".me-south-1.aarch64-linux.hvm-ebs = "ami-02057e1a9c901b506";
+ "23.11".ap-northeast-1.aarch64-linux.hvm-ebs = "ami-05616e07e227f9982";
+ "23.11".sa-east-1.aarch64-linux.hvm-ebs = "ami-0bf5255283cec803b";
+ "23.11".ap-east-1.aarch64-linux.hvm-ebs = "ami-00432470e52956e49";
+ "23.11".ca-west-1.aarch64-linux.hvm-ebs = "ami-0675649785473e1ac";
+ "23.11".ap-southeast-1.aarch64-linux.hvm-ebs = "ami-006494f0fa381ba53";
+ "23.11".ap-southeast-2.aarch64-linux.hvm-ebs = "ami-0d8c15d68266d6881";
+ "23.11".ap-southeast-3.aarch64-linux.hvm-ebs = "ami-0db38da4ed78d2a10";
+ "23.11".ap-southeast-4.aarch64-linux.hvm-ebs = "ami-098b3b942486b5e71";
+ "23.11".us-east-1.aarch64-linux.hvm-ebs = "ami-02d1d66bda50b9036";
+ "23.11".us-east-2.aarch64-linux.hvm-ebs = "ami-0aa62457617706386";
+
+ latest = self."23.11";
}; in self
diff --git a/nixos/modules/virtualisation/amazon-image.nix b/nixos/modules/virtualisation/amazon-image.nix
index c7fe1bed5159..77730178422c 100644
--- a/nixos/modules/virtualisation/amazon-image.nix
+++ b/nixos/modules/virtualisation/amazon-image.nix
@@ -79,6 +79,10 @@ in
serviceConfig.StandardOutput = "journal+console";
};
+ # Amazon-issued AMIs include the SSM Agent by default, so we do the same.
+ # https://docs.aws.amazon.com/systems-manager/latest/userguide/ami-preinstalled-agent.html
+ services.amazon-ssm-agent.enable = true;
+
# Allow root logins only using the SSH key that the user specified
# at instance creation time.
services.openssh.enable = true;
diff --git a/nixos/modules/virtualisation/containers.nix b/nixos/modules/virtualisation/containers.nix
index 3e33cabf2660..b3d81078eb34 100644
--- a/nixos/modules/virtualisation/containers.nix
+++ b/nixos/modules/virtualisation/containers.nix
@@ -28,6 +28,43 @@ in
description = lib.mdDoc "Enable the OCI seccomp BPF hook";
};
+ cdi = {
+ dynamic.nvidia.enable = mkOption {
+ type = types.bool;
+ default = false;
+ description = lib.mdDoc ''
+ Enable dynamic CDI configuration for NVidia devices by running nvidia-container-toolkit on boot.
+ '';
+ };
+
+ static = mkOption {
+ type = types.attrs;
+ default = { };
+ description = lib.mdDoc ''
+ Declarative CDI specification. Each key of the attribute set
+ will be mapped to a file in /etc/cdi. It is required for every
+ key to be provided in JSON format.
+ '';
+ example = {
+ some-vendor = builtins.fromJSON ''
+ {
+ "cdiVersion": "0.5.0",
+ "kind": "some-vendor.com/foo",
+ "devices": [],
+ "containerEdits": []
+ }
+ '';
+
+ some-other-vendor = {
+ cdiVersion = "0.5.0";
+ kind = "some-other-vendor.com/bar";
+ devices = [];
+ containerEdits = [];
+ };
+ };
+ };
+ };
+
containersConf.settings = mkOption {
type = toml.type;
default = { };
@@ -113,6 +150,8 @@ in
config = lib.mkIf cfg.enable {
+ hardware.nvidia-container-toolkit-cdi-generator.enable = lib.mkIf cfg.cdi.dynamic.nvidia.enable true;
+
virtualisation.containers.containersConf.cniPlugins = [ pkgs.cni-plugins ];
virtualisation.containers.containersConf.settings = {
@@ -124,19 +163,28 @@ in
};
};
- environment.etc."containers/containers.conf".source =
- toml.generate "containers.conf" cfg.containersConf.settings;
+ environment.etc = let
+ cdiStaticConfigurationFiles = (lib.attrsets.mapAttrs'
+ (name: value:
+ lib.attrsets.nameValuePair "cdi/${name}.json"
+ { text = builtins.toJSON value; })
+ cfg.cdi.static);
+ in {
+ "containers/containers.conf".source =
+ toml.generate "containers.conf" cfg.containersConf.settings;
- environment.etc."containers/storage.conf".source =
- toml.generate "storage.conf" cfg.storage.settings;
+ "containers/storage.conf".source =
+ toml.generate "storage.conf" cfg.storage.settings;
- environment.etc."containers/registries.conf".source = toml.generate "registries.conf" {
- registries = lib.mapAttrs (n: v: { registries = v; }) cfg.registries;
- };
+ "containers/registries.conf".source = toml.generate "registries.conf" {
+ registries = lib.mapAttrs (n: v: { registries = v; }) cfg.registries;
+ };
+
+ "containers/policy.json".source =
+ if cfg.policy != { } then pkgs.writeText "policy.json" (builtins.toJSON cfg.policy)
+ else "${pkgs.skopeo.policy}/default-policy.json";
+ } // cdiStaticConfigurationFiles;
- environment.etc."containers/policy.json".source =
- if cfg.policy != { } then pkgs.writeText "policy.json" (builtins.toJSON cfg.policy)
- else "${pkgs.skopeo.policy}/default-policy.json";
};
}
diff --git a/nixos/modules/virtualisation/cri-o.nix b/nixos/modules/virtualisation/cri-o.nix
index dacd700537c7..417cf516c7f4 100644
--- a/nixos/modules/virtualisation/cri-o.nix
+++ b/nixos/modules/virtualisation/cri-o.nix
@@ -6,7 +6,7 @@ let
crioPackage = pkgs.cri-o.override {
extraPackages = cfg.extraPackages
- ++ lib.optional (builtins.elem "zfs" config.boot.supportedFilesystems) config.boot.zfs.package;
+ ++ lib.optional (config.boot.supportedFilesystems.zfs or false) config.boot.zfs.package;
};
format = pkgs.formats.toml { };
diff --git a/nixos/modules/virtualisation/docker.nix b/nixos/modules/virtualisation/docker.nix
index d4d34d13a94d..cceb186e0b36 100644
--- a/nixos/modules/virtualisation/docker.nix
+++ b/nixos/modules/virtualisation/docker.nix
@@ -72,6 +72,8 @@ in
type = types.bool;
default = false;
description = lib.mdDoc ''
+ **Deprecated**, please use virtualisation.containers.cdi.dynamic.nvidia.enable instead.
+
Enable nvidia-docker wrapper, supporting NVIDIA GPUs inside docker containers.
'';
};
@@ -185,6 +187,16 @@ in
users.groups.docker.gid = config.ids.gids.docker;
systemd.packages = [ cfg.package ];
+ # Docker 25.0.0 supports CDI by default
+ # (https://docs.docker.com/engine/release-notes/25.0/#new). Encourage
+ # moving to CDI as opposed to having deprecated runtime
+ # wrappers.
+ warnings = lib.optionals (cfg.enableNvidia && (lib.strings.versionAtLeast cfg.package.version "25")) [
+ ''
+ You have set virtualisation.docker.enableNvidia. This option is deprecated, please set virtualisation.containers.cdi.dynamic.nvidia.enable instead.
+ ''
+ ];
+
systemd.services.docker = {
wantedBy = optional cfg.enableOnBoot "multi-user.target";
after = [ "network.target" "docker.socket" ];
diff --git a/nixos/modules/virtualisation/hyperv-image.nix b/nixos/modules/virtualisation/hyperv-image.nix
index efaea0c110d2..fddff7bf1c69 100644
--- a/nixos/modules/virtualisation/hyperv-image.nix
+++ b/nixos/modules/virtualisation/hyperv-image.nix
@@ -60,7 +60,6 @@ in {
boot.growPartition = true;
boot.loader.grub = {
- version = 2;
device = "nodev";
efiSupport = true;
efiInstallAsRemovable = true;
diff --git a/nixos/modules/virtualisation/incus.nix b/nixos/modules/virtualisation/incus.nix
index bbe5b48b95bb..da7873c7bec8 100644
--- a/nixos/modules/virtualisation/incus.nix
+++ b/nixos/modules/virtualisation/incus.nix
@@ -97,10 +97,23 @@ in
considered failed and systemd will attempt to restart it.
'';
};
+
+ ui = {
+ enable = lib.mkEnableOption (lib.mdDoc "(experimental) Incus UI");
+
+ package = lib.mkPackageOption pkgs [ "incus" "ui" ] { };
+ };
};
};
config = lib.mkIf cfg.enable {
+ assertions = [
+ {
+ assertion = !(config.networking.firewall.enable && !config.networking.nftables.enable && config.virtualisation.incus.enable);
+ message = "Incus on NixOS is unsupported using iptables. Set `networking.nftables.enable = true;`";
+ }
+ ];
+
# https://github.com/lxc/incus/blob/f145309929f849b9951658ad2ba3b8f10cbe69d1/doc/reference/server_settings.md
boot.kernel.sysctl = {
"fs.aio-max-nr" = lib.mkDefault 524288;
@@ -151,24 +164,31 @@ in
"network-online.target"
"lxcfs.service"
"incus.socket"
- ];
+ ]
+ ++ lib.optional config.virtualisation.vswitch.enable "ovs-vswitchd.service";
+
requires = [
"lxcfs.service"
"incus.socket"
- ];
+ ]
+ ++ lib.optional config.virtualisation.vswitch.enable "ovs-vswitchd.service";
+
wants = [
"network-online.target"
];
- path = lib.mkIf config.boot.zfs.enabled [
+ path = lib.optionals config.boot.zfs.enabled [
config.boot.zfs.package
"${config.boot.zfs.package}/lib/udev"
- ];
+ ]
+ ++ lib.optional config.virtualisation.vswitch.enable config.virtualisation.vswitch.package;
- environment = {
+ environment = lib.mkMerge [ {
# Override Path to the LXC template configuration directory
INCUS_LXC_TEMPLATE_CONFIG = "${pkgs.lxcfs}/share/lxc/config";
- };
+ } (lib.mkIf (cfg.ui.enable) {
+ "INCUS_UI" = cfg.ui.package;
+ }) ];
serviceConfig = {
ExecStart = "${cfg.package}/bin/incusd --group incus-admin";
diff --git a/nixos/modules/virtualisation/linode-config.nix b/nixos/modules/virtualisation/linode-config.nix
index bbf81bda9c02..209bff57ea8b 100644
--- a/nixos/modules/virtualisation/linode-config.nix
+++ b/nixos/modules/virtualisation/linode-config.nix
@@ -59,7 +59,6 @@ with lib;
grub = {
enable = true;
- version = 2;
forceInstall = true;
device = "nodev";
diff --git a/nixos/modules/virtualisation/lxc-container.nix b/nixos/modules/virtualisation/lxc-container.nix
index 8d3a480e6dc8..95e3083ff9ed 100644
--- a/nixos/modules/virtualisation/lxc-container.nix
+++ b/nixos/modules/virtualisation/lxc-container.nix
@@ -14,7 +14,9 @@
options = { };
- config = {
+ config = let
+ initScript = if config.boot.initrd.systemd.enable then "prepare-root" else "init";
+ in {
boot.isContainer = true;
boot.postBootCommands =
''
@@ -41,7 +43,7 @@
contents = [
{
- source = config.system.build.toplevel + "/init";
+ source = config.system.build.toplevel + "/${initScript}";
target = "/sbin/init";
}
# Technically this is not required for lxc, but having also make this configuration work with systemd-nspawn.
@@ -65,7 +67,7 @@
pseudoFiles = [
"/sbin d 0755 0 0"
- "/sbin/init s 0555 0 0 ${config.system.build.toplevel}/init"
+ "/sbin/init s 0555 0 0 ${config.system.build.toplevel}/${initScript}"
"/dev d 0755 0 0"
"/proc d 0555 0 0"
"/sys d 0555 0 0"
@@ -74,7 +76,7 @@
system.build.installBootLoader = pkgs.writeScript "install-lxd-sbin-init.sh" ''
#!${pkgs.runtimeShell}
- ${pkgs.coreutils}/bin/ln -fs "$1/init" /sbin/init
+ ${pkgs.coreutils}/bin/ln -fs "$1/${initScript}" /sbin/init
'';
# networkd depends on this, but systemd module disables this for containers
@@ -83,7 +85,7 @@
systemd.packages = [ pkgs.distrobuilder.generator ];
system.activationScripts.installInitScript = lib.mkForce ''
- ln -fs $systemConfig/init /sbin/init
+ ln -fs $systemConfig/${initScript} /sbin/init
'';
};
}
diff --git a/nixos/modules/virtualisation/nixos-containers.nix b/nixos/modules/virtualisation/nixos-containers.nix
index d4fa707b2dd5..5db3a336f85d 100644
--- a/nixos/modules/virtualisation/nixos-containers.nix
+++ b/nixos/modules/virtualisation/nixos-containers.nix
@@ -509,6 +509,12 @@ in
for details).
'';
}
+ {
+ assertion = !lib.strings.hasInfix "_" name;
+ message = ''
+ Names containing underscores are not allowed in nixos-containers. Please rename the container '${name}'
+ '';
+ }
];
};
};
diff --git a/nixos/modules/virtualisation/oci-containers.nix b/nixos/modules/virtualisation/oci-containers.nix
index b6a7b1154c4a..5bffb3f04716 100644
--- a/nixos/modules/virtualisation/oci-containers.nix
+++ b/nixos/modules/virtualisation/oci-containers.nix
@@ -252,10 +252,13 @@ let
text = ''
${cfg.backend} rm -f ${name} || true
${optionalString (isValidLogin container.login) ''
+ # try logging in, if it fails, check if image exists locally
${cfg.backend} login \
${container.login.registry} \
--username ${container.login.username} \
- --password-stdin < ${container.login.passwordFile}
+ --password-stdin < ${container.login.passwordFile} \
+ || ${cfg.backend} image inspect ${container.image} >/dev/null \
+ || { echo "image doesn't exist locally and login failed" >&2 ; exit 1; }
''}
${optionalString (container.imageFile != null) ''
${cfg.backend} load -i ${container.imageFile}
@@ -309,7 +312,7 @@ let
preStop = if cfg.backend == "podman"
then "podman stop --ignore --cidfile=/run/podman-${escapedName}.ctr-id"
- else "${cfg.backend} stop ${name}";
+ else "${cfg.backend} stop ${name} || true";
postStop = if cfg.backend == "podman"
then "podman rm -f --ignore --cidfile=/run/podman-${escapedName}.ctr-id"
diff --git a/nixos/modules/virtualisation/podman/default.nix b/nixos/modules/virtualisation/podman/default.nix
index 47382f9beab0..a97739054216 100644
--- a/nixos/modules/virtualisation/podman/default.nix
+++ b/nixos/modules/virtualisation/podman/default.nix
@@ -9,7 +9,7 @@ let
extraPackages = cfg.extraPackages
# setuid shadow
++ [ "/run/wrappers" ]
- ++ lib.optional (builtins.elem "zfs" config.boot.supportedFilesystems) config.boot.zfs.package;
+ ++ lib.optional (config.boot.supportedFilesystems.zfs or false) config.boot.zfs.package;
});
# Provides a fake "docker" binary mapping to podman
@@ -82,6 +82,8 @@ in
type = types.bool;
default = false;
description = lib.mdDoc ''
+ **Deprecated**, please use virtualisation.containers.cdi.dynamic.nvidia.enable instead.
+
Enable use of NVidia GPUs from within podman containers.
'';
};
@@ -166,6 +168,12 @@ in
inherit (networkConfig) dns_enabled network_interface;
in
lib.mkIf cfg.enable {
+ warnings = lib.optionals cfg.enableNvidia [
+ ''
+ You have set virtualisation.podman.enableNvidia. This option is deprecated, please set virtualisation.containers.cdi.dynamic.nvidia.enable instead.
+ ''
+ ];
+
environment.systemPackages = [ cfg.package ]
++ lib.optional cfg.dockerCompat dockerCompat;
@@ -208,9 +216,11 @@ in
requires = [ "podman.service" ];
};
+ systemd.services.podman.environment = config.networking.proxy.envVars;
systemd.sockets.podman.wantedBy = [ "sockets.target" ];
systemd.sockets.podman.socketConfig.SocketGroup = "podman";
+ systemd.user.services.podman.environment = config.networking.proxy.envVars;
systemd.user.sockets.podman.wantedBy = [ "sockets.target" ];
systemd.timers.podman-prune.timerConfig = lib.mkIf cfg.autoPrune.enable {
diff --git a/nixos/modules/virtualisation/qemu-vm.nix b/nixos/modules/virtualisation/qemu-vm.nix
index 55a214325118..b5a8b08eee70 100644
--- a/nixos/modules/virtualisation/qemu-vm.nix
+++ b/nixos/modules/virtualisation/qemu-vm.nix
@@ -1183,6 +1183,10 @@ in
"-tpmdev emulator,id=tpm_dev_0,chardev=chrtpm"
"-device ${cfg.tpm.deviceModel},tpmdev=tpm_dev_0"
])
+ (mkIf (pkgs.stdenv.hostPlatform.isx86 && cfg.efi.OVMF.systemManagementModeRequired) [
+ "-machine" "q35,smm=on"
+ "-global" "driver=cfi.pflash01,property=secure,value=on"
+ ])
];
virtualisation.qemu.drives = mkMerge [
diff --git a/nixos/modules/virtualisation/virtualbox-host.nix b/nixos/modules/virtualisation/virtualbox-host.nix
index 50a8f8189590..0ecf7f490cf6 100644
--- a/nixos/modules/virtualisation/virtualbox-host.nix
+++ b/nixos/modules/virtualisation/virtualbox-host.nix
@@ -6,7 +6,7 @@ let
cfg = config.virtualisation.virtualbox.host;
virtualbox = cfg.package.override {
- inherit (cfg) enableHardening headless enableWebService;
+ inherit (cfg) enableHardening headless enableWebService enableKvm;
extensionPack = if cfg.enableExtensionPack then pkgs.virtualboxExtpack else null;
};
@@ -81,13 +81,24 @@ in
Build VirtualBox web service tool (vboxwebsrv) to allow managing VMs via other webpage frontend tools. Useful for headless servers.
'';
};
+
+ enableKvm = mkOption {
+ type = types.bool;
+ default = false;
+ description = lib.mdDoc ''
+ Enable KVM support for VirtualBox. This increases compatibility with Linux kernel versions, because the VirtualBox kernel modules
+ are not required.
+
+ This option is incompatible with `enableHardening` and `addNetworkInterface`.
+
+ Note: This is experimental. Please check https://github.com/cyberus-technology/virtualbox-kvm/issues.
+ '';
+ };
};
config = mkIf cfg.enable (mkMerge [{
warnings = mkIf (pkgs.config.virtualbox.enableExtensionPack or false)
["'nixpkgs.virtualbox.enableExtensionPack' has no effect, please use 'virtualisation.virtualbox.host.enableExtensionPack'"];
- boot.kernelModules = [ "vboxdrv" "vboxnetadp" "vboxnetflt" ];
- boot.extraModulePackages = [ kernelModules ];
environment.systemPackages = [ virtualbox ];
security.wrappers = let
@@ -114,17 +125,43 @@ in
services.udev.extraRules =
''
- KERNEL=="vboxdrv", OWNER="root", GROUP="vboxusers", MODE="0660", TAG+="systemd"
- KERNEL=="vboxdrvu", OWNER="root", GROUP="root", MODE="0666", TAG+="systemd"
- KERNEL=="vboxnetctl", OWNER="root", GROUP="vboxusers", MODE="0660", TAG+="systemd"
SUBSYSTEM=="usb_device", ACTION=="add", RUN+="${virtualbox}/libexec/virtualbox/VBoxCreateUSBNode.sh $major $minor $attr{bDeviceClass}"
SUBSYSTEM=="usb", ACTION=="add", ENV{DEVTYPE}=="usb_device", RUN+="${virtualbox}/libexec/virtualbox/VBoxCreateUSBNode.sh $major $minor $attr{bDeviceClass}"
SUBSYSTEM=="usb_device", ACTION=="remove", RUN+="${virtualbox}/libexec/virtualbox/VBoxCreateUSBNode.sh --remove $major $minor"
SUBSYSTEM=="usb", ACTION=="remove", ENV{DEVTYPE}=="usb_device", RUN+="${virtualbox}/libexec/virtualbox/VBoxCreateUSBNode.sh --remove $major $minor"
'';
+ } (mkIf cfg.enableKvm {
+ assertions = [
+ {
+ assertion = !cfg.addNetworkInterface;
+ message = "VirtualBox KVM only supports standard NAT networking for VMs. Please turn off virtualisation.virtualbox.host.addNetworkInferface.";
+ }
+
+ {
+ assertion = !cfg.enableHardening;
+ message = "VirtualBox KVM is not compatible with hardening: Please turn off virtualisation.virtualbox.host.enableHardening.";
+ }
+ ];
+
+ warnings = [
+ ''
+ KVM support in VirtualBox is experimental. Not all security features are available yet.
+ See: https://github.com/cyberus-technology/virtualbox-kvm/issues/12
+ ''
+ ];
+ }) (mkIf (!cfg.enableKvm) {
+ boot.kernelModules = [ "vboxdrv" "vboxnetadp" "vboxnetflt" ];
+ boot.extraModulePackages = [ kernelModules ];
+
+ services.udev.extraRules =
+ ''
+ KERNEL=="vboxdrv", OWNER="root", GROUP="vboxusers", MODE="0660", TAG+="systemd"
+ KERNEL=="vboxdrvu", OWNER="root", GROUP="root", MODE="0666", TAG+="systemd"
+ KERNEL=="vboxnetctl", OWNER="root", GROUP="vboxusers", MODE="0660", TAG+="systemd"
+ '';
# Since we lack the right setuid/setcap binaries, set up a host-only network by default.
- } (mkIf cfg.addNetworkInterface {
+ }) (mkIf cfg.addNetworkInterface {
systemd.services.vboxnet0 =
{ description = "VirtualBox vboxnet0 Interface";
requires = [ "dev-vboxnetctl.device" ];
diff --git a/nixos/modules/virtualisation/vmware-image.nix b/nixos/modules/virtualisation/vmware-image.nix
index a38713b4d4ee..3674b37d0b97 100644
--- a/nixos/modules/virtualisation/vmware-image.nix
+++ b/nixos/modules/virtualisation/vmware-image.nix
@@ -80,7 +80,6 @@ in {
boot.growPartition = true;
boot.loader.grub = {
- version = 2;
device = "nodev";
efiSupport = true;
efiInstallAsRemovable = true;
diff --git a/nixos/release-combined.nix b/nixos/release-combined.nix
index 7700441b1d6b..459700514ffc 100644
--- a/nixos/release-combined.nix
+++ b/nixos/release-combined.nix
@@ -142,7 +142,6 @@ in rec {
(onFullSupported "nixos.tests.networking.networkd.virtual")
(onFullSupported "nixos.tests.networking.networkd.vlan")
(onFullSupported "nixos.tests.systemd-networkd-ipv6-prefix-delegation")
- (onFullSupported "nixos.tests.nfs3.simple")
(onFullSupported "nixos.tests.nfs4.simple")
(onSystems ["x86_64-linux"] "nixos.tests.oci-containers.podman")
(onFullSupported "nixos.tests.openssh")
diff --git a/nixos/release-small.nix b/nixos/release-small.nix
index 6204dc731ad9..cac20b63925f 100644
--- a/nixos/release-small.nix
+++ b/nixos/release-small.nix
@@ -43,7 +43,7 @@ in rec {
login
misc
nat
- nfs3
+ nfs4
openssh
php
predictable-interface-names
@@ -125,7 +125,7 @@ in rec {
"nixos.tests.misc"
"nixos.tests.nat.firewall"
"nixos.tests.nat.standalone"
- "nixos.tests.nfs3.simple"
+ "nixos.tests.nfs4.simple"
"nixos.tests.openssh"
"nixos.tests.php.fpm"
"nixos.tests.php.pcre"
diff --git a/nixos/release.nix b/nixos/release.nix
index 2acc5ade7848..ff60b0b79f6d 100644
--- a/nixos/release.nix
+++ b/nixos/release.nix
@@ -177,6 +177,12 @@ in rec {
inherit system;
});
+ iso_plasma6 = forMatchingSystems supportedSystems (system: makeIso {
+ module = ./modules/installer/cd-dvd/installation-cd-graphical-calamares-plasma6.nix;
+ type = "plasma6";
+ inherit system;
+ });
+
iso_gnome = forMatchingSystems supportedSystems (system: makeIso {
module = ./modules/installer/cd-dvd/installation-cd-graphical-calamares-gnome.nix;
type = "gnome";
diff --git a/nixos/tests/acme.nix b/nixos/tests/acme.nix
index 272782dc2f62..d63a77fcdd23 100644
--- a/nixos/tests/acme.nix
+++ b/nixos/tests/acme.nix
@@ -1,4 +1,7 @@
-{ pkgs, lib, ... }: let
+{ config, lib, ... }: let
+
+ pkgs = config.node.pkgs;
+
commonConfig = ./common/acme/client;
dnsServerIP = nodes: nodes.dnsserver.networking.primaryIPAddress;
diff --git a/nixos/tests/activation/etc-overlay-immutable.nix b/nixos/tests/activation/etc-overlay-immutable.nix
index 70c3623b929c..f347f9cf8efe 100644
--- a/nixos/tests/activation/etc-overlay-immutable.nix
+++ b/nixos/tests/activation/etc-overlay-immutable.nix
@@ -20,11 +20,17 @@
};
testScript = ''
- machine.succeed("findmnt --kernel --type overlay /etc")
- machine.fail("stat /etc/newgen")
+ with subtest("/etc is mounted as an overlay"):
+ machine.succeed("findmnt --kernel --type overlay /etc")
- machine.succeed("/run/current-system/specialisation/new-generation/bin/switch-to-configuration switch")
+ with subtest("switching to the same generation"):
+ machine.succeed("/run/current-system/bin/switch-to-configuration test")
- assert machine.succeed("cat /etc/newgen") == "newgen"
+ with subtest("switching to a new generation"):
+ machine.fail("stat /etc/newgen")
+
+ machine.succeed("/run/current-system/specialisation/new-generation/bin/switch-to-configuration switch")
+
+ assert machine.succeed("cat /etc/newgen") == "newgen"
'';
}
diff --git a/nixos/tests/activation/etc-overlay-mutable.nix b/nixos/tests/activation/etc-overlay-mutable.nix
index cfe7604fceb8..087c06408a71 100644
--- a/nixos/tests/activation/etc-overlay-mutable.nix
+++ b/nixos/tests/activation/etc-overlay-mutable.nix
@@ -18,13 +18,19 @@
};
testScript = ''
- machine.succeed("findmnt --kernel --type overlay /etc")
- machine.fail("stat /etc/newgen")
- machine.succeed("echo -n 'mutable' > /etc/mutable")
+ with subtest("/etc is mounted as an overlay"):
+ machine.succeed("findmnt --kernel --type overlay /etc")
- machine.succeed("/run/current-system/specialisation/new-generation/bin/switch-to-configuration switch")
+ with subtest("switching to the same generation"):
+ machine.succeed("/run/current-system/bin/switch-to-configuration test")
- assert machine.succeed("cat /etc/newgen") == "newgen"
- assert machine.succeed("cat /etc/mutable") == "mutable"
+ with subtest("switching to a new generation"):
+ machine.fail("stat /etc/newgen")
+ machine.succeed("echo -n 'mutable' > /etc/mutable")
+
+ machine.succeed("/run/current-system/specialisation/new-generation/bin/switch-to-configuration switch")
+
+ assert machine.succeed("cat /etc/newgen") == "newgen"
+ assert machine.succeed("cat /etc/mutable") == "mutable"
'';
}
diff --git a/nixos/tests/akkoma.nix b/nixos/tests/akkoma.nix
index 287e2d485999..2907017ee3d5 100644
--- a/nixos/tests/akkoma.nix
+++ b/nixos/tests/akkoma.nix
@@ -31,16 +31,12 @@ let
export REQUESTS_CA_BUNDLE="/etc/ssl/certs/ca-certificates.crt"
- echo '${userPassword}' | ${pkgs.toot}/bin/toot login_cli -i "akkoma.nixos.test" -e "jamy@nixos.test"
- echo "y" | ${pkgs.toot}/bin/toot post "hello world Jamy here"
-
- # Retrieving timeline with toot currently broken due to incompatible timestamp format
- # cf. and
- #echo "y" | ${pkgs.toot}/bin/toot timeline | grep -F -q "hello world Jamy here"
+ ${pkgs.toot}/bin/toot login_cli -i "akkoma.nixos.test" -e "jamy@nixos.test" -p '${userPassword}'
+ ${pkgs.toot}/bin/toot post "hello world Jamy here"
+ ${pkgs.toot}/bin/toot timeline -1 | grep -F -q "hello world Jamy here"
# Test file upload
- echo "y" | ${pkgs.toot}/bin/toot upload <(dd if=/dev/zero bs=1024 count=1024 status=none) \
- | grep -F -q "https://akkoma.nixos.test/media"
+ ${pkgs.toot}/bin/toot upload <(dd if=/dev/zero bs=1024 count=1024 status=none)
'';
checkFe = pkgs.writers.writeBashBin "checkFe" ''
diff --git a/nixos/tests/all-tests.nix b/nixos/tests/all-tests.nix
index 31af6ec64214..2c08fdba6c98 100644
--- a/nixos/tests/all-tests.nix
+++ b/nixos/tests/all-tests.nix
@@ -78,8 +78,9 @@ let
# it with `allowAliases = false`?
# warnIf pkgs.config.allowAliases "nixosTests: pkgs includes aliases."
{
+ _file = "${__curPos.file} readOnlyPkgs";
_class = "nixosTest";
- node.pkgs = pkgs;
+ node.pkgs = pkgs.pkgsLinux;
};
in {
@@ -128,6 +129,7 @@ in {
appliance-repart-image = runTest ./appliance-repart-image.nix;
apparmor = handleTest ./apparmor.nix {};
archi = handleTest ./archi.nix {};
+ armagetronad = handleTest ./armagetronad.nix {};
atd = handleTest ./atd.nix {};
atop = handleTest ./atop.nix {};
atuin = handleTest ./atuin.nix {};
@@ -288,8 +290,8 @@ in {
activation-etc-overlay-mutable = runTest ./activation/etc-overlay-mutable.nix;
activation-etc-overlay-immutable = runTest ./activation/etc-overlay-immutable.nix;
activation-perlless = runTest ./activation/perlless.nix;
- etcd = handleTestOn ["x86_64-linux"] ./etcd.nix {};
- etcd-cluster = handleTestOn ["x86_64-linux"] ./etcd-cluster.nix {};
+ etcd = handleTestOn [ "aarch64-linux" "x86_64-linux" ] ./etcd/etcd.nix {};
+ etcd-cluster = handleTestOn [ "aarch64-linux" "x86_64-linux" ] ./etcd/etcd-cluster.nix {};
etebase-server = handleTest ./etebase-server.nix {};
etesync-dav = handleTest ./etesync-dav.nix {};
evcc = handleTest ./evcc.nix {};
@@ -462,7 +464,7 @@ in {
keymap = handleTest ./keymap.nix {};
knot = handleTest ./knot.nix {};
komga = handleTest ./komga.nix {};
- krb5 = discoverTests (import ./krb5 {});
+ krb5 = discoverTests (import ./krb5);
ksm = handleTest ./ksm.nix {};
kthxbye = handleTest ./kthxbye.nix {};
kubernetes = handleTestOn ["x86_64-linux"] ./kubernetes {};
@@ -497,6 +499,7 @@ in {
lxd = pkgs.recurseIntoAttrs (handleTest ./lxd { inherit handleTestOn; });
lxd-image-server = handleTest ./lxd-image-server.nix {};
#logstash = handleTest ./logstash.nix {};
+ lomiri-system-settings = handleTest ./lomiri-system-settings.nix {};
lorri = handleTest ./lorri/default.nix {};
maddy = discoverTests (import ./maddy { inherit handleTest; });
maestral = handleTest ./maestral.nix {};
@@ -510,12 +513,15 @@ in {
mastodon = discoverTests (import ./web-apps/mastodon { inherit handleTestOn; });
pixelfed = discoverTests (import ./web-apps/pixelfed { inherit handleTestOn; });
mate = handleTest ./mate.nix {};
+ mate-wayland = handleTest ./mate-wayland.nix {};
+ matter-server = handleTest ./matter-server.nix {};
matomo = handleTest ./matomo.nix {};
matrix-appservice-irc = handleTest ./matrix/appservice-irc.nix {};
matrix-conduit = handleTest ./matrix/conduit.nix {};
matrix-synapse = handleTest ./matrix/synapse.nix {};
matrix-synapse-workers = handleTest ./matrix/synapse-workers.nix {};
mattermost = handleTest ./mattermost.nix {};
+ mealie = handleTest ./mealie.nix {};
mediamtx = handleTest ./mediamtx.nix {};
mediatomb = handleTest ./mediatomb.nix {};
mediawiki = handleTest ./mediawiki.nix {};
@@ -523,6 +529,7 @@ in {
memcached = handleTest ./memcached.nix {};
merecat = handleTest ./merecat.nix {};
metabase = handleTest ./metabase.nix {};
+ mihomo = handleTest ./mihomo.nix {};
mindustry = handleTest ./mindustry.nix {};
minecraft = handleTest ./minecraft.nix {};
minecraft-server = handleTest ./minecraft-server.nix {};
@@ -535,10 +542,12 @@ in {
mobilizon = handleTest ./mobilizon.nix {};
mod_perl = handleTest ./mod_perl.nix {};
molly-brown = handleTest ./molly-brown.nix {};
+ monado = handleTest ./monado.nix {};
monica = handleTest ./web-apps/monica.nix {};
mongodb = handleTest ./mongodb.nix {};
moodle = handleTest ./moodle.nix {};
moonraker = handleTest ./moonraker.nix {};
+ morph-browser = handleTest ./morph-browser.nix { };
morty = handleTest ./morty.nix {};
mosquitto = handleTest ./mosquitto.nix {};
moosefs = handleTest ./moosefs.nix {};
@@ -553,6 +562,7 @@ in {
munin = handleTest ./munin.nix {};
mutableUsers = handleTest ./mutable-users.nix {};
mxisd = handleTest ./mxisd.nix {};
+ mycelium = handleTest ./mycelium {};
mympd = handleTest ./mympd.nix {};
mysql = handleTest ./mysql/mysql.nix {};
mysql-autobackup = handleTest ./mysql/mysql-autobackup.nix {};
@@ -572,6 +582,7 @@ in {
ndppd = handleTest ./ndppd.nix {};
nebula = handleTest ./nebula.nix {};
netbird = handleTest ./netbird.nix {};
+ nimdow = handleTest ./nimdow.nix {};
neo4j = handleTest ./neo4j.nix {};
netdata = handleTest ./netdata.nix {};
networking.networkd = handleTest ./networking.nix { networkd = true; };
@@ -606,6 +617,7 @@ in {
nginx-variants = handleTest ./nginx-variants.nix {};
nifi = handleTestOn ["x86_64-linux"] ./web-apps/nifi.nix {};
nitter = handleTest ./nitter.nix {};
+ nix-config = handleTest ./nix-config.nix {};
nix-ld = handleTest ./nix-ld.nix {};
nix-serve = handleTest ./nix-serve.nix {};
nix-serve-ssh = handleTest ./nix-serve-ssh.nix {};
@@ -633,6 +645,7 @@ in {
nzbget = handleTest ./nzbget.nix {};
nzbhydra2 = handleTest ./nzbhydra2.nix {};
oh-my-zsh = handleTest ./oh-my-zsh.nix {};
+ ollama = handleTest ./ollama.nix {};
ombi = handleTest ./ombi.nix {};
openarena = handleTest ./openarena.nix {};
openldap = handleTest ./openldap.nix {};
@@ -675,10 +688,12 @@ in {
peering-manager = handleTest ./web-apps/peering-manager.nix {};
peertube = handleTestOn ["x86_64-linux"] ./web-apps/peertube.nix {};
peroxide = handleTest ./peroxide.nix {};
+ pg_anonymizer = handleTest ./pg_anonymizer.nix {};
pgadmin4 = handleTest ./pgadmin4.nix {};
pgbouncer = handleTest ./pgbouncer.nix {};
pgjwt = handleTest ./pgjwt.nix {};
pgmanage = handleTest ./pgmanage.nix {};
+ pgvecto-rs = handleTest ./pgvecto-rs.nix {};
phosh = handleTest ./phosh.nix {};
photoprism = handleTest ./photoprism.nix {};
php = handleTest ./php {};
@@ -691,6 +706,7 @@ in {
plantuml-server = handleTest ./plantuml-server.nix {};
plasma-bigscreen = handleTest ./plasma-bigscreen.nix {};
plasma5 = handleTest ./plasma5.nix {};
+ plasma6 = handleTest ./plasma6.nix {};
plasma5-systemd-start = handleTest ./plasma5-systemd-start.nix {};
plausible = handleTest ./plausible.nix {};
please = handleTest ./please.nix {};
@@ -717,6 +733,7 @@ in {
pppd = handleTest ./pppd.nix {};
predictable-interface-names = handleTest ./predictable-interface-names.nix {};
pretalx = runTest ./web-apps/pretalx.nix;
+ pretix = runTest ./web-apps/pretix.nix;
printing-socket = handleTest ./printing.nix { socket = true; };
printing-service = handleTest ./printing.nix { socket = false; };
privoxy = handleTest ./privoxy.nix {};
@@ -771,6 +788,7 @@ in {
sanoid = handleTest ./sanoid.nix {};
scaphandre = handleTest ./scaphandre.nix {};
schleuder = handleTest ./schleuder.nix {};
+ scrutiny = handleTest ./scrutiny.nix {};
sddm = handleTest ./sddm.nix {};
seafile = handleTest ./seafile.nix {};
searx = handleTest ./searx.nix {};
@@ -799,7 +817,7 @@ in {
solanum = handleTest ./solanum.nix {};
sonarr = handleTest ./sonarr.nix {};
sonic-server = handleTest ./sonic-server.nix {};
- sourcehut = handleTest ./sourcehut.nix {};
+ sourcehut = handleTest ./sourcehut {};
spacecookie = handleTest ./spacecookie.nix {};
spark = handleTestOn [ "x86_64-linux" "aarch64-linux" ] ./spark {};
sqlite3-to-mysql = handleTest ./sqlite3-to-mysql.nix {};
@@ -909,6 +927,7 @@ in {
tor = handleTest ./tor.nix {};
traefik = handleTestOn ["aarch64-linux" "x86_64-linux"] ./traefik.nix {};
trafficserver = handleTest ./trafficserver.nix {};
+ transfer-sh = handleTest ./transfer-sh.nix {};
transmission = handleTest ./transmission.nix { transmission = pkgs.transmission; };
transmission_4 = handleTest ./transmission.nix { transmission = pkgs.transmission_4; };
# tracee requires bpf
diff --git a/nixos/tests/armagetronad.nix b/nixos/tests/armagetronad.nix
new file mode 100644
index 000000000000..ff2841dedd21
--- /dev/null
+++ b/nixos/tests/armagetronad.nix
@@ -0,0 +1,272 @@
+import ./make-test-python.nix ({ pkgs, ...} :
+
+let
+ user = "alice";
+
+ client =
+ { pkgs, ... }:
+
+ { imports = [ ./common/user-account.nix ./common/x11.nix ];
+ hardware.opengl.driSupport = true;
+ virtualisation.memorySize = 256;
+ environment = {
+ systemPackages = [ pkgs.armagetronad ];
+ variables.XAUTHORITY = "/home/${user}/.Xauthority";
+ };
+ test-support.displayManager.auto.user = user;
+ };
+
+in {
+ name = "armagetronad";
+ meta = with pkgs.lib.maintainers; {
+ maintainers = [ numinit ];
+ };
+
+ enableOCR = true;
+
+ nodes =
+ {
+ server = {
+ services.armagetronad.servers = {
+ high-rubber = {
+ enable = true;
+ name = "Smoke Test High Rubber Server";
+ port = 4534;
+ settings = {
+ SERVER_OPTIONS = "High Rubber server made to run smoke tests.";
+ CYCLE_RUBBER = 40;
+ SIZE_FACTOR = 0.5;
+ };
+ roundSettings = {
+ SAY = [
+ "NixOS Smoke Test Server"
+ "https://nixos.org"
+ ];
+ };
+ };
+ sty = {
+ enable = true;
+ name = "Smoke Test sty+ct+ap Server";
+ package = pkgs.armagetronad."0.2.9-sty+ct+ap".dedicated;
+ port = 4535;
+ settings = {
+ SERVER_OPTIONS = "sty+ct+ap server made to run smoke tests.";
+ CYCLE_RUBBER = 20;
+ SIZE_FACTOR = 0.5;
+ };
+ roundSettings = {
+ SAY = [
+ "NixOS Smoke Test sty+ct+ap Server"
+ "https://nixos.org"
+ ];
+ };
+ };
+ trunk = {
+ enable = true;
+ name = "Smoke Test trunk Server";
+ package = pkgs.armagetronad."0.4".dedicated;
+ port = 4536;
+ settings = {
+ SERVER_OPTIONS = "0.4 server made to run smoke tests.";
+ CYCLE_RUBBER = 20;
+ SIZE_FACTOR = 0.5;
+ };
+ roundSettings = {
+ SAY = [
+ "NixOS Smoke Test 0.4 Server"
+ "https://nixos.org"
+ ];
+ };
+ };
+ };
+ };
+
+ client1 = client;
+ client2 = client;
+ };
+
+ testScript = let
+ xdo = name: text: let
+ xdoScript = pkgs.writeText "${name}.xdo" text;
+ in "${pkgs.xdotool}/bin/xdotool ${xdoScript}";
+ in
+ ''
+ import shlex
+ import threading
+ from collections import namedtuple
+
+ class Client(namedtuple('Client', ('node', 'name'))):
+ def send(self, *keys):
+ for key in keys:
+ self.node.send_key(key)
+
+ def send_on(self, text, *keys):
+ self.node.wait_for_text(text)
+ self.send(*keys)
+
+ Server = namedtuple('Server', ('node', 'name', 'address', 'port', 'welcome', 'attacker', 'victim', 'coredump_delay'))
+
+ # Clients and their in-game names
+ clients = (
+ Client(client1, 'Arduino'),
+ Client(client2, 'SmOoThIcE')
+ )
+
+ # Server configs.
+ servers = (
+ Server(server, 'high-rubber', 'server', 4534, 'NixOS Smoke Test Server', 'SmOoThIcE', 'Arduino', 8),
+ Server(server, 'sty', 'server', 4535, 'NixOS Smoke Test sty+ct+ap Server', 'Arduino', 'SmOoThIcE', 8),
+ Server(server, 'trunk', 'server', 4536, 'NixOS Smoke Test 0.4 Server', 'Arduino', 'SmOoThIcE', 8)
+ )
+
+ """
+ Runs a command as the client user.
+ """
+ def run(cmd):
+ return "su - ${user} -c " + shlex.quote(cmd)
+
+ screenshot_idx = 1
+
+ """
+ Takes screenshots on all clients.
+ """
+ def take_screenshots(screenshot_idx):
+ for client in clients:
+ client.node.screenshot(f"screen_{client.name}_{screenshot_idx}")
+ return screenshot_idx + 1
+
+ # Wait for the servers to come up.
+ start_all()
+ for srv in servers:
+ srv.node.wait_for_unit(f"armagetronad-{srv.name}")
+ srv.node.wait_until_succeeds(f"ss --numeric --udp --listening | grep -q {srv.port}")
+
+ # Make sure console commands work through the named pipe we created.
+ for srv in servers:
+ srv.node.succeed(
+ f"echo 'say Testing!' >> /var/lib/armagetronad/{srv.name}/input"
+ )
+ srv.node.succeed(
+ f"echo 'say Testing again!' >> /var/lib/armagetronad/{srv.name}/input"
+ )
+ srv.node.wait_until_succeeds(
+ f"journalctl -u armagetronad-{srv.name} -e | grep -q 'Admin: Testing!'"
+ )
+ srv.node.wait_until_succeeds(
+ f"journalctl -u armagetronad-{srv.name} -e | grep -q 'Admin: Testing again!'"
+ )
+
+ """
+ Sets up a client, waiting for the given barrier on completion.
+ """
+ def client_setup(client, servers, barrier):
+ client.node.wait_for_x()
+
+ # Configure Armagetron.
+ client.node.succeed(
+ run("mkdir -p ~/.armagetronad/var"),
+ run(f"echo 'PLAYER_1 {client.name}' >> ~/.armagetronad/var/autoexec.cfg")
+ )
+ for idx, srv in enumerate(servers):
+ client.node.succeed(
+ run(f"echo 'BOOKMARK_{idx+1}_ADDRESS {srv.address}' >> ~/.armagetronad/var/autoexec.cfg"),
+ run(f"echo 'BOOKMARK_{idx+1}_NAME {srv.name}' >> ~/.armagetronad/var/autoexec.cfg"),
+ run(f"echo 'BOOKMARK_{idx+1}_PORT {srv.port}' >> ~/.armagetronad/var/autoexec.cfg")
+ )
+
+ # Start Armagetron.
+ client.node.succeed(run("ulimit -c unlimited; armagetronad >&2 & disown"))
+ client.node.wait_until_succeeds(
+ run(
+ "${xdo "create_new_win-select_main_window" ''
+ search --onlyvisible --name "Armagetron Advanced"
+ windowfocus --sync
+ windowactivate --sync
+ ''}"
+ )
+ )
+
+ # Get through the tutorial.
+ client.send_on('Language Settings', 'ret')
+ client.send_on('First Setup', 'ret')
+ client.send_on('Welcome to Armagetron Advanced', 'ret')
+ client.send_on('round 1', 'esc')
+ client.send_on('Menu', 'up', 'up', 'ret')
+ client.send_on('We hope you', 'ret')
+ client.send_on('Armagetron Advanced', 'ret')
+ client.send_on('Play Game', 'ret')
+
+ # Online > LAN > Network Setup > Mates > Server Bookmarks
+ client.send_on('Multiplayer', 'down', 'down', 'down', 'down', 'ret')
+
+ barrier.wait()
+
+ # Get to the Server Bookmarks screen on both clients. This takes a while so do it asynchronously.
+ barrier = threading.Barrier(3, timeout=120)
+ for client in clients:
+ threading.Thread(target=client_setup, args=(client, servers, barrier)).start()
+ barrier.wait()
+
+ # Main testing loop. Iterates through each server bookmark and connects to them in sequence.
+ # Assumes that the game is currently on the Server Bookmarks screen.
+ for srv in servers:
+ screenshot_idx = take_screenshots(screenshot_idx)
+
+ # Connect both clients at once, one second apart.
+ for client in clients:
+ client.send('ret')
+ client.node.sleep(1)
+
+ # Wait for clients to connect
+ for client in clients:
+ srv.node.wait_until_succeeds(
+ f"journalctl -u armagetronad-{srv.name} -e | grep -q '{client.name}.*entered the game'"
+ )
+
+ # Wait for the match to start
+ srv.node.wait_until_succeeds(
+ f"journalctl -u armagetronad-{srv.name} -e | grep -q 'Admin: {srv.welcome}'"
+ )
+ srv.node.wait_until_succeeds(
+ f"journalctl -u armagetronad-{srv.name} -e | grep -q 'Admin: https://nixos.org'"
+ )
+ srv.node.wait_until_succeeds(
+ f"journalctl -u armagetronad-{srv.name} -e | grep -q 'Go (round 1 of 10)'"
+ )
+
+ # Wait a bit
+ srv.node.sleep(srv.coredump_delay)
+
+ # Turn the attacker player's lightcycle left
+ attacker = next(client for client in clients if client.name == srv.attacker)
+ victim = next(client for client in clients if client.name == srv.victim)
+ attacker.send('left')
+ screenshot_idx = take_screenshots(screenshot_idx)
+
+ # Wait for coredump.
+ srv.node.wait_until_succeeds(
+ f"journalctl -u armagetronad-{srv.name} -e | grep -q '{attacker.name} core dumped {victim.name}'"
+ )
+ screenshot_idx = take_screenshots(screenshot_idx)
+
+ # Disconnect both clients from the server
+ for client in clients:
+ client.send('esc')
+ client.send_on('Menu', 'up', 'up', 'ret')
+ srv.node.wait_until_succeeds(
+ f"journalctl -u armagetronad-{srv.name} -e | grep -q '{client.name}.*left the game'"
+ )
+
+ # Next server.
+ for client in clients:
+ client.send_on('Server Bookmarks', 'down')
+
+ # Stop the servers
+ for srv in servers:
+ srv.node.succeed(
+ f"systemctl stop armagetronad-{srv.name}"
+ )
+ srv.node.wait_until_fails(f"ss --numeric --udp --listening | grep -q {srv.port}")
+ '';
+
+})
diff --git a/nixos/tests/boot.nix b/nixos/tests/boot.nix
index ec2a9f6527c9..56f72dddf526 100644
--- a/nixos/tests/boot.nix
+++ b/nixos/tests/boot.nix
@@ -4,10 +4,41 @@
}:
with import ../lib/testing-python.nix { inherit system pkgs; };
-with pkgs.lib;
let
- qemu-common = import ../lib/qemu-common.nix { inherit (pkgs) lib pkgs; };
+ lib = pkgs.lib;
+ qemu-common = import ../lib/qemu-common.nix { inherit lib pkgs; };
+
+ mkStartCommand = {
+ memory ? 2048,
+ cdrom ? null,
+ usb ? null,
+ pxe ? null,
+ uboot ? false,
+ uefi ? false,
+ extraFlags ? [],
+ }: let
+ qemu = qemu-common.qemuBinary pkgs.qemu_test;
+
+ flags = [
+ "-m" (toString memory)
+ "-netdev" ("user,id=net0" + (lib.optionalString (pxe != null) ",tftp=${pxe},bootfile=netboot.ipxe"))
+ "-device" ("virtio-net-pci,netdev=net0" + (lib.optionalString (pxe != null && uefi) ",romfile=${pkgs.ipxe}/ipxe.efirom"))
+ ] ++ lib.optionals (cdrom != null) [
+ "-cdrom" cdrom
+ ] ++ lib.optionals (usb != null) [
+ "-device" "usb-ehci"
+ "-drive" "id=usbdisk,file=${usb},if=none,readonly"
+ "-device" "usb-storage,drive=usbdisk"
+ ] ++ lib.optionals (pxe != null) [
+ "-boot" "order=n"
+ ] ++ lib.optionals uefi [
+ "-drive" "if=pflash,format=raw,unit=0,readonly=on,file=${pkgs.OVMF.firmware}"
+ "-drive" "if=pflash,format=raw,unit=1,readonly=on,file=${pkgs.OVMF.variables}"
+ ] ++ extraFlags;
+
+ flagsStr = lib.concatStringsSep " " flags;
+ in "${qemu} ${flagsStr}";
iso =
(import ../lib/eval-config.nix {
@@ -28,21 +59,16 @@ let
];
}).config.system.build.sdImage;
- pythonDict = params: "\n {\n ${concatStringsSep ",\n " (mapAttrsToList (name: param: "\"${name}\": \"${param}\"") params)},\n }\n";
-
- makeBootTest = name: extraConfig:
+ makeBootTest = name: config:
let
- machineConfig = pythonDict ({
- qemuBinary = qemu-common.qemuBinary pkgs.qemu_test;
- qemuFlags = "-m 768";
- } // extraConfig);
+ startCommand = mkStartCommand config;
in
makeTest {
name = "boot-" + name;
nodes = { };
testScript =
''
- machine = create_machine(${machineConfig})
+ machine = create_machine("${startCommand}")
machine.start()
machine.wait_for_unit("multi-user.target")
machine.succeed("nix store verify --no-trust -r --option experimental-features nix-command /run/current-system")
@@ -73,43 +99,35 @@ let
config.system.build.netbootIpxeScript
];
};
- machineConfig = pythonDict ({
- qemuBinary = qemu-common.qemuBinary pkgs.qemu_test;
- qemuFlags = "-boot order=n -m 2000";
- netBackendArgs = "tftp=${ipxeBootDir},bootfile=netboot.ipxe";
+ startCommand = mkStartCommand ({
+ pxe = ipxeBootDir;
} // extraConfig);
in
makeTest {
name = "boot-netboot-" + name;
nodes = { };
testScript = ''
- machine = create_machine(${machineConfig})
+ machine = create_machine("${startCommand}")
machine.start()
machine.wait_for_unit("multi-user.target")
machine.shutdown()
'';
};
- uefiBinary = {
- x86_64-linux = "${pkgs.OVMF.fd}/FV/OVMF.fd";
- aarch64-linux = "${pkgs.OVMF.fd}/FV/QEMU_EFI.fd";
- }.${pkgs.stdenv.hostPlatform.system};
in {
uefiCdrom = makeBootTest "uefi-cdrom" {
+ uefi = true;
cdrom = "${iso}/iso/${iso.isoName}";
- bios = uefiBinary;
};
uefiUsb = makeBootTest "uefi-usb" {
+ uefi = true;
usb = "${iso}/iso/${iso.isoName}";
- bios = uefiBinary;
};
uefiNetboot = makeNetbootTest "uefi" {
- bios = uefiBinary;
- # Custom ROM is needed for EFI PXE boot. I failed to understand exactly why, because QEMU should still use iPXE for EFI.
- netFrontendArgs = "romfile=${pkgs.ipxe}/ipxe.efirom";
+ uefi = true;
};
-} // optionalAttrs (pkgs.stdenv.hostPlatform.system == "x86_64-linux") {
+} // lib.optionalAttrs (pkgs.stdenv.hostPlatform.system == "x86_64-linux") {
biosCdrom = makeBootTest "bios-cdrom" {
cdrom = "${iso}/iso/${iso.isoName}";
};
@@ -124,9 +142,12 @@ in {
sdImage = "${sd}/sd-image/${sd.imageName}";
mutableImage = "/tmp/linked-image.qcow2";
- machineConfig = pythonDict {
- bios = "${pkgs.ubootQemuX86}/u-boot.rom";
- qemuFlags = "-m 768 -machine type=pc,accel=tcg -drive file=${mutableImage},if=ide,format=qcow2";
+ startCommand = mkStartCommand {
+ extraFlags = [
+ "-bios" "${pkgs.ubootQemuX86}/u-boot.rom"
+ "-machine" "type=pc,accel=tcg"
+ "-drive" "file=${mutableImage},if=virtio"
+ ];
};
in makeTest {
name = "boot-uboot-extlinux";
@@ -138,11 +159,14 @@ in {
if os.system("qemu-img create -f qcow2 -F raw -b ${sdImage} ${mutableImage}") != 0:
raise RuntimeError("Could not create mutable linked image")
- machine = create_machine(${machineConfig})
+ machine = create_machine("${startCommand}")
machine.start()
machine.wait_for_unit("multi-user.target")
machine.succeed("nix store verify -r --no-trust --option experimental-features nix-command /run/current-system")
machine.shutdown()
'';
+
+ # kernel can't find rootfs after boot - investigate?
+ meta.broken = true;
};
}
diff --git a/nixos/tests/budgie.nix b/nixos/tests/budgie.nix
index fe0ed2cf80ed..5228e869b056 100644
--- a/nixos/tests/budgie.nix
+++ b/nixos/tests/budgie.nix
@@ -1,7 +1,7 @@
import ./make-test-python.nix ({ pkgs, lib, ... }: {
name = "budgie";
- meta.maintainers = [ lib.maintainers.federicoschonborn ];
+ meta.maintainers = lib.teams.budgie.members;
nodes.machine = { ... }: {
imports = [
@@ -29,6 +29,8 @@ import ./make-test-python.nix ({ pkgs, lib, ... }: {
testScript = { nodes, ... }:
let
user = nodes.machine.users.users.alice;
+ env = "DBUS_SESSION_BUS_ADDRESS=unix:path=/run/user/${toString user.uid}/bus DISPLAY=:0";
+ su = command: "su - ${user.name} -c '${env} ${command}'";
in
''
with subtest("Wait for login"):
@@ -47,21 +49,46 @@ import ./make-test-python.nix ({ pkgs, lib, ... }: {
machine.succeed("getfacl -p /dev/snd/timer | grep -q ${user.name}")
with subtest("Check if Budgie session components actually start"):
- machine.wait_until_succeeds("pgrep budgie-daemon")
- machine.wait_for_window("budgie-daemon")
- machine.wait_until_succeeds("pgrep budgie-panel")
- machine.wait_for_window("budgie-panel")
- # We don't check xwininfo for this one.
+ for i in ["budgie-daemon", "budgie-panel", "budgie-wm", "budgie-desktop-view", "gsd-media-keys"]:
+ machine.wait_until_succeeds(f"pgrep -f {i}")
+ # We don't check xwininfo for budgie-wm.
# See https://github.com/NixOS/nixpkgs/pull/216737#discussion_r1155312754
- machine.wait_until_succeeds("pgrep budgie-wm")
+ machine.wait_for_window("budgie-daemon")
+ machine.wait_for_window("budgie-panel")
- with subtest("Open MATE terminal"):
- machine.succeed("su - ${user.name} -c 'DISPLAY=:0 mate-terminal >&2 &'")
- machine.wait_for_window("Terminal")
+ with subtest("Check if various environment variables are set"):
+ cmd = "xargs --null --max-args=1 echo < /proc/$(pgrep -xf /run/current-system/sw/bin/budgie-wm)/environ"
+ machine.succeed(f"{cmd} | grep 'XDG_CURRENT_DESKTOP' | grep 'Budgie:GNOME'")
+ machine.succeed(f"{cmd} | grep 'BUDGIE_PLUGIN_DATADIR' | grep '${pkgs.budgie.budgie-desktop-with-plugins.pname}'")
- with subtest("Check if budgie-wm has ever coredumped"):
- machine.fail("coredumpctl --json=short | grep budgie-wm")
- machine.sleep(20)
+ with subtest("Open run dialog"):
+ machine.send_key("alt-f2")
+ machine.wait_for_window("budgie-run-dialog")
+ machine.sleep(2)
+ machine.screenshot("run_dialog")
+ machine.send_key("esc")
+
+ with subtest("Open Budgie Control Center"):
+ machine.succeed("${su "budgie-control-center >&2 &"}")
+ machine.wait_for_window("Budgie Control Center")
+
+ with subtest("Lock the screen"):
+ machine.succeed("${su "budgie-screensaver-command -l >&2 &"}")
+ machine.wait_until_succeeds("${su "budgie-screensaver-command -q"} | grep 'The screensaver is active'")
+ machine.sleep(2)
+ machine.send_chars("${user.password}", delay=0.5)
+ machine.screenshot("budgie_screensaver")
+ machine.send_chars("\n")
+ machine.wait_until_succeeds("${su "budgie-screensaver-command -q"} | grep 'The screensaver is inactive'")
+ machine.sleep(2)
+
+ with subtest("Open GNOME terminal"):
+ machine.succeed("${su "gnome-terminal"}")
+ machine.wait_for_window("${user.name}@machine: ~")
+
+ with subtest("Check if Budgie has ever coredumped"):
+ machine.fail("coredumpctl --json=short | grep budgie")
+ machine.sleep(10)
machine.screenshot("screen")
'';
})
diff --git a/nixos/tests/ccache.nix b/nixos/tests/ccache.nix
new file mode 100644
index 000000000000..a97ae0501767
--- /dev/null
+++ b/nixos/tests/ccache.nix
@@ -0,0 +1,24 @@
+import ./make-test-python.nix ({ pkgs, ...} : {
+ name = "ccache";
+ meta = with pkgs.lib.maintainers; {
+ maintainers = [ ehmry ];
+ };
+
+ nodes.machine = { ... }: {
+ imports = [ ../modules/profiles/minimal.nix ];
+ environment.systemPackages = [ pkgs.hello ];
+ programs.ccache = {
+ enable = true;
+ packageNames = [ "hello" ];
+ };
+ };
+
+ testScript =
+ ''
+ start_all()
+ machine.wait_for_unit("multi-user.target")
+ machine.succeed("nix-ccache --show-stats")
+ machine.succeed("hello")
+ machine.shutdown()
+ '';
+})
diff --git a/nixos/tests/common/ec2.nix b/nixos/tests/common/ec2.nix
index 1a64c464039b..82922102f07b 100644
--- a/nixos/tests/common/ec2.nix
+++ b/nixos/tests/common/ec2.nix
@@ -61,7 +61,7 @@ with pkgs.lib;
+ " $QEMU_OPTS"
)
- machine = create_machine({"startCommand": start_command})
+ machine = create_machine(start_command)
try:
'' + indentLines script + ''
finally:
diff --git a/nixos/tests/consul.nix b/nixos/tests/consul.nix
index 6233234ff083..c819312068dc 100644
--- a/nixos/tests/consul.nix
+++ b/nixos/tests/consul.nix
@@ -42,6 +42,8 @@ let
];
networking.firewall = firewallSettings;
+ nixpkgs.config.allowUnfreePredicate = pkg: builtins.elem (lib.getName pkg) [ "consul" ];
+
services.consul = {
enable = true;
inherit webUi;
@@ -65,6 +67,8 @@ let
];
networking.firewall = firewallSettings;
+ nixpkgs.config.allowUnfreePredicate = pkg: builtins.elem (lib.getName pkg) [ "consul" ];
+
services.consul =
assert builtins.elem thisConsensusServerHost allConsensusServerHosts;
{
diff --git a/nixos/tests/docker-registry.nix b/nixos/tests/docker-registry.nix
index db20cb52c3e3..3969ef3f0226 100644
--- a/nixos/tests/docker-registry.nix
+++ b/nixos/tests/docker-registry.nix
@@ -13,7 +13,7 @@ import ./make-test-python.nix ({ pkgs, ...} : {
services.dockerRegistry.port = 8080;
services.dockerRegistry.listenAddress = "0.0.0.0";
services.dockerRegistry.enableGarbageCollect = true;
- networking.firewall.allowedTCPPorts = [ 8080 ];
+ services.dockerRegistry.openFirewall = true;
};
client1 = { ... }: {
diff --git a/nixos/tests/docker-tools.nix b/nixos/tests/docker-tools.nix
index 90af817e75ed..7d91076600f9 100644
--- a/nixos/tests/docker-tools.nix
+++ b/nixos/tests/docker-tools.nix
@@ -46,6 +46,32 @@ let
echo 'runAsRoot has run.'
'';
};
+
+ chownTestImage =
+ pkgs.dockerTools.streamLayeredImage {
+ name = "chown-test";
+ tag = "latest";
+ enableFakechroot = true;
+ fakeRootCommands = ''
+ touch /testfile
+ chown 12345:12345 /testfile
+ '';
+ config.Cmd = [ "${pkgs.coreutils}/bin/stat" "-c" "%u:%g" "/testfile" ];
+ };
+
+ nonRootTestImage =
+ pkgs.dockerTools.streamLayeredImage rec {
+ name = "non-root-test";
+ tag = "latest";
+ uid = 1000;
+ gid = 1000;
+ uname = "user";
+ gname = "user";
+ config = {
+ User = "user";
+ Cmd = [ "${pkgs.coreutils}/bin/stat" "-c" "%u:%g" "${pkgs.coreutils}/bin/stat" ];
+ };
+ };
in {
name = "docker-tools";
meta = with pkgs.lib.maintainers; {
@@ -71,14 +97,29 @@ in {
docker.succeed("${examples.helloOnRoot} | docker load")
docker.succeed("docker run --rm hello | grep -i hello")
docker.succeed("docker image rm hello:latest")
+
with subtest("includeStorePath = false; breaks example"):
docker.succeed("${examples.helloOnRootNoStore} | docker load")
docker.fail("docker run --rm hello | grep -i hello")
docker.succeed("docker image rm hello:latest")
+ with subtest("includeStorePath = false; breaks example (fakechroot)"):
+ docker.succeed("${examples.helloOnRootNoStoreFakechroot} | docker load")
+ docker.fail("docker run --rm hello | grep -i hello")
+ docker.succeed("docker image rm hello:latest")
+
+ with subtest("Ensure ZERO paths are added to the store"):
+ docker.fail("${examples.helloOnRootNoStore} | ${pkgs.crane}/bin/crane export - - | tar t | grep 'nix/store/'")
+ with subtest("Ensure ZERO paths are added to the store (fakechroot)"):
+ docker.fail("${examples.helloOnRootNoStoreFakechroot} | ${pkgs.crane}/bin/crane export - - | tar t | grep 'nix/store/'")
+
with subtest("includeStorePath = false; works with mounted store"):
docker.succeed("${examples.helloOnRootNoStore} | docker load")
docker.succeed("docker run --rm --volume ${builtins.storeDir}:${builtins.storeDir}:ro hello | grep -i hello")
docker.succeed("docker image rm hello:latest")
+ with subtest("includeStorePath = false; works with mounted store (fakechroot)"):
+ docker.succeed("${examples.helloOnRootNoStoreFakechroot} | docker load")
+ docker.succeed("docker run --rm --volume ${builtins.storeDir}:${builtins.storeDir}:ro hello | grep -i hello")
+ docker.succeed("docker image rm hello:latest")
with subtest("Ensure Docker images use a stable date by default"):
docker.succeed(
@@ -128,6 +169,15 @@ in {
docker.succeed("docker images --format '{{.Tag}}' | grep -F '${examples.nixLayered.imageTag}'")
docker.succeed("docker rmi ${examples.nixLayered.imageName}")
+ with subtest("Check that images with alternative compression schemas load"):
+ docker.succeed(
+ "docker load --input='${examples.bashZstdCompressed}'",
+ "docker rmi ${examples.bashZstdCompressed.imageName}",
+ )
+ docker.succeed(
+ "docker load --input='${examples.bashUncompressed}'",
+ "docker rmi ${examples.bashUncompressed.imageName}",
+ )
with subtest(
"Check if the nix store is correctly initialized by listing "
@@ -145,7 +195,7 @@ in {
):
docker.succeed(
"docker load --input='${examples.bashLayeredWithUser}'",
- "docker run -u somebody --rm ${examples.bashLayeredWithUser.imageName} ${pkgs.bash}/bin/bash -c 'test 555 == $(stat --format=%a /nix) && test 555 == $(stat --format=%a /nix/store)'",
+ "docker run -u somebody --rm ${examples.bashLayeredWithUser.imageName} ${pkgs.bash}/bin/bash -c 'test 755 == $(stat --format=%a /nix) && test 755 == $(stat --format=%a /nix/store)'",
"docker rmi ${examples.bashLayeredWithUser.imageName}",
)
@@ -449,6 +499,18 @@ in {
"docker run --rm ${examples.layeredImageWithFakeRootCommands.imageName} /hello/bin/layeredImageWithFakeRootCommands-hello"
)
+ with subtest("mergeImage correctly deals with varying compression schemas in inputs"):
+ docker.succeed("docker load --input='${examples.mergeVaryingCompressor}'")
+
+ for sub_image, tag in [
+ ("${examples.redis.imageName}", "${examples.redis.imageTag}"),
+ ("${examples.bashUncompressed.imageName}", "${examples.bashUncompressed.imageTag}"),
+ ("${examples.bashZstdCompressed.imageName}", "${examples.bashZstdCompressed.imageTag}"),
+ ]:
+ docker.succeed(f"docker images --format '{{{{.Repository}}}}-{{{{.Tag}}}}' | grep -F '{sub_image}-{tag}'")
+ docker.succeed(f"docker rmi {sub_image}")
+
+
with subtest("exportImage produces a valid tarball"):
docker.succeed(
"tar -tf ${examples.exportBash} | grep '\./bin/bash' > /dev/null"
@@ -550,5 +612,17 @@ in {
"${examples.nix-shell-build-derivation} | docker load",
"docker run --rm -it nix-shell-build-derivation"
)
+
+ with subtest("streamLayeredImage: chown is persistent in fakeRootCommands"):
+ docker.succeed(
+ "${chownTestImage} | docker load",
+ "docker run --rm ${chownTestImage.imageName} | diff /dev/stdin <(echo 12345:12345)"
+ )
+
+ with subtest("streamLayeredImage: with non-root user"):
+ docker.succeed(
+ "${nonRootTestImage} | docker load",
+ "docker run --rm ${chownTestImage.imageName} | diff /dev/stdin <(echo 12345:12345)"
+ )
'';
})
diff --git a/nixos/tests/drawterm.nix b/nixos/tests/drawterm.nix
index 1d444bb55433..3594343853c0 100644
--- a/nixos/tests/drawterm.nix
+++ b/nixos/tests/drawterm.nix
@@ -38,11 +38,24 @@ let
def drawterm_running():
machine.succeed("pgrep drawterm")
+ # cage is a bit wonky here.
+ # it seems to lag behind drawing
+ # and somehow needs a single input character
+ # in order to get the first prompt to show up.
+ # This is not present in any other compositor
+ # as far as I know, and after spending a couple
+ # hours with the upstream source trying to deduce
+ # how to perhaps fix it, I figured just polling is OK.
+ @polling_condition
+ def cpu_shown_up():
+ machine.send_chars(".")
+ machine.wait_for_text("cpu", 1)
+
start_all()
machine.wait_for_unit("graphical.target")
drawterm_running.wait() # type: ignore[union-attr]
- machine.wait_for_text("cpu")
+ cpu_shown_up.wait() # type: ignore[union-attr]
machine.send_chars("cpu\n")
machine.wait_for_text("auth")
machine.send_chars("cpu\n")
diff --git a/nixos/tests/etcd-cluster.nix b/nixos/tests/etcd/etcd-cluster.nix
similarity index 98%
rename from nixos/tests/etcd-cluster.nix
rename to nixos/tests/etcd/etcd-cluster.nix
index c77c0dd73c25..734d56dbc223 100644
--- a/nixos/tests/etcd-cluster.nix
+++ b/nixos/tests/etcd/etcd-cluster.nix
@@ -1,6 +1,6 @@
# This test runs simple etcd cluster
-import ./make-test-python.nix ({ pkgs, ... } : let
+import ../make-test-python.nix ({ pkgs, ... } : let
runWithOpenSSL = file: cmd: pkgs.runCommand file {
buildInputs = [ pkgs.openssl ];
diff --git a/nixos/tests/etcd.nix b/nixos/tests/etcd/etcd.nix
similarity index 91%
rename from nixos/tests/etcd.nix
rename to nixos/tests/etcd/etcd.nix
index 79857778ae1b..a32d0f9a55d1 100644
--- a/nixos/tests/etcd.nix
+++ b/nixos/tests/etcd/etcd.nix
@@ -1,6 +1,6 @@
# This test runs simple etcd node
-import ./make-test-python.nix ({ pkgs, ... } : {
+import ../make-test-python.nix ({ pkgs, ... } : {
name = "etcd";
meta = with pkgs.lib.maintainers; {
diff --git a/nixos/tests/freetube.nix b/nixos/tests/freetube.nix
index faa534938227..10f0773cb884 100644
--- a/nixos/tests/freetube.nix
+++ b/nixos/tests/freetube.nix
@@ -40,4 +40,4 @@ let
'';
});
in
-builtins.mapAttrs (k: v: mkTest k v { }) tests
+builtins.mapAttrs (k: v: mkTest k v) tests
diff --git a/nixos/tests/geoserver.nix b/nixos/tests/geoserver.nix
index 7e5507a296ea..4f6f2b209d07 100644
--- a/nixos/tests/geoserver.nix
+++ b/nixos/tests/geoserver.nix
@@ -1,4 +1,18 @@
-{ pkgs, lib, ... }: {
+{ pkgs, lib, ... }:
+
+let
+ geoserver = pkgs.geoserver;
+ geoserverWithImporterExtension = pkgs.geoserver.withExtensions (ps: with ps; [ importer ]);
+
+ # Blacklisted extensions:
+ # - wps-jdbc needs a running (Postrgres) db server.
+ blacklist = [ "wps-jdbc" ];
+
+ blacklistedToNull = n: v: if ! builtins.elem n blacklist then v else null;
+ getNonBlackistedExtensionsAsList = ps: builtins.filter (x: x != null) (lib.attrsets.mapAttrsToList blacklistedToNull ps);
+ geoserverWithAllExtensions = pkgs.geoserver.withExtensions (ps: getNonBlackistedExtensionsAsList ps);
+in
+{
name = "geoserver";
meta = {
@@ -9,16 +23,57 @@
machine = { pkgs, ... }: {
virtualisation.diskSize = 2 * 1024;
- environment.systemPackages = [ pkgs.geoserver ];
+ environment.systemPackages = [
+ geoserver
+ geoserverWithImporterExtension
+ geoserverWithAllExtensions
+ ];
};
};
testScript = ''
+ from contextlib import contextmanager
+
+ curl_cmd = "curl --fail --connect-timeout 2"
+ curl_cmd_rest = f"{curl_cmd} -u admin:geoserver -X GET"
+ base_url = "http://localhost:8080/geoserver"
+ log_file = "./log.txt"
+
+ @contextmanager
+ def running_geoserver(pkg):
+ try:
+ print(f"Launching geoserver from {pkg}...")
+ machine.execute(f"{pkg}/bin/geoserver-startup > {log_file} 2>&1 &")
+ machine.wait_until_succeeds(f"{curl_cmd} {base_url} 2>&1", timeout=60)
+ yield
+ finally:
+ # We need to wait a little bit to make sure the server is properly
+ # shutdown before launching a new instance.
+ machine.execute(f"{pkg}/bin/geoserver-shutdown; sleep 1")
+
start_all()
- machine.execute("${pkgs.geoserver}/bin/geoserver-startup > /dev/null 2>&1 &")
- machine.wait_until_succeeds("curl --fail --connect-timeout 2 http://localhost:8080/geoserver", timeout=60)
+ with running_geoserver("${geoserver}"):
+ machine.succeed(f"{curl_cmd} {base_url}/ows?service=WMS&version=1.3.0&request=GetCapabilities")
+
+ # No extensions yet.
+ machine.fail(f"{curl_cmd_rest} {base_url}/rest/imports")
+ machine.fail(f"{curl_cmd_rest} {base_url}/rest/monitor/requests.csv")
+
+
+ with running_geoserver("${geoserverWithImporterExtension}"):
+ machine.succeed(f"{curl_cmd_rest} {base_url}/rest/imports")
+ machine.fail(f"{curl_cmd_rest} {base_url}/rest/monitor/requests.csv")
+
+ with running_geoserver("${geoserverWithAllExtensions}"):
+ machine.succeed(f"{curl_cmd_rest} {base_url}/rest/imports")
+ machine.succeed(f"{curl_cmd_rest} {base_url}/rest/monitor/requests.csv")
+ _, stdout = machine.execute(f"cat {log_file}")
+ print(stdout.replace("\\n", "\n"))
+ assert "GDAL Native Library loaded" in stdout, "gdal"
+ assert "The turbo jpeg encoder is available for usage" in stdout, "libjpeg-turbo"
+ assert "org.geotools.imageio.netcdf.utilities.NetCDFUtilities" in stdout, "netcdf"
+ assert "Unable to load library 'netcdf'" not in stdout, "netcdf"
- machine.succeed("curl --fail --connect-timeout 2 http://localhost:8080/geoserver/ows?service=WMS&version=1.3.0&request=GetCapabilities")
'';
}
diff --git a/nixos/tests/go-camo.nix b/nixos/tests/go-camo.nix
new file mode 100644
index 000000000000..513964c31c43
--- /dev/null
+++ b/nixos/tests/go-camo.nix
@@ -0,0 +1,30 @@
+{ system ? builtins.currentSystem, config ? { }
+, pkgs ? import ../.. { inherit system config; } }:
+
+with import ../lib/testing-python.nix { inherit system pkgs; };
+
+{
+ gocamo_file_key = let
+ key_val = "12345678";
+ in
+ makeTest {
+ name = "go-camo-file-key";
+ meta = {
+ maintainers = [ pkgs.lib.maintainers.viraptor ];
+ };
+
+ nodes.machine = { config, pkgs, ... }: {
+ services.go-camo = {
+ enable = true;
+ keyFile = pkgs.writeText "foo" key_val;
+ };
+ };
+
+ # go-camo responds to http requests
+ testScript = ''
+ machine.wait_for_unit("go-camo.service")
+ machine.wait_for_open_port(8080)
+ machine.succeed("curl http://localhost:8080")
+ '';
+ };
+}
diff --git a/nixos/tests/hibernate.nix b/nixos/tests/hibernate.nix
index 296aa9ba68b9..6de287f63e08 100644
--- a/nixos/tests/hibernate.nix
+++ b/nixos/tests/hibernate.nix
@@ -24,8 +24,8 @@ makeTest {
virtualisation.useNixStoreImage = true;
swapDevices = lib.mkOverride 0 [ { device = "/dev/vdc"; options = [ "x-systemd.makefs" ]; } ];
- boot.resumeDevice = "/dev/vdc";
boot.initrd.systemd.enable = systemdStage1;
+ virtualisation.useEFIBoot = true;
};
};
diff --git a/nixos/tests/homepage-dashboard.nix b/nixos/tests/homepage-dashboard.nix
index 56e077f5ff6d..dd36473e8ac0 100644
--- a/nixos/tests/homepage-dashboard.nix
+++ b/nixos/tests/homepage-dashboard.nix
@@ -2,13 +2,35 @@ import ./make-test-python.nix ({ lib, ... }: {
name = "homepage-dashboard";
meta.maintainers = with lib.maintainers; [ jnsgruk ];
- nodes.machine = { pkgs, ... }: {
+ nodes.unmanaged_conf = { pkgs, ... }: {
services.homepage-dashboard.enable = true;
};
+ nodes.managed_conf = { pkgs, ... }: {
+ services.homepage-dashboard = {
+ enable = true;
+ settings.title = "custom";
+ };
+ };
+
testScript = ''
- machine.wait_for_unit("homepage-dashboard.service")
- machine.wait_for_open_port(8082)
- machine.succeed("curl --fail http://localhost:8082/")
+ # Ensure the services are started on unmanaged machine
+ unmanaged_conf.wait_for_unit("homepage-dashboard.service")
+ unmanaged_conf.wait_for_open_port(8082)
+ unmanaged_conf.succeed("curl --fail http://localhost:8082/")
+
+ # Ensure that /etc/homepage-dashboard doesn't exist, and boilerplate
+ # configs are copied into place.
+ unmanaged_conf.fail("test -d /etc/homepage-dashboard")
+ unmanaged_conf.succeed("test -f /var/lib/private/homepage-dashboard/settings.yaml")
+
+ # Ensure the services are started on managed machine
+ managed_conf.wait_for_unit("homepage-dashboard.service")
+ managed_conf.wait_for_open_port(8082)
+ managed_conf.succeed("curl --fail http://localhost:8082/")
+
+ # Ensure /etc/homepage-dashboard is created and unmanaged conf location isn't.
+ managed_conf.succeed("test -d /etc/homepage-dashboard")
+ managed_conf.fail("test -f /var/lib/private/homepage-dashboard/settings.yaml")
'';
})
diff --git a/nixos/tests/incus/container.nix b/nixos/tests/incus/container.nix
index 0e65cc1e1529..9260f70da98c 100644
--- a/nixos/tests/incus/container.nix
+++ b/nixos/tests/incus/container.nix
@@ -1,11 +1,13 @@
-import ../make-test-python.nix ({ pkgs, lib, ... } :
+import ../make-test-python.nix ({ pkgs, lib, extra ? {}, ... } :
let
releases = import ../../release.nix {
configuration = {
# Building documentation makes the test unnecessarily take a longer time:
documentation.enable = lib.mkForce false;
- };
+
+ boot.kernel.sysctl."net.ipv4.ip_forward" = "1";
+ } // extra;
};
container-image-metadata = releases.lxdContainerMeta.${pkgs.stdenv.hostPlatform.system};
@@ -27,6 +29,7 @@ in
incus.enable = true;
};
+ networking.nftables.enable = true;
};
testScript = ''
@@ -40,6 +43,12 @@ in
with machine.nested("Waiting for instance to start and be usable"):
retry(instance_is_up)
+ def check_sysctl(instance):
+ with subtest("systemd sysctl settings are applied"):
+ machine.succeed(f"incus exec {instance} -- systemctl status systemd-sysctl")
+ sysctl = machine.succeed(f"incus exec {instance} -- sysctl net.ipv4.ip_forward").strip().split(" ")[-1]
+ assert "1" == sysctl, f"systemd-sysctl configuration not correctly applied, {sysctl} != 1"
+
machine.wait_for_unit("incus.service")
# no preseed should mean no service
@@ -83,6 +92,7 @@ in
with subtest("lxc-container generator configures plain container"):
# reuse the existing container to save some time
machine.succeed("incus exec container test -- -e /run/systemd/system/service.d/zzz-lxc-service.conf")
+ check_sysctl("container")
with subtest("lxc-container generator configures nested container"):
machine.execute("incus delete --force container")
@@ -94,6 +104,8 @@ in
target = machine.succeed("incus exec container readlink -- -f /run/systemd/system/systemd-binfmt.service").strip()
assert target == "/dev/null", "lxc generator did not correctly mask /run/systemd/system/systemd-binfmt.service"
+ check_sysctl("container")
+
with subtest("lxc-container generator configures privileged container"):
machine.execute("incus delete --force container")
machine.succeed("incus launch nixos container --config security.privileged=true")
@@ -101,5 +113,7 @@ in
retry(instance_is_up)
machine.succeed("incus exec container test -- -e /run/systemd/system/service.d/zzz-lxc-service.conf")
+
+ check_sysctl("container")
'';
})
diff --git a/nixos/tests/incus/default.nix b/nixos/tests/incus/default.nix
index 26e8a4ac4c77..32bc5396a164 100644
--- a/nixos/tests/incus/default.nix
+++ b/nixos/tests/incus/default.nix
@@ -5,9 +5,16 @@
handleTestOn,
}:
{
- container = import ./container.nix { inherit system pkgs; };
+ container-old-init = import ./container.nix { inherit system pkgs; };
+ container-new-init = import ./container.nix { inherit system pkgs; extra = {
+ # Enable new systemd init
+ boot.initrd.systemd.enable = true;
+ }; };
lxd-to-incus = import ./lxd-to-incus.nix { inherit system pkgs; };
+ openvswitch = import ./openvswitch.nix { inherit system pkgs; };
preseed = import ./preseed.nix { inherit system pkgs; };
socket-activated = import ./socket-activated.nix { inherit system pkgs; };
+ storage = import ./storage.nix { inherit system pkgs; };
+ ui = import ./ui.nix {inherit system pkgs;};
virtual-machine = handleTestOn [ "x86_64-linux" ] ./virtual-machine.nix { inherit system pkgs; };
}
diff --git a/nixos/tests/incus/lxd-to-incus.nix b/nixos/tests/incus/lxd-to-incus.nix
index c0fc98c224df..262f63c0f26f 100644
--- a/nixos/tests/incus/lxd-to-incus.nix
+++ b/nixos/tests/incus/lxd-to-incus.nix
@@ -67,6 +67,7 @@ import ../make-test-python.nix (
incus.enable = true;
};
+ networking.nftables.enable = true;
};
testScript = ''
diff --git a/nixos/tests/incus/openvswitch.nix b/nixos/tests/incus/openvswitch.nix
new file mode 100644
index 000000000000..5d4aef031ad0
--- /dev/null
+++ b/nixos/tests/incus/openvswitch.nix
@@ -0,0 +1,65 @@
+import ../make-test-python.nix ({ pkgs, lib, ... } :
+
+{
+ name = "incus-openvswitch";
+
+ meta = {
+ maintainers = lib.teams.lxc.members;
+ };
+
+ nodes.machine = { lib, ... }: {
+ virtualisation = {
+ incus.enable = true;
+ vswitch.enable = true;
+ incus.preseed = {
+ networks = [
+ {
+ name = "nixostestbr0";
+ type = "bridge";
+ config = {
+ "bridge.driver" = "openvswitch";
+ "ipv4.address" = "10.0.100.1/24";
+ "ipv4.nat" = "true";
+ };
+ }
+ ];
+ profiles = [
+ {
+ name = "nixostest_default";
+ devices = {
+ eth0 = {
+ name = "eth0";
+ network = "nixostestbr0";
+ type = "nic";
+ };
+ root = {
+ path = "/";
+ pool = "default";
+ size = "35GiB";
+ type = "disk";
+ };
+ };
+ }
+ ];
+ storage_pools = [
+ {
+ name = "nixostest_pool";
+ driver = "dir";
+ }
+ ];
+ };
+ };
+ networking.nftables.enable = true;
+ };
+
+ testScript = ''
+ machine.wait_for_unit("incus.service")
+ machine.wait_for_unit("incus-preseed.service")
+
+ with subtest("Verify openvswitch bridge"):
+ machine.succeed("incus network info nixostestbr0")
+
+ with subtest("Verify openvswitch bridge"):
+ machine.succeed("ovs-vsctl br-exists nixostestbr0")
+ '';
+})
diff --git a/nixos/tests/incus/preseed.nix b/nixos/tests/incus/preseed.nix
index a488d71f3c92..f2d928115f3e 100644
--- a/nixos/tests/incus/preseed.nix
+++ b/nixos/tests/incus/preseed.nix
@@ -48,6 +48,7 @@ import ../make-test-python.nix ({ pkgs, lib, ... } :
];
};
};
+ networking.nftables.enable = true;
};
testScript = ''
diff --git a/nixos/tests/incus/socket-activated.nix b/nixos/tests/incus/socket-activated.nix
index fca536b7054f..59caf1090fbd 100644
--- a/nixos/tests/incus/socket-activated.nix
+++ b/nixos/tests/incus/socket-activated.nix
@@ -12,6 +12,7 @@ import ../make-test-python.nix ({ pkgs, lib, ... } :
incus.enable = true;
incus.socketActivation = true;
};
+ networking.nftables.enable = true;
};
testScript = ''
diff --git a/nixos/tests/incus/storage.nix b/nixos/tests/incus/storage.nix
new file mode 100644
index 000000000000..190f4f7451c2
--- /dev/null
+++ b/nixos/tests/incus/storage.nix
@@ -0,0 +1,46 @@
+import ../make-test-python.nix (
+ { pkgs, lib, ... }:
+
+ {
+ name = "incus-storage";
+
+ meta = {
+ maintainers = lib.teams.lxc.members;
+ };
+
+ nodes.machine =
+ { lib, ... }:
+ {
+ boot.supportedFilesystems = [ "zfs" ];
+ boot.zfs.forceImportRoot = false;
+ environment.systemPackages = [ pkgs.parted ];
+ networking.hostId = "01234567";
+ networking.nftables.enable = true;
+
+ virtualisation = {
+ emptyDiskImages = [ 2048 ];
+ incus.enable = true;
+ };
+ };
+
+ testScript = ''
+ machine.wait_for_unit("incus.service")
+
+ with subtest("Verify zfs pool created and usable"):
+ machine.succeed(
+ "zpool status",
+ "parted --script /dev/vdb mklabel gpt",
+ "zpool create zfs_pool /dev/vdb",
+ )
+
+ machine.succeed("incus storage create zfs_pool zfs source=zfs_pool/incus")
+ machine.succeed("zfs list zfs_pool/incus")
+ machine.succeed("incus storage volume create zfs_pool test_fs --type filesystem")
+ machine.succeed("incus storage volume create zfs_pool test_vol --type block")
+ machine.succeed("incus storage show zfs_pool")
+ machine.succeed("incus storage volume list zfs_pool")
+ machine.succeed("incus storage volume show zfs_pool test_fs")
+ machine.succeed("incus storage volume show zfs_pool test_vol")
+ '';
+ }
+)
diff --git a/nixos/tests/incus/ui.nix b/nixos/tests/incus/ui.nix
new file mode 100644
index 000000000000..837eb14844ce
--- /dev/null
+++ b/nixos/tests/incus/ui.nix
@@ -0,0 +1,64 @@
+import ../make-test-python.nix ({ pkgs, lib, ... }: {
+ name = "incus-ui";
+
+ meta = {
+ maintainers = lib.teams.lxc.members;
+ };
+
+ nodes.machine = { lib, ... }: {
+ virtualisation = {
+ incus.enable = true;
+ incus.ui.enable = true;
+ };
+ networking.nftables.enable = true;
+
+ environment.systemPackages =
+ let
+ seleniumScript = pkgs.writers.writePython3Bin "selenium-script"
+ {
+ libraries = with pkgs.python3Packages; [ selenium ];
+ } ''
+ from selenium import webdriver
+ from selenium.webdriver.common.by import By
+ from selenium.webdriver.firefox.options import Options
+ from selenium.webdriver.support.ui import WebDriverWait
+
+ options = Options()
+ options.add_argument("--headless")
+ service = webdriver.FirefoxService(executable_path="${lib.getExe pkgs.geckodriver}") # noqa: E501
+
+ driver = webdriver.Firefox(options=options, service=service)
+ driver.implicitly_wait(10)
+ driver.get("https://localhost:8443/ui")
+
+ wait = WebDriverWait(driver, 60)
+
+ assert len(driver.find_elements(By.CLASS_NAME, "l-application")) > 0
+ assert len(driver.find_elements(By.CLASS_NAME, "l-navigation__drawer")) > 0
+
+ driver.close()
+ '';
+ in
+ with pkgs; [ curl firefox-unwrapped geckodriver seleniumScript ];
+ };
+
+
+ testScript = ''
+ machine.wait_for_unit("sockets.target")
+ machine.wait_for_unit("incus.service")
+ machine.wait_for_file("/var/lib/incus/unix.socket")
+
+ # Configure incus listen address
+ machine.succeed("incus config set core.https_address :8443")
+ machine.succeed("systemctl restart incus")
+
+ # Check that the INCUS_UI environment variable is populated in the systemd unit
+ machine.succeed("cat /etc/systemd/system/incus.service | grep 'INCUS_UI'")
+
+ # Ensure the endpoint returns an HTML page with 'Incus UI' in the title
+ machine.succeed("curl -kLs https://localhost:8443/ui | grep 'Incus UI'")
+
+ # Ensure the application is actually rendered by the Javascript
+ machine.succeed("PYTHONUNBUFFERED=1 selenium-script")
+ '';
+})
diff --git a/nixos/tests/incus/virtual-machine.nix b/nixos/tests/incus/virtual-machine.nix
index c76e4f448f2f..ab378c7b9490 100644
--- a/nixos/tests/incus/virtual-machine.nix
+++ b/nixos/tests/incus/virtual-machine.nix
@@ -32,6 +32,7 @@ in
incus.enable = true;
};
+ networking.nftables.enable = true;
};
testScript = ''
diff --git a/nixos/tests/installer-systemd-stage-1.nix b/nixos/tests/installer-systemd-stage-1.nix
index 662017935412..d10256d91d7f 100644
--- a/nixos/tests/installer-systemd-stage-1.nix
+++ b/nixos/tests/installer-systemd-stage-1.nix
@@ -37,6 +37,7 @@
clevisLuksFallback
clevisZfs
clevisZfsFallback
+ gptAutoRoot
;
}
diff --git a/nixos/tests/installer.nix b/nixos/tests/installer.nix
index 7576fae41f83..1de886d6a0d1 100644
--- a/nixos/tests/installer.nix
+++ b/nixos/tests/installer.nix
@@ -82,47 +82,36 @@ let
testScriptFun = { bootLoader, createPartitions, grubDevice, grubUseEfi, grubIdentifier
, postInstallCommands, preBootCommands, postBootCommands, extraConfig
, testSpecialisationConfig, testFlakeSwitch, clevisTest, clevisFallbackTest
+ , disableFileSystems
}:
- let iface = "virtio";
- isEfi = bootLoader == "systemd-boot" || (bootLoader == "grub" && grubUseEfi);
- bios = if pkgs.stdenv.isAarch64 then "QEMU_EFI.fd" else "OVMF.fd";
+ let
+ qemu-common = import ../lib/qemu-common.nix { inherit (pkgs) lib pkgs; };
+ isEfi = bootLoader == "systemd-boot" || (bootLoader == "grub" && grubUseEfi);
+ qemu = qemu-common.qemuBinary pkgs.qemu_test;
in if !isEfi && !pkgs.stdenv.hostPlatform.isx86 then ''
machine.succeed("true")
'' else ''
- import subprocess
- tpm_folder = os.environ['NIX_BUILD_TOP']
- def assemble_qemu_flags():
- flags = "-cpu max"
- ${if (system == "x86_64-linux" || system == "i686-linux")
- then ''flags += " -m 1024"''
- else ''flags += " -m 768 -enable-kvm -machine virt,gic-version=host"''
- }
- ${optionalString clevisTest ''flags += f" -chardev socket,id=chrtpm,path={tpm_folder}/swtpm-sock -tpmdev emulator,id=tpm0,chardev=chrtpm -device tpm-tis,tpmdev=tpm0"''}
- ${optionalString clevisTest ''flags += " -device virtio-net-pci,netdev=vlan1,mac=52:54:00:12:11:02 -netdev vde,id=vlan1,sock=\"$QEMU_VDE_SOCKET_1\""''}
- return flags
-
-
- qemu_flags = {"qemuFlags": assemble_qemu_flags()}
-
import os
+ import subprocess
+
+ tpm_folder = os.environ['NIX_BUILD_TOP']
+
+ startcommand = "${qemu} -m 2048"
+
+ ${optionalString clevisTest ''
+ startcommand += f" -chardev socket,id=chrtpm,path={tpm_folder}/swtpm-sock -tpmdev emulator,id=tpm0,chardev=chrtpm -device tpm-tis,tpmdev=tpm0"
+ startcommand += " -device virtio-net-pci,netdev=vlan1,mac=52:54:00:12:11:02 -netdev vde,id=vlan1,sock=\"$QEMU_VDE_SOCKET_1\""
+ ''}
+ ${optionalString isEfi ''
+ startcommand +=" -drive if=pflash,format=raw,unit=0,readonly=on,file=${pkgs.OVMF.firmware} -drive if=pflash,format=raw,unit=1,readonly=on,file=${pkgs.OVMF.variables}"
+ ''}
image_dir = machine.state_dir
disk_image = os.path.join(image_dir, "machine.qcow2")
-
- hd_flags = {
- "hdaInterface": "${iface}",
- "hda": disk_image,
- }
- ${optionalString isEfi ''
- hd_flags.update(
- bios="${pkgs.OVMF.fd}/FV/${bios}"
- )''
- }
- default_flags = {**hd_flags, **qemu_flags}
-
+ startcommand += f" -drive file={disk_image},if=virtio,werror=report"
def create_machine_named(name):
- return create_machine({**default_flags, "name": name})
+ return create_machine(startcommand, name=name)
class Tpm:
def __init__(self):
@@ -175,7 +164,7 @@ let
${createPartitions}
with subtest("Create the NixOS configuration"):
- machine.succeed("nixos-generate-config --root /mnt")
+ machine.succeed("nixos-generate-config ${optionalString disableFileSystems "--no-filesystems"} --root /mnt")
machine.succeed("cat /mnt/etc/nixos/hardware-configuration.nix >&2")
machine.copy_from_host(
"${ makeConfig {
@@ -445,6 +434,7 @@ let
, testFlakeSwitch ? false
, clevisTest ? false
, clevisFallbackTest ? false
+ , disableFileSystems ? false
}:
makeTest {
inherit enableOCR;
@@ -471,7 +461,7 @@ let
# builds stuff in the VM, needs more juice
virtualisation.diskSize = 8 * 1024;
virtualisation.cores = 8;
- virtualisation.memorySize = 1536;
+ virtualisation.memorySize = 2048;
boot.initrd.systemd.enable = systemdStage1;
@@ -526,8 +516,7 @@ let
curl
]
++ optionals (bootLoader == "grub") (let
- zfsSupport = lib.any (x: x == "zfs")
- (extraInstallerConfig.boot.supportedFilesystems or []);
+ zfsSupport = extraInstallerConfig.boot.supportedFilesystems.zfs or false;
in [
(pkgs.grub2.override { inherit zfsSupport; })
(pkgs.grub2_efi.override { inherit zfsSupport; })
@@ -554,7 +543,8 @@ let
testScript = testScriptFun {
inherit bootLoader createPartitions postInstallCommands preBootCommands postBootCommands
grubDevice grubIdentifier grubUseEfi extraConfig
- testSpecialisationConfig testFlakeSwitch clevisTest clevisFallbackTest;
+ testSpecialisationConfig testFlakeSwitch clevisTest clevisFallbackTest
+ disableFileSystems;
};
};
@@ -1427,4 +1417,39 @@ in {
};
};
};
+
+ gptAutoRoot = let
+ rootPartType = {
+ ia32 = "44479540-F297-41B2-9AF7-D131D5F0458A";
+ x64 = "4F68BCE3-E8CD-4DB1-96E7-FBCAF984B709";
+ arm = "69DAD710-2CE4-4E3C-B16C-21A1D49ABED3";
+ aa64 = "B921B045-1DF0-41C3-AF44-4C6F280D3FAE";
+ }.${pkgs.stdenv.hostPlatform.efiArch};
+ in makeInstallerTest "gptAutoRoot" {
+ disableFileSystems = true;
+ createPartitions = ''
+ machine.succeed(
+ "sgdisk --zap-all /dev/vda",
+ "sgdisk --new=1:0:+100M --typecode=0:ef00 /dev/vda", # /boot
+ "sgdisk --new=2:0:+1G --typecode=0:8200 /dev/vda", # swap
+ "sgdisk --new=3:0:+5G --typecode=0:${rootPartType} /dev/vda", # /
+ "udevadm settle",
+
+ "mkfs.vfat /dev/vda1",
+ "mkswap /dev/vda2 -L swap",
+ "swapon -L swap",
+ "mkfs.ext4 -L root /dev/vda3",
+ "udevadm settle",
+
+ "mount /dev/vda3 /mnt",
+ "mkdir -p /mnt/boot",
+ "mount /dev/vda1 /mnt/boot"
+ )
+ '';
+ bootLoader = "systemd-boot";
+ extraConfig = ''
+ boot.initrd.systemd.root = "gpt-auto";
+ boot.initrd.supportedFilesystems = ["ext4"];
+ '';
+ };
}
diff --git a/nixos/tests/k3s/default.nix b/nixos/tests/k3s/default.nix
index e168f8233c76..512dc06ee77e 100644
--- a/nixos/tests/k3s/default.nix
+++ b/nixos/tests/k3s/default.nix
@@ -6,6 +6,11 @@ let
allK3s = lib.filterAttrs (n: _: lib.strings.hasPrefix "k3s_" n) pkgs;
in
{
+ # Testing K3s with Etcd backend
+ etcd = lib.mapAttrs (_: k3s: import ./etcd.nix {
+ inherit system pkgs k3s;
+ inherit (pkgs) etcd;
+ }) allK3s;
# Run a single node k3s cluster and verify a pod can run
single-node = lib.mapAttrs (_: k3s: import ./single-node.nix { inherit system pkgs k3s; }) allK3s;
# Run a multi-node k3s cluster and verify pod networking works across nodes
diff --git a/nixos/tests/k3s/etcd.nix b/nixos/tests/k3s/etcd.nix
new file mode 100644
index 000000000000..d6e9a294adb1
--- /dev/null
+++ b/nixos/tests/k3s/etcd.nix
@@ -0,0 +1,100 @@
+import ../make-test-python.nix ({ pkgs, lib, k3s, etcd, ... }:
+
+{
+ name = "${k3s.name}-etcd";
+
+ nodes = {
+
+ etcd = { ... }: {
+ services.etcd = {
+ enable = true;
+ openFirewall = true;
+ listenClientUrls = [ "http://192.168.1.1:2379" "http://127.0.0.1:2379" ];
+ listenPeerUrls = [ "http://192.168.1.1:2380" ];
+ initialAdvertisePeerUrls = [ "http://192.168.1.1:2380" ];
+ initialCluster = [ "etcd=http://192.168.1.1:2380" ];
+ };
+ networking = {
+ useDHCP = false;
+ defaultGateway = "192.168.1.1";
+ interfaces.eth1.ipv4.addresses = pkgs.lib.mkForce [
+ { address = "192.168.1.1"; prefixLength = 24; }
+ ];
+ };
+ };
+
+ k3s = { pkgs, ... }: {
+ environment.systemPackages = with pkgs; [ jq ];
+ # k3s uses enough resources the default vm fails.
+ virtualisation.memorySize = 1536;
+ virtualisation.diskSize = 4096;
+
+ services.k3s = {
+ enable = true;
+ role = "server";
+ extraFlags = builtins.toString [
+ "--datastore-endpoint=\"http://192.168.1.1:2379\""
+ "--disable" "coredns"
+ "--disable" "local-storage"
+ "--disable" "metrics-server"
+ "--disable" "servicelb"
+ "--disable" "traefik"
+ "--node-ip" "192.168.1.2"
+ ];
+ };
+
+ networking = {
+ firewall = {
+ allowedTCPPorts = [ 2379 2380 6443 ];
+ allowedUDPPorts = [ 8472 ];
+ };
+ useDHCP = false;
+ defaultGateway = "192.168.1.2";
+ interfaces.eth1.ipv4.addresses = pkgs.lib.mkForce [
+ { address = "192.168.1.2"; prefixLength = 24; }
+ ];
+ };
+ };
+
+ };
+
+ testScript = ''
+ with subtest("should start etcd"):
+ etcd.start()
+ etcd.wait_for_unit("etcd.service")
+
+ with subtest("should wait for etcdctl endpoint status to succeed"):
+ etcd.wait_until_succeeds("etcdctl endpoint status")
+
+ with subtest("should start k3s"):
+ k3s.start()
+ k3s.wait_for_unit("k3s")
+
+ with subtest("should test if kubectl works"):
+ k3s.wait_until_succeeds("k3s kubectl get node")
+
+ with subtest("should wait for service account to show up; takes a sec"):
+ k3s.wait_until_succeeds("k3s kubectl get serviceaccount default")
+
+ with subtest("should create a sample secret object"):
+ k3s.succeed("k3s kubectl create secret generic nixossecret --from-literal thesecret=abacadabra")
+
+ with subtest("should check if secret is correct"):
+ k3s.wait_until_succeeds("[[ $(kubectl get secrets nixossecret -o json | jq -r .data.thesecret | base64 -d) == abacadabra ]]")
+
+ with subtest("should have a secret in database"):
+ etcd.wait_until_succeeds("[[ $(etcdctl get /registry/secrets/default/nixossecret | head -c1 | wc -c) -ne 0 ]]")
+
+ with subtest("should delete the secret"):
+ k3s.succeed("k3s kubectl delete secret nixossecret")
+
+ with subtest("should not have a secret in database"):
+ etcd.wait_until_fails("[[ $(etcdctl get /registry/secrets/default/nixossecret | head -c1 | wc -c) -ne 0 ]]")
+
+ with subtest("should shutdown k3s and etcd"):
+ k3s.shutdown()
+ etcd.shutdown()
+ '';
+
+ meta.maintainers = etcd.meta.maintainers ++ k3s.meta.maintainers;
+})
diff --git a/nixos/tests/kea.nix b/nixos/tests/kea.nix
index c8ecf771fa13..98a8e93a0760 100644
--- a/nixos/tests/kea.nix
+++ b/nixos/tests/kea.nix
@@ -44,6 +44,11 @@ import ./make-test-python.nix ({ pkgs, lib, ...}: {
name = "/var/lib/kea/dhcp4.leases";
};
+ control-socket = {
+ socket-type = "unix";
+ socket-name = "/run/kea/dhcp4.sock";
+ };
+
interfaces-config = {
dhcp-socket-type = "raw";
interfaces = [
@@ -89,6 +94,25 @@ import ./make-test-python.nix ({ pkgs, lib, ...}: {
};
};
};
+
+ services.kea.ctrl-agent = {
+ enable = true;
+ settings = {
+ http-host = "127.0.0.1";
+ http-port = 8000;
+ control-sockets.dhcp4 = {
+ socket-type = "unix";
+ socket-name = "/run/kea/dhcp4.sock";
+ };
+ };
+ };
+
+ services.prometheus.exporters.kea = {
+ enable = true;
+ controlSocketPaths = [
+ "http://127.0.0.1:8000"
+ ];
+ };
};
nameserver = { config, pkgs, ... }: {
@@ -182,5 +206,7 @@ import ./make-test-python.nix ({ pkgs, lib, ...}: {
client.wait_until_succeeds("ping -c 5 10.0.0.1")
router.wait_until_succeeds("ping -c 5 10.0.0.3")
nameserver.wait_until_succeeds("kdig +short client.lan.nixos.test @10.0.0.2 | grep -q 10.0.0.3")
+ router.log(router.execute("curl 127.0.0.1:9547")[1])
+ router.succeed("curl --no-buffer 127.0.0.1:9547 | grep -qE '^kea_dhcp4_addresses_assigned_total.*1.0$'")
'';
})
diff --git a/nixos/tests/keepalived.nix b/nixos/tests/keepalived.nix
index ce291514591f..16564511d85d 100644
--- a/nixos/tests/keepalived.nix
+++ b/nixos/tests/keepalived.nix
@@ -1,6 +1,6 @@
import ./make-test-python.nix ({ pkgs, lib, ... }: {
name = "keepalived";
- maintainers = [ lib.maintainers.raitobezarius ];
+ meta.maintainers = [ lib.maintainers.raitobezarius ];
nodes = {
node1 = { pkgs, ... }: {
diff --git a/nixos/tests/kernel-generic.nix b/nixos/tests/kernel-generic.nix
index 0dcab39f3fad..9714a94382ee 100644
--- a/nixos/tests/kernel-generic.nix
+++ b/nixos/tests/kernel-generic.nix
@@ -30,7 +30,6 @@ let
linux_5_10_hardened
linux_5_15_hardened
linux_6_1_hardened
- linux_6_5_hardened
linux_6_6_hardened
linux_6_7_hardened
linux_rt_5_4
diff --git a/nixos/tests/keycloak.nix b/nixos/tests/keycloak.nix
index 228e57d1cdd6..67b412c80961 100644
--- a/nixos/tests/keycloak.nix
+++ b/nixos/tests/keycloak.nix
@@ -6,8 +6,8 @@ let
certs = import ./common/acme/server/snakeoil-certs.nix;
frontendUrl = "https://${certs.domain}";
- keycloakTest = import ./make-test-python.nix (
- { pkgs, databaseType, ... }:
+ keycloakTest = databaseType: import ./make-test-python.nix (
+ { pkgs, ... }:
let
initialAdminPassword = "h4Iho\"JFn't2>iQIR9";
adminPasswordFile = pkgs.writeText "admin-password" "${initialAdminPassword}";
@@ -76,16 +76,18 @@ let
enabled = true;
realm = "test-realm";
clients = [ client ];
- users = [(
- user // {
- enabled = true;
- credentials = [{
- type = "password";
- temporary = false;
- value = password;
- }];
- }
- )];
+ users = [
+ (
+ user // {
+ enabled = true;
+ credentials = [{
+ type = "password";
+ temporary = false;
+ value = password;
+ }];
+ }
+ )
+ ];
};
realmDataJson = pkgs.writeText "realm-data.json" (builtins.toJSON realm);
@@ -177,7 +179,7 @@ let
);
in
{
- postgres = keycloakTest { databaseType = "postgresql"; };
- mariadb = keycloakTest { databaseType = "mariadb"; };
- mysql = keycloakTest { databaseType = "mysql"; };
+ postgres = keycloakTest "postgresql";
+ mariadb = keycloakTest "mariadb";
+ mysql = keycloakTest "mysql";
}
diff --git a/nixos/tests/knot.nix b/nixos/tests/knot.nix
index 44efd93b6fa9..eec94a22f2fa 100644
--- a/nixos/tests/knot.nix
+++ b/nixos/tests/knot.nix
@@ -66,6 +66,10 @@ in {
"0.0.0.0@53"
"::@53"
];
+ listen-quic = [
+ "0.0.0.0@853"
+ "::@853"
+ ];
automatic-acl = true;
};
@@ -114,20 +118,28 @@ in {
services.knot.extraArgs = [ "-v" ];
services.knot.settings = {
server = {
- listen = [
- "0.0.0.0@53"
- "::@53"
- ];
automatic-acl = true;
};
+ xdp = {
+ listen = [
+ "eth1"
+ ];
+ tcp = true;
+ };
+
remote.primary = {
address = "192.168.0.1@53";
key = "xfr_key";
};
+ remote.primary-quic = {
+ address = "192.168.0.1@853";
+ key = "xfr_key";
+ quic = true;
+ };
+
template.default = {
- master = "primary";
# zonefileless setup
# https://www.knot-dns.cz/docs/2.8/html/operation.html#example-2
zonefile-sync = "-1";
@@ -136,11 +148,17 @@ in {
};
zone = {
- "example.com".file = "example.com.zone";
- "sub.example.com".file = "sub.example.com.zone";
+ "example.com" = {
+ master = "primary";
+ file = "example.com.zone";
+ };
+ "sub.example.com" = {
+ master = "primary-quic";
+ file = "sub.example.com.zone";
+ };
};
- log.syslog.any = "info";
+ log.syslog.any = "debug";
};
};
client = { lib, nodes, ... }: {
diff --git a/nixos/tests/krb5/default.nix b/nixos/tests/krb5/default.nix
index ede085632c63..274ad580cebc 100644
--- a/nixos/tests/krb5/default.nix
+++ b/nixos/tests/krb5/default.nix
@@ -1,4 +1,3 @@
-{ system ? builtins.currentSystem }:
{
- example-config = import ./example-config.nix { inherit system; };
+ example-config = import ./example-config.nix;
}
diff --git a/nixos/tests/ladybird.nix b/nixos/tests/ladybird.nix
index 4e9ab9a36d13..8ed0f47887c7 100644
--- a/nixos/tests/ladybird.nix
+++ b/nixos/tests/ladybird.nix
@@ -21,7 +21,7 @@ import ./make-test-python.nix ({ pkgs, ... }: {
''
machine.wait_for_x()
machine.succeed("echo 'Hello world
' > page.html")
- machine.execute("ladybird file://$(pwd)/page.html >&2 &")
+ machine.execute("Ladybird file://$(pwd)/page.html >&2 &")
machine.wait_for_window("Ladybird")
machine.sleep(5)
machine.wait_for_text("Hello world")
diff --git a/nixos/tests/lemmy.nix b/nixos/tests/lemmy.nix
index e8d747f89a9e..d93df3646837 100644
--- a/nixos/tests/lemmy.nix
+++ b/nixos/tests/lemmy.nix
@@ -51,7 +51,8 @@ in
with subtest("the backend starts and responds"):
server.wait_for_open_port(${toString backendPort})
- server.succeed("curl --fail localhost:${toString backendPort}/api/v3/site")
+ # wait until succeeds, it just needs few seconds for migrations, but lets give it 10s max
+ server.wait_until_succeeds("curl --fail localhost:${toString backendPort}/api/v3/site", 10)
with subtest("the UI starts and responds"):
server.wait_for_unit("lemmy-ui.service")
diff --git a/nixos/tests/lomiri-system-settings.nix b/nixos/tests/lomiri-system-settings.nix
new file mode 100644
index 000000000000..867fc14797e7
--- /dev/null
+++ b/nixos/tests/lomiri-system-settings.nix
@@ -0,0 +1,99 @@
+import ./make-test-python.nix ({ pkgs, lib, ... }: {
+ name = "lomiri-system-settings-standalone";
+ meta.maintainers = lib.teams.lomiri.members;
+
+ nodes.machine = { config, pkgs, ... }: {
+ imports = [
+ ./common/x11.nix
+ ];
+
+ services.xserver.enable = true;
+
+ environment = {
+ systemPackages = with pkgs.lomiri; [
+ suru-icon-theme
+ lomiri-system-settings
+ ];
+ variables = {
+ UITK_ICON_THEME = "suru";
+ };
+ };
+
+ i18n.supportedLocales = [ "all" ];
+
+ fonts.packages = with pkgs; [
+ # Intended font & helps with OCR
+ ubuntu_font_family
+ ];
+
+ services.upower.enable = true;
+ };
+
+ enableOCR = true;
+
+ testScript = let
+ settingsPages = [
+ # Base pages
+ { name = "wifi"; type = "internal"; element = "networks"; }
+ { name = "bluetooth"; type = "internal"; element = "discoverable|None detected"; }
+ # only text we can really look for with VPN is on a button, OCR on CI struggles with it
+ { name = "vpn"; type = "internal"; element = "Add|Manual|Configuration"; skipOCR = true; }
+ { name = "appearance"; type = "internal"; element = "Background image|blur effects"; }
+ { name = "desktop"; type = "internal"; element = "workspaces|Icon size"; }
+ { name = "sound"; type = "internal"; element = "Silent Mode|Message sound"; }
+ { name = "language"; type = "internal"; element = "Display language|External keyboard"; }
+ { name = "notification"; type = "internal"; element = "Apps that notify"; }
+ { name = "gestures"; type = "internal"; element = "Edge drag"; }
+ { name = "mouse"; type = "internal"; element = "Cursor speed|Wheel scrolling speed"; }
+ { name = "timedate"; type = "internal"; element = "Time zone|Set the time and date"; }
+
+ # External plugins
+ { name = "security-privacy"; type = "external"; element = "Locking|unlocking|permissions"; elementLocalised = "Sperren|Entsperren|Berechtigungen"; }
+ ];
+ in
+ ''
+ machine.wait_for_x()
+
+ with subtest("lomiri system settings launches"):
+ machine.execute("lomiri-system-settings >&2 &")
+ machine.wait_for_text("System Settings")
+ machine.screenshot("lss_open")
+
+ # Move focus to start of plugins list for following list of tests
+ machine.send_key("tab")
+ machine.send_key("tab")
+ machine.screenshot("lss_focus")
+
+ # tab through & open all sub-menus, to make sure none of them fail
+ '' + (lib.strings.concatMapStringsSep "\n" (page: ''
+ machine.send_key("tab")
+ machine.send_key("kp_enter")
+ ''
+ + lib.optionalString (!(page.skipOCR or false)) ''
+ with subtest("lomiri system settings ${page.name} works"):
+ machine.wait_for_text(r"(${page.element})")
+ machine.screenshot("lss_page_${page.name}")
+ '') settingsPages) + ''
+
+ machine.execute("pkill -f lomiri-system-settings")
+
+ with subtest("lomiri system settings localisation works"):
+ machine.execute("env LANG=de_DE.UTF-8 lomiri-system-settings >&2 &")
+ machine.wait_for_text("Systemeinstellungen")
+ machine.screenshot("lss_localised_open")
+
+ # Move focus to start of plugins list for following list of tests
+ machine.send_key("tab")
+ machine.send_key("tab")
+ machine.screenshot("lss_focus_localised")
+
+ '' + (lib.strings.concatMapStringsSep "\n" (page: ''
+ machine.send_key("tab")
+ machine.send_key("kp_enter")
+ '' + lib.optionalString (page.type == "external") ''
+ with subtest("lomiri system settings ${page.name} localisation works"):
+ machine.wait_for_text(r"(${page.elementLocalised})")
+ machine.screenshot("lss_localised_page_${page.name}")
+ '') settingsPages) + ''
+ '';
+})
diff --git a/nixos/tests/make-test-python.nix b/nixos/tests/make-test-python.nix
index 28569f1d2955..32531fffd2bf 100644
--- a/nixos/tests/make-test-python.nix
+++ b/nixos/tests/make-test-python.nix
@@ -1,5 +1,5 @@
f: {
- system ? builtins.currentSystem,
+ system,
pkgs ? import ../.. { inherit system; config = {}; overlays = []; },
...
} @ args:
diff --git a/nixos/tests/mate-wayland.nix b/nixos/tests/mate-wayland.nix
new file mode 100644
index 000000000000..df39ead286e1
--- /dev/null
+++ b/nixos/tests/mate-wayland.nix
@@ -0,0 +1,63 @@
+import ./make-test-python.nix ({ pkgs, lib, ... }: {
+ name = "mate-wayland";
+
+ meta.maintainers = lib.teams.mate.members;
+
+ nodes.machine = { ... }: {
+ imports = [
+ ./common/user-account.nix
+ ];
+
+ services.xserver.enable = true;
+ services.xserver.displayManager = {
+ sddm.enable = true; # https://github.com/canonical/lightdm/issues/63
+ sddm.wayland.enable = true;
+ defaultSession = "MATE";
+ autoLogin = {
+ enable = true;
+ user = "alice";
+ };
+ };
+ services.xserver.desktopManager.mate.enableWaylandSession = true;
+
+ hardware.pulseaudio.enable = true;
+
+ # Need to switch to a different GPU driver than the default one (-vga std) so that wayfire can launch:
+ virtualisation.qemu.options = [ "-vga none -device virtio-gpu-pci" ];
+ };
+
+ enableOCR = true;
+
+ testScript = { nodes, ... }:
+ let
+ user = nodes.machine.users.users.alice;
+ in
+ ''
+ machine.wait_for_unit("display-manager.service")
+
+ with subtest("Wait for Wayland server"):
+ machine.wait_for_file("/run/user/${toString user.uid}/wayland-1")
+
+ with subtest("Check if MATE session components actually start"):
+ for i in ["wayfire", "mate-panel", "mate-wayland.sh", "mate-wayland-components.sh"]:
+ machine.wait_until_succeeds(f"pgrep -f {i}")
+ machine.wait_for_text('(Applications|Places|System)')
+ # It is expected that this applet doesn't work in Wayland
+ machine.wait_for_text('WorkspaceSwitcherApplet')
+
+ with subtest("Check if various environment variables are set"):
+ cmd = "xargs --null --max-args=1 echo < /proc/$(pgrep -xf mate-panel)/environ"
+ machine.succeed(f"{cmd} | grep 'XDG_SESSION_TYPE' | grep 'wayland'")
+ machine.succeed(f"{cmd} | grep 'XDG_SESSION_DESKTOP' | grep 'MATE'")
+ machine.succeed(f"{cmd} | grep 'MATE_PANEL_APPLETS_DIR' | grep '${pkgs.mate.mate-panel-with-applets.pname}'")
+
+ with subtest("Check if Wayfire config is properly configured"):
+ for i in ["button_style = mate", "firedecor", "mate-wayland-components.sh"]:
+ machine.wait_until_succeeds(f"cat /home/${user.name}/.config/mate/wayfire.ini | grep '{i}'")
+
+ with subtest("Check if Wayfire has ever coredumped"):
+ machine.fail("coredumpctl --json=short | grep wayfire")
+ machine.sleep(10)
+ machine.screenshot("screen")
+ '';
+})
diff --git a/nixos/tests/mate.nix b/nixos/tests/mate.nix
index 48582e18d520..1252ec43cf3d 100644
--- a/nixos/tests/mate.nix
+++ b/nixos/tests/mate.nix
@@ -54,6 +54,15 @@ import ./make-test-python.nix ({ pkgs, lib, ... }: {
machine.wait_for_text('(Applications|Places|System)')
machine.wait_for_text('(Computer|Home|Trash)')
+ with subtest("Check if various environment variables are set"):
+ machine.succeed("xargs --null --max-args=1 echo < /proc/$(pgrep -xf marco)/environ | grep 'XDG_CURRENT_DESKTOP' | grep 'MATE'")
+ # From mate-panel-with-applets packaging
+ machine.succeed("xargs --null --max-args=1 echo < /proc/$(pgrep -xf mate-panel)/environ | grep 'MATE_PANEL_APPLETS_DIR' | grep '${pkgs.mate.mate-panel-with-applets.pname}'")
+
+ with subtest("Check if applets are built with in-process support"):
+ # This is needed for Wayland support
+ machine.fail("pgrep -fa clock-applet")
+
with subtest("Lock the screen"):
machine.wait_until_succeeds("su - ${user.name} -c '${env} mate-screensaver-command -q' | grep 'The screensaver is inactive'")
machine.succeed("su - ${user.name} -c '${env} mate-screensaver-command -l >&2 &'")
diff --git a/nixos/tests/matomo.nix b/nixos/tests/matomo.nix
index 7dbef63136aa..130f3dd8485a 100644
--- a/nixos/tests/matomo.nix
+++ b/nixos/tests/matomo.nix
@@ -47,4 +47,8 @@ in {
name = "matomo-beta";
meta.maintainers = with maintainers; [ florianjacob kiwi mmilata twey boozedog ];
};
+ matomo_5 = matomoTest pkgs.matomo_5 // {
+ name = "matomo-5";
+ meta.maintainers = with maintainers; [ florianjacob kiwi mmilata twey boozedog ] ++ lib.teams.flyingcircus.members;
+ };
}
diff --git a/nixos/tests/matter-server.nix b/nixos/tests/matter-server.nix
new file mode 100644
index 000000000000..c646e9840d19
--- /dev/null
+++ b/nixos/tests/matter-server.nix
@@ -0,0 +1,45 @@
+import ./make-test-python.nix ({ pkgs, lib, ...} :
+
+let
+ chipVersion = pkgs.python311Packages.home-assistant-chip-core.version;
+in
+
+{
+ name = "matter-server";
+ meta.maintainers = with lib.maintainers; [ leonm1 ];
+
+ nodes = {
+ machine = { config, ... }: {
+ services.matter-server = {
+ enable = true;
+ port = 1234;
+ };
+ };
+ };
+
+ testScript = /* python */ ''
+ start_all()
+
+ machine.wait_for_unit("matter-server.service")
+ machine.wait_for_open_port(1234)
+
+ with subtest("Check websocket server initialized"):
+ output = machine.succeed("echo \"\" | ${pkgs.websocat}/bin/websocat ws://localhost:1234/ws")
+ machine.log(output)
+
+ assert '"sdk_version": "${chipVersion}"' in output, (
+ 'CHIP version \"${chipVersion}\" not present in websocket message'
+ )
+
+ assert '"fabric_id": 1' in output, (
+ "fabric_id not propagated to server"
+ )
+
+ with subtest("Check storage directory is created"):
+ machine.succeed("ls /var/lib/matter-server/chip.json")
+
+ with subtest("Check systemd hardening"):
+ _, output = machine.execute("systemd-analyze security matter-server.service | grep -v '✓'")
+ machine.log(output)
+ '';
+})
diff --git a/nixos/tests/mealie.nix b/nixos/tests/mealie.nix
new file mode 100644
index 000000000000..88f749c71294
--- /dev/null
+++ b/nixos/tests/mealie.nix
@@ -0,0 +1,24 @@
+import ./make-test-python.nix ({ pkgs, ...} :
+
+{
+ name = "mealie";
+ meta = with pkgs.lib.maintainers; {
+ maintainers = [ litchipi ];
+ };
+
+ nodes = {
+ server = {
+ services.mealie = {
+ enable = true;
+ port = 9001;
+ };
+ };
+ };
+
+ testScript = ''
+ start_all()
+ server.wait_for_unit("mealie.service")
+ server.wait_for_open_port(9001)
+ server.succeed("curl --fail http://localhost:9001")
+ '';
+})
diff --git a/nixos/tests/mihomo.nix b/nixos/tests/mihomo.nix
new file mode 100644
index 000000000000..472d10050f7f
--- /dev/null
+++ b/nixos/tests/mihomo.nix
@@ -0,0 +1,44 @@
+import ./make-test-python.nix ({ pkgs, ... }: {
+ name = "mihomo";
+ meta.maintainers = with pkgs.lib.maintainers; [ Guanran928 ];
+
+ nodes.machine = {
+ environment.systemPackages = [ pkgs.curl ];
+
+ services.nginx = {
+ enable = true;
+ statusPage = true;
+ };
+
+ services.mihomo = {
+ enable = true;
+ configFile = pkgs.writeTextFile {
+ name = "config.yaml";
+ text = ''
+ mixed-port: 7890
+ external-controller: 127.0.0.1:9090
+ authentication:
+ - "user:supersecret"
+ '';
+ };
+ };
+ };
+
+ testScript = ''
+ # Wait until it starts
+ machine.wait_for_unit("nginx.service")
+ machine.wait_for_unit("mihomo.service")
+ machine.wait_for_open_port(80)
+ machine.wait_for_open_port(7890)
+ machine.wait_for_open_port(9090)
+
+ # Proxy
+ machine.succeed("curl --fail --max-time 10 --proxy http://user:supersecret@localhost:7890 http://localhost")
+ machine.succeed("curl --fail --max-time 10 --proxy socks5://user:supersecret@localhost:7890 http://localhost")
+ machine.fail("curl --fail --max-time 10 --proxy http://user:supervillain@localhost:7890 http://localhost")
+ machine.fail("curl --fail --max-time 10 --proxy socks5://user:supervillain@localhost:7890 http://localhost")
+
+ # Web UI
+ machine.succeed("curl --fail http://localhost:9090") == '{"hello":"clash"}'
+ '';
+})
diff --git a/nixos/tests/miniflux.nix b/nixos/tests/miniflux.nix
index a3af53db0e7a..6d38224448ed 100644
--- a/nixos/tests/miniflux.nix
+++ b/nixos/tests/miniflux.nix
@@ -15,6 +15,10 @@ let
ADMIN_USERNAME=${username}
ADMIN_PASSWORD=${password}
'';
+ postgresPassword = "correcthorsebatterystaple";
+ postgresPasswordFile = pkgs.writeText "pgpass" ''
+ *:*:*:*:${postgresPassword}
+ '';
in
{
@@ -56,32 +60,62 @@ in
adminCredentialsFile = customAdminCredentialsFile;
};
};
+
+ postgresTcp = { config, pkgs, lib, ... }: {
+ services.postgresql = {
+ enable = true;
+ initialScript = pkgs.writeText "init-postgres" ''
+ CREATE USER miniflux WITH PASSWORD '${postgresPassword}';
+ CREATE DATABASE miniflux WITH OWNER miniflux;
+ '';
+ enableTCPIP = true;
+ authentication = ''
+ host sameuser miniflux samenet scram-sha-256
+ '';
+ };
+ systemd.services.postgresql.postStart = lib.mkAfter ''
+ $PSQL -tAd miniflux -c 'CREATE EXTENSION hstore;'
+ '';
+ networking.firewall.allowedTCPPorts = [ config.services.postgresql.port ];
+ };
+ externalDb = { ... }: {
+ security.apparmor.enable = true;
+ services.miniflux = {
+ enable = true;
+ createDatabaseLocally = false;
+ inherit adminCredentialsFile;
+ config = {
+ DATABASE_URL = "user=miniflux host=postgresTcp dbname=miniflux sslmode=disable";
+ PGPASSFILE = "/run/miniflux/pgpass";
+ };
+ };
+ systemd.services.miniflux.preStart = ''
+ cp ${postgresPasswordFile} /run/miniflux/pgpass
+ chmod 600 /run/miniflux/pgpass
+ '';
+ };
};
testScript = ''
- start_all()
+ def runTest(machine, port, user):
+ machine.wait_for_unit("miniflux.service")
+ machine.wait_for_open_port(port)
+ machine.succeed(f"curl --fail 'http://localhost:{port}/healthcheck' | grep OK")
+ machine.succeed(
+ f"curl 'http://localhost:{port}/v1/me' -u '{user}' -H Content-Type:application/json | grep '\"is_admin\":true'"
+ )
+ machine.fail('journalctl -b --no-pager --grep "^audit: .*apparmor=\\"DENIED\\""')
- default.wait_for_unit("miniflux.service")
- default.wait_for_open_port(${toString defaultPort})
- default.succeed("curl --fail 'http://localhost:${toString defaultPort}/healthcheck' | grep OK")
- default.succeed(
- "curl 'http://localhost:${toString defaultPort}/v1/me' -u '${defaultUsername}:${defaultPassword}' -H Content-Type:application/json | grep '\"is_admin\":true'"
- )
- default.fail('journalctl -b --no-pager --grep "^audit: .*apparmor=\\"DENIED\\""')
+ default.start()
+ withoutSudo.start()
+ customized.start()
+ postgresTcp.start()
- withoutSudo.wait_for_unit("miniflux.service")
- withoutSudo.wait_for_open_port(${toString defaultPort})
- withoutSudo.succeed("curl --fail 'http://localhost:${toString defaultPort}/healthcheck' | grep OK")
- withoutSudo.succeed(
- "curl 'http://localhost:${toString defaultPort}/v1/me' -u '${defaultUsername}:${defaultPassword}' -H Content-Type:application/json | grep '\"is_admin\":true'"
- )
- withoutSudo.fail('journalctl -b --no-pager --grep "^audit: .*apparmor=\\"DENIED\\""')
+ runTest(default, ${toString defaultPort}, "${defaultUsername}:${defaultPassword}")
+ runTest(withoutSudo, ${toString defaultPort}, "${defaultUsername}:${defaultPassword}")
+ runTest(customized, ${toString port}, "${username}:${password}")
- customized.wait_for_unit("miniflux.service")
- customized.wait_for_open_port(${toString port})
- customized.succeed("curl --fail 'http://localhost:${toString port}/healthcheck' | grep OK")
- customized.succeed(
- "curl 'http://localhost:${toString port}/v1/me' -u '${username}:${password}' -H Content-Type:application/json | grep '\"is_admin\":true'"
- )
- customized.fail('journalctl -b --no-pager --grep "^audit: .*apparmor=\\"DENIED\\""')
+ postgresTcp.wait_for_unit("postgresql.service")
+ externalDb.start()
+ runTest(externalDb, ${toString defaultPort}, "${defaultUsername}:${defaultPassword}")
'';
})
diff --git a/nixos/tests/minio.nix b/nixos/tests/minio.nix
index ece4864f771c..67eb0cd88440 100644
--- a/nixos/tests/minio.nix
+++ b/nixos/tests/minio.nix
@@ -43,17 +43,17 @@ import ./make-test-python.nix ({ pkgs, ... }:
# Minio requires at least 1GiB of free disk space to run.
virtualisation.diskSize = 4 * 1024;
+
+ # Minio pre allocates 2GiB or memory, reserve some more
+ virtualisation.memorySize = 4096;
};
};
testScript = ''
- import time
start_all()
# simulate manually editing root credentials file
machine.wait_for_unit("multi-user.target")
- machine.copy_from_host("${credsPartial}", "${rootCredentialsFile}")
- time.sleep(3)
machine.copy_from_host("${credsFull}", "${rootCredentialsFile}")
machine.wait_for_unit("minio.service")
diff --git a/nixos/tests/miriway.nix b/nixos/tests/miriway.nix
index a0987d9fc41b..24e6ec6367cd 100644
--- a/nixos/tests/miriway.nix
+++ b/nixos/tests/miriway.nix
@@ -100,7 +100,7 @@ import ./make-test-python.nix ({ pkgs, lib, ... }: {
# Test Wayland
# We let Miriway start the first terminal, as we might get stuck if it's not ready to process the first keybind
# machine.send_key("ctrl-alt-t")
- machine.wait_for_text("alice@machine")
+ machine.wait_for_text(r"(alice|machine)")
machine.send_chars("test-wayland\n")
machine.wait_for_file("/tmp/test-wayland-exit-ok")
machine.copy_from_vm("/tmp/test-wayland.out")
@@ -112,7 +112,7 @@ import ./make-test-python.nix ({ pkgs, lib, ... }: {
# Test XWayland
machine.send_key("ctrl-alt-a")
- machine.wait_for_text("alice@machine")
+ machine.wait_for_text(r"(alice|machine)")
machine.send_chars("test-x11\n")
machine.wait_for_file("/tmp/test-x11-exit-ok")
machine.copy_from_vm("/tmp/test-x11.out")
diff --git a/nixos/tests/monado.nix b/nixos/tests/monado.nix
new file mode 100644
index 000000000000..8368950951e7
--- /dev/null
+++ b/nixos/tests/monado.nix
@@ -0,0 +1,39 @@
+import ./make-test-python.nix ({ pkgs, ... }: {
+ name = "monado";
+
+ nodes.machine =
+ { pkgs, ... }:
+
+ {
+ hardware.opengl.enable = true;
+ users.users.alice = {
+ isNormalUser = true;
+ uid = 1000;
+ };
+
+ services.monado = {
+ enable = true;
+ defaultRuntime = true;
+ };
+ # Stop Monado from probing for any hardware
+ systemd.user.services.monado.environment.SIMULATED_ENABLE = "1";
+
+ environment.systemPackages = with pkgs; [ openxr-loader ];
+ };
+
+ testScript = { nodes, ... }:
+ let
+ userId = toString nodes.machine.users.users.alice.uid;
+ runtimePath = "/run/user/${userId}";
+ in
+ ''
+ machine.succeed("loginctl enable-linger alice")
+ machine.wait_for_unit("user@${userId}.service")
+
+ machine.wait_for_unit("monado.socket", "alice")
+ machine.systemctl("start monado.service", "alice")
+ machine.wait_for_unit("monado.service", "alice")
+
+ machine.succeed("su -- alice -c env XDG_RUNTIME_DIR=${runtimePath} openxr_runtime_list")
+ '';
+})
diff --git a/nixos/tests/morph-browser.nix b/nixos/tests/morph-browser.nix
new file mode 100644
index 000000000000..859e6bb47646
--- /dev/null
+++ b/nixos/tests/morph-browser.nix
@@ -0,0 +1,53 @@
+import ./make-test-python.nix ({ pkgs, lib, ... }: {
+ name = "morph-browser-standalone";
+ meta.maintainers = lib.teams.lomiri.members;
+
+ nodes.machine = { config, pkgs, ... }: {
+ imports = [
+ ./common/x11.nix
+ ];
+
+ services.xserver.enable = true;
+
+ environment = {
+ systemPackages = with pkgs.lomiri; [
+ suru-icon-theme
+ morph-browser
+ ];
+ variables = {
+ UITK_ICON_THEME = "suru";
+ };
+ };
+
+ i18n.supportedLocales = [ "all" ];
+
+ fonts.packages = with pkgs; [
+ # Intended font & helps with OCR
+ ubuntu_font_family
+ ];
+ };
+
+ enableOCR = true;
+
+ testScript =
+ ''
+ machine.wait_for_x()
+
+ with subtest("morph browser launches"):
+ machine.execute("morph-browser >&2 &")
+ machine.wait_for_text(r"Web Browser|New|sites|Bookmarks")
+ machine.screenshot("morph_open")
+
+ with subtest("morph browser displays HTML"):
+ machine.send_chars("file://${pkgs.valgrind.doc}/share/doc/valgrind/html/index.html\n")
+ machine.wait_for_text("Valgrind Documentation")
+ machine.screenshot("morph_htmlcontent")
+
+ machine.succeed("pkill -f morph-browser")
+
+ with subtest("morph browser localisation works"):
+ machine.execute("env LANG=de_DE.UTF-8 morph-browser >&2 &")
+ machine.wait_for_text(r"Web-Browser|Neuer|Seiten|Lesezeichen")
+ machine.screenshot("morph_localised")
+ '';
+})
diff --git a/nixos/tests/mycelium/default.nix b/nixos/tests/mycelium/default.nix
new file mode 100644
index 000000000000..f0d72436843c
--- /dev/null
+++ b/nixos/tests/mycelium/default.nix
@@ -0,0 +1,57 @@
+import ../make-test-python.nix ({ lib, ... }: let
+ peer1-ip = "531:c350:28c1:dfde:ea6d:77d1:a60b:7209";
+ peer2-ip = "49f:3942:3a55:d100:4c78:c558:c4f:695b";
+in
+ {
+ name = "mycelium";
+ meta.maintainers = with lib.maintainers; [ lassulus ];
+
+ nodes = {
+
+ peer1 = { config, pkgs, ... }: {
+ virtualisation.vlans = [ 1 ];
+ networking.interfaces.eth1.ipv4.addresses = [{
+ address = "192.168.1.11";
+ prefixLength = 24;
+ }];
+
+ services.mycelium = {
+ enable = true;
+ addHostedPublicNodes = false;
+ openFirewall = true;
+ keyFile = ./peer1.key;
+ peers = [
+ "quic://192.168.1.12:9651"
+ "tcp://192.168.1.12:9651"
+ ];
+ };
+ };
+
+ peer2 = { config, pkgs, ... }: {
+ virtualisation.vlans = [ 1 ];
+ networking.interfaces.eth1.ipv4.addresses = [{
+ address = "192.168.1.12";
+ prefixLength = 24;
+ }];
+
+ services.mycelium = {
+ enable = true;
+ addHostedPublicNodes = false;
+ openFirewall = true;
+ keyFile = ./peer2.key;
+ };
+ };
+ };
+
+ testScript = ''
+ start_all()
+
+ peer1.wait_for_unit("network-online.target")
+ peer2.wait_for_unit("network-online.target")
+ peer1.wait_for_unit("mycelium.service")
+ peer2.wait_for_unit("mycelium.service")
+
+ peer1.succeed("ping -c5 ${peer2-ip}")
+ peer2.succeed("ping -c5 ${peer1-ip}")
+ '';
+ })
diff --git a/nixos/tests/mycelium/peer1.key b/nixos/tests/mycelium/peer1.key
new file mode 100644
index 000000000000..db1cf9e72fe4
--- /dev/null
+++ b/nixos/tests/mycelium/peer1.key
@@ -0,0 +1 @@
+s B0dRH5u?^
\ No newline at end of file
diff --git a/nixos/tests/mycelium/peer2.key b/nixos/tests/mycelium/peer2.key
new file mode 100644
index 000000000000..7e757de48efb
--- /dev/null
+++ b/nixos/tests/mycelium/peer2.key
@@ -0,0 +1 @@
+X1yGՅSAMe7]
\ No newline at end of file
diff --git a/nixos/tests/nebula.nix b/nixos/tests/nebula.nix
index 89b91d89fcb3..6c468153d5b2 100644
--- a/nixos/tests/nebula.nix
+++ b/nixos/tests/nebula.nix
@@ -10,6 +10,7 @@ import ./make-test-python.nix ({ pkgs, lib, ... }: let
environment.systemPackages = [ pkgs.nebula ];
users.users.root.openssh.authorizedKeys.keys = [ snakeOilPublicKey ];
services.openssh.enable = true;
+ networking.firewall.enable = true; # Implicitly true, but let's make sure.
networking.interfaces.eth1.useDHCP = false;
services.nebula.networks.smoke = {
@@ -17,7 +18,10 @@ import ./make-test-python.nix ({ pkgs, lib, ... }: let
ca = "/etc/nebula/ca.crt";
cert = "/etc/nebula/${name}.crt";
key = "/etc/nebula/${name}.key";
- listen = { host = "0.0.0.0"; port = 4242; };
+ listen = {
+ host = "0.0.0.0";
+ port = if (config.services.nebula.networks.smoke.isLighthouse || config.services.nebula.networks.smoke.isRelay) then 4242 else 0;
+ };
};
}
extraConfig
diff --git a/nixos/tests/nextcloud/with-postgresql-and-redis.nix b/nixos/tests/nextcloud/with-postgresql-and-redis.nix
index 3c090f0d3c3b..06afc589403d 100644
--- a/nixos/tests/nextcloud/with-postgresql-and-redis.nix
+++ b/nixos/tests/nextcloud/with-postgresql-and-redis.nix
@@ -39,7 +39,7 @@ in {
};
extraAppsEnable = true;
extraApps = {
- inherit (pkgs."nextcloud${lib.versions.major config.services.nextcloud.package.version}Packages".apps) notify_push;
+ inherit (pkgs."nextcloud${lib.versions.major config.services.nextcloud.package.version}Packages".apps) notify_push notes;
};
settings.trusted_proxies = [ "::1" ];
};
@@ -84,7 +84,7 @@ in {
"${withRcloneEnv} ${copySharedFile}"
)
client.wait_for_unit("multi-user.target")
- client.execute("${pkgs.nextcloud-notify_push.passthru.test_client}/bin/test_client http://nextcloud ${adminuser} ${adminpass} >&2 &")
+ client.execute("${pkgs.lib.getExe pkgs.nextcloud-notify_push.passthru.test_client} http://nextcloud ${adminuser} ${adminpass} >&2 &")
client.succeed(
"${withRcloneEnv} ${diffSharedFile}"
)
@@ -92,5 +92,7 @@ in {
# redis cache should not be empty
nextcloud.fail('test "[]" = "$(redis-cli --json KEYS "*")"')
+
+ nextcloud.fail("curl -f http://nextcloud/nix-apps/notes/lib/AppInfo/Application.php")
'';
})) args
diff --git a/nixos/tests/nimdow.nix b/nixos/tests/nimdow.nix
new file mode 100644
index 000000000000..cefe46edc5fb
--- /dev/null
+++ b/nixos/tests/nimdow.nix
@@ -0,0 +1,25 @@
+import ./make-test-python.nix ({ pkgs, ...} : {
+ name = "nimdow";
+ meta = with pkgs.lib.maintainers; {
+ maintainers = [ marcusramberg ];
+ };
+
+ nodes.machine = { lib, ... }: {
+ imports = [ ./common/x11.nix ./common/user-account.nix ];
+ test-support.displayManager.auto.user = "alice";
+ services.xserver.displayManager.defaultSession = lib.mkForce "none+nimdow";
+ services.xserver.windowManager.nimdow.enable = true;
+ };
+
+ testScript = { ... }: ''
+ with subtest("ensure x starts"):
+ machine.wait_for_x()
+ machine.wait_for_file("/home/alice/.Xauthority")
+ machine.succeed("xauth merge ~alice/.Xauthority")
+
+ with subtest("ensure we can open a new terminal"):
+ machine.send_key("meta_l-ret")
+ machine.wait_for_window(r"alice.*?machine")
+ machine.screenshot("terminal")
+ '';
+})
diff --git a/nixos/tests/nix-config.nix b/nixos/tests/nix-config.nix
new file mode 100644
index 000000000000..907e886def35
--- /dev/null
+++ b/nixos/tests/nix-config.nix
@@ -0,0 +1,18 @@
+import ./make-test-python.nix ({ pkgs, ... }:
+{
+ name = "nix-config";
+ nodes.machine = { pkgs, ... }: {
+ nix.settings = {
+ nix-path = [ "nonextra=/etc/value.nix" ];
+ extra-nix-path = [ "extra=/etc/value.nix" ];
+ };
+ environment.etc."value.nix".text = "42";
+ };
+ testScript = ''
+ start_all()
+ machine.wait_for_unit("nix-daemon.socket")
+ # regression test for the workaround for https://github.com/NixOS/nix/issues/9487
+ print(machine.succeed("nix-instantiate --find-file extra"))
+ print(machine.succeed("nix-instantiate --find-file nonextra"))
+ '';
+})
diff --git a/nixos/tests/nixops/default.nix b/nixos/tests/nixops/default.nix
index 6501d13a2ed3..6468b8c38224 100644
--- a/nixos/tests/nixops/default.nix
+++ b/nixos/tests/nixops/default.nix
@@ -9,7 +9,7 @@ let
# - Alternatively, blocked on a NixOps 2 release
# https://github.com/NixOS/nixops/issues/1242
# stable = testsLegacyNetwork { nixopsPkg = pkgs.nixops; };
- unstable = testsForPackage { nixopsPkg = pkgs.nixops_unstable; };
+ unstable = testsForPackage { nixopsPkg = pkgs.nixops_unstable_minimal; };
# inherit testsForPackage;
};
@@ -32,6 +32,7 @@ let
pkgs.hello
pkgs.figlet
];
+ virtualisation.memorySize = 2048;
# TODO: make this efficient, https://github.com/NixOS/nixpkgs/issues/180529
system.includeBuildDependencies = true;
@@ -92,23 +93,5 @@ let
inherit (import ../ssh-keys.nix pkgs) snakeOilPrivateKey snakeOilPublicKey;
- /*
- Return a store path with a closure containing everything including
- derivations and all build dependency outputs, all the way down.
- */
- allDrvOutputs = pkg:
- let name = "allDrvOutputs-${pkg.pname or pkg.name or "unknown"}";
- in
- pkgs.runCommand name { refs = pkgs.writeReferencesToFile pkg.drvPath; } ''
- touch $out
- while read ref; do
- case $ref in
- *.drv)
- cat $ref >>$out
- ;;
- esac
- done <$refs
- '';
-
in
tests
diff --git a/nixos/tests/ollama.nix b/nixos/tests/ollama.nix
new file mode 100644
index 000000000000..4b21f445cdbd
--- /dev/null
+++ b/nixos/tests/ollama.nix
@@ -0,0 +1,56 @@
+import ./make-test-python.nix ({ pkgs, lib, ... }:
+let
+ mainPort = "11434";
+ altPort = "11435";
+
+ curlRequest = port: request:
+ "curl http://127.0.0.1:${port}/api/generate -d '${builtins.toJSON request}'";
+
+ prompt = {
+ model = "tinydolphin";
+ prompt = "lorem ipsum";
+ options = {
+ seed = 69;
+ temperature = 0;
+ };
+ };
+in
+{
+ name = "ollama";
+ meta = with lib.maintainers; {
+ maintainers = [ abysssol ];
+ };
+
+ nodes = {
+ cpu = { ... }: {
+ services.ollama.enable = true;
+ };
+
+ rocm = { ... }: {
+ services.ollama.enable = true;
+ services.ollama.acceleration = "rocm";
+ };
+
+ cuda = { ... }: {
+ services.ollama.enable = true;
+ services.ollama.acceleration = "cuda";
+ };
+
+ altAddress = { ... }: {
+ services.ollama.enable = true;
+ services.ollama.listenAddress = "127.0.0.1:${altPort}";
+ };
+ };
+
+ testScript = ''
+ vms = [ cpu, rocm, cuda, altAddress ];
+
+ start_all()
+ for vm in vms:
+ vm.wait_for_unit("multi-user.target")
+
+ stdout = cpu.succeed("""${curlRequest mainPort prompt}""", timeout=100)
+
+ stdout = altAddress.succeed("""${curlRequest altPort prompt}""", timeout=100)
+ '';
+})
diff --git a/nixos/tests/opensearch.nix b/nixos/tests/opensearch.nix
index 2887ac967765..7d37583464cb 100644
--- a/nixos/tests/opensearch.nix
+++ b/nixos/tests/opensearch.nix
@@ -1,7 +1,7 @@
let
- opensearchTest =
+ opensearchTest = extraSettings:
import ./make-test-python.nix (
- { pkgs, lib, extraSettings ? {} }: {
+ { pkgs, lib, ... }: {
name = "opensearch";
meta.maintainers = with pkgs.lib.maintainers; [ shyim ];
@@ -27,20 +27,18 @@ in
{
opensearch = opensearchTest {};
opensearchCustomPathAndUser = opensearchTest {
- extraSettings = {
- services.opensearch.dataDir = "/var/opensearch_test";
- services.opensearch.user = "open_search";
- services.opensearch.group = "open_search";
- systemd.tmpfiles.rules = [
- "d /var/opensearch_test 0700 open_search open_search -"
- ];
- users = {
- groups.open_search = {};
- users.open_search = {
- description = "OpenSearch daemon user";
- group = "open_search";
- isSystemUser = true;
- };
+ services.opensearch.dataDir = "/var/opensearch_test";
+ services.opensearch.user = "open_search";
+ services.opensearch.group = "open_search";
+ systemd.tmpfiles.rules = [
+ "d /var/opensearch_test 0700 open_search open_search -"
+ ];
+ users = {
+ groups.open_search = { };
+ users.open_search = {
+ description = "OpenSearch daemon user";
+ group = "open_search";
+ isSystemUser = true;
};
};
};
diff --git a/nixos/tests/pass-secret-service.nix b/nixos/tests/pass-secret-service.nix
index e0dddf0ad29e..cdbdaa52dbc0 100644
--- a/nixos/tests/pass-secret-service.nix
+++ b/nixos/tests/pass-secret-service.nix
@@ -26,7 +26,6 @@ import ./make-test-python.nix ({ pkgs, lib, ... }: {
programs.gnupg = {
agent.enable = true;
- agent.pinentryFlavor = "tty";
dirmngr.enable = true;
};
};
diff --git a/nixos/tests/pg_anonymizer.nix b/nixos/tests/pg_anonymizer.nix
new file mode 100644
index 000000000000..2960108e37c3
--- /dev/null
+++ b/nixos/tests/pg_anonymizer.nix
@@ -0,0 +1,94 @@
+import ./make-test-python.nix ({ pkgs, lib, ... }: {
+ name = "pg_anonymizer";
+ meta.maintainers = lib.teams.flyingcircus.members;
+
+ nodes.machine = { pkgs, ... }: {
+ environment.systemPackages = [ pkgs.pg-dump-anon ];
+ services.postgresql = {
+ enable = true;
+ extraPlugins = ps: [ ps.anonymizer ];
+ settings.shared_preload_libraries = "anon";
+ };
+ };
+
+ testScript = ''
+ start_all()
+ machine.wait_for_unit("multi-user.target")
+ machine.wait_for_unit("postgresql.service")
+
+ with subtest("Setup"):
+ machine.succeed("sudo -u postgres psql --command 'create database demo'")
+ machine.succeed(
+ "sudo -u postgres psql -d demo -f ${pkgs.writeText "init.sql" ''
+ create extension anon cascade;
+ select anon.init();
+ create table player(id serial, name text, points int);
+ insert into player(id,name,points) values (1,'Foo', 23);
+ insert into player(id,name,points) values (2,'Bar',42);
+ security label for anon on column player.name is 'MASKED WITH FUNCTION anon.fake_last_name();';
+ security label for anon on column player.points is 'MASKED WITH VALUE NULL';
+ ''}"
+ )
+
+ def get_player_table_contents():
+ return [
+ x.split(',') for x in machine.succeed("sudo -u postgres psql -d demo --csv --command 'select * from player'").splitlines()[1:]
+ ]
+
+ def check_anonymized_row(row, id, original_name):
+ assert row[0] == id, f"Expected first row to have ID {id}, but got {row[0]}"
+ assert row[1] != original_name, f"Expected first row to have a name other than {original_name}"
+ assert not bool(row[2]), "Expected points to be NULL in first row"
+
+ def find_xsv_in_dump(dump, sep=','):
+ """
+ Expecting to find a CSV (for pg_dump_anon) or TSV (for pg_dump) structure, looking like
+
+ COPY public.player ...
+ 1,Shields,
+ 2,Salazar,
+ \.
+
+ in the given dump (the commas are tabs in case of pg_dump).
+ Extract the CSV lines and split by `sep`.
+ """
+
+ try:
+ from itertools import dropwhile, takewhile
+ return [x.split(sep) for x in list(takewhile(
+ lambda x: x != "\\.",
+ dropwhile(
+ lambda x: not x.startswith("COPY public.player"),
+ dump.splitlines()
+ )
+ ))[1:]]
+ except:
+ print(f"Dump to process: {dump}")
+ raise
+
+ def check_original_data(output):
+ assert output[0] == ['1','Foo','23'], f"Expected first row from player table to be 1,Foo,23; got {output[0]}"
+ assert output[1] == ['2','Bar','42'], f"Expected first row from player table to be 2,Bar,42; got {output[1]}"
+
+ def check_anonymized_rows(output):
+ check_anonymized_row(output[0], '1', 'Foo')
+ check_anonymized_row(output[1], '2', 'Bar')
+
+ with subtest("Check initial state"):
+ check_original_data(get_player_table_contents())
+
+ with subtest("Anonymous dumps"):
+ check_original_data(find_xsv_in_dump(
+ machine.succeed("sudo -u postgres pg_dump demo"),
+ sep='\t'
+ ))
+ check_anonymized_rows(find_xsv_in_dump(
+ machine.succeed("sudo -u postgres pg_dump_anon -U postgres -h /run/postgresql -d demo"),
+ sep=','
+ ))
+
+ with subtest("Anonymize"):
+ machine.succeed("sudo -u postgres psql -d demo --command 'select anon.anonymize_database();'")
+ check_anonymized_rows(get_player_table_contents())
+ '';
+})
diff --git a/nixos/tests/pgvecto-rs.nix b/nixos/tests/pgvecto-rs.nix
new file mode 100644
index 000000000000..cd871dab6a0f
--- /dev/null
+++ b/nixos/tests/pgvecto-rs.nix
@@ -0,0 +1,76 @@
+# mostly copied from ./timescaledb.nix which was copied from ./postgresql.nix
+# as it seemed unapproriate to test additional extensions for postgresql there.
+
+{ system ? builtins.currentSystem
+, config ? { }
+, pkgs ? import ../.. { inherit system config; }
+}:
+
+with import ../lib/testing-python.nix { inherit system pkgs; };
+with pkgs.lib;
+
+let
+ postgresql-versions = import ../../pkgs/servers/sql/postgresql pkgs;
+ # Test cases from https://docs.pgvecto.rs/use-cases/hybrid-search.html
+ test-sql = pkgs.writeText "postgresql-test" ''
+ CREATE EXTENSION vectors;
+
+ CREATE TABLE items (
+ id bigserial PRIMARY KEY,
+ content text NOT NULL,
+ embedding vectors.vector(3) NOT NULL -- 3 dimensions
+ );
+
+ INSERT INTO items (content, embedding) VALUES
+ ('a fat cat sat on a mat and ate a fat rat', '[1, 2, 3]'),
+ ('a fat dog sat on a mat and ate a fat rat', '[4, 5, 6]'),
+ ('a thin cat sat on a mat and ate a thin rat', '[7, 8, 9]'),
+ ('a thin dog sat on a mat and ate a thin rat', '[10, 11, 12]');
+ '';
+ make-postgresql-test = postgresql-name: postgresql-package: makeTest {
+ name = postgresql-name;
+ meta = with pkgs.lib.maintainers; {
+ maintainers = [ diogotcorreia ];
+ };
+
+ nodes.machine = { ... }:
+ {
+ services.postgresql = {
+ enable = true;
+ package = postgresql-package;
+ extraPlugins = ps: with ps; [
+ pgvecto-rs
+ ];
+ settings.shared_preload_libraries = "vectors";
+ };
+ };
+
+ testScript = ''
+ def check_count(statement, lines):
+ return 'test $(sudo -u postgres psql postgres -tAc "{}"|wc -l) -eq {}'.format(
+ statement, lines
+ )
+
+
+ machine.start()
+ machine.wait_for_unit("postgresql")
+
+ with subtest("Postgresql with extension vectors is available just after unit start"):
+ machine.succeed(check_count("SELECT * FROM pg_available_extensions WHERE name = 'vectors' AND default_version = '${postgresql-package.pkgs.pgvecto-rs.version}';", 1))
+
+ machine.succeed("sudo -u postgres psql -f ${test-sql}")
+
+ machine.succeed(check_count("SELECT content, embedding FROM items WHERE to_tsvector('english', content) @@ 'cat & rat'::tsquery;", 2))
+
+ machine.shutdown()
+ '';
+
+ };
+ applicablePostgresqlVersions = filterAttrs (_: value: versionAtLeast value.version "12") postgresql-versions;
+in
+mapAttrs'
+ (name: package: {
+ inherit name;
+ value = make-postgresql-test name package;
+ })
+ applicablePostgresqlVersions
diff --git a/nixos/tests/plasma6.nix b/nixos/tests/plasma6.nix
new file mode 100644
index 000000000000..ec5b3f24ef74
--- /dev/null
+++ b/nixos/tests/plasma6.nix
@@ -0,0 +1,64 @@
+import ./make-test-python.nix ({ pkgs, ...} :
+
+{
+ name = "plasma6";
+ meta = with pkgs.lib.maintainers; {
+ maintainers = [ k900 ];
+ };
+
+ nodes.machine = { ... }:
+
+ {
+ imports = [ ./common/user-account.nix ];
+ services.xserver.enable = true;
+ services.xserver.displayManager.sddm.enable = true;
+ # FIXME: this should be testing Wayland
+ services.xserver.displayManager.defaultSession = "plasmax11";
+ services.xserver.desktopManager.plasma6.enable = true;
+ environment.plasma6.excludePackages = [ pkgs.kdePackages.elisa ];
+ services.xserver.displayManager.autoLogin = {
+ enable = true;
+ user = "alice";
+ };
+ };
+
+ testScript = { nodes, ... }: let
+ user = nodes.machine.users.users.alice;
+ xdo = "${pkgs.xdotool}/bin/xdotool";
+ in ''
+ with subtest("Wait for login"):
+ start_all()
+ machine.wait_for_file("/tmp/xauth_*")
+ machine.succeed("xauth merge /tmp/xauth_*")
+
+ with subtest("Check plasmashell started"):
+ machine.wait_until_succeeds("pgrep plasmashell")
+ machine.wait_for_window("^Desktop ")
+
+ with subtest("Check that KDED is running"):
+ machine.succeed("pgrep kded6")
+
+ with subtest("Ensure Elisa is not installed"):
+ machine.fail("which elisa")
+
+ machine.succeed("su - ${user.name} -c 'xauth merge /tmp/xauth_*'")
+
+ with subtest("Run Dolphin"):
+ machine.execute("su - ${user.name} -c 'DISPLAY=:0.0 dolphin >&2 &'")
+ machine.wait_for_window(" Dolphin")
+
+ with subtest("Run Konsole"):
+ machine.execute("su - ${user.name} -c 'DISPLAY=:0.0 konsole >&2 &'")
+ machine.wait_for_window("Konsole")
+
+ with subtest("Run systemsettings"):
+ machine.execute("su - ${user.name} -c 'DISPLAY=:0.0 systemsettings >&2 &'")
+ machine.wait_for_window("Settings")
+
+ with subtest("Wait to get a screenshot"):
+ machine.execute(
+ "${xdo} key Alt+F1 sleep 10"
+ )
+ machine.screenshot("screen")
+ '';
+})
diff --git a/nixos/tests/power-profiles-daemon.nix b/nixos/tests/power-profiles-daemon.nix
index c887cde4b829..8a54d8e8bab8 100644
--- a/nixos/tests/power-profiles-daemon.nix
+++ b/nixos/tests/power-profiles-daemon.nix
@@ -8,22 +8,22 @@ import ./make-test-python.nix ({ pkgs, ... }:
nodes.machine = { pkgs, ... }: {
security.polkit.enable = true;
services.power-profiles-daemon.enable = true;
- environment.systemPackages = [ pkgs.glib ];
+ environment.systemPackages = [ pkgs.glib pkgs.power-profiles-daemon ];
};
testScript = ''
def get_profile():
return machine.succeed(
- """gdbus call --system --dest net.hadess.PowerProfiles --object-path /net/hadess/PowerProfiles \
- --method org.freedesktop.DBus.Properties.Get 'net.hadess.PowerProfiles' 'ActiveProfile'
+ """gdbus call --system --dest org.freedesktop.UPower.PowerProfiles --object-path /org/freedesktop/UPower/PowerProfiles \
+ --method org.freedesktop.DBus.Properties.Get 'org.freedesktop.UPower.PowerProfiles' 'ActiveProfile'
"""
)
def set_profile(profile):
return machine.succeed(
- """gdbus call --system --dest net.hadess.PowerProfiles --object-path /net/hadess/PowerProfiles \
- --method org.freedesktop.DBus.Properties.Set 'net.hadess.PowerProfiles' 'ActiveProfile' "<'{profile}'>"
+ """gdbus call --system --dest org.freedesktop.UPower.PowerProfiles --object-path /org/freedesktop/UPower/PowerProfiles \
+ --method org.freedesktop.DBus.Properties.Set 'org.freedesktop.UPower.PowerProfiles' 'ActiveProfile' "<'{profile}'>"
""".format(
profile=profile
)
@@ -42,5 +42,16 @@ import ./make-test-python.nix ({ pkgs, ... }:
profile = get_profile()
if not "balanced" in profile:
raise Exception("Unable to set balanced profile")
+
+ # test powerprofilectl CLI
+ machine.succeed("powerprofilesctl set power-saver")
+ profile = get_profile()
+ if not "power-saver" in profile:
+ raise Exception("Unable to set power-saver profile with powerprofilectl")
+
+ machine.succeed("powerprofilesctl set balanced")
+ profile = get_profile()
+ if not "balanced" in profile:
+ raise Exception("Unable to set balanced profile with powerprofilectl")
'';
})
diff --git a/nixos/tests/privoxy.nix b/nixos/tests/privoxy.nix
index 2d95c4522a01..2a18d332c877 100644
--- a/nixos/tests/privoxy.nix
+++ b/nixos/tests/privoxy.nix
@@ -77,6 +77,11 @@ in
networking.proxy.httpsProxy = "http://localhost:8118";
};
+ nodes.machine_socks4 = { ... }: { services.privoxy = { enable = true; settings.forward-socks4 = "/ 127.0.0.1:9050 ."; }; };
+ nodes.machine_socks4a = { ... }: { services.privoxy = { enable = true; settings.forward-socks4a = "/ 127.0.0.1:9050 ."; }; };
+ nodes.machine_socks5 = { ... }: { services.privoxy = { enable = true; settings.forward-socks5 = "/ 127.0.0.1:9050 ."; }; };
+ nodes.machine_socks5t = { ... }: { services.privoxy = { enable = true; settings.forward-socks5t = "/ 127.0.0.1:9050 ."; }; };
+
testScript =
''
with subtest("Privoxy is running"):
@@ -109,5 +114,13 @@ in
machine.systemctl("start systemd-tmpfiles-clean")
# ...and count again
machine.succeed("test $(ls /run/privoxy/certs | wc -l) -eq 0")
+
+ with subtest("Privoxy supports socks upstream proxies"):
+ for m in [machine_socks4, machine_socks4a, machine_socks5, machine_socks5t]:
+ m.wait_for_unit("privoxy")
+ m.wait_for_open_port(8118)
+ # We expect a 503 error because the dummy upstream proxy is not reachable.
+ # In issue #265654, instead privoxy segfaulted causing curl to exit with "Empty reply from server".
+ m.succeed("http_proxy=http://localhost:8118 curl -v http://does-not-exist/ 2>&1 | grep 'HTTP/1.1 503'")
'';
})
diff --git a/nixos/tests/prometheus-exporters.nix b/nixos/tests/prometheus-exporters.nix
index 7e74f27174ec..3dc368e320ff 100644
--- a/nixos/tests/prometheus-exporters.nix
+++ b/nixos/tests/prometheus-exporters.nix
@@ -218,6 +218,9 @@ let
services.dnsmasq.enable = true;
};
exporterTest = ''
+ wait_for_unit("dnsmasq.service")
+ wait_for_open_port(53)
+ wait_for_file("/var/lib/dnsmasq/dnsmasq.leases")
wait_for_unit("prometheus-dnsmasq-exporter.service")
wait_for_open_port(9153)
succeed("curl -sSf http://localhost:9153/metrics | grep 'dnsmasq_leases 0'")
@@ -415,54 +418,6 @@ let
'';
};
- kea = let
- controlSocketPathV4 = "/run/kea/dhcp4.sock";
- controlSocketPathV6 = "/run/kea/dhcp6.sock";
- in
- {
- exporterConfig = {
- enable = true;
- controlSocketPaths = [
- controlSocketPathV4
- controlSocketPathV6
- ];
- };
- metricProvider = {
- services.kea = {
- dhcp4 = {
- enable = true;
- settings = {
- control-socket = {
- socket-type = "unix";
- socket-name = controlSocketPathV4;
- };
- };
- };
- dhcp6 = {
- enable = true;
- settings = {
- control-socket = {
- socket-type = "unix";
- socket-name = controlSocketPathV6;
- };
- };
- };
- };
- };
-
- exporterTest = ''
- wait_for_unit("kea-dhcp4-server.service")
- wait_for_unit("kea-dhcp6-server.service")
- wait_for_file("${controlSocketPathV4}")
- wait_for_file("${controlSocketPathV6}")
- wait_for_unit("prometheus-kea-exporter.service")
- wait_for_open_port(9547)
- succeed(
- "curl --fail localhost:9547/metrics | grep 'packets_received_total'"
- )
- '';
- };
-
knot = {
exporterConfig = {
enable = true;
diff --git a/nixos/tests/qemu-vm-external-disk-image.nix b/nixos/tests/qemu-vm-external-disk-image.nix
index a229fc5e3963..c481159511a0 100644
--- a/nixos/tests/qemu-vm-external-disk-image.nix
+++ b/nixos/tests/qemu-vm-external-disk-image.nix
@@ -69,5 +69,8 @@ in
os.environ['NIX_DISK_IMAGE'] = tmp_disk_image.name
machine.succeed("findmnt --kernel --source ${rootFsDevice} --target /")
+
+ # Make sure systemd boot didn't clobber this
+ machine.succeed("[ ! -e /homeless-shelter ]")
'';
}
diff --git a/nixos/tests/qownnotes.nix b/nixos/tests/qownnotes.nix
index 93801cb98702..3390ba6d9025 100644
--- a/nixos/tests/qownnotes.nix
+++ b/nixos/tests/qownnotes.nix
@@ -21,6 +21,7 @@ import ./make-test-python.nix ({ lib, pkgs, ...} :
enableOCR = true;
+ # https://nixos.org/manual/nixos/stable/#ssec-machine-objects
testScript = { nodes, ... }: let
aliceDo = cmd: ''machine.succeed("su - alice -c '${cmd}' >&2 &");'';
in ''
@@ -52,8 +53,13 @@ import ./make-test-python.nix ({ lib, pkgs, ...} :
machine.wait_for_text("App metric")
machine.send_key("ret")
+ # Doesn't work for non-root
+ #machine.wait_for_window("QOwnNotes - ${pkgs.qownnotes.version}")
+
+ # OCR doesn't seem to be able any more to handle the main window
+ #machine.wait_for_text("QOwnNotes - ${pkgs.qownnotes.version}")
+
# The main window should now show up
- machine.wait_for_text("QOwnNotes - ${pkgs.qownnotes.version}")
machine.wait_for_open_port(22222)
machine.wait_for_console_text("QOwnNotes server listening on port 22222")
@@ -63,7 +69,13 @@ import ./make-test-python.nix ({ lib, pkgs, ...} :
machine.send_key("ctrl-n")
machine.sleep(1)
machine.send_chars("This is a NixOS test!\n")
- machine.wait_for_text("This is a NixOS test!")
+ machine.wait_until_succeeds("find /home/alice/Notes -type f | grep -qi 'Note 2'")
+
+ # OCR doesn't seem to be able any more to handle the main window
+ #machine.wait_for_text("This is a NixOS test!")
+
+ # Doesn't work for non-root
+ #machine.wait_for_window("- QOwnNotes - ${pkgs.qownnotes.version}")
machine.screenshot("QOwnNotes-NewNote")
'';
diff --git a/nixos/tests/sanoid.nix b/nixos/tests/sanoid.nix
index 411ebcead9f6..1575634e6284 100644
--- a/nixos/tests/sanoid.nix
+++ b/nixos/tests/sanoid.nix
@@ -115,8 +115,11 @@ in {
source.systemctl("start --wait syncoid-pool-sanoid.service")
target.succeed("cat /mnt/pool/sanoid/test.txt")
source.systemctl("start --wait syncoid-pool-syncoid.service")
+ source.systemctl("start --wait syncoid-pool-syncoid.service")
target.succeed("cat /mnt/pool/syncoid/test.txt")
+ assert(len(source.succeed("zfs list -H -t snapshot pool/syncoid").splitlines()) == 1), "Syncoid should only retain one sync snapshot"
+
source.systemctl("start --wait syncoid-pool.service")
target.succeed("[[ -d /mnt/pool/full-pool/syncoid ]]")
diff --git a/nixos/tests/scrutiny.nix b/nixos/tests/scrutiny.nix
new file mode 100644
index 000000000000..33160a6b3088
--- /dev/null
+++ b/nixos/tests/scrutiny.nix
@@ -0,0 +1,70 @@
+import ./make-test-python.nix ({ lib, ... }:
+
+{
+ name = "scrutiny";
+ meta.maintainers = with lib.maintainers; [ jnsgruk ];
+
+ nodes = {
+ machine = { self, pkgs, lib, ... }: {
+ services = {
+ scrutiny.enable = true;
+ scrutiny.collector.enable = true;
+ };
+
+ environment.systemPackages =
+ let
+ seleniumScript = pkgs.writers.writePython3Bin "selenium-script"
+ {
+ libraries = with pkgs.python3Packages; [ selenium ];
+ } ''
+ from selenium import webdriver
+ from selenium.webdriver.common.by import By
+ from selenium.webdriver.firefox.options import Options
+ from selenium.webdriver.support.ui import WebDriverWait
+ from selenium.webdriver.support import expected_conditions as EC
+
+ options = Options()
+ options.add_argument("--headless")
+ service = webdriver.FirefoxService(executable_path="${lib.getExe pkgs.geckodriver}") # noqa: E501
+
+ driver = webdriver.Firefox(options=options, service=service)
+ driver.implicitly_wait(10)
+ driver.get("http://localhost:8080/web/dashboard")
+
+ wait = WebDriverWait(driver, 10).until(
+ EC.text_to_be_present_in_element(
+ (By.TAG_NAME, "body"), "Drive health at a glance")
+ )
+
+ body_text = driver.find_element(By.TAG_NAME, "body").text
+ assert "Temperature history for each device" in body_text
+
+ driver.close()
+ '';
+ in
+ with pkgs; [ curl firefox-unwrapped geckodriver seleniumScript ];
+ };
+ };
+ # This is the test code that will check if our service is running correctly:
+ testScript = ''
+ start_all()
+
+ # Wait for InfluxDB to be available
+ machine.wait_for_unit("influxdb2")
+ machine.wait_for_open_port(8086)
+
+ # Wait for Scrutiny to be available
+ machine.wait_for_unit("scrutiny")
+ machine.wait_for_open_port(8080)
+
+ # Ensure the API responds as we expect
+ output = machine.succeed("curl localhost:8080/api/health")
+ assert output == '{"success":true}'
+
+ # Start the collector service to send some metrics
+ collect = machine.succeed("systemctl start scrutiny-collector.service")
+
+ # Ensure the application is actually rendered by the Javascript
+ machine.succeed("PYTHONUNBUFFERED=1 selenium-script")
+ '';
+})
diff --git a/nixos/tests/searx.nix b/nixos/tests/searx.nix
index 2f808cb65266..02a88f690db7 100644
--- a/nixos/tests/searx.nix
+++ b/nixos/tests/searx.nix
@@ -36,7 +36,7 @@ import ./make-test-python.nix ({ pkgs, ...} :
};
# fancy setup: run in uWSGI and use nginx as proxy
- nodes.fancy = { ... }: {
+ nodes.fancy = { config, ... }: {
imports = [ ../modules/profiles/minimal.nix ];
services.searx = {
@@ -65,7 +65,7 @@ import ./make-test-python.nix ({ pkgs, ...} :
include ${pkgs.nginx}/conf/uwsgi_params;
uwsgi_pass unix:/run/searx/uwsgi.sock;
'';
- locations."/searx/static/".alias = "${pkgs.searx}/share/static/";
+ locations."/searx/static/".alias = "${config.services.searx.package}/share/static/";
};
# allow nginx access to the searx socket
@@ -108,7 +108,7 @@ import ./make-test-python.nix ({ pkgs, ...} :
"${pkgs.curl}/bin/curl --fail http://localhost/searx >&2"
)
fancy.succeed(
- "${pkgs.curl}/bin/curl --fail http://localhost/searx/static/themes/oscar/js/bootstrap.min.js >&2"
+ "${pkgs.curl}/bin/curl --fail http://localhost/searx/static/themes/simple/js/leaflet.js >&2"
)
'';
})
diff --git a/nixos/tests/slurm.nix b/nixos/tests/slurm.nix
index a6b02e970b0c..ad516b6e8d2b 100644
--- a/nixos/tests/slurm.nix
+++ b/nixos/tests/slurm.nix
@@ -45,7 +45,7 @@ let
'';
in pkgs.runCommand "mpitest" {} ''
mkdir -p $out/bin
- ${pkgs.openmpi}/bin/mpicc ${mpitestC} -o $out/bin/mpitest
+ ${lib.getDev pkgs.mpi}/bin/mpicc ${mpitestC} -o $out/bin/mpitest
'';
in {
name = "slurm";
diff --git a/nixos/tests/sourcehut.nix b/nixos/tests/sourcehut.nix
deleted file mode 100644
index 0b258acc2af1..000000000000
--- a/nixos/tests/sourcehut.nix
+++ /dev/null
@@ -1,252 +0,0 @@
-import ./make-test-python.nix ({ pkgs, lib, ... }:
-let
- domain = "sourcehut.localdomain";
-
- # Note that wildcard certificates just under the TLD (eg. *.com)
- # would be rejected by clients like curl.
- tls-cert = pkgs.runCommand "selfSignedCerts" { buildInputs = [ pkgs.openssl ]; } ''
- openssl req -x509 -newkey rsa:4096 -keyout key.pem -out cert.pem -nodes -days 36500 \
- -subj '/CN=${domain}' -extensions v3_req \
- -addext 'subjectAltName = DNS:*.${domain}'
- install -D -t $out key.pem cert.pem
- '';
-
- images = {
- nixos.unstable.x86_64 =
- let
- systemConfig = { pkgs, ... }: {
- # passwordless ssh server
- services.openssh = {
- enable = true;
- settings = {
- PermitRootLogin = "yes";
- PermitEmptyPasswords = true;
- };
- };
-
- users = {
- mutableUsers = false;
- # build user
- extraUsers."build" = {
- isNormalUser = true;
- uid = 1000;
- extraGroups = [ "wheel" ];
- password = "";
- };
- users.root.password = "";
- };
-
- security.sudo.wheelNeedsPassword = false;
- nix.settings.trusted-users = [ "root" "build" ];
- documentation.nixos.enable = false;
-
- # builds.sr.ht-image-specific network settings
- networking = {
- hostName = "build";
- dhcpcd.enable = false;
- defaultGateway.address = "10.0.2.2";
- usePredictableInterfaceNames = false;
- interfaces."eth0".ipv4.addresses = [{
- address = "10.0.2.15";
- prefixLength = 25;
- }];
- enableIPv6 = false;
- nameservers = [
- # OpenNIC anycast
- "185.121.177.177"
- "169.239.202.202"
- # Google
- "8.8.8.8"
- ];
- firewall.allowedTCPPorts = [ 22 ];
- };
-
- environment.systemPackages = [
- pkgs.gitMinimal
- #pkgs.mercurial
- pkgs.curl
- pkgs.gnupg
- ];
- };
- qemuConfig = { pkgs, ... }: {
- imports = [ systemConfig ];
- fileSystems."/".device = "/dev/disk/by-label/nixos";
- boot.initrd.availableKernelModules = [
- "ahci"
- "ehci_pci"
- "sd_mod"
- "usb_storage"
- "usbhid"
- "virtio_balloon"
- "virtio_blk"
- "virtio_pci"
- "virtio_ring"
- "xhci_pci"
- ];
- boot.loader = {
- grub = {
- version = 2;
- device = "/dev/vda";
- };
- timeout = 0;
- };
- };
- config = (import (pkgs.path + "/nixos/lib/eval-config.nix") {
- inherit pkgs; modules = [ qemuConfig ];
- system = "x86_64-linux";
- }).config;
- in
- import (pkgs.path + "/nixos/lib/make-disk-image.nix") {
- inherit pkgs lib config;
- diskSize = 16000;
- format = "qcow2-compressed";
- contents = [
- { source = pkgs.writeText "gitconfig" ''
- [user]
- name = builds.sr.ht
- email = build@sr.ht
- '';
- target = "/home/build/.gitconfig";
- user = "build";
- group = "users";
- mode = "644";
- }
- ];
- };
- };
-
-in
-{
- name = "sourcehut";
-
- meta.maintainers = [ pkgs.lib.maintainers.tomberek ];
-
- nodes.machine = { config, pkgs, nodes, ... }: {
- # buildsrht needs space
- virtualisation.diskSize = 4 * 1024;
- virtualisation.memorySize = 2 * 1024;
- networking.domain = domain;
- networking.enableIPv6 = false;
- networking.extraHosts = ''
- ${config.networking.primaryIPAddress} builds.${domain}
- ${config.networking.primaryIPAddress} git.${domain}
- ${config.networking.primaryIPAddress} meta.${domain}
- '';
-
- services.sourcehut = {
- enable = true;
- nginx.enable = true;
- nginx.virtualHost = {
- forceSSL = true;
- sslCertificate = "${tls-cert}/cert.pem";
- sslCertificateKey = "${tls-cert}/key.pem";
- };
- postgresql.enable = true;
- redis.enable = true;
-
- meta.enable = true;
- builds = {
- enable = true;
- # FIXME: see why it does not seem to activate fully.
- #enableWorker = true;
- inherit images;
- };
- git.enable = true;
-
- settings."sr.ht" = {
- global-domain = config.networking.domain;
- service-key = pkgs.writeText "service-key" "8b327279b77e32a3620e2fc9aabce491cc46e7d821fd6713b2a2e650ce114d01";
- network-key = pkgs.writeText "network-key" "cEEmc30BRBGkgQZcHFksiG7hjc6_dK1XR2Oo5Jb9_nQ=";
- };
- settings."builds.sr.ht" = {
- oauth-client-secret = pkgs.writeText "buildsrht-oauth-client-secret" "2260e9c4d9b8dcedcef642860e0504bc";
- oauth-client-id = "299db9f9c2013170";
- };
- settings."git.sr.ht" = {
- oauth-client-secret = pkgs.writeText "gitsrht-oauth-client-secret" "3597288dc2c716e567db5384f493b09d";
- oauth-client-id = "d07cb713d920702e";
- };
- settings.webhooks.private-key = pkgs.writeText "webhook-key" "Ra3IjxgFiwG9jxgp4WALQIZw/BMYt30xWiOsqD0J7EA=";
- settings.mail = {
- smtp-from = "root+hut@${domain}";
- # WARNING: take care to keep pgp-privkey outside the Nix store in production,
- # or use LoadCredentialEncrypted=
- pgp-privkey = toString (pkgs.writeText "sourcehut.pgp-privkey" ''
- -----BEGIN PGP PRIVATE KEY BLOCK-----
-
- lFgEYqDRORYJKwYBBAHaRw8BAQdAehGoy36FUx2OesYm07be2rtLyvR5Pb/ltstd
- Gk7hYQoAAP9X4oPmxxrHN8LewBpWITdBomNqlHoiP7mI0nz/BOPJHxEktDZuaXhv
- cy90ZXN0cy9zb3VyY2VodXQgPHJvb3QraHV0QHNvdXJjZWh1dC5sb2NhbGRvbWFp
- bj6IlwQTFgoAPxYhBPqjgjnL8RHN4JnADNicgXaYm0jJBQJioNE5AhsDBQkDwmcA
- BgsJCAcDCgUVCgkICwUWAwIBAAIeBQIXgAAKCRDYnIF2mJtIySVCAP9e2nHsVHSi
- 2B1YGZpVG7Xf36vxljmMkbroQy+0gBPwRwEAq+jaiQqlbGhQ7R/HMFcAxBIVsq8h
- Aw1rngsUd0o3dAicXQRioNE5EgorBgEEAZdVAQUBAQdAXZV2Sd5ZNBVTBbTGavMv
- D6ORrUh8z7TI/3CsxCE7+yADAQgHAAD/c1RU9xH+V/uI1fE7HIn/zL0LUPpsuce2
- cH++g4u3kBgTOYh+BBgWCgAmFiEE+qOCOcvxEc3gmcAM2JyBdpibSMkFAmKg0TkC
- GwwFCQPCZwAACgkQ2JyBdpibSMlKagD/cTre6p1m8QuJ7kwmCFRSz5tBzIuYMMgN
- xtT7dmS91csA/35fWsOykSiFRojQ7ccCSUTHL7ApF2EbL968tP/D2hIG
- =Hjoc
- -----END PGP PRIVATE KEY BLOCK-----
- '');
- pgp-pubkey = pkgs.writeText "sourcehut.pgp-pubkey" ''
- -----BEGIN PGP PUBLIC KEY BLOCK-----
-
- mDMEYqDRORYJKwYBBAHaRw8BAQdAehGoy36FUx2OesYm07be2rtLyvR5Pb/ltstd
- Gk7hYQq0Nm5peG9zL3Rlc3RzL3NvdXJjZWh1dCA8cm9vdCtodXRAc291cmNlaHV0
- LmxvY2FsZG9tYWluPoiXBBMWCgA/FiEE+qOCOcvxEc3gmcAM2JyBdpibSMkFAmKg
- 0TkCGwMFCQPCZwAGCwkIBwMKBRUKCQgLBRYDAgEAAh4FAheAAAoJENicgXaYm0jJ
- JUIA/17acexUdKLYHVgZmlUbtd/fq/GWOYyRuuhDL7SAE/BHAQCr6NqJCqVsaFDt
- H8cwVwDEEhWyryEDDWueCxR3Sjd0CLg4BGKg0TkSCisGAQQBl1UBBQEBB0BdlXZJ
- 3lk0FVMFtMZq8y8Po5GtSHzPtMj/cKzEITv7IAMBCAeIfgQYFgoAJhYhBPqjgjnL
- 8RHN4JnADNicgXaYm0jJBQJioNE5AhsMBQkDwmcAAAoJENicgXaYm0jJSmoA/3E6
- 3uqdZvELie5MJghUUs+bQcyLmDDIDcbU+3ZkvdXLAP9+X1rDspEohUaI0O3HAklE
- xy+wKRdhGy/evLT/w9oSBg==
- =pJD7
- -----END PGP PUBLIC KEY BLOCK-----
- '';
- pgp-key-id = "0xFAA38239CBF111CDE099C00CD89C8176989B48C9";
- };
- };
-
- networking.firewall.allowedTCPPorts = [ 443 ];
- security.pki.certificateFiles = [ "${tls-cert}/cert.pem" ];
- services.nginx = {
- enable = true;
- recommendedGzipSettings = true;
- recommendedOptimisation = true;
- recommendedTlsSettings = true;
- recommendedProxySettings = true;
- };
-
- services.postgresql = {
- enable = true;
- enableTCPIP = false;
- settings.unix_socket_permissions = "0770";
- };
- };
-
- testScript = ''
- start_all()
- machine.wait_for_unit("multi-user.target")
-
- # Testing metasrht
- machine.wait_for_unit("metasrht-api.service")
- machine.wait_for_unit("metasrht.service")
- machine.wait_for_unit("metasrht-webhooks.service")
- machine.wait_for_open_port(5000)
- machine.succeed("curl -sL http://localhost:5000 | grep meta.${domain}")
- machine.succeed("curl -sL http://meta.${domain} | grep meta.${domain}")
-
- # Testing buildsrht
- machine.wait_for_unit("buildsrht.service")
- machine.wait_for_open_port(5002)
- machine.succeed("curl -sL http://localhost:5002 | grep builds.${domain}")
- #machine.wait_for_unit("buildsrht-worker.service")
-
- # Testing gitsrht
- machine.wait_for_unit("gitsrht-api.service")
- machine.wait_for_unit("gitsrht.service")
- machine.wait_for_unit("gitsrht-webhooks.service")
- machine.succeed("curl -sL http://git.${domain} | grep git.${domain}")
- '';
-})
diff --git a/nixos/tests/sourcehut/builds.nix b/nixos/tests/sourcehut/builds.nix
new file mode 100644
index 000000000000..f1f928ecc3d0
--- /dev/null
+++ b/nixos/tests/sourcehut/builds.nix
@@ -0,0 +1,54 @@
+import ../make-test-python.nix ({ pkgs, lib, ... }:
+let
+ domain = "sourcehut.localdomain";
+in
+{
+ name = "sourcehut";
+
+ meta.maintainers = with pkgs.lib.maintainers; [ tomberek nessdoor ];
+
+ nodes.machine = { config, pkgs, nodes, ... }: {
+ imports = [
+ ./nodes/common.nix
+ ];
+
+ networking.domain = domain;
+ networking.extraHosts = ''
+ ${config.networking.primaryIPAddress} builds.${domain}
+ ${config.networking.primaryIPAddress} meta.${domain}
+ '';
+
+ services.sourcehut = {
+ builds = {
+ enable = true;
+ # FIXME: see why it does not seem to activate fully.
+ #enableWorker = true;
+ images = { };
+ };
+
+ settings."builds.sr.ht" = {
+ oauth-client-secret = pkgs.writeText "buildsrht-oauth-client-secret" "2260e9c4d9b8dcedcef642860e0504bc";
+ oauth-client-id = "299db9f9c2013170";
+ };
+ };
+ };
+
+ testScript = ''
+ start_all()
+ machine.wait_for_unit("multi-user.target")
+
+ with subtest("Check whether meta comes up"):
+ machine.wait_for_unit("metasrht-api.service")
+ machine.wait_for_unit("metasrht.service")
+ machine.wait_for_unit("metasrht-webhooks.service")
+ machine.wait_for_open_port(5000)
+ machine.succeed("curl -sL http://localhost:5000 | grep meta.${domain}")
+ machine.succeed("curl -sL http://meta.${domain} | grep meta.${domain}")
+
+ with subtest("Check whether builds comes up"):
+ machine.wait_for_unit("buildsrht.service")
+ machine.wait_for_open_port(5002)
+ machine.succeed("curl -sL http://localhost:5002 | grep builds.${domain}")
+ #machine.wait_for_unit("buildsrht-worker.service")
+ '';
+})
diff --git a/nixos/tests/sourcehut/default.nix b/nixos/tests/sourcehut/default.nix
new file mode 100644
index 000000000000..04f1551d70d9
--- /dev/null
+++ b/nixos/tests/sourcehut/default.nix
@@ -0,0 +1,6 @@
+{ system, pkgs, ... }:
+
+{
+ git = import ./git.nix { inherit system pkgs; };
+ builds = import ./builds.nix { inherit system pkgs; };
+}
diff --git a/nixos/tests/sourcehut/git.nix b/nixos/tests/sourcehut/git.nix
new file mode 100644
index 000000000000..ed184d5d5518
--- /dev/null
+++ b/nixos/tests/sourcehut/git.nix
@@ -0,0 +1,96 @@
+import ../make-test-python.nix ({ pkgs, lib, ... }:
+let
+ domain = "sourcehut.localdomain";
+in
+{
+ name = "sourcehut";
+
+ meta.maintainers = with pkgs.lib.maintainers; [ tomberek nessdoor ];
+
+ nodes.machine = { config, pkgs, nodes, ... }: {
+ imports = [
+ ./nodes/common.nix
+ ];
+
+ networking.domain = domain;
+ networking.extraHosts = ''
+ ${config.networking.primaryIPAddress} git.${domain}
+ ${config.networking.primaryIPAddress} meta.${domain}
+ '';
+
+ services.sourcehut = {
+ git.enable = true;
+ settings."git.sr.ht" = {
+ oauth-client-secret = pkgs.writeText "gitsrht-oauth-client-secret" "3597288dc2c716e567db5384f493b09d";
+ oauth-client-id = "d07cb713d920702e";
+ };
+ };
+
+ environment.systemPackages = with pkgs; [
+ git
+ ];
+ };
+
+ testScript =
+ let
+ userName = "nixos-test";
+ userPass = "AutoNixosTestPwd";
+ hutConfig = pkgs.writeText "hut-config" ''
+ instance "${domain}" {
+ # Will be replaced at runtime with the generated token
+ access-token "OAUTH-TOKEN"
+ }
+ '';
+ sshConfig = pkgs.writeText "ssh-config" ''
+ Host git.${domain}
+ IdentityFile = ~/.ssh/id_rsa
+ '';
+ in
+ ''
+ start_all()
+ machine.wait_for_unit("multi-user.target")
+ machine.wait_for_unit("sshd.service")
+
+ with subtest("Check whether meta comes up"):
+ machine.wait_for_unit("metasrht-api.service")
+ machine.wait_for_unit("metasrht.service")
+ machine.wait_for_unit("metasrht-webhooks.service")
+ machine.wait_for_open_port(5000)
+ machine.succeed("curl -sL http://localhost:5000 | grep meta.${domain}")
+ machine.succeed("curl -sL http://meta.${domain} | grep meta.${domain}")
+
+ with subtest("Create a new user account and OAuth access key"):
+ machine.succeed("echo ${userPass} | metasrht-manageuser -ps -e ${userName}@${domain}\
+ -t active_paying ${userName}");
+ (_, token) = machine.execute("srht-gen-oauth-tok -i ${domain} -q ${userName} ${userPass}")
+ token = token.strip().replace("/", r"\\/") # Escape slashes in token before passing it to sed
+ machine.execute("mkdir -p ~/.config/hut/")
+ machine.execute("sed s/OAUTH-TOKEN/" + token + "/ ${hutConfig} > ~/.config/hut/config")
+
+ with subtest("Check whether git comes up"):
+ machine.wait_for_unit("gitsrht-api.service")
+ machine.wait_for_unit("gitsrht.service")
+ machine.wait_for_unit("gitsrht-webhooks.service")
+ machine.succeed("curl -sL http://git.${domain} | grep git.${domain}")
+
+ with subtest("Add an SSH key for Git access"):
+ machine.execute("ssh-keygen -q -N \"\" -t rsa -f ~/.ssh/id_rsa")
+ machine.execute("cat ${sshConfig} > ~/.ssh/config")
+ machine.succeed("hut meta ssh-key create ~/.ssh/id_rsa.pub")
+
+ with subtest("Create a new repo and push contents to it"):
+ machine.execute("git init test")
+ machine.execute("echo \"Hello world!\" > test/hello.txt")
+ machine.execute("cd test && git add .")
+ machine.execute("cd test && git commit -m \"Initial commit\"")
+ machine.execute("cd test && git tag v0.1")
+ machine.succeed("cd test && git remote add origin gitsrht@git.${domain}:~${userName}/test")
+ machine.execute("( echo -n 'git.${domain} '; cat /etc/ssh/ssh_host_ed25519_key.pub ) > ~/.ssh/known_hosts")
+ machine.succeed("hut git create test")
+ machine.succeed("cd test && git push --tags --set-upstream origin master")
+
+ with subtest("Verify that the repo is downloadable and its contents match the original"):
+ machine.succeed("curl https://git.${domain}/~${userName}/test/archive/v0.1.tar.gz | tar -xz")
+ machine.succeed("diff test-v0.1/hello.txt test/hello.txt")
+ '';
+})
diff --git a/nixos/tests/sourcehut/nodes/common.nix b/nixos/tests/sourcehut/nodes/common.nix
new file mode 100644
index 000000000000..f0a81358f972
--- /dev/null
+++ b/nixos/tests/sourcehut/nodes/common.nix
@@ -0,0 +1,107 @@
+{ config, pkgs, nodes, ... }:
+let
+ domain = config.networking.domain;
+
+ # Note that wildcard certificates just under the TLD (eg. *.com)
+ # would be rejected by clients like curl.
+ tls-cert = pkgs.runCommand "selfSignedCerts" { buildInputs = [ pkgs.openssl ]; } ''
+ openssl req -x509 -newkey rsa:4096 -keyout key.pem -out cert.pem -nodes -days 36500 \
+ -subj '/CN=${domain}' -extensions v3_req \
+ -addext 'subjectAltName = DNS:*.${domain}'
+ install -D -t $out key.pem cert.pem
+ '';
+in
+{
+ # buildsrht needs space
+ virtualisation.diskSize = 4 * 1024;
+ virtualisation.memorySize = 2 * 1024;
+ networking.enableIPv6 = false;
+
+ services.sourcehut = {
+ enable = true;
+ nginx.enable = true;
+ nginx.virtualHost = {
+ forceSSL = true;
+ sslCertificate = "${tls-cert}/cert.pem";
+ sslCertificateKey = "${tls-cert}/key.pem";
+ };
+ postgresql.enable = true;
+ redis.enable = true;
+
+ meta.enable = true;
+
+ settings."sr.ht" = {
+ environment = "production";
+ global-domain = config.networking.domain;
+ service-key = pkgs.writeText "service-key" "8b327279b77e32a3620e2fc9aabce491cc46e7d821fd6713b2a2e650ce114d01";
+ network-key = pkgs.writeText "network-key" "cEEmc30BRBGkgQZcHFksiG7hjc6_dK1XR2Oo5Jb9_nQ=";
+ };
+ settings.webhooks.private-key = pkgs.writeText "webhook-key" "Ra3IjxgFiwG9jxgp4WALQIZw/BMYt30xWiOsqD0J7EA=";
+ settings.mail = {
+ smtp-from = "root+hut@${domain}";
+ # WARNING: take care to keep pgp-privkey outside the Nix store in production,
+ # or use LoadCredentialEncrypted=
+ pgp-privkey = toString (pkgs.writeText "sourcehut.pgp-privkey" ''
+ -----BEGIN PGP PRIVATE KEY BLOCK-----
+
+ lFgEYqDRORYJKwYBBAHaRw8BAQdAehGoy36FUx2OesYm07be2rtLyvR5Pb/ltstd
+ Gk7hYQoAAP9X4oPmxxrHN8LewBpWITdBomNqlHoiP7mI0nz/BOPJHxEktDZuaXhv
+ cy90ZXN0cy9zb3VyY2VodXQgPHJvb3QraHV0QHNvdXJjZWh1dC5sb2NhbGRvbWFp
+ bj6IlwQTFgoAPxYhBPqjgjnL8RHN4JnADNicgXaYm0jJBQJioNE5AhsDBQkDwmcA
+ BgsJCAcDCgUVCgkICwUWAwIBAAIeBQIXgAAKCRDYnIF2mJtIySVCAP9e2nHsVHSi
+ 2B1YGZpVG7Xf36vxljmMkbroQy+0gBPwRwEAq+jaiQqlbGhQ7R/HMFcAxBIVsq8h
+ Aw1rngsUd0o3dAicXQRioNE5EgorBgEEAZdVAQUBAQdAXZV2Sd5ZNBVTBbTGavMv
+ D6ORrUh8z7TI/3CsxCE7+yADAQgHAAD/c1RU9xH+V/uI1fE7HIn/zL0LUPpsuce2
+ cH++g4u3kBgTOYh+BBgWCgAmFiEE+qOCOcvxEc3gmcAM2JyBdpibSMkFAmKg0TkC
+ GwwFCQPCZwAACgkQ2JyBdpibSMlKagD/cTre6p1m8QuJ7kwmCFRSz5tBzIuYMMgN
+ xtT7dmS91csA/35fWsOykSiFRojQ7ccCSUTHL7ApF2EbL968tP/D2hIG
+ =Hjoc
+ -----END PGP PRIVATE KEY BLOCK-----
+ '');
+ pgp-pubkey = pkgs.writeText "sourcehut.pgp-pubkey" ''
+ -----BEGIN PGP PUBLIC KEY BLOCK-----
+
+ mDMEYqDRORYJKwYBBAHaRw8BAQdAehGoy36FUx2OesYm07be2rtLyvR5Pb/ltstd
+ Gk7hYQq0Nm5peG9zL3Rlc3RzL3NvdXJjZWh1dCA8cm9vdCtodXRAc291cmNlaHV0
+ LmxvY2FsZG9tYWluPoiXBBMWCgA/FiEE+qOCOcvxEc3gmcAM2JyBdpibSMkFAmKg
+ 0TkCGwMFCQPCZwAGCwkIBwMKBRUKCQgLBRYDAgEAAh4FAheAAAoJENicgXaYm0jJ
+ JUIA/17acexUdKLYHVgZmlUbtd/fq/GWOYyRuuhDL7SAE/BHAQCr6NqJCqVsaFDt
+ H8cwVwDEEhWyryEDDWueCxR3Sjd0CLg4BGKg0TkSCisGAQQBl1UBBQEBB0BdlXZJ
+ 3lk0FVMFtMZq8y8Po5GtSHzPtMj/cKzEITv7IAMBCAeIfgQYFgoAJhYhBPqjgjnL
+ 8RHN4JnADNicgXaYm0jJBQJioNE5AhsMBQkDwmcAAAoJENicgXaYm0jJSmoA/3E6
+ 3uqdZvELie5MJghUUs+bQcyLmDDIDcbU+3ZkvdXLAP9+X1rDspEohUaI0O3HAklE
+ xy+wKRdhGy/evLT/w9oSBg==
+ =pJD7
+ -----END PGP PUBLIC KEY BLOCK-----
+ '';
+ pgp-key-id = "0xFAA38239CBF111CDE099C00CD89C8176989B48C9";
+ };
+ };
+
+ networking.firewall.allowedTCPPorts = [ 80 443 ];
+ security.pki.certificateFiles = [ "${tls-cert}/cert.pem" ];
+ services.nginx = {
+ enable = true;
+ recommendedGzipSettings = true;
+ recommendedOptimisation = true;
+ recommendedTlsSettings = true;
+ recommendedProxySettings = true;
+ };
+
+ services.postgresql = {
+ enable = true;
+ enableTCPIP = false;
+ settings.unix_socket_permissions = "0770";
+ };
+
+ services.openssh = {
+ enable = true;
+ settings.PasswordAuthentication = false;
+ settings.PermitRootLogin = "no";
+ };
+
+ environment.systemPackages = with pkgs; [
+ hut # For interacting with the Sourcehut APIs via CLI
+ srht-gen-oauth-tok # To automatically generate user OAuth tokens
+ ];
+}
diff --git a/nixos/tests/stalwart-mail.nix b/nixos/tests/stalwart-mail.nix
index b5589966a160..634c0e2e3926 100644
--- a/nixos/tests/stalwart-mail.nix
+++ b/nixos/tests/stalwart-mail.nix
@@ -42,20 +42,22 @@ in import ./make-test-python.nix ({ lib, ... }: {
session.auth.mechanisms = [ "PLAIN" ];
session.auth.directory = "in-memory";
- jmap.directory = "in-memory"; # shared with imap
+ storage.directory = "in-memory"; # shared with imap
session.rcpt.directory = "in-memory";
queue.outbound.next-hop = [ "local" ];
directory."in-memory" = {
type = "memory";
- users = [
+ principals = [
{
+ type = "individual";
name = "alice";
secret = "foobar";
email = [ "alice@${domain}" ];
}
{
+ type = "individual";
name = "bob";
secret = "foobar";
email = [ "bob@${domain}" ];
@@ -90,8 +92,9 @@ in import ./make-test-python.nix ({ lib, ... }: {
with IMAP4('localhost') as imap:
imap.starttls()
- imap.login('bob', 'foobar')
- imap.select('"All Mail"')
+ status, [caps] = imap.login('bob', 'foobar')
+ assert status == 'OK'
+ imap.select()
status, [ref] = imap.search(None, 'ALL')
assert status == 'OK'
[msgId] = ref.split()
diff --git a/nixos/tests/systemd-boot.nix b/nixos/tests/systemd-boot.nix
index c0b37a230df0..54c380602bd4 100644
--- a/nixos/tests/systemd-boot.nix
+++ b/nixos/tests/systemd-boot.nix
@@ -14,6 +14,72 @@ let
boot.loader.efi.canTouchEfiVariables = true;
environment.systemPackages = [ pkgs.efibootmgr ];
};
+
+ commonXbootldr = { config, lib, pkgs, ... }:
+ let
+ diskImage = import ../lib/make-disk-image.nix {
+ inherit config lib pkgs;
+ label = "nixos";
+ format = "qcow2";
+ partitionTableType = "efixbootldr";
+ touchEFIVars = true;
+ installBootLoader = true;
+ };
+ in
+ {
+ imports = [ common ];
+ virtualisation.useBootLoader = lib.mkForce false; # Only way to tell qemu-vm not to create the default system image
+ virtualisation.directBoot.enable = false; # But don't direct boot either because we're testing systemd-boot
+
+ system.build.diskImage = diskImage; # Use custom disk image with an XBOOTLDR partition
+ virtualisation.efi.variables = "${diskImage}/efi-vars.fd";
+
+ virtualisation.useDefaultFilesystems = false; # Needs custom setup for `diskImage`
+ virtualisation.bootPartition = null;
+ virtualisation.fileSystems = {
+ "/" = {
+ device = "/dev/vda3";
+ fsType = "ext4";
+ };
+ "/boot" = {
+ device = "/dev/vda2";
+ fsType = "vfat";
+ noCheck = true;
+ };
+ "/efi" = {
+ device = "/dev/vda1";
+ fsType = "vfat";
+ noCheck = true;
+ };
+ };
+
+ boot.loader.systemd-boot.enable = true;
+ boot.loader.efi.efiSysMountPoint = "/efi";
+ boot.loader.systemd-boot.xbootldrMountPoint = "/boot";
+ };
+
+ customDiskImage = nodes: ''
+ import os
+ import subprocess
+ import tempfile
+
+ tmp_disk_image = tempfile.NamedTemporaryFile()
+
+ subprocess.run([
+ "${nodes.machine.virtualisation.qemu.package}/bin/qemu-img",
+ "create",
+ "-f",
+ "qcow2",
+ "-b",
+ "${nodes.machine.system.build.diskImage}/nixos.qcow2",
+ "-F",
+ "qcow2",
+ tmp_disk_image.name,
+ ])
+
+ # Set NIX_DISK_IMAGE so that the qemu script finds the right disk image.
+ os.environ['NIX_DISK_IMAGE'] = tmp_disk_image.name
+ '';
in
{
basic = makeTest {
@@ -26,6 +92,61 @@ in
machine.start()
machine.wait_for_unit("multi-user.target")
+ machine.succeed("test -e /boot/loader/entries/nixos-generation-1.conf")
+ machine.succeed("grep 'sort-key nixos' /boot/loader/entries/nixos-generation-1.conf")
+
+ # Ensure we actually booted using systemd-boot
+ # Magic number is the vendor UUID used by systemd-boot.
+ machine.succeed(
+ "test -e /sys/firmware/efi/efivars/LoaderEntrySelected-4a67b082-0a4c-41cf-b6c7-440b29bb8c4f"
+ )
+
+ # "bootctl install" should have created an EFI entry
+ machine.succeed('efibootmgr | grep "Linux Boot Manager"')
+ '';
+ };
+
+ # Test that systemd-boot works with secure boot
+ secureBoot = makeTest {
+ name = "systemd-boot-secure-boot";
+
+ nodes.machine = {
+ imports = [ common ];
+ environment.systemPackages = [ pkgs.sbctl ];
+ virtualisation.useSecureBoot = true;
+ };
+
+ testScript = let
+ efiArch = pkgs.stdenv.hostPlatform.efiArch;
+ in { nodes, ... }: ''
+ machine.start(allow_reboot=True)
+ machine.wait_for_unit("multi-user.target")
+
+ machine.succeed("sbctl create-keys")
+ machine.succeed("sbctl enroll-keys --yes-this-might-brick-my-machine")
+ machine.succeed('sbctl sign /boot/EFI/systemd/systemd-boot${efiArch}.efi')
+ machine.succeed('sbctl sign /boot/EFI/BOOT/BOOT${toUpper efiArch}.EFI')
+ machine.succeed('sbctl sign /boot/EFI/nixos/*${nodes.machine.system.boot.loader.kernelFile}.efi')
+
+ machine.reboot()
+
+ assert "Secure Boot: enabled (user)" in machine.succeed("bootctl status")
+ '';
+ };
+
+ basicXbootldr = makeTest {
+ name = "systemd-boot-xbootldr";
+ meta.maintainers = with pkgs.lib.maintainers; [ sdht0 ];
+
+ nodes.machine = commonXbootldr;
+
+ testScript = { nodes, ... }: ''
+ ${customDiskImage nodes}
+
+ machine.start()
+ machine.wait_for_unit("multi-user.target")
+
+ machine.succeed("test -e /efi/EFI/systemd/systemd-bootx64.efi")
machine.succeed("test -e /boot/loader/entries/nixos-generation-1.conf")
# Ensure we actually booted using systemd-boot
@@ -46,7 +167,9 @@ in
nodes.machine = { pkgs, lib, ... }: {
imports = [ common ];
- specialisation.something.configuration = {};
+ specialisation.something.configuration = {
+ boot.loader.systemd-boot.sortKey = "something";
+ };
};
testScript = ''
@@ -59,6 +182,9 @@ in
machine.succeed(
"grep -q 'title NixOS (something)' /boot/loader/entries/nixos-generation-1-specialisation-something.conf"
)
+ machine.succeed(
+ "grep 'sort-key something' /boot/loader/entries/nixos-generation-1-specialisation-something.conf"
+ )
'';
};
@@ -136,25 +262,46 @@ in
};
testScript = ''
- machine.succeed("test -e /boot/loader/entries/o_netbootxyz.conf")
+ machine.succeed("test -e /boot/loader/entries/netbootxyz.conf")
machine.succeed("test -e /boot/efi/netbootxyz/netboot.xyz.efi")
'';
};
- entryFilename = makeTest {
- name = "systemd-boot-entry-filename";
+ memtestSortKey = makeTest {
+ name = "systemd-boot-memtest-sortkey";
meta.maintainers = with pkgs.lib.maintainers; [ Enzime julienmalka ];
nodes.machine = { pkgs, lib, ... }: {
imports = [ common ];
boot.loader.systemd-boot.memtest86.enable = true;
- boot.loader.systemd-boot.memtest86.entryFilename = "apple.conf";
+ boot.loader.systemd-boot.memtest86.sortKey = "apple";
};
testScript = ''
- machine.fail("test -e /boot/loader/entries/memtest86.conf")
- machine.succeed("test -e /boot/loader/entries/apple.conf")
+ machine.succeed("test -e /boot/loader/entries/memtest86.conf")
machine.succeed("test -e /boot/efi/memtest86/memtest.efi")
+ machine.succeed("grep 'sort-key apple' /boot/loader/entries/memtest86.conf")
+ '';
+ };
+
+ entryFilenameXbootldr = makeTest {
+ name = "systemd-boot-entry-filename-xbootldr";
+ meta.maintainers = with pkgs.lib.maintainers; [ sdht0 ];
+
+ nodes.machine = { pkgs, lib, ... }: {
+ imports = [ commonXbootldr ];
+ boot.loader.systemd-boot.memtest86.enable = true;
+ };
+
+ testScript = { nodes, ... }: ''
+ ${customDiskImage nodes}
+
+ machine.start()
+ machine.wait_for_unit("multi-user.target")
+
+ machine.succeed("test -e /efi/EFI/systemd/systemd-bootx64.efi")
+ machine.succeed("test -e /boot/loader/entries/memtest86.conf")
+ machine.succeed("test -e /boot/EFI/memtest86/memtest.efi")
'';
};
@@ -245,9 +392,9 @@ in
machine.succeed("${finalSystem}/bin/switch-to-configuration boot")
machine.fail("test -e /boot/efi/fruits/tomato.efi")
machine.fail("test -e /boot/efi/nixos/.extra-files/efi/fruits/tomato.efi")
- machine.succeed("test -e /boot/loader/entries/o_netbootxyz.conf")
+ machine.succeed("test -e /boot/loader/entries/netbootxyz.conf")
machine.succeed("test -e /boot/efi/netbootxyz/netboot.xyz.efi")
- machine.succeed("test -e /boot/efi/nixos/.extra-files/loader/entries/o_netbootxyz.conf")
+ machine.succeed("test -e /boot/efi/nixos/.extra-files/loader/entries/netbootxyz.conf")
machine.succeed("test -e /boot/efi/nixos/.extra-files/efi/netbootxyz/netboot.xyz.efi")
'';
};
diff --git a/nixos/tests/systemd-machinectl.nix b/nixos/tests/systemd-machinectl.nix
index b8ed0c33e8e4..02b4d9c590b5 100644
--- a/nixos/tests/systemd-machinectl.nix
+++ b/nixos/tests/systemd-machinectl.nix
@@ -42,8 +42,18 @@ import ./make-test-python.nix ({ pkgs, ... }:
virtualisation.additionalPaths = [ containerSystem ];
- # not needed, but we want to test the nspawn file generation
- systemd.nspawn.${containerName} = { };
+ systemd.tmpfiles.rules = [
+ "d /var/lib/machines/shared-decl 0755 root root - -"
+ ];
+ systemd.nspawn.shared-decl = {
+ execConfig = {
+ Boot = false;
+ Parameters = "${containerSystem}/init";
+ };
+ filesConfig = {
+ BindReadOnly = "/nix/store";
+ };
+ };
systemd.services."systemd-nspawn@${containerName}" = {
serviceConfig.Environment = [
@@ -52,14 +62,33 @@ import ./make-test-python.nix ({ pkgs, ... }:
];
overrideStrategy = "asDropin";
};
+
+ # open DHCP for container
+ networking.firewall.extraCommands = ''
+ ${pkgs.iptables}/bin/iptables -A nixos-fw -i ve-+ -p udp -m udp --dport 67 -j nixos-fw-accept
+ '';
};
testScript = ''
start_all()
machine.wait_for_unit("default.target");
- # Install container
+ # Test machinectl start stop of shared-decl
+ machine.succeed("machinectl start shared-decl");
+ machine.wait_until_succeeds("systemctl -M shared-decl is-active default.target");
+ machine.succeed("machinectl stop shared-decl");
+
+ # create containers root
machine.succeed("mkdir -p ${containerRoot}");
+
+ # start container with shared nix store by using same arguments as for systemd-nspawn@.service
+ machine.succeed("systemd-run systemd-nspawn --machine=${containerName} --network-veth -U --bind-ro=/nix/store ${containerSystem}/init")
+ machine.wait_until_succeeds("systemctl -M ${containerName} is-active default.target");
+
+ # Test machinectl stop
+ machine.succeed("machinectl stop ${containerName}");
+
+ # Install container
# Workaround for nixos-install
machine.succeed("chmod o+rx /var/lib/machines");
machine.succeed("nixos-install --root ${containerRoot} --system ${containerSystem} --no-channel-copy --no-root-passwd");
@@ -77,6 +106,12 @@ import ./make-test-python.nix ({ pkgs, ... }:
# Test nss_mymachines via nscd
machine.succeed("getent hosts ${containerName}");
+ # Test systemd-nspawn network configuration to container
+ machine.succeed("networkctl --json=short status ve-${containerName} | ${pkgs.jq}/bin/jq -e '.OperationalState == \"routable\"'");
+
+ # Test systemd-nspawn network configuration to host
+ machine.succeed("machinectl shell ${containerName} /run/current-system/sw/bin/networkctl --json=short status host0 | ${pkgs.jq}/bin/jq -r '.OperationalState == \"routable\"'");
+
# Test systemd-nspawn network configuration
machine.succeed("ping -n -c 1 ${containerName}");
diff --git a/nixos/tests/transfer-sh.nix b/nixos/tests/transfer-sh.nix
new file mode 100644
index 000000000000..f4ab7d28858e
--- /dev/null
+++ b/nixos/tests/transfer-sh.nix
@@ -0,0 +1,20 @@
+import ./make-test-python.nix ({ pkgs, lib, ... }: {
+ name = "transfer-sh";
+
+ meta = {
+ maintainers = with lib.maintainers; [ ocfox ];
+ };
+
+ nodes.machine = { pkgs, ... }: {
+ services.transfer-sh = {
+ enable = true;
+ settings.LISTENER = ":1234";
+ };
+ };
+
+ testScript = ''
+ machine.wait_for_unit("transfer-sh.service")
+ machine.wait_for_open_port(1234)
+ machine.succeed("curl --fail http://localhost:1234/")
+ '';
+})
diff --git a/nixos/tests/vikunja.nix b/nixos/tests/vikunja.nix
index 60fd5ce13854..4e2bf166a7b6 100644
--- a/nixos/tests/vikunja.nix
+++ b/nixos/tests/vikunja.nix
@@ -13,15 +13,20 @@ import ./make-test-python.nix ({ pkgs, lib, ... }: {
frontendScheme = "http";
frontendHostname = "localhost";
};
- services.nginx.enable = true;
+ services.nginx = {
+ enable = true;
+ virtualHosts."http://localhost" = {
+ locations."/".proxyPass = "http://localhost:3456";
+ };
+ };
};
vikunjaPostgresql = { pkgs, ... }: {
services.vikunja = {
enable = true;
database = {
type = "postgres";
- user = "vikunja-api";
- database = "vikunja-api";
+ user = "vikunja";
+ database = "vikunja";
host = "/run/postgresql";
};
frontendScheme = "http";
@@ -30,20 +35,25 @@ import ./make-test-python.nix ({ pkgs, lib, ... }: {
};
services.postgresql = {
enable = true;
- ensureDatabases = [ "vikunja-api" ];
+ ensureDatabases = [ "vikunja" ];
ensureUsers = [
- { name = "vikunja-api";
+ { name = "vikunja";
ensureDBOwnership = true;
}
];
};
- services.nginx.enable = true;
+ services.nginx = {
+ enable = true;
+ virtualHosts."http://localhost" = {
+ locations."/".proxyPass = "http://localhost:9090";
+ };
+ };
};
};
testScript =
''
- vikunjaSqlite.wait_for_unit("vikunja-api.service")
+ vikunjaSqlite.wait_for_unit("vikunja.service")
vikunjaSqlite.wait_for_open_port(3456)
vikunjaSqlite.succeed("curl --fail http://localhost:3456/api/v1/info")
@@ -52,7 +62,7 @@ import ./make-test-python.nix ({ pkgs, lib, ... }: {
vikunjaSqlite.succeed("curl --fail http://localhost/api/v1/info")
vikunjaSqlite.succeed("curl --fail http://localhost")
- vikunjaPostgresql.wait_for_unit("vikunja-api.service")
+ vikunjaPostgresql.wait_for_unit("vikunja.service")
vikunjaPostgresql.wait_for_open_port(9090)
vikunjaPostgresql.succeed("curl --fail http://localhost:9090/api/v1/info")
diff --git a/nixos/tests/virtualbox.nix b/nixos/tests/virtualbox.nix
index e522d0679e15..3c2a391233db 100644
--- a/nixos/tests/virtualbox.nix
+++ b/nixos/tests/virtualbox.nix
@@ -3,6 +3,7 @@
pkgs ? import ../.. { inherit system config; },
debug ? false,
enableUnfree ? false,
+ enableKvm ? false,
use64bitGuest ? true
}:
@@ -340,7 +341,7 @@ let
testExtensionPack.vmFlags = enableExtensionPackVMFlags;
};
- mkVBoxTest = useExtensionPack: vms: name: testScript: makeTest {
+ mkVBoxTest = vboxHostConfig: vms: name: testScript: makeTest {
name = "virtualbox-${name}";
nodes.machine = { lib, config, ... }: {
@@ -349,14 +350,23 @@ let
vmConfigs = mapAttrsToList mkVMConf vms;
in [ ./common/user-account.nix ./common/x11.nix ] ++ vmConfigs;
virtualisation.memorySize = 2048;
- virtualisation.qemu.options = ["-cpu" "kvm64,svm=on,vmx=on"];
- virtualisation.virtualbox.host.enable = true;
+
+ virtualisation.qemu.options = let
+ # IvyBridge is reasonably ancient to be compatible with recent
+ # Intel/AMD hosts and sufficient for the KVM flavor.
+ guestCpu = if config.virtualisation.virtualbox.host.enableKvm then "IvyBridge" else "kvm64";
+ in ["-cpu" "${guestCpu},svm=on,vmx=on"];
+
test-support.displayManager.auto.user = "alice";
users.users.alice.extraGroups = let
inherit (config.virtualisation.virtualbox.host) enableHardening;
- in lib.mkIf enableHardening (lib.singleton "vboxusers");
- virtualisation.virtualbox.host.enableExtensionPack = useExtensionPack;
- nixpkgs.config.allowUnfree = useExtensionPack;
+ in lib.mkIf enableHardening [ "vboxusers" ];
+
+ virtualisation.virtualbox.host = {
+ enable = true;
+ } // vboxHostConfig;
+
+ nixpkgs.config.allowUnfree = config.virtualisation.virtualbox.host.enableExtensionPack;
};
testScript = ''
@@ -390,7 +400,7 @@ let
};
};
- unfreeTests = mapAttrs (mkVBoxTest true vboxVMsWithExtpack) {
+ unfreeTests = mapAttrs (mkVBoxTest { enableExtensionPack = true; } vboxVMsWithExtpack) {
enable-extension-pack = ''
create_vm_testExtensionPack()
vbm("startvm testExtensionPack")
@@ -409,7 +419,24 @@ let
'';
};
-in mapAttrs (mkVBoxTest false vboxVMs) {
+ kvmTests = mapAttrs (mkVBoxTest {
+ enableKvm = true;
+
+ # Once the KVM version supports these, we can enable them.
+ addNetworkInterface = false;
+ enableHardening = false;
+ } vboxVMs) {
+ kvm-headless = ''
+ create_vm_headless()
+ machine.succeed(ru("VBoxHeadless --startvm headless >&2 & disown %1"))
+ wait_for_startup_headless()
+ wait_for_vm_boot_headless()
+ shutdown_vm_headless()
+ destroy_vm_headless()
+ '';
+ };
+
+in mapAttrs (mkVBoxTest {} vboxVMs) {
simple-gui = ''
# Home to select Tools, down to move to the VM, enter to start it.
def send_vm_startup():
@@ -519,4 +546,6 @@ in mapAttrs (mkVBoxTest false vboxVMs) {
destroy_vm_test1()
destroy_vm_test2()
'';
-} // (optionalAttrs enableUnfree unfreeTests)
+}
+// (optionalAttrs enableKvm kvmTests)
+// (optionalAttrs enableUnfree unfreeTests)
diff --git a/nixos/tests/vscodium.nix b/nixos/tests/vscodium.nix
index d817ce927ff8..76d5244b3ee3 100644
--- a/nixos/tests/vscodium.nix
+++ b/nixos/tests/vscodium.nix
@@ -76,4 +76,4 @@ let
});
in
-builtins.mapAttrs (k: v: mkTest k v { }) tests
+builtins.mapAttrs (k: v: mkTest k v) tests
diff --git a/nixos/tests/web-apps/gotosocial.nix b/nixos/tests/web-apps/gotosocial.nix
index 6d279ab63a79..8c4e76b14e3b 100644
--- a/nixos/tests/web-apps/gotosocial.nix
+++ b/nixos/tests/web-apps/gotosocial.nix
@@ -1,7 +1,7 @@
{ lib, ... }:
{
name = "gotosocial";
- meta.maintainers = with lib.maintainers; [ misuzu ];
+ meta.maintainers = with lib.maintainers; [ misuzu blakesmith ];
nodes.machine = { pkgs, ... }: {
environment.systemPackages = [ pkgs.jq ];
diff --git a/nixos/tests/web-apps/mastodon/default.nix b/nixos/tests/web-apps/mastodon/default.nix
index 411ebfcd731b..178590d13b63 100644
--- a/nixos/tests/web-apps/mastodon/default.nix
+++ b/nixos/tests/web-apps/mastodon/default.nix
@@ -5,5 +5,5 @@ let
in
{
standard = handleTestOn supportedSystems ./standard.nix { inherit system; };
- remote-postgresql = handleTestOn supportedSystems ./remote-postgresql.nix { inherit system; };
+ remote-databases = handleTestOn supportedSystems ./remote-databases.nix { inherit system; };
}
diff --git a/nixos/tests/web-apps/mastodon/remote-postgresql.nix b/nixos/tests/web-apps/mastodon/remote-databases.nix
similarity index 80%
rename from nixos/tests/web-apps/mastodon/remote-postgresql.nix
rename to nixos/tests/web-apps/mastodon/remote-databases.nix
index 6548883db452..fa6430a99353 100644
--- a/nixos/tests/web-apps/mastodon/remote-postgresql.nix
+++ b/nixos/tests/web-apps/mastodon/remote-databases.nix
@@ -16,7 +16,14 @@ in
meta.maintainers = with pkgs.lib.maintainers; [ erictapen izorkin ];
nodes = {
- database = { config, ... }: {
+ databases = { config, ... }: {
+ environment = {
+ etc = {
+ "redis/password-redis-db".text = ''
+ ogjhJL8ynrP7MazjYOF6
+ '';
+ };
+ };
networking = {
interfaces.eth1 = {
ipv4.addresses = [
@@ -24,7 +31,17 @@ in
];
};
extraHosts = hosts;
- firewall.allowedTCPPorts = [ config.services.postgresql.port ];
+ firewall.allowedTCPPorts = [
+ config.services.redis.servers.mastodon.port
+ config.services.postgresql.port
+ ];
+ };
+
+ services.redis.servers.mastodon = {
+ enable = true;
+ bind = "0.0.0.0";
+ port = 31637;
+ requirePassFile = "/etc/redis/password-redis-db";
};
services.postgresql = {
@@ -83,6 +100,9 @@ in
environment = {
etc = {
+ "mastodon/password-redis-db".text = ''
+ ogjhJL8ynrP7MazjYOF6
+ '';
"mastodon/password-posgressql-db".text = ''
SoDTZcISc3f1M1LJsRLT
'';
@@ -108,6 +128,12 @@ in
localDomain = "mastodon.local";
enableUnixSocket = false;
streamingProcesses = 2;
+ redis = {
+ createLocally = false;
+ host = "192.168.2.102";
+ port = 31637;
+ passwordFile = "/etc/mastodon/password-redis-db";
+ };
database = {
createLocally = false;
host = "192.168.2.102";
@@ -151,12 +177,14 @@ in
extraInit = ''
nginx.wait_for_unit("nginx.service")
nginx.wait_for_open_port(443)
- database.wait_for_unit("postgresql.service")
- database.wait_for_open_port(5432)
+ databases.wait_for_unit("redis-mastodon.service")
+ databases.wait_for_unit("postgresql.service")
+ databases.wait_for_open_port(31637)
+ databases.wait_for_open_port(5432)
'';
extraShutdown = ''
nginx.shutdown()
- database.shutdown()
+ databases.shutdown()
'';
};
})
diff --git a/nixos/tests/web-apps/mastodon/script.nix b/nixos/tests/web-apps/mastodon/script.nix
index afb7c0e0a0eb..9184c63c8941 100644
--- a/nixos/tests/web-apps/mastodon/script.nix
+++ b/nixos/tests/web-apps/mastodon/script.nix
@@ -8,7 +8,6 @@
${extraInit}
- server.wait_for_unit("redis-mastodon.service")
server.wait_for_unit("mastodon-sidekiq-all.service")
server.wait_for_unit("mastodon-streaming.target")
server.wait_for_unit("mastodon-web.service")
diff --git a/nixos/tests/web-apps/mastodon/standard.nix b/nixos/tests/web-apps/mastodon/standard.nix
index e5eb30fef597..ddc764e2168c 100644
--- a/nixos/tests/web-apps/mastodon/standard.nix
+++ b/nixos/tests/web-apps/mastodon/standard.nix
@@ -34,12 +34,6 @@ in
pki.certificateFiles = [ "${cert pkgs}/cert.pem" ];
};
- services.redis.servers.mastodon = {
- enable = true;
- bind = "127.0.0.1";
- port = 31637;
- };
-
# TODO remove once https://github.com/NixOS/nixpkgs/pull/266270 is resolved.
services.postgresql.package = pkgs.postgresql_14;
@@ -89,6 +83,7 @@ in
extraInit = ''
server.wait_for_unit("nginx.service")
server.wait_for_open_port(443)
+ server.wait_for_unit("redis-mastodon.service")
server.wait_for_unit("postgresql.service")
server.wait_for_open_port(5432)
'';
diff --git a/nixos/tests/web-apps/pretix.nix b/nixos/tests/web-apps/pretix.nix
new file mode 100644
index 000000000000..559316f9b85c
--- /dev/null
+++ b/nixos/tests/web-apps/pretix.nix
@@ -0,0 +1,47 @@
+{
+ lib,
+ pkgs,
+ ...
+}:
+
+{
+ name = "pretix";
+ meta.maintainers = with lib.maintainers; [ hexa ];
+
+ nodes = {
+ pretix = {
+ networking.extraHosts = ''
+ 127.0.0.1 tickets.local
+ '';
+
+ services.pretix = {
+ enable = true;
+ nginx.domain = "tickets.local";
+ plugins = with pkgs.pretix.plugins; [
+ passbook
+ pages
+ ];
+ settings = {
+ pretix = {
+ instance_name = "NixOS Test";
+ url = "http://tickets.local";
+ };
+ mail.from = "hello@tickets.local";
+ };
+ };
+ };
+ };
+
+ testScript = ''
+ start_all()
+
+ pretix.wait_for_unit("pretix-web.service")
+ pretix.wait_for_unit("pretix-worker.service")
+
+ pretix.wait_until_succeeds("curl -q --fail http://tickets.local")
+
+ pretix.succeed("pretix-manage --help")
+
+ pretix.log(pretix.succeed("systemd-analyze security pretix-web.service"))
+ '';
+}
diff --git a/nixos/tests/zfs.nix b/nixos/tests/zfs.nix
index 0b411b0b9d8a..851fced2c5e1 100644
--- a/nixos/tests/zfs.nix
+++ b/nixos/tests/zfs.nix
@@ -7,14 +7,14 @@ with import ../lib/testing-python.nix { inherit system pkgs; };
let
- makeZfsTest = name:
+ makeZfsTest =
{ kernelPackages
, enableSystemdStage1 ? false
, zfsPackage
, extraTest ? ""
}:
makeTest {
- name = "zfs-" + name;
+ name = zfsPackage.kernelModuleAttribute;
meta = with pkgs.lib.maintainers; {
maintainers = [ elvishjerricco ];
};
@@ -192,23 +192,23 @@ let
in {
# maintainer: @raitobezarius
- series_2_1 = makeZfsTest "2.1-series" {
+ series_2_1 = makeZfsTest {
zfsPackage = pkgs.zfs_2_1;
kernelPackages = pkgs.linuxPackages;
};
- stable = makeZfsTest "stable" {
- zfsPackage = pkgs.zfsStable;
+ series_2_2 = makeZfsTest {
+ zfsPackage = pkgs.zfs_2_2;
kernelPackages = pkgs.linuxPackages;
};
- unstable = makeZfsTest "unstable" rec {
- zfsPackage = pkgs.zfsUnstable;
+ unstable = makeZfsTest rec {
+ zfsPackage = pkgs.zfs_unstable;
kernelPackages = zfsPackage.latestCompatibleLinuxPackages;
};
- unstableWithSystemdStage1 = makeZfsTest "unstable" rec {
- zfsPackage = pkgs.zfsUnstable;
+ unstableWithSystemdStage1 = makeZfsTest rec {
+ zfsPackage = pkgs.zfs_unstable;
kernelPackages = zfsPackage.latestCompatibleLinuxPackages;
enableSystemdStage1 = true;
};
diff --git a/pkgs/README.md b/pkgs/README.md
index 0269264ed553..f8a79acdbd29 100644
--- a/pkgs/README.md
+++ b/pkgs/README.md
@@ -65,6 +65,8 @@ Now that this is out of the way. To add a package to Nixpkgs:
$ git add pkgs/by-name/so/some-package/package.nix
```
+ If the package is written in a language other than C, you should use [the corresponding language framework](https://nixos.org/manual/nixpkgs/stable/#chap-language-support).
+
You can have a look at the existing Nix expressions under `pkgs/` to see how it’s done, some of which are also using the [category hierarchy](#category-hierarchy).
Here are some good ones:
@@ -176,7 +178,7 @@ For example, the `libxml2` package builds both a library and some tools; but it
- **If it’s a _language server_:**
- - `development/tools/language-servers` (e.g. `ccls` or `rnix-lsp`)
+ - `development/tools/language-servers` (e.g. `ccls` or `nil`)
- **Else:**
@@ -346,7 +348,7 @@ There are a few naming guidelines:
- The `pname` attribute _should_ be identical to the upstream package name.
-- The `pname` and the `version` attribute _must not_ contain uppercase letters — e.g., `"mplayer" instead of `"MPlayer"`.
+- The `pname` and the `version` attribute _must not_ contain uppercase letters — e.g., `"mplayer"` instead of `"MPlayer"`.
- The `version` attribute _must_ start with a digit e.g., `"0.3.1rc2"`.
@@ -416,7 +418,6 @@ In the file `pkgs/top-level/all-packages.nix` you can find fetch helpers, these
```nix
src = fetchgit {
- url = "git@github.com:NixOS/nix.git"
url = "git://github.com/NixOS/nix.git";
rev = "1f795f9f44607cc5bec70d1300150bfefcef2aae";
hash = "sha256-7D4m+saJjbSFP5hOwpQq2FGR2rr+psQMTcyb1ZvtXsQ=";
diff --git a/pkgs/applications/accessibility/wvkbd/default.nix b/pkgs/applications/accessibility/wvkbd/default.nix
index 65cbf91676cb..d74790c2f1bd 100644
--- a/pkgs/applications/accessibility/wvkbd/default.nix
+++ b/pkgs/applications/accessibility/wvkbd/default.nix
@@ -13,13 +13,13 @@
stdenv.mkDerivation rec {
pname = "wvkbd";
- version = "0.14.3";
+ version = "0.14.4";
src = fetchFromGitHub {
owner = "jjsullivan5196";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-U4xq9FY2uZlnBwm8Se1wReU1c1RAJMx6FIoD0D2BlM4=";
+ sha256 = "sha256-1ySKsWgSCqsWV0RnR+wZt7+hWVWUqXrQLd1H6FqYzc8=";
};
postPatch = ''
diff --git a/pkgs/applications/audio/CHOWTapeModel/default.nix b/pkgs/applications/audio/CHOWTapeModel/default.nix
index dd7604939f0f..29c1b82d9d0e 100644
--- a/pkgs/applications/audio/CHOWTapeModel/default.nix
+++ b/pkgs/applications/audio/CHOWTapeModel/default.nix
@@ -127,5 +127,6 @@ stdenv.mkDerivation rec {
# error: 'vvtanh' was not declared in this scope; did you mean 'tanh'?
# error: no matching function for call to 'juce::dsp::SIMDRegister::SIMDRegister(xsimd::simd_batch_traits >::batch_bool_type)'
broken = stdenv.isAarch64; # since 2021-12-27 on hydra (update to 2.10): https://hydra.nixos.org/build/162558991
+ mainProgram = "CHOWTapeModel";
};
}
diff --git a/pkgs/applications/audio/ChowKick/default.nix b/pkgs/applications/audio/ChowKick/default.nix
index b3ad00bf63a8..0eec7ea32d32 100644
--- a/pkgs/applications/audio/ChowKick/default.nix
+++ b/pkgs/applications/audio/ChowKick/default.nix
@@ -102,5 +102,6 @@ stdenv.mkDerivation rec {
license = with licenses; [ bsd3 ];
maintainers = with maintainers; [ magnetophon ];
platforms = platforms.linux;
+ mainProgram = "ChowKick";
};
}
diff --git a/pkgs/applications/audio/aacgain/default.nix b/pkgs/applications/audio/aacgain/default.nix
index 2bee14797815..ce0362ba49c0 100644
--- a/pkgs/applications/audio/aacgain/default.nix
+++ b/pkgs/applications/audio/aacgain/default.nix
@@ -34,5 +34,6 @@ stdenv.mkDerivation {
license = licenses.gpl2Plus;
platforms = platforms.unix;
maintainers = [ maintainers.robbinch ];
+ mainProgram = "aacgain";
};
}
diff --git a/pkgs/applications/audio/aeolus/default.nix b/pkgs/applications/audio/aeolus/default.nix
index cca58da4f99e..b8a2fe755704 100644
--- a/pkgs/applications/audio/aeolus/default.nix
+++ b/pkgs/applications/audio/aeolus/default.nix
@@ -40,5 +40,6 @@ stdenv.mkDerivation rec {
license = licenses.lgpl3;
platforms = platforms.linux;
maintainers = with maintainers; [ nico202 orivej ];
+ mainProgram = "aeolus";
};
}
diff --git a/pkgs/applications/audio/airwindows-lv2/default.nix b/pkgs/applications/audio/airwindows-lv2/default.nix
index a5a8965eee40..d392db72ca2d 100644
--- a/pkgs/applications/audio/airwindows-lv2/default.nix
+++ b/pkgs/applications/audio/airwindows-lv2/default.nix
@@ -2,12 +2,12 @@
stdenv.mkDerivation rec {
pname = "airwindows-lv2";
- version = "26.2";
+ version = "28.0";
src = fetchFromSourcehut {
owner = "~hannes";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-GpfglGC7zD275lm9OsBmqDC90E/vVUqslm7HjPgm74M=";
+ sha256 = "sha256-1GWkdNCn98ttsF2rPLZE0+GJdatgkLewFQyx9Frr2sM=";
};
nativeBuildInputs = [ meson ninja pkg-config ];
diff --git a/pkgs/applications/audio/aj-snapshot/default.nix b/pkgs/applications/audio/aj-snapshot/default.nix
index 9143b5f5b08a..8de68bca477c 100644
--- a/pkgs/applications/audio/aj-snapshot/default.nix
+++ b/pkgs/applications/audio/aj-snapshot/default.nix
@@ -27,5 +27,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2;
maintainers = [ maintainers.mrVanDalo ];
platforms = platforms.all;
+ mainProgram = "aj-snapshot";
};
}
diff --git a/pkgs/applications/audio/alsa-scarlett-gui/default.nix b/pkgs/applications/audio/alsa-scarlett-gui/default.nix
index de4f8b3db98a..2b5aea7e5843 100644
--- a/pkgs/applications/audio/alsa-scarlett-gui/default.nix
+++ b/pkgs/applications/audio/alsa-scarlett-gui/default.nix
@@ -38,6 +38,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "GUI for alsa controls presented by Focusrite Scarlett Gen 2/3 Mixer Driver";
+ mainProgram = "alsa-scarlett-gui";
homepage = "https://github.com/geoffreybennett/alsa-scarlett-gui";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ sebtm ];
diff --git a/pkgs/applications/audio/amberol/default.nix b/pkgs/applications/audio/amberol/default.nix
index f29ca37341b9..6d6946a24167 100644
--- a/pkgs/applications/audio/amberol/default.nix
+++ b/pkgs/applications/audio/amberol/default.nix
@@ -74,5 +74,6 @@ stdenv.mkDerivation rec {
maintainers = with maintainers; [ linsui ];
license = licenses.gpl3Plus;
platforms = platforms.linux;
+ mainProgram = "amberol";
};
}
diff --git a/pkgs/applications/audio/ams/default.nix b/pkgs/applications/audio/ams/default.nix
index c51dced37724..9086bfc33387 100644
--- a/pkgs/applications/audio/ams/default.nix
+++ b/pkgs/applications/audio/ams/default.nix
@@ -39,6 +39,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Realtime modular synthesizer for ALSA";
+ mainProgram = "ams";
homepage = "https://alsamodular.sourceforge.net";
license = licenses.gpl2;
platforms = platforms.linux;
diff --git a/pkgs/applications/audio/ardour/default.nix b/pkgs/applications/audio/ardour/default.nix
index d6267aff3fef..226aa832f80f 100644
--- a/pkgs/applications/audio/ardour/default.nix
+++ b/pkgs/applications/audio/ardour/default.nix
@@ -64,14 +64,14 @@
}:
stdenv.mkDerivation rec {
pname = "ardour";
- version = "8.2";
+ version = "8.4";
# We can't use `fetchFromGitea` here, as attempting to fetch release archives from git.ardour.org
# result in an empty archive. See https://tracker.ardour.org/view.php?id=7328 for more info.
src = fetchgit {
url = "git://git.ardour.org/ardour/ardour.git";
rev = version;
- hash = "sha256-Ito1gy7k7nzTN7Co/ddXYbAvobiZO0V0J5uymsm756k=";
+ hash = "sha256-6qx/elkj4Kb4YK1JZG/S036WdUO7wEE6/yf4pZQP8J0=";
};
bundledContent = fetchzip {
diff --git a/pkgs/applications/audio/ario/default.nix b/pkgs/applications/audio/ario/default.nix
index 5b956e8f5961..b9e14f486b7a 100644
--- a/pkgs/applications/audio/ario/default.nix
+++ b/pkgs/applications/audio/ario/default.nix
@@ -52,6 +52,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "GTK client for MPD (Music player daemon)";
+ mainProgram = "ario";
homepage = "https://ario-player.sourceforge.net/";
license = licenses.gpl2Plus;
maintainers = [ maintainers.garrison ];
diff --git a/pkgs/applications/audio/ashuffle/default.nix b/pkgs/applications/audio/ashuffle/default.nix
index a543dbc7691a..475ecd26e706 100644
--- a/pkgs/applications/audio/ashuffle/default.nix
+++ b/pkgs/applications/audio/ashuffle/default.nix
@@ -12,13 +12,13 @@
stdenv.mkDerivation rec {
pname = "ashuffle";
- version = "3.14.3";
+ version = "3.14.7";
src = fetchFromGitHub {
owner = "joshkunz";
repo = "ashuffle";
rev = "v${version}";
- hash = "sha256-C7LClzVganE2DvucHw6euNRw2r36vhhCQlhWlkwWPwk=";
+ hash = "sha256-id55Ss/7PLBPn55RikAlqr3VkNzgm8NiL/ruFGAmH30=";
fetchSubmodules = true;
};
@@ -35,5 +35,6 @@ stdenv.mkDerivation rec {
maintainers = [ maintainers.tcbravo ];
platforms = platforms.unix;
license = licenses.mit;
+ mainProgram = "ashuffle";
};
}
diff --git a/pkgs/applications/audio/asunder/default.nix b/pkgs/applications/audio/asunder/default.nix
index dbaef1cf2acf..8e209a4717fa 100644
--- a/pkgs/applications/audio/asunder/default.nix
+++ b/pkgs/applications/audio/asunder/default.nix
@@ -36,6 +36,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A graphical Audio CD ripper and encoder for Linux";
+ mainProgram = "asunder";
homepage = "http://littlesvr.ca/asunder/index.php";
license = licenses.gpl2;
maintainers = with maintainers; [ mudri ];
diff --git a/pkgs/applications/audio/aucatctl/default.nix b/pkgs/applications/audio/aucatctl/default.nix
index d3f814a7db89..2914d3f34388 100644
--- a/pkgs/applications/audio/aucatctl/default.nix
+++ b/pkgs/applications/audio/aucatctl/default.nix
@@ -32,5 +32,6 @@ stdenv.mkDerivation (finalAttrs: {
license = licenses.isc;
maintainers = with maintainers; [ sna ];
platforms = platforms.unix;
+ mainProgram = "aucatctl";
};
})
diff --git a/pkgs/applications/audio/audacity/default.nix b/pkgs/applications/audio/audacity/default.nix
index f476b3c8a8e6..74af177d0e27 100644
--- a/pkgs/applications/audio/audacity/default.nix
+++ b/pkgs/applications/audio/audacity/default.nix
@@ -190,6 +190,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Sound editor with graphical UI";
+ mainProgram = "audacity";
homepage = "https://www.audacityteam.org";
changelog = "https://github.com/audacity/audacity/releases";
license = with licenses; [
diff --git a/pkgs/applications/audio/audio-recorder/default.nix b/pkgs/applications/audio/audio-recorder/default.nix
index aaf6777533ff..72d31e2966ff 100644
--- a/pkgs/applications/audio/audio-recorder/default.nix
+++ b/pkgs/applications/audio/audio-recorder/default.nix
@@ -27,6 +27,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Audio recorder for GNOME and Unity Desktops";
+ mainProgram = "audio-recorder";
longDescription = ''
This program allows you to record your favourite music or audio to a file.
It can record audio from your system soundcard, microphones, browsers and
diff --git a/pkgs/applications/audio/bambootracker/default.nix b/pkgs/applications/audio/bambootracker/default.nix
index 6c1bd006c7a6..5ebc2caf0f71 100644
--- a/pkgs/applications/audio/bambootracker/default.nix
+++ b/pkgs/applications/audio/bambootracker/default.nix
@@ -76,6 +76,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "A tracker for YM2608 (OPNA) which was used in NEC PC-8801/9801 series computers";
+ mainProgram = "BambooTracker";
homepage = "https://bambootracker.github.io/BambooTracker/";
license = licenses.gpl2Plus;
platforms = platforms.all;
diff --git a/pkgs/applications/audio/bitmeter/default.nix b/pkgs/applications/audio/bitmeter/default.nix
index 6108d84c3d9a..9ae4bd7e79fb 100644
--- a/pkgs/applications/audio/bitmeter/default.nix
+++ b/pkgs/applications/audio/bitmeter/default.nix
@@ -25,5 +25,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2;
maintainers = [ maintainers.magnetophon ];
platforms = platforms.linux;
+ mainProgram = "bitmeter";
};
}
diff --git a/pkgs/applications/audio/bitwig-studio/bitwig-studio5.nix b/pkgs/applications/audio/bitwig-studio/bitwig-studio5.nix
index d1540fd1cea0..3ec595e3e8bb 100644
--- a/pkgs/applications/audio/bitwig-studio/bitwig-studio5.nix
+++ b/pkgs/applications/audio/bitwig-studio/bitwig-studio5.nix
@@ -27,11 +27,11 @@
stdenv.mkDerivation rec {
pname = "bitwig-studio";
- version = "5.0.11";
+ version = "5.1.6";
src = fetchurl {
url = "https://downloads.bitwig.com/stable/${version}/${pname}-${version}.deb";
- sha256 = "sha256-c9bRWVWCC9hLxmko6EHgxgmghrxskJP4PQf3ld2BHoY=";
+ sha256 = "sha256-U1Qp7/7kAr1IEcv256I2J/sb5MYxfR20Pi5N8WaVh2U=";
};
nativeBuildInputs = [ dpkg makeWrapper wrapGAppsHook ];
diff --git a/pkgs/applications/audio/blanket/default.nix b/pkgs/applications/audio/blanket/default.nix
index 5a3d9949f8c5..4b08f8cf223a 100644
--- a/pkgs/applications/audio/blanket/default.nix
+++ b/pkgs/applications/audio/blanket/default.nix
@@ -59,6 +59,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
homepage = "https://github.com/rafaelmardojai/blanket";
description = "Listen to different sounds";
+ mainProgram = "blanket";
maintainers = with maintainers; [ onny ];
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/audio/brutefir/default.nix b/pkgs/applications/audio/brutefir/default.nix
index 2aefa8bae08e..8cb54bf410bf 100644
--- a/pkgs/applications/audio/brutefir/default.nix
+++ b/pkgs/applications/audio/brutefir/default.nix
@@ -28,5 +28,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2Only;
maintainers = with maintainers; [ auchter ];
platforms = [ "x86_64-linux" "i686-linux" ];
+ mainProgram = "brutefir";
};
}
diff --git a/pkgs/applications/audio/bucklespring/default.nix b/pkgs/applications/audio/bucklespring/default.nix
index dbdef66c5bc4..ccc25da4f1ed 100644
--- a/pkgs/applications/audio/bucklespring/default.nix
+++ b/pkgs/applications/audio/bucklespring/default.nix
@@ -50,6 +50,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Nostalgia bucklespring keyboard sound";
+ mainProgram = "buckle";
longDescription = ''
When built with libinput (wayland or bare console),
users need to be in the input group to use this:
diff --git a/pkgs/applications/audio/calf/default.nix b/pkgs/applications/audio/calf/default.nix
index e4e679e78082..ecc43dd10c47 100644
--- a/pkgs/applications/audio/calf/default.nix
+++ b/pkgs/applications/audio/calf/default.nix
@@ -26,5 +26,6 @@ stdenv.mkDerivation rec {
license = licenses.lgpl2;
maintainers = [ maintainers.goibhniu ];
platforms = platforms.linux;
+ mainProgram = "calfjackhost";
};
}
diff --git a/pkgs/applications/audio/cantata/default.nix b/pkgs/applications/audio/cantata/default.nix
index c5f3cfe5b68f..0d5c85625253 100644
--- a/pkgs/applications/audio/cantata/default.nix
+++ b/pkgs/applications/audio/cantata/default.nix
@@ -111,6 +111,7 @@ mkDerivation rec {
meta = with lib; {
description = "A graphical client for MPD";
+ mainProgram = "cantata";
homepage = "https://github.com/cdrummond/cantata";
license = licenses.gpl3Only;
maintainers = with maintainers; [ peterhoeg ];
diff --git a/pkgs/applications/audio/cava/default.nix b/pkgs/applications/audio/cava/default.nix
index 6b8390629829..23ecf095147f 100644
--- a/pkgs/applications/audio/cava/default.nix
+++ b/pkgs/applications/audio/cava/default.nix
@@ -18,13 +18,13 @@
stdenv.mkDerivation rec {
pname = "cava";
- version = "0.10.0";
+ version = "0.10.1";
src = fetchFromGitHub {
owner = "karlstav";
repo = "cava";
rev = version;
- hash = "sha256-AQR1qc6HgkUkXBRf7kGy4QdtfCj+YVDlYSEIWOutkTk=";
+ hash = "sha256-hndlEuKbI8oHvm0dosO0loQAw/U2qasoJ+4K8JG7I2Q=";
};
buildInputs = [
diff --git a/pkgs/applications/audio/cavalier/default.nix b/pkgs/applications/audio/cavalier/default.nix
deleted file mode 100644
index 17fdabc5bc0d..000000000000
--- a/pkgs/applications/audio/cavalier/default.nix
+++ /dev/null
@@ -1,68 +0,0 @@
-{ lib
-, python3
-, fetchFromGitHub
-, meson
-, ninja
-, pkg-config
-, gobject-introspection
-, glib
-, gtk4
-, librsvg
-, libadwaita
-, wrapGAppsHook4
-, appstream-glib
-, desktop-file-utils
-, cava
-}:
-
-python3.pkgs.buildPythonApplication rec {
- pname = "cavalier";
- version = "2023.01.29";
- format = "other";
-
- src = fetchFromGitHub {
- owner = "fsobolev";
- repo = pname;
- rev = version;
- hash = "sha256-6bvi73cFQHtIyD4d4+mqje0qkmG4wkahZ2ohda5RvRQ=";
- };
-
- nativeBuildInputs = [
- meson
- ninja
- pkg-config
- gobject-introspection
- wrapGAppsHook4
- appstream-glib
- desktop-file-utils
- ];
-
- buildInputs = [
- glib
- gtk4
- librsvg
- libadwaita
- ];
-
- propagatedBuildInputs = with python3.pkgs; [
- pygobject3
- ];
-
- # Prevent double wrapping
- dontWrapGApps = true;
-
- preFixup = ''
- makeWrapperArgs+=(
- "''${gappsWrapperArgs[@]}"
- --prefix PATH ":" "${lib.makeBinPath [ cava ]}"
- )
- '';
-
- meta = with lib; {
- description = "Audio visualizer based on CAVA with customizable LibAdwaita interface";
- homepage = "https://github.com/fsobolev/cavalier";
- license = licenses.mit;
- platforms = platforms.linux;
- maintainers = with maintainers; [ zendo ];
- };
-}
diff --git a/pkgs/applications/audio/cd-discid/default.nix b/pkgs/applications/audio/cd-discid/default.nix
index 16c574e8d038..e13a64e4bd83 100644
--- a/pkgs/applications/audio/cd-discid/default.nix
+++ b/pkgs/applications/audio/cd-discid/default.nix
@@ -20,6 +20,7 @@ stdenv.mkDerivation rec {
license = licenses.gpl2Plus;
platforms = platforms.unix;
description = "Command-line utility to get CDDB discid information from a CD-ROM disc";
+ mainProgram = "cd-discid";
longDescription = ''
cd-discid is a backend utility to get CDDB discid information
diff --git a/pkgs/applications/audio/cdparanoia/default.nix b/pkgs/applications/audio/cdparanoia/default.nix
index 7f699b82aefc..f32772b4a392 100644
--- a/pkgs/applications/audio/cdparanoia/default.nix
+++ b/pkgs/applications/audio/cdparanoia/default.nix
@@ -58,5 +58,6 @@ stdenv.mkDerivation rec {
description = "A tool and library for reading digital audio from CDs";
license = with licenses; [ gpl2Plus lgpl21Plus ];
platforms = platforms.unix;
+ mainProgram = "cdparanoia";
};
}
diff --git a/pkgs/applications/audio/chuck/default.nix b/pkgs/applications/audio/chuck/default.nix
index a62337d7d55a..6b975b3c6b95 100644
--- a/pkgs/applications/audio/chuck/default.nix
+++ b/pkgs/applications/audio/chuck/default.nix
@@ -29,5 +29,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2;
platforms = platforms.unix;
maintainers = with maintainers; [ ftrvxmtrx ];
+ mainProgram = "chuck";
};
}
diff --git a/pkgs/applications/audio/cider/default.nix b/pkgs/applications/audio/cider/default.nix
index c71f6fa0bc78..315fa2c9a65b 100644
--- a/pkgs/applications/audio/cider/default.nix
+++ b/pkgs/applications/audio/cider/default.nix
@@ -23,8 +23,9 @@ appimageTools.wrapType2 rec {
meta = with lib; {
description = "A new look into listening and enjoying Apple Music in style and performance.";
homepage = "https://github.com/ciderapp/Cider";
- license = licenses.agpl3;
+ license = licenses.agpl3Only;
maintainers = [ maintainers.cigrainger ];
platforms = [ "x86_64-linux" ];
+ mainProgram = "cider";
};
}
diff --git a/pkgs/applications/audio/cmusfm/default.nix b/pkgs/applications/audio/cmusfm/default.nix
index 8f15b7d61146..5d3a62bd2a56 100644
--- a/pkgs/applications/audio/cmusfm/default.nix
+++ b/pkgs/applications/audio/cmusfm/default.nix
@@ -38,5 +38,6 @@ stdenv.mkDerivation rec {
maintainers = with lib.maintainers; [ CharlesHD mudri ];
license = licenses.gpl3Plus;
platforms = platforms.linux ++ platforms.darwin;
+ mainProgram = "cmusfm";
};
}
diff --git a/pkgs/applications/audio/codecserver/default.nix b/pkgs/applications/audio/codecserver/default.nix
index d1bcf82115ef..0c1bee94cc10 100644
--- a/pkgs/applications/audio/codecserver/default.nix
+++ b/pkgs/applications/audio/codecserver/default.nix
@@ -36,5 +36,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl3Only;
platforms = platforms.unix;
maintainers = teams.c3d2.members;
+ mainProgram = "codecserver";
};
}
diff --git a/pkgs/applications/audio/cozy/default.nix b/pkgs/applications/audio/cozy/default.nix
index 8f7461683be1..e07217567d34 100644
--- a/pkgs/applications/audio/cozy/default.nix
+++ b/pkgs/applications/audio/cozy/default.nix
@@ -8,7 +8,7 @@
, gtk3
, gst_all_1
, gobject-introspection
-, libhandy
+, libadwaita
, libdazzle
, python3Packages
, cairo
@@ -22,13 +22,13 @@ python3Packages.buildPythonApplication rec {
format = "other"; # no setup.py
pname = "cozy";
- version = "1.2.1";
+ version = "1.3.0";
src = fetchFromGitHub {
owner = "geigi";
repo = pname;
rev = version;
- hash = "sha256-cRqfLFLvje8lxUZ4S83UAFyYUX0vj1ZgLG0Y6gpCfmI=";
+ hash = "sha256-oMgdz2dny0u1XV13aHu5s8/pcAz8z/SAOf4hbCDsdjw";
};
nativeBuildInputs = [
@@ -44,8 +44,8 @@ python3Packages.buildPythonApplication rec {
cairo
gettext
gnome.adwaita-icon-theme
+ libadwaita
libdazzle
- libhandy
pantheon.granite
] ++ (with gst_all_1; [
gstreamer
diff --git a/pkgs/applications/audio/cplay-ng/default.nix b/pkgs/applications/audio/cplay-ng/default.nix
index d4eb815bd14c..caecd35bed18 100644
--- a/pkgs/applications/audio/cplay-ng/default.nix
+++ b/pkgs/applications/audio/cplay-ng/default.nix
@@ -29,6 +29,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
homepage = "https://github.com/xi/cplay-ng";
description = "Simple curses audio player";
+ mainProgram = "cplay-ng";
longDescription = ''
cplay is a minimalist music player with a textual user interface written
in Python. It aims to provide a power-user-friendly interface with simple
diff --git a/pkgs/applications/audio/curseradio/default.nix b/pkgs/applications/audio/curseradio/default.nix
index 94059e06e546..0faa58b99884 100644
--- a/pkgs/applications/audio/curseradio/default.nix
+++ b/pkgs/applications/audio/curseradio/default.nix
@@ -29,6 +29,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "Command line radio player";
+ mainProgram = "curseradio";
homepage = "https://github.com/chronitis/curseradio";
license = licenses.mit;
maintainers = [ maintainers.eyjhb ];
diff --git a/pkgs/applications/audio/deadbeef/default.nix b/pkgs/applications/audio/deadbeef/default.nix
index 2c08477d07a0..f26fd4903726 100644
--- a/pkgs/applications/audio/deadbeef/default.nix
+++ b/pkgs/applications/audio/deadbeef/default.nix
@@ -130,6 +130,7 @@ in clangStdenv.mkDerivation {
meta = with lib; {
description = "Ultimate Music Player for GNU/Linux";
+ mainProgram = "deadbeef";
homepage = "http://deadbeef.sourceforge.net/";
downloadPage = "https://github.com/DeaDBeeF-Player/deadbeef";
license = licenses.gpl2;
diff --git a/pkgs/applications/audio/dfasma/default.nix b/pkgs/applications/audio/dfasma/default.nix
index cb2ac2b9e0bf..78ab9c8a5f4f 100644
--- a/pkgs/applications/audio/dfasma/default.nix
+++ b/pkgs/applications/audio/dfasma/default.nix
@@ -49,6 +49,7 @@ in mkDerivation rec {
meta = with lib; {
description = "Analyse and compare audio files in time and frequency";
+ mainProgram = "dfasma";
longDescription = ''
DFasma is free open-source software to compare audio files by time and
frequency. The comparison is first visual, using wavforms and spectra. It
diff --git a/pkgs/applications/audio/dirt/default.nix b/pkgs/applications/audio/dirt/default.nix
index 9d9c5c869f76..4b9be9a08085 100644
--- a/pkgs/applications/audio/dirt/default.nix
+++ b/pkgs/applications/audio/dirt/default.nix
@@ -35,5 +35,6 @@ stdenv.mkDerivation {
license = licenses.gpl3;
maintainers = with maintainers; [ anderspapitto ];
platforms = with platforms; linux;
+ mainProgram = "dirt";
};
}
diff --git a/pkgs/applications/audio/dolbybcsoftwaredecode/default.nix b/pkgs/applications/audio/dolbybcsoftwaredecode/default.nix
index 5d5c057d724b..fddbfc117bda 100644
--- a/pkgs/applications/audio/dolbybcsoftwaredecode/default.nix
+++ b/pkgs/applications/audio/dolbybcsoftwaredecode/default.nix
@@ -27,5 +27,6 @@ stdenv.mkDerivation rec {
# I asked upstream to assign a license, so maybe this can be free
# in the future, but for now let's play it safe and make it unfree.
license = lib.licenses.unfree;
+ mainProgram = "DolbyBi64";
};
}
diff --git a/pkgs/applications/audio/dr14_tmeter/default.nix b/pkgs/applications/audio/dr14_tmeter/default.nix
index 649c0f39097f..6ae27b93f29e 100644
--- a/pkgs/applications/audio/dr14_tmeter/default.nix
+++ b/pkgs/applications/audio/dr14_tmeter/default.nix
@@ -22,6 +22,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "Compute the DR14 of a given audio file according to the procedure described by the Pleasurize Music Foundation";
+ mainProgram = "dr14_tmeter";
license = licenses.gpl3Plus;
homepage = "http://dr14tmeter.sourceforge.net/";
maintainers = [ maintainers.adisbladis ];
diff --git a/pkgs/applications/audio/drumkv1/default.nix b/pkgs/applications/audio/drumkv1/default.nix
index 30d6328b5758..8dfb00318c15 100644
--- a/pkgs/applications/audio/drumkv1/default.nix
+++ b/pkgs/applications/audio/drumkv1/default.nix
@@ -15,6 +15,7 @@ mkDerivation rec {
meta = with lib; {
description = "An old-school drum-kit sampler synthesizer with stereo fx";
+ mainProgram = "drumkv1_jack";
homepage = "http://drumkv1.sourceforge.net/";
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/audio/dsf2flac/default.nix b/pkgs/applications/audio/dsf2flac/default.nix
index d47bff7fe884..2459c1c6929d 100644
--- a/pkgs/applications/audio/dsf2flac/default.nix
+++ b/pkgs/applications/audio/dsf2flac/default.nix
@@ -30,5 +30,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2;
maintainers = with maintainers; [ artemist ];
platforms = [ "x86_64-linux" ];
+ mainProgram = "dsf2flac";
};
}
diff --git a/pkgs/applications/audio/eartag/default.nix b/pkgs/applications/audio/eartag/default.nix
index a40ea62403be..cb5a650a2191 100644
--- a/pkgs/applications/audio/eartag/default.nix
+++ b/pkgs/applications/audio/eartag/default.nix
@@ -19,7 +19,7 @@
python3Packages.buildPythonApplication rec {
pname = "eartag";
- version = "0.5.1";
+ version = "0.6.0";
format = "other";
src = fetchFromGitLab {
@@ -27,7 +27,7 @@ python3Packages.buildPythonApplication rec {
owner = "World";
repo = pname;
rev = version;
- hash = "sha256-tHBEz4sZsWOxDkdUd/3zMta8vOhuzv4G01dtjKBX/D0=";
+ hash = "sha256-MfffIqxfelwP+9wsFvQfEIMkav7j5LJEHjLPOsuYdtQ=";
};
postPatch = ''
@@ -77,6 +77,7 @@ python3Packages.buildPythonApplication rec {
# since ICU license is a modified version of MIT and to prevent it from
# being incorrectly identified as unfree software.
license = licenses.mit;
+ mainProgram = "eartag";
maintainers = with maintainers; [ foo-dogsquared ];
};
}
diff --git a/pkgs/applications/audio/easyabc/default.nix b/pkgs/applications/audio/easyabc/default.nix
index edf6e3596aa2..9fb792626ac2 100644
--- a/pkgs/applications/audio/easyabc/default.nix
+++ b/pkgs/applications/audio/easyabc/default.nix
@@ -73,6 +73,7 @@ in python.pkgs.buildPythonApplication {
meta = {
description = "ABC music notation editor";
+ mainProgram = "easyabc";
homepage = "https://easyabc.sourceforge.net/";
license = lib.licenses.gpl2Plus;
platforms = lib.platforms.linux;
diff --git a/pkgs/applications/audio/easyeffects/default.nix b/pkgs/applications/audio/easyeffects/default.nix
index 4f21068b10d5..81fb1efe5083 100644
--- a/pkgs/applications/audio/easyeffects/default.nix
+++ b/pkgs/applications/audio/easyeffects/default.nix
@@ -41,13 +41,13 @@
stdenv.mkDerivation rec {
pname = "easyeffects";
- version = "7.1.3";
+ version = "7.1.5";
src = fetchFromGitHub {
owner = "wwmm";
repo = "easyeffects";
rev = "v${version}";
- hash = "sha256-OJy8HhojfpUwWo3zg+FgdFI4pMzWA61VMsdPE03MfeE=";
+ hash = "sha256-QoH1dOzBtQHQQKA0+eZFX6yOvjRUmUZVxcdpISIpLLk=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/audio/easytag/default.nix b/pkgs/applications/audio/easytag/default.nix
index d55fd7ff0cb6..6d3fd8f1d17d 100644
--- a/pkgs/applications/audio/easytag/default.nix
+++ b/pkgs/applications/audio/easytag/default.nix
@@ -33,6 +33,7 @@ in stdenv.mkDerivation rec {
meta = with lib; {
description = "View and edit tags for various audio files";
+ mainProgram = "easytag";
homepage = "https://wiki.gnome.org/Apps/EasyTAG";
license = licenses.gpl2Plus;
maintainers = with maintainers; [ ];
diff --git a/pkgs/applications/audio/eflite/default.nix b/pkgs/applications/audio/eflite/default.nix
index 776698a06d0d..96b604b7c058 100644
--- a/pkgs/applications/audio/eflite/default.nix
+++ b/pkgs/applications/audio/eflite/default.nix
@@ -47,5 +47,6 @@ stdenv.mkDerivation rec {
license = lib.licenses.gpl2;
platforms = lib.platforms.linux;
maintainers = with lib.maintainers; [ jhhuh ];
+ mainProgram = "eflite";
};
}
diff --git a/pkgs/applications/audio/espeak/default.nix b/pkgs/applications/audio/espeak/default.nix
index 7164cb5fd3e0..4693995883d9 100644
--- a/pkgs/applications/audio/espeak/default.nix
+++ b/pkgs/applications/audio/espeak/default.nix
@@ -30,6 +30,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Compact open source software speech synthesizer";
+ mainProgram = "espeak";
homepage = "https://espeak.sourceforge.net/";
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/audio/espeak/edit.nix b/pkgs/applications/audio/espeak/edit.nix
index 6c4da056c848..4be2f404f60f 100644
--- a/pkgs/applications/audio/espeak/edit.nix
+++ b/pkgs/applications/audio/espeak/edit.nix
@@ -55,6 +55,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Phoneme editor for espeak";
+ mainProgram = "espeakedit";
homepage = "https://espeak.sourceforge.net/";
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/audio/exaile/default.nix b/pkgs/applications/audio/exaile/default.nix
index 77054b2d9144..a2f75fd85a70 100644
--- a/pkgs/applications/audio/exaile/default.nix
+++ b/pkgs/applications/audio/exaile/default.nix
@@ -93,6 +93,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://www.exaile.org/";
description = "A music player with a simple interface and powerful music management capabilities";
+ mainProgram = "exaile";
license = licenses.gpl2Only;
maintainers = with maintainers; [ ryneeverett ];
platforms = platforms.all;
diff --git a/pkgs/applications/audio/famistudio/default.nix b/pkgs/applications/audio/famistudio/default.nix
index e243498f129b..9951f0ccfb07 100644
--- a/pkgs/applications/audio/famistudio/default.nix
+++ b/pkgs/applications/audio/famistudio/default.nix
@@ -66,5 +66,6 @@ stdenv.mkDerivation rec {
sourceProvenance = with lib.sourceTypes; [ binaryNativeCode ];
maintainers = with maintainers; [ OPNA2608 ];
platforms = [ "x86_64-linux" ];
+ mainProgram = "famistudio";
};
}
diff --git a/pkgs/applications/audio/faust/faust2.nix b/pkgs/applications/audio/faust/faust2.nix
index 3e90236f872a..dc5dc477b03a 100644
--- a/pkgs/applications/audio/faust/faust2.nix
+++ b/pkgs/applications/audio/faust/faust2.nix
@@ -63,6 +63,14 @@ let
ncurses_static
];
+ patches = [
+ (fetchpatch {
+ name = "fix-CsigFFun-API-declaration.patch";
+ url = "https://github.com/grame-cncm/faust/commit/10ce960e91a6237c7bff14a338e770757076ce9e.patch";
+ hash = "sha256-WMFLpLGTZpG7ni3lhI5VJHsmJViWZf4pAFuhYmFVRCE=";
+ })
+ ];
+
passthru = { inherit wrap wrapWithBuildEnv faust2ApplBase; };
preConfigure = ''
diff --git a/pkgs/applications/audio/faust/faustlive.nix b/pkgs/applications/audio/faust/faustlive.nix
index 1d91f561c044..3826bb8b4bad 100644
--- a/pkgs/applications/audio/faust/faustlive.nix
+++ b/pkgs/applications/audio/faust/faustlive.nix
@@ -86,6 +86,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A standalone just-in-time Faust compiler";
+ mainProgram = "FaustLive";
longDescription = ''
FaustLive is a standalone just-in-time Faust compiler. It tries to bring
together the convenience of a standalone interpreted language with the
diff --git a/pkgs/applications/audio/fdkaac/default.nix b/pkgs/applications/audio/fdkaac/default.nix
index 2350bde0bd6a..55e014e001fb 100644
--- a/pkgs/applications/audio/fdkaac/default.nix
+++ b/pkgs/applications/audio/fdkaac/default.nix
@@ -19,6 +19,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Command line encoder frontend for libfdk-aac encoder";
+ mainProgram = "fdkaac";
longDescription = ''
fdkaac reads linear PCM audio in either WAV, raw PCM, or CAF format,
and encodes it into either M4A / AAC file.
diff --git a/pkgs/applications/audio/flac123/default.nix b/pkgs/applications/audio/flac123/default.nix
index 5da071805cad..646e361524d4 100644
--- a/pkgs/applications/audio/flac123/default.nix
+++ b/pkgs/applications/audio/flac123/default.nix
@@ -17,5 +17,6 @@ stdenv.mkDerivation rec {
description = "A command-line program for playing FLAC audio files";
license = licenses.gpl2Plus;
platforms = platforms.all;
+ mainProgram = "flac123";
};
}
diff --git a/pkgs/applications/audio/flac2all/default.nix b/pkgs/applications/audio/flac2all/default.nix
index 4a6132d39af3..7020879eae9b 100644
--- a/pkgs/applications/audio/flac2all/default.nix
+++ b/pkgs/applications/audio/flac2all/default.nix
@@ -37,6 +37,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "Multi process, clustered, FLAC to multi codec audio converter with tagging support";
+ mainProgram = "flac2all";
homepage = "https://github.com/ZivaVatra/flac2all";
license = licenses.gpl3;
# TODO: This has only been tested on Linux, but may work on Mac too.
diff --git a/pkgs/applications/audio/flacon/default.nix b/pkgs/applications/audio/flacon/default.nix
index 5cb59c98c331..a06efc1c78ea 100644
--- a/pkgs/applications/audio/flacon/default.nix
+++ b/pkgs/applications/audio/flacon/default.nix
@@ -1,6 +1,6 @@
{ stdenv, lib, fetchFromGitHub, cmake, libuchardet, pkg-config, shntool, flac
-, opusTools, vorbis-tools, mp3gain, lame, taglib, wavpack, vorbisgain, sox, gtk3
-, qtbase, qttools, wrapQtAppsHook }:
+, opusTools, vorbis-tools, mp3gain, lame, taglib, wavpack, vorbisgain
+, monkeysAudio, sox, gtk3, qtbase, qttools, wrapQtAppsHook }:
stdenv.mkDerivation rec {
pname = "flacon";
@@ -24,6 +24,7 @@ stdenv.mkDerivation rec {
mp3gain
lame
wavpack
+ monkeysAudio
vorbisgain
sox
];
@@ -37,6 +38,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description =
"Extracts audio tracks from an audio CD image to separate tracks";
+ mainProgram = "flacon";
homepage = "https://flacon.github.io/";
license = licenses.lgpl21;
platforms = platforms.linux;
diff --git a/pkgs/applications/audio/fluidsynth/default.nix b/pkgs/applications/audio/fluidsynth/default.nix
index 34329fb2ea84..56f76f6513c7 100644
--- a/pkgs/applications/audio/fluidsynth/default.nix
+++ b/pkgs/applications/audio/fluidsynth/default.nix
@@ -32,5 +32,6 @@ stdenv.mkDerivation rec {
license = licenses.lgpl21Plus;
maintainers = with maintainers; [ goibhniu lovek323 ];
platforms = platforms.unix;
+ mainProgram = "fluidsynth";
};
}
diff --git a/pkgs/applications/audio/freewheeling/default.nix b/pkgs/applications/audio/freewheeling/default.nix
index 531352d0ed94..976421aee7ab 100644
--- a/pkgs/applications/audio/freewheeling/default.nix
+++ b/pkgs/applications/audio/freewheeling/default.nix
@@ -48,5 +48,6 @@ stdenv.mkDerivation rec {
license = lib.licenses.gpl2;
maintainers = [ lib.maintainers.sepi ];
platforms = lib.platforms.linux;
+ mainProgram = "fweelin";
};
}
diff --git a/pkgs/applications/audio/freqtweak/default.nix b/pkgs/applications/audio/freqtweak/default.nix
index 71bb0f6f05c8..c880fdb113a0 100644
--- a/pkgs/applications/audio/freqtweak/default.nix
+++ b/pkgs/applications/audio/freqtweak/default.nix
@@ -26,5 +26,6 @@ stdenv.mkDerivation rec {
maintainers = [ maintainers.magnetophon ];
platforms = platforms.linux;
license = licenses.gpl2Plus;
+ mainProgram = "freqtweak";
};
}
diff --git a/pkgs/applications/audio/friture/default.nix b/pkgs/applications/audio/friture/default.nix
index eb702d086312..60a098bb1ab8 100644
--- a/pkgs/applications/audio/friture/default.nix
+++ b/pkgs/applications/audio/friture/default.nix
@@ -49,6 +49,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "A real-time audio analyzer";
+ mainProgram = "friture";
homepage = "https://friture.org/";
license = licenses.gpl3;
platforms = platforms.linux; # fails on Darwin
diff --git a/pkgs/applications/audio/ft2-clone/default.nix b/pkgs/applications/audio/ft2-clone/default.nix
index d299148e6ade..0587fc8d4bbb 100644
--- a/pkgs/applications/audio/ft2-clone/default.nix
+++ b/pkgs/applications/audio/ft2-clone/default.nix
@@ -13,13 +13,13 @@
stdenv.mkDerivation rec {
pname = "ft2-clone";
- version = "1.75";
+ version = "1.79.2";
src = fetchFromGitHub {
owner = "8bitbubsy";
repo = "ft2-clone";
rev = "v${version}";
- hash = "sha256-K+RUsRr19fc0E9VhZWIawxkGXCTwqXl3a13pRiRxDPg=";
+ hash = "sha256-/RZXtSjJ0nRtlMreT4M/IYQpdv/UXjVJaHMld9wwaUw=";
};
nativeBuildInputs = [ cmake ];
@@ -45,5 +45,6 @@ stdenv.mkDerivation rec {
# From HOW-TO-COMPILE.txt:
# > This code is NOT big-endian compatible
platforms = platforms.littleEndian;
+ mainProgram = "ft2-clone";
};
}
diff --git a/pkgs/applications/audio/furnace/default.nix b/pkgs/applications/audio/furnace/default.nix
index adb9f16ed964..d39e49f4b7af 100644
--- a/pkgs/applications/audio/furnace/default.nix
+++ b/pkgs/applications/audio/furnace/default.nix
@@ -116,5 +116,6 @@ stdenv.mkDerivation rec {
license = with licenses; [ gpl2Plus ];
maintainers = with maintainers; [ OPNA2608 ];
platforms = platforms.all;
+ mainProgram = "furnace";
};
}
diff --git a/pkgs/applications/audio/g4music/default.nix b/pkgs/applications/audio/g4music/default.nix
index ed8b067ade32..bbb31c114659 100644
--- a/pkgs/applications/audio/g4music/default.nix
+++ b/pkgs/applications/audio/g4music/default.nix
@@ -51,6 +51,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "A beautiful, fast, fluent, light weight music player written in GTK4";
+ mainProgram = "g4music";
homepage = "https://gitlab.gnome.org/neithern/g4music";
license = licenses.gpl3Only;
maintainers = with maintainers; [ magnouvean ];
diff --git a/pkgs/applications/audio/gbsplay/default.nix b/pkgs/applications/audio/gbsplay/default.nix
index c8a0e7efe487..b86142ed47b5 100644
--- a/pkgs/applications/audio/gbsplay/default.nix
+++ b/pkgs/applications/audio/gbsplay/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "gbsplay";
- version = "0.0.95";
+ version = "0.0.96";
src = fetchFromGitHub {
owner = "mmitch";
repo = "gbsplay";
rev = version;
- sha256 = "sha256-s6TGAWwIm2raXk3kA3D0/fg+Hn3O/lerPlxGOryXIBQ=";
+ sha256 = "sha256-2sYPP+urcSP67mHzbjRiL9BYgkIpONr7fPPbGQmBOqU=";
};
configureFlags = [
diff --git a/pkgs/applications/audio/geonkick/default.nix b/pkgs/applications/audio/geonkick/default.nix
index 0a99edd7b069..011f06fd3ad5 100644
--- a/pkgs/applications/audio/geonkick/default.nix
+++ b/pkgs/applications/audio/geonkick/default.nix
@@ -27,5 +27,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl3Plus;
platforms = platforms.linux;
maintainers = [ maintainers.magnetophon ];
+ mainProgram = "geonkick";
};
}
diff --git a/pkgs/applications/audio/giada/default.nix b/pkgs/applications/audio/giada/default.nix
index 15fcf0540583..7008e6a53155 100644
--- a/pkgs/applications/audio/giada/default.nix
+++ b/pkgs/applications/audio/giada/default.nix
@@ -24,13 +24,13 @@
stdenv.mkDerivation rec {
pname = "giada";
- version = "0.26.1";
+ version = "1.0.0";
src = fetchFromGitHub {
owner = "monocasual";
repo = pname;
rev = version;
- sha256 = "sha256-tONxVxzOFbwnuaW6YoHVZOmgd5S11qz38hcI+yQgjrQ=";
+ sha256 = "sha256-vTOUS9mI4B3yRNnM2dNCH7jgMuD3ztdhe1FMgXUIt58=";
fetchSubmodules = true;
};
@@ -69,6 +69,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A free, minimal, hardcore audio tool for DJs, live performers and electronic musicians";
+ mainProgram = "giada";
homepage = "https://giadamusic.com/";
license = licenses.gpl3;
maintainers = with maintainers; [ kashw2 ];
diff --git a/pkgs/applications/audio/gigedit/default.nix b/pkgs/applications/audio/gigedit/default.nix
index 8c37e8901a33..7a367aa7ba71 100644
--- a/pkgs/applications/audio/gigedit/default.nix
+++ b/pkgs/applications/audio/gigedit/default.nix
@@ -42,5 +42,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2;
maintainers = [ maintainers.goibhniu ];
platforms = platforms.linux;
+ mainProgram = "gigedit";
};
}
diff --git a/pkgs/applications/audio/gjay/default.nix b/pkgs/applications/audio/gjay/default.nix
index 29d676598203..514db23fdb4e 100644
--- a/pkgs/applications/audio/gjay/default.nix
+++ b/pkgs/applications/audio/gjay/default.nix
@@ -38,5 +38,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2Plus;
maintainers = with maintainers; [ pSub ];
platforms = with platforms; linux;
+ mainProgram = "gjay";
};
}
diff --git a/pkgs/applications/audio/gnaural/default.nix b/pkgs/applications/audio/gnaural/default.nix
index e7753ef28e6b..75f163ba1e79 100644
--- a/pkgs/applications/audio/gnaural/default.nix
+++ b/pkgs/applications/audio/gnaural/default.nix
@@ -34,5 +34,6 @@ stdenv.mkDerivation rec {
homepage = "https://gnaural.sourceforge.net/";
maintainers = with maintainers; [ ehmry ];
license = with licenses; [ gpl2Only ];
+ mainProgram = "gnaural";
};
}
diff --git a/pkgs/applications/audio/gnome-podcasts/default.nix b/pkgs/applications/audio/gnome-podcasts/default.nix
index 8753b1893ea3..bba557913297 100644
--- a/pkgs/applications/audio/gnome-podcasts/default.nix
+++ b/pkgs/applications/audio/gnome-podcasts/default.nix
@@ -68,6 +68,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Listen to your favorite podcasts";
+ mainProgram = "gnome-podcasts";
homepage = "https://wiki.gnome.org/Apps/Podcasts";
license = licenses.gpl3Plus;
maintainers = teams.gnome.members;
diff --git a/pkgs/applications/audio/go-musicfox/default.nix b/pkgs/applications/audio/go-musicfox/default.nix
index 549ab0f692e7..3d67cb7d46e9 100644
--- a/pkgs/applications/audio/go-musicfox/default.nix
+++ b/pkgs/applications/audio/go-musicfox/default.nix
@@ -9,18 +9,18 @@
buildGoModule rec {
pname = "go-musicfox";
- version = "4.3.0";
+ version = "4.3.1";
src = fetchFromGitHub {
owner = "go-musicfox";
repo = pname;
rev = "v${version}";
- hash = "sha256-JDR3D3tILT0q9jqcZmbfQC3yn7cmaSL/GEpCguqCFXI=";
+ hash = "sha256-QZHuQAOnthSm7Kb82i3NUWTnKk+9OMHV5vzOU72inX0=";
};
deleteVendor = true;
- vendorHash = "sha256-ILO4v4ii1l9JokXG7R3vuN7i5hDi/hLHTFiClA2vdf0=";
+ vendorHash = "sha256-6DeoxpjVfykBI3fJAJpMZwJ4VTooIbxGpk5+SW198hU=";
subPackages = [ "cmd/musicfox.go" ];
diff --git a/pkgs/applications/audio/goattracker/default.nix b/pkgs/applications/audio/goattracker/default.nix
index b85aa4c0df76..17373c1d5a52 100644
--- a/pkgs/applications/audio/goattracker/default.nix
+++ b/pkgs/applications/audio/goattracker/default.nix
@@ -22,14 +22,14 @@ let
keywords = [ "tracker" "music" ];
};
-in stdenv.mkDerivation rec {
+in stdenv.mkDerivation (finalAttrs: {
inherit pname;
version = if isStereo
then "2.77" # stereo
else "2.76"; # normal
src = fetchurl {
- url = "mirror://sourceforge/goattracker2/GoatTracker_${version}${lib.optionalString isStereo "_Stereo"}.zip";
+ url = "mirror://sourceforge/goattracker2/GoatTracker_${finalAttrs.version}${lib.optionalString isStereo "_Stereo"}.zip";
sha256 = if isStereo
then "1hiig2d152sv9kazwz33i56x1c54h5sh21ipkqnp6qlnwj8x1ksy" # stereo
else "0d7a3han4jw4bwiba3j87racswaajgl3pj4sb5lawdqdxicv3dn1"; # normal
@@ -43,10 +43,14 @@ in stdenv.mkDerivation rec {
makeFlags = [ "PREFIX=$(out)/bin/" ];
# The zip contains some build artifacts.
- prePatch = "make clean";
+ prePatch = ''
+ make clean
+ '';
# The destination does not get created automatically.
- preBuild = "mkdir -p $out/bin";
+ preBuild = ''
+ mkdir -p $out/bin
+ '';
# Other files get installed during the build phase.
installPhase = ''
@@ -54,6 +58,7 @@ in stdenv.mkDerivation rec {
convert goattrk2.bmp goattracker.png
install -Dm644 goattracker.png $out/share/icons/hicolor/32x32/apps/goattracker.png
+ ${lib.optionalString (!isStereo) "install -Dm644 ../linux/goattracker.1 $out/share/man/man1/goattracker.1"}
runHook postInstall
'';
@@ -66,7 +71,8 @@ in stdenv.mkDerivation rec {
homepage = "https://cadaver.github.io/tools.html";
downloadPage = "https://sourceforge.net/projects/goattracker2/";
license = lib.licenses.gpl2Plus;
+ mainProgram = if isStereo then "gt2stereo" else "goattrk2";
maintainers = with lib.maintainers; [ fgaz ];
platforms = lib.platforms.all;
};
-}
+})
diff --git a/pkgs/applications/audio/greg/default.nix b/pkgs/applications/audio/greg/default.nix
index 2193447c02c7..5e6d5e2583ab 100644
--- a/pkgs/applications/audio/greg/default.nix
+++ b/pkgs/applications/audio/greg/default.nix
@@ -18,6 +18,7 @@ with pythonPackages; buildPythonApplication rec {
meta = with lib; {
homepage = "https://github.com/manolomartinez/greg";
description = "A command-line podcast aggregator";
+ mainProgram = "greg";
license = licenses.gpl3;
maintainers = with maintainers; [ edwtjo ];
};
diff --git a/pkgs/applications/audio/gtkpod/default.nix b/pkgs/applications/audio/gtkpod/default.nix
deleted file mode 100644
index ba73a5aae109..000000000000
--- a/pkgs/applications/audio/gtkpod/default.nix
+++ /dev/null
@@ -1,40 +0,0 @@
-{ lib, stdenv, fetchurl, pkg-config, wrapGAppsHook, intltool, libgpod, libxml2, curl, flac
-, gnome, gtk3, gettext, perlPackages, flex, libid3tag, gdl
-, libvorbis, gdk-pixbuf
-}:
-
-stdenv.mkDerivation rec {
- version = "2.1.5";
- pname = "gtkpod";
-
- src = fetchurl {
- url = "mirror://sourceforge/gtkpod/${pname}-${version}.tar.gz";
- sha256 = "0xisrpx069f7bjkyc8vqxb4k0480jmx1wscqxr6cpq1qj6pchzd5";
- };
- postPatch = ''
- sed -i 's/which/type -P/' scripts/*.sh
- '';
-
- nativeBuildInputs = [ pkg-config wrapGAppsHook intltool ];
- buildInputs = [
- curl gettext
- flex libgpod libid3tag flac libvorbis libxml2 gtk3 gdk-pixbuf
- gdl gnome.adwaita-icon-theme gnome.anjuta
- ] ++ (with perlPackages; [ perl XMLParser ]);
-
- # Workaround build failure on -fno-common toolchains like upstream
- # gcc-10. Otherwise build fails as:
- # ld: .libs/autodetection.o:/build/gtkpod-2.1.5/libgtkpod/gtkpod_app_iface.h:248: multiple definition of
- # `gtkpod_app'; .libs/gtkpod_app_iface.o:/build/gtkpod-2.1.5/libgtkpod/gtkpod_app_iface.h:248: first defined here
- env.NIX_CFLAGS_COMPILE = "-fcommon";
-
- enableParallelBuilding = true;
-
- meta = with lib; {
- description = "GTK Manager for an Apple ipod";
- homepage = "https://sourceforge.net/projects/gtkpod/";
- license = licenses.gpl2Plus;
- platforms = platforms.linux;
- maintainers = [ ];
- };
-}
diff --git a/pkgs/applications/audio/guitarix/default.nix b/pkgs/applications/audio/guitarix/default.nix
index 84308d59d44c..2134370eac9f 100644
--- a/pkgs/applications/audio/guitarix/default.nix
+++ b/pkgs/applications/audio/guitarix/default.nix
@@ -117,6 +117,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A virtual guitar amplifier for Linux running with JACK";
+ mainProgram = "guitarix";
longDescription = ''
guitarix is a virtual guitar amplifier for Linux running with
JACK (Jack Audio Connection Kit). It is free as in speech and
diff --git a/pkgs/applications/audio/headset/default.nix b/pkgs/applications/audio/headset/default.nix
index 336d0d391a31..f505bc8c0ecb 100644
--- a/pkgs/applications/audio/headset/default.nix
+++ b/pkgs/applications/audio/headset/default.nix
@@ -41,5 +41,6 @@ stdenv.mkDerivation rec {
license = licenses.mit;
platforms = [ "x86_64-linux" ];
maintainers = with maintainers; [ muscaln ];
+ mainProgram = "headset";
};
}
diff --git a/pkgs/applications/audio/helio-workstation/default.nix b/pkgs/applications/audio/helio-workstation/default.nix
index 0b92b23d1800..eaaa197a9c6a 100644
--- a/pkgs/applications/audio/helio-workstation/default.nix
+++ b/pkgs/applications/audio/helio-workstation/default.nix
@@ -41,6 +41,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "One music sequencer for all major platforms, both desktop and mobile";
+ mainProgram = "helio";
homepage = "https://helio.fm/";
license = licenses.gpl3Only;
maintainers = [ maintainers.suhr ];
diff --git a/pkgs/applications/audio/helm/default.nix b/pkgs/applications/audio/helm/default.nix
index 720fd2b11a13..a7dff6a3ab72 100644
--- a/pkgs/applications/audio/helm/default.nix
+++ b/pkgs/applications/audio/helm/default.nix
@@ -70,5 +70,6 @@
license = lib.licenses.gpl3Plus;
maintainers = [ maintainers.magnetophon ];
platforms = platforms.linux;
+ mainProgram = "helm";
};
}
diff --git a/pkgs/applications/audio/hivelytracker/default.nix b/pkgs/applications/audio/hivelytracker/default.nix
index b87ad68ceee7..324d0ca362b4 100644
--- a/pkgs/applications/audio/hivelytracker/default.nix
+++ b/pkgs/applications/audio/hivelytracker/default.nix
@@ -6,21 +6,23 @@
, SDL_image
, SDL_ttf
, gtk3
+, wrapGAppsHook
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "hivelytracker";
version = "1.9";
src = fetchFromGitHub {
owner = "pete-gordon";
repo = "hivelytracker";
- rev = "V${lib.replaceStrings ["."] ["_"] version}";
+ rev = "V${lib.replaceStrings ["."] ["_"] finalAttrs.version}";
sha256 = "148p320sd8phcpmj4m85ns5zly2dawbp8kgx9ryjfdk24pa88xg6";
};
nativeBuildInputs = [
pkg-config
+ wrapGAppsHook
];
buildInputs = [
@@ -62,7 +64,8 @@ stdenv.mkDerivation rec {
'';
license = licenses.bsd3;
platforms = platforms.all;
+ mainProgram = "hivelytracker";
maintainers = with maintainers; [ fgaz ];
broken = stdenv.isDarwin; # TODO: try to use xcbuild
};
-}
+})
diff --git a/pkgs/applications/audio/hushboard/default.nix b/pkgs/applications/audio/hushboard/default.nix
index f0fde190db99..3248ab35b22c 100644
--- a/pkgs/applications/audio/hushboard/default.nix
+++ b/pkgs/applications/audio/hushboard/default.nix
@@ -67,6 +67,7 @@ buildPythonApplication {
homepage = "https://kryogenix.org/code/hushboard/";
license = licenses.mit;
description = "Mute your microphone while typing";
+ mainProgram = "hushboard";
platforms = platforms.linux;
maintainers = with maintainers; [ sersorrel ];
};
diff --git a/pkgs/applications/audio/hybridreverb2/default.nix b/pkgs/applications/audio/hybridreverb2/default.nix
index 003936d74df3..5a677b9cc880 100644
--- a/pkgs/applications/audio/hybridreverb2/default.nix
+++ b/pkgs/applications/audio/hybridreverb2/default.nix
@@ -83,5 +83,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2Plus;
maintainers = [ maintainers.magnetophon ];
platforms = platforms.linux;
+ mainProgram = "HybridReverb2";
};
}
diff --git a/pkgs/applications/audio/iannix/default.nix b/pkgs/applications/audio/iannix/default.nix
index 31f0bbbb4c7d..f55fcdfd3601 100644
--- a/pkgs/applications/audio/iannix/default.nix
+++ b/pkgs/applications/audio/iannix/default.nix
@@ -21,6 +21,7 @@ mkDerivation rec {
meta = with lib; {
description = "Graphical open-source sequencer";
+ mainProgram = "iannix";
homepage = "https://www.iannix.org/";
license = licenses.lgpl3;
platforms = platforms.linux;
diff --git a/pkgs/applications/audio/id3v2/default.nix b/pkgs/applications/audio/id3v2/default.nix
index 7b8ece0e7182..6129f25236e6 100644
--- a/pkgs/applications/audio/id3v2/default.nix
+++ b/pkgs/applications/audio/id3v2/default.nix
@@ -24,5 +24,6 @@ stdenv.mkDerivation rec {
homepage = "https://id3v2.sourceforge.net/";
license = licenses.gpl2Plus;
platforms = with platforms; unix;
+ mainProgram = "id3v2";
};
}
diff --git a/pkgs/applications/audio/in-formant/default.nix b/pkgs/applications/audio/in-formant/default.nix
index 3df72add7f6b..89e7e876af8e 100644
--- a/pkgs/applications/audio/in-formant/default.nix
+++ b/pkgs/applications/audio/in-formant/default.nix
@@ -62,6 +62,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A real-time pitch and formant tracking software";
+ mainProgram = "in-formant";
homepage = "https://github.com/in-formant/in-formant";
license = licenses.asl20;
# currently broken on i686-linux and aarch64-linux due to other nixpkgs dependencies
diff --git a/pkgs/applications/audio/indicator-sound-switcher/default.nix b/pkgs/applications/audio/indicator-sound-switcher/default.nix
index 6610e53e2cc7..c47aff47fffe 100644
--- a/pkgs/applications/audio/indicator-sound-switcher/default.nix
+++ b/pkgs/applications/audio/indicator-sound-switcher/default.nix
@@ -54,6 +54,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "Sound input/output selector indicator for Linux";
+ mainProgram = "indicator-sound-switcher";
homepage = "https://yktoo.com/en/software/sound-switcher-indicator/";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ alexnortung ];
diff --git a/pkgs/applications/audio/industrializer/default.nix b/pkgs/applications/audio/industrializer/default.nix
index 80cb8f76981d..ba7099a0d603 100644
--- a/pkgs/applications/audio/industrializer/default.nix
+++ b/pkgs/applications/audio/industrializer/default.nix
@@ -46,5 +46,6 @@ stdenv.mkDerivation rec {
license = lib.licenses.gpl2Plus;
maintainers = [ lib.maintainers.magnetophon ];
platforms = lib.platforms.linux;
+ mainProgram = "psindustrializer";
};
}
diff --git a/pkgs/applications/audio/infamousPlugins/default.nix b/pkgs/applications/audio/infamousPlugins/default.nix
index 4597add4132d..38c8663a53c6 100644
--- a/pkgs/applications/audio/infamousPlugins/default.nix
+++ b/pkgs/applications/audio/infamousPlugins/default.nix
@@ -47,5 +47,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2;
maintainers = [ maintainers.magnetophon ];
platforms = platforms.linux;
+ mainProgram = "infamous-rule";
};
}
diff --git a/pkgs/applications/audio/ir.lv2/default.nix b/pkgs/applications/audio/ir.lv2/default.nix
index 90b816d1290d..d1984170e3ac 100644
--- a/pkgs/applications/audio/ir.lv2/default.nix
+++ b/pkgs/applications/audio/ir.lv2/default.nix
@@ -33,5 +33,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2;
maintainers = [ maintainers.magnetophon ];
platforms = platforms.linux;
+ mainProgram = "convert4chan";
};
}
diff --git a/pkgs/applications/audio/jaaa/default.nix b/pkgs/applications/audio/jaaa/default.nix
index 48ffd5df5157..4cdc2caf150d 100644
--- a/pkgs/applications/audio/jaaa/default.nix
+++ b/pkgs/applications/audio/jaaa/default.nix
@@ -28,5 +28,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2;
maintainers = [ maintainers.magnetophon ];
platforms = platforms.linux;
+ mainProgram = "jaaa";
};
}
diff --git a/pkgs/applications/audio/jack-autoconnect/default.nix b/pkgs/applications/audio/jack-autoconnect/default.nix
index 302571c2be4d..d4fd50ca4f6d 100644
--- a/pkgs/applications/audio/jack-autoconnect/default.nix
+++ b/pkgs/applications/audio/jack-autoconnect/default.nix
@@ -24,6 +24,7 @@ mkDerivation rec {
homepage = "https://github.com/kripton/jack_autoconnect";
description =
"Tiny application that reacts on port registrations by clients and connects them";
+ mainProgram = "jack_autoconnect";
maintainers = with maintainers; [ unclechu ];
license = licenses.gpl2Only;
platforms = platforms.linux;
diff --git a/pkgs/applications/audio/jack-capture/default.nix b/pkgs/applications/audio/jack-capture/default.nix
index e0b761a70f90..62fa23be2e5b 100644
--- a/pkgs/applications/audio/jack-capture/default.nix
+++ b/pkgs/applications/audio/jack-capture/default.nix
@@ -25,6 +25,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A program for recording soundfiles with jack";
+ mainProgram = "jack_capture";
homepage = "https://github.com/kmatheussen/jack_capture/";
license = licenses.gpl2;
maintainers = with maintainers; [ goibhniu orivej ];
diff --git a/pkgs/applications/audio/jack-oscrolloscope/default.nix b/pkgs/applications/audio/jack-oscrolloscope/default.nix
index 9905d072361e..8cdac43a3882 100644
--- a/pkgs/applications/audio/jack-oscrolloscope/default.nix
+++ b/pkgs/applications/audio/jack-oscrolloscope/default.nix
@@ -19,6 +19,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A simple waveform viewer for JACK";
+ mainProgram = "jack_oscrolloscope";
homepage = "http://das.nasophon.de/jack_oscrolloscope";
license = licenses.gpl2;
maintainers = [ maintainers.goibhniu ];
diff --git a/pkgs/applications/audio/jack-passthrough/default.nix b/pkgs/applications/audio/jack-passthrough/default.nix
index 93f41f1b5952..6126257b35e6 100644
--- a/pkgs/applications/audio/jack-passthrough/default.nix
+++ b/pkgs/applications/audio/jack-passthrough/default.nix
@@ -35,5 +35,6 @@ stdenv.mkDerivation (finalAttrs: {
license = licenses.unfree;
maintainers = [ maintainers.PowerUser64 ];
platforms = [ "x86_64-linux" ];
+ mainProgram = "jack-passthru";
};
})
diff --git a/pkgs/applications/audio/jackmeter/default.nix b/pkgs/applications/audio/jackmeter/default.nix
index 95fc88a86964..c7650c523654 100644
--- a/pkgs/applications/audio/jackmeter/default.nix
+++ b/pkgs/applications/audio/jackmeter/default.nix
@@ -18,5 +18,6 @@ stdenv.mkDerivation rec {
license = lib.licenses.gpl2;
maintainers = [ lib.maintainers.marcweber ];
platforms = lib.platforms.linux;
+ mainProgram = "jack_meter";
};
}
diff --git a/pkgs/applications/audio/jackmix/default.nix b/pkgs/applications/audio/jackmix/default.nix
index b34c7fbc1801..86c8a91862e7 100644
--- a/pkgs/applications/audio/jackmix/default.nix
+++ b/pkgs/applications/audio/jackmix/default.nix
@@ -36,6 +36,7 @@ mkDerivation rec {
meta = with lib; {
description = "Matrix-Mixer for the Jack-Audio-connection-Kit";
+ mainProgram = "jackmix";
homepage = "https://github.com/kampfschlaefer/jackmix";
license = licenses.gpl2Only;
maintainers = with maintainers; [ kampfschlaefer ];
diff --git a/pkgs/applications/audio/jacktrip/default.nix b/pkgs/applications/audio/jacktrip/default.nix
index 2046233fcb46..150f05c5f53e 100644
--- a/pkgs/applications/audio/jacktrip/default.nix
+++ b/pkgs/applications/audio/jacktrip/default.nix
@@ -1,25 +1,17 @@
-{ lib, mkDerivation, fetchFromGitHub
+{ lib, stdenv, fetchFromGitHub
, pkg-config
, help2man
-, qmake
-, alsa-lib
, libjack2
, dbus
-, qtbase
-, qttools
-, qtx11extras
+, qt6
, meson
, python3
, rtaudio
, ninja
-, qtquickcontrols2
-, qtnetworkauth
-, qtwebsockets
-, qtgraphicaleffects
}:
-mkDerivation rec {
- version = "1.10.1";
+stdenv.mkDerivation rec {
+ version = "2.2.4";
pname = "jacktrip";
src = fetchFromGitHub {
@@ -27,7 +19,7 @@ mkDerivation rec {
repo = "jacktrip";
rev = "v${version}";
fetchSubmodules = true;
- sha256 = "sha256-bdYhyLsdL4LDkCzJiWXdi+7CTtqhSiA7HNYhg190NWs=";
+ sha256 = "sha256-H1zjBNEFPvZRDEaFOiL1ZAlHQsNxeT4WbXEOqg0+eFg=";
};
preConfigure = ''
@@ -36,8 +28,8 @@ mkDerivation rec {
buildInputs = [
rtaudio
- qtbase
- qtx11extras
+ qt6.qtbase
+ qt6.qtwayland
libjack2
dbus
];
@@ -49,12 +41,13 @@ mkDerivation rec {
ninja
help2man
meson
- qmake
- qttools
- qtquickcontrols2
- qtnetworkauth
- qtwebsockets
- qtgraphicaleffects
+ qt6.qt5compat
+ qt6.qtnetworkauth
+ qt6.qtwebsockets
+ qt6.qtwebengine
+ qt6.qtdeclarative
+ qt6.qtsvg
+ qt6.wrapQtAppsHook
pkg-config
];
@@ -62,6 +55,7 @@ mkDerivation rec {
meta = with lib; {
description = "Multi-machine audio network performance over the Internet";
+ mainProgram = "jacktrip";
homepage = "https://jacktrip.github.io/jacktrip/";
license = with licenses; [ gpl3 lgpl3 mit ];
maintainers = [ maintainers.iwanb ];
diff --git a/pkgs/applications/audio/jamesdsp/default.nix b/pkgs/applications/audio/jamesdsp/default.nix
index d7e96c84c6a5..4d2b7cf6dba1 100644
--- a/pkgs/applications/audio/jamesdsp/default.nix
+++ b/pkgs/applications/audio/jamesdsp/default.nix
@@ -80,6 +80,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
broken = (stdenv.isLinux && stdenv.isAarch64);
description = "An audio effect processor for PipeWire clients";
+ mainProgram = "jamesdsp";
homepage = "https://github.com/Audio4Linux/JDSP4Linux";
license = lib.licenses.gpl3Only;
maintainers = with lib.maintainers; [ pasqui23 rewine ];
diff --git a/pkgs/applications/audio/japa/default.nix b/pkgs/applications/audio/japa/default.nix
index c13b38f7acd5..a6ee28cc1498 100644
--- a/pkgs/applications/audio/japa/default.nix
+++ b/pkgs/applications/audio/japa/default.nix
@@ -26,5 +26,6 @@ stdenv.mkDerivation rec {
license = lib.licenses.gpl2;
maintainers = [ lib.maintainers.magnetophon ];
platforms = lib.platforms.linux;
+ mainProgram = "japa";
};
}
diff --git a/pkgs/applications/audio/jellycli/default.nix b/pkgs/applications/audio/jellycli/default.nix
index 3654eacfbd6c..ddaa6721dfb7 100644
--- a/pkgs/applications/audio/jellycli/default.nix
+++ b/pkgs/applications/audio/jellycli/default.nix
@@ -30,5 +30,6 @@ buildGoModule rec {
homepage = "https://github.com/tryffel/jellycli";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ oxzi ];
+ mainProgram = "jellycli";
};
}
diff --git a/pkgs/applications/audio/jmusicbot/default.nix b/pkgs/applications/audio/jmusicbot/default.nix
index 0bdd80a9601a..5caf1a472d57 100644
--- a/pkgs/applications/audio/jmusicbot/default.nix
+++ b/pkgs/applications/audio/jmusicbot/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "JMusicBot";
- version = "0.3.9";
+ version = "0.4.0";
src = fetchurl {
url = "https://github.com/jagrosh/MusicBot/releases/download/${version}/JMusicBot-${version}.jar";
- sha256 = "sha256-2A1yo2e1MawGLMTM6jWwpQJJuKOmljxFriORv90Jqg8=";
+ sha256 = "sha256-JSVrzyCqAp3V5OZ+KJczhWGolPkdaHsPmiqfmhapQMs=";
};
dontUnpack = true;
@@ -28,5 +28,6 @@ stdenv.mkDerivation rec {
license = licenses.asl20;
maintainers = with maintainers; [ ];
inherit (jre_headless.meta) platforms;
+ mainProgram = "JMusicBot";
};
}
diff --git a/pkgs/applications/audio/keyfinder-cli/default.nix b/pkgs/applications/audio/keyfinder-cli/default.nix
index 62db3d796908..33adb65f5e8a 100644
--- a/pkgs/applications/audio/keyfinder-cli/default.nix
+++ b/pkgs/applications/audio/keyfinder-cli/default.nix
@@ -27,5 +27,6 @@ stdenv.mkDerivation rec {
'';
license = licenses.gpl3Plus;
platforms = platforms.linux;
+ mainProgram = "keyfinder-cli";
};
}
diff --git a/pkgs/applications/audio/kid3/default.nix b/pkgs/applications/audio/kid3/default.nix
index 060f2244f2a9..1aa79a69e523 100644
--- a/pkgs/applications/audio/kid3/default.nix
+++ b/pkgs/applications/audio/kid3/default.nix
@@ -28,11 +28,11 @@
stdenv.mkDerivation (finalAttrs: {
pname = "kid3";
- version = "3.9.4";
+ version = "3.9.5";
src = fetchurl {
url = "mirror://kde/stable/kid3/${finalAttrs.version}/kid3-${finalAttrs.version}.tar.xz";
- hash = "sha256-xBCWDpYiXeChxIiMPqHG3CyiRau2kUdDJtzcPtvWpSA=";
+ hash = "sha256-pCT+3eNcF247RDNEIqrUOEhBh3LaAgdR0A0IdOXOgUU=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/audio/klick/default.nix b/pkgs/applications/audio/klick/default.nix
index 8faa7410a539..5db052dd0518 100644
--- a/pkgs/applications/audio/klick/default.nix
+++ b/pkgs/applications/audio/klick/default.nix
@@ -35,5 +35,6 @@ stdenv.mkDerivation rec {
description = "Advanced command-line metronome for JACK";
license = lib.licenses.gpl2Plus;
platforms = lib.platforms.linux;
+ mainProgram = "klick";
};
}
diff --git a/pkgs/applications/audio/klystrack/default.nix b/pkgs/applications/audio/klystrack/default.nix
index 9ea5f40be14b..597ed4bd2cb2 100644
--- a/pkgs/applications/audio/klystrack/default.nix
+++ b/pkgs/applications/audio/klystrack/default.nix
@@ -54,5 +54,6 @@ stdenv.mkDerivation rec {
license = licenses.mit;
maintainers = with maintainers; [ suhr ];
platforms = platforms.linux;
+ mainProgram = "klystrack";
};
}
diff --git a/pkgs/applications/audio/kmetronome/default.nix b/pkgs/applications/audio/kmetronome/default.nix
index 70b06e72fba8..a7a48b240e2b 100644
--- a/pkgs/applications/audio/kmetronome/default.nix
+++ b/pkgs/applications/audio/kmetronome/default.nix
@@ -21,5 +21,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2Plus;
maintainers = with maintainers; [ orivej ];
platforms = platforms.linux;
+ mainProgram = "kmetronome";
};
}
diff --git a/pkgs/applications/audio/ladspa-plugins/default.nix b/pkgs/applications/audio/ladspa-plugins/default.nix
index 491a18bba3ea..d7e7dd7b6947 100644
--- a/pkgs/applications/audio/ladspa-plugins/default.nix
+++ b/pkgs/applications/audio/ladspa-plugins/default.nix
@@ -33,8 +33,8 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "http://plugin.org.uk/";
description = "LADSPA format audio plugins";
- license = licenses.gpl2;
+ license = licenses.gpl2Only;
maintainers = [ maintainers.magnetophon ];
- platforms = platforms.linux;
+ platforms = platforms.unix;
};
}
diff --git a/pkgs/applications/audio/ledfx/default.nix b/pkgs/applications/audio/ledfx/default.nix
index e4c9272ba2f8..62ba0f90ace9 100644
--- a/pkgs/applications/audio/ledfx/default.nix
+++ b/pkgs/applications/audio/ledfx/default.nix
@@ -5,12 +5,12 @@
python3.pkgs.buildPythonPackage rec {
pname = "ledfx";
- version = "2.0.93";
+ version = "2.0.94";
pyproject = true;
src = fetchPypi {
inherit pname version;
- hash = "sha256-A34GY7uhkHcrofjeFzK3l/Uzr+aoQQ5JERK+HUhoosM=";
+ hash = "sha256-l498NXt3Ib9QLTWoJcpngAwkbY6JqLbVLKhTWQye7Fs=";
};
pythonRelaxDeps = true;
diff --git a/pkgs/applications/audio/librespot/default.nix b/pkgs/applications/audio/librespot/default.nix
index 2617030829a5..dc60185fc0f7 100644
--- a/pkgs/applications/audio/librespot/default.nix
+++ b/pkgs/applications/audio/librespot/default.nix
@@ -50,6 +50,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "Open Source Spotify client library and playback daemon";
+ mainProgram = "librespot";
homepage = "https://github.com/librespot-org/librespot";
changelog = "https://github.com/librespot-org/librespot/blob/v${version}/CHANGELOG.md";
license = with licenses; [ mit ];
diff --git a/pkgs/applications/audio/lingot/default.nix b/pkgs/applications/audio/lingot/default.nix
index 234e2d675cb1..3d7339f571d6 100644
--- a/pkgs/applications/audio/lingot/default.nix
+++ b/pkgs/applications/audio/lingot/default.nix
@@ -42,6 +42,7 @@ stdenv.mkDerivation rec {
meta = {
description = "Not a Guitar-Only tuner";
+ mainProgram = "lingot";
homepage = "https://www.nongnu.org/lingot/";
license = lib.licenses.gpl2Plus;
platforms = with lib.platforms; linux;
diff --git a/pkgs/applications/audio/linuxsampler/default.nix b/pkgs/applications/audio/linuxsampler/default.nix
index 1c33bff5b76e..93e16098a84c 100644
--- a/pkgs/applications/audio/linuxsampler/default.nix
+++ b/pkgs/applications/audio/linuxsampler/default.nix
@@ -3,11 +3,11 @@
stdenv.mkDerivation rec {
pname = "linuxsampler";
- version = "2.2.0";
+ version = "2.3.0";
src = fetchurl {
url = "https://download.linuxsampler.org/packages/${pname}-${version}.tar.bz2";
- sha256 = "sha256-xNFjxrrC0B8Oj10HIQ1AmI7pO34HuYRyyUaoB2MDmYw=";
+ sha256 = "sha256-Ii+dylTUXmazP8NVjAAMdHs7NK+puml0IrF4fc6DEls=";
};
preConfigure = ''
diff --git a/pkgs/applications/audio/linvstmanager/default.nix b/pkgs/applications/audio/linvstmanager/default.nix
index dc8376c4166f..8df3fd507adc 100644
--- a/pkgs/applications/audio/linvstmanager/default.nix
+++ b/pkgs/applications/audio/linvstmanager/default.nix
@@ -28,6 +28,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Graphical companion application for various bridges like LinVst, etc";
+ mainProgram = "linvstmanager";
homepage = "https://github.com/Goli4thus/linvstmanager";
license = with licenses; [ gpl3 ];
platforms = platforms.linux;
diff --git a/pkgs/applications/audio/listenbrainz-mpd/default.nix b/pkgs/applications/audio/listenbrainz-mpd/default.nix
index 1042fae93e3b..9bf9c35d4bd7 100644
--- a/pkgs/applications/audio/listenbrainz-mpd/default.nix
+++ b/pkgs/applications/audio/listenbrainz-mpd/default.nix
@@ -59,5 +59,6 @@ rustPlatform.buildRustPackage rec {
description = "ListenBrainz submission client for MPD";
license = licenses.agpl3Only;
maintainers = with maintainers; [ DeeUnderscore ];
+ mainProgram = "listenbrainz-mpd";
};
}
diff --git a/pkgs/applications/audio/littlegptracker/default.nix b/pkgs/applications/audio/littlegptracker/default.nix
index 846936e65f6a..d3cb9fcfab01 100644
--- a/pkgs/applications/audio/littlegptracker/default.nix
+++ b/pkgs/applications/audio/littlegptracker/default.nix
@@ -6,7 +6,7 @@
, Foundation
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation {
pname = "littlegptracker";
version = "unstable-2020-11-26";
@@ -70,5 +70,6 @@ stdenv.mkDerivation rec {
platforms = platforms.all;
# https://github.com/NixOS/nixpkgs/pull/91766#issuecomment-688751821
broken = stdenv.isDarwin;
+ mainProgram = "lgpt";
};
}
diff --git a/pkgs/applications/audio/lmms/default.nix b/pkgs/applications/audio/lmms/default.nix
index 01b84780607b..75e5e9d39e83 100644
--- a/pkgs/applications/audio/lmms/default.nix
+++ b/pkgs/applications/audio/lmms/default.nix
@@ -48,6 +48,7 @@ mkDerivation rec {
meta = with lib; {
description = "DAW similar to FL Studio (music production software)";
+ mainProgram = "lmms";
homepage = "https://lmms.io";
license = licenses.gpl2Plus;
platforms = [ "x86_64-linux" "i686-linux" ];
diff --git a/pkgs/applications/audio/losslessaudiochecker/default.nix b/pkgs/applications/audio/losslessaudiochecker/default.nix
index 5ec91f4260f8..cdea7180a73e 100644
--- a/pkgs/applications/audio/losslessaudiochecker/default.nix
+++ b/pkgs/applications/audio/losslessaudiochecker/default.nix
@@ -26,5 +26,6 @@ stdenv.mkDerivation {
license = lib.licenses.unfree;
platforms = [ "x86_64-linux" ];
maintainers = with lib.maintainers; [ p-h ];
+ mainProgram = "LAC";
};
}
diff --git a/pkgs/applications/audio/lsp-plugins/default.nix b/pkgs/applications/audio/lsp-plugins/default.nix
index c3df2ecd30d4..aec55c9437ad 100644
--- a/pkgs/applications/audio/lsp-plugins/default.nix
+++ b/pkgs/applications/audio/lsp-plugins/default.nix
@@ -5,11 +5,11 @@
stdenv.mkDerivation rec {
pname = "lsp-plugins";
- version = "1.2.14";
+ version = "1.2.15";
src = fetchurl {
url = "https://github.com/sadko4u/${pname}/releases/download/${version}/${pname}-src-${version}.tar.gz";
- sha256 = "sha256-GjNZ7ouKgpcb1+nuq+Q/WM5rSkeT2F+xb5exAOTt7po=";
+ sha256 = "sha256-krku+jFGOvLwixNGd+0jBzE/17k/OU0zAePLhnxd864=";
};
outputs = [ "out" "dev" "doc" ];
diff --git a/pkgs/applications/audio/luppp/default.nix b/pkgs/applications/audio/luppp/default.nix
index 36d329bcafd5..23b084bddb57 100644
--- a/pkgs/applications/audio/luppp/default.nix
+++ b/pkgs/applications/audio/luppp/default.nix
@@ -43,5 +43,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl3Plus;
maintainers = with maintainers; [ prusnak ];
platforms = platforms.linux;
+ mainProgram = "luppp";
};
}
diff --git a/pkgs/applications/audio/lv2bm/default.nix b/pkgs/applications/audio/lv2bm/default.nix
index 553e0e67f836..033a7c8cfe70 100644
--- a/pkgs/applications/audio/lv2bm/default.nix
+++ b/pkgs/applications/audio/lv2bm/default.nix
@@ -24,5 +24,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl3;
maintainers = [ maintainers.magnetophon ];
platforms = platforms.linux;
+ mainProgram = "lv2bm";
};
}
diff --git a/pkgs/applications/audio/lv2lint/default.nix b/pkgs/applications/audio/lv2lint/default.nix
index e643343d8b4a..85d373390562 100644
--- a/pkgs/applications/audio/lv2lint/default.nix
+++ b/pkgs/applications/audio/lv2lint/default.nix
@@ -1,22 +1,33 @@
-{ stdenv, lib, fetchurl, pkg-config, meson, ninja, lv2, lilv, curl, libelf }:
+{ stdenv, lib, fetchFromSourcehut, pkg-config, meson, ninja, lv2, lilv, curl, elfutils, xorg }:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "lv2lint";
version = "0.16.2";
- src = fetchurl {
- url = "https://git.open-music-kontrollers.ch/lv2/${pname}/snapshot/${pname}-${version}.tar.xz";
- sha256 = "sha256-sjgQVx8uGNPWcUwKzGUhChpfzXj/8D8cggVTpcHEXPQ=";
+ src = fetchFromSourcehut {
+ domain = "open-music-kontrollers.ch";
+ owner = "~hp";
+ repo = "lv2lint";
+ rev = finalAttrs.version;
+ hash = "sha256-NkzbKteLZ+P+Py+CMOYYipvu6psDslWnM1MAV1XB0TM=";
};
nativeBuildInputs = [ pkg-config meson ninja ];
- buildInputs = [ lv2 lilv curl libelf ];
+
+ buildInputs = [ lv2 lilv curl elfutils xorg.libX11 ];
+
+ mesonFlags = [
+ (lib.mesonEnable "online-tests" true)
+ (lib.mesonEnable "elf-tests" true)
+ (lib.mesonEnable "x11-tests" true)
+ ];
meta = with lib; {
description = "Check whether a given LV2 plugin is up to the specification";
- homepage = "https://open-music-kontrollers.ch/lv2/${pname}:";
+ homepage = "https://git.open-music-kontrollers.ch/~hp/lv2lint";
license = licenses.artistic2;
maintainers = [ maintainers.magnetophon ];
- platforms = platforms.all;
+ platforms = platforms.linux;
+ mainProgram = "lv2lint";
};
-}
+})
diff --git a/pkgs/applications/audio/lyrebird/default.nix b/pkgs/applications/audio/lyrebird/default.nix
index 4f1d8ca36e84..5eb2d745cdc3 100644
--- a/pkgs/applications/audio/lyrebird/default.nix
+++ b/pkgs/applications/audio/lyrebird/default.nix
@@ -55,6 +55,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "Simple and powerful voice changer for Linux, written in GTK 3";
+ mainProgram = "lyrebird";
homepage = "https://github.com/chxrlt/lyrebird";
license = licenses.mit;
maintainers = with maintainers; [ OPNA2608 ];
diff --git a/pkgs/applications/audio/m4acut/default.nix b/pkgs/applications/audio/m4acut/default.nix
index f3ceee9227c4..f9612dc21009 100644
--- a/pkgs/applications/audio/m4acut/default.nix
+++ b/pkgs/applications/audio/m4acut/default.nix
@@ -20,5 +20,6 @@ stdenv.mkDerivation rec {
license = with licenses; [ bsdOriginal zlib ];
maintainers = [ maintainers.chkno ];
platforms = platforms.all;
+ mainProgram = "m4acut";
};
}
diff --git a/pkgs/applications/audio/master_me/default.nix b/pkgs/applications/audio/master_me/default.nix
index cc45a8fbee45..8d8782eabdcc 100644
--- a/pkgs/applications/audio/master_me/default.nix
+++ b/pkgs/applications/audio/master_me/default.nix
@@ -41,5 +41,6 @@ stdenv.mkDerivation rec {
platforms = platforms.all;
broken = stdenv.isDarwin; # error: no type or protocol named 'NSPasteboardType'
license = licenses.gpl3Plus;
+ mainProgram = "master_me";
};
}
diff --git a/pkgs/applications/audio/mellowplayer/default.nix b/pkgs/applications/audio/mellowplayer/default.nix
index b736ae882990..b5eb65cad444 100644
--- a/pkgs/applications/audio/mellowplayer/default.nix
+++ b/pkgs/applications/audio/mellowplayer/default.nix
@@ -65,6 +65,7 @@ mkDerivation rec {
broken = stdenv.isDarwin; # test build fails, but the project is not maintained anymore
description = "Cloud music integration for your desktop";
+ mainProgram = "MellowPlayer";
homepage = "https://gitlab.com/ColinDuquesnoy/MellowPlayer";
license = licenses.gpl2;
maintainers = with maintainers; [ kalbasit ];
diff --git a/pkgs/applications/audio/meterbridge/default.nix b/pkgs/applications/audio/meterbridge/default.nix
index 675189f6d131..93e2bfabd175 100644
--- a/pkgs/applications/audio/meterbridge/default.nix
+++ b/pkgs/applications/audio/meterbridge/default.nix
@@ -23,5 +23,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2;
platforms = platforms.linux;
maintainers = [ maintainers.nico202 ];
+ mainProgram = "meterbridge";
};
}
diff --git a/pkgs/applications/audio/meters_lv2/default.nix b/pkgs/applications/audio/meters_lv2/default.nix
index e79da2fd1ea9..d4dbcf210ec9 100644
--- a/pkgs/applications/audio/meters_lv2/default.nix
+++ b/pkgs/applications/audio/meters_lv2/default.nix
@@ -49,6 +49,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Collection of audio level meters with GUI in LV2 plugin format";
+ mainProgram = "x42-meter";
homepage = "https://x42.github.io/meters.lv2/";
license = licenses.gpl2;
platforms = platforms.linux;
diff --git a/pkgs/applications/audio/mhwaveedit/default.nix b/pkgs/applications/audio/mhwaveedit/default.nix
index e6b21dff2dc5..42d4c38d47a3 100644
--- a/pkgs/applications/audio/mhwaveedit/default.nix
+++ b/pkgs/applications/audio/mhwaveedit/default.nix
@@ -31,6 +31,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Graphical program for editing, playing and recording sound files";
+ mainProgram = "mhwaveedit";
homepage = "https://github.com/magnush/mhwaveedit";
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/audio/mi2ly/default.nix b/pkgs/applications/audio/mi2ly/default.nix
index 2ba12575543c..8b03f75b398d 100644
--- a/pkgs/applications/audio/mi2ly/default.nix
+++ b/pkgs/applications/audio/mi2ly/default.nix
@@ -28,5 +28,6 @@ stdenv.mkDerivation rec {
maintainers = with maintainers; [ raskin ];
platforms = platforms.linux;
homepage = "https://www.nongnu.org/mi2ly/";
+ mainProgram = "mi2ly";
};
}
diff --git a/pkgs/applications/audio/mid2key/default.nix b/pkgs/applications/audio/mid2key/default.nix
index d4af7108c159..a4794e8a2e90 100644
--- a/pkgs/applications/audio/mid2key/default.nix
+++ b/pkgs/applications/audio/mid2key/default.nix
@@ -23,5 +23,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl3;
maintainers = [ maintainers.goibhniu ];
platforms = platforms.linux;
+ mainProgram = "mid2key";
};
}
diff --git a/pkgs/applications/audio/midi-visualizer/default.nix b/pkgs/applications/audio/midi-visualizer/default.nix
index ed48c129418c..907a17eccb30 100644
--- a/pkgs/applications/audio/midi-visualizer/default.nix
+++ b/pkgs/applications/audio/midi-visualizer/default.nix
@@ -66,6 +66,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A small MIDI visualizer tool, using OpenGL";
+ mainProgram = "MIDIVisualizer";
homepage = "https://github.com/kosua20/MIDIVisualizer";
license = licenses.mit;
platforms = platforms.unix;
diff --git a/pkgs/applications/audio/midisheetmusic/default.nix b/pkgs/applications/audio/midisheetmusic/default.nix
index 0d07663d115a..645c69a1c86b 100644
--- a/pkgs/applications/audio/midisheetmusic/default.nix
+++ b/pkgs/applications/audio/midisheetmusic/default.nix
@@ -54,6 +54,7 @@ in stdenv.mkDerivation {
meta = with lib; {
description = "Convert MIDI Files to Piano Sheet Music for two hands";
+ mainProgram = "midisheetmusic.mono.exe";
homepage = "http://midisheetmusic.com";
license = licenses.gpl2;
maintainers = [ ];
diff --git a/pkgs/applications/audio/mikmod/default.nix b/pkgs/applications/audio/mikmod/default.nix
index bb604d6bade2..abe8ac01cce2 100644
--- a/pkgs/applications/audio/mikmod/default.nix
+++ b/pkgs/applications/audio/mikmod/default.nix
@@ -28,5 +28,6 @@ stdenv.mkDerivation rec {
license = lib.licenses.gpl2Plus;
maintainers = with lib.maintainers; [ ];
platforms = with lib.platforms; linux;
+ mainProgram = "mikmod";
};
}
diff --git a/pkgs/applications/audio/milkytracker/default.nix b/pkgs/applications/audio/milkytracker/default.nix
index a306b2e8c594..b792c1ad9b49 100644
--- a/pkgs/applications/audio/milkytracker/default.nix
+++ b/pkgs/applications/audio/milkytracker/default.nix
@@ -81,5 +81,6 @@ stdenv.mkDerivation (finalAttrs: {
# ibtool -> real Xcode -> I can't get that, and Ofborg can't test that
broken = stdenv.hostPlatform.isDarwin;
maintainers = with maintainers; [ OPNA2608 ];
+ mainProgram = "milkytracker";
};
})
diff --git a/pkgs/applications/audio/mimic/default.nix b/pkgs/applications/audio/mimic/default.nix
index 2819e288ec11..6baa1b5c7cb0 100644
--- a/pkgs/applications/audio/mimic/default.nix
+++ b/pkgs/applications/audio/mimic/default.nix
@@ -53,6 +53,6 @@ stdenv.mkDerivation rec {
homepage = "https://mimic.mycroft.ai/";
license = lib.licenses.free;
platforms = lib.platforms.linux;
- maintainers = [ lib.maintainers.noneucat ];
+ maintainers = [ lib.maintainers.fx-chun ];
};
}
diff --git a/pkgs/applications/audio/miniaudicle/default.nix b/pkgs/applications/audio/miniaudicle/default.nix
index 00f71063bac3..d0aed09ee863 100644
--- a/pkgs/applications/audio/miniaudicle/default.nix
+++ b/pkgs/applications/audio/miniaudicle/default.nix
@@ -53,6 +53,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "A light-weight integrated development environment for the ChucK digital audio programming language";
+ mainProgram = "miniAudicle";
homepage = "https://audicle.cs.princeton.edu/mini/";
downloadPage = "https://audicle.cs.princeton.edu/mini/linux/";
license = licenses.gpl2Plus;
diff --git a/pkgs/applications/audio/mixxx/default.nix b/pkgs/applications/audio/mixxx/default.nix
index f10cedba5af2..4abc6d4956f4 100644
--- a/pkgs/applications/audio/mixxx/default.nix
+++ b/pkgs/applications/audio/mixxx/default.nix
@@ -8,10 +8,13 @@
, ffmpeg
, fftw
, flac
+, gbenchmark
, glibcLocales
+, gtest
, hidapi
, lame
, libebur128
+, libdjinterop
, libGLU
, libid3tag
, libkeyfinder
@@ -26,6 +29,7 @@
, libxcb
, lilv
, lv2
+, microsoft-gsl
, mp4v2
, opusfile
, pcre
@@ -52,13 +56,13 @@
mkDerivation rec {
pname = "mixxx";
- version = "2.3.6";
+ version = "2.4.0";
src = fetchFromGitHub {
owner = "mixxxdj";
repo = "mixxx";
rev = version;
- hash = "sha256-VdgCsd/7vMFUleOU0ESoZDQ8yhQSsLZADVi4XI76Ouw=";
+ hash = "sha256-JSWUzerm7D6AKq6g/9eRrt3EE2movRdM+VLUg07sLHo=";
};
nativeBuildInputs = [ cmake pkg-config ];
@@ -69,12 +73,15 @@ mkDerivation rec {
ffmpeg
fftw
flac
+ gbenchmark
glibcLocales
+ gtest
hidapi
lame
libebur128
libGLU
libid3tag
+ libdjinterop
libkeyfinder
libmad
libmodplug
@@ -87,6 +94,7 @@ mkDerivation rec {
libxcb
lilv
lv2
+ microsoft-gsl
mp4v2
opusfile
pcre
@@ -134,6 +142,7 @@ mkDerivation rec {
meta = with lib; {
homepage = "https://mixxx.org";
description = "Digital DJ mixing software";
+ mainProgram = "mixxx";
license = licenses.gpl2Plus;
maintainers = with maintainers; [ goibhniu bfortz ];
platforms = platforms.linux;
diff --git a/pkgs/applications/audio/mmlgui/default.nix b/pkgs/applications/audio/mmlgui/default.nix
index 98be95b3bf12..aab0acb25019 100644
--- a/pkgs/applications/audio/mmlgui/default.nix
+++ b/pkgs/applications/audio/mmlgui/default.nix
@@ -95,5 +95,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2Only;
maintainers = with maintainers; [ OPNA2608 ];
platforms = platforms.all;
+ mainProgram = "mmlgui";
};
}
diff --git a/pkgs/applications/audio/mmtc/default.nix b/pkgs/applications/audio/mmtc/default.nix
index ccbe14d76725..8227d12bbcb5 100644
--- a/pkgs/applications/audio/mmtc/default.nix
+++ b/pkgs/applications/audio/mmtc/default.nix
@@ -28,5 +28,6 @@ rustPlatform.buildRustPackage rec {
changelog = "https://github.com/figsoda/mmtc/blob/v${version}/CHANGELOG.md";
license = licenses.mpl20;
maintainers = with maintainers; [ figsoda ];
+ mainProgram = "mmtc";
};
}
diff --git a/pkgs/applications/audio/moc/default.nix b/pkgs/applications/audio/moc/default.nix
index ddd868c71ce7..6e408a5d12b5 100644
--- a/pkgs/applications/audio/moc/default.nix
+++ b/pkgs/applications/audio/moc/default.nix
@@ -96,5 +96,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2;
maintainers = with maintainers; [ aethelz pSub jagajaga ];
platforms = platforms.unix;
+ mainProgram = "mocp";
};
}
diff --git a/pkgs/applications/audio/monkeys-audio/default.nix b/pkgs/applications/audio/monkeys-audio/default.nix
index 3df94d5c4581..c68d14b28f99 100644
--- a/pkgs/applications/audio/monkeys-audio/default.nix
+++ b/pkgs/applications/audio/monkeys-audio/default.nix
@@ -5,13 +5,13 @@
}:
stdenv.mkDerivation (finalAttrs: {
- version = "10.49";
+ version = "10.60";
pname = "monkeys-audio";
src = fetchzip {
url = "https://monkeysaudio.com/files/MAC_${
builtins.concatStringsSep "" (lib.strings.splitString "." finalAttrs.version)}_SDK.zip";
- hash = "sha256-OhTqBFNwmReMT1U11CIB7XCTohiILdd2nDFp+9nfObs=";
+ hash = "sha256-llOo9G65UDDCzaSahq5qssw6SmOJ5C9qS2bdXB/pKF0=";
stripRoot = false;
};
nativeBuildInputs = [
diff --git a/pkgs/applications/audio/mopidy/mopidy.nix b/pkgs/applications/audio/mopidy/mopidy.nix
index b92d0fd77c11..bf7f9a07f772 100644
--- a/pkgs/applications/audio/mopidy/mopidy.nix
+++ b/pkgs/applications/audio/mopidy/mopidy.nix
@@ -46,6 +46,7 @@ pythonPackages.buildPythonApplication rec {
meta = with lib; {
homepage = "https://www.mopidy.com/";
description = "An extensible music server that plays music from local disk, Spotify, SoundCloud, and more";
+ mainProgram = "mopidy";
license = licenses.asl20;
maintainers = [ maintainers.fpletz ];
hydraPlatforms = [];
diff --git a/pkgs/applications/audio/mopidy/muse.nix b/pkgs/applications/audio/mopidy/muse.nix
index 0b6c1f83dd0b..9721c5bcc1cc 100644
--- a/pkgs/applications/audio/mopidy/muse.nix
+++ b/pkgs/applications/audio/mopidy/muse.nix
@@ -2,12 +2,12 @@
pythonPackages.buildPythonApplication rec {
pname = "mopidy-muse";
- version = "0.0.27";
+ version = "0.0.33";
src = fetchPypi {
inherit version;
pname = "Mopidy-Muse";
- sha256 = "0jx9dkgxr07avzz9zskzhqy98zsxkdrf7iid2ax5vygwf8qsx8ks";
+ sha256 = "sha256-CEPAPWtMrD+HljyqBB6EAyGVeOjzkvVoEywlE4XEJGs=";
};
propagatedBuildInputs = [
diff --git a/pkgs/applications/audio/mopidy/spotify.nix b/pkgs/applications/audio/mopidy/spotify.nix
index 474088572883..58b5f852a4c9 100644
--- a/pkgs/applications/audio/mopidy/spotify.nix
+++ b/pkgs/applications/audio/mopidy/spotify.nix
@@ -2,13 +2,13 @@
pythonPackages.buildPythonApplication rec {
pname = "mopidy-spotify";
- version = "unstable-2024-02-11";
+ version = "unstable-2024-02-27";
src = fetchFromGitHub {
owner = "mopidy";
repo = "mopidy-spotify";
- rev = "fc6ffb3bbbae9224316e2a888db08ef56608966a";
- hash = "sha256-V1SW8OyuBKLbUoQ4O5iiS4mq3MOXidcVKpiw125vxjQ=";
+ rev = "112d4abbb3f5b6477dab796f2824fa42196bfa0a";
+ hash = "sha256-RkXDzAbOOll3uCNZ2mFRnjqMkT/NkXOGjywLRTC9i60=";
};
propagatedBuildInputs = [
diff --git a/pkgs/applications/audio/mousai/default.nix b/pkgs/applications/audio/mousai/default.nix
index c2bcc0200fbc..1ce6a0ea8209 100644
--- a/pkgs/applications/audio/mousai/default.nix
+++ b/pkgs/applications/audio/mousai/default.nix
@@ -66,6 +66,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Identify any songs in seconds";
+ mainProgram = "mousai";
homepage = "https://github.com/SeaDve/Mousai";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ dotlambda ];
diff --git a/pkgs/applications/audio/mp3gain/default.nix b/pkgs/applications/audio/mp3gain/default.nix
index a0e6a0527f7d..a59f2e376db0 100644
--- a/pkgs/applications/audio/mp3gain/default.nix
+++ b/pkgs/applications/audio/mp3gain/default.nix
@@ -33,5 +33,6 @@ stdenv.mkDerivation rec {
license = licenses.lgpl21;
platforms = platforms.unix;
maintainers = with maintainers; [ devhell ];
+ mainProgram = "mp3gain";
};
}
diff --git a/pkgs/applications/audio/mp3val/default.nix b/pkgs/applications/audio/mp3val/default.nix
index 559f04f919f1..daa03617824b 100644
--- a/pkgs/applications/audio/mp3val/default.nix
+++ b/pkgs/applications/audio/mp3val/default.nix
@@ -33,5 +33,6 @@ stdenv.mkDerivation rec {
license = lib.licenses.gpl2;
platforms = lib.platforms.unix;
maintainers = [ lib.maintainers.devhell ];
+ mainProgram = "mp3val";
};
}
diff --git a/pkgs/applications/audio/mpg123/default.nix b/pkgs/applications/audio/mpg123/default.nix
index e1d2e8d35d52..7a666da0d23f 100644
--- a/pkgs/applications/audio/mpg123/default.nix
+++ b/pkgs/applications/audio/mpg123/default.nix
@@ -21,11 +21,11 @@ assert withConplay -> !libOnly;
stdenv.mkDerivation rec {
pname = "${lib.optionalString libOnly "lib"}mpg123";
- version = "1.32.4";
+ version = "1.32.5";
src = fetchurl {
url = "mirror://sourceforge/mpg123/mpg123-${version}.tar.bz2";
- hash = "sha256-WplmQzj7L3UbZi9A7iWATQydtrV13LXOdBxtxkIkoIo=";
+ hash = "sha256-r5CM32zbZUS5e8cGp5n3mJTmlGivWIG/RUoOu5Fx7WM=";
};
outputs = [ "out" "dev" "man" ] ++ lib.optional withConplay "conplay";
diff --git a/pkgs/applications/audio/munt/mt32emu-qt.nix b/pkgs/applications/audio/munt/mt32emu-qt.nix
index 51501de4a89e..9ebb59ddafdd 100644
--- a/pkgs/applications/audio/munt/mt32emu-qt.nix
+++ b/pkgs/applications/audio/munt/mt32emu-qt.nix
@@ -65,6 +65,7 @@ mkDerivation rec {
meta = with lib; {
homepage = "https://munt.sourceforge.net/";
description = "A synthesizer application built on Qt and libmt32emu";
+ mainProgram = "mt32emu-qt";
longDescription = ''
mt32emu-qt is a synthesiser application that facilitates both realtime
synthesis and conversion of pre-recorded SMF files to WAVE making use of
diff --git a/pkgs/applications/audio/munt/mt32emu-smf2wav.nix b/pkgs/applications/audio/munt/mt32emu-smf2wav.nix
index 517d1b200aab..3ebadcca91be 100644
--- a/pkgs/applications/audio/munt/mt32emu-smf2wav.nix
+++ b/pkgs/applications/audio/munt/mt32emu-smf2wav.nix
@@ -45,6 +45,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://munt.sourceforge.net/";
description = "Produces a WAVE file from a Standard MIDI file (SMF)";
+ mainProgram = "mt32emu-smf2wav";
license = with licenses; [ gpl3Plus ];
maintainers = with maintainers; [ OPNA2608 ];
platforms = platforms.all;
diff --git a/pkgs/applications/audio/museeks/default.nix b/pkgs/applications/audio/museeks/default.nix
index 2db7b9e46df4..206fee9de709 100644
--- a/pkgs/applications/audio/museeks/default.nix
+++ b/pkgs/applications/audio/museeks/default.nix
@@ -34,5 +34,6 @@ appimageTools.wrapType2 {
license = licenses.mit;
platforms = [ "x86_64-linux" ];
maintainers = with maintainers; [ zendo ];
+ mainProgram = "museeks";
};
}
diff --git a/pkgs/applications/audio/musescore/default.nix b/pkgs/applications/audio/musescore/default.nix
index 71ae712c9f67..d6c90f896dfd 100644
--- a/pkgs/applications/audio/musescore/default.nix
+++ b/pkgs/applications/audio/musescore/default.nix
@@ -142,9 +142,6 @@ in stdenv'.mkDerivation (finalAttrs: {
homepage = "https://musescore.org/";
license = licenses.gpl3Only;
maintainers = with maintainers; [ vandenoever doronbehar ];
- # on aarch64-linux:
- # error: cannot convert '' to 'float32x4_t' in assignment
- broken = (stdenv.isLinux && stdenv.isAarch64);
mainProgram = "mscore";
};
})
diff --git a/pkgs/applications/audio/music-player/default.nix b/pkgs/applications/audio/music-player/default.nix
index e44963090a1f..b28785d9368b 100644
--- a/pkgs/applications/audio/music-player/default.nix
+++ b/pkgs/applications/audio/music-player/default.nix
@@ -40,5 +40,6 @@ rustPlatform.buildRustPackage rec {
changelog = "https://github.com/tsirysndr/music-player/releases/tag/v${version}";
license = licenses.mit;
maintainers = [ maintainers.marsam ];
+ mainProgram = "music-player";
};
}
diff --git a/pkgs/applications/audio/musly/default.nix b/pkgs/applications/audio/musly/default.nix
index 1ae6624637a1..43c5fc3365d1 100644
--- a/pkgs/applications/audio/musly/default.nix
+++ b/pkgs/applications/audio/musly/default.nix
@@ -29,5 +29,6 @@ stdenv.mkDerivation {
license = licenses.mpl20;
maintainers = with maintainers; [ ggpeti ];
platforms = with platforms; darwin ++ linux;
+ mainProgram = "musly";
};
}
diff --git a/pkgs/applications/audio/muso/default.nix b/pkgs/applications/audio/muso/default.nix
index 95c45216ef2e..30b26e7e5979 100644
--- a/pkgs/applications/audio/muso/default.nix
+++ b/pkgs/applications/audio/muso/default.nix
@@ -31,6 +31,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
broken = stdenv.isDarwin;
description = "An automatic music sorter (based on ID3 tags)";
+ mainProgram = "muso";
homepage = "https://github.com/quebin31/muso";
license = with licenses; [ gpl3Plus ];
maintainers = with maintainers; [ ];
diff --git a/pkgs/applications/audio/mympd/default.nix b/pkgs/applications/audio/mympd/default.nix
index 46f027f9996e..bf60c8a4439d 100644
--- a/pkgs/applications/audio/mympd/default.nix
+++ b/pkgs/applications/audio/mympd/default.nix
@@ -16,13 +16,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "mympd";
- version = "14.0.2";
+ version = "14.1.0";
src = fetchFromGitHub {
owner = "jcorporation";
repo = "myMPD";
rev = "v${finalAttrs.version}";
- sha256 = "sha256-tyNX/bPKg4aWDnSrzymdcz5ZbTlyowuoizm6kQngHj8=";
+ sha256 = "sha256-yNB5WQi3M4cYogtxx/vLi2xJog2keyFrJIMlx663DLo=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/audio/ncmpc/default.nix b/pkgs/applications/audio/ncmpc/default.nix
index 7459becc08d0..db76f3777224 100644
--- a/pkgs/applications/audio/ncmpc/default.nix
+++ b/pkgs/applications/audio/ncmpc/default.nix
@@ -40,5 +40,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2Plus;
platforms = platforms.all;
maintainers = with maintainers; [ fpletz ];
+ mainProgram = "ncmpc";
};
}
diff --git a/pkgs/applications/audio/ncpamixer/default.nix b/pkgs/applications/audio/ncpamixer/default.nix
index b503f5308b82..d33024c0dfbe 100644
--- a/pkgs/applications/audio/ncpamixer/default.nix
+++ b/pkgs/applications/audio/ncpamixer/default.nix
@@ -39,5 +39,6 @@ stdenv.mkDerivation rec {
license = licenses.mit;
platforms = platforms.linux;
maintainers = teams.c3d2.members;
+ mainProgram = "ncpamixer";
};
}
diff --git a/pkgs/applications/audio/ncspot/default.nix b/pkgs/applications/audio/ncspot/default.nix
index 553e50f16654..e70a2eb26f17 100644
--- a/pkgs/applications/audio/ncspot/default.nix
+++ b/pkgs/applications/audio/ncspot/default.nix
@@ -12,20 +12,23 @@
, withPulseAudio ? false, libpulseaudio
, withPortAudio ? false, portaudio
, withMPRIS ? true, withNotify ? true, dbus
+, nix-update-script
+, testers
+, ncspot
}:
rustPlatform.buildRustPackage rec {
pname = "ncspot";
- version = "1.0.0";
+ version = "1.1.0";
src = fetchFromGitHub {
owner = "hrkfdn";
repo = "ncspot";
rev = "v${version}";
- hash = "sha256-NHrpJC6cF/YAcyqZ4bRQdSdjDNhkEV7U2P/S4LSADao=";
+ hash = "sha256-RgA3jV/vD6qgIVQCZ0Sm+9CST4SlqN4MUurVM3nIdh0=";
};
- cargoHash = "sha256-HT084XewXwZByL5KZhyymqU7sy99SAjYIWysm3qGvWU=";
+ cargoHash = "sha256-8ZUgm1O4NmZpxgNRKnh1MNhiFNoBWQHo22kyP3hWJwI=";
nativeBuildInputs = [ pkg-config ]
++ lib.optional withClipboard python3;
@@ -53,11 +56,22 @@ rustPlatform.buildRustPackage rec {
++ lib.optional withMPRIS "mpris"
++ lib.optional withNotify "notify";
+ postInstall = ''
+ install -D --mode=444 $src/misc/ncspot.desktop $out/share/applications/${pname}.desktop
+ install -D --mode=444 $src/images/logo.svg $out/share/icons/hicolor/scalable/apps/${pname}.png
+ '';
+
+ passthru = {
+ updateScript = nix-update-script { };
+ tests.version = testers.testVersion { package = ncspot; };
+ };
+
meta = with lib; {
description = "Cross-platform ncurses Spotify client written in Rust, inspired by ncmpc and the likes";
homepage = "https://github.com/hrkfdn/ncspot";
changelog = "https://github.com/hrkfdn/ncspot/releases/tag/v${version}";
license = licenses.bsd2;
- maintainers = [ maintainers.marsam ];
+ maintainers = with maintainers; [ marsam liff ];
+ mainProgram = "ncspot";
};
}
diff --git a/pkgs/applications/audio/netease-music-tui/Cargo.lock b/pkgs/applications/audio/netease-music-tui/Cargo.lock
deleted file mode 100644
index 471b1a53eae7..000000000000
--- a/pkgs/applications/audio/netease-music-tui/Cargo.lock
+++ /dev/null
@@ -1,2778 +0,0 @@
-# This file is automatically @generated by Cargo.
-# It is not intended for manual editing.
-version = 3
-
-[[package]]
-name = "addr2line"
-version = "0.17.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b9ecd88a8c8378ca913a680cd98f0f13ac67383d35993f86c90a70e3f137816b"
-dependencies = [
- "gimli",
-]
-
-[[package]]
-name = "adler"
-version = "1.0.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f26201604c87b1e01bd3d98f8d5d9a8fcbb815e8cedb41ffccbeb4bf593a35fe"
-
-[[package]]
-name = "aho-corasick"
-version = "0.7.19"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b4f55bd91a0978cbfd91c457a164bab8b4001c833b7f323132c0a4e1922dd44e"
-dependencies = [
- "memchr",
-]
-
-[[package]]
-name = "alsa"
-version = "0.6.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5915f52fe2cf65e83924d037b6c5290b7cee097c6b5c8700746e6168a343fd6b"
-dependencies = [
- "alsa-sys",
- "bitflags",
- "libc",
- "nix",
-]
-
-[[package]]
-name = "alsa-sys"
-version = "0.3.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "db8fee663d06c4e303404ef5f40488a53e062f89ba8bfed81f42325aafad1527"
-dependencies = [
- "libc",
- "pkg-config",
-]
-
-[[package]]
-name = "android_system_properties"
-version = "0.1.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "819e7219dbd41043ac279b19830f2efc897156490d7fd6ea916720117ee66311"
-dependencies = [
- "libc",
-]
-
-[[package]]
-name = "async-compression"
-version = "0.3.15"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "942c7cd7ae39e91bde4820d74132e9862e62c2f386c3aa90ccf55949f5bad63a"
-dependencies = [
- "bytes 0.5.6",
- "flate2",
- "futures-core",
- "memchr",
- "pin-project-lite 0.2.9",
-]
-
-[[package]]
-name = "autocfg"
-version = "1.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d468802bab17cbc0cc575e9b053f41e72aa36bfa6b7f55e3529ffa43161b97fa"
-
-[[package]]
-name = "backtrace"
-version = "0.3.66"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cab84319d616cfb654d03394f38ab7e6f0919e181b1b57e1fd15e7fb4077d9a7"
-dependencies = [
- "addr2line",
- "cc",
- "cfg-if 1.0.0",
- "libc",
- "miniz_oxide",
- "object",
- "rustc-demangle",
-]
-
-[[package]]
-name = "base-x"
-version = "0.2.11"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4cbbc9d0964165b47557570cce6c952866c2678457aca742aafc9fb771d30270"
-
-[[package]]
-name = "base64"
-version = "0.11.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b41b7ea54a0c9d92199de89e20e58d49f02f8e699814ef3fdf266f6f748d15c7"
-
-[[package]]
-name = "base64"
-version = "0.13.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9e1b586273c5702936fe7b7d6896644d8be71e6314cfe09d3167c95f712589e8"
-
-[[package]]
-name = "bindgen"
-version = "0.61.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8a022e58a142a46fea340d68012b9201c094e93ec3d033a944a24f8fd4a4f09a"
-dependencies = [
- "bitflags",
- "cexpr",
- "clang-sys",
- "lazy_static 1.4.0",
- "lazycell",
- "peeking_take_while",
- "proc-macro2",
- "quote",
- "regex",
- "rustc-hash",
- "shlex",
- "syn",
-]
-
-[[package]]
-name = "bitflags"
-version = "1.3.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a"
-
-[[package]]
-name = "bumpalo"
-version = "3.11.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "572f695136211188308f16ad2ca5c851a712c464060ae6974944458eb83880ba"
-
-[[package]]
-name = "byteorder"
-version = "1.4.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "14c189c53d098945499cdfa7ecc63567cf3886b3332b312a5b4585d8d3a6a610"
-
-[[package]]
-name = "bytes"
-version = "0.4.12"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "206fdffcfa2df7cbe15601ef46c813fce0965eb3286db6b56c583b814b51c81c"
-dependencies = [
- "byteorder",
- "iovec",
-]
-
-[[package]]
-name = "bytes"
-version = "0.5.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0e4cec68f03f32e44924783795810fa50a7035d8c8ebe78580ad7e6c703fba38"
-
-[[package]]
-name = "bytes"
-version = "1.2.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ec8a7b6a70fde80372154c65702f00a0f56f3e1c36abbc6c440484be248856db"
-
-[[package]]
-name = "cassowary"
-version = "0.3.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "df8670b8c7b9dae1793364eafadf7239c40d669904660c5960d74cfd80b46a53"
-
-[[package]]
-name = "cc"
-version = "1.0.76"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "76a284da2e6fe2092f2353e51713435363112dfd60030e22add80be333fb928f"
-dependencies = [
- "jobserver",
-]
-
-[[package]]
-name = "cesu8"
-version = "1.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6d43a04d8753f35258c91f8ec639f792891f748a1edbd759cf1dcea3382ad83c"
-
-[[package]]
-name = "cexpr"
-version = "0.6.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6fac387a98bb7c37292057cffc56d62ecb629900026402633ae9160df93a8766"
-dependencies = [
- "nom 7.1.1",
-]
-
-[[package]]
-name = "cfg-if"
-version = "0.1.10"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4785bdd1c96b2a846b2bd7cc02e86b6b3dbf14e7e53446c4f54c92a361040822"
-
-[[package]]
-name = "cfg-if"
-version = "1.0.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd"
-
-[[package]]
-name = "chrono"
-version = "0.4.23"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "16b0a3d9ed01224b22057780a37bb8c5dbfe1be8ba48678e7bf57ec4b385411f"
-dependencies = [
- "iana-time-zone",
- "js-sys",
- "num-integer",
- "num-traits 0.2.15",
- "time 0.1.44",
- "wasm-bindgen",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "clang-sys"
-version = "1.4.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fa2e27ae6ab525c3d369ded447057bca5438d86dc3a68f6faafb8269ba82ebf3"
-dependencies = [
- "glob",
- "libc",
- "libloading",
-]
-
-[[package]]
-name = "claxon"
-version = "0.4.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4bfbf56724aa9eca8afa4fcfadeb479e722935bb2a0900c2d37e0cc477af0688"
-
-[[package]]
-name = "codespan-reporting"
-version = "0.11.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3538270d33cc669650c4b093848450d380def10c331d38c768e34cac80576e6e"
-dependencies = [
- "termcolor",
- "unicode-width",
-]
-
-[[package]]
-name = "combine"
-version = "4.6.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "35ed6e9d84f0b51a7f52daf1c7d71dd136fd7a3f41a8462b8cdb8c78d920fad4"
-dependencies = [
- "bytes 1.2.1",
- "memchr",
-]
-
-[[package]]
-name = "config"
-version = "0.9.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f9107d78ed62b3fa5a86e7d18e647abed48cfd8f8fab6c72f4cdb982d196f7e6"
-dependencies = [
- "lazy_static 1.4.0",
- "nom 4.2.3",
- "rust-ini",
- "serde 1.0.147",
- "serde-hjson",
- "serde_json",
- "toml 0.4.10",
- "yaml-rust",
-]
-
-[[package]]
-name = "const_fn"
-version = "0.4.9"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fbdcdcb6d86f71c5e97409ad45898af11cbc995b4ee8112d59095a28d376c935"
-
-[[package]]
-name = "cookie"
-version = "0.14.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "03a5d7b21829bc7b4bf4754a978a241ae54ea55a40f92bb20216e54096f4b951"
-dependencies = [
- "percent-encoding",
- "time 0.2.27",
- "version_check 0.9.4",
-]
-
-[[package]]
-name = "cookie_store"
-version = "0.12.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3818dfca4b0cb5211a659bbcbb94225b7127407b2b135e650d717bfb78ab10d3"
-dependencies = [
- "cookie",
- "idna 0.2.3",
- "log",
- "publicsuffix",
- "serde 1.0.147",
- "serde_json",
- "time 0.2.27",
- "url",
-]
-
-[[package]]
-name = "core-foundation"
-version = "0.9.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "194a7a9e6de53fa55116934067c844d9d749312f75c6f6d0980e8c252f8c2146"
-dependencies = [
- "core-foundation-sys",
- "libc",
-]
-
-[[package]]
-name = "core-foundation-sys"
-version = "0.8.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5827cebf4670468b8772dd191856768aedcb1b0278a04f989f7766351917b9dc"
-
-[[package]]
-name = "coreaudio-rs"
-version = "0.10.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "11894b20ebfe1ff903cbdc52259693389eea03b94918a2def2c30c3bf227ad88"
-dependencies = [
- "bitflags",
- "coreaudio-sys",
-]
-
-[[package]]
-name = "coreaudio-sys"
-version = "0.2.11"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1a9444b94b8024feecc29e01a9706c69c1e26bfee480221c90764200cfd778fb"
-dependencies = [
- "bindgen",
-]
-
-[[package]]
-name = "cpal"
-version = "0.13.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "74117836a5124f3629e4b474eed03e479abaf98988b4bb317e29f08cfe0e4116"
-dependencies = [
- "alsa",
- "core-foundation-sys",
- "coreaudio-rs",
- "jni",
- "js-sys",
- "lazy_static 1.4.0",
- "libc",
- "mach",
- "ndk",
- "ndk-glue",
- "nix",
- "oboe",
- "parking_lot",
- "stdweb 0.1.3",
- "thiserror",
- "web-sys",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "crc32fast"
-version = "1.3.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b540bd8bc810d3885c6ea91e2018302f68baba2129ab3e88f32389ee9370880d"
-dependencies = [
- "cfg-if 1.0.0",
-]
-
-[[package]]
-name = "cxx"
-version = "1.0.82"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d4a41a86530d0fe7f5d9ea779916b7cadd2d4f9add748b99c2c029cbbdfaf453"
-dependencies = [
- "cc",
- "cxxbridge-flags",
- "cxxbridge-macro",
- "link-cplusplus",
-]
-
-[[package]]
-name = "cxx-build"
-version = "1.0.82"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "06416d667ff3e3ad2df1cd8cd8afae5da26cf9cec4d0825040f88b5ca659a2f0"
-dependencies = [
- "cc",
- "codespan-reporting",
- "once_cell",
- "proc-macro2",
- "quote",
- "scratch",
- "syn",
-]
-
-[[package]]
-name = "cxxbridge-flags"
-version = "1.0.82"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "820a9a2af1669deeef27cb271f476ffd196a2c4b6731336011e0ba63e2c7cf71"
-
-[[package]]
-name = "cxxbridge-macro"
-version = "1.0.82"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a08a6e2fcc370a089ad3b4aaf54db3b1b4cee38ddabce5896b33eb693275f470"
-dependencies = [
- "proc-macro2",
- "quote",
- "syn",
-]
-
-[[package]]
-name = "darling"
-version = "0.13.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a01d95850c592940db9b8194bc39f4bc0e89dee5c4265e4b1807c34a9aba453c"
-dependencies = [
- "darling_core",
- "darling_macro",
-]
-
-[[package]]
-name = "darling_core"
-version = "0.13.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "859d65a907b6852c9361e3185c862aae7fafd2887876799fa55f5f99dc40d610"
-dependencies = [
- "fnv",
- "ident_case",
- "proc-macro2",
- "quote",
- "strsim",
- "syn",
-]
-
-[[package]]
-name = "darling_macro"
-version = "0.13.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9c972679f83bdf9c42bd905396b6c3588a843a17f0f16dfcfa3e2c5d57441835"
-dependencies = [
- "darling_core",
- "quote",
- "syn",
-]
-
-[[package]]
-name = "dbus"
-version = "0.7.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "add8dd36d6d34a084220eb9fe216d3e230d52b37c31702e1ffda4fb2d4ef950e"
-dependencies = [
- "libc",
- "libdbus-sys",
-]
-
-[[package]]
-name = "dirs"
-version = "2.0.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "13aea89a5c93364a98e9b37b2fa237effbb694d5cfe01c5b70941f7eb087d5e3"
-dependencies = [
- "cfg-if 0.1.10",
- "dirs-sys",
-]
-
-[[package]]
-name = "dirs-sys"
-version = "0.3.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1b1d1d91c932ef41c0f2663aa8b0ca0342d444d842c06914aa0a7e352d0bada6"
-dependencies = [
- "libc",
- "redox_users",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "discard"
-version = "1.0.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "212d0f5754cb6769937f4501cc0e67f4f4483c8d2c3e1e922ee9edbe4ab4c7c0"
-
-[[package]]
-name = "dtoa"
-version = "0.4.8"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "56899898ce76aaf4a0f24d914c97ea6ed976d42fec6ad33fcbb0a1103e07b2b0"
-
-[[package]]
-name = "either"
-version = "1.8.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "90e5c1c8368803113bf0c9584fc495a58b86dc8a29edbf8fe877d21d9507e797"
-
-[[package]]
-name = "encoding_rs"
-version = "0.8.31"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9852635589dc9f9ea1b6fe9f05b50ef208c85c834a562f0c6abb1c475736ec2b"
-dependencies = [
- "cfg-if 1.0.0",
-]
-
-[[package]]
-name = "failure"
-version = "0.1.8"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d32e9bd16cc02eae7db7ef620b392808b89f6a5e16bb3497d159c6b92a0f4f86"
-dependencies = [
- "backtrace",
- "failure_derive",
-]
-
-[[package]]
-name = "failure_derive"
-version = "0.1.8"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "aa4da3c766cd7a0db8242e326e9e4e081edd567072893ed320008189715366a4"
-dependencies = [
- "proc-macro2",
- "quote",
- "syn",
- "synstructure",
-]
-
-[[package]]
-name = "fastrand"
-version = "1.8.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a7a407cfaa3385c4ae6b23e84623d48c2798d06e3e6a1878f7f59f17b3f86499"
-dependencies = [
- "instant",
-]
-
-[[package]]
-name = "flate2"
-version = "1.0.24"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f82b0f4c27ad9f8bfd1f3208d882da2b09c301bc1c828fd3a00d0216d2fbbff6"
-dependencies = [
- "crc32fast",
- "miniz_oxide",
-]
-
-[[package]]
-name = "fnv"
-version = "1.0.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3f9eec918d3f24069decb9af1554cad7c880e2da24a9afd88aca000531ab82c1"
-
-[[package]]
-name = "foreign-types"
-version = "0.3.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f6f339eb8adc052cd2ca78910fda869aefa38d22d5cb648e6485e4d3fc06f3b1"
-dependencies = [
- "foreign-types-shared",
-]
-
-[[package]]
-name = "foreign-types-shared"
-version = "0.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "00b0228411908ca8685dba7fc2cdd70ec9990a6e753e89b6ac91a84c40fbaf4b"
-
-[[package]]
-name = "form_urlencoded"
-version = "1.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a9c384f161156f5260c24a097c56119f9be8c798586aecc13afbcbe7b7e26bf8"
-dependencies = [
- "percent-encoding",
-]
-
-[[package]]
-name = "fuchsia-zircon"
-version = "0.3.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2e9763c69ebaae630ba35f74888db465e49e259ba1bc0eda7d06f4a067615d82"
-dependencies = [
- "bitflags",
- "fuchsia-zircon-sys",
-]
-
-[[package]]
-name = "fuchsia-zircon-sys"
-version = "0.3.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3dcaa9ae7725d12cdb85b3ad99a434db70b468c09ded17e012d86b5c1010f7a7"
-
-[[package]]
-name = "futures"
-version = "0.3.25"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "38390104763dc37a5145a53c29c63c1290b5d316d6086ec32c293f6736051bb0"
-dependencies = [
- "futures-channel",
- "futures-core",
- "futures-executor",
- "futures-io",
- "futures-sink",
- "futures-task",
- "futures-util",
-]
-
-[[package]]
-name = "futures-channel"
-version = "0.3.25"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "52ba265a92256105f45b719605a571ffe2d1f0fea3807304b522c1d778f79eed"
-dependencies = [
- "futures-core",
- "futures-sink",
-]
-
-[[package]]
-name = "futures-core"
-version = "0.3.25"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "04909a7a7e4633ae6c4a9ab280aeb86da1236243a77b694a49eacd659a4bd3ac"
-
-[[package]]
-name = "futures-executor"
-version = "0.3.25"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7acc85df6714c176ab5edf386123fafe217be88c0840ec11f199441134a074e2"
-dependencies = [
- "futures-core",
- "futures-task",
- "futures-util",
-]
-
-[[package]]
-name = "futures-io"
-version = "0.3.25"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "00f5fb52a06bdcadeb54e8d3671f8888a39697dcb0b81b23b55174030427f4eb"
-
-[[package]]
-name = "futures-macro"
-version = "0.3.25"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bdfb8ce053d86b91919aad980c220b1fb8401a9394410e1c289ed7e66b61835d"
-dependencies = [
- "proc-macro2",
- "quote",
- "syn",
-]
-
-[[package]]
-name = "futures-sink"
-version = "0.3.25"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "39c15cf1a4aa79df40f1bb462fb39676d0ad9e366c2a33b590d7c66f4f81fcf9"
-
-[[package]]
-name = "futures-task"
-version = "0.3.25"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2ffb393ac5d9a6eaa9d3fdf37ae2776656b706e200c8e16b1bdb227f5198e6ea"
-
-[[package]]
-name = "futures-util"
-version = "0.3.25"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "197676987abd2f9cadff84926f410af1c183608d36641465df73ae8211dc65d6"
-dependencies = [
- "futures-channel",
- "futures-core",
- "futures-io",
- "futures-macro",
- "futures-sink",
- "futures-task",
- "memchr",
- "pin-project-lite 0.2.9",
- "pin-utils",
- "slab",
-]
-
-[[package]]
-name = "getrandom"
-version = "0.1.16"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8fc3cb4d91f53b50155bdcfd23f6a4c39ae1969c2ae85982b135750cccaf5fce"
-dependencies = [
- "cfg-if 1.0.0",
- "libc",
- "wasi 0.9.0+wasi-snapshot-preview1",
-]
-
-[[package]]
-name = "getrandom"
-version = "0.2.8"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c05aeb6a22b8f62540c194aac980f2115af067bfe15a0734d7277a768d396b31"
-dependencies = [
- "cfg-if 1.0.0",
- "libc",
- "wasi 0.11.0+wasi-snapshot-preview1",
-]
-
-[[package]]
-name = "gimli"
-version = "0.26.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "22030e2c5a68ec659fde1e949a745124b48e6fa8b045b7ed5bd1fe4ccc5c4e5d"
-
-[[package]]
-name = "glob"
-version = "0.3.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9b919933a397b79c37e33b77bb2aa3dc8eb6e165ad809e58ff75bc7db2e34574"
-
-[[package]]
-name = "h2"
-version = "0.2.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5e4728fd124914ad25e99e3d15a9361a879f6620f63cb56bbb08f95abb97a535"
-dependencies = [
- "bytes 0.5.6",
- "fnv",
- "futures-core",
- "futures-sink",
- "futures-util",
- "http",
- "indexmap",
- "slab",
- "tokio",
- "tokio-util",
- "tracing",
- "tracing-futures",
-]
-
-[[package]]
-name = "hashbrown"
-version = "0.12.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8a9ee70c43aaf417c914396645a0fa852624801b24ebb7ae78fe8272889ac888"
-
-[[package]]
-name = "hermit-abi"
-version = "0.1.19"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "62b467343b94ba476dcb2500d242dadbb39557df889310ac77c5d99100aaac33"
-dependencies = [
- "libc",
-]
-
-[[package]]
-name = "hex"
-version = "0.4.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7f24254aa9a54b5c858eaee2f5bccdb46aaf0e486a595ed5fd8f86ba55232a70"
-
-[[package]]
-name = "hound"
-version = "3.5.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4d13cdbd5dbb29f9c88095bbdc2590c9cba0d0a1269b983fef6b2cdd7e9f4db1"
-
-[[package]]
-name = "http"
-version = "0.2.8"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "75f43d41e26995c17e71ee126451dd3941010b0514a81a9d11f3b341debc2399"
-dependencies = [
- "bytes 1.2.1",
- "fnv",
- "itoa 1.0.4",
-]
-
-[[package]]
-name = "http-body"
-version = "0.3.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "13d5ff830006f7646652e057693569bfe0d51760c0085a071769d142a205111b"
-dependencies = [
- "bytes 0.5.6",
- "http",
-]
-
-[[package]]
-name = "httparse"
-version = "1.8.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d897f394bad6a705d5f4104762e116a75639e470d80901eed05a860a95cb1904"
-
-[[package]]
-name = "httpdate"
-version = "0.3.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "494b4d60369511e7dea41cf646832512a94e542f68bb9c49e54518e0f468eb47"
-
-[[package]]
-name = "hyper"
-version = "0.13.10"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8a6f157065790a3ed2f88679250419b5cdd96e714a0d65f7797fd337186e96bb"
-dependencies = [
- "bytes 0.5.6",
- "futures-channel",
- "futures-core",
- "futures-util",
- "h2",
- "http",
- "http-body",
- "httparse",
- "httpdate",
- "itoa 0.4.8",
- "pin-project",
- "socket2",
- "tokio",
- "tower-service",
- "tracing",
- "want",
-]
-
-[[package]]
-name = "hyper-tls"
-version = "0.4.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d979acc56dcb5b8dddba3917601745e877576475aa046df3226eabdecef78eed"
-dependencies = [
- "bytes 0.5.6",
- "hyper",
- "native-tls",
- "tokio",
- "tokio-tls",
-]
-
-[[package]]
-name = "iana-time-zone"
-version = "0.1.53"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "64c122667b287044802d6ce17ee2ddf13207ed924c712de9a66a5814d5b64765"
-dependencies = [
- "android_system_properties",
- "core-foundation-sys",
- "iana-time-zone-haiku",
- "js-sys",
- "wasm-bindgen",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "iana-time-zone-haiku"
-version = "0.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0703ae284fc167426161c2e3f1da3ea71d94b21bedbcc9494e92b28e334e3dca"
-dependencies = [
- "cxx",
- "cxx-build",
-]
-
-[[package]]
-name = "ident_case"
-version = "1.0.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b9e0384b61958566e926dc50660321d12159025e767c18e043daf26b70104c39"
-
-[[package]]
-name = "idna"
-version = "0.2.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "418a0a6fab821475f634efe3ccc45c013f742efe03d853e8d3355d5cb850ecf8"
-dependencies = [
- "matches",
- "unicode-bidi",
- "unicode-normalization",
-]
-
-[[package]]
-name = "idna"
-version = "0.3.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e14ddfc70884202db2244c223200c204c2bda1bc6e0998d11b5e024d657209e6"
-dependencies = [
- "unicode-bidi",
- "unicode-normalization",
-]
-
-[[package]]
-name = "indexmap"
-version = "1.9.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1885e79c1fc4b10f0e172c475f458b7f7b93061064d98c3293e98c5ba0c8b399"
-dependencies = [
- "autocfg",
- "hashbrown",
-]
-
-[[package]]
-name = "instant"
-version = "0.1.12"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7a5bbe824c507c5da5956355e86a746d82e0e1464f65d862cc5e71da70e94b2c"
-dependencies = [
- "cfg-if 1.0.0",
-]
-
-[[package]]
-name = "iovec"
-version = "0.1.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b2b3ea6ff95e175473f8ffe6a7eb7c00d054240321b84c57051175fe3c1e075e"
-dependencies = [
- "libc",
-]
-
-[[package]]
-name = "ipnet"
-version = "2.5.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f88c5561171189e69df9d98bcf18fd5f9558300f7ea7b801eb8a0fd748bd8745"
-
-[[package]]
-name = "itertools"
-version = "0.8.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f56a2d0bc861f9165be4eb3442afd3c236d8a98afd426f65d92324ae1091a484"
-dependencies = [
- "either",
-]
-
-[[package]]
-name = "itoa"
-version = "0.4.8"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b71991ff56294aa922b450139ee08b3bfc70982c6b2c7562771375cf73542dd4"
-
-[[package]]
-name = "itoa"
-version = "1.0.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4217ad341ebadf8d8e724e264f13e593e0648f5b3e94b3896a5df283be015ecc"
-
-[[package]]
-name = "jni"
-version = "0.19.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c6df18c2e3db7e453d3c6ac5b3e9d5182664d28788126d39b91f2d1e22b017ec"
-dependencies = [
- "cesu8",
- "combine",
- "jni-sys",
- "log",
- "thiserror",
- "walkdir",
-]
-
-[[package]]
-name = "jni-sys"
-version = "0.3.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8eaf4bc02d17cbdd7ff4c7438cafcdf7fb9a4613313ad11b4f8fefe7d3fa0130"
-
-[[package]]
-name = "jobserver"
-version = "0.1.25"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "068b1ee6743e4d11fb9c6a1e6064b3693a1b600e7f5f5988047d98b3dc9fb90b"
-dependencies = [
- "libc",
-]
-
-[[package]]
-name = "js-sys"
-version = "0.3.60"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "49409df3e3bf0856b916e2ceaca09ee28e6871cf7d9ce97a692cacfdb2a25a47"
-dependencies = [
- "wasm-bindgen",
-]
-
-[[package]]
-name = "kernel32-sys"
-version = "0.2.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7507624b29483431c0ba2d82aece8ca6cdba9382bff4ddd0f7490560c056098d"
-dependencies = [
- "winapi 0.2.8",
- "winapi-build",
-]
-
-[[package]]
-name = "lazy_static"
-version = "0.2.11"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "76f033c7ad61445c5b347c7382dd1237847eb1bce590fe50365dcb33d546be73"
-
-[[package]]
-name = "lazy_static"
-version = "1.4.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e2abad23fbc42b3700f2f279844dc832adb2b2eb069b2df918f455c4e18cc646"
-
-[[package]]
-name = "lazycell"
-version = "1.3.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "830d08ce1d1d941e6b30645f1a0eb5643013d835ce3779a5fc208261dbe10f55"
-
-[[package]]
-name = "lewton"
-version = "0.10.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "777b48df9aaab155475a83a7df3070395ea1ac6902f5cd062b8f2b028075c030"
-dependencies = [
- "byteorder",
- "ogg",
- "tinyvec",
-]
-
-[[package]]
-name = "libc"
-version = "0.2.137"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fc7fcc620a3bff7cdd7a365be3376c97191aeaccc2a603e600951e452615bf89"
-
-[[package]]
-name = "libdbus-sys"
-version = "0.2.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c185b5b7ad900923ef3a8ff594083d4d9b5aea80bb4f32b8342363138c0d456b"
-dependencies = [
- "pkg-config",
-]
-
-[[package]]
-name = "libloading"
-version = "0.7.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b67380fd3b2fbe7527a606e18729d21c6f3951633d0500574c4dc22d2d638b9f"
-dependencies = [
- "cfg-if 1.0.0",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "link-cplusplus"
-version = "1.0.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9272ab7b96c9046fbc5bc56c06c117cb639fe2d509df0c421cad82d2915cf369"
-dependencies = [
- "cc",
-]
-
-[[package]]
-name = "linked-hash-map"
-version = "0.3.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6d262045c5b87c0861b3f004610afd0e2c851e2908d08b6c870cbb9d5f494ecd"
-dependencies = [
- "serde 0.8.23",
- "serde_test",
-]
-
-[[package]]
-name = "linked-hash-map"
-version = "0.5.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0717cef1bc8b636c6e1c1bbdefc09e6322da8a9321966e8928ef80d20f7f770f"
-
-[[package]]
-name = "lock_api"
-version = "0.4.9"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "435011366fe56583b16cf956f9df0095b405b82d76425bc8981c0e22e60ec4df"
-dependencies = [
- "autocfg",
- "scopeguard",
-]
-
-[[package]]
-name = "log"
-version = "0.4.17"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "abb12e687cfb44aa40f41fc3978ef76448f9b6038cad6aef4259d3c095a2382e"
-dependencies = [
- "cfg-if 1.0.0",
-]
-
-[[package]]
-name = "log-panics"
-version = "2.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "68f9dd8546191c1850ecf67d22f5ff00a935b890d0e84713159a55495cc2ac5f"
-dependencies = [
- "log",
-]
-
-[[package]]
-name = "mach"
-version = "0.3.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b823e83b2affd8f40a9ee8c29dbc56404c1e34cd2710921f2801e2cf29527afa"
-dependencies = [
- "libc",
-]
-
-[[package]]
-name = "matches"
-version = "0.1.9"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a3e378b66a060d48947b590737b30a1be76706c8dd7b8ba0f2fe3989c68a853f"
-
-[[package]]
-name = "memchr"
-version = "2.5.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2dffe52ecf27772e601905b7522cb4ef790d2cc203488bbd0e2fe85fcb74566d"
-
-[[package]]
-name = "memoffset"
-version = "0.6.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5aa361d4faea93603064a027415f07bd8e1d5c88c9fbf68bf56a285428fd79ce"
-dependencies = [
- "autocfg",
-]
-
-[[package]]
-name = "mime"
-version = "0.3.16"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2a60c7ce501c71e03a9c9c0d35b861413ae925bd979cc7a4e30d060069aaac8d"
-
-[[package]]
-name = "mime_guess"
-version = "2.0.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4192263c238a5f0d0c6bfd21f336a313a4ce1c450542449ca191bb657b4642ef"
-dependencies = [
- "mime",
- "unicase",
-]
-
-[[package]]
-name = "minimal-lexical"
-version = "0.2.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "68354c5c6bd36d73ff3feceb05efa59b6acb7626617f4962be322a825e61f79a"
-
-[[package]]
-name = "minimp3"
-version = "0.5.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "985438f75febf74c392071a975a29641b420dd84431135a6e6db721de4b74372"
-dependencies = [
- "minimp3-sys",
- "slice-deque",
- "thiserror",
-]
-
-[[package]]
-name = "minimp3-sys"
-version = "0.3.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e21c73734c69dc95696c9ed8926a2b393171d98b3f5f5935686a26a487ab9b90"
-dependencies = [
- "cc",
-]
-
-[[package]]
-name = "miniz_oxide"
-version = "0.5.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "96590ba8f175222643a85693f33d26e9c8a015f599c216509b1a6894af675d34"
-dependencies = [
- "adler",
-]
-
-[[package]]
-name = "mio"
-version = "0.6.23"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4afd66f5b91bf2a3bc13fad0e21caedac168ca4c707504e75585648ae80e4cc4"
-dependencies = [
- "cfg-if 0.1.10",
- "fuchsia-zircon",
- "fuchsia-zircon-sys",
- "iovec",
- "kernel32-sys",
- "libc",
- "log",
- "miow",
- "net2",
- "slab",
- "winapi 0.2.8",
-]
-
-[[package]]
-name = "miow"
-version = "0.2.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ebd808424166322d4a38da87083bfddd3ac4c131334ed55856112eb06d46944d"
-dependencies = [
- "kernel32-sys",
- "net2",
- "winapi 0.2.8",
- "ws2_32-sys",
-]
-
-[[package]]
-name = "mp3-duration"
-version = "0.1.10"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "348bdc7300502f0801e5b57c448815713cd843b744ef9bda252a2698fdf90a0f"
-dependencies = [
- "thiserror",
-]
-
-[[package]]
-name = "native-tls"
-version = "0.2.11"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "07226173c32f2926027b63cce4bcd8076c3552846cbe7925f3aaffeac0a3b92e"
-dependencies = [
- "lazy_static 1.4.0",
- "libc",
- "log",
- "openssl",
- "openssl-probe",
- "openssl-sys",
- "schannel",
- "security-framework",
- "security-framework-sys",
- "tempfile",
-]
-
-[[package]]
-name = "ndk"
-version = "0.6.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2032c77e030ddee34a6787a64166008da93f6a352b629261d0fee232b8742dd4"
-dependencies = [
- "bitflags",
- "jni-sys",
- "ndk-sys",
- "num_enum",
- "thiserror",
-]
-
-[[package]]
-name = "ndk-context"
-version = "0.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "27b02d87554356db9e9a873add8782d4ea6e3e58ea071a9adb9a2e8ddb884a8b"
-
-[[package]]
-name = "ndk-glue"
-version = "0.6.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0d0c4a7b83860226e6b4183edac21851f05d5a51756e97a1144b7f5a6b63e65f"
-dependencies = [
- "lazy_static 1.4.0",
- "libc",
- "log",
- "ndk",
- "ndk-context",
- "ndk-macro",
- "ndk-sys",
-]
-
-[[package]]
-name = "ndk-macro"
-version = "0.3.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0df7ac00c4672f9d5aece54ee3347520b7e20f158656c7db2e6de01902eb7a6c"
-dependencies = [
- "darling",
- "proc-macro-crate",
- "proc-macro2",
- "quote",
- "syn",
-]
-
-[[package]]
-name = "ndk-sys"
-version = "0.3.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6e5a6ae77c8ee183dcbbba6150e2e6b9f3f4196a7666c02a715a95692ec1fa97"
-dependencies = [
- "jni-sys",
-]
-
-[[package]]
-name = "net2"
-version = "0.2.38"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "74d0df99cfcd2530b2e694f6e17e7f37b8e26bb23983ac530c0c97408837c631"
-dependencies = [
- "cfg-if 0.1.10",
- "libc",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "netease_music_tui"
-version = "0.1.3"
-dependencies = [
- "base64 0.11.0",
- "byteorder",
- "bytes 0.4.12",
- "chrono",
- "config",
- "cpal",
- "dbus",
- "dirs",
- "failure",
- "futures",
- "hex",
- "lazy_static 1.4.0",
- "log",
- "log-panics",
- "mp3-duration",
- "num-bigint",
- "openssl",
- "rand",
- "regex",
- "reqwest",
- "rodio",
- "serde 1.0.147",
- "serde_derive",
- "serde_json",
- "serde_urlencoded 0.6.1",
- "simple-logging",
- "tempfile",
- "termion",
- "tokio",
- "tui",
- "unicode-width",
-]
-
-[[package]]
-name = "nix"
-version = "0.23.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9f866317acbd3a240710c63f065ffb1e4fd466259045ccb504130b7f668f35c6"
-dependencies = [
- "bitflags",
- "cc",
- "cfg-if 1.0.0",
- "libc",
- "memoffset",
-]
-
-[[package]]
-name = "nom"
-version = "4.2.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2ad2a91a8e869eeb30b9cb3119ae87773a8f4ae617f41b1eb9c154b2905f7bd6"
-dependencies = [
- "memchr",
- "version_check 0.1.5",
-]
-
-[[package]]
-name = "nom"
-version = "7.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a8903e5a29a317527874d0402f867152a3d21c908bb0b933e416c65e301d4c36"
-dependencies = [
- "memchr",
- "minimal-lexical",
-]
-
-[[package]]
-name = "num-bigint"
-version = "0.2.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "090c7f9998ee0ff65aa5b723e4009f7b217707f1fb5ea551329cc4d6231fb304"
-dependencies = [
- "autocfg",
- "num-integer",
- "num-traits 0.2.15",
-]
-
-[[package]]
-name = "num-derive"
-version = "0.3.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "876a53fff98e03a936a674b29568b0e605f06b29372c2489ff4de23f1949743d"
-dependencies = [
- "proc-macro2",
- "quote",
- "syn",
-]
-
-[[package]]
-name = "num-integer"
-version = "0.1.45"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "225d3389fb3509a24c93f5c29eb6bde2586b98d9f016636dff58d7c6f7569cd9"
-dependencies = [
- "autocfg",
- "num-traits 0.2.15",
-]
-
-[[package]]
-name = "num-traits"
-version = "0.1.43"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "92e5113e9fd4cc14ded8e499429f396a20f98c772a47cc8622a736e1ec843c31"
-dependencies = [
- "num-traits 0.2.15",
-]
-
-[[package]]
-name = "num-traits"
-version = "0.2.15"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "578ede34cf02f8924ab9447f50c28075b4d3e5b269972345e7e0372b38c6cdcd"
-dependencies = [
- "autocfg",
-]
-
-[[package]]
-name = "num_cpus"
-version = "1.14.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f6058e64324c71e02bc2b150e4f3bc8286db6c83092132ffa3f6b1eab0f9def5"
-dependencies = [
- "hermit-abi",
- "libc",
-]
-
-[[package]]
-name = "num_enum"
-version = "0.5.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cf5395665662ef45796a4ff5486c5d41d29e0c09640af4c5f17fd94ee2c119c9"
-dependencies = [
- "num_enum_derive",
-]
-
-[[package]]
-name = "num_enum_derive"
-version = "0.5.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3b0498641e53dd6ac1a4f22547548caa6864cc4933784319cd1775271c5a46ce"
-dependencies = [
- "proc-macro-crate",
- "proc-macro2",
- "quote",
- "syn",
-]
-
-[[package]]
-name = "numtoa"
-version = "0.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b8f8bdf33df195859076e54ab11ee78a1b208382d3a26ec40d142ffc1ecc49ef"
-
-[[package]]
-name = "object"
-version = "0.29.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "21158b2c33aa6d4561f1c0a6ea283ca92bc54802a93b263e910746d679a7eb53"
-dependencies = [
- "memchr",
-]
-
-[[package]]
-name = "oboe"
-version = "0.4.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "27f63c358b4fa0fbcfefd7c8be5cfc39c08ce2389f5325687e7762a48d30a5c1"
-dependencies = [
- "jni",
- "ndk",
- "ndk-context",
- "num-derive",
- "num-traits 0.2.15",
- "oboe-sys",
-]
-
-[[package]]
-name = "oboe-sys"
-version = "0.4.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3370abb7372ed744232c12954d920d1a40f1c4686de9e79e800021ef492294bd"
-dependencies = [
- "cc",
-]
-
-[[package]]
-name = "ogg"
-version = "0.8.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6951b4e8bf21c8193da321bcce9c9dd2e13c858fe078bf9054a288b419ae5d6e"
-dependencies = [
- "byteorder",
-]
-
-[[package]]
-name = "once_cell"
-version = "1.16.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "86f0b0d4bf799edbc74508c1e8bf170ff5f41238e5f8225603ca7caaae2b7860"
-
-[[package]]
-name = "openssl"
-version = "0.10.42"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "12fc0523e3bd51a692c8850d075d74dc062ccf251c0110668cbd921917118a13"
-dependencies = [
- "bitflags",
- "cfg-if 1.0.0",
- "foreign-types",
- "libc",
- "once_cell",
- "openssl-macros",
- "openssl-sys",
-]
-
-[[package]]
-name = "openssl-macros"
-version = "0.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b501e44f11665960c7e7fcf062c7d96a14ade4aa98116c004b2e37b5be7d736c"
-dependencies = [
- "proc-macro2",
- "quote",
- "syn",
-]
-
-[[package]]
-name = "openssl-probe"
-version = "0.1.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ff011a302c396a5197692431fc1948019154afc178baf7d8e37367442a4601cf"
-
-[[package]]
-name = "openssl-sys"
-version = "0.9.77"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b03b84c3b2d099b81f0953422b4d4ad58761589d0229b5506356afca05a3670a"
-dependencies = [
- "autocfg",
- "cc",
- "libc",
- "pkg-config",
- "vcpkg",
-]
-
-[[package]]
-name = "parking_lot"
-version = "0.11.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7d17b78036a60663b797adeaee46f5c9dfebb86948d1255007a1d6be0271ff99"
-dependencies = [
- "instant",
- "lock_api",
- "parking_lot_core",
-]
-
-[[package]]
-name = "parking_lot_core"
-version = "0.8.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d76e8e1493bcac0d2766c42737f34458f1c8c50c0d23bcb24ea953affb273216"
-dependencies = [
- "cfg-if 1.0.0",
- "instant",
- "libc",
- "redox_syscall 0.2.16",
- "smallvec",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "peeking_take_while"
-version = "0.1.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "19b17cddbe7ec3f8bc800887bab5e717348c95ea2ca0b1bf0837fb964dc67099"
-
-[[package]]
-name = "percent-encoding"
-version = "2.2.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "478c572c3d73181ff3c2539045f6eb99e5491218eae919370993b890cdbdd98e"
-
-[[package]]
-name = "pin-project"
-version = "1.0.12"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ad29a609b6bcd67fee905812e544992d216af9d755757c05ed2d0e15a74c6ecc"
-dependencies = [
- "pin-project-internal",
-]
-
-[[package]]
-name = "pin-project-internal"
-version = "1.0.12"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "069bdb1e05adc7a8990dce9cc75370895fbe4e3d58b9b73bf1aee56359344a55"
-dependencies = [
- "proc-macro2",
- "quote",
- "syn",
-]
-
-[[package]]
-name = "pin-project-lite"
-version = "0.1.12"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "257b64915a082f7811703966789728173279bdebb956b143dbcd23f6f970a777"
-
-[[package]]
-name = "pin-project-lite"
-version = "0.2.9"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e0a7ae3ac2f1173085d398531c705756c94a4c56843785df85a60c1a0afac116"
-
-[[package]]
-name = "pin-utils"
-version = "0.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8b870d8c151b6f2fb93e84a13146138f05d02ed11c7e7c54f8826aaaf7c9f184"
-
-[[package]]
-name = "pkg-config"
-version = "0.3.26"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6ac9a59f73473f1b8d852421e59e64809f025994837ef743615c6d0c5b305160"
-
-[[package]]
-name = "ppv-lite86"
-version = "0.2.17"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5b40af805b3121feab8a3c29f04d8ad262fa8e0561883e7653e024ae4479e6de"
-
-[[package]]
-name = "proc-macro-crate"
-version = "1.2.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "eda0fc3b0fb7c975631757e14d9049da17374063edb6ebbcbc54d880d4fe94e9"
-dependencies = [
- "once_cell",
- "thiserror",
- "toml 0.5.9",
-]
-
-[[package]]
-name = "proc-macro-hack"
-version = "0.5.19"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dbf0c48bc1d91375ae5c3cd81e3722dff1abcf81a30960240640d223f59fe0e5"
-
-[[package]]
-name = "proc-macro2"
-version = "1.0.47"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5ea3d908b0e36316caf9e9e2c4625cdde190a7e6f440d794667ed17a1855e725"
-dependencies = [
- "unicode-ident",
-]
-
-[[package]]
-name = "publicsuffix"
-version = "1.5.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "95b4ce31ff0a27d93c8de1849cf58162283752f065a90d508f1105fa6c9a213f"
-dependencies = [
- "idna 0.2.3",
- "url",
-]
-
-[[package]]
-name = "quote"
-version = "1.0.21"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bbe448f377a7d6961e30f5955f9b8d106c3f5e449d493ee1b125c1d43c2b5179"
-dependencies = [
- "proc-macro2",
-]
-
-[[package]]
-name = "rand"
-version = "0.7.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6a6b1679d49b24bbfe0c803429aa1874472f50d9b363131f0e89fc356b544d03"
-dependencies = [
- "getrandom 0.1.16",
- "libc",
- "rand_chacha",
- "rand_core",
- "rand_hc",
-]
-
-[[package]]
-name = "rand_chacha"
-version = "0.2.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f4c8ed856279c9737206bf725bf36935d8666ead7aa69b52be55af369d193402"
-dependencies = [
- "ppv-lite86",
- "rand_core",
-]
-
-[[package]]
-name = "rand_core"
-version = "0.5.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "90bde5296fc891b0cef12a6d03ddccc162ce7b2aff54160af9338f8d40df6d19"
-dependencies = [
- "getrandom 0.1.16",
-]
-
-[[package]]
-name = "rand_hc"
-version = "0.2.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ca3129af7b92a17112d59ad498c6f81eaf463253766b90396d39ea7a39d6613c"
-dependencies = [
- "rand_core",
-]
-
-[[package]]
-name = "redox_syscall"
-version = "0.1.57"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "41cc0f7e4d5d4544e8861606a285bb08d3e70712ccc7d2b84d7c0ccfaf4b05ce"
-
-[[package]]
-name = "redox_syscall"
-version = "0.2.16"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fb5a58c1855b4b6819d59012155603f0b22ad30cad752600aadfcb695265519a"
-dependencies = [
- "bitflags",
-]
-
-[[package]]
-name = "redox_termios"
-version = "0.1.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8440d8acb4fd3d277125b4bd01a6f38aee8d814b3b5fc09b3f2b825d37d3fe8f"
-dependencies = [
- "redox_syscall 0.2.16",
-]
-
-[[package]]
-name = "redox_users"
-version = "0.4.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b033d837a7cf162d7993aded9304e30a83213c648b6e389db233191f891e5c2b"
-dependencies = [
- "getrandom 0.2.8",
- "redox_syscall 0.2.16",
- "thiserror",
-]
-
-[[package]]
-name = "regex"
-version = "1.7.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e076559ef8e241f2ae3479e36f97bd5741c0330689e217ad51ce2c76808b868a"
-dependencies = [
- "aho-corasick",
- "memchr",
- "regex-syntax",
-]
-
-[[package]]
-name = "regex-syntax"
-version = "0.6.28"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "456c603be3e8d448b072f410900c09faf164fbce2d480456f50eea6e25f9c848"
-
-[[package]]
-name = "remove_dir_all"
-version = "0.5.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3acd125665422973a33ac9d3dd2df85edad0f4ae9b00dafb1a05e43a9f5ef8e7"
-dependencies = [
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "reqwest"
-version = "0.10.10"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0718f81a8e14c4dbb3b34cf23dc6aaf9ab8a0dfec160c534b3dbca1aaa21f47c"
-dependencies = [
- "async-compression",
- "base64 0.13.1",
- "bytes 0.5.6",
- "cookie",
- "cookie_store",
- "encoding_rs",
- "futures-core",
- "futures-util",
- "http",
- "http-body",
- "hyper",
- "hyper-tls",
- "ipnet",
- "js-sys",
- "lazy_static 1.4.0",
- "log",
- "mime",
- "mime_guess",
- "native-tls",
- "percent-encoding",
- "pin-project-lite 0.2.9",
- "serde 1.0.147",
- "serde_urlencoded 0.7.1",
- "time 0.2.27",
- "tokio",
- "tokio-socks",
- "tokio-tls",
- "url",
- "wasm-bindgen",
- "wasm-bindgen-futures",
- "web-sys",
- "winreg",
-]
-
-[[package]]
-name = "rodio"
-version = "0.15.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ec0939e9f626e6c6f1989adb6226a039c855ca483053f0ee7c98b90e41cf731e"
-dependencies = [
- "claxon",
- "cpal",
- "hound",
- "lewton",
- "minimp3",
-]
-
-[[package]]
-name = "rust-ini"
-version = "0.13.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3e52c148ef37f8c375d49d5a73aa70713125b7f19095948a923f80afdeb22ec2"
-
-[[package]]
-name = "rustc-demangle"
-version = "0.1.21"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7ef03e0a2b150c7a90d01faf6254c9c48a41e95fb2a8c2ac1c6f0d2b9aefc342"
-
-[[package]]
-name = "rustc-hash"
-version = "1.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "08d43f7aa6b08d49f382cde6a7982047c3426db949b1424bc4b7ec9ae12c6ce2"
-
-[[package]]
-name = "rustc_version"
-version = "0.2.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "138e3e0acb6c9fb258b19b67cb8abd63c00679d2851805ea151465464fe9030a"
-dependencies = [
- "semver",
-]
-
-[[package]]
-name = "ryu"
-version = "1.0.11"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4501abdff3ae82a1c1b477a17252eb69cee9e66eb915c1abaa4f44d873df9f09"
-
-[[package]]
-name = "same-file"
-version = "1.0.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "93fc1dc3aaa9bfed95e02e6eadabb4baf7e3078b0bd1b4d7b6b0b68378900502"
-dependencies = [
- "winapi-util",
-]
-
-[[package]]
-name = "schannel"
-version = "0.1.20"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "88d6731146462ea25d9244b2ed5fd1d716d25c52e4d54aa4fb0f3c4e9854dbe2"
-dependencies = [
- "lazy_static 1.4.0",
- "windows-sys",
-]
-
-[[package]]
-name = "scopeguard"
-version = "1.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d29ab0c6d3fc0ee92fe66e2d99f700eab17a8d57d1c1d3b748380fb20baa78cd"
-
-[[package]]
-name = "scratch"
-version = "1.0.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9c8132065adcfd6e02db789d9285a0deb2f3fcb04002865ab67d5fb103533898"
-
-[[package]]
-name = "security-framework"
-version = "2.7.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2bc1bb97804af6631813c55739f771071e0f2ed33ee20b68c86ec505d906356c"
-dependencies = [
- "bitflags",
- "core-foundation",
- "core-foundation-sys",
- "libc",
- "security-framework-sys",
-]
-
-[[package]]
-name = "security-framework-sys"
-version = "2.6.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0160a13a177a45bfb43ce71c01580998474f556ad854dcbca936dd2841a5c556"
-dependencies = [
- "core-foundation-sys",
- "libc",
-]
-
-[[package]]
-name = "semver"
-version = "0.9.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1d7eb9ef2c18661902cc47e535f9bc51b78acd254da71d375c2f6720d9a40403"
-dependencies = [
- "semver-parser",
-]
-
-[[package]]
-name = "semver-parser"
-version = "0.7.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "388a1df253eca08550bef6c72392cfe7c30914bf41df5269b68cbd6ff8f570a3"
-
-[[package]]
-name = "serde"
-version = "0.8.23"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9dad3f759919b92c3068c696c15c3d17238234498bbdcc80f2c469606f948ac8"
-
-[[package]]
-name = "serde"
-version = "1.0.147"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d193d69bae983fc11a79df82342761dfbf28a99fc8d203dca4c3c1b590948965"
-dependencies = [
- "serde_derive",
-]
-
-[[package]]
-name = "serde-hjson"
-version = "0.8.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0b833c5ad67d52ced5f5938b2980f32a9c1c5ef047f0b4fb3127e7a423c76153"
-dependencies = [
- "lazy_static 0.2.11",
- "linked-hash-map 0.3.0",
- "num-traits 0.1.43",
- "regex",
- "serde 0.8.23",
-]
-
-[[package]]
-name = "serde_derive"
-version = "1.0.147"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4f1d362ca8fc9c3e3a7484440752472d68a6caa98f1ab81d99b5dfe517cec852"
-dependencies = [
- "proc-macro2",
- "quote",
- "syn",
-]
-
-[[package]]
-name = "serde_json"
-version = "1.0.88"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8e8b3801309262e8184d9687fb697586833e939767aea0dda89f5a8e650e8bd7"
-dependencies = [
- "itoa 1.0.4",
- "ryu",
- "serde 1.0.147",
-]
-
-[[package]]
-name = "serde_test"
-version = "0.8.23"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "110b3dbdf8607ec493c22d5d947753282f3bae73c0f56d322af1e8c78e4c23d5"
-dependencies = [
- "serde 0.8.23",
-]
-
-[[package]]
-name = "serde_urlencoded"
-version = "0.6.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9ec5d77e2d4c73717816afac02670d5c4f534ea95ed430442cad02e7a6e32c97"
-dependencies = [
- "dtoa",
- "itoa 0.4.8",
- "serde 1.0.147",
- "url",
-]
-
-[[package]]
-name = "serde_urlencoded"
-version = "0.7.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d3491c14715ca2294c4d6a88f15e84739788c1d030eed8c110436aafdaa2f3fd"
-dependencies = [
- "form_urlencoded",
- "itoa 1.0.4",
- "ryu",
- "serde 1.0.147",
-]
-
-[[package]]
-name = "sha1"
-version = "0.6.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c1da05c97445caa12d05e848c4a4fcbbea29e748ac28f7e80e9b010392063770"
-dependencies = [
- "sha1_smol",
-]
-
-[[package]]
-name = "sha1_smol"
-version = "1.0.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ae1a47186c03a32177042e55dbc5fd5aee900b8e0069a8d70fba96a9375cd012"
-
-[[package]]
-name = "shlex"
-version = "1.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "43b2853a4d09f215c24cc5489c992ce46052d359b5109343cbafbf26bc62f8a3"
-
-[[package]]
-name = "simple-logging"
-version = "2.0.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b00d48e85675326bb182a2286ea7c1a0b264333ae10f27a937a72be08628b542"
-dependencies = [
- "lazy_static 1.4.0",
- "log",
- "thread-id",
-]
-
-[[package]]
-name = "slab"
-version = "0.4.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4614a76b2a8be0058caa9dbbaf66d988527d86d003c11a94fbd335d7661edcef"
-dependencies = [
- "autocfg",
-]
-
-[[package]]
-name = "slice-deque"
-version = "0.3.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "31ef6ee280cdefba6d2d0b4b78a84a1c1a3f3a4cec98c2d4231c8bc225de0f25"
-dependencies = [
- "libc",
- "mach",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "smallvec"
-version = "1.10.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a507befe795404456341dfab10cef66ead4c041f62b8b11bbb92bffe5d0953e0"
-
-[[package]]
-name = "socket2"
-version = "0.3.19"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "122e570113d28d773067fab24266b66753f6ea915758651696b6e35e49f88d6e"
-dependencies = [
- "cfg-if 1.0.0",
- "libc",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "standback"
-version = "0.2.17"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e113fb6f3de07a243d434a56ec6f186dfd51cb08448239fe7bcae73f87ff28ff"
-dependencies = [
- "version_check 0.9.4",
-]
-
-[[package]]
-name = "stdweb"
-version = "0.1.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ef5430c8e36b713e13b48a9f709cc21e046723fe44ce34587b73a830203b533e"
-
-[[package]]
-name = "stdweb"
-version = "0.4.20"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d022496b16281348b52d0e30ae99e01a73d737b2f45d38fed4edf79f9325a1d5"
-dependencies = [
- "discard",
- "rustc_version",
- "stdweb-derive",
- "stdweb-internal-macros",
- "stdweb-internal-runtime",
- "wasm-bindgen",
-]
-
-[[package]]
-name = "stdweb-derive"
-version = "0.5.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c87a60a40fccc84bef0652345bbbbbe20a605bf5d0ce81719fc476f5c03b50ef"
-dependencies = [
- "proc-macro2",
- "quote",
- "serde 1.0.147",
- "serde_derive",
- "syn",
-]
-
-[[package]]
-name = "stdweb-internal-macros"
-version = "0.2.9"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "58fa5ff6ad0d98d1ffa8cb115892b6e69d67799f6763e162a1c9db421dc22e11"
-dependencies = [
- "base-x",
- "proc-macro2",
- "quote",
- "serde 1.0.147",
- "serde_derive",
- "serde_json",
- "sha1",
- "syn",
-]
-
-[[package]]
-name = "stdweb-internal-runtime"
-version = "0.1.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "213701ba3370744dcd1a12960caa4843b3d68b4d1c0a5d575e0d65b2ee9d16c0"
-
-[[package]]
-name = "strsim"
-version = "0.10.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "73473c0e59e6d5812c5dfe2a064a6444949f089e20eec9a2e5506596494e4623"
-
-[[package]]
-name = "syn"
-version = "1.0.103"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a864042229133ada95abf3b54fdc62ef5ccabe9515b64717bcb9a1919e59445d"
-dependencies = [
- "proc-macro2",
- "quote",
- "unicode-ident",
-]
-
-[[package]]
-name = "synstructure"
-version = "0.12.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f36bdaa60a83aca3921b5259d5400cbf5e90fc51931376a9bd4a0eb79aa7210f"
-dependencies = [
- "proc-macro2",
- "quote",
- "syn",
- "unicode-xid",
-]
-
-[[package]]
-name = "tempfile"
-version = "3.3.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5cdb1ef4eaeeaddc8fbd371e5017057064af0911902ef36b39801f67cc6d79e4"
-dependencies = [
- "cfg-if 1.0.0",
- "fastrand",
- "libc",
- "redox_syscall 0.2.16",
- "remove_dir_all",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "termcolor"
-version = "1.1.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bab24d30b911b2376f3a13cc2cd443142f0c81dda04c118693e35b3835757755"
-dependencies = [
- "winapi-util",
-]
-
-[[package]]
-name = "termion"
-version = "1.5.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "077185e2eac69c3f8379a4298e1e07cd36beb962290d4a51199acf0fdc10607e"
-dependencies = [
- "libc",
- "numtoa",
- "redox_syscall 0.2.16",
- "redox_termios",
-]
-
-[[package]]
-name = "thiserror"
-version = "1.0.37"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "10deb33631e3c9018b9baf9dcbbc4f737320d2b576bac10f6aefa048fa407e3e"
-dependencies = [
- "thiserror-impl",
-]
-
-[[package]]
-name = "thiserror-impl"
-version = "1.0.37"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "982d17546b47146b28f7c22e3d08465f6b8903d0ea13c1660d9d84a6e7adcdbb"
-dependencies = [
- "proc-macro2",
- "quote",
- "syn",
-]
-
-[[package]]
-name = "thread-id"
-version = "3.3.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c7fbf4c9d56b320106cd64fd024dadfa0be7cb4706725fc44a7d7ce952d820c1"
-dependencies = [
- "libc",
- "redox_syscall 0.1.57",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "time"
-version = "0.1.44"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6db9e6914ab8b1ae1c260a4ae7a49b6c5611b40328a735b21862567685e73255"
-dependencies = [
- "libc",
- "wasi 0.10.0+wasi-snapshot-preview1",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "time"
-version = "0.2.27"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4752a97f8eebd6854ff91f1c1824cd6160626ac4bd44287f7f4ea2035a02a242"
-dependencies = [
- "const_fn",
- "libc",
- "standback",
- "stdweb 0.4.20",
- "time-macros",
- "version_check 0.9.4",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "time-macros"
-version = "0.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "957e9c6e26f12cb6d0dd7fc776bb67a706312e7299aed74c8dd5b17ebb27e2f1"
-dependencies = [
- "proc-macro-hack",
- "time-macros-impl",
-]
-
-[[package]]
-name = "time-macros-impl"
-version = "0.1.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fd3c141a1b43194f3f56a1411225df8646c55781d5f26db825b3d98507eb482f"
-dependencies = [
- "proc-macro-hack",
- "proc-macro2",
- "quote",
- "standback",
- "syn",
-]
-
-[[package]]
-name = "tinyvec"
-version = "1.6.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "87cc5ceb3875bb20c2890005a4e226a4651264a5c75edb2421b52861a0a0cb50"
-dependencies = [
- "tinyvec_macros",
-]
-
-[[package]]
-name = "tinyvec_macros"
-version = "0.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cda74da7e1a664f795bb1f8a87ec406fb89a02522cf6e50620d016add6dbbf5c"
-
-[[package]]
-name = "tokio"
-version = "0.2.25"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6703a273949a90131b290be1fe7b039d0fc884aa1935860dfcbe056f28cd8092"
-dependencies = [
- "bytes 0.5.6",
- "fnv",
- "futures-core",
- "iovec",
- "lazy_static 1.4.0",
- "memchr",
- "mio",
- "num_cpus",
- "pin-project-lite 0.1.12",
- "slab",
- "tokio-macros",
-]
-
-[[package]]
-name = "tokio-macros"
-version = "0.2.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e44da00bfc73a25f814cd8d7e57a68a5c31b74b3152a0a1d1f590c97ed06265a"
-dependencies = [
- "proc-macro2",
- "quote",
- "syn",
-]
-
-[[package]]
-name = "tokio-socks"
-version = "0.3.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d611fd5d241872372d52a0a3d309c52d0b95a6a67671a6c8f7ab2c4a37fb2539"
-dependencies = [
- "bytes 0.4.12",
- "either",
- "futures",
- "thiserror",
- "tokio",
-]
-
-[[package]]
-name = "tokio-tls"
-version = "0.3.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9a70f4fcd7b3b24fb194f837560168208f669ca8cb70d0c4b862944452396343"
-dependencies = [
- "native-tls",
- "tokio",
-]
-
-[[package]]
-name = "tokio-util"
-version = "0.3.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "be8242891f2b6cbef26a2d7e8605133c2c554cd35b3e4948ea892d6d68436499"
-dependencies = [
- "bytes 0.5.6",
- "futures-core",
- "futures-sink",
- "log",
- "pin-project-lite 0.1.12",
- "tokio",
-]
-
-[[package]]
-name = "toml"
-version = "0.4.10"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "758664fc71a3a69038656bee8b6be6477d2a6c315a6b81f7081f591bffa4111f"
-dependencies = [
- "serde 1.0.147",
-]
-
-[[package]]
-name = "toml"
-version = "0.5.9"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8d82e1a7758622a465f8cee077614c73484dac5b836c02ff6a40d5d1010324d7"
-dependencies = [
- "serde 1.0.147",
-]
-
-[[package]]
-name = "tower-service"
-version = "0.3.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b6bc1c9ce2b5135ac7f93c72918fc37feb872bdc6a5533a8b85eb4b86bfdae52"
-
-[[package]]
-name = "tracing"
-version = "0.1.37"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8ce8c33a8d48bd45d624a6e523445fd21ec13d3653cd51f681abf67418f54eb8"
-dependencies = [
- "cfg-if 1.0.0",
- "log",
- "pin-project-lite 0.2.9",
- "tracing-core",
-]
-
-[[package]]
-name = "tracing-core"
-version = "0.1.30"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "24eb03ba0eab1fd845050058ce5e616558e8f8d8fca633e6b163fe25c797213a"
-dependencies = [
- "once_cell",
-]
-
-[[package]]
-name = "tracing-futures"
-version = "0.2.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "97d095ae15e245a057c8e8451bab9b3ee1e1f68e9ba2b4fbc18d0ac5237835f2"
-dependencies = [
- "pin-project",
- "tracing",
-]
-
-[[package]]
-name = "try-lock"
-version = "0.2.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "59547bce71d9c38b83d9c0e92b6066c4253371f15005def0c30d9657f50c7642"
-
-[[package]]
-name = "tui"
-version = "0.6.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "73b422ff4986065d33272b587907654f918a3fe8702786a8110bf68dede0d8ee"
-dependencies = [
- "bitflags",
- "cassowary",
- "either",
- "itertools",
- "log",
- "termion",
- "unicode-segmentation",
- "unicode-width",
-]
-
-[[package]]
-name = "unicase"
-version = "2.6.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "50f37be617794602aabbeee0be4f259dc1778fabe05e2d67ee8f79326d5cb4f6"
-dependencies = [
- "version_check 0.9.4",
-]
-
-[[package]]
-name = "unicode-bidi"
-version = "0.3.8"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "099b7128301d285f79ddd55b9a83d5e6b9e97c92e0ea0daebee7263e932de992"
-
-[[package]]
-name = "unicode-ident"
-version = "1.0.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6ceab39d59e4c9499d4e5a8ee0e2735b891bb7308ac83dfb4e80cad195c9f6f3"
-
-[[package]]
-name = "unicode-normalization"
-version = "0.1.22"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5c5713f0fc4b5db668a2ac63cdb7bb4469d8c9fed047b1d0292cc7b0ce2ba921"
-dependencies = [
- "tinyvec",
-]
-
-[[package]]
-name = "unicode-segmentation"
-version = "1.10.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0fdbf052a0783de01e944a6ce7a8cb939e295b1e7be835a1112c3b9a7f047a5a"
-
-[[package]]
-name = "unicode-width"
-version = "0.1.10"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c0edd1e5b14653f783770bce4a4dabb4a5108a5370a5f5d8cfe8710c361f6c8b"
-
-[[package]]
-name = "unicode-xid"
-version = "0.2.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f962df74c8c05a667b5ee8bcf162993134c104e96440b663c8daa176dc772d8c"
-
-[[package]]
-name = "url"
-version = "2.3.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0d68c799ae75762b8c3fe375feb6600ef5602c883c5d21eb51c09f22b83c4643"
-dependencies = [
- "form_urlencoded",
- "idna 0.3.0",
- "percent-encoding",
-]
-
-[[package]]
-name = "vcpkg"
-version = "0.2.15"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "accd4ea62f7bb7a82fe23066fb0957d48ef677f6eeb8215f372f52e48bb32426"
-
-[[package]]
-name = "version_check"
-version = "0.1.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "914b1a6776c4c929a602fafd8bc742e06365d4bcbe48c30f9cca5824f70dc9dd"
-
-[[package]]
-name = "version_check"
-version = "0.9.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "49874b5167b65d7193b8aba1567f5c7d93d001cafc34600cee003eda787e483f"
-
-[[package]]
-name = "walkdir"
-version = "2.3.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "808cf2735cd4b6866113f648b791c6adc5714537bc222d9347bb203386ffda56"
-dependencies = [
- "same-file",
- "winapi 0.3.9",
- "winapi-util",
-]
-
-[[package]]
-name = "want"
-version = "0.3.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ce8a968cb1cd110d136ff8b819a556d6fb6d919363c61534f6860c7eb172ba0"
-dependencies = [
- "log",
- "try-lock",
-]
-
-[[package]]
-name = "wasi"
-version = "0.9.0+wasi-snapshot-preview1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cccddf32554fecc6acb585f82a32a72e28b48f8c4c1883ddfeeeaa96f7d8e519"
-
-[[package]]
-name = "wasi"
-version = "0.10.0+wasi-snapshot-preview1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1a143597ca7c7793eff794def352d41792a93c481eb1042423ff7ff72ba2c31f"
-
-[[package]]
-name = "wasi"
-version = "0.11.0+wasi-snapshot-preview1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
-
-[[package]]
-name = "wasm-bindgen"
-version = "0.2.83"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "eaf9f5aceeec8be17c128b2e93e031fb8a4d469bb9c4ae2d7dc1888b26887268"
-dependencies = [
- "cfg-if 1.0.0",
- "serde 1.0.147",
- "serde_json",
- "wasm-bindgen-macro",
-]
-
-[[package]]
-name = "wasm-bindgen-backend"
-version = "0.2.83"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4c8ffb332579b0557b52d268b91feab8df3615f265d5270fec2a8c95b17c1142"
-dependencies = [
- "bumpalo",
- "log",
- "once_cell",
- "proc-macro2",
- "quote",
- "syn",
- "wasm-bindgen-shared",
-]
-
-[[package]]
-name = "wasm-bindgen-futures"
-version = "0.4.33"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "23639446165ca5a5de86ae1d8896b737ae80319560fbaa4c2887b7da6e7ebd7d"
-dependencies = [
- "cfg-if 1.0.0",
- "js-sys",
- "wasm-bindgen",
- "web-sys",
-]
-
-[[package]]
-name = "wasm-bindgen-macro"
-version = "0.2.83"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "052be0f94026e6cbc75cdefc9bae13fd6052cdcaf532fa6c45e7ae33a1e6c810"
-dependencies = [
- "quote",
- "wasm-bindgen-macro-support",
-]
-
-[[package]]
-name = "wasm-bindgen-macro-support"
-version = "0.2.83"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "07bc0c051dc5f23e307b13285f9d75df86bfdf816c5721e573dec1f9b8aa193c"
-dependencies = [
- "proc-macro2",
- "quote",
- "syn",
- "wasm-bindgen-backend",
- "wasm-bindgen-shared",
-]
-
-[[package]]
-name = "wasm-bindgen-shared"
-version = "0.2.83"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1c38c045535d93ec4f0b4defec448e4291638ee608530863b1e2ba115d4fff7f"
-
-[[package]]
-name = "web-sys"
-version = "0.3.60"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bcda906d8be16e728fd5adc5b729afad4e444e106ab28cd1c7256e54fa61510f"
-dependencies = [
- "js-sys",
- "wasm-bindgen",
-]
-
-[[package]]
-name = "winapi"
-version = "0.2.8"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "167dc9d6949a9b857f3451275e911c3f44255842c1f7a76f33c55103a909087a"
-
-[[package]]
-name = "winapi"
-version = "0.3.9"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5c839a674fcd7a98952e593242ea400abe93992746761e38641405d28b00f419"
-dependencies = [
- "winapi-i686-pc-windows-gnu",
- "winapi-x86_64-pc-windows-gnu",
-]
-
-[[package]]
-name = "winapi-build"
-version = "0.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2d315eee3b34aca4797b2da6b13ed88266e6d612562a0c46390af8299fc699bc"
-
-[[package]]
-name = "winapi-i686-pc-windows-gnu"
-version = "0.4.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ac3b87c63620426dd9b991e5ce0329eff545bccbbb34f3be09ff6fb6ab51b7b6"
-
-[[package]]
-name = "winapi-util"
-version = "0.1.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "70ec6ce85bb158151cae5e5c87f95a8e97d2c0c4b001223f33a334e3ce5de178"
-dependencies = [
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "winapi-x86_64-pc-windows-gnu"
-version = "0.4.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
-
-[[package]]
-name = "windows-sys"
-version = "0.36.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ea04155a16a59f9eab786fe12a4a450e75cdb175f9e0d80da1e17db09f55b8d2"
-dependencies = [
- "windows_aarch64_msvc",
- "windows_i686_gnu",
- "windows_i686_msvc",
- "windows_x86_64_gnu",
- "windows_x86_64_msvc",
-]
-
-[[package]]
-name = "windows_aarch64_msvc"
-version = "0.36.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9bb8c3fd39ade2d67e9874ac4f3db21f0d710bee00fe7cab16949ec184eeaa47"
-
-[[package]]
-name = "windows_i686_gnu"
-version = "0.36.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "180e6ccf01daf4c426b846dfc66db1fc518f074baa793aa7d9b9aaeffad6a3b6"
-
-[[package]]
-name = "windows_i686_msvc"
-version = "0.36.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e2e7917148b2812d1eeafaeb22a97e4813dfa60a3f8f78ebe204bcc88f12f024"
-
-[[package]]
-name = "windows_x86_64_gnu"
-version = "0.36.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4dcd171b8776c41b97521e5da127a2d86ad280114807d0b2ab1e462bc764d9e1"
-
-[[package]]
-name = "windows_x86_64_msvc"
-version = "0.36.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c811ca4a8c853ef420abd8592ba53ddbbac90410fab6903b3e79972a631f7680"
-
-[[package]]
-name = "winreg"
-version = "0.7.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0120db82e8a1e0b9fb3345a539c478767c0048d842860994d96113d5b667bd69"
-dependencies = [
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "ws2_32-sys"
-version = "0.2.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d59cefebd0c892fa2dd6de581e937301d8552cb44489cdff035c6187cb63fa5e"
-dependencies = [
- "winapi 0.2.8",
- "winapi-build",
-]
-
-[[package]]
-name = "yaml-rust"
-version = "0.4.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "56c1936c4cc7a1c9ab21a1ebb602eb942ba868cbd44a99cb7cdc5892335e1c85"
-dependencies = [
- "linked-hash-map 0.5.6",
-]
diff --git a/pkgs/applications/audio/netease-music-tui/default.nix b/pkgs/applications/audio/netease-music-tui/default.nix
deleted file mode 100644
index a76ae5a0d71a..000000000000
--- a/pkgs/applications/audio/netease-music-tui/default.nix
+++ /dev/null
@@ -1,34 +0,0 @@
-{ fetchFromGitHub, rustPlatform, lib, alsa-lib, pkg-config, openssl }:
-
-rustPlatform.buildRustPackage rec {
- pname = "netease-music-tui";
- version = "0.1.5";
-
- src = fetchFromGitHub {
- owner = "betta-cyber";
- repo = "netease-music-tui";
- rev = "v${version}";
- sha256 = "sha256-+zRXihWg65DtyX3yD04CsW8aXIvNph36PW2veeg36lg=";
- };
-
- cargoLock = {
- lockFile = ./Cargo.lock;
- };
-
- postPatch = ''
- ln -s ${./Cargo.lock} Cargo.lock
- '';
-
- nativeBuildInputs = [ pkg-config ];
- buildInputs = [ alsa-lib openssl ];
-
- cargoSha256 = "sha256-i+W/KwnqdaHcrdaWYUuCUeFlRKekVuEvFh/pxDolPNU=";
-
- meta = with lib; {
- homepage = "https://github.com/betta-cyber/netease-music-tui";
- description = "netease cloud music terminal client by rust";
- maintainers = with maintainers; [ vonfry ];
- license = licenses.mit;
- mainProgram = "ncmt";
- };
-}
diff --git a/pkgs/applications/audio/netease-music-tui/update-cargo-lock.sh b/pkgs/applications/audio/netease-music-tui/update-cargo-lock.sh
deleted file mode 100755
index dc8a1bf3e468..000000000000
--- a/pkgs/applications/audio/netease-music-tui/update-cargo-lock.sh
+++ /dev/null
@@ -1,17 +0,0 @@
-#!/usr/bin/env nix-shell
-#!nix-shell -i bash -p coreutils gnugrep git cargo
-
-# This updates cargo-lock.patch for the netease-music-tui version listed in
-# default.nix.
-
-set -euo pipefail
-
-here=$(dirname "$0")
-version=$(grep '^ version = "' "$here/default.nix" | cut -d '"' -f 2)
-checkout=$(mktemp -d)
-git clone -b "v$version" --depth=1 https://github.com/betta-cyber/netease-music-tui "$checkout"
-
-cargo generate-lockfile --manifest-path "$checkout/Cargo.toml"
-cp "$checkout/Cargo.lock" "$here"
-
-rm -rf "$checkout"
diff --git a/pkgs/applications/audio/ninjas2/default.nix b/pkgs/applications/audio/ninjas2/default.nix
index 8fa5bed30661..8a2cc317c137 100644
--- a/pkgs/applications/audio/ninjas2/default.nix
+++ b/pkgs/applications/audio/ninjas2/default.nix
@@ -35,5 +35,6 @@ stdenv.mkDerivation rec {
license = with licenses; [ gpl3 ];
maintainers = [ maintainers.magnetophon ];
platforms = platforms.linux;
+ mainProgram = "ninjas2";
};
}
diff --git a/pkgs/applications/audio/nootka/default.nix b/pkgs/applications/audio/nootka/default.nix
index 3ce835138f6d..a52c5bb98176 100644
--- a/pkgs/applications/audio/nootka/default.nix
+++ b/pkgs/applications/audio/nootka/default.nix
@@ -35,6 +35,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Application for practicing playing musical scores and ear training";
+ mainProgram = "nootka";
homepage = "https://nootka.sourceforge.io/";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ mmlb orivej ];
diff --git a/pkgs/applications/audio/noson/default.nix b/pkgs/applications/audio/noson/default.nix
index b8d29af7abdf..426a3d9d1bbb 100644
--- a/pkgs/applications/audio/noson/default.nix
+++ b/pkgs/applications/audio/noson/default.nix
@@ -13,13 +13,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "noson";
- version = "5.6.3";
+ version = "5.6.6";
src = fetchFromGitHub {
owner = "janbar";
repo = "noson-app";
rev = finalAttrs.version;
- hash = "sha256-Yv5p9yAEhJHm9ZDZlR76z10oGBNpdifR7ITXcAHIb54=";
+ hash = "sha256-aBrp+mfY/c6K3dLbDGnEKoUbQC7TlFRQJZCjXPeDZ6s=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/audio/nova-filters/default.nix b/pkgs/applications/audio/nova-filters/default.nix
index 1c457c8a0e7b..17d90c6d310b 100644
--- a/pkgs/applications/audio/nova-filters/default.nix
+++ b/pkgs/applications/audio/nova-filters/default.nix
@@ -4,7 +4,6 @@
, scons
, boost
, ladspaH
-, libcxxabi
}:
stdenv.mkDerivation (finalAttrs: {
@@ -23,7 +22,7 @@ stdenv.mkDerivation (finalAttrs: {
--replace "-fomit-frame-pointer -ffast-math -mfpmath=sse" "-I${boost.dev}/include -I${ladspaH}/include" \
--replace "env.has_key('cxx')" "True" \
--replace "env['cxx']" "'${stdenv.cc.targetPrefix}c++'" \
- --replace "-Wl,--strip-all" "${lib.optionalString stdenv.isDarwin "-L${libcxxabi}/lib"}"
+ --replace "-Wl,--strip-all" ""
substituteInPlace filters.cpp \
--replace "LADSPA_HINT_SAMPLE_RATE, 0, 0.5" "LADSPA_HINT_SAMPLE_RATE, 0.0001, 0.5"
diff --git a/pkgs/applications/audio/odin2/default.nix b/pkgs/applications/audio/odin2/default.nix
index de89f9aedead..a6254d8c77e8 100644
--- a/pkgs/applications/audio/odin2/default.nix
+++ b/pkgs/applications/audio/odin2/default.nix
@@ -82,5 +82,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl3;
platforms = [ "x86_64-linux" ];
maintainers = with maintainers; [ magnetophon ];
+ mainProgram = "Odin2";
};
}
diff --git a/pkgs/applications/audio/open-music-kontrollers/mephisto.nix b/pkgs/applications/audio/open-music-kontrollers/mephisto.nix
index de707edd3d91..2328b9979158 100644
--- a/pkgs/applications/audio/open-music-kontrollers/mephisto.nix
+++ b/pkgs/applications/audio/open-music-kontrollers/mephisto.nix
@@ -1,17 +1,50 @@
-{ callPackage, faust, fontconfig, cmake, libvterm-neovim, libevdev, libglvnd, fira-code, ... } @ args:
+{ stdenv
+, lib
+, fetchFromSourcehut
+, pkg-config
+, cmake
+, meson
+, ninja
+, faust
+, fontconfig
+, glew
+, libvterm-neovim
+, lv2
+, lv2lint
+, sord
+, xorg
+}:
-callPackage ./generic.nix (args // rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "mephisto";
- version = "0.16.0";
+ version = "0.18.2";
- sha256 = "0vgr3rsvdj4w0xpc5iqpvyqilk42wr9zs8bg26sfv3f2wi4hb6gx";
+ src = fetchFromSourcehut {
+ domain = "open-music-kontrollers.ch";
+ owner = "~hp";
+ repo = "mephisto.lv2";
+ rev = finalAttrs.version;
+ hash = "sha256-ab6OGt1XVgynKNdszzdXwJ/jVKJSzgSmAv6j1U3/va0=";
+ };
- additionalBuildInputs = [ faust fontconfig cmake libvterm-neovim libevdev libglvnd fira-code ];
+ nativeBuildInputs = [ pkg-config meson ninja fontconfig cmake ];
- # see: https://github.com/OpenMusicKontrollers/mephisto.lv2/issues/6
- postPatch = ''
- sed -i 's/llvm-c-dsp/llvm-dsp-c/g' mephisto.c
- '';
+ buildInputs = [
+ faust
+ libvterm-neovim
+ lv2
+ sord
+ xorg.libX11
+ xorg.libXext
+ glew
+ lv2lint
+ ];
- description = "A Just-in-time FAUST embedded in an LV2 plugin";
+ meta = with lib; {
+ description = "A Just-in-time FAUST embedded in an LV2 plugin";
+ homepage = "https://git.open-music-kontrollers.ch/~hp/mephisto.lv2";
+ license = licenses.artistic2;
+ maintainers = [ maintainers.magnetophon ];
+ platforms = platforms.linux;
+ };
})
diff --git a/pkgs/applications/audio/open-stage-control/default.nix b/pkgs/applications/audio/open-stage-control/default.nix
index 0e2650b67b2f..b789dc668a8e 100644
--- a/pkgs/applications/audio/open-stage-control/default.nix
+++ b/pkgs/applications/audio/open-stage-control/default.nix
@@ -12,13 +12,13 @@
buildNpmPackage rec {
pname = "open-stage-control";
- version = "1.25.7";
+ version = "1.26.1";
src = fetchFromGitHub {
owner = "jean-emmanuel";
repo = "open-stage-control";
rev = "v${version}";
- hash = "sha256-BvWr+AhNGh2t4DleKFqgCqijma4CcXOSMoDtSeEUiEc=";
+ hash = "sha256-uw9vj12vs2N4nap6z1L6sGoCuPpRCmvfGoK/b+zHwHA=";
};
# Remove some Electron stuff from package.json
@@ -90,5 +90,6 @@ buildNpmPackage rec {
license = licenses.gpl3Only;
maintainers = with maintainers; [ lilyinstarlight ];
platforms = platforms.linux;
+ mainProgram = "open-stage-control";
};
}
diff --git a/pkgs/applications/audio/openutau/default.nix b/pkgs/applications/audio/openutau/default.nix
index ca9dd2f550da..17105558f2d2 100644
--- a/pkgs/applications/audio/openutau/default.nix
+++ b/pkgs/applications/audio/openutau/default.nix
@@ -85,5 +85,6 @@ buildDotnetModule rec {
];
maintainers = with maintainers; [ lilyinstarlight ];
platforms = [ "x86_64-linux" "aarch64-linux" "x86_64-darwin" "aarch64-darwin" ];
+ mainProgram = "OpenUtau";
};
}
diff --git a/pkgs/applications/audio/opustags/default.nix b/pkgs/applications/audio/opustags/default.nix
index 56ef66642801..314d475a04ed 100644
--- a/pkgs/applications/audio/opustags/default.nix
+++ b/pkgs/applications/audio/opustags/default.nix
@@ -36,5 +36,6 @@ stdenv.mkDerivation rec {
broken = stdenv.isDarwin;
maintainers = with maintainers; [ kmein ];
license = licenses.bsd3;
+ mainProgram = "opustags";
};
}
diff --git a/pkgs/applications/audio/padthv1/default.nix b/pkgs/applications/audio/padthv1/default.nix
index 2c4581cc7319..f51a3f69a2c5 100644
--- a/pkgs/applications/audio/padthv1/default.nix
+++ b/pkgs/applications/audio/padthv1/default.nix
@@ -15,6 +15,7 @@ mkDerivation rec {
meta = with lib; {
description = "polyphonic additive synthesizer";
+ mainProgram = "padthv1_jack";
homepage = "http://padthv1.sourceforge.net/";
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/audio/pamix/default.nix b/pkgs/applications/audio/pamix/default.nix
index 4a2ad9ab779e..fb1d0bfb3d41 100644
--- a/pkgs/applications/audio/pamix/default.nix
+++ b/pkgs/applications/audio/pamix/default.nix
@@ -40,5 +40,6 @@ stdenv.mkDerivation rec {
license = licenses.mit;
platforms = platforms.linux;
maintainers = with maintainers; [ ericsagnes ];
+ mainProgram = "pamix";
};
}
diff --git a/pkgs/applications/audio/paprefs/default.nix b/pkgs/applications/audio/paprefs/default.nix
index ef45cc4676a1..212d866059da 100644
--- a/pkgs/applications/audio/paprefs/default.nix
+++ b/pkgs/applications/audio/paprefs/default.nix
@@ -36,6 +36,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "PulseAudio Preferences";
+ mainProgram = "paprefs";
longDescription = ''
PulseAudio Preferences (paprefs) is a simple GTK based configuration
diff --git a/pkgs/applications/audio/parlatype/default.nix b/pkgs/applications/audio/parlatype/default.nix
index 23fb4380a2e5..d05130de4124 100644
--- a/pkgs/applications/audio/parlatype/default.nix
+++ b/pkgs/applications/audio/parlatype/default.nix
@@ -64,6 +64,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "GNOME audio player for transcription";
+ mainProgram = "parlatype";
longDescription = ''
Parlatype is a minimal audio player for manual speech transcription,
written for the GNOME desktop environment. It plays audio sources to
diff --git a/pkgs/applications/audio/patchage/default.nix b/pkgs/applications/audio/patchage/default.nix
index 99bbdf693a9c..c4d1b5ca12c8 100644
--- a/pkgs/applications/audio/patchage/default.nix
+++ b/pkgs/applications/audio/patchage/default.nix
@@ -44,5 +44,6 @@ stdenv.mkDerivation rec {
license = lib.licenses.lgpl3;
platforms = lib.platforms.linux;
maintainers = [ lib.maintainers.nico202 ];
+ mainProgram = "patchage";
};
}
diff --git a/pkgs/applications/audio/patchance/default.nix b/pkgs/applications/audio/patchance/default.nix
index 7770065b3d1c..86e1d2745b96 100644
--- a/pkgs/applications/audio/patchance/default.nix
+++ b/pkgs/applications/audio/patchance/default.nix
@@ -42,6 +42,7 @@ buildPythonApplication rec {
meta = with lib; {
homepage = "https://github.com/Houston4444/Patchance";
description = "JACK Patchbay GUI";
+ mainProgram = "patchance";
license = licenses.gpl2;
maintainers = with maintainers; [ orivej ];
platforms = platforms.linux;
diff --git a/pkgs/applications/audio/paulstretch/default.nix b/pkgs/applications/audio/paulstretch/default.nix
index d85b543baed4..c539521f1167 100644
--- a/pkgs/applications/audio/paulstretch/default.nix
+++ b/pkgs/applications/audio/paulstretch/default.nix
@@ -56,5 +56,6 @@ stdenv.mkDerivation {
homepage = "https://hypermammut.sourceforge.net/paulstretch/";
platforms = platforms.linux;
license = licenses.gpl2;
+ mainProgram = "paulstretch";
};
}
diff --git a/pkgs/applications/audio/pbpctrl/default.nix b/pkgs/applications/audio/pbpctrl/default.nix
index 0a258b0cb9c1..1cb18d831823 100644
--- a/pkgs/applications/audio/pbpctrl/default.nix
+++ b/pkgs/applications/audio/pbpctrl/default.nix
@@ -28,5 +28,6 @@ rustPlatform.buildRustPackage rec {
license = with licenses; [ asl20 mit ];
maintainers = [ maintainers.vanilla ];
platforms = platforms.linux;
+ mainProgram = "pbpctrl";
};
}
diff --git a/pkgs/applications/audio/petrifoo/default.nix b/pkgs/applications/audio/petrifoo/default.nix
index 49ed6dfd9842..10a82bac6edb 100644
--- a/pkgs/applications/audio/petrifoo/default.nix
+++ b/pkgs/applications/audio/petrifoo/default.nix
@@ -33,5 +33,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2Plus;
platforms = platforms.linux;
maintainers = [ maintainers.goibhniu ];
+ mainProgram = "petri-foo";
};
}
diff --git a/pkgs/applications/audio/pianobar/default.nix b/pkgs/applications/audio/pianobar/default.nix
index 9949fce8b09c..3d2d6e1263b0 100644
--- a/pkgs/applications/audio/pianobar/default.nix
+++ b/pkgs/applications/audio/pianobar/default.nix
@@ -24,5 +24,6 @@ stdenv.mkDerivation rec {
homepage = "https://6xq.net/pianobar/";
platforms = platforms.unix;
license = licenses.mit; # expat version
+ mainProgram = "pianobar";
};
}
diff --git a/pkgs/applications/audio/pianobooster/default.nix b/pkgs/applications/audio/pianobooster/default.nix
index 7d62aa61d6b0..a83c5ee7e401 100644
--- a/pkgs/applications/audio/pianobooster/default.nix
+++ b/pkgs/applications/audio/pianobooster/default.nix
@@ -63,6 +63,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A MIDI file player that teaches you how to play the piano";
+ mainProgram = "pianobooster";
homepage = "https://github.com/pianobooster/PianoBooster";
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/audio/picard/default.nix b/pkgs/applications/audio/picard/default.nix
index 6a269422664c..82909a6296bf 100644
--- a/pkgs/applications/audio/picard/default.nix
+++ b/pkgs/applications/audio/picard/default.nix
@@ -60,7 +60,7 @@ pythonPackages.buildPythonApplication rec {
pyyaml
];
- setupPyGlobalFlags = [ "build" "--disable-autoupdate" ];
+ setupPyGlobalFlags = [ "build" "--disable-autoupdate" "--localedir=$out/share/locale" ];
preCheck = ''
export HOME=$(mktemp -d)
@@ -77,6 +77,7 @@ pythonPackages.buildPythonApplication rec {
homepage = "https://picard.musicbrainz.org";
changelog = "https://picard.musicbrainz.org/changelog";
description = "The official MusicBrainz tagger";
+ mainProgram = "picard";
license = licenses.gpl2Plus;
platforms = platforms.all;
};
diff --git a/pkgs/applications/audio/picoloop/default.nix b/pkgs/applications/audio/picoloop/default.nix
index e92319127d7d..74d2e305b74f 100644
--- a/pkgs/applications/audio/picoloop/default.nix
+++ b/pkgs/applications/audio/picoloop/default.nix
@@ -46,5 +46,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/yoyz/picoloop";
platforms = platforms.linux;
license = licenses.bsd3;
+ mainProgram = "picoloop";
};
}
diff --git a/pkgs/applications/audio/pipecontrol/default.nix b/pkgs/applications/audio/pipecontrol/default.nix
index 0f302bc72e5d..1586be58e3cf 100644
--- a/pkgs/applications/audio/pipecontrol/default.nix
+++ b/pkgs/applications/audio/pipecontrol/default.nix
@@ -43,6 +43,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Pipewire control GUI program in Qt (Kirigami2)";
+ mainProgram = "pipecontrol";
homepage = "https://github.com/portaloffreedom/pipecontrol";
license = licenses.gpl3Only;
maintainers = with maintainers; [ tilcreator ];
diff --git a/pkgs/applications/audio/pithos/default.nix b/pkgs/applications/audio/pithos/default.nix
index 6de3da92db8a..1ff4aedb6b00 100644
--- a/pkgs/applications/audio/pithos/default.nix
+++ b/pkgs/applications/audio/pithos/default.nix
@@ -4,13 +4,13 @@
pythonPackages.buildPythonApplication rec {
pname = "pithos";
- version = "1.6.1";
+ version = "1.6.2";
src = fetchFromGitHub {
owner = pname;
repo = pname;
- rev = version;
- hash = "sha256-GPDbFlwiGT/B2paX33d3mUCV77q+fPM0LMaKFsQQjjQ=";
+ rev = "refs/tags/${version}";
+ hash = "sha256-3j6IoMi30BQ8WHK4BxbsW+/3XZx7rBFd47EBENa2GiQ=";
};
format = "other";
@@ -34,6 +34,7 @@ pythonPackages.buildPythonApplication rec {
meta = with lib; {
broken = stdenv.isDarwin;
description = "Pandora Internet Radio player for GNOME";
+ mainProgram = "pithos";
homepage = "https://pithos.github.io/";
license = licenses.gpl3;
maintainers = with maintainers; [ obadz ];
diff --git a/pkgs/applications/audio/plugdata/default.nix b/pkgs/applications/audio/plugdata/default.nix
index b4f587f2e807..9af5c4a9fa85 100644
--- a/pkgs/applications/audio/plugdata/default.nix
+++ b/pkgs/applications/audio/plugdata/default.nix
@@ -114,6 +114,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "Plugin wrapper around Pure Data to allow patching in a wide selection of DAWs";
+ mainProgram = "plugdata";
homepage = "https://plugdata.org/";
license = licenses.gpl3;
platforms = platforms.linux;
diff --git a/pkgs/applications/audio/pmidi/default.nix b/pkgs/applications/audio/pmidi/default.nix
index 43f38ff84ed0..c8725fa9a36a 100644
--- a/pkgs/applications/audio/pmidi/default.nix
+++ b/pkgs/applications/audio/pmidi/default.nix
@@ -18,5 +18,6 @@ stdenv.mkDerivation {
description = "A straightforward command line program to play midi files through the ALSA sequencer";
maintainers = with maintainers; [ lheckemann ];
license = licenses.gpl2;
+ mainProgram = "pmidi";
};
}
diff --git a/pkgs/applications/audio/pms/default.nix b/pkgs/applications/audio/pms/default.nix
index bb6d018efe4a..d8c7bffcb0f4 100644
--- a/pkgs/applications/audio/pms/default.nix
+++ b/pkgs/applications/audio/pms/default.nix
@@ -18,5 +18,6 @@ buildGoModule {
homepage = "https://ambientsound.github.io/pms/";
license = licenses.mit;
maintainers = with maintainers; [ deejayem ];
+ mainProgram = "pms";
};
}
diff --git a/pkgs/applications/audio/polyphone/default.nix b/pkgs/applications/audio/polyphone/default.nix
index 0e73861aa0f4..a01ce9773afa 100644
--- a/pkgs/applications/audio/polyphone/default.nix
+++ b/pkgs/applications/audio/polyphone/default.nix
@@ -46,6 +46,7 @@ mkDerivation rec {
meta = with lib; {
broken = (stdenv.isLinux && stdenv.isAarch64);
description = "A soundfont editor for creating musical instruments";
+ mainProgram = "polyphone";
homepage = "https://www.polyphone-soundfonts.com/";
license = licenses.gpl3;
maintainers = [ maintainers.maxdamantus ];
diff --git a/pkgs/applications/audio/praat/default.nix b/pkgs/applications/audio/praat/default.nix
index 4fd62e984dfe..6274d448ad51 100644
--- a/pkgs/applications/audio/praat/default.nix
+++ b/pkgs/applications/audio/praat/default.nix
@@ -11,13 +11,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "praat";
- version = "6.4.05";
+ version = "6.4.06";
src = fetchFromGitHub {
owner = "praat";
repo = "praat";
rev = "v${finalAttrs.version}";
- hash = "sha256-ctCDxE//vH4i22bKYBs14pdmp+1M6K+w7Tm22ZoGOf8=";
+ hash = "sha256-eZYNXNmxrvI+jR1UEgXrsUTriZ8zTTwM9cEy7HgiZzs=";
};
nativeBuildInputs = [
@@ -60,6 +60,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
description = "Doing phonetics by computer";
+ mainProgram = "praat";
homepage = "https://www.fon.hum.uva.nl/praat/";
license = lib.licenses.gpl2Plus; # Has some 3rd-party code in it though
maintainers = with lib.maintainers; [ orivej ];
diff --git a/pkgs/applications/audio/pragha/default.nix b/pkgs/applications/audio/pragha/default.nix
index 8e039f05927f..2ae9e233c4e2 100644
--- a/pkgs/applications/audio/pragha/default.nix
+++ b/pkgs/applications/audio/pragha/default.nix
@@ -95,6 +95,7 @@ mkDerivation rec {
meta = with lib; {
description = "A lightweight GTK+ music manager - fork of Consonance Music Manager";
+ mainProgram = "pragha";
homepage = "https://pragha-music-player.github.io/";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ mbaeten ];
diff --git a/pkgs/applications/audio/proteus/default.nix b/pkgs/applications/audio/proteus/default.nix
index b536af040d78..ab2bdae4ef0f 100644
--- a/pkgs/applications/audio/proteus/default.nix
+++ b/pkgs/applications/audio/proteus/default.nix
@@ -48,5 +48,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl3;
platforms = platforms.linux;
maintainers = with maintainers; [ orivej ];
+ mainProgram = "Proteus";
};
}
diff --git a/pkgs/applications/audio/psst/default.nix b/pkgs/applications/audio/psst/default.nix
index 58d39e874720..7abb8e78d161 100644
--- a/pkgs/applications/audio/psst/default.nix
+++ b/pkgs/applications/audio/psst/default.nix
@@ -16,13 +16,13 @@ let
in
rustPlatform.buildRustPackage rec {
pname = "psst";
- version = "unstable-2024-01-28";
+ version = "unstable-2024-02-11";
src = fetchFromGitHub {
owner = "jpochyla";
repo = pname;
- rev = "38422b1795c98d8d0e3bc8dc479d12f8d5bd7154";
- hash = "sha256-VTbjlSfkbon38IPBCazwrZtWR8dH9mE0sSVIlmxcUks=";
+ rev = "0cb4f6964b5ba771182ccfe005260a86a494ef92";
+ hash = "sha256-W+MFToyvYDQuC/8DqigvENxzJ6QGQOAeAdmdWG6+qZk=";
};
cargoLock = {
@@ -68,5 +68,6 @@ rustPlatform.buildRustPackage rec {
homepage = "https://github.com/jpochyla/psst";
license = licenses.mit;
maintainers = with maintainers; [ vbrandl peterhoeg ];
+ mainProgram = "psst-gui";
};
}
diff --git a/pkgs/applications/audio/psst/make-build-reproducible.patch b/pkgs/applications/audio/psst/make-build-reproducible.patch
index e70b7e726ea5..fb74db8ccb99 100644
--- a/pkgs/applications/audio/psst/make-build-reproducible.patch
+++ b/pkgs/applications/audio/psst/make-build-reproducible.patch
@@ -51,7 +51,7 @@ index fcbd491..2d71ee3 100644
-pub const GIT_VERSION: &str = git_version!();
-pub const BUILD_TIME: &str = include!(concat!(env!("OUT_DIR"), "/build-time.txt"));
-pub const REMOTE_URL: &str = include!(concat!(env!("OUT_DIR"), "/remote-url.txt"));
-+pub const GIT_VERSION: &str = "38422b1795c98d8d0e3bc8dc479d12f8d5bd7154";
++pub const GIT_VERSION: &str = "0cb4f6964b5ba771182ccfe005260a86a494ef92";
+pub const BUILD_TIME: &str = "1970-01-01 00:00:00";
+pub const REMOTE_URL: &str = "https://github.com/jpochyla/psst";
diff --git a/pkgs/applications/audio/psst/update.sh b/pkgs/applications/audio/psst/update.sh
index a504b61c2410..4ffa9417f040 100755
--- a/pkgs/applications/audio/psst/update.sh
+++ b/pkgs/applications/audio/psst/update.sh
@@ -24,7 +24,9 @@ if [ -z "$rev" ]; then
rev="$(wget -O- "${TOKEN_ARGS[@]}" "https://api.github.com/repos/jpochyla/psst/commits?per_page=1" | jq -r '.[0].sha')"
fi
-version="unstable-$(date +%F)"
+date="$(wget -O- "${TOKEN_ARGS[@]}" "https://api.github.com/repos/jpochyla/psst/commits/$rev" | jq -r '.commit.author.date' | cut -dT -f1)"
+
+version="unstable-$date"
# Sources
src_hash=$(nix-prefetch-github jpochyla psst --rev "$rev" | jq -r .hash)
diff --git a/pkgs/applications/audio/pt2-clone/default.nix b/pkgs/applications/audio/pt2-clone/default.nix
index b8775bc23532..c4213c06f8bc 100644
--- a/pkgs/applications/audio/pt2-clone/default.nix
+++ b/pkgs/applications/audio/pt2-clone/default.nix
@@ -6,15 +6,15 @@
, SDL2
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "pt2-clone";
- version = "1.66.1";
+ version = "1.67";
src = fetchFromGitHub {
owner = "8bitbubsy";
repo = "pt2-clone";
- rev = "v${version}";
- sha256 = "sha256-j7VPC1sj1Q+wL2TBgv06uYLPqym8F57HG1SRvj0Ggeo=";
+ rev = "v${finalAttrs.version}";
+ sha256 = "sha256-fTUTXwS6A72zhKkANlSljQVvPeN5rOTyuyb8vLxYfdk=";
};
nativeBuildInputs = [ cmake ];
@@ -39,6 +39,6 @@ stdenv.mkDerivation rec {
# From HOW-TO-COMPILE.txt:
# > This code is NOT big-endian compatible
platforms = platforms.littleEndian;
+ mainProgram = "pt2-clone";
};
-}
-
+})
diff --git a/pkgs/applications/audio/puddletag/default.nix b/pkgs/applications/audio/puddletag/default.nix
index 128d5c59c78e..ddeee09d829d 100644
--- a/pkgs/applications/audio/puddletag/default.nix
+++ b/pkgs/applications/audio/puddletag/default.nix
@@ -21,14 +21,14 @@
python3.pkgs.buildPythonApplication rec {
pname = "puddletag";
- version = "2.2.0";
+ version = "2.3.0";
format = "setuptools";
src = fetchFromGitHub {
owner = "puddletag";
repo = "puddletag";
rev = "refs/tags/${version}";
- hash = "sha256-KaFfpOWI9u2ZC/3kuCLneWOOKSmAaIuHPFHptkKMH/g=";
+ hash = "sha256-oScT8YcQoDf2qZ+J7xKm22Sbfym3tkVUrWT5D2LU5e8=";
};
pythonRelaxDeps = true;
@@ -57,6 +57,7 @@ python3.pkgs.buildPythonApplication rec {
pyparsing
pyqt5
rapidfuzz
+ unidecode
];
# the file should be executable but it isn't so our wrapper doesn't run
@@ -71,6 +72,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "An audio tag editor similar to the Windows program, Mp3tag";
+ mainProgram = "puddletag";
homepage = "https://docs.puddletag.net";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ peterhoeg dschrempf ];
diff --git a/pkgs/applications/audio/pulseaudio-ctl/default.nix b/pkgs/applications/audio/pulseaudio-ctl/default.nix
index 1b21aa9d4163..e58d8edba6f9 100644
--- a/pkgs/applications/audio/pulseaudio-ctl/default.nix
+++ b/pkgs/applications/audio/pulseaudio-ctl/default.nix
@@ -33,6 +33,7 @@ in stdenv.mkDerivation rec {
meta = with lib; {
description = "Control pulseaudio volume from the shell or mapped to keyboard shortcuts. No need for alsa-utils";
+ mainProgram = "pulseaudio-ctl";
homepage = "https://bbs.archlinux.org/viewtopic.php?id=124513";
license = licenses.mit;
maintainers = with maintainers; [ peterhoeg ];
diff --git a/pkgs/applications/audio/pulseaudio-dlna/default.nix b/pkgs/applications/audio/pulseaudio-dlna/default.nix
index 5358750b13e2..57f99b81299e 100644
--- a/pkgs/applications/audio/pulseaudio-dlna/default.nix
+++ b/pkgs/applications/audio/pulseaudio-dlna/default.nix
@@ -67,6 +67,7 @@ python3Packages.buildPythonApplication {
meta = with lib; {
description = "A lightweight streaming server which brings DLNA / UPNP and Chromecast support to PulseAudio and Linux";
+ mainProgram = "pulseaudio-dlna";
homepage = "https://github.com/Cygn/pulseaudio-dlna";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ mog ];
diff --git a/pkgs/applications/audio/pulseeffects-legacy/default.nix b/pkgs/applications/audio/pulseeffects-legacy/default.nix
index 7d255b7a71e2..c243b99f098c 100644
--- a/pkgs/applications/audio/pulseeffects-legacy/default.nix
+++ b/pkgs/applications/audio/pulseeffects-legacy/default.nix
@@ -106,6 +106,7 @@ in stdenv.mkDerivation rec {
meta = with lib; {
description = "Limiter, compressor, reverberation, equalizer and auto volume effects for Pulseaudio applications";
+ mainProgram = "pulseeffects";
homepage = "https://github.com/wwmm/pulseeffects";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ ];
diff --git a/pkgs/applications/audio/puredata/default.nix b/pkgs/applications/audio/puredata/default.nix
index f8df443f5c78..44015fc48881 100644
--- a/pkgs/applications/audio/puredata/default.nix
+++ b/pkgs/applications/audio/puredata/default.nix
@@ -13,11 +13,11 @@
stdenv.mkDerivation rec {
pname = "puredata";
- version = "0.54-0";
+ version = "0.54-1";
src = fetchurl {
url = "http://msp.ucsd.edu/Software/pd-${version}.src.tar.gz";
- hash = "sha256-6MFKfYV5CWxuOsm1V4LaYChIRIlx0Qcwah5SbtBFZIU=";
+ hash = "sha256-hcPUvTYgtAHntdWEeHoFIIKylMTE7us1g9dwnZP9BMI=";
};
nativeBuildInputs = [ autoreconfHook gettext makeWrapper ];
diff --git a/pkgs/applications/audio/pyradio/default.nix b/pkgs/applications/audio/pyradio/default.nix
index 2fc911222da9..9748c9f16111 100644
--- a/pkgs/applications/audio/pyradio/default.nix
+++ b/pkgs/applications/audio/pyradio/default.nix
@@ -6,13 +6,13 @@
python3Packages.buildPythonApplication rec {
pname = "pyradio";
- version = "0.9.1";
+ version = "0.9.2.25";
src = fetchFromGitHub {
owner = "coderholic";
- repo = pname;
+ repo = "pyradio";
rev = "refs/tags/${version}";
- hash = "sha256-tu/qlrbTcUCIRF15x9ATKHH+LDy1OsGJpo5x+CerTKg=";
+ hash = "sha256-GkOp0iK84HDvVH8RmtmIKJ5EtQIECgZS5g8pmaIhUcc=";
};
nativeBuildInputs = [
@@ -20,9 +20,12 @@ python3Packages.buildPythonApplication rec {
];
propagatedBuildInputs = with python3Packages; [
- requests
- psutil
dnspython
+ netifaces
+ psutil
+ python-dateutil
+ requests
+ rich
];
checkPhase = ''
@@ -36,6 +39,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
homepage = "http://www.coderholic.com/pyradio/";
description = "Curses based internet radio player";
+ mainProgram = "pyradio";
changelog = "https://github.com/coderholic/pyradio/releases/tag/${version}";
license = licenses.mit;
maintainers = with maintainers; [ contrun ];
diff --git a/pkgs/applications/audio/qjackctl/default.nix b/pkgs/applications/audio/qjackctl/default.nix
index abfcef0d2f75..47f8f10fbf0f 100644
--- a/pkgs/applications/audio/qjackctl/default.nix
+++ b/pkgs/applications/audio/qjackctl/default.nix
@@ -38,6 +38,7 @@ mkDerivation rec {
meta = with lib; {
description = "A Qt application to control the JACK sound server daemon";
+ mainProgram = "qjackctl";
homepage = "https://github.com/rncbc/qjackctl";
license = licenses.gpl2Plus;
maintainers = [ maintainers.goibhniu ];
diff --git a/pkgs/applications/audio/qmidiarp/default.nix b/pkgs/applications/audio/qmidiarp/default.nix
index 4c2de70efc0f..7b7f929e5379 100644
--- a/pkgs/applications/audio/qmidiarp/default.nix
+++ b/pkgs/applications/audio/qmidiarp/default.nix
@@ -34,6 +34,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "An advanced MIDI arpeggiator";
+ mainProgram = "qmidiarp";
longDescription = ''
An advanced MIDI arpeggiator, programmable step sequencer and LFO for Linux.
It can hold any number of arpeggiator, sequencer, or LFO modules running in
diff --git a/pkgs/applications/audio/qmidinet/default.nix b/pkgs/applications/audio/qmidinet/default.nix
index 556787ca9ea5..29c8ad7a797f 100644
--- a/pkgs/applications/audio/qmidinet/default.nix
+++ b/pkgs/applications/audio/qmidinet/default.nix
@@ -17,6 +17,7 @@ mkDerivation rec {
meta = with lib; {
description = "A MIDI network gateway application that sends and receives MIDI data (ALSA Sequencer and/or JACK MIDI) over the network";
+ mainProgram = "qmidinet";
homepage = "http://qmidinet.sourceforge.net/";
license = licenses.gpl2Plus;
maintainers = [ maintainers.magnetophon ];
diff --git a/pkgs/applications/audio/qmmp/default.nix b/pkgs/applications/audio/qmmp/default.nix
index 65cf4e3d7b00..427d9f48c1fd 100644
--- a/pkgs/applications/audio/qmmp/default.nix
+++ b/pkgs/applications/audio/qmmp/default.nix
@@ -26,11 +26,11 @@
stdenv.mkDerivation rec {
pname = "qmmp";
- version = "2.1.5";
+ version = "2.1.6";
src = fetchurl {
url = "https://qmmp.ylsoftware.com/files/qmmp/2.1/${pname}-${version}.tar.bz2";
- hash = "sha256-Jb4/KxnY1wtrUTbD+X04Wl7b9A2sZ92E/N1K+dVU95U=";
+ hash = "sha256-knqo5yCkcO/bFmM++z+SdiWzpDKK9ooV0wqlcIKj7so=";
};
nativeBuildInputs = [ cmake pkg-config wrapQtAppsHook ];
@@ -52,6 +52,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Qt-based audio player that looks like Winamp";
+ mainProgram = "qmmp";
homepage = "https://qmmp.ylsoftware.com/";
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/audio/qsampler/default.nix b/pkgs/applications/audio/qsampler/default.nix
index bb40e0b3eebc..bd4922394f6a 100644
--- a/pkgs/applications/audio/qsampler/default.nix
+++ b/pkgs/applications/audio/qsampler/default.nix
@@ -20,6 +20,7 @@ mkDerivation rec {
meta = with lib; {
homepage = "http://www.linuxsampler.org";
description = "Graphical frontend to LinuxSampler";
+ mainProgram = "qsampler";
license = licenses.gpl2;
maintainers = [ maintainers.goibhniu ];
platforms = platforms.linux;
diff --git a/pkgs/applications/audio/qsynth/default.nix b/pkgs/applications/audio/qsynth/default.nix
index a24625ca7de8..714a66f6e2c8 100644
--- a/pkgs/applications/audio/qsynth/default.nix
+++ b/pkgs/applications/audio/qsynth/default.nix
@@ -1,28 +1,23 @@
-{ lib, fetchurl, alsa-lib, fluidsynth, libjack2, autoconf, pkg-config
-, mkDerivation, qtbase, qttools, qtx11extras
+{ lib, stdenv, fetchurl, alsa-lib, fluidsynth, libjack2, cmake, pkg-config
+, qtbase, qttools, qtx11extras, wrapQtAppsHook
}:
-mkDerivation rec {
+stdenv.mkDerivation rec {
pname = "qsynth";
- version = "0.9.4";
+ version = "0.9.13";
src = fetchurl {
url = "mirror://sourceforge/qsynth/${pname}-${version}.tar.gz";
- sha256 = "sha256-dlgIkMde7uv4UlMKEPhtZ7MfSTBc7RvHs+Q2yk+G/JM=";
+ hash = "sha256-SvgZrdS6BwWhNgbovcfhpQKVcu0iwr4dDqeIckuo1fk=";
};
- nativeBuildInputs = [ autoconf pkg-config ];
+ nativeBuildInputs = [ cmake pkg-config wrapQtAppsHook ];
buildInputs = [ alsa-lib fluidsynth libjack2 qtbase qttools qtx11extras ];
- enableParallelBuilding = true;
- # Missing install depends:
- # lrelease error: Parse error at src/translations/qsynth_ru.ts:1503:33: Premature end of document.
- # make: *** [Makefile:107: src/translations/qsynth_ru.qm] Error 1
- enableParallelInstalling = false;
-
meta = with lib; {
description = "Fluidsynth GUI";
+ mainProgram = "qsynth";
homepage = "https://sourceforge.net/projects/qsynth";
license = licenses.gpl2Plus;
maintainers = with maintainers; [ goibhniu ];
diff --git a/pkgs/applications/audio/r128gain/default.nix b/pkgs/applications/audio/r128gain/default.nix
index 8cf6871a76b4..6cf7f41f10ce 100644
--- a/pkgs/applications/audio/r128gain/default.nix
+++ b/pkgs/applications/audio/r128gain/default.nix
@@ -36,6 +36,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "Fast audio loudness scanner & tagger (ReplayGain v2 / R128)";
+ mainProgram = "r128gain";
homepage = "https://github.com/desbma/r128gain";
license = licenses.lgpl2Plus;
maintainers = [ maintainers.AluisioASG ];
diff --git a/pkgs/applications/audio/radioboat/default.nix b/pkgs/applications/audio/radioboat/default.nix
index 3dc97a84b62f..b5421115201a 100644
--- a/pkgs/applications/audio/radioboat/default.nix
+++ b/pkgs/applications/audio/radioboat/default.nix
@@ -51,6 +51,7 @@ buildGoModule rec {
meta = with lib; {
description = "A terminal web radio client";
+ mainProgram = "radioboat";
homepage = "https://github.com/slashformotion/radioboat";
license = licenses.asl20;
platforms = platforms.linux;
diff --git a/pkgs/applications/audio/radiotray-ng/default.nix b/pkgs/applications/audio/radiotray-ng/default.nix
index ce3f57162366..56c316dadcdb 100644
--- a/pkgs/applications/audio/radiotray-ng/default.nix
+++ b/pkgs/applications/audio/radiotray-ng/default.nix
@@ -19,7 +19,6 @@
# GStreamer
, glib-networking
, gst_all_1
-, libsoup_3
# User-agent info
, lsb-release
# rt2rtng
@@ -100,8 +99,6 @@ stdenv.mkDerivation rec {
preFixup = ''
gappsWrapperArgs+=(--suffix PATH : ${lib.makeBinPath [ dbus ]})
wrapProgram $out/bin/rt2rtng --prefix PYTHONPATH : $PYTHONPATH
- # for GStreamer
- gappsWrapperArgs+=(--prefix LD_LIBRARY_PATH : "${lib.getLib libsoup_3}/lib")
'';
meta = with lib; {
diff --git a/pkgs/applications/audio/raysession/default.nix b/pkgs/applications/audio/raysession/default.nix
index 4db6072a4805..61051f79b376 100644
--- a/pkgs/applications/audio/raysession/default.nix
+++ b/pkgs/applications/audio/raysession/default.nix
@@ -2,11 +2,11 @@
buildPythonApplication rec {
pname = "raysession";
- version = "0.14.2";
+ version = "0.14.3";
src = fetchurl {
url = "https://github.com/Houston4444/RaySession/releases/download/v${version}/RaySession-${version}-source.tar.gz";
- sha256 = "sha256-qEN3zBK/goRLIZaU06XXm8H5yj4Qjj/NH+bkHkjhLaw=";
+ sha256 = "sha256-3+g1zdjGkxNEpyuKuxzhr2p9gkEFjYAso4fPedbjmlY=";
};
postPatch = ''
diff --git a/pkgs/applications/audio/redoflacs/default.nix b/pkgs/applications/audio/redoflacs/default.nix
index 999dc90a6e4e..75425375f6af 100644
--- a/pkgs/applications/audio/redoflacs/default.nix
+++ b/pkgs/applications/audio/redoflacs/default.nix
@@ -39,6 +39,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Parallel BASH commandline FLAC compressor, verifier, organizer, analyzer, and retagger";
+ mainProgram = "redoflacs";
homepage = src.meta.homepage;
license = licenses.gpl2;
maintainers = with maintainers; [ peterhoeg ];
diff --git a/pkgs/applications/audio/rhvoice/default.nix b/pkgs/applications/audio/rhvoice/default.nix
index af2ce6ae25ba..7fe8a12dd42d 100644
--- a/pkgs/applications/audio/rhvoice/default.nix
+++ b/pkgs/applications/audio/rhvoice/default.nix
@@ -12,14 +12,14 @@
stdenv.mkDerivation rec {
pname = "rhvoice";
- version = "1.8.0";
+ version = "1.14.0";
src = fetchFromGitHub {
owner = "RHVoice";
repo = "RHVoice";
rev = version;
fetchSubmodules = true;
- hash = "sha256-G5886rjBaAp0AXcr07O0q7K1OXTayfIbd4zniKwDiLw=";
+ hash = "sha256-eduKnxSTIDTxcW3ExueNxVKf8SjmXkVeTfHvJ0eyBPY=";
};
patches = [
@@ -51,5 +51,6 @@ stdenv.mkDerivation rec {
license = lib.licenses.gpl3;
maintainers = with lib.maintainers; [ berce ];
platforms = with lib.platforms; all;
+ mainProgram = "RHVoice-test";
};
}
diff --git a/pkgs/applications/audio/rofi-mpd/default.nix b/pkgs/applications/audio/rofi-mpd/default.nix
index 8f9819bd913b..65c4c700e262 100644
--- a/pkgs/applications/audio/rofi-mpd/default.nix
+++ b/pkgs/applications/audio/rofi-mpd/default.nix
@@ -18,6 +18,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "A rofi menu for interacting with MPD written in Python";
+ mainProgram = "rofi-mpd";
homepage = "https://github.com/JakeStanger/Rofi_MPD";
license = licenses.mit;
maintainers = with maintainers; [ jakestanger ];
diff --git a/pkgs/applications/audio/rofi-pulse-select/default.nix b/pkgs/applications/audio/rofi-pulse-select/default.nix
index 0664a6c2c4d7..857cdddeb638 100644
--- a/pkgs/applications/audio/rofi-pulse-select/default.nix
+++ b/pkgs/applications/audio/rofi-pulse-select/default.nix
@@ -32,6 +32,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Rofi-based interface to select source/sink (aka input/output) with PulseAudio";
+ mainProgram = "rofi-pulse-select";
homepage = "https://gitlab.com/DamienCassou/rofi-pulse-select";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ DamienCassou ];
diff --git a/pkgs/applications/audio/roomeqwizard/default.nix b/pkgs/applications/audio/roomeqwizard/default.nix
index 80b5f733ce06..c54726d058d4 100644
--- a/pkgs/applications/audio/roomeqwizard/default.nix
+++ b/pkgs/applications/audio/roomeqwizard/default.nix
@@ -14,11 +14,11 @@
stdenv.mkDerivation rec {
pname = "roomeqwizard";
- version = "5.30.8";
+ version = "5.30.9";
src = fetchurl {
url = "https://www.roomeqwizard.com/installers/REW_linux_no_jre_${lib.replaceStrings [ "." ] [ "_" ] version}.sh";
- sha256 = "sha256-ZHxMwbT2SoWEIuBJEuuVhU26V4NAbJKqx3lawedIwYo=";
+ sha256 = "sha256-gyitOq/HTDruP4nY6B7y1E+pL43yRhldyiiXEjKyogU=";
};
dontUnpack = true;
@@ -114,5 +114,6 @@ stdenv.mkDerivation rec {
REW is free software for room acoustic measurement, loudspeaker
measurement and audio device measurement.
'';
+ mainProgram = "roomeqwizard";
};
}
diff --git a/pkgs/applications/audio/rosegarden/default.nix b/pkgs/applications/audio/rosegarden/default.nix
index 0a82a4671db2..57a7ca82254a 100644
--- a/pkgs/applications/audio/rosegarden/default.nix
+++ b/pkgs/applications/audio/rosegarden/default.nix
@@ -54,6 +54,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://www.rosegardenmusic.com/";
description = "Music composition and editing environment";
+ mainProgram = "rosegarden";
longDescription = ''
Rosegarden is a music composition and editing environment based around
a MIDI sequencer that features a rich understanding of music notation
diff --git a/pkgs/applications/audio/rubyripper/default.nix b/pkgs/applications/audio/rubyripper/default.nix
index 23cc0577c688..a30eca6a7686 100644
--- a/pkgs/applications/audio/rubyripper/default.nix
+++ b/pkgs/applications/audio/rubyripper/default.nix
@@ -35,6 +35,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "High quality CD audio ripper";
+ mainProgram = "rrip_cli";
platforms = platforms.linux;
license = licenses.gpl3Plus;
homepage = "https://github.com/bleskodev/rubyripper";
diff --git a/pkgs/applications/audio/samplebrain/default.nix b/pkgs/applications/audio/samplebrain/default.nix
index 942e7bccc13c..54314812491c 100644
--- a/pkgs/applications/audio/samplebrain/default.nix
+++ b/pkgs/applications/audio/samplebrain/default.nix
@@ -53,6 +53,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A custom sample mashing app";
+ mainProgram = "samplebrain";
homepage = "https://thentrythis.org/projects/samplebrain";
changelog = "https://gitlab.com/then-try-this/samplebrain/-/releases/v${version}_release";
maintainers = with maintainers; [ mitchmindtree ];
diff --git a/pkgs/applications/audio/samplv1/default.nix b/pkgs/applications/audio/samplv1/default.nix
index 95035570e924..1af25d0d75b5 100644
--- a/pkgs/applications/audio/samplv1/default.nix
+++ b/pkgs/applications/audio/samplv1/default.nix
@@ -18,6 +18,7 @@ mkDerivation rec {
meta = with lib; {
description = "An old-school all-digital polyphonic sampler synthesizer with stereo fx";
+ mainProgram = "samplv1_jack";
homepage = "http://samplv1.sourceforge.net/";
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/audio/schismtracker/default.nix b/pkgs/applications/audio/schismtracker/default.nix
index 68e9fcd7e190..94b082fd84a4 100644
--- a/pkgs/applications/audio/schismtracker/default.nix
+++ b/pkgs/applications/audio/schismtracker/default.nix
@@ -11,13 +11,13 @@
stdenv.mkDerivation rec {
pname = "schismtracker";
- version = "20240129";
+ version = "20240308";
src = fetchFromGitHub {
owner = pname;
repo = pname;
rev = version;
- sha256 = "sha256-msi638LQM0LPfUineINRW8l8BcPKIeRBEDtV5L6anGk=";
+ sha256 = "sha256-6MzMmeD4HCS/7VTFTAcOhyKjz5NvzvDEzcSpHGUwFvM=";
};
configureFlags = [ "--enable-dependency-tracking" ]
@@ -43,5 +43,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2Plus;
platforms = platforms.unix;
maintainers = with maintainers; [ ftrvxmtrx ];
+ mainProgram = "schismtracker";
};
}
diff --git a/pkgs/applications/audio/seq24/default.nix b/pkgs/applications/audio/seq24/default.nix
index f586a1592f9c..f2858f8f291f 100644
--- a/pkgs/applications/audio/seq24/default.nix
+++ b/pkgs/applications/audio/seq24/default.nix
@@ -20,5 +20,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2;
platforms = platforms.linux;
maintainers = with maintainers; [ goibhniu ];
+ mainProgram = "seq24";
};
}
diff --git a/pkgs/applications/audio/seq66/default.nix b/pkgs/applications/audio/seq66/default.nix
index 8f47ab0b6a20..51d40f962a88 100644
--- a/pkgs/applications/audio/seq66/default.nix
+++ b/pkgs/applications/audio/seq66/default.nix
@@ -4,13 +4,13 @@
stdenv.mkDerivation rec {
pname = "seq66";
- version = "0.90.5";
+ version = "0.99.12";
src = fetchFromGitHub {
owner = "ahlstromcj";
- repo = pname;
+ repo = "seq66";
rev = version;
- sha256 = "1jvra1wzlycfpvffnqidk264zw6fyl4fsghkw5256ldk22aalmq9";
+ hash = "sha256-+EU0UdmZaDnhN142yR/r0tK1FKBbuFPmde6iSS26Tjo=";
};
nativeBuildInputs = [ autoreconfHook pkg-config qttools which wrapQtAppsHook ];
@@ -18,13 +18,9 @@ stdenv.mkDerivation rec {
buildInputs = [ alsa-lib libjack2 liblo qtbase ];
postPatch = ''
- for d in libseq66/include libseq66/src libsessions/include libsessions/src seq_qt5/src seq_rtmidi/include seq_rtmidi/src Seqtool/src; do
- substituteInPlace "$d/Makefile.am" --replace '$(git_info)' '${version}'
+ for d in libseq66/src libsessions/include libsessions/src seq_qt5/src seq_rtmidi/src; do
+ substituteInPlace "$d/Makefile.am" --replace-fail '$(git_info)' '${version}'
done
-
- # gcc-13 headers compatibilty. TODO: try to remove with next version
- # update
- sed -e '1i #include ' -i libseq66/src/os/daemonize.cpp
'';
enableParallelBuilding = true;
diff --git a/pkgs/applications/audio/sfxr-qt/default.nix b/pkgs/applications/audio/sfxr-qt/default.nix
index 2b264cfd56b9..529d12db967f 100644
--- a/pkgs/applications/audio/sfxr-qt/default.nix
+++ b/pkgs/applications/audio/sfxr-qt/default.nix
@@ -58,6 +58,7 @@ mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/agateau/sfxr-qt";
description = "A sound effect generator, QtQuick port of sfxr";
+ mainProgram = "sfxr-qt";
license = licenses.gpl2;
maintainers = with maintainers; [ fgaz ];
platforms = platforms.linux;
diff --git a/pkgs/applications/audio/sfxr/default.nix b/pkgs/applications/audio/sfxr/default.nix
index caef7fdd19a0..552bc8ea52a5 100644
--- a/pkgs/applications/audio/sfxr/default.nix
+++ b/pkgs/applications/audio/sfxr/default.nix
@@ -9,12 +9,12 @@
, wrapGAppsHook
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "sfxr";
version = "1.2.1";
src = fetchurl {
- url = "http://www.drpetter.se/files/sfxr-sdl-${version}.tar.gz";
+ url = "http://www.drpetter.se/files/sfxr-sdl-${finalAttrs.version}.tar.gz";
sha256 = "0dfqgid6wzzyyhc0ha94prxax59wx79hqr25r6if6by9cj4vx4ya";
};
@@ -58,9 +58,9 @@ stdenv.mkDerivation rec {
broken = stdenv.isDarwin;
homepage = "http://www.drpetter.se/project_sfxr.html";
description = "A videogame sound effect generator";
+ mainProgram = "sfxr";
license = licenses.mit;
maintainers = with maintainers; [ fgaz ];
platforms = platforms.unix;
};
-}
-
+})
diff --git a/pkgs/applications/audio/shortwave/default.nix b/pkgs/applications/audio/shortwave/default.nix
index b64e4bf5e50e..c1f3f53416fd 100644
--- a/pkgs/applications/audio/shortwave/default.nix
+++ b/pkgs/applications/audio/shortwave/default.nix
@@ -75,6 +75,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://gitlab.gnome.org/World/Shortwave";
description = "Find and listen to internet radio stations";
+ mainProgram = "shortwave";
longDescription = ''
Shortwave is a streaming audio player designed for the GNOME
desktop. It is the successor to the older Gradio application.
diff --git a/pkgs/applications/audio/snapcast/default.nix b/pkgs/applications/audio/snapcast/default.nix
index d155afb99ba4..782a55864914 100644
--- a/pkgs/applications/audio/snapcast/default.nix
+++ b/pkgs/applications/audio/snapcast/default.nix
@@ -1,5 +1,5 @@
{ stdenv, lib, fetchFromGitHub, fetchpatch, cmake, pkg-config
-, alsa-lib, asio, avahi, boost179, flac, libogg, libvorbis, soxr
+, alsa-lib, asio, avahi, boost179, flac, libogg, libvorbis, libopus, soxr
, IOKit, AudioToolbox
, aixlog, popl
, pulseaudioSupport ? false, libpulseaudio
@@ -32,7 +32,7 @@ stdenv.mkDerivation rec {
# not needed
buildInputs = [
boost179
- asio avahi flac libogg libvorbis
+ asio avahi flac libogg libvorbis libopus
aixlog popl soxr
] ++ lib.optional pulseaudioSupport libpulseaudio
++ lib.optional stdenv.isLinux alsa-lib
diff --git a/pkgs/applications/audio/snd/default.nix b/pkgs/applications/audio/snd/default.nix
index 777b452792cc..6958a38f2586 100644
--- a/pkgs/applications/audio/snd/default.nix
+++ b/pkgs/applications/audio/snd/default.nix
@@ -5,11 +5,11 @@
stdenv.mkDerivation rec {
pname = "snd";
- version = "24.0";
+ version = "24.2";
src = fetchurl {
url = "mirror://sourceforge/snd/snd-${version}.tar.gz";
- sha256 = "sha256-DU7AtPoLH+WXXsmree8GbHePvNYmPP7MxYSfhEzgOtU=";
+ sha256 = "sha256-1ngnhOpPaRGH3xmiA7cUfVDqlJM1ZC+XfeSiV8vcdls=";
};
nativeBuildInputs = [ pkg-config ];
@@ -29,5 +29,6 @@ stdenv.mkDerivation rec {
platforms = platforms.unix;
license = licenses.free;
maintainers = with maintainers; [ ];
+ mainProgram = "snd";
};
}
diff --git a/pkgs/applications/audio/sndpeek/default.nix b/pkgs/applications/audio/sndpeek/default.nix
index 39f706d1f24c..c65208343aff 100644
--- a/pkgs/applications/audio/sndpeek/default.nix
+++ b/pkgs/applications/audio/sndpeek/default.nix
@@ -52,5 +52,6 @@ stdenv.mkDerivation rec {
homepage = "https://soundlab.cs.princeton.edu/software/sndpeek/";
license = licenses.gpl2;
maintainers = [ maintainers.laikq ];
+ mainProgram = "sndpeek";
};
}
diff --git a/pkgs/applications/audio/sonata/default.nix b/pkgs/applications/audio/sonata/default.nix
index e5aa90180120..97397da96f25 100644
--- a/pkgs/applications/audio/sonata/default.nix
+++ b/pkgs/applications/audio/sonata/default.nix
@@ -46,6 +46,7 @@ in buildPythonApplication rec {
meta = {
description = "An elegant client for the Music Player Daemon";
+ mainProgram = "sonata";
longDescription = ''
Sonata is an elegant client for the Music Player Daemon.
diff --git a/pkgs/applications/audio/songrec/default.nix b/pkgs/applications/audio/songrec/default.nix
index 6eacb605a70e..5493418ba1a2 100644
--- a/pkgs/applications/audio/songrec/default.nix
+++ b/pkgs/applications/audio/songrec/default.nix
@@ -44,5 +44,6 @@ rustPlatform.buildRustPackage rec {
license = licenses.gpl3Only;
platforms = platforms.linux;
maintainers = with maintainers; [ tcbravo ];
+ mainProgram = "songrec";
};
}
diff --git a/pkgs/applications/audio/sonic-lineup/default.nix b/pkgs/applications/audio/sonic-lineup/default.nix
index 6ea4bcd6628d..f58f88b32138 100644
--- a/pkgs/applications/audio/sonic-lineup/default.nix
+++ b/pkgs/applications/audio/sonic-lineup/default.nix
@@ -42,6 +42,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Comparative visualisation of related audio recordings";
+ mainProgram = "sonic-lineup";
homepage = "https://www.sonicvisualiser.org/sonic-lineup/";
license = licenses.gpl2Plus;
maintainers = [ maintainers.vandenoever ];
diff --git a/pkgs/applications/audio/sonixd/default.nix b/pkgs/applications/audio/sonixd/default.nix
index d13c398eabd8..87ceb7b25e0f 100644
--- a/pkgs/applications/audio/sonixd/default.nix
+++ b/pkgs/applications/audio/sonixd/default.nix
@@ -30,6 +30,7 @@ appimageTools.wrapType2 rec {
license = licenses.gpl3Only;
maintainers = with maintainers; [ onny ];
platforms = [ "x86_64-linux" ];
+ mainProgram = "sonixd";
};
}
diff --git a/pkgs/applications/audio/sonobus/default.nix b/pkgs/applications/audio/sonobus/default.nix
index c99d3c4ca1e5..959c7a9d0623 100644
--- a/pkgs/applications/audio/sonobus/default.nix
+++ b/pkgs/applications/audio/sonobus/default.nix
@@ -82,5 +82,6 @@ stdenv.mkDerivation (finalAttrs: {
maintainers = with maintainers; [ PowerUser64 ];
platforms = platforms.unix;
broken = stdenv.isDarwin;
+ mainProgram = "sonobus";
};
})
diff --git a/pkgs/applications/audio/sony-headphones-client/default.nix b/pkgs/applications/audio/sony-headphones-client/default.nix
index b39f7272643f..24cae82f1b02 100644
--- a/pkgs/applications/audio/sony-headphones-client/default.nix
+++ b/pkgs/applications/audio/sony-headphones-client/default.nix
@@ -57,5 +57,6 @@ stdenv.mkDerivation rec {
license = licenses.mit;
maintainers = with maintainers; [ stunkymonkey ];
platforms = platforms.linux;
+ mainProgram = "SonyHeadphonesClient";
};
}
diff --git a/pkgs/applications/audio/sound-juicer/default.nix b/pkgs/applications/audio/sound-juicer/default.nix
index 999b2825eff7..261de6e48450 100644
--- a/pkgs/applications/audio/sound-juicer/default.nix
+++ b/pkgs/applications/audio/sound-juicer/default.nix
@@ -62,6 +62,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A Gnome CD Ripper";
+ mainProgram = "sound-juicer";
homepage = "https://wiki.gnome.org/Apps/SoundJuicer";
maintainers = [ maintainers.bdimcheff ];
license = licenses.gpl2Plus;
diff --git a/pkgs/applications/audio/soundconverter/default.nix b/pkgs/applications/audio/soundconverter/default.nix
index e2e5094e8452..b07ed63f220d 100644
--- a/pkgs/applications/audio/soundconverter/default.nix
+++ b/pkgs/applications/audio/soundconverter/default.nix
@@ -73,6 +73,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
homepage = "https://soundconverter.org/";
description = "Leading audio file converter for the GNOME Desktop";
+ mainProgram = "soundconverter";
longDescription = ''
SoundConverter reads anything the GStreamer library can read,
and writes WAV, FLAC, MP3, AAC and Ogg Vorbis files.
diff --git a/pkgs/applications/audio/soundkonverter/default.nix b/pkgs/applications/audio/soundkonverter/default.nix
index b044db5fab82..0aebd46210da 100644
--- a/pkgs/applications/audio/soundkonverter/default.nix
+++ b/pkgs/applications/audio/soundkonverter/default.nix
@@ -78,6 +78,7 @@ mkDerivation rec {
license = lib.licenses.gpl2;
maintainers = [ lib.maintainers.schmittlauch ];
description = "Audio file converter, CD ripper and Replay Gain tool";
+ mainProgram = "soundkonverter";
longDescription = ''
soundKonverter is a frontend to various audio converters.
diff --git a/pkgs/applications/audio/soundtracker/default.nix b/pkgs/applications/audio/soundtracker/default.nix
index f15ab26b8e09..eb51b2cd6e4b 100644
--- a/pkgs/applications/audio/soundtracker/default.nix
+++ b/pkgs/applications/audio/soundtracker/default.nix
@@ -12,7 +12,7 @@
, libsndfile
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "soundtracker";
version = "1.0.4";
@@ -21,7 +21,7 @@ stdenv.mkDerivation rec {
# Only the latest release is at the top level.
# Nonetheless, only the name of the file seems to affect which file is
# downloaded, so this path should be fine both for old and current releases.
- url = "mirror://sourceforge/soundtracker/soundtracker-${version}.tar.xz";
+ url = "mirror://sourceforge/soundtracker/soundtracker-${finalAttrs.version}.tar.xz";
hash = "sha256-kNt0BSRaEQY+oa1xbuZ1l6nCqXhcktVugxzcC3ZDaX0=";
};
@@ -76,4 +76,4 @@ stdenv.mkDerivation rec {
maintainers = with maintainers; [ fgaz ];
platforms = platforms.all;
};
-}
+})
diff --git a/pkgs/applications/audio/spectrojack/default.nix b/pkgs/applications/audio/spectrojack/default.nix
index 12bb972398e2..54cb7101e6e8 100644
--- a/pkgs/applications/audio/spectrojack/default.nix
+++ b/pkgs/applications/audio/spectrojack/default.nix
@@ -27,5 +27,6 @@ stdenv.mkDerivation rec {
license = lib.licenses.publicDomain;
maintainers = with lib.maintainers; [ sleexyz ];
platforms = with lib.platforms; linux;
+ mainProgram = "spectrojack";
};
}
diff --git a/pkgs/applications/audio/spek/default.nix b/pkgs/applications/audio/spek/default.nix
index f54e661b4c74..bd206709c26e 100644
--- a/pkgs/applications/audio/spek/default.nix
+++ b/pkgs/applications/audio/spek/default.nix
@@ -17,6 +17,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Analyse your audio files by showing their spectrogram";
+ mainProgram = "spek";
homepage = "http://spek.cc/";
license = licenses.gpl3;
maintainers = with maintainers; [ bjornfor ];
diff --git a/pkgs/applications/audio/spot/default.nix b/pkgs/applications/audio/spot/default.nix
index d9a0babb6840..7cf683beb918 100644
--- a/pkgs/applications/audio/spot/default.nix
+++ b/pkgs/applications/audio/spot/default.nix
@@ -79,6 +79,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Native Spotify client for the GNOME desktop";
+ mainProgram = "spot";
homepage = "https://github.com/xou816/spot";
license = licenses.mit;
maintainers = with maintainers; [ ];
diff --git a/pkgs/applications/audio/spotify-cli-linux/default.nix b/pkgs/applications/audio/spotify-cli-linux/default.nix
index 82aa5c366ab6..73b1ea913206 100644
--- a/pkgs/applications/audio/spotify-cli-linux/default.nix
+++ b/pkgs/applications/audio/spotify-cli-linux/default.nix
@@ -23,6 +23,7 @@ python3Packages.buildPythonApplication rec {
homepage = "https://pwittchen.github.io/spotify-cli-linux/";
maintainers = [ maintainers.kmein ];
description = "A command line interface to Spotify on Linux.";
+ mainProgram = "spotifycli";
license = licenses.gpl3;
platforms = platforms.linux;
};
diff --git a/pkgs/applications/audio/spotify-player/default.nix b/pkgs/applications/audio/spotify-player/default.nix
index c2b844da72da..f3c675564512 100644
--- a/pkgs/applications/audio/spotify-player/default.nix
+++ b/pkgs/applications/audio/spotify-player/default.nix
@@ -33,16 +33,16 @@ assert lib.assertOneOf "withAudioBackend" withAudioBackend [ "" "alsa" "pulseaud
rustPlatform.buildRustPackage rec {
pname = "spotify-player";
- version = "0.16.3";
+ version = "0.17.1";
src = fetchFromGitHub {
owner = "aome510";
repo = pname;
rev = "refs/tags/v${version}";
- hash = "sha256-8naLLHAVGB8ow88XjU3BpnNzY3SFC2F5uYin67hMc0E=";
+ hash = "sha256-1aq+J1dP+hGJHq3boxZLUFfLLSFDY2uN6BwYXqHjCtk=";
};
- cargoHash = "sha256-NcNEZoERGOcMedLGJE7q9V9plx/7JSnbguZPFD1f4Qg=";
+ cargoHash = "sha256-nULTz1H52L1kiTtViYfvxL+jrJ0uDk68oe8t5Hd7aCU=";
nativeBuildInputs = [
pkg-config
diff --git a/pkgs/applications/audio/spotify-qt/default.nix b/pkgs/applications/audio/spotify-qt/default.nix
index d302643eb47d..4f6460bd3a2d 100644
--- a/pkgs/applications/audio/spotify-qt/default.nix
+++ b/pkgs/applications/audio/spotify-qt/default.nix
@@ -28,6 +28,7 @@ mkDerivation rec {
meta = with lib; {
description = "Lightweight unofficial Spotify client using Qt";
+ mainProgram = "spotify-qt";
homepage = "https://github.com/kraxarn/spotify-qt";
license = licenses.gpl3Only;
maintainers = with maintainers; [ ];
diff --git a/pkgs/applications/audio/spotify-tui/0001-Add-Collection-SearchType.patch b/pkgs/applications/audio/spotify-tui/0001-Add-Collection-SearchType.patch
deleted file mode 100644
index b9daa79a9719..000000000000
--- a/pkgs/applications/audio/spotify-tui/0001-Add-Collection-SearchType.patch
+++ /dev/null
@@ -1,41 +0,0 @@
-From 408e6a5170bbe9f854bf46e1cbae21265cf25294 Mon Sep 17 00:00:00 2001
-From: Florian Bruhin
-Date: Mon, 25 Apr 2022 18:39:07 +0200
-Subject: [PATCH] Add Collection SearchType
-
-Backport of https://github.com/ramsayleung/rspotify/pull/306
----
- src/senum.rs | 3 +++
- 1 file changed, 3 insertions(+)
-
-diff --git a/src/senum.rs b/src/senum.rs
-index c94c31c..79d8730 100644
---- a/src/senum.rs
-+++ b/src/senum.rs
-@@ -87,6 +87,7 @@ pub enum Type {
- User,
- Show,
- Episode,
-+ Collection,
- }
- impl Type {
- pub fn as_str(&self) -> &str {
-@@ -98,6 +99,7 @@ pub fn as_str(&self) -> &str {
- Type::User => "user",
- Type::Show => "show",
- Type::Episode => "episode",
-+ Type::Collection => "collection",
- }
- }
- }
-@@ -112,6 +114,7 @@ fn from_str(s: &str) -> Result {
- "user" => Ok(Type::User),
- "show" => Ok(Type::Show),
- "episode" => Ok(Type::Episode),
-+ "collection" => Ok(Type::Collection),
- _ => Err(Error::new(ErrorKind::NoEnum(s.to_owned()))),
- }
- }
---
-2.35.3
-
diff --git a/pkgs/applications/audio/spotify-tui/Cargo.lock b/pkgs/applications/audio/spotify-tui/Cargo.lock
deleted file mode 100644
index 22ab43406c48..000000000000
--- a/pkgs/applications/audio/spotify-tui/Cargo.lock
+++ /dev/null
@@ -1,2704 +0,0 @@
-# This file is automatically @generated by Cargo.
-# It is not intended for manual editing.
-version = 3
-
-[[package]]
-name = "addr2line"
-version = "0.19.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a76fd60b23679b7d19bd066031410fb7e458ccc5e958eb5c325888ce4baedc97"
-dependencies = [
- "gimli",
-]
-
-[[package]]
-name = "adler"
-version = "1.0.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f26201604c87b1e01bd3d98f8d5d9a8fcbb815e8cedb41ffccbeb4bf593a35fe"
-
-[[package]]
-name = "adler32"
-version = "1.2.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "aae1277d39aeec15cb388266ecc24b11c80469deae6067e17a1a7aa9e5c1f234"
-
-[[package]]
-name = "aho-corasick"
-version = "1.0.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "67fc08ce920c31afb70f013dcce1bfc3a3195de6a228474e45e1f145b36f8d04"
-dependencies = [
- "memchr",
-]
-
-[[package]]
-name = "android_system_properties"
-version = "0.1.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "819e7219dbd41043ac279b19830f2efc897156490d7fd6ea916720117ee66311"
-dependencies = [
- "libc",
-]
-
-[[package]]
-name = "ansi_term"
-version = "0.12.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d52a9bb7ec0cf484c551830a7ce27bd20d67eac647e1befb56b0be4ee39a55d2"
-dependencies = [
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "anyhow"
-version = "1.0.71"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9c7d0618f0e0b7e8ff11427422b64564d5fb0be1940354bfe2e0529b18a9d9b8"
-
-[[package]]
-name = "arboard"
-version = "1.2.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "47044a1809e2953fe6d084312b81dcb7d9ffc24fee45aa39e5b938f66f75b8a8"
-dependencies = [
- "clipboard-win",
- "core-graphics",
- "image",
- "log",
- "objc",
- "objc-foundation",
- "objc_id",
- "once_cell",
- "parking_lot",
- "scopeguard",
- "thiserror",
- "winapi 0.3.9",
- "x11rb",
-]
-
-[[package]]
-name = "atty"
-version = "0.2.14"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d9b39be18770d11421cdb1b9947a45dd3f37e93092cbf377614828a319d5fee8"
-dependencies = [
- "hermit-abi 0.1.19",
- "libc",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "autocfg"
-version = "0.1.8"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0dde43e75fd43e8a1bf86103336bc699aa8d17ad1be60c76c0bdfd4828e19b78"
-dependencies = [
- "autocfg 1.1.0",
-]
-
-[[package]]
-name = "autocfg"
-version = "1.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d468802bab17cbc0cc575e9b053f41e72aa36bfa6b7f55e3529ffa43161b97fa"
-
-[[package]]
-name = "backtrace"
-version = "0.3.67"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "233d376d6d185f2a3093e58f283f60f880315b6c60075b01f36b3b85154564ca"
-dependencies = [
- "addr2line",
- "cc",
- "cfg-if 1.0.0",
- "libc",
- "miniz_oxide 0.6.2",
- "object",
- "rustc-demangle",
-]
-
-[[package]]
-name = "base64"
-version = "0.10.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0b25d992356d2eb0ed82172f5248873db5560c4721f564b13cb5193bda5e668e"
-dependencies = [
- "byteorder",
-]
-
-[[package]]
-name = "base64"
-version = "0.13.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9e1b586273c5702936fe7b7d6896644d8be71e6314cfe09d3167c95f712589e8"
-
-[[package]]
-name = "bitflags"
-version = "1.2.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cf1de2fe8c75bc145a2f577add951f8134889b4795d47466a54a5c846d691693"
-
-[[package]]
-name = "block"
-version = "0.1.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0d8c1fef690941d3e7788d328517591fecc684c084084702d6ff1641e993699a"
-
-[[package]]
-name = "bumpalo"
-version = "3.12.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3c6ed94e98ecff0c12dd1b04c15ec0d7d9458ca8fe806cea6f12954efe74c63b"
-
-[[package]]
-name = "bytemuck"
-version = "1.13.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "17febce684fd15d89027105661fec94afb475cb995fbc59d2865198446ba2eea"
-
-[[package]]
-name = "byteorder"
-version = "1.4.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "14c189c53d098945499cdfa7ecc63567cf3886b3332b312a5b4585d8d3a6a610"
-
-[[package]]
-name = "bytes"
-version = "0.4.12"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "206fdffcfa2df7cbe15601ef46c813fce0965eb3286db6b56c583b814b51c81c"
-dependencies = [
- "byteorder",
- "iovec",
-]
-
-[[package]]
-name = "bytes"
-version = "0.5.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0e4cec68f03f32e44924783795810fa50a7035d8c8ebe78580ad7e6c703fba38"
-
-[[package]]
-name = "bytes"
-version = "1.4.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "89b2fd2a0dcf38d7971e2194b6b6eebab45ae01067456a7fd93d5547a61b70be"
-
-[[package]]
-name = "cassowary"
-version = "0.3.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "df8670b8c7b9dae1793364eafadf7239c40d669904660c5960d74cfd80b46a53"
-
-[[package]]
-name = "cc"
-version = "1.0.79"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "50d30906286121d95be3d479533b458f87493b30a4b5f79a607db8f5d11aa91f"
-
-[[package]]
-name = "cfg-if"
-version = "0.1.10"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4785bdd1c96b2a846b2bd7cc02e86b6b3dbf14e7e53446c4f54c92a361040822"
-
-[[package]]
-name = "cfg-if"
-version = "1.0.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd"
-
-[[package]]
-name = "chrono"
-version = "0.4.24"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4e3c5919066adf22df73762e50cffcde3a758f2a848b113b586d1f86728b673b"
-dependencies = [
- "iana-time-zone",
- "js-sys",
- "num-integer",
- "num-traits",
- "rustc-serialize",
- "serde",
- "time",
- "wasm-bindgen",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "clap"
-version = "2.34.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a0610544180c38b88101fecf2dd634b174a62eef6946f84dfc6a7127512b381c"
-dependencies = [
- "ansi_term",
- "atty",
- "bitflags",
- "strsim 0.8.0",
- "textwrap",
- "unicode-width",
- "vec_map",
-]
-
-[[package]]
-name = "clipboard-win"
-version = "4.5.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7191c27c2357d9b7ef96baac1773290d4ca63b24205b82a3fd8a0637afcf0362"
-dependencies = [
- "error-code",
- "str-buf",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "cloudabi"
-version = "0.0.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ddfc5b9aa5d4507acaf872de71051dfd0e309860e88966e1051e462a077aac4f"
-dependencies = [
- "bitflags",
-]
-
-[[package]]
-name = "color_quant"
-version = "1.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3d7b894f5411737b7867f4827955924d7c254fc9f4d91a6aad6b097804b1018b"
-
-[[package]]
-name = "core-foundation"
-version = "0.9.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "194a7a9e6de53fa55116934067c844d9d749312f75c6f6d0980e8c252f8c2146"
-dependencies = [
- "core-foundation-sys",
- "libc",
-]
-
-[[package]]
-name = "core-foundation-sys"
-version = "0.8.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e496a50fda8aacccc86d7529e2c1e0892dbd0f898a6b5645b5561b89c3210efa"
-
-[[package]]
-name = "core-graphics"
-version = "0.21.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "52a67c4378cf203eace8fb6567847eb641fd6ff933c1145a115c6ee820ebb978"
-dependencies = [
- "bitflags",
- "core-foundation",
- "foreign-types",
- "libc",
-]
-
-[[package]]
-name = "crc32fast"
-version = "1.3.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b540bd8bc810d3885c6ea91e2018302f68baba2129ab3e88f32389ee9370880d"
-dependencies = [
- "cfg-if 1.0.0",
-]
-
-[[package]]
-name = "crossterm"
-version = "0.20.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c0ebde6a9dd5e331cd6c6f48253254d117642c31653baa475e394657c59c1f7d"
-dependencies = [
- "bitflags",
- "crossterm_winapi",
- "libc",
- "mio 0.7.14",
- "parking_lot",
- "signal-hook",
- "signal-hook-mio",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "crossterm_winapi"
-version = "0.8.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3a6966607622438301997d3dac0d2f6e9a90c68bb6bc1785ea98456ab93c0507"
-dependencies = [
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "darling"
-version = "0.9.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fcfbcb0c5961907597a7d1148e3af036268f2b773886b8bb3eeb1e1281d3d3d6"
-dependencies = [
- "darling_core",
- "darling_macro",
-]
-
-[[package]]
-name = "darling_core"
-version = "0.9.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6afc018370c3bff3eb51f89256a6bdb18b4fdcda72d577982a14954a7a0b402c"
-dependencies = [
- "fnv",
- "ident_case",
- "proc-macro2 0.4.30",
- "quote 0.6.13",
- "strsim 0.7.0",
- "syn 0.15.44",
-]
-
-[[package]]
-name = "darling_macro"
-version = "0.9.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c6d8dac1c6f1d29a41c4712b4400f878cb4fcc4c7628f298dd75038e024998d1"
-dependencies = [
- "darling_core",
- "quote 0.6.13",
- "syn 0.15.44",
-]
-
-[[package]]
-name = "deflate"
-version = "0.8.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "73770f8e1fe7d64df17ca66ad28994a0a623ea497fa69486e14984e715c5d174"
-dependencies = [
- "adler32",
- "byteorder",
-]
-
-[[package]]
-name = "derive_builder"
-version = "0.7.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3ac53fa6a3cda160df823a9346442525dcaf1e171999a1cf23e67067e4fd64d4"
-dependencies = [
- "darling",
- "derive_builder_core",
- "proc-macro2 0.4.30",
- "quote 0.6.13",
- "syn 0.15.44",
-]
-
-[[package]]
-name = "derive_builder_core"
-version = "0.5.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0288a23da9333c246bb18c143426074a6ae96747995c5819d2947b64cd942b37"
-dependencies = [
- "darling",
- "proc-macro2 0.4.30",
- "quote 0.6.13",
- "syn 0.15.44",
-]
-
-[[package]]
-name = "dirs"
-version = "3.0.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "30baa043103c9d0c2a57cf537cc2f35623889dc0d405e6c3cccfadbc81c71309"
-dependencies = [
- "dirs-sys",
-]
-
-[[package]]
-name = "dirs-sys"
-version = "0.3.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1b1d1d91c932ef41c0f2663aa8b0ca0342d444d842c06914aa0a7e352d0bada6"
-dependencies = [
- "libc",
- "redox_users",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "dotenv"
-version = "0.13.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c0d0a1279c96732bc6800ce6337b6a614697b0e74ae058dc03c62ebeb78b4d86"
-dependencies = [
- "failure",
- "lazy_static",
- "regex",
-]
-
-[[package]]
-name = "either"
-version = "1.8.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7fcaabb2fef8c910e7f4c7ce9f67a1283a1715879a7c230ca9d6d1ae31f16d91"
-
-[[package]]
-name = "encoding_rs"
-version = "0.8.32"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "071a31f4ee85403370b58aca746f01041ede6f0da2730960ad001edc2b71b394"
-dependencies = [
- "cfg-if 1.0.0",
-]
-
-[[package]]
-name = "env_logger"
-version = "0.6.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "aafcde04e90a5226a6443b7aabdb016ba2f8307c847d524724bd9b346dd1a2d3"
-dependencies = [
- "atty",
- "humantime",
- "log",
- "regex",
- "termcolor",
-]
-
-[[package]]
-name = "errno"
-version = "0.3.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4bcfec3a70f97c962c307b2d2c56e358cf1d00b558d74262b5f929ee8cc7e73a"
-dependencies = [
- "errno-dragonfly",
- "libc",
- "windows-sys 0.48.0",
-]
-
-[[package]]
-name = "errno-dragonfly"
-version = "0.1.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "aa68f1b12764fab894d2755d2518754e71b4fd80ecfb822714a1206c2aab39bf"
-dependencies = [
- "cc",
- "libc",
-]
-
-[[package]]
-name = "error-code"
-version = "2.3.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "64f18991e7bf11e7ffee451b5318b5c1a73c52d0d0ada6e5a3017c8c1ced6a21"
-dependencies = [
- "libc",
- "str-buf",
-]
-
-[[package]]
-name = "failure"
-version = "0.1.8"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d32e9bd16cc02eae7db7ef620b392808b89f6a5e16bb3497d159c6b92a0f4f86"
-dependencies = [
- "backtrace",
- "failure_derive",
-]
-
-[[package]]
-name = "failure_derive"
-version = "0.1.8"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "aa4da3c766cd7a0db8242e326e9e4e081edd567072893ed320008189715366a4"
-dependencies = [
- "proc-macro2 1.0.56",
- "quote 1.0.27",
- "syn 1.0.109",
- "synstructure",
-]
-
-[[package]]
-name = "fastrand"
-version = "1.9.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e51093e27b0797c359783294ca4f0a911c270184cb10f85783b118614a1501be"
-dependencies = [
- "instant",
-]
-
-[[package]]
-name = "fnv"
-version = "1.0.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3f9eec918d3f24069decb9af1554cad7c880e2da24a9afd88aca000531ab82c1"
-
-[[package]]
-name = "foreign-types"
-version = "0.3.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f6f339eb8adc052cd2ca78910fda869aefa38d22d5cb648e6485e4d3fc06f3b1"
-dependencies = [
- "foreign-types-shared",
-]
-
-[[package]]
-name = "foreign-types-shared"
-version = "0.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "00b0228411908ca8685dba7fc2cdd70ec9990a6e753e89b6ac91a84c40fbaf4b"
-
-[[package]]
-name = "form_urlencoded"
-version = "1.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a9c384f161156f5260c24a097c56119f9be8c798586aecc13afbcbe7b7e26bf8"
-dependencies = [
- "percent-encoding 2.2.0",
-]
-
-[[package]]
-name = "fuchsia-cprng"
-version = "0.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a06f77d526c1a601b7c4cdd98f54b5eaabffc14d5f2f0296febdc7f357c6d3ba"
-
-[[package]]
-name = "fuchsia-zircon"
-version = "0.3.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2e9763c69ebaae630ba35f74888db465e49e259ba1bc0eda7d06f4a067615d82"
-dependencies = [
- "bitflags",
- "fuchsia-zircon-sys",
-]
-
-[[package]]
-name = "fuchsia-zircon-sys"
-version = "0.3.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3dcaa9ae7725d12cdb85b3ad99a434db70b468c09ded17e012d86b5c1010f7a7"
-
-[[package]]
-name = "futures"
-version = "0.3.28"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "23342abe12aba583913b2e62f22225ff9c950774065e4bfb61a19cd9770fec40"
-dependencies = [
- "futures-channel",
- "futures-core",
- "futures-executor",
- "futures-io",
- "futures-sink",
- "futures-task",
- "futures-util",
-]
-
-[[package]]
-name = "futures-channel"
-version = "0.3.28"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "955518d47e09b25bbebc7a18df10b81f0c766eaf4c4f1cccef2fca5f2a4fb5f2"
-dependencies = [
- "futures-core",
- "futures-sink",
-]
-
-[[package]]
-name = "futures-core"
-version = "0.3.28"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4bca583b7e26f571124fe5b7561d49cb2868d79116cfa0eefce955557c6fee8c"
-
-[[package]]
-name = "futures-executor"
-version = "0.3.28"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ccecee823288125bd88b4d7f565c9e58e41858e47ab72e8ea2d64e93624386e0"
-dependencies = [
- "futures-core",
- "futures-task",
- "futures-util",
-]
-
-[[package]]
-name = "futures-io"
-version = "0.3.28"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4fff74096e71ed47f8e023204cfd0aa1289cd54ae5430a9523be060cdb849964"
-
-[[package]]
-name = "futures-macro"
-version = "0.3.28"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "89ca545a94061b6365f2c7355b4b32bd20df3ff95f02da9329b34ccc3bd6ee72"
-dependencies = [
- "proc-macro2 1.0.56",
- "quote 1.0.27",
- "syn 2.0.15",
-]
-
-[[package]]
-name = "futures-sink"
-version = "0.3.28"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f43be4fe21a13b9781a69afa4985b0f6ee0e1afab2c6f454a8cf30e2b2237b6e"
-
-[[package]]
-name = "futures-task"
-version = "0.3.28"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "76d3d132be6c0e6aa1534069c705a74a5997a356c0dc2f86a47765e5617c5b65"
-
-[[package]]
-name = "futures-util"
-version = "0.3.28"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "26b01e40b772d54cf6c6d721c1d1abd0647a0106a12ecaa1c186273392a69533"
-dependencies = [
- "futures-channel",
- "futures-core",
- "futures-io",
- "futures-macro",
- "futures-sink",
- "futures-task",
- "memchr",
- "pin-project-lite 0.2.9",
- "pin-utils",
- "slab",
-]
-
-[[package]]
-name = "gethostname"
-version = "0.2.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c1ebd34e35c46e00bb73e81363248d627782724609fe1b6396f553f68fe3862e"
-dependencies = [
- "libc",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "getrandom"
-version = "0.2.9"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c85e1d9ab2eadba7e5040d4e09cbd6d072b76a557ad64e797c2cb9d4da21d7e4"
-dependencies = [
- "cfg-if 1.0.0",
- "libc",
- "wasi 0.11.0+wasi-snapshot-preview1",
-]
-
-[[package]]
-name = "gimli"
-version = "0.27.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ad0a93d233ebf96623465aad4046a8d3aa4da22d4f4beba5388838c8a434bbb4"
-
-[[package]]
-name = "h2"
-version = "0.2.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5e4728fd124914ad25e99e3d15a9361a879f6620f63cb56bbb08f95abb97a535"
-dependencies = [
- "bytes 0.5.6",
- "fnv",
- "futures-core",
- "futures-sink",
- "futures-util",
- "http",
- "indexmap",
- "slab",
- "tokio",
- "tokio-util",
- "tracing",
- "tracing-futures",
-]
-
-[[package]]
-name = "hashbrown"
-version = "0.12.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8a9ee70c43aaf417c914396645a0fa852624801b24ebb7ae78fe8272889ac888"
-
-[[package]]
-name = "hermit-abi"
-version = "0.1.19"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "62b467343b94ba476dcb2500d242dadbb39557df889310ac77c5d99100aaac33"
-dependencies = [
- "libc",
-]
-
-[[package]]
-name = "hermit-abi"
-version = "0.2.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ee512640fe35acbfb4bb779db6f0d80704c2cacfa2e39b601ef3e3f47d1ae4c7"
-dependencies = [
- "libc",
-]
-
-[[package]]
-name = "hermit-abi"
-version = "0.3.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fed44880c466736ef9a5c5b5facefb5ed0785676d0c02d612db14e54f0d84286"
-
-[[package]]
-name = "http"
-version = "0.2.9"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bd6effc99afb63425aff9b05836f029929e345a6148a14b7ecd5ab67af944482"
-dependencies = [
- "bytes 1.4.0",
- "fnv",
- "itoa 1.0.6",
-]
-
-[[package]]
-name = "http-body"
-version = "0.3.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "13d5ff830006f7646652e057693569bfe0d51760c0085a071769d142a205111b"
-dependencies = [
- "bytes 0.5.6",
- "http",
-]
-
-[[package]]
-name = "httparse"
-version = "1.8.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d897f394bad6a705d5f4104762e116a75639e470d80901eed05a860a95cb1904"
-
-[[package]]
-name = "httpdate"
-version = "0.3.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "494b4d60369511e7dea41cf646832512a94e542f68bb9c49e54518e0f468eb47"
-
-[[package]]
-name = "humantime"
-version = "1.3.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "df004cfca50ef23c36850aaaa59ad52cc70d0e90243c3c7737a4dd32dc7a3c4f"
-dependencies = [
- "quick-error",
-]
-
-[[package]]
-name = "hyper"
-version = "0.13.10"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8a6f157065790a3ed2f88679250419b5cdd96e714a0d65f7797fd337186e96bb"
-dependencies = [
- "bytes 0.5.6",
- "futures-channel",
- "futures-core",
- "futures-util",
- "h2",
- "http",
- "http-body",
- "httparse",
- "httpdate",
- "itoa 0.4.8",
- "pin-project",
- "socket2",
- "tokio",
- "tower-service",
- "tracing",
- "want",
-]
-
-[[package]]
-name = "hyper-tls"
-version = "0.4.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d979acc56dcb5b8dddba3917601745e877576475aa046df3226eabdecef78eed"
-dependencies = [
- "bytes 0.5.6",
- "hyper",
- "native-tls",
- "tokio",
- "tokio-tls",
-]
-
-[[package]]
-name = "iana-time-zone"
-version = "0.1.56"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0722cd7114b7de04316e7ea5456a0bbb20e4adb46fd27a3697adb812cff0f37c"
-dependencies = [
- "android_system_properties",
- "core-foundation-sys",
- "iana-time-zone-haiku",
- "js-sys",
- "wasm-bindgen",
- "windows",
-]
-
-[[package]]
-name = "iana-time-zone-haiku"
-version = "0.1.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f31827a206f56af32e590ba56d5d2d085f558508192593743f16b2306495269f"
-dependencies = [
- "cc",
-]
-
-[[package]]
-name = "ident_case"
-version = "1.0.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b9e0384b61958566e926dc50660321d12159025e767c18e043daf26b70104c39"
-
-[[package]]
-name = "idna"
-version = "0.1.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "38f09e0f0b1fb55fdee1f17470ad800da77af5186a1a76c026b679358b7e844e"
-dependencies = [
- "matches",
- "unicode-bidi",
- "unicode-normalization",
-]
-
-[[package]]
-name = "idna"
-version = "0.3.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e14ddfc70884202db2244c223200c204c2bda1bc6e0998d11b5e024d657209e6"
-dependencies = [
- "unicode-bidi",
- "unicode-normalization",
-]
-
-[[package]]
-name = "image"
-version = "0.23.14"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "24ffcb7e7244a9bf19d35bf2883b9c080c4ced3c07a9895572178cdb8f13f6a1"
-dependencies = [
- "bytemuck",
- "byteorder",
- "color_quant",
- "num-iter",
- "num-rational",
- "num-traits",
- "png",
- "tiff",
-]
-
-[[package]]
-name = "indexmap"
-version = "1.9.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bd070e393353796e801d209ad339e89596eb4c8d430d18ede6a1cced8fafbd99"
-dependencies = [
- "autocfg 1.1.0",
- "hashbrown",
-]
-
-[[package]]
-name = "instant"
-version = "0.1.12"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7a5bbe824c507c5da5956355e86a746d82e0e1464f65d862cc5e71da70e94b2c"
-dependencies = [
- "cfg-if 1.0.0",
-]
-
-[[package]]
-name = "io-lifetimes"
-version = "1.0.10"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9c66c74d2ae7e79a5a8f7ac924adbe38ee42a859c6539ad869eb51f0b52dc220"
-dependencies = [
- "hermit-abi 0.3.1",
- "libc",
- "windows-sys 0.48.0",
-]
-
-[[package]]
-name = "iovec"
-version = "0.1.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b2b3ea6ff95e175473f8ffe6a7eb7c00d054240321b84c57051175fe3c1e075e"
-dependencies = [
- "libc",
-]
-
-[[package]]
-name = "ipnet"
-version = "2.7.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "12b6ee2129af8d4fb011108c73d99a1b83a85977f23b82460c0ae2e25bb4b57f"
-
-[[package]]
-name = "itertools"
-version = "0.8.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f56a2d0bc861f9165be4eb3442afd3c236d8a98afd426f65d92324ae1091a484"
-dependencies = [
- "either",
-]
-
-[[package]]
-name = "itoa"
-version = "0.4.8"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b71991ff56294aa922b450139ee08b3bfc70982c6b2c7562771375cf73542dd4"
-
-[[package]]
-name = "itoa"
-version = "1.0.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "453ad9f582a441959e5f0d088b02ce04cfe8d51a8eaf077f12ac6d3e94164ca6"
-
-[[package]]
-name = "jpeg-decoder"
-version = "0.1.22"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "229d53d58899083193af11e15917b5640cd40b29ff475a1fe4ef725deb02d0f2"
-
-[[package]]
-name = "js-sys"
-version = "0.3.62"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "68c16e1bfd491478ab155fd8b4896b86f9ede344949b641e61501e07c2b8b4d5"
-dependencies = [
- "wasm-bindgen",
-]
-
-[[package]]
-name = "kernel32-sys"
-version = "0.2.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7507624b29483431c0ba2d82aece8ca6cdba9382bff4ddd0f7490560c056098d"
-dependencies = [
- "winapi 0.2.8",
- "winapi-build",
-]
-
-[[package]]
-name = "lazy_static"
-version = "1.4.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e2abad23fbc42b3700f2f279844dc832adb2b2eb069b2df918f455c4e18cc646"
-
-[[package]]
-name = "libc"
-version = "0.2.144"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2b00cc1c228a6782d0f076e7b232802e0c5689d41bb5df366f2a6b6621cfdfe1"
-
-[[package]]
-name = "linked-hash-map"
-version = "0.5.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0717cef1bc8b636c6e1c1bbdefc09e6322da8a9321966e8928ef80d20f7f770f"
-
-[[package]]
-name = "linux-raw-sys"
-version = "0.3.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ece97ea872ece730aed82664c424eb4c8291e1ff2480247ccf7409044bc6479f"
-
-[[package]]
-name = "lock_api"
-version = "0.4.9"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "435011366fe56583b16cf956f9df0095b405b82d76425bc8981c0e22e60ec4df"
-dependencies = [
- "autocfg 1.1.0",
- "scopeguard",
-]
-
-[[package]]
-name = "log"
-version = "0.4.17"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "abb12e687cfb44aa40f41fc3978ef76448f9b6038cad6aef4259d3c095a2382e"
-dependencies = [
- "cfg-if 1.0.0",
-]
-
-[[package]]
-name = "malloc_buf"
-version = "0.0.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "62bb907fe88d54d8d9ce32a3cceab4218ed2f6b7d35617cafe9adf84e43919cb"
-dependencies = [
- "libc",
-]
-
-[[package]]
-name = "matches"
-version = "0.1.10"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2532096657941c2fea9c289d370a250971c689d4f143798ff67113ec042024a5"
-
-[[package]]
-name = "memchr"
-version = "2.5.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2dffe52ecf27772e601905b7522cb4ef790d2cc203488bbd0e2fe85fcb74566d"
-
-[[package]]
-name = "memoffset"
-version = "0.6.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5aa361d4faea93603064a027415f07bd8e1d5c88c9fbf68bf56a285428fd79ce"
-dependencies = [
- "autocfg 1.1.0",
-]
-
-[[package]]
-name = "mime"
-version = "0.3.17"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6877bb514081ee2a7ff5ef9de3281f14a4dd4bceac4c09388074a6b5df8a139a"
-
-[[package]]
-name = "mime_guess"
-version = "2.0.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4192263c238a5f0d0c6bfd21f336a313a4ce1c450542449ca191bb657b4642ef"
-dependencies = [
- "mime",
- "unicase",
-]
-
-[[package]]
-name = "miniz_oxide"
-version = "0.3.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "791daaae1ed6889560f8c4359194f56648355540573244a5448a83ba1ecc7435"
-dependencies = [
- "adler32",
-]
-
-[[package]]
-name = "miniz_oxide"
-version = "0.4.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a92518e98c078586bc6c934028adcca4c92a53d6a958196de835170a01d84e4b"
-dependencies = [
- "adler",
- "autocfg 1.1.0",
-]
-
-[[package]]
-name = "miniz_oxide"
-version = "0.6.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b275950c28b37e794e8c55d88aeb5e139d0ce23fdbbeda68f8d7174abdf9e8fa"
-dependencies = [
- "adler",
-]
-
-[[package]]
-name = "mio"
-version = "0.6.23"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4afd66f5b91bf2a3bc13fad0e21caedac168ca4c707504e75585648ae80e4cc4"
-dependencies = [
- "cfg-if 0.1.10",
- "fuchsia-zircon",
- "fuchsia-zircon-sys",
- "iovec",
- "kernel32-sys",
- "libc",
- "log",
- "miow 0.2.2",
- "net2",
- "slab",
- "winapi 0.2.8",
-]
-
-[[package]]
-name = "mio"
-version = "0.7.14"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8067b404fe97c70829f082dec8bcf4f71225d7eaea1d8645349cb76fa06205cc"
-dependencies = [
- "libc",
- "log",
- "miow 0.3.7",
- "ntapi",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "mio-named-pipes"
-version = "0.1.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0840c1c50fd55e521b247f949c241c9997709f23bd7f023b9762cd561e935656"
-dependencies = [
- "log",
- "mio 0.6.23",
- "miow 0.3.7",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "mio-uds"
-version = "0.6.8"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "afcb699eb26d4332647cc848492bbc15eafb26f08d0304550d5aa1f612e066f0"
-dependencies = [
- "iovec",
- "libc",
- "mio 0.6.23",
-]
-
-[[package]]
-name = "miow"
-version = "0.2.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ebd808424166322d4a38da87083bfddd3ac4c131334ed55856112eb06d46944d"
-dependencies = [
- "kernel32-sys",
- "net2",
- "winapi 0.2.8",
- "ws2_32-sys",
-]
-
-[[package]]
-name = "miow"
-version = "0.3.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b9f1c5b025cda876f66ef43a113f91ebc9f4ccef34843000e0adf6ebbab84e21"
-dependencies = [
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "native-tls"
-version = "0.2.11"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "07226173c32f2926027b63cce4bcd8076c3552846cbe7925f3aaffeac0a3b92e"
-dependencies = [
- "lazy_static",
- "libc",
- "log",
- "openssl",
- "openssl-probe",
- "openssl-sys",
- "schannel",
- "security-framework",
- "security-framework-sys",
- "tempfile",
-]
-
-[[package]]
-name = "net2"
-version = "0.2.38"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "74d0df99cfcd2530b2e694f6e17e7f37b8e26bb23983ac530c0c97408837c631"
-dependencies = [
- "cfg-if 0.1.10",
- "libc",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "nix"
-version = "0.20.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f5e06129fb611568ef4e868c14b326274959aa70ff7776e9d55323531c374945"
-dependencies = [
- "bitflags",
- "cc",
- "cfg-if 1.0.0",
- "libc",
- "memoffset",
-]
-
-[[package]]
-name = "ntapi"
-version = "0.3.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c28774a7fd2fbb4f0babd8237ce554b73af68021b5f695a3cebd6c59bac0980f"
-dependencies = [
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "num-integer"
-version = "0.1.45"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "225d3389fb3509a24c93f5c29eb6bde2586b98d9f016636dff58d7c6f7569cd9"
-dependencies = [
- "autocfg 1.1.0",
- "num-traits",
-]
-
-[[package]]
-name = "num-iter"
-version = "0.1.43"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7d03e6c028c5dc5cac6e2dec0efda81fc887605bb3d884578bb6d6bf7514e252"
-dependencies = [
- "autocfg 1.1.0",
- "num-integer",
- "num-traits",
-]
-
-[[package]]
-name = "num-rational"
-version = "0.3.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "12ac428b1cb17fce6f731001d307d351ec70a6d202fc2e60f7d4c5e42d8f4f07"
-dependencies = [
- "autocfg 1.1.0",
- "num-integer",
- "num-traits",
-]
-
-[[package]]
-name = "num-traits"
-version = "0.2.15"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "578ede34cf02f8924ab9447f50c28075b4d3e5b269972345e7e0372b38c6cdcd"
-dependencies = [
- "autocfg 1.1.0",
-]
-
-[[package]]
-name = "num_cpus"
-version = "1.15.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0fac9e2da13b5eb447a6ce3d392f23a29d8694bff781bf03a16cd9ac8697593b"
-dependencies = [
- "hermit-abi 0.2.6",
- "libc",
-]
-
-[[package]]
-name = "objc"
-version = "0.2.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "915b1b472bc21c53464d6c8461c9d3af805ba1ef837e1cac254428f4a77177b1"
-dependencies = [
- "malloc_buf",
-]
-
-[[package]]
-name = "objc-foundation"
-version = "0.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1add1b659e36c9607c7aab864a76c7a4c2760cd0cd2e120f3fb8b952c7e22bf9"
-dependencies = [
- "block",
- "objc",
- "objc_id",
-]
-
-[[package]]
-name = "objc_id"
-version = "0.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c92d4ddb4bd7b50d730c215ff871754d0da6b2178849f8a2a2ab69712d0c073b"
-dependencies = [
- "objc",
-]
-
-[[package]]
-name = "object"
-version = "0.30.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ea86265d3d3dcb6a27fc51bd29a4bf387fae9d2986b823079d4986af253eb439"
-dependencies = [
- "memchr",
-]
-
-[[package]]
-name = "once_cell"
-version = "1.17.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b7e5500299e16ebb147ae15a00a942af264cf3688f47923b8fc2cd5858f23ad3"
-
-[[package]]
-name = "openssl"
-version = "0.10.52"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "01b8574602df80f7b85fdfc5392fa884a4e3b3f4f35402c070ab34c3d3f78d56"
-dependencies = [
- "bitflags",
- "cfg-if 1.0.0",
- "foreign-types",
- "libc",
- "once_cell",
- "openssl-macros",
- "openssl-sys",
-]
-
-[[package]]
-name = "openssl-macros"
-version = "0.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a948666b637a0f465e8564c73e89d4dde00d72d4d473cc972f390fc3dcee7d9c"
-dependencies = [
- "proc-macro2 1.0.56",
- "quote 1.0.27",
- "syn 2.0.15",
-]
-
-[[package]]
-name = "openssl-probe"
-version = "0.1.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ff011a302c396a5197692431fc1948019154afc178baf7d8e37367442a4601cf"
-
-[[package]]
-name = "openssl-sys"
-version = "0.9.87"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8e17f59264b2809d77ae94f0e1ebabc434773f370d6ca667bd223ea10e06cc7e"
-dependencies = [
- "cc",
- "libc",
- "pkg-config",
- "vcpkg",
-]
-
-[[package]]
-name = "parking_lot"
-version = "0.11.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7d17b78036a60663b797adeaee46f5c9dfebb86948d1255007a1d6be0271ff99"
-dependencies = [
- "instant",
- "lock_api",
- "parking_lot_core",
-]
-
-[[package]]
-name = "parking_lot_core"
-version = "0.8.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "60a2cfe6f0ad2bfc16aefa463b497d5c7a5ecd44a23efa72aa342d90177356dc"
-dependencies = [
- "cfg-if 1.0.0",
- "instant",
- "libc",
- "redox_syscall 0.2.16",
- "smallvec",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "percent-encoding"
-version = "1.0.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "31010dd2e1ac33d5b46a5b413495239882813e0369f8ed8a5e266f173602f831"
-
-[[package]]
-name = "percent-encoding"
-version = "2.2.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "478c572c3d73181ff3c2539045f6eb99e5491218eae919370993b890cdbdd98e"
-
-[[package]]
-name = "pin-project"
-version = "1.0.12"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ad29a609b6bcd67fee905812e544992d216af9d755757c05ed2d0e15a74c6ecc"
-dependencies = [
- "pin-project-internal",
-]
-
-[[package]]
-name = "pin-project-internal"
-version = "1.0.12"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "069bdb1e05adc7a8990dce9cc75370895fbe4e3d58b9b73bf1aee56359344a55"
-dependencies = [
- "proc-macro2 1.0.56",
- "quote 1.0.27",
- "syn 1.0.109",
-]
-
-[[package]]
-name = "pin-project-lite"
-version = "0.1.12"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "257b64915a082f7811703966789728173279bdebb956b143dbcd23f6f970a777"
-
-[[package]]
-name = "pin-project-lite"
-version = "0.2.9"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e0a7ae3ac2f1173085d398531c705756c94a4c56843785df85a60c1a0afac116"
-
-[[package]]
-name = "pin-utils"
-version = "0.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8b870d8c151b6f2fb93e84a13146138f05d02ed11c7e7c54f8826aaaf7c9f184"
-
-[[package]]
-name = "pkg-config"
-version = "0.3.27"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "26072860ba924cbfa98ea39c8c19b4dd6a4a25423dbdf219c1eca91aa0cf6964"
-
-[[package]]
-name = "png"
-version = "0.16.8"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3c3287920cb847dee3de33d301c463fba14dda99db24214ddf93f83d3021f4c6"
-dependencies = [
- "bitflags",
- "crc32fast",
- "deflate",
- "miniz_oxide 0.3.7",
-]
-
-[[package]]
-name = "ppv-lite86"
-version = "0.2.17"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5b40af805b3121feab8a3c29f04d8ad262fa8e0561883e7653e024ae4479e6de"
-
-[[package]]
-name = "proc-macro2"
-version = "0.4.30"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cf3d2011ab5c909338f7887f4fc896d35932e29146c12c8d01da6b22a80ba759"
-dependencies = [
- "unicode-xid 0.1.0",
-]
-
-[[package]]
-name = "proc-macro2"
-version = "1.0.56"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2b63bdb0cd06f1f4dedf69b254734f9b45af66e4a031e42a7480257d9898b435"
-dependencies = [
- "unicode-ident",
-]
-
-[[package]]
-name = "quick-error"
-version = "1.2.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a1d01941d82fa2ab50be1e79e6714289dd7cde78eba4c074bc5a4374f650dfe0"
-
-[[package]]
-name = "quote"
-version = "0.6.13"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6ce23b6b870e8f94f81fb0a363d65d86675884b34a09043c81e5562f11c1f8e1"
-dependencies = [
- "proc-macro2 0.4.30",
-]
-
-[[package]]
-name = "quote"
-version = "1.0.27"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8f4f29d145265ec1c483c7c654450edde0bfe043d3938d6972630663356d9500"
-dependencies = [
- "proc-macro2 1.0.56",
-]
-
-[[package]]
-name = "rand"
-version = "0.6.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6d71dacdc3c88c1fde3885a3be3fbab9f35724e6ce99467f7d9c5026132184ca"
-dependencies = [
- "autocfg 0.1.8",
- "libc",
- "rand_chacha 0.1.1",
- "rand_core 0.4.2",
- "rand_hc",
- "rand_isaac",
- "rand_jitter",
- "rand_os",
- "rand_pcg",
- "rand_xorshift",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "rand"
-version = "0.8.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "34af8d1a0e25924bc5b7c43c079c942339d8f0a8b57c39049bef581b46327404"
-dependencies = [
- "libc",
- "rand_chacha 0.3.1",
- "rand_core 0.6.4",
-]
-
-[[package]]
-name = "rand_chacha"
-version = "0.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "556d3a1ca6600bfcbab7c7c91ccb085ac7fbbcd70e008a98742e7847f4f7bcef"
-dependencies = [
- "autocfg 0.1.8",
- "rand_core 0.3.1",
-]
-
-[[package]]
-name = "rand_chacha"
-version = "0.3.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e6c10a63a0fa32252be49d21e7709d4d4baf8d231c2dbce1eaa8141b9b127d88"
-dependencies = [
- "ppv-lite86",
- "rand_core 0.6.4",
-]
-
-[[package]]
-name = "rand_core"
-version = "0.3.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7a6fdeb83b075e8266dcc8762c22776f6877a63111121f5f8c7411e5be7eed4b"
-dependencies = [
- "rand_core 0.4.2",
-]
-
-[[package]]
-name = "rand_core"
-version = "0.4.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9c33a3c44ca05fa6f1807d8e6743f3824e8509beca625669633be0acbdf509dc"
-
-[[package]]
-name = "rand_core"
-version = "0.6.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ec0be4795e2f6a28069bec0b5ff3e2ac9bafc99e6a9a7dc3547996c5c816922c"
-dependencies = [
- "getrandom",
-]
-
-[[package]]
-name = "rand_hc"
-version = "0.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7b40677c7be09ae76218dc623efbf7b18e34bced3f38883af07bb75630a21bc4"
-dependencies = [
- "rand_core 0.3.1",
-]
-
-[[package]]
-name = "rand_isaac"
-version = "0.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ded997c9d5f13925be2a6fd7e66bf1872597f759fd9dd93513dd7e92e5a5ee08"
-dependencies = [
- "rand_core 0.3.1",
-]
-
-[[package]]
-name = "rand_jitter"
-version = "0.1.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1166d5c91dc97b88d1decc3285bb0a99ed84b05cfd0bc2341bdf2d43fc41e39b"
-dependencies = [
- "libc",
- "rand_core 0.4.2",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "rand_os"
-version = "0.1.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7b75f676a1e053fc562eafbb47838d67c84801e38fc1ba459e8f180deabd5071"
-dependencies = [
- "cloudabi",
- "fuchsia-cprng",
- "libc",
- "rand_core 0.4.2",
- "rdrand",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "rand_pcg"
-version = "0.1.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "abf9b09b01790cfe0364f52bf32995ea3c39f4d2dd011eac241d2914146d0b44"
-dependencies = [
- "autocfg 0.1.8",
- "rand_core 0.4.2",
-]
-
-[[package]]
-name = "rand_xorshift"
-version = "0.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cbf7e9e623549b0e21f6e97cf8ecf247c1a8fd2e8a992ae265314300b2455d5c"
-dependencies = [
- "rand_core 0.3.1",
-]
-
-[[package]]
-name = "random"
-version = "0.12.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "97d13a3485349981c90c79112a11222c3e6e75de1d52b87a7525b3bf5361420f"
-
-[[package]]
-name = "rdrand"
-version = "0.4.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "678054eb77286b51581ba43620cc911abf02758c91f93f479767aed0f90458b2"
-dependencies = [
- "rand_core 0.3.1",
-]
-
-[[package]]
-name = "redox_syscall"
-version = "0.2.16"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fb5a58c1855b4b6819d59012155603f0b22ad30cad752600aadfcb695265519a"
-dependencies = [
- "bitflags",
-]
-
-[[package]]
-name = "redox_syscall"
-version = "0.3.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "567664f262709473930a4bf9e51bf2ebf3348f2e748ccc50dea20646858f8f29"
-dependencies = [
- "bitflags",
-]
-
-[[package]]
-name = "redox_users"
-version = "0.4.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b033d837a7cf162d7993aded9304e30a83213c648b6e389db233191f891e5c2b"
-dependencies = [
- "getrandom",
- "redox_syscall 0.2.16",
- "thiserror",
-]
-
-[[package]]
-name = "regex"
-version = "1.8.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "af83e617f331cc6ae2da5443c602dfa5af81e517212d9d611a5b3ba1777b5370"
-dependencies = [
- "aho-corasick",
- "memchr",
- "regex-syntax",
-]
-
-[[package]]
-name = "regex-syntax"
-version = "0.7.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a5996294f19bd3aae0453a862ad728f60e6600695733dd5df01da90c54363a3c"
-
-[[package]]
-name = "reqwest"
-version = "0.10.10"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0718f81a8e14c4dbb3b34cf23dc6aaf9ab8a0dfec160c534b3dbca1aaa21f47c"
-dependencies = [
- "base64 0.13.1",
- "bytes 0.5.6",
- "encoding_rs",
- "futures-core",
- "futures-util",
- "http",
- "http-body",
- "hyper",
- "hyper-tls",
- "ipnet",
- "js-sys",
- "lazy_static",
- "log",
- "mime",
- "mime_guess",
- "native-tls",
- "percent-encoding 2.2.0",
- "pin-project-lite 0.2.9",
- "serde",
- "serde_json",
- "serde_urlencoded",
- "tokio",
- "tokio-socks",
- "tokio-tls",
- "url 2.3.1",
- "wasm-bindgen",
- "wasm-bindgen-futures",
- "web-sys",
- "winreg",
-]
-
-[[package]]
-name = "rspotify"
-version = "0.10.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "eefd7bb58b714606b30a490f751d7926942e2874eef5e82934d60d7a4a68dca4"
-dependencies = [
- "base64 0.10.1",
- "chrono",
- "derive_builder",
- "dotenv",
- "env_logger",
- "failure",
- "itertools",
- "lazy_static",
- "log",
- "percent-encoding 1.0.1",
- "rand 0.6.5",
- "random",
- "reqwest",
- "serde",
- "serde_derive",
- "serde_json",
- "url 1.7.2",
- "webbrowser",
-]
-
-[[package]]
-name = "rustc-demangle"
-version = "0.1.23"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d626bb9dae77e28219937af045c257c28bfd3f69333c512553507f5f9798cb76"
-
-[[package]]
-name = "rustc-serialize"
-version = "0.3.24"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dcf128d1287d2ea9d80910b5f1120d0b8eede3fbf1abe91c40d39ea7d51e6fda"
-
-[[package]]
-name = "rustix"
-version = "0.37.13"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f79bef90eb6d984c72722595b5b1348ab39275a5e5123faca6863bf07d75a4e0"
-dependencies = [
- "bitflags",
- "errno",
- "io-lifetimes",
- "libc",
- "linux-raw-sys",
- "windows-sys 0.48.0",
-]
-
-[[package]]
-name = "ryu"
-version = "1.0.13"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f91339c0467de62360649f8d3e185ca8de4224ff281f66000de5eb2a77a79041"
-
-[[package]]
-name = "schannel"
-version = "0.1.21"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "713cfb06c7059f3588fb8044c0fad1d09e3c01d225e25b9220dbfdcf16dbb1b3"
-dependencies = [
- "windows-sys 0.42.0",
-]
-
-[[package]]
-name = "scopeguard"
-version = "1.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d29ab0c6d3fc0ee92fe66e2d99f700eab17a8d57d1c1d3b748380fb20baa78cd"
-
-[[package]]
-name = "security-framework"
-version = "2.3.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "23a2ac85147a3a11d77ecf1bc7166ec0b92febfa4461c37944e180f319ece467"
-dependencies = [
- "bitflags",
- "core-foundation",
- "core-foundation-sys",
- "libc",
- "security-framework-sys",
-]
-
-[[package]]
-name = "security-framework-sys"
-version = "2.8.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "31c9bb296072e961fcbd8853511dd39c2d8be2deb1e17c6860b1d30732b323b4"
-dependencies = [
- "core-foundation-sys",
- "libc",
-]
-
-[[package]]
-name = "serde"
-version = "1.0.163"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2113ab51b87a539ae008b5c6c02dc020ffa39afd2d83cffcb3f4eb2722cebec2"
-dependencies = [
- "serde_derive",
-]
-
-[[package]]
-name = "serde_derive"
-version = "1.0.163"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8c805777e3930c8883389c602315a24224bcc738b63905ef87cd1420353ea93e"
-dependencies = [
- "proc-macro2 1.0.56",
- "quote 1.0.27",
- "syn 2.0.15",
-]
-
-[[package]]
-name = "serde_json"
-version = "1.0.96"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "057d394a50403bcac12672b2b18fb387ab6d289d957dab67dd201875391e52f1"
-dependencies = [
- "itoa 1.0.6",
- "ryu",
- "serde",
-]
-
-[[package]]
-name = "serde_urlencoded"
-version = "0.7.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d3491c14715ca2294c4d6a88f15e84739788c1d030eed8c110436aafdaa2f3fd"
-dependencies = [
- "form_urlencoded",
- "itoa 1.0.6",
- "ryu",
- "serde",
-]
-
-[[package]]
-name = "serde_yaml"
-version = "0.8.26"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "578a7433b776b56a35785ed5ce9a7e777ac0598aac5a6dd1b4b18a307c7fc71b"
-dependencies = [
- "indexmap",
- "ryu",
- "serde",
- "yaml-rust",
-]
-
-[[package]]
-name = "signal-hook"
-version = "0.3.15"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "732768f1176d21d09e076c23a93123d40bba92d50c4058da34d45c8de8e682b9"
-dependencies = [
- "libc",
- "signal-hook-registry",
-]
-
-[[package]]
-name = "signal-hook-mio"
-version = "0.2.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "29ad2e15f37ec9a6cc544097b78a1ec90001e9f71b81338ca39f430adaca99af"
-dependencies = [
- "libc",
- "mio 0.7.14",
- "signal-hook",
-]
-
-[[package]]
-name = "signal-hook-registry"
-version = "1.4.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d8229b473baa5980ac72ef434c4415e70c4b5e71b423043adb4ba059f89c99a1"
-dependencies = [
- "libc",
-]
-
-[[package]]
-name = "slab"
-version = "0.4.8"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6528351c9bc8ab22353f9d776db39a20288e8d6c37ef8cfe3317cf875eecfc2d"
-dependencies = [
- "autocfg 1.1.0",
-]
-
-[[package]]
-name = "smallvec"
-version = "1.10.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a507befe795404456341dfab10cef66ead4c041f62b8b11bbb92bffe5d0953e0"
-
-[[package]]
-name = "socket2"
-version = "0.3.19"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "122e570113d28d773067fab24266b66753f6ea915758651696b6e35e49f88d6e"
-dependencies = [
- "cfg-if 1.0.0",
- "libc",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "spotify-tui"
-version = "0.25.0"
-dependencies = [
- "anyhow",
- "arboard",
- "backtrace",
- "clap",
- "crossterm",
- "dirs",
- "rand 0.8.5",
- "rspotify",
- "serde",
- "serde_json",
- "serde_yaml",
- "tokio",
- "tui",
- "unicode-width",
-]
-
-[[package]]
-name = "str-buf"
-version = "1.0.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9e08d8363704e6c71fc928674353e6b7c23dcea9d82d7012c8faf2a3a025f8d0"
-
-[[package]]
-name = "strsim"
-version = "0.7.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bb4f380125926a99e52bc279241539c018323fab05ad6368b56f93d9369ff550"
-
-[[package]]
-name = "strsim"
-version = "0.8.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8ea5119cdb4c55b55d432abb513a0429384878c15dde60cc77b1c99de1a95a6a"
-
-[[package]]
-name = "syn"
-version = "0.15.44"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9ca4b3b69a77cbe1ffc9e198781b7acb0c7365a883670e8f1c1bc66fba79a5c5"
-dependencies = [
- "proc-macro2 0.4.30",
- "quote 0.6.13",
- "unicode-xid 0.1.0",
-]
-
-[[package]]
-name = "syn"
-version = "1.0.109"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "72b64191b275b66ffe2469e8af2c1cfe3bafa67b529ead792a6d0160888b4237"
-dependencies = [
- "proc-macro2 1.0.56",
- "quote 1.0.27",
- "unicode-ident",
-]
-
-[[package]]
-name = "syn"
-version = "2.0.15"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a34fcf3e8b60f57e6a14301a2e916d323af98b0ea63c599441eec8558660c822"
-dependencies = [
- "proc-macro2 1.0.56",
- "quote 1.0.27",
- "unicode-ident",
-]
-
-[[package]]
-name = "synstructure"
-version = "0.12.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f36bdaa60a83aca3921b5259d5400cbf5e90fc51931376a9bd4a0eb79aa7210f"
-dependencies = [
- "proc-macro2 1.0.56",
- "quote 1.0.27",
- "syn 1.0.109",
- "unicode-xid 0.2.4",
-]
-
-[[package]]
-name = "tempfile"
-version = "3.5.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b9fbec84f381d5795b08656e4912bec604d162bff9291d6189a78f4c8ab87998"
-dependencies = [
- "cfg-if 1.0.0",
- "fastrand",
- "redox_syscall 0.3.5",
- "rustix",
- "windows-sys 0.45.0",
-]
-
-[[package]]
-name = "termcolor"
-version = "1.2.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "be55cf8942feac5c765c2c993422806843c9a9a45d4d5c407ad6dd2ea95eb9b6"
-dependencies = [
- "winapi-util",
-]
-
-[[package]]
-name = "textwrap"
-version = "0.11.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d326610f408c7a4eb6f51c37c330e496b08506c9457c9d34287ecc38809fb060"
-dependencies = [
- "unicode-width",
-]
-
-[[package]]
-name = "thiserror"
-version = "1.0.40"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "978c9a314bd8dc99be594bc3c175faaa9794be04a5a5e153caba6915336cebac"
-dependencies = [
- "thiserror-impl",
-]
-
-[[package]]
-name = "thiserror-impl"
-version = "1.0.40"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f9456a42c5b0d803c8cd86e73dd7cc9edd429499f37a3550d286d5e86720569f"
-dependencies = [
- "proc-macro2 1.0.56",
- "quote 1.0.27",
- "syn 2.0.15",
-]
-
-[[package]]
-name = "tiff"
-version = "0.6.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9a53f4706d65497df0c4349241deddf35f84cee19c87ed86ea8ca590f4464437"
-dependencies = [
- "jpeg-decoder",
- "miniz_oxide 0.4.4",
- "weezl",
-]
-
-[[package]]
-name = "time"
-version = "0.1.45"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1b797afad3f312d1c66a56d11d0316f916356d11bd158fbc6ca6389ff6bf805a"
-dependencies = [
- "libc",
- "wasi 0.10.0+wasi-snapshot-preview1",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "tinyvec"
-version = "1.6.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "87cc5ceb3875bb20c2890005a4e226a4651264a5c75edb2421b52861a0a0cb50"
-dependencies = [
- "tinyvec_macros",
-]
-
-[[package]]
-name = "tinyvec_macros"
-version = "0.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20"
-
-[[package]]
-name = "tokio"
-version = "0.2.25"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6703a273949a90131b290be1fe7b039d0fc884aa1935860dfcbe056f28cd8092"
-dependencies = [
- "bytes 0.5.6",
- "fnv",
- "futures-core",
- "iovec",
- "lazy_static",
- "libc",
- "memchr",
- "mio 0.6.23",
- "mio-named-pipes",
- "mio-uds",
- "num_cpus",
- "pin-project-lite 0.1.12",
- "signal-hook-registry",
- "slab",
- "tokio-macros",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "tokio-macros"
-version = "0.2.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e44da00bfc73a25f814cd8d7e57a68a5c31b74b3152a0a1d1f590c97ed06265a"
-dependencies = [
- "proc-macro2 1.0.56",
- "quote 1.0.27",
- "syn 1.0.109",
-]
-
-[[package]]
-name = "tokio-socks"
-version = "0.3.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d611fd5d241872372d52a0a3d309c52d0b95a6a67671a6c8f7ab2c4a37fb2539"
-dependencies = [
- "bytes 0.4.12",
- "either",
- "futures",
- "thiserror",
- "tokio",
-]
-
-[[package]]
-name = "tokio-tls"
-version = "0.3.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9a70f4fcd7b3b24fb194f837560168208f669ca8cb70d0c4b862944452396343"
-dependencies = [
- "native-tls",
- "tokio",
-]
-
-[[package]]
-name = "tokio-util"
-version = "0.3.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "be8242891f2b6cbef26a2d7e8605133c2c554cd35b3e4948ea892d6d68436499"
-dependencies = [
- "bytes 0.5.6",
- "futures-core",
- "futures-sink",
- "log",
- "pin-project-lite 0.1.12",
- "tokio",
-]
-
-[[package]]
-name = "tower-service"
-version = "0.3.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b6bc1c9ce2b5135ac7f93c72918fc37feb872bdc6a5533a8b85eb4b86bfdae52"
-
-[[package]]
-name = "tracing"
-version = "0.1.37"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8ce8c33a8d48bd45d624a6e523445fd21ec13d3653cd51f681abf67418f54eb8"
-dependencies = [
- "cfg-if 1.0.0",
- "log",
- "pin-project-lite 0.2.9",
- "tracing-core",
-]
-
-[[package]]
-name = "tracing-core"
-version = "0.1.31"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0955b8137a1df6f1a2e9a37d8a6656291ff0297c1a97c24e0d8425fe2312f79a"
-dependencies = [
- "once_cell",
-]
-
-[[package]]
-name = "tracing-futures"
-version = "0.2.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "97d095ae15e245a057c8e8451bab9b3ee1e1f68e9ba2b4fbc18d0ac5237835f2"
-dependencies = [
- "pin-project",
- "tracing",
-]
-
-[[package]]
-name = "try-lock"
-version = "0.2.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3528ecfd12c466c6f163363caf2d02a71161dd5e1cc6ae7b34207ea2d42d81ed"
-
-[[package]]
-name = "tui"
-version = "0.16.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "39c8ce4e27049eed97cfa363a5048b09d995e209994634a0efc26a14ab6c0c23"
-dependencies = [
- "bitflags",
- "cassowary",
- "crossterm",
- "unicode-segmentation",
- "unicode-width",
-]
-
-[[package]]
-name = "unicase"
-version = "2.6.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "50f37be617794602aabbeee0be4f259dc1778fabe05e2d67ee8f79326d5cb4f6"
-dependencies = [
- "version_check",
-]
-
-[[package]]
-name = "unicode-bidi"
-version = "0.3.13"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "92888ba5573ff080736b3648696b70cafad7d250551175acbaa4e0385b3e1460"
-
-[[package]]
-name = "unicode-ident"
-version = "1.0.8"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e5464a87b239f13a63a501f2701565754bae92d243d4bb7eb12f6d57d2269bf4"
-
-[[package]]
-name = "unicode-normalization"
-version = "0.1.22"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5c5713f0fc4b5db668a2ac63cdb7bb4469d8c9fed047b1d0292cc7b0ce2ba921"
-dependencies = [
- "tinyvec",
-]
-
-[[package]]
-name = "unicode-segmentation"
-version = "1.10.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1dd624098567895118886609431a7c3b8f516e41d30e0643f03d94592a147e36"
-
-[[package]]
-name = "unicode-width"
-version = "0.1.10"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c0edd1e5b14653f783770bce4a4dabb4a5108a5370a5f5d8cfe8710c361f6c8b"
-
-[[package]]
-name = "unicode-xid"
-version = "0.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fc72304796d0818e357ead4e000d19c9c174ab23dc11093ac919054d20a6a7fc"
-
-[[package]]
-name = "unicode-xid"
-version = "0.2.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f962df74c8c05a667b5ee8bcf162993134c104e96440b663c8daa176dc772d8c"
-
-[[package]]
-name = "url"
-version = "1.7.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dd4e7c0d531266369519a4aa4f399d748bd37043b00bde1e4ff1f60a120b355a"
-dependencies = [
- "idna 0.1.5",
- "matches",
- "percent-encoding 1.0.1",
-]
-
-[[package]]
-name = "url"
-version = "2.3.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0d68c799ae75762b8c3fe375feb6600ef5602c883c5d21eb51c09f22b83c4643"
-dependencies = [
- "form_urlencoded",
- "idna 0.3.0",
- "percent-encoding 2.2.0",
-]
-
-[[package]]
-name = "vcpkg"
-version = "0.2.15"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "accd4ea62f7bb7a82fe23066fb0957d48ef677f6eeb8215f372f52e48bb32426"
-
-[[package]]
-name = "vec_map"
-version = "0.8.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f1bddf1187be692e79c5ffeab891132dfb0f236ed36a43c7ed39f1165ee20191"
-
-[[package]]
-name = "version_check"
-version = "0.9.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "49874b5167b65d7193b8aba1567f5c7d93d001cafc34600cee003eda787e483f"
-
-[[package]]
-name = "want"
-version = "0.3.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ce8a968cb1cd110d136ff8b819a556d6fb6d919363c61534f6860c7eb172ba0"
-dependencies = [
- "log",
- "try-lock",
-]
-
-[[package]]
-name = "wasi"
-version = "0.10.0+wasi-snapshot-preview1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1a143597ca7c7793eff794def352d41792a93c481eb1042423ff7ff72ba2c31f"
-
-[[package]]
-name = "wasi"
-version = "0.11.0+wasi-snapshot-preview1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
-
-[[package]]
-name = "wasm-bindgen"
-version = "0.2.85"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5b6cb788c4e39112fbe1822277ef6fb3c55cd86b95cb3d3c4c1c9597e4ac74b4"
-dependencies = [
- "cfg-if 1.0.0",
- "serde",
- "serde_json",
- "wasm-bindgen-macro",
-]
-
-[[package]]
-name = "wasm-bindgen-backend"
-version = "0.2.85"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "35e522ed4105a9d626d885b35d62501b30d9666283a5c8be12c14a8bdafe7822"
-dependencies = [
- "bumpalo",
- "log",
- "once_cell",
- "proc-macro2 1.0.56",
- "quote 1.0.27",
- "syn 2.0.15",
- "wasm-bindgen-shared",
-]
-
-[[package]]
-name = "wasm-bindgen-futures"
-version = "0.4.35"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "083abe15c5d88556b77bdf7aef403625be9e327ad37c62c4e4129af740168163"
-dependencies = [
- "cfg-if 1.0.0",
- "js-sys",
- "wasm-bindgen",
- "web-sys",
-]
-
-[[package]]
-name = "wasm-bindgen-macro"
-version = "0.2.85"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "358a79a0cb89d21db8120cbfb91392335913e4890665b1a7981d9e956903b434"
-dependencies = [
- "quote 1.0.27",
- "wasm-bindgen-macro-support",
-]
-
-[[package]]
-name = "wasm-bindgen-macro-support"
-version = "0.2.85"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4783ce29f09b9d93134d41297aded3a712b7b979e9c6f28c32cb88c973a94869"
-dependencies = [
- "proc-macro2 1.0.56",
- "quote 1.0.27",
- "syn 2.0.15",
- "wasm-bindgen-backend",
- "wasm-bindgen-shared",
-]
-
-[[package]]
-name = "wasm-bindgen-shared"
-version = "0.2.85"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a901d592cafaa4d711bc324edfaff879ac700b19c3dfd60058d2b445be2691eb"
-
-[[package]]
-name = "web-sys"
-version = "0.3.62"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "16b5f940c7edfdc6d12126d98c9ef4d1b3d470011c47c76a6581df47ad9ba721"
-dependencies = [
- "js-sys",
- "wasm-bindgen",
-]
-
-[[package]]
-name = "webbrowser"
-version = "0.5.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ecad156490d6b620308ed411cfee90d280b3cbd13e189ea0d3fada8acc89158a"
-dependencies = [
- "web-sys",
- "widestring",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "weezl"
-version = "0.1.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9193164d4de03a926d909d3bc7c30543cecb35400c02114792c2cae20d5e2dbb"
-
-[[package]]
-name = "widestring"
-version = "0.4.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c168940144dd21fd8046987c16a46a33d5fc84eec29ef9dcddc2ac9e31526b7c"
-
-[[package]]
-name = "winapi"
-version = "0.2.8"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "167dc9d6949a9b857f3451275e911c3f44255842c1f7a76f33c55103a909087a"
-
-[[package]]
-name = "winapi"
-version = "0.3.9"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5c839a674fcd7a98952e593242ea400abe93992746761e38641405d28b00f419"
-dependencies = [
- "winapi-i686-pc-windows-gnu",
- "winapi-x86_64-pc-windows-gnu",
-]
-
-[[package]]
-name = "winapi-build"
-version = "0.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2d315eee3b34aca4797b2da6b13ed88266e6d612562a0c46390af8299fc699bc"
-
-[[package]]
-name = "winapi-i686-pc-windows-gnu"
-version = "0.4.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ac3b87c63620426dd9b991e5ce0329eff545bccbbb34f3be09ff6fb6ab51b7b6"
-
-[[package]]
-name = "winapi-util"
-version = "0.1.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "70ec6ce85bb158151cae5e5c87f95a8e97d2c0c4b001223f33a334e3ce5de178"
-dependencies = [
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "winapi-wsapoll"
-version = "0.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "44c17110f57155602a80dca10be03852116403c9ff3cd25b079d666f2aa3df6e"
-dependencies = [
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "winapi-x86_64-pc-windows-gnu"
-version = "0.4.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
-
-[[package]]
-name = "windows"
-version = "0.48.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e686886bc078bc1b0b600cac0147aadb815089b6e4da64016cbd754b6342700f"
-dependencies = [
- "windows-targets 0.48.0",
-]
-
-[[package]]
-name = "windows-sys"
-version = "0.42.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5a3e1820f08b8513f676f7ab6c1f99ff312fb97b553d30ff4dd86f9f15728aa7"
-dependencies = [
- "windows_aarch64_gnullvm 0.42.2",
- "windows_aarch64_msvc 0.42.2",
- "windows_i686_gnu 0.42.2",
- "windows_i686_msvc 0.42.2",
- "windows_x86_64_gnu 0.42.2",
- "windows_x86_64_gnullvm 0.42.2",
- "windows_x86_64_msvc 0.42.2",
-]
-
-[[package]]
-name = "windows-sys"
-version = "0.45.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "75283be5efb2831d37ea142365f009c02ec203cd29a3ebecbc093d52315b66d0"
-dependencies = [
- "windows-targets 0.42.2",
-]
-
-[[package]]
-name = "windows-sys"
-version = "0.48.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "677d2418bec65e3338edb076e806bc1ec15693c5d0104683f2efe857f61056a9"
-dependencies = [
- "windows-targets 0.48.0",
-]
-
-[[package]]
-name = "windows-targets"
-version = "0.42.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8e5180c00cd44c9b1c88adb3693291f1cd93605ded80c250a75d472756b4d071"
-dependencies = [
- "windows_aarch64_gnullvm 0.42.2",
- "windows_aarch64_msvc 0.42.2",
- "windows_i686_gnu 0.42.2",
- "windows_i686_msvc 0.42.2",
- "windows_x86_64_gnu 0.42.2",
- "windows_x86_64_gnullvm 0.42.2",
- "windows_x86_64_msvc 0.42.2",
-]
-
-[[package]]
-name = "windows-targets"
-version = "0.48.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7b1eb6f0cd7c80c79759c929114ef071b87354ce476d9d94271031c0497adfd5"
-dependencies = [
- "windows_aarch64_gnullvm 0.48.0",
- "windows_aarch64_msvc 0.48.0",
- "windows_i686_gnu 0.48.0",
- "windows_i686_msvc 0.48.0",
- "windows_x86_64_gnu 0.48.0",
- "windows_x86_64_gnullvm 0.48.0",
- "windows_x86_64_msvc 0.48.0",
-]
-
-[[package]]
-name = "windows_aarch64_gnullvm"
-version = "0.42.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "597a5118570b68bc08d8d59125332c54f1ba9d9adeedeef5b99b02ba2b0698f8"
-
-[[package]]
-name = "windows_aarch64_gnullvm"
-version = "0.48.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "91ae572e1b79dba883e0d315474df7305d12f569b400fcf90581b06062f7e1bc"
-
-[[package]]
-name = "windows_aarch64_msvc"
-version = "0.42.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e08e8864a60f06ef0d0ff4ba04124db8b0fb3be5776a5cd47641e942e58c4d43"
-
-[[package]]
-name = "windows_aarch64_msvc"
-version = "0.48.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b2ef27e0d7bdfcfc7b868b317c1d32c641a6fe4629c171b8928c7b08d98d7cf3"
-
-[[package]]
-name = "windows_i686_gnu"
-version = "0.42.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c61d927d8da41da96a81f029489353e68739737d3beca43145c8afec9a31a84f"
-
-[[package]]
-name = "windows_i686_gnu"
-version = "0.48.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "622a1962a7db830d6fd0a69683c80a18fda201879f0f447f065a3b7467daa241"
-
-[[package]]
-name = "windows_i686_msvc"
-version = "0.42.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "44d840b6ec649f480a41c8d80f9c65108b92d89345dd94027bfe06ac444d1060"
-
-[[package]]
-name = "windows_i686_msvc"
-version = "0.48.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4542c6e364ce21bf45d69fdd2a8e455fa38d316158cfd43b3ac1c5b1b19f8e00"
-
-[[package]]
-name = "windows_x86_64_gnu"
-version = "0.42.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8de912b8b8feb55c064867cf047dda097f92d51efad5b491dfb98f6bbb70cb36"
-
-[[package]]
-name = "windows_x86_64_gnu"
-version = "0.48.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ca2b8a661f7628cbd23440e50b05d705db3686f894fc9580820623656af974b1"
-
-[[package]]
-name = "windows_x86_64_gnullvm"
-version = "0.42.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "26d41b46a36d453748aedef1486d5c7a85db22e56aff34643984ea85514e94a3"
-
-[[package]]
-name = "windows_x86_64_gnullvm"
-version = "0.48.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7896dbc1f41e08872e9d5e8f8baa8fdd2677f29468c4e156210174edc7f7b953"
-
-[[package]]
-name = "windows_x86_64_msvc"
-version = "0.42.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9aec5da331524158c6d1a4ac0ab1541149c0b9505fde06423b02f5ef0106b9f0"
-
-[[package]]
-name = "windows_x86_64_msvc"
-version = "0.48.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1a515f5799fe4961cb532f983ce2b23082366b898e52ffbce459c86f67c8378a"
-
-[[package]]
-name = "winreg"
-version = "0.7.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0120db82e8a1e0b9fb3345a539c478767c0048d842860994d96113d5b667bd69"
-dependencies = [
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "ws2_32-sys"
-version = "0.2.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d59cefebd0c892fa2dd6de581e937301d8552cb44489cdff035c6187cb63fa5e"
-dependencies = [
- "winapi 0.2.8",
- "winapi-build",
-]
-
-[[package]]
-name = "x11rb"
-version = "0.8.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6ffb080b3f2f616242a4eb8e7d325035312127901025b0052bc3154a282d0f19"
-dependencies = [
- "gethostname",
- "nix",
- "winapi 0.3.9",
- "winapi-wsapoll",
-]
-
-[[package]]
-name = "yaml-rust"
-version = "0.4.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "56c1936c4cc7a1c9ab21a1ebb602eb942ba868cbd44a99cb7cdc5892335e1c85"
-dependencies = [
- "linked-hash-map",
-]
diff --git a/pkgs/applications/audio/spotify-tui/default.nix b/pkgs/applications/audio/spotify-tui/default.nix
deleted file mode 100644
index bca06406eba9..000000000000
--- a/pkgs/applications/audio/spotify-tui/default.nix
+++ /dev/null
@@ -1,59 +0,0 @@
-{ lib
-, rustPlatform
-, fetchFromGitHub
-, stdenv
-, installShellFiles
-, pkg-config
-, openssl
-, python3
-, libxcb
-, AppKit
-, Security
-}:
-
-rustPlatform.buildRustPackage rec {
- pname = "spotify-tui";
- version = "0.25.0";
-
- src = fetchFromGitHub {
- owner = "Rigellute";
- repo = "spotify-tui";
- rev = "v${version}";
- hash = "sha256-L5gg6tjQuYoAC89XfKE38KCFONwSAwfNoFEUPH4jNAI=";
- };
-
- cargoLock = {
- lockFile = ./Cargo.lock;
- };
-
- nativeBuildInputs = [ installShellFiles ] ++ lib.optionals stdenv.isLinux [ pkg-config python3 ];
- buildInputs = [ ]
- ++ lib.optionals stdenv.isLinux [ openssl libxcb ]
- ++ lib.optionals stdenv.isDarwin [ AppKit Security ];
-
- postPatch = ''
- # update Cargo.lock to fix build
- ln -sf ${./Cargo.lock} Cargo.lock
-
- # Add patch adding the collection variant to rspotify used by spotify-tu
- # This fixes the issue of getting an error when playing liked songs
- # see https://github.com/NixOS/nixpkgs/pull/170915
- patch -p1 -d $cargoDepsCopy/rspotify-0.10.0 < ${./0001-Add-Collection-SearchType.patch}
- '';
-
- postInstall = ''
- for shell in bash fish zsh; do
- $out/bin/spt --completions $shell > spt.$shell
- installShellCompletion spt.$shell
- done
- '';
-
- meta = with lib; {
- description = "Spotify for the terminal written in Rust";
- homepage = "https://github.com/Rigellute/spotify-tui";
- changelog = "https://github.com/Rigellute/spotify-tui/blob/v${version}/CHANGELOG.md";
- license = with licenses; [ mit /* or */ asl20 ];
- maintainers = with maintainers; [ jwijenbergh ];
- mainProgram = "spt";
- };
-}
diff --git a/pkgs/applications/audio/spotify/linux.nix b/pkgs/applications/audio/spotify/linux.nix
index a73c00a8fb9d..202f8b4ceeec 100644
--- a/pkgs/applications/audio/spotify/linux.nix
+++ b/pkgs/applications/audio/spotify/linux.nix
@@ -14,14 +14,14 @@ let
# If an update breaks things, one of those might have valuable info:
# https://aur.archlinux.org/packages/spotify/
# https://community.spotify.com/t5/Desktop-Linux
- version = "1.2.26.1187.g36b715a1";
+ version = "1.2.31.1205.g4d59ad7c";
# To get the latest stable revision:
# curl -H 'X-Ubuntu-Series: 16' 'https://api.snapcraft.io/api/v1/snaps/details/spotify?channel=stable' | jq '.download_url,.version,.last_updated'
# To get general information:
# curl -H 'Snap-Device-Series: 16' 'https://api.snapcraft.io/v2/snaps/info/spotify' | jq '.'
# More examples of api usage:
# https://github.com/canonical-websites/snapcraft.io/blob/master/webapp/publisher/snaps/views.py
- rev = "74";
+ rev = "75";
deps = [
alsa-lib
@@ -87,7 +87,7 @@ stdenv.mkDerivation {
# https://community.spotify.com/t5/Desktop-Linux/Redistribute-Spotify-on-Linux-Distributions/td-p/1695334
src = fetchurl {
url = "https://api.snapcraft.io/api/v1/snaps/download/pOBIoZ2LrCB3rDohMxoYGnbN14EHOgD7_${rev}.snap";
- hash = "sha512-Muurn4ih54oVTvLGuRfTPCgGSRImE8O0S5k7gZ4Utgrz3TKgVrthY9AXldP8v+qLcfIrrYwixJy2WGuur9E0jg==";
+ hash = "sha512-o4iLcbNqbsxo9YJMy0SXO7Udv4CMhhBcsf53UuqWKFFWY/jKVN+Lb+dB7Jf9+UowpmbrP44w97Oi+dnbfFXYjQ==";
};
nativeBuildInputs = [ wrapGAppsHook makeShellWrapper squashfsTools ];
diff --git a/pkgs/applications/audio/spotifyd/default.nix b/pkgs/applications/audio/spotifyd/default.nix
index d0a77ab06497..6de9493ab8e8 100644
--- a/pkgs/applications/audio/spotifyd/default.nix
+++ b/pkgs/applications/audio/spotifyd/default.nix
@@ -9,16 +9,16 @@
rustPackages.rustPlatform.buildRustPackage rec {
pname = "spotifyd";
- version = "0.3.5";
+ version = "0.3.5-unstable-2024-02-18";
src = fetchFromGitHub {
owner = "Spotifyd";
repo = "spotifyd";
- rev = "v${version}";
- hash = "sha256-+P85FWJIsfAv8/DnQFxfoWvNY8NpbZ2xUidfwN8tiA8=";
+ rev = "ff2f7a06e54bf05afd57a0243dc9f67abc15f040";
+ hash = "sha256-nebAd4a+ht+blRP52OF830/Dm15ZPwRL4IPWmmT9ViM=";
};
- cargoHash = "sha256-j+2yEtn3D+vNRcY4+NnqSX4xRQIE5Sq7bentxTh6kMI=";
+ cargoHash = "sha256-6BRIMTrWTwvX3yIGEYEvigMT+n4EtaruMdrej2Dd49w=";
nativeBuildInputs = [ pkg-config ];
@@ -40,9 +40,10 @@ rustPackages.rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "An open source Spotify client running as a UNIX daemon";
homepage = "https://spotifyd.rs/";
- changelog = "https://github.com/Spotifyd/spotifyd/raw/v${version}/CHANGELOG.md";
+ changelog = "https://github.com/Spotifyd/spotifyd/blob/${src.rev}/CHANGELOG.md";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ anderslundstedt Br1ght0ne marsam ];
platforms = platforms.unix;
+ mainProgram = "spotifyd";
};
}
diff --git a/pkgs/applications/audio/spotifywm/default.nix b/pkgs/applications/audio/spotifywm/default.nix
deleted file mode 100644
index c2248056834e..000000000000
--- a/pkgs/applications/audio/spotifywm/default.nix
+++ /dev/null
@@ -1,39 +0,0 @@
-{ lib, stdenv, fetchFromGitHub, spotify, xorg, makeWrapper }:
-stdenv.mkDerivation {
- pname = "spotifywm-unstable";
- version = "2022-10-26";
-
- src = fetchFromGitHub {
- owner = "dasJ";
- repo = "spotifywm";
- rev = "8624f539549973c124ed18753881045968881745";
- sha256 = "sha256-AsXqcoqUXUFxTG+G+31lm45gjP6qGohEnUSUtKypew0=";
- };
-
- nativeBuildInputs = [ makeWrapper ];
-
- buildInputs = [ xorg.libX11 ];
-
- installPhase = ''
- runHook preInstall
-
- mkdir -p $out/{bin,lib}
- install -Dm644 spotifywm.so $out/lib/
- ln -sf ${spotify}/bin/spotify $out/bin/spotify
-
- # wrap spotify to use spotifywm.so
- wrapProgram $out/bin/spotify --set LD_PRELOAD "$out/lib/spotifywm.so"
- # backwards compatibility for people who are using the "spotifywm" binary
- ln -sf $out/bin/spotify $out/bin/spotifywm
-
- runHook postInstall
- '';
-
- meta = with lib; {
- homepage = "https://github.com/dasJ/spotifywm";
- description = "Wrapper around Spotify that correctly sets class name before opening the window";
- license = licenses.mit;
- platforms = platforms.linux;
- maintainers = with maintainers; [ jqueiroz the-argus ];
- };
-}
diff --git a/pkgs/applications/audio/sptlrx/default.nix b/pkgs/applications/audio/sptlrx/default.nix
index 6743407fa3ed..b385898202c2 100644
--- a/pkgs/applications/audio/sptlrx/default.nix
+++ b/pkgs/applications/audio/sptlrx/default.nix
@@ -29,5 +29,6 @@ buildGoModule rec {
changelog = "https://github.com/raitonoberu/sptlrx/releases/tag/v${version}";
license = licenses.mit;
maintainers = with maintainers; [ MoritzBoehme ];
+ mainProgram = "sptlrx";
};
}
diff --git a/pkgs/applications/audio/squeezelite/default.nix b/pkgs/applications/audio/squeezelite/default.nix
index 58261e4a9fcc..694dd04d12a9 100644
--- a/pkgs/applications/audio/squeezelite/default.nix
+++ b/pkgs/applications/audio/squeezelite/default.nix
@@ -45,13 +45,13 @@ stdenv.mkDerivation {
pname = binName;
# versions are specified in `squeezelite.h`
# see https://github.com/ralph-irving/squeezelite/issues/29
- version = "2.0.0.1465";
+ version = "2.0.0.1473";
src = fetchFromGitHub {
owner = "ralph-irving";
repo = "squeezelite";
- rev = "6de9e229aa4cc7c3131ff855f3ead39581127090";
- hash = "sha256-qSRmiX1+hbsWQsU9cRQ7QRkdXs5Q6aE7n7lxZsx8+Hs=";
+ rev = "66c9b6a21834019a0230c39fcee74b6bf2891f7d";
+ hash = "sha256-MCH7vltF3jLGfxcRspXg9eQMx+e+lHSoxIanf91NrE0=";
};
buildInputs = [ flac libmad libvorbis mpg123 ]
diff --git a/pkgs/applications/audio/squishyball/default.nix b/pkgs/applications/audio/squishyball/default.nix
index 1d3bb0e0beeb..af8eb9b37314 100644
--- a/pkgs/applications/audio/squishyball/default.nix
+++ b/pkgs/applications/audio/squishyball/default.nix
@@ -56,5 +56,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2Plus;
maintainers = with maintainers; [ michalrus ];
platforms = platforms.linux;
+ mainProgram = "squishyball";
};
}
diff --git a/pkgs/applications/audio/stochas/default.nix b/pkgs/applications/audio/stochas/default.nix
index 2b72664347e6..4f7ff6b6b5b4 100644
--- a/pkgs/applications/audio/stochas/default.nix
+++ b/pkgs/applications/audio/stochas/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "stochas";
- version = "1.3.9";
+ version = "1.3.10";
src = fetchFromGitHub {
owner = "surge-synthesizer";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-AnYViWterLBsTtd0wohff1CEwrSYA4CvOLGhJnPFUt8=";
+ sha256 = "sha256-L7dzUUQNCwcuQavUx9hBH0FX5KSocfeYUv5qBcPD2Vg=";
fetchSubmodules = true;
};
diff --git a/pkgs/applications/audio/streamripper/default.nix b/pkgs/applications/audio/streamripper/default.nix
index 70ff4dd8605a..6874d2f42059 100644
--- a/pkgs/applications/audio/streamripper/default.nix
+++ b/pkgs/applications/audio/streamripper/default.nix
@@ -20,5 +20,6 @@ stdenv.mkDerivation rec {
homepage = "https://streamripper.sourceforge.net/";
description = "Application that lets you record streaming mp3 to your hard drive";
license = licenses.gpl2;
+ mainProgram = "streamripper";
};
}
diff --git a/pkgs/applications/audio/sublime-music/default.nix b/pkgs/applications/audio/sublime-music/default.nix
index a2f6b17a8746..b2cf3a5d842d 100644
--- a/pkgs/applications/audio/sublime-music/default.nix
+++ b/pkgs/applications/audio/sublime-music/default.nix
@@ -117,6 +117,7 @@ python.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "GTK3 Subsonic/Airsonic client";
+ mainProgram = "sublime-music";
homepage = "https://sublimemusic.app/";
changelog = "https://github.com/sublime-music/sublime-music/blob/v${version}/CHANGELOG.rst";
license = licenses.gpl3Plus;
diff --git a/pkgs/applications/audio/subtitlr/default.nix b/pkgs/applications/audio/subtitlr/default.nix
index a62cd3053f7c..67847f3b91b0 100644
--- a/pkgs/applications/audio/subtitlr/default.nix
+++ b/pkgs/applications/audio/subtitlr/default.nix
@@ -31,5 +31,6 @@ buildGoModule rec {
homepage = "https://github.com/yoanbernabeu/Subtitlr/";
license = licenses.mit;
maintainers = with maintainers; [ qjoly ];
+ mainProgram = "Subtitlr";
};
}
diff --git a/pkgs/applications/audio/surge-XT/default.nix b/pkgs/applications/audio/surge-XT/default.nix
index ccd5ad12c0e8..63cf1110d784 100644
--- a/pkgs/applications/audio/surge-XT/default.nix
+++ b/pkgs/applications/audio/surge-XT/default.nix
@@ -14,37 +14,16 @@
, libXrandr
}:
-let
- juce-lv2 = stdenv.mkDerivation {
- pname = "juce-lv2";
- version = "unstable-2023-03-04";
-
- # lv2 branch
- src = fetchFromGitHub {
- owner = "lv2-porting-project";
- repo = "JUCE";
- rev = "e825ad977cf4499a7bfa05b97b208236f8fd253b";
- sha256 = "sha256-Fqp1y9BN0E9p/12ukG1oh3COhXNRWBAlFRSl0LPyiFc=";
- };
-
- dontConfigure = true;
- dontBuild = true;
-
- installPhase = ''
- cp -r . $out
- '';
- };
-in
stdenv.mkDerivation rec {
pname = "surge-XT";
- version = "1.2.3";
+ version = "1.3.1";
src = fetchFromGitHub {
owner = "surge-synthesizer";
repo = "surge";
rev = "release_xt_${version}";
fetchSubmodules = true;
- sha256 = "sha256-DGzdzoCjMGEDltEwlPvLk2tyMVRH1Ql2Iq1ypogw/m0=";
+ sha256 = "sha256-xcbZ5TC2W2PVzAkpoKPFIgW1oRGaC+ynQYot3cb5NAQ=";
};
nativeBuildInputs = [
@@ -67,8 +46,7 @@ stdenv.mkDerivation rec {
enableParallelBuilding = true;
cmakeFlags = [
- "-DJUCE_SUPPORTS_LV2=ON"
- "-DSURGE_JUCE_PATH=${juce-lv2}"
+ "-DSURGE_BUILD_LV2=TRUE"
];
CXXFLAGS = [
diff --git a/pkgs/applications/audio/svox/default.nix b/pkgs/applications/audio/svox/default.nix
index 044fd176481f..acd1de1a20c9 100644
--- a/pkgs/applications/audio/svox/default.nix
+++ b/pkgs/applications/audio/svox/default.nix
@@ -26,5 +26,6 @@ stdenv.mkDerivation {
platforms = platforms.linux;
license = licenses.asl20;
maintainers = with maintainers; [ abbradar ];
+ mainProgram = "pico2wave";
};
}
diff --git a/pkgs/applications/audio/synaesthesia/default.nix b/pkgs/applications/audio/synaesthesia/default.nix
index efdd6f7e73a7..765e724cd8ec 100644
--- a/pkgs/applications/audio/synaesthesia/default.nix
+++ b/pkgs/applications/audio/synaesthesia/default.nix
@@ -29,5 +29,6 @@ stdenv.mkDerivation rec {
license = lib.licenses.gpl2Only;
platforms = lib.platforms.linux;
maintainers = [ lib.maintainers.infinisil ];
+ mainProgram = "synaesthesia";
};
}
diff --git a/pkgs/applications/audio/synthv1/default.nix b/pkgs/applications/audio/synthv1/default.nix
index b0e9e9bde9d0..770c5d924a1d 100644
--- a/pkgs/applications/audio/synthv1/default.nix
+++ b/pkgs/applications/audio/synthv1/default.nix
@@ -15,6 +15,7 @@ mkDerivation rec {
meta = with lib; {
description = "An old-school 4-oscillator subtractive polyphonic synthesizer with stereo fx";
+ mainProgram = "synthv1_jack";
homepage = "https://synthv1.sourceforge.io/";
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/audio/tagutil/default.nix b/pkgs/applications/audio/tagutil/default.nix
index 9cd9946be60d..51aaf75cddbc 100644
--- a/pkgs/applications/audio/tagutil/default.nix
+++ b/pkgs/applications/audio/tagutil/default.nix
@@ -41,5 +41,6 @@ stdenv.mkDerivation rec {
license = licenses.bsd2;
maintainers = with maintainers; [ dan4ik605743 ];
platforms = platforms.linux;
+ mainProgram = "tagutil";
};
}
diff --git a/pkgs/applications/audio/tauon/default.nix b/pkgs/applications/audio/tauon/default.nix
index 979907202835..09136728a4b9 100644
--- a/pkgs/applications/audio/tauon/default.nix
+++ b/pkgs/applications/audio/tauon/default.nix
@@ -134,6 +134,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "The Linux desktop music player from the future";
+ mainProgram = "tauon";
homepage = "https://tauonmusicbox.rocks/";
changelog = "https://github.com/Taiko2k/TauonMusicBox/releases/tag/v${finalAttrs.version}";
license = licenses.gpl3;
diff --git a/pkgs/applications/audio/tenacity/default.nix b/pkgs/applications/audio/tenacity/default.nix
index 6c4dd61c6674..c2879d412324 100644
--- a/pkgs/applications/audio/tenacity/default.nix
+++ b/pkgs/applications/audio/tenacity/default.nix
@@ -150,6 +150,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Sound editor with graphical UI";
+ mainProgram = "tenacity";
homepage = "https://tenacityaudio.org/";
license = licenses.gpl2Plus;
maintainers = with maintainers; [ irenes lheckemann ];
diff --git a/pkgs/applications/audio/timemachine/default.nix b/pkgs/applications/audio/timemachine/default.nix
index 042e6b430756..c09a9b1bc007 100644
--- a/pkgs/applications/audio/timemachine/default.nix
+++ b/pkgs/applications/audio/timemachine/default.nix
@@ -27,6 +27,7 @@ stdenv.mkDerivation rec {
license = lib.licenses.lgpl2;
platforms = lib.platforms.linux;
maintainers = [ lib.maintainers.nico202 ];
+ mainProgram = "timemachine";
};
}
diff --git a/pkgs/applications/audio/tone/default.nix b/pkgs/applications/audio/tone/default.nix
index 06529a4b02e4..dc10439ab0fc 100644
--- a/pkgs/applications/audio/tone/default.nix
+++ b/pkgs/applications/audio/tone/default.nix
@@ -28,5 +28,6 @@ buildDotnetModule rec {
license = licenses.asl20;
maintainers = [ maintainers.jvanbruegge ];
platforms = platforms.linux;
+ mainProgram = "tone";
};
}
diff --git a/pkgs/applications/audio/tonelib-gfx/default.nix b/pkgs/applications/audio/tonelib-gfx/default.nix
index e875b8085534..260ebd40872e 100644
--- a/pkgs/applications/audio/tonelib-gfx/default.nix
+++ b/pkgs/applications/audio/tonelib-gfx/default.nix
@@ -55,5 +55,6 @@ stdenv.mkDerivation rec {
license = licenses.unfree;
maintainers = with maintainers; [ dan4ik605743 orivej ];
platforms = [ "x86_64-linux" ];
+ mainProgram = "ToneLib-GFX";
};
}
diff --git a/pkgs/applications/audio/tonelib-jam/default.nix b/pkgs/applications/audio/tonelib-jam/default.nix
index 3376ae8ca10f..289f8b92a189 100644
--- a/pkgs/applications/audio/tonelib-jam/default.nix
+++ b/pkgs/applications/audio/tonelib-jam/default.nix
@@ -58,5 +58,6 @@ stdenv.mkDerivation rec {
license = licenses.unfree;
maintainers = with maintainers; [ dan4ik605743 ];
platforms = [ "x86_64-linux" ];
+ mainProgram = "ToneLib-Jam";
};
}
diff --git a/pkgs/applications/audio/tonelib-metal/default.nix b/pkgs/applications/audio/tonelib-metal/default.nix
index df6890d65da3..aee3c383ae68 100644
--- a/pkgs/applications/audio/tonelib-metal/default.nix
+++ b/pkgs/applications/audio/tonelib-metal/default.nix
@@ -57,5 +57,6 @@ stdenv.mkDerivation rec {
license = licenses.unfree;
maintainers = with maintainers; [ dan4ik605743 ];
platforms = [ "x86_64-linux" ];
+ mainProgram = "ToneLib-Metal";
};
}
diff --git a/pkgs/applications/audio/tonelib-noisereducer/default.nix b/pkgs/applications/audio/tonelib-noisereducer/default.nix
index 20dbe8c19dff..f2f01b9326bc 100644
--- a/pkgs/applications/audio/tonelib-noisereducer/default.nix
+++ b/pkgs/applications/audio/tonelib-noisereducer/default.nix
@@ -56,5 +56,6 @@ stdenv.mkDerivation rec {
license = licenses.unfree;
maintainers = with maintainers; [ orivej ];
platforms = [ "x86_64-linux" ];
+ mainProgram = "ToneLib-NoiseReducer";
};
}
diff --git a/pkgs/applications/audio/tonelib-zoom/default.nix b/pkgs/applications/audio/tonelib-zoom/default.nix
index 74fe8645acf3..e5adc356b697 100644
--- a/pkgs/applications/audio/tonelib-zoom/default.nix
+++ b/pkgs/applications/audio/tonelib-zoom/default.nix
@@ -60,5 +60,6 @@ stdenv.mkDerivation rec {
license = licenses.unfree;
maintainers = with maintainers; [ dan4ik605743 ];
platforms = [ "x86_64-linux" ];
+ mainProgram = "ToneLib-Zoom";
};
}
diff --git a/pkgs/applications/audio/tony/default.nix b/pkgs/applications/audio/tony/default.nix
index 33561122b191..a0af1a706643 100644
--- a/pkgs/applications/audio/tony/default.nix
+++ b/pkgs/applications/audio/tony/default.nix
@@ -46,6 +46,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Pitch and note annotation of unaccompanied melody";
+ mainProgram = "tony";
homepage = "https://www.sonicvisualiser.org/tony/";
license = licenses.gpl2Plus;
maintainers = with maintainers; [ orivej ];
diff --git a/pkgs/applications/audio/touchosc/default.nix b/pkgs/applications/audio/touchosc/default.nix
index 1e6ceb52ace8..99d0fba6fa95 100644
--- a/pkgs/applications/audio/touchosc/default.nix
+++ b/pkgs/applications/audio/touchosc/default.nix
@@ -45,7 +45,7 @@ in
stdenv.mkDerivation rec {
pname = "touchosc";
- version = "1.2.7.190";
+ version = "1.2.9.200";
suffix = {
aarch64-linux = "linux-arm64";
@@ -56,9 +56,9 @@ stdenv.mkDerivation rec {
src = fetchurl {
url = "https://hexler.net/pub/${pname}/${pname}-${version}-${suffix}.deb";
hash = {
- aarch64-linux = "sha256-VUsT14miAkCjaGWwcsREBgd5uhKLOIHaH9/jfQECVZ4=";
- armv7l-linux = "sha256-x5zpeuIEfimiGmM9YWBSaXknIZdpO9RzQjE/bYMt16g=";
- x86_64-linux = "sha256-LdMDFNHIWBcaAf+q2JPOm8MqtkaQ+6Drrqkyrrpx6MM=";
+ aarch64-linux = "sha256-JrpwD4xD4t9e3qmBCl6hfHv/InnRBRsYIsNNrxwQojo=";
+ armv7l-linux = "sha256-8e50jznyHUJt9aL5K/emp0T8VSLdXMuBl6KCMot8kIY=";
+ x86_64-linux = "sha256-lQi1HFW53LdS6Q86s0exp0WmTMTz4g48yZC73DaM2lo=";
}.${stdenv.hostPlatform.system} or (throw "Unsupported system: ${stdenv.hostPlatform.system}");
};
diff --git a/pkgs/applications/audio/transcribe/default.nix b/pkgs/applications/audio/transcribe/default.nix
index 2831dd1f4baf..0d311adf8799 100644
--- a/pkgs/applications/audio/transcribe/default.nix
+++ b/pkgs/applications/audio/transcribe/default.nix
@@ -110,5 +110,6 @@ stdenv.mkDerivation rec {
license = licenses.unfree;
maintainers = with maintainers; [ iwanb ];
platforms = platforms.linux;
+ mainProgram = "transcribe";
};
}
diff --git a/pkgs/applications/audio/traverso/default.nix b/pkgs/applications/audio/traverso/default.nix
index 698a03b4a713..b8a81ec7a8e9 100644
--- a/pkgs/applications/audio/traverso/default.nix
+++ b/pkgs/applications/audio/traverso/default.nix
@@ -22,6 +22,7 @@ mkDerivation {
meta = with lib; {
description = "Cross-platform multitrack audio recording and audio editing suite";
+ mainProgram = "traverso";
homepage = "https://traverso-daw.org/";
license = with licenses; [ gpl2Plus lgpl21Plus ];
platforms = platforms.all;
diff --git a/pkgs/applications/audio/tree-from-tags/default.nix b/pkgs/applications/audio/tree-from-tags/default.nix
index 4bf4db09ac07..9b81cc250c1b 100644
--- a/pkgs/applications/audio/tree-from-tags/default.nix
+++ b/pkgs/applications/audio/tree-from-tags/default.nix
@@ -34,5 +34,6 @@ EOF
platforms = ruby.meta.platforms;
maintainers = [ maintainers.livnev maintainers.dbrock ];
license = licenses.gpl2Plus;
+ mainProgram = "tree-from-tags";
};
}
diff --git a/pkgs/applications/audio/vcv-rack/default.nix b/pkgs/applications/audio/vcv-rack/default.nix
index c0067779b7b2..c086436de09f 100644
--- a/pkgs/applications/audio/vcv-rack/default.nix
+++ b/pkgs/applications/audio/vcv-rack/default.nix
@@ -4,7 +4,6 @@
, curl
, fetchFromBitbucket
, fetchFromGitHub
-, fetchzip
, ghc_filesystem
, glew
, glfw
@@ -19,8 +18,6 @@
, libjack2
, libpulseaudio
, libsamplerate
-, libXext
-, libXi
, makeDesktopItem
, makeWrapper
, pkg-config
@@ -114,8 +111,8 @@ let
};
in
stdenv.mkDerivation rec {
- pname = "VCV-Rack";
- version = "2.4.0";
+ pname = "vcv-rack";
+ version = "2.4.1";
desktopItems = [
(makeDesktopItem {
@@ -135,7 +132,7 @@ stdenv.mkDerivation rec {
owner = "VCVRack";
repo = "Rack";
rev = "v${version}";
- sha256 = "0azrqyx5as4jmk9dxb7cj7x9dha81i0mm9pkvdv944qyccqwg55i";
+ hash = "sha256-Gn/sFltLXX2mLv4dDqmr/UPd+JBXVkIZGwMI6Rm0Ih4=";
};
patches = [
@@ -169,6 +166,10 @@ stdenv.mkDerivation rec {
cp -r ${fundamental-source} plugins/Fundamental/
chmod -R +rw plugins/Fundamental # will be used as build dir
substituteInPlace plugin.mk --replace ":= all" ":= dist"
+ substituteInPlace plugins/Fundamental/src/Logic.cpp \
+ --replace \
+ "LightButton>" \
+ "struct rack::componentlibrary::LightButton>"
# Fix reference to zenity
substituteInPlace dep/osdialog/osdialog_zenity.c \
diff --git a/pkgs/applications/audio/vimpc/default.nix b/pkgs/applications/audio/vimpc/default.nix
index 7e834efb9524..713f444ef1ea 100644
--- a/pkgs/applications/audio/vimpc/default.nix
+++ b/pkgs/applications/audio/vimpc/default.nix
@@ -46,5 +46,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl3;
platforms = platforms.unix;
maintainers = with maintainers; [ pSub ];
+ mainProgram = "vimpc";
};
}
diff --git a/pkgs/applications/audio/virtual-ans/default.nix b/pkgs/applications/audio/virtual-ans/default.nix
index 07f006aa0cc1..a14d5c5a37e2 100644
--- a/pkgs/applications/audio/virtual-ans/default.nix
+++ b/pkgs/applications/audio/virtual-ans/default.nix
@@ -81,7 +81,7 @@ stdenv.mkDerivation rec {
'';
homepage = "https://warmplace.ru/soft/ans/";
sourceProvenance = with sourceTypes; [ binaryNativeCode ];
- license = licenses.free;
+ license = licenses.unfreeRedistributable;
# I cannot test the Darwin version, so I'll leave it disabled
platforms = [ "x86_64-linux" "i686-linux" ];
maintainers = with maintainers; [ jacg ];
diff --git a/pkgs/applications/audio/vmpk/default.nix b/pkgs/applications/audio/vmpk/default.nix
index c40af7b046ed..7802c6cce704 100644
--- a/pkgs/applications/audio/vmpk/default.nix
+++ b/pkgs/applications/audio/vmpk/default.nix
@@ -23,6 +23,7 @@ mkDerivation rec {
meta = with lib; {
description = "Virtual MIDI Piano Keyboard";
+ mainProgram = "vmpk";
homepage = "http://vmpk.sourceforge.net/";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ orivej ];
diff --git a/pkgs/applications/audio/wavegain/default.nix b/pkgs/applications/audio/wavegain/default.nix
index 4372944080ec..93dd7bf6fb23 100644
--- a/pkgs/applications/audio/wavegain/default.nix
+++ b/pkgs/applications/audio/wavegain/default.nix
@@ -34,5 +34,6 @@ stdenv.mkDerivation {
license = lib.licenses.lgpl21;
platforms = lib.platforms.linux;
maintainers = [ lib.maintainers.robbinch ];
+ mainProgram = "wavegain";
};
}
diff --git a/pkgs/applications/misc/done/Cargo.lock b/pkgs/applications/audio/waylyrics/Cargo.lock
similarity index 56%
rename from pkgs/applications/misc/done/Cargo.lock
rename to pkgs/applications/audio/waylyrics/Cargo.lock
index 00698793f26e..2c87d54dd27a 100644
--- a/pkgs/applications/misc/done/Cargo.lock
+++ b/pkgs/applications/audio/waylyrics/Cargo.lock
@@ -2,16 +2,6 @@
# It is not intended for manual editing.
version = 3
-[[package]]
-name = "Inflector"
-version = "0.11.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fe438c63458706e03479442743baae6c88256498e6431708f6dfc520a26515d3"
-dependencies = [
- "lazy_static",
- "regex",
-]
-
[[package]]
name = "addr2line"
version = "0.21.0"
@@ -28,85 +18,85 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "f26201604c87b1e01bd3d98f8d5d9a8fcbb815e8cedb41ffccbeb4bf593a35fe"
[[package]]
-name = "aho-corasick"
-version = "1.0.5"
+name = "ahash"
+version = "0.7.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0c378d78423fdad8089616f827526ee33c19f2fddbd5de1629152c9593ba4783"
+checksum = "891477e0c6a8957309ee5c45a6368af3ae14bb510732d2684ffa19af310920f9"
+dependencies = [
+ "getrandom",
+ "once_cell",
+ "version_check",
+]
+
+[[package]]
+name = "aho-corasick"
+version = "1.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b2969dcb958b36655471fc61f7e416fa76033bdd4bfed0678d8fee1e2d07a1f0"
dependencies = [
"memchr",
]
[[package]]
-name = "android-tzdata"
-version = "0.1.1"
+name = "ansi_term"
+version = "0.12.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e999941b234f3131b00bc13c22d06e8c5ff726d1b6318ac7eb276997bbb4fef0"
-
-[[package]]
-name = "android_system_properties"
-version = "0.1.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "819e7219dbd41043ac279b19830f2efc897156490d7fd6ea916720117ee66311"
+checksum = "d52a9bb7ec0cf484c551830a7ce27bd20d67eac647e1befb56b0be4ee39a55d2"
dependencies = [
- "libc",
+ "winapi",
]
[[package]]
name = "anyhow"
-version = "1.0.75"
+version = "1.0.80"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a4668cab20f66d8d020e1fbc0ebe47217433c1b6c8f2040faf858554e394ace6"
+checksum = "5ad32ce52e4161730f7098c077cd2ed6229b5804ccf99e5366be1ab72a98b4e1"
[[package]]
-name = "arc-swap"
-version = "1.6.0"
+name = "arrayvec"
+version = "0.7.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bddcadddf5e9015d310179a59bb28c4d4b9920ad0f11e8e14dbadf654890c9a6"
+checksum = "96d30a06541fbafbc7f82ed10c06164cfbd2c401138f6addd8404629c4b16711"
[[package]]
-name = "async-compression"
-version = "0.4.2"
+name = "assert_float_eq"
+version = "1.1.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d495b6dc0184693324491a5ac05f559acc97bf937ab31d7a1c33dd0016be6d2b"
+checksum = "4cea652ffbedecf29e9cd41bb4c066881057a42c0c119040f022802b26853e77"
+
+[[package]]
+name = "async-channel"
+version = "2.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f28243a43d821d11341ab73c80bed182dc015c514b951616cf79bd4af39af0c3"
dependencies = [
- "flate2",
- "futures-core",
- "memchr",
- "pin-project-lite",
- "tokio",
-]
-
-[[package]]
-name = "async-stream"
-version = "0.3.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cd56dd203fef61ac097dd65721a419ddccb106b2d2b70ba60a6b529f03961a51"
-dependencies = [
- "async-stream-impl",
+ "concurrent-queue",
+ "event-listener",
+ "event-listener-strategy",
"futures-core",
"pin-project-lite",
]
-[[package]]
-name = "async-stream-impl"
-version = "0.3.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "16e62a023e7c117e27523144c5d2459f4397fcc3cab0085af8e2224f643a0193"
-dependencies = [
- "proc-macro2",
- "quote",
- "syn 2.0.29",
-]
-
[[package]]
name = "async-trait"
-version = "0.1.73"
+version = "0.1.77"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bc00ceb34980c03614e35a3a4e218276a0a824e911d07651cd0d858a51e8c0f0"
+checksum = "c980ee35e870bd1a4d2c8294d4c04d0499e67bca1e4b5cefcc693c2fa00caea9"
dependencies = [
"proc-macro2",
- "quote",
- "syn 2.0.29",
+ "quote 1.0.35",
+ "syn 2.0.50",
+]
+
+[[package]]
+name = "atty"
+version = "0.2.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d9b39be18770d11421cdb1b9947a45dd3f37e93092cbf377614828a319d5fee8"
+dependencies = [
+ "hermit-abi 0.1.19",
+ "libc",
+ "winapi",
]
[[package]]
@@ -132,9 +122,9 @@ dependencies = [
[[package]]
name = "base64"
-version = "0.21.3"
+version = "0.21.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "414dcefbc63d77c526a76b3afcf6fbb9b5e2791c19c3aa2297733208750c6e53"
+checksum = "9d297deb1925b89f2ccc13d7635fa0714f12c87adce1c75356b39ca9b7178567"
[[package]]
name = "bitflags"
@@ -144,9 +134,21 @@ checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a"
[[package]]
name = "bitflags"
-version = "2.4.0"
+version = "2.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b4682ae6287fcf752ecaabbfcc7b6f9b72aa33933dc23a554d853aea8eea8635"
+checksum = "ed570934406eb16438a4e976b1b4500774099c13b8cb96eec99f620f05090ddf"
+
+[[package]]
+name = "bitvec"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1bc2832c24239b0141d5674bb9174f9d68a8b5b3f2753311927c172ca46f7e9c"
+dependencies = [
+ "funty",
+ "radium",
+ "tap",
+ "wyz",
+]
[[package]]
name = "block"
@@ -155,86 +157,98 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "0d8c1fef690941d3e7788d328517591fecc684c084084702d6ff1641e993699a"
[[package]]
-name = "block-buffer"
-version = "0.10.4"
+name = "borsh"
+version = "1.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3078c7629b62d3f0439517fa394996acacc5cbc91c5a20d8c658e77abd503a71"
+checksum = "f58b559fd6448c6e2fd0adb5720cd98a2506594cafa4737ff98c396f3e82f667"
dependencies = [
- "generic-array",
+ "borsh-derive",
+ "cfg_aliases",
+]
+
+[[package]]
+name = "borsh-derive"
+version = "1.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7aadb5b6ccbd078890f6d7003694e33816e6b784358f18e15e7e6d9f065a57cd"
+dependencies = [
+ "once_cell",
+ "proc-macro-crate",
+ "proc-macro2",
+ "quote 1.0.35",
+ "syn 2.0.50",
+ "syn_derive",
]
[[package]]
name = "bumpalo"
-version = "3.13.0"
+version = "3.15.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a3e2c3daef883ecc1b5d58c15adae93470a91d425f3532ba1695849656af3fc1"
+checksum = "a3b1be7772ee4501dba05acbe66bb1e8760f6a6c474a36035631638e4415f130"
[[package]]
-name = "byteorder"
-version = "1.4.3"
+name = "bytecheck"
+version = "0.6.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "14c189c53d098945499cdfa7ecc63567cf3886b3332b312a5b4585d8d3a6a610"
-
-[[package]]
-name = "bytes"
-version = "1.4.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "89b2fd2a0dcf38d7971e2194b6b6eebab45ae01067456a7fd93d5547a61b70be"
+checksum = "23cdc57ce23ac53c931e88a43d06d070a6fd142f2617be5855eb75efc9beb1c2"
dependencies = [
- "serde",
+ "bytecheck_derive",
+ "ptr_meta",
+ "simdutf8",
]
[[package]]
-name = "cairo-rs"
-version = "0.18.0"
+name = "bytecheck_derive"
+version = "0.6.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d859b656775a6b1dd078d3e5924884e6ea88aa649a7fdde03d5b2ec56ffcc10b"
+checksum = "3db406d29fbcd95542e92559bed4d8ad92636d1ca8b3b72ede10b4bcc010e659"
dependencies = [
- "bitflags 2.4.0",
+ "proc-macro2",
+ "quote 1.0.35",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "bytes"
+version = "1.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a2bd12c1caf447e69cd4528f47f94d203fd2582878ecb9e9465484c4148a8223"
+
+[[package]]
+name = "cairo-rs"
+version = "0.19.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2650f66005301bd33cc486dec076e1293c4cecf768bc7ba9bf5d2b1be339b99c"
+dependencies = [
+ "bitflags 2.4.2",
"cairo-sys-rs",
"glib",
"libc",
- "once_cell",
"thiserror",
]
[[package]]
name = "cairo-sys-rs"
-version = "0.18.0"
+version = "0.19.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bd4d115132e01c0165e3bf5f56aedee8980b0b96ede4eb000b693c05a8adb8ff"
+checksum = "fd3bb3119664efbd78b5e6c93957447944f16bdbced84c17a9f41c7829b81e64"
dependencies = [
"glib-sys",
"libc",
"system-deps",
]
-[[package]]
-name = "cascade"
-version = "1.0.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d499b43edbf784dd81e16f0395f5b4350a35b477da8a074251087adefc11cb52"
-
[[package]]
name = "cc"
-version = "1.0.83"
+version = "1.0.86"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f1174fb0b6ec23863f8b971027804a42614e347eafb0a95bf0b12cdae21fc4d0"
-dependencies = [
- "libc",
-]
-
-[[package]]
-name = "cesu8"
-version = "1.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6d43a04d8753f35258c91f8ec639f792891f748a1edbd759cf1dcea3382ad83c"
+checksum = "7f9fa1897e4325be0d68d48df6aa1a71ac2ed4d27723887e7754192705350730"
[[package]]
name = "cfg-expr"
-version = "0.15.4"
+version = "0.15.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b40ccee03b5175c18cde8f37e7d2a33bcef6f8ec8f7cc0d81090d1bb380949c9"
+checksum = "fa50868b64a9a6fda9d593ce778849ea8715cd2a3d2cc17ffdb4a2f2f2f1961d"
dependencies = [
"smallvec",
"target-lexicon",
@@ -247,55 +261,78 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd"
[[package]]
-name = "chrono"
-version = "0.4.28"
+name = "cfg_aliases"
+version = "0.1.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "95ed24df0632f708f5f6d8082675bef2596f7084dee3dd55f632290bf35bfe0f"
-dependencies = [
- "android-tzdata",
- "iana-time-zone",
- "js-sys",
- "num-traits",
- "serde",
- "time 0.1.45",
- "wasm-bindgen",
- "windows-targets 0.48.5",
-]
+checksum = "fd16c4719339c4530435d38e511904438d07cce7950afa3718a84ac36c10e89e"
[[package]]
-name = "chrono-humanize"
-version = "0.2.3"
+name = "clap"
+version = "2.34.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "799627e6b4d27827a814e837b9d8a504832086081806d45b1afa34dc982b023b"
+checksum = "a0610544180c38b88101fecf2dd634b174a62eef6946f84dfc6a7127512b381c"
dependencies = [
- "chrono",
-]
-
-[[package]]
-name = "codespan-reporting"
-version = "0.11.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3538270d33cc669650c4b093848450d380def10c331d38c768e34cac80576e6e"
-dependencies = [
- "termcolor",
+ "ansi_term",
+ "atty",
+ "bitflags 1.3.2",
+ "strsim 0.8.0",
+ "textwrap",
"unicode-width",
+ "vec_map",
]
[[package]]
-name = "combine"
-version = "4.6.6"
+name = "concurrent-queue"
+version = "2.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "35ed6e9d84f0b51a7f52daf1c7d71dd136fd7a3f41a8462b8cdb8c78d920fad4"
+checksum = "d16048cd947b08fa32c24458a22f5dc5e835264f689f4f5653210c69fd107363"
dependencies = [
- "bytes",
- "memchr",
+ "crossbeam-utils",
+]
+
+[[package]]
+name = "cookie"
+version = "0.17.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7efb37c3e1ccb1ff97164ad95ac1606e8ccd35b3fa0a7d99a304c7f4a428cc24"
+dependencies = [
+ "percent-encoding",
+ "time",
+ "version_check",
+]
+
+[[package]]
+name = "cookie"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3cd91cf61412820176e137621345ee43b3f4423e589e7ae4e50d601d93e35ef8"
+dependencies = [
+ "time",
+ "version_check",
+]
+
+[[package]]
+name = "cookie_store"
+version = "0.20.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "387461abbc748185c3a6e1673d826918b450b87ff22639429c694619a83b6cf6"
+dependencies = [
+ "cookie 0.17.0",
+ "idna 0.3.0",
+ "log",
+ "publicsuffix",
+ "serde",
+ "serde_derive",
+ "serde_json",
+ "time",
+ "url",
]
[[package]]
name = "core-foundation"
-version = "0.9.3"
+version = "0.9.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "194a7a9e6de53fa55116934067c844d9d749312f75c6f6d0980e8c252f8c2146"
+checksum = "91e195e091a93c46f7102ec7818a2aa394e1e1771c3ab4825963fa03e45afb8f"
dependencies = [
"core-foundation-sys",
"libc",
@@ -303,269 +340,139 @@ dependencies = [
[[package]]
name = "core-foundation-sys"
-version = "0.8.4"
+version = "0.8.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e496a50fda8aacccc86d7529e2c1e0892dbd0f898a6b5645b5561b89c3210efa"
+checksum = "06ea2b9bc92be3c2baa9334a323ebca2d6f074ff852cd1d7b11064035cd3868f"
[[package]]
-name = "core_done"
-version = "0.1.0"
+name = "crossbeam-utils"
+version = "0.8.19"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "248e3bacc7dc6baa3b21e405ee045c3047101a49145e7e9eca583ab4c2ca5345"
+
+[[package]]
+name = "darling"
+version = "0.14.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7b750cb3417fd1b327431a470f388520309479ab0bf5e323505daf0290cd3850"
dependencies = [
- "anyhow",
- "async-stream",
- "async-trait",
- "cascade",
- "chrono",
- "diesel",
- "diesel_migrations",
- "futures",
- "graph-rs-sdk",
- "http",
- "keytar",
- "libset",
- "open",
- "regex",
- "reqwest",
- "serde",
- "serde_json",
- "strum 0.25.0",
- "strum_macros 0.25.2",
- "tokio",
- "tracing",
- "tracing-subscriber",
- "url",
- "uuid",
+ "darling_core",
+ "darling_macro",
]
[[package]]
-name = "cpufeatures"
-version = "0.2.9"
+name = "darling_core"
+version = "0.14.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a17b76ff3a4162b0b27f354a0c87015ddad39d35f9c0c36607a3bdd175dde1f1"
+checksum = "109c1ca6e6b7f82cc233a97004ea8ed7ca123a9af07a8230878fcfda9b158bf0"
dependencies = [
- "libc",
-]
-
-[[package]]
-name = "crc32fast"
-version = "1.3.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b540bd8bc810d3885c6ea91e2018302f68baba2129ab3e88f32389ee9370880d"
-dependencies = [
- "cfg-if",
-]
-
-[[package]]
-name = "crypto-common"
-version = "0.1.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1bfb12502f3fc46cca1bb51ac28df9d618d813cdc3d2f25b9fe775a34af26bb3"
-dependencies = [
- "generic-array",
- "typenum",
-]
-
-[[package]]
-name = "cxx"
-version = "1.0.107"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bbe98ba1789d56fb3db3bee5e032774d4f421b685de7ba703643584ba24effbe"
-dependencies = [
- "cc",
- "cxxbridge-flags",
- "cxxbridge-macro",
- "link-cplusplus",
-]
-
-[[package]]
-name = "cxx-build"
-version = "1.0.107"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c4ce20f6b8433da4841b1dadfb9468709868022d829d5ca1f2ffbda928455ea3"
-dependencies = [
- "cc",
- "codespan-reporting",
- "once_cell",
+ "fnv",
+ "ident_case",
"proc-macro2",
- "quote",
- "scratch",
- "syn 2.0.29",
-]
-
-[[package]]
-name = "cxxbridge-flags"
-version = "1.0.107"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "20888d9e1d2298e2ff473cee30efe7d5036e437857ab68bbfea84c74dba91da2"
-
-[[package]]
-name = "cxxbridge-macro"
-version = "1.0.107"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2fa16a70dd58129e4dfffdff535fb1bce66673f7bbeec4a5a1765a504e1ccd84"
-dependencies = [
- "proc-macro2",
- "quote",
- "syn 2.0.29",
-]
-
-[[package]]
-name = "dashmap"
-version = "5.5.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "978747c1d849a7d2ee5e8adc0159961c48fb7e5db2f06af6723b80123bb53856"
-dependencies = [
- "cfg-if",
- "hashbrown 0.14.0",
- "lock_api",
- "once_cell",
- "parking_lot_core",
-]
-
-[[package]]
-name = "deranged"
-version = "0.3.8"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f2696e8a945f658fd14dc3b87242e6b80cd0f36ff04ea560fa39082368847946"
-
-[[package]]
-name = "derive-new"
-version = "0.5.9"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3418329ca0ad70234b9735dc4ceed10af4df60eff9c8e7b06cb5e520d92c3535"
-dependencies = [
- "proc-macro2",
- "quote",
+ "quote 1.0.35",
+ "strsim 0.10.0",
"syn 1.0.109",
]
[[package]]
-name = "diesel"
-version = "2.1.1"
+name = "darling_macro"
+version = "0.14.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d98235fdc2f355d330a8244184ab6b4b33c28679c0b4158f63138e51d6cf7e88"
+checksum = "a4aab4dbc9f7611d8b55048a3a16d2d010c2c8334e46304b40ac1cc14bf3b48e"
dependencies = [
- "chrono",
- "diesel_derives",
- "libsqlite3-sys",
- "time 0.3.28",
+ "darling_core",
+ "quote 1.0.35",
+ "syn 1.0.109",
]
[[package]]
-name = "diesel_derives"
-version = "2.1.1"
+name = "dbus"
+version = "0.9.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e054665eaf6d97d1e7125512bb2d35d07c73ac86cc6920174cb42d1ab697a554"
-dependencies = [
- "diesel_table_macro_syntax",
- "proc-macro2",
- "quote",
- "syn 2.0.29",
-]
-
-[[package]]
-name = "diesel_migrations"
-version = "2.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6036b3f0120c5961381b570ee20a02432d7e2d27ea60de9578799cf9156914ac"
-dependencies = [
- "diesel",
- "migrations_internals",
- "migrations_macros",
-]
-
-[[package]]
-name = "diesel_table_macro_syntax"
-version = "0.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fc5557efc453706fed5e4fa85006fe9817c224c3f480a34c7e5959fd700921c5"
-dependencies = [
- "syn 2.0.29",
-]
-
-[[package]]
-name = "digest"
-version = "0.10.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9ed9a281f7bc9b7576e61468ba615a66a5c8cfdff42420a70aa82701a3b1e292"
-dependencies = [
- "block-buffer",
- "crypto-common",
-]
-
-[[package]]
-name = "directories"
-version = "4.0.1"
-source = "git+https://github.com/edfloreshz/directories-rs#6a6d83d853a35ee3273034215c4defaf61286fe5"
-dependencies = [
- "anyhow",
- "dirs-sys",
-]
-
-[[package]]
-name = "dirs"
-version = "4.0.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ca3aa72a6f96ea37bbc5aa912f6788242832f75369bdfdadcb0e38423f100059"
-dependencies = [
- "dirs-sys",
-]
-
-[[package]]
-name = "dirs-sys"
-version = "0.3.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1b1d1d91c932ef41c0f2663aa8b0ca0342d444d842c06914aa0a7e352d0bada6"
+checksum = "1bb21987b9fb1613058ba3843121dd18b163b254d8a6e797e144cbac14d96d1b"
dependencies = [
"libc",
- "redox_users",
+ "libdbus-sys",
"winapi",
]
[[package]]
-name = "displaydoc"
-version = "0.2.4"
+name = "dbus-codegen"
+version = "0.9.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "487585f4d0c6655fe74905e2504d8ad6908e4db67f744eb140876906c2f3175d"
+checksum = "a49da9fdfbe872d4841d56605dc42efa5e6ca3291299b87f44e1cde91a28617c"
+dependencies = [
+ "clap",
+ "dbus",
+ "xml-rs",
+]
+
+[[package]]
+name = "dbus-dummy"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "22d24c4c449e488b022f58a19817ffcedc637d67237076068da883e0fc8f7159"
+
+[[package]]
+name = "dbus-tree"
+version = "0.9.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f456e698ae8e54575e19ddb1f9b7bce2298568524f215496b248eb9498b4f508"
+dependencies = [
+ "dbus",
+]
+
+[[package]]
+name = "deranged"
+version = "0.3.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b42b6fa04a440b495c8b04d0e71b707c585f83cb9cb28cf8cd0d976c315e31b4"
+dependencies = [
+ "powerfmt",
+]
+
+[[package]]
+name = "derivative"
+version = "2.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fcc3dd5e9e9c0b295d6e1e4d811fb6f157d5ffd784b8d202fc62eac8035a770b"
dependencies = [
"proc-macro2",
- "quote",
- "syn 2.0.29",
+ "quote 1.0.35",
+ "syn 1.0.109",
]
[[package]]
-name = "done"
-version = "0.2.0"
-dependencies = [
- "anyhow",
- "chrono",
- "core_done",
- "derive-new",
- "futures",
- "gettext-rs",
- "glib",
- "glib-build-tools",
- "i18n-embed",
- "i18n-embed-fl",
- "libadwaita",
- "libset",
- "once_cell",
- "relm4",
- "relm4-icons",
- "reqwest",
- "rust-embed",
- "serde",
- "strum 0.25.0",
- "strum_macros 0.25.2",
- "tracing",
- "tracing-subscriber",
-]
-
-[[package]]
-name = "dyn-clone"
-version = "1.0.13"
+name = "derive_is_enum_variant"
+version = "0.1.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bbfc4744c1b8f2a09adc0e55242f60b1af195d88596bd8700be74418c056c555"
+checksum = "d0ac8859845146979953797f03cc5b282fb4396891807cdb3d04929a88418197"
+dependencies = [
+ "heck 0.3.3",
+ "quote 0.3.15",
+ "syn 0.11.11",
+]
+
+[[package]]
+name = "documented"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e2f2016be31b2ee8ca5950d0b985e53d2802bbe646634e41cdf70f668fc66049"
+dependencies = [
+ "documented-derive",
+ "phf",
+ "thiserror",
+]
+
+[[package]]
+name = "documented-derive"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "83095afc77f3f21d50be46d608d0a1cfa463cc97e4f3aedab871d6e0baf91870"
+dependencies = [
+ "quote 1.0.35",
+ "syn 2.0.50",
+]
[[package]]
name = "encoding_rs"
@@ -576,47 +483,59 @@ dependencies = [
"cfg-if",
]
+[[package]]
+name = "enum-kinds"
+version = "0.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4e40a16955681d469ab3da85aaa6b42ff656b3c67b52e1d8d3dd36afe97fd462"
+dependencies = [
+ "proc-macro2",
+ "quote 1.0.35",
+ "syn 1.0.109",
+]
+
[[package]]
name = "equivalent"
version = "1.0.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "5443807d6dff69373d433ab9ef5378ad8df50ca6298caf15de6e52e24aaf54d5"
-[[package]]
-name = "erased-serde"
-version = "0.3.31"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6c138974f9d5e7fe373eb04df7cae98833802ae4b11c24ac7039a21d5af4b26c"
-dependencies = [
- "serde",
-]
-
[[package]]
name = "errno"
-version = "0.3.3"
+version = "0.3.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "136526188508e25c6fef639d7927dfb3e0e3084488bf202267829cf7fc23dbdd"
+checksum = "a258e46cdc063eb8519c00b9fc845fc47bcfca4130e2f08e88665ceda8474245"
dependencies = [
- "errno-dragonfly",
"libc",
- "windows-sys 0.48.0",
+ "windows-sys 0.52.0",
]
[[package]]
-name = "errno-dragonfly"
-version = "0.1.2"
+name = "event-listener"
+version = "5.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "aa68f1b12764fab894d2755d2518754e71b4fd80ecfb822714a1206c2aab39bf"
+checksum = "b7ad6fd685ce13acd6d9541a30f6db6567a7a24c9ffd4ba2955d29e3f22c8b27"
dependencies = [
- "cc",
- "libc",
+ "concurrent-queue",
+ "parking",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "event-listener-strategy"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "feedafcaa9b749175d5ac357452a9d41ea2911da598fde46ce1fe02c37751291"
+dependencies = [
+ "event-listener",
+ "pin-project-lite",
]
[[package]]
name = "fastrand"
-version = "2.0.0"
+version = "2.0.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6999dc1837253364c2ebb0704ba97994bd874e8f195d665c50b7548f6ea92764"
+checksum = "25cbce373ec4653f1a01a31e8a5e5ec0c622dc27ff9c4e6606eefef5cbbed4a5"
[[package]]
name = "field-offset"
@@ -628,81 +547,6 @@ dependencies = [
"rustc_version",
]
-[[package]]
-name = "find-crate"
-version = "0.6.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "59a98bbaacea1c0eb6a0876280051b892eb73594fd90cf3b20e9c817029c57d2"
-dependencies = [
- "toml 0.5.11",
-]
-
-[[package]]
-name = "flate2"
-version = "1.0.27"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c6c98ee8095e9d1dcbf2fcc6d95acccb90d1c81db1e44725c6a984b1dbdfb010"
-dependencies = [
- "crc32fast",
- "miniz_oxide",
-]
-
-[[package]]
-name = "fluent"
-version = "0.16.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "61f69378194459db76abd2ce3952b790db103ceb003008d3d50d97c41ff847a7"
-dependencies = [
- "fluent-bundle",
- "unic-langid",
-]
-
-[[package]]
-name = "fluent-bundle"
-version = "0.15.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e242c601dec9711505f6d5bbff5bedd4b61b2469f2e8bb8e57ee7c9747a87ffd"
-dependencies = [
- "fluent-langneg",
- "fluent-syntax",
- "intl-memoizer",
- "intl_pluralrules",
- "rustc-hash",
- "self_cell",
- "smallvec",
- "unic-langid",
-]
-
-[[package]]
-name = "fluent-langneg"
-version = "0.13.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2c4ad0989667548f06ccd0e306ed56b61bd4d35458d54df5ec7587c0e8ed5e94"
-dependencies = [
- "unic-langid",
-]
-
-[[package]]
-name = "fluent-syntax"
-version = "0.11.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c0abed97648395c902868fee9026de96483933faa54ea3b40d652f7dfe61ca78"
-dependencies = [
- "thiserror",
-]
-
-[[package]]
-name = "flume"
-version = "0.11.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "55ac459de2512911e4b674ce33cf20befaba382d05b62b008afc1c8b57cbf181"
-dependencies = [
- "futures-core",
- "futures-sink",
- "nanorand",
- "spin 0.9.8",
-]
-
[[package]]
name = "fnv"
version = "1.0.7"
@@ -726,55 +570,60 @@ checksum = "00b0228411908ca8685dba7fc2cdd70ec9990a6e753e89b6ac91a84c40fbaf4b"
[[package]]
name = "form_urlencoded"
-version = "1.2.0"
+version = "1.2.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a62bc1cf6f830c2ec14a513a9fb124d0a213a629668a4186f329db21fe045652"
+checksum = "e13624c2627564efccf4934284bdd98cbaa14e79b0b5a141218e507b3a823456"
dependencies = [
"percent-encoding",
]
[[package]]
-name = "fragile"
-version = "2.0.0"
+name = "from_variants"
+version = "1.0.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6c2141d6d6c8512188a7891b4b01590a45f6dac67afb4f255c4124dbb86d4eaa"
-
-[[package]]
-name = "futures"
-version = "0.3.28"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "23342abe12aba583913b2e62f22225ff9c950774065e4bfb61a19cd9770fec40"
+checksum = "4e859c8f2057687618905dbe99fc76e836e0a69738865ef90e46fc214a41bbf2"
dependencies = [
- "futures-channel",
- "futures-core",
- "futures-executor",
- "futures-io",
- "futures-sink",
- "futures-task",
- "futures-util",
+ "from_variants_impl",
]
[[package]]
-name = "futures-channel"
-version = "0.3.28"
+name = "from_variants_impl"
+version = "1.0.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "955518d47e09b25bbebc7a18df10b81f0c766eaf4c4f1cccef2fca5f2a4fb5f2"
+checksum = "55a5e644a80e6d96b2b4910fa7993301d7b7926c045b475b62202b20a36ce69e"
+dependencies = [
+ "darling",
+ "proc-macro2",
+ "quote 1.0.35",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "funty"
+version = "2.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e6d5a32815ae3f33302d95fdcb2ce17862f8c65363dcfd29360480ba1001fc9c"
+
+[[package]]
+name = "futures-channel"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eac8f7d7865dcb88bd4373ab671c8cf4508703796caa2b1985a9ca867b3fcb78"
dependencies = [
"futures-core",
- "futures-sink",
]
[[package]]
name = "futures-core"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4bca583b7e26f571124fe5b7561d49cb2868d79116cfa0eefce955557c6fee8c"
+checksum = "dfc6580bb841c5a68e9ef15c77ccc837b40a7504914d52e47b8b0e9bbda25a1d"
[[package]]
name = "futures-executor"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ccecee823288125bd88b4d7f565c9e58e41858e47ab72e8ea2d64e93624386e0"
+checksum = "a576fc72ae164fca6b9db127eaa9a9dda0d61316034f33a0a0d4eda41f02b01d"
dependencies = [
"futures-core",
"futures-task",
@@ -783,46 +632,42 @@ dependencies = [
[[package]]
name = "futures-io"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4fff74096e71ed47f8e023204cfd0aa1289cd54ae5430a9523be060cdb849964"
+checksum = "a44623e20b9681a318efdd71c299b6b222ed6f231972bfe2f224ebad6311f0c1"
[[package]]
name = "futures-macro"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "89ca545a94061b6365f2c7355b4b32bd20df3ff95f02da9329b34ccc3bd6ee72"
+checksum = "87750cf4b7a4c0625b1529e4c543c2182106e4dedc60a2a6455e00d212c489ac"
dependencies = [
"proc-macro2",
- "quote",
- "syn 2.0.29",
+ "quote 1.0.35",
+ "syn 2.0.50",
]
[[package]]
name = "futures-sink"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f43be4fe21a13b9781a69afa4985b0f6ee0e1afab2c6f454a8cf30e2b2237b6e"
+checksum = "9fb8e00e87438d937621c1c6269e53f536c14d3fbd6a042bb24879e57d474fb5"
[[package]]
name = "futures-task"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "76d3d132be6c0e6aa1534069c705a74a5997a356c0dc2f86a47765e5617c5b65"
+checksum = "38d84fa142264698cdce1a9f9172cf383a0c82de1bddcf3092901442c4097004"
[[package]]
name = "futures-util"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "26b01e40b772d54cf6c6d721c1d1abd0647a0106a12ecaa1c186273392a69533"
+checksum = "3d6401deb83407ab3da39eba7e33987a73c3df0c82b4bb5813ee871c19c41d48"
dependencies = [
- "futures-channel",
"futures-core",
- "futures-io",
"futures-macro",
- "futures-sink",
"futures-task",
- "memchr",
"pin-project-lite",
"pin-utils",
"slab",
@@ -830,22 +675,21 @@ dependencies = [
[[package]]
name = "gdk-pixbuf"
-version = "0.18.0"
+version = "0.19.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bbc9c2ed73a81d556b65d08879ba4ee58808a6b1927ce915262185d6d547c6f3"
+checksum = "f6a23f8a0b5090494fd04924662d463f8386cc678dd3915015a838c1a3679b92"
dependencies = [
"gdk-pixbuf-sys",
"gio",
"glib",
"libc",
- "once_cell",
]
[[package]]
name = "gdk-pixbuf-sys"
-version = "0.18.0"
+version = "0.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3f9839ea644ed9c97a34d129ad56d38a25e6756f99f3a88e15cd39c20629caf7"
+checksum = "3dcbd04c1b2c4834cc008b4828bc917d062483b88d26effde6342e5622028f96"
dependencies = [
"gio-sys",
"glib-sys",
@@ -856,9 +700,9 @@ dependencies = [
[[package]]
name = "gdk4"
-version = "0.7.2"
+version = "0.8.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6982d9815ed6ac95b0467b189e81f29dea26d08a732926ec113e65744ed3f96c"
+checksum = "6771942f85a2beaa220c64739395e4401b9fab4a52aba9b503fa1e6ed4d4d806"
dependencies = [
"cairo-rs",
"gdk-pixbuf",
@@ -871,9 +715,9 @@ dependencies = [
[[package]]
name = "gdk4-sys"
-version = "0.7.2"
+version = "0.8.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dbab43f332a3cf1df9974da690b5bb0e26720ed09a228178ce52175372dcfef0"
+checksum = "1eb95854fab65072023a7814434f003db571d6e45c287c0b0c540c1c78bdf6ae"
dependencies = [
"cairo-sys-rs",
"gdk-pixbuf-sys",
@@ -886,27 +730,15 @@ dependencies = [
"system-deps",
]
-[[package]]
-name = "generic-array"
-version = "0.14.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "85649ca51fd72272d7821adaf274ad91c288277713d9c18820d8499a7ff69e9a"
-dependencies = [
- "typenum",
- "version_check",
-]
-
[[package]]
name = "getrandom"
-version = "0.2.10"
+version = "0.2.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "be4136b2a15dd319360be1c07d9933517ccf0be8f16bf62a3bee4f0d618df427"
+checksum = "190092ea657667030ac6a35e305e62fc4dd69fd98ac98631e5d3a2b1575a12b5"
dependencies = [
"cfg-if",
- "js-sys",
"libc",
- "wasi 0.11.0+wasi-snapshot-preview1",
- "wasm-bindgen",
+ "wasi",
]
[[package]]
@@ -931,15 +763,15 @@ dependencies = [
[[package]]
name = "gimli"
-version = "0.28.0"
+version = "0.28.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6fb8d784f27acf97159b40fc4db5ecd8aa23b9ad5ef69cdd136d3bc80665f0c0"
+checksum = "4271d37baee1b8c7e4b708028c57d816cf9d2434acb33a549475f78c181f6253"
[[package]]
name = "gio"
-version = "0.18.1"
+version = "0.19.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7884cba6b1c5db1607d970cadf44b14a43913d42bc68766eea6a5e2fe0891524"
+checksum = "2eae10b27b6dd27e22ed0d812c6387deba295e6fc004a8b379e459b663b05a02"
dependencies = [
"futures-channel",
"futures-core",
@@ -948,7 +780,6 @@ dependencies = [
"gio-sys",
"glib",
"libc",
- "once_cell",
"pin-project-lite",
"smallvec",
"thiserror",
@@ -956,24 +787,24 @@ dependencies = [
[[package]]
name = "gio-sys"
-version = "0.18.1"
+version = "0.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "37566df850baf5e4cb0dfb78af2e4b9898d817ed9263d1090a2df958c64737d2"
+checksum = "bcf8e1d9219bb294636753d307b030c1e8a032062cba74f493c431a5c8b81ce4"
dependencies = [
"glib-sys",
"gobject-sys",
"libc",
"system-deps",
- "winapi",
+ "windows-sys 0.52.0",
]
[[package]]
name = "glib"
-version = "0.18.1"
+version = "0.19.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "331156127e8166dd815cf8d2db3a5beb492610c716c03ee6db4f2d07092af0a7"
+checksum = "ab9e86540b5d8402e905ad4ce7d6aa544092131ab564f3102175af176b90a053"
dependencies = [
- "bitflags 2.4.0",
+ "bitflags 2.4.2",
"futures-channel",
"futures-core",
"futures-executor",
@@ -985,36 +816,28 @@ dependencies = [
"gobject-sys",
"libc",
"memchr",
- "once_cell",
"smallvec",
"thiserror",
]
-[[package]]
-name = "glib-build-tools"
-version = "0.18.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3431c56f463443cba9bc3600248bc6d680cb614c2ee1cdd39dab5415bd12ac5c"
-
[[package]]
name = "glib-macros"
-version = "0.18.0"
+version = "0.19.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "179643c50bf28d20d2f6eacd2531a88f2f5d9747dd0b86b8af1e8bb5dd0de3c0"
+checksum = "0f5897ca27a83e4cdc7b4666850bade0a2e73e17689aabafcc9acddad9d823b8"
dependencies = [
- "heck",
+ "heck 0.4.1",
"proc-macro-crate",
- "proc-macro-error",
"proc-macro2",
- "quote",
- "syn 2.0.29",
+ "quote 1.0.35",
+ "syn 2.0.50",
]
[[package]]
name = "glib-sys"
-version = "0.18.1"
+version = "0.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "063ce2eb6a8d0ea93d2bf8ba1957e78dbab6be1c2220dd3daca57d5a9d869898"
+checksum = "630f097773d7c7a0bb3258df4e8157b47dc98bbfa0e60ad9ab56174813feced4"
dependencies = [
"libc",
"system-deps",
@@ -1022,115 +845,20 @@ dependencies = [
[[package]]
name = "gobject-sys"
-version = "0.18.0"
+version = "0.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0850127b514d1c4a4654ead6dedadb18198999985908e6ffe4436f53c785ce44"
+checksum = "c85e2b1080b9418dd0c58b498da3a5c826030343e0ef07bde6a955d28de54979"
dependencies = [
"glib-sys",
"libc",
"system-deps",
]
-[[package]]
-name = "graph-core"
-version = "0.4.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0f0fb786a3bfe3daa33f585534e871f04d3965d14398343d8818e12cefc02703"
-dependencies = [
- "Inflector",
- "remain",
- "serde",
- "serde_json",
- "strum 0.24.1",
-]
-
-[[package]]
-name = "graph-error"
-version = "0.2.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8f20cdf0cafaa6345cec12d94614c3c3d9ae8abf60fbb9783f706610a80119b7"
-dependencies = [
- "base64",
- "futures",
- "handlebars",
- "http",
- "http-serde",
- "reqwest",
- "ring",
- "serde",
- "serde_json",
- "thiserror",
- "tokio",
- "url",
-]
-
-[[package]]
-name = "graph-http"
-version = "1.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "03724659be7b906ab31469dadf8b55191dceda793f52335cbd8b5be3ff9a3b3a"
-dependencies = [
- "async-stream",
- "async-trait",
- "bytes",
- "futures",
- "graph-core",
- "graph-error",
- "handlebars",
- "http",
- "percent-encoding",
- "reqwest",
- "serde",
- "serde_json",
- "serde_urlencoded",
- "thiserror",
- "tokio",
- "url",
-]
-
-[[package]]
-name = "graph-oauth"
-version = "1.0.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "362697376221ab094832dc33fd11c5b85c891c9174f7d0a79032f1d03b065d94"
-dependencies = [
- "base64",
- "chrono",
- "chrono-humanize",
- "graph-error",
- "reqwest",
- "ring",
- "serde",
- "serde-aux",
- "serde_json",
- "strum 0.24.1",
- "url",
- "webbrowser",
-]
-
-[[package]]
-name = "graph-rs-sdk"
-version = "1.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ed4aa7e7207ecb25d399023e7f6eb0433694f8f162c494e90059ec5d1d03a9da"
-dependencies = [
- "graph-core",
- "graph-error",
- "graph-http",
- "graph-oauth",
- "handlebars",
- "lazy_static",
- "reqwest",
- "serde",
- "serde_json",
- "url",
-]
-
[[package]]
name = "graphene-rs"
-version = "0.18.1"
+version = "0.19.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3b2228cda1505613a7a956cca69076892cfbda84fc2b7a62b94a41a272c0c401"
+checksum = "99e4d388e96c5f29e2b2f67045d229ddf826d0a8d6d282f94ed3b34452222c91"
dependencies = [
"glib",
"graphene-sys",
@@ -1139,9 +867,9 @@ dependencies = [
[[package]]
name = "graphene-sys"
-version = "0.18.1"
+version = "0.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cc4144cee8fc8788f2a9b73dc5f1d4e1189d1f95305c4cb7bd9c1af1cfa31f59"
+checksum = "236ed66cc9b18d8adf233716f75de803d0bf6fc806f60d14d948974a12e240d0"
dependencies = [
"glib-sys",
"libc",
@@ -1151,9 +879,9 @@ dependencies = [
[[package]]
name = "gsk4"
-version = "0.7.2"
+version = "0.8.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cc25855255120f294d874acd6eaf4fbed7ce1cdc550e2d8415ea57fafbe816d5"
+checksum = "0e8ce8dee0fd87a11002214b1204ff18c9272fbd530408f0884a0f9b25dc31de"
dependencies = [
"cairo-rs",
"gdk4",
@@ -1166,9 +894,9 @@ dependencies = [
[[package]]
name = "gsk4-sys"
-version = "0.7.2"
+version = "0.8.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e1ecf3a63bf1223d68f80f72cc896c4d8c80482fbce1c9a12c66d3de7290ee46"
+checksum = "2660a652da5b662d43924df19ba40d73f015ed427329ef51d2b1360a4e0dc0e4"
dependencies = [
"cairo-sys-rs",
"gdk4-sys",
@@ -1182,9 +910,9 @@ dependencies = [
[[package]]
name = "gtk4"
-version = "0.7.2"
+version = "0.8.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a3b095b26f2a2df70be1805d3590eeb9d7a05ecb5be9649b82defc72dc56228c"
+checksum = "7d26ffa3ec6316ccaa1df62d3e7f5bae1637c0acbb43f250fabef38319f73c64"
dependencies = [
"cairo-rs",
"field-offset",
@@ -1203,23 +931,23 @@ dependencies = [
[[package]]
name = "gtk4-macros"
-version = "0.7.2"
+version = "0.8.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d57ec49cf9b657f69a05bca8027cff0a8dfd0c49e812be026fc7311f2163832f"
+checksum = "c8b86439e9896f6f3f47c3d8077c5c8205174078760afdabd9098a8e9e937d97"
dependencies = [
"anyhow",
"proc-macro-crate",
"proc-macro-error",
"proc-macro2",
- "quote",
+ "quote 1.0.35",
"syn 1.0.109",
]
[[package]]
name = "gtk4-sys"
-version = "0.7.2"
+version = "0.8.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7b0bdde87c50317b4f355bcbb4a9c2c414ece1b7c824fb4ad4ba8f3bdb2c6603"
+checksum = "2abc0a6d356d59a3806021829ce6ed3e70bba3509b41a535fedcb09fae13fbc0"
dependencies = [
"cairo-sys-rs",
"gdk-pixbuf-sys",
@@ -1234,27 +962,11 @@ dependencies = [
"system-deps",
]
-[[package]]
-name = "gvdb"
-version = "0.5.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7473589355e97ab7ab46549d3786406d6fcad8837c94bb7874c18a8a3ae653fc"
-dependencies = [
- "byteorder",
- "flate2",
- "quick-xml",
- "safe-transmute",
- "serde",
- "serde_json",
- "walkdir",
- "zvariant",
-]
-
[[package]]
name = "h2"
-version = "0.3.21"
+version = "0.3.24"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "91fc23aa11be92976ef4729127f1a74adf36d8436f7816b185d18df956790833"
+checksum = "bb2c4422095b67ee78da96fbb51a4cc413b3b25883c7717ff7ca1ab31022c9c9"
dependencies = [
"bytes",
"fnv",
@@ -1262,49 +974,36 @@ dependencies = [
"futures-sink",
"futures-util",
"http",
- "indexmap 1.9.3",
+ "indexmap",
"slab",
"tokio",
"tokio-util",
"tracing",
]
-[[package]]
-name = "handlebars"
-version = "2.0.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "af92141a22acceb515fb6b13ac59d6d0b3dd3437e13832573af8e0d3247f29d5"
-dependencies = [
- "hashbrown 0.5.0",
- "log",
- "pest",
- "pest_derive",
- "quick-error",
- "serde",
- "serde_json",
- "walkdir",
-]
-
-[[package]]
-name = "hashbrown"
-version = "0.5.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e1de41fb8dba9714efd92241565cdff73f78508c95697dd56787d3cba27e2353"
-dependencies = [
- "serde",
-]
-
[[package]]
name = "hashbrown"
version = "0.12.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "8a9ee70c43aaf417c914396645a0fa852624801b24ebb7ae78fe8272889ac888"
+dependencies = [
+ "ahash",
+]
[[package]]
name = "hashbrown"
-version = "0.14.0"
+version = "0.14.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2c6201b9ff9fd90a5a3bac2e56a830d0caa509576f0e503818ee82c181b3437a"
+checksum = "290f1a1d9242c78d09ce40a5e87e7554ee637af1351968159f4952f028f75604"
+
+[[package]]
+name = "heck"
+version = "0.3.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6d621efb26863f0e9924c6ac577e8275e5e6b77455db64ffa6c65c904e9e132c"
+dependencies = [
+ "unicode-segmentation",
+]
[[package]]
name = "heck"
@@ -1314,24 +1013,30 @@ checksum = "95505c38b4572b2d910cecb0281560f54b440a19336cbbcb27bf6ce6adc6f5a8"
[[package]]
name = "hermit-abi"
-version = "0.3.2"
+version = "0.1.19"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "443144c8cdadd93ebf52ddb4056d257f5b52c04d3c804e657d19eb73fc33668b"
-
-[[package]]
-name = "home"
-version = "0.5.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5444c27eef6923071f7ebcc33e3444508466a76f7a2b93da00ed6e19f30c1ddb"
+checksum = "62b467343b94ba476dcb2500d242dadbb39557df889310ac77c5d99100aaac33"
dependencies = [
- "windows-sys 0.48.0",
+ "libc",
]
[[package]]
-name = "http"
-version = "0.2.9"
+name = "hermit-abi"
+version = "0.3.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bd6effc99afb63425aff9b05836f029929e345a6148a14b7ecd5ab67af944482"
+checksum = "bd5256b483761cd23699d0da46cc6fd2ee3be420bbe6d020ae4a091e70b7e9fd"
+
+[[package]]
+name = "hex"
+version = "0.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f24254aa9a54b5c858eaee2f5bccdb46aaf0e486a595ed5fd8f86ba55232a70"
+
+[[package]]
+name = "http"
+version = "0.2.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8947b1a6fad4393052c7ba1f4cd97bed3e953a95c79c92ad9b051a04611d9fbb"
dependencies = [
"bytes",
"fnv",
@@ -1340,25 +1045,15 @@ dependencies = [
[[package]]
name = "http-body"
-version = "0.4.5"
+version = "0.4.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d5f38f16d184e36f2408a55281cd658ecbd3ca05cce6d6510a176eca393e26d1"
+checksum = "7ceab25649e9960c0311ea418d17bee82c0dcec1bd053b5f9a66e265a693bed2"
dependencies = [
"bytes",
"http",
"pin-project-lite",
]
-[[package]]
-name = "http-serde"
-version = "1.1.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6f560b665ad9f1572cfcaf034f7fb84338a7ce945216d64a90fd81f046a3caee"
-dependencies = [
- "http",
- "serde",
-]
-
[[package]]
name = "httparse"
version = "1.8.0"
@@ -1373,9 +1068,9 @@ checksum = "df3b46402a9d5adb4c86a0cf463f42e19994e3ee891101b1841f30a545cb49a9"
[[package]]
name = "hyper"
-version = "0.14.27"
+version = "0.14.28"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ffb1cfd654a8219eaef89881fdb3bb3b1cdc5fa75ded05d6933b2b382e395468"
+checksum = "bf96e135eb83a2a8ddf766e426a841d8ddd7449d5f00d34ea02b41d2f19eef80"
dependencies = [
"bytes",
"futures-channel",
@@ -1388,7 +1083,7 @@ dependencies = [
"httpdate",
"itoa",
"pin-project-lite",
- "socket2 0.4.9",
+ "socket2",
"tokio",
"tower-service",
"tracing",
@@ -1409,103 +1104,26 @@ dependencies = [
]
[[package]]
-name = "i18n-config"
-version = "0.4.4"
+name = "ident_case"
+version = "1.0.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b987084cadad6e2f2b1e6ea62c44123591a3c044793a1beabf71a8356ea768d5"
-dependencies = [
- "log",
- "serde",
- "serde_derive",
- "thiserror",
- "toml 0.7.6",
- "unic-langid",
-]
+checksum = "b9e0384b61958566e926dc50660321d12159025e767c18e043daf26b70104c39"
[[package]]
-name = "i18n-embed"
-version = "0.13.9"
+name = "idna"
+version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "92a86226a7a16632de6723449ee5fe70bac5af718bc642ee9ca2f0f6e14fa1fa"
+checksum = "e14ddfc70884202db2244c223200c204c2bda1bc6e0998d11b5e024d657209e6"
dependencies = [
- "arc-swap",
- "fluent",
- "fluent-langneg",
- "fluent-syntax",
- "i18n-embed-impl",
- "intl-memoizer",
- "lazy_static",
- "locale_config",
- "log",
- "parking_lot",
- "rust-embed",
- "thiserror",
- "unic-langid",
- "walkdir",
-]
-
-[[package]]
-name = "i18n-embed-fl"
-version = "0.6.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d26a3d3569737dfaac7fc1c4078e6af07471c3060b8e570bcd83cdd5f4685395"
-dependencies = [
- "dashmap",
- "find-crate",
- "fluent",
- "fluent-syntax",
- "i18n-config",
- "i18n-embed",
- "lazy_static",
- "proc-macro-error",
- "proc-macro2",
- "quote",
- "strsim",
- "syn 2.0.29",
- "unic-langid",
-]
-
-[[package]]
-name = "i18n-embed-impl"
-version = "0.8.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e9a95d065e6be4499e50159172395559a388d20cf13c84c77e4a1e341786f219"
-dependencies = [
- "find-crate",
- "i18n-config",
- "proc-macro2",
- "quote",
- "syn 1.0.109",
-]
-
-[[package]]
-name = "iana-time-zone"
-version = "0.1.57"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2fad5b825842d2b38bd206f3e81d6957625fd7f0a361e345c30e01a0ae2dd613"
-dependencies = [
- "android_system_properties",
- "core-foundation-sys",
- "iana-time-zone-haiku",
- "js-sys",
- "wasm-bindgen",
- "windows",
-]
-
-[[package]]
-name = "iana-time-zone-haiku"
-version = "0.1.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f31827a206f56af32e590ba56d5d2d085f558508192593743f16b2306495269f"
-dependencies = [
- "cc",
+ "unicode-bidi",
+ "unicode-normalization",
]
[[package]]
name = "idna"
-version = "0.4.0"
+version = "0.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7d20d6b07bfbc108882d88ed8e37d39636dcc260e15e30c45e6ba089610b917c"
+checksum = "634d9b1461af396cad843f47fdba5597a4f9e6ddd4bfb6ff5d85028c25cb12f6"
dependencies = [
"unicode-bidi",
"unicode-normalization",
@@ -1513,125 +1131,52 @@ dependencies = [
[[package]]
name = "indexmap"
-version = "1.9.3"
+version = "2.2.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bd070e393353796e801d209ad339e89596eb4c8d430d18ede6a1cced8fafbd99"
-dependencies = [
- "autocfg",
- "hashbrown 0.12.3",
-]
-
-[[package]]
-name = "indexmap"
-version = "2.0.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d5477fe2230a79769d8dc68e0eabf5437907c0457a5614a9e8dddb67f65eb65d"
+checksum = "233cf39063f058ea2caae4091bf4a3ef70a653afbc026f5c4a4135d114e3c177"
dependencies = [
"equivalent",
- "hashbrown 0.14.0",
-]
-
-[[package]]
-name = "intl-memoizer"
-version = "0.5.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c310433e4a310918d6ed9243542a6b83ec1183df95dff8f23f87bb88a264a66f"
-dependencies = [
- "type-map",
- "unic-langid",
-]
-
-[[package]]
-name = "intl_pluralrules"
-version = "7.0.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "078ea7b7c29a2b4df841a7f6ac8775ff6074020c6776d48491ce2268e068f972"
-dependencies = [
- "unic-langid",
+ "hashbrown 0.14.3",
]
[[package]]
name = "ipnet"
-version = "2.8.0"
+version = "2.9.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "28b29a3cd74f0f4598934efe3aeba42bae0eb4680554128851ebbecb02af14e6"
-
-[[package]]
-name = "is-docker"
-version = "0.2.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "928bae27f42bc99b60d9ac7334e3a21d10ad8f1835a4e12ec3ec0464765ed1b3"
-dependencies = [
- "once_cell",
-]
-
-[[package]]
-name = "is-wsl"
-version = "0.4.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "173609498df190136aa7dea1a91db051746d339e18476eed5ca40521f02d7aa5"
-dependencies = [
- "is-docker",
- "once_cell",
-]
+checksum = "8f518f335dce6725a761382244631d86cf0ccb2863413590b31338feb467f9c3"
[[package]]
name = "itoa"
-version = "1.0.9"
+version = "1.0.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "af150ab688ff2122fcef229be89cb50dd66af9e01a4ff320cc137eecc9bacc38"
-
-[[package]]
-name = "jni"
-version = "0.21.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1a87aa2bb7d2af34197c04845522473242e1aa17c12f4935d5856491a7fb8c97"
-dependencies = [
- "cesu8",
- "cfg-if",
- "combine",
- "jni-sys",
- "log",
- "thiserror",
- "walkdir",
- "windows-sys 0.45.0",
-]
-
-[[package]]
-name = "jni-sys"
-version = "0.3.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8eaf4bc02d17cbdd7ff4c7438cafcdf7fb9a4613313ad11b4f8fefe7d3fa0130"
+checksum = "b1a46d1a171d865aa5f83f92695765caa047a9b4cbae2cbf37dbd613a793fd4c"
[[package]]
name = "js-sys"
-version = "0.3.64"
+version = "0.3.68"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c5f195fe497f702db0f318b07fdd68edb16955aed830df8363d837542f8f935a"
+checksum = "406cda4b368d531c842222cf9d2600a9a4acce8d29423695379c6868a143a9ee"
dependencies = [
"wasm-bindgen",
]
[[package]]
-name = "keytar"
-version = "0.1.6"
+name = "ksni"
+version = "0.2.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d361c55fba09829ac620b040f5425bf239b1030c3d6820a84acac8da867dca4d"
+checksum = "b31b9cf486bcd22bcd09900b44538cf87b00f526fcd087d671a632dc0d271d0c"
dependencies = [
- "keytar-sys",
+ "dbus",
+ "dbus-codegen",
+ "dbus-tree",
+ "thiserror",
]
[[package]]
-name = "keytar-sys"
-version = "0.1.6"
+name = "ksni-dummy"
+version = "0.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fe908c6896705a1cb516cd6a5d956c63f08d95ace81b93253a98cd93e1e6a65a"
-dependencies = [
- "cc",
- "cxx",
- "cxx-build",
- "pkg-config",
-]
+checksum = "705305cfe9604f3081b8c33e03c351da01eedd7dff89a86f7fbc486f025a2f37"
[[package]]
name = "lazy_static"
@@ -1639,84 +1184,37 @@ version = "1.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "e2abad23fbc42b3700f2f279844dc832adb2b2eb069b2df918f455c4e18cc646"
-[[package]]
-name = "libadwaita"
-version = "0.5.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "06444f4ca05a60693da6e9e2b591bd40a298e65a118a8d5e830771718b3e0253"
-dependencies = [
- "gdk-pixbuf",
- "gdk4",
- "gio",
- "glib",
- "gtk4",
- "libadwaita-sys",
- "libc",
- "pango",
-]
-
-[[package]]
-name = "libadwaita-sys"
-version = "0.5.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "021cfe3d1fcfa82411765a791f7e9b32f35dd98ce88d2e3fa10e7320f5cc8ce7"
-dependencies = [
- "gdk4-sys",
- "gio-sys",
- "glib-sys",
- "gobject-sys",
- "gtk4-sys",
- "libc",
- "pango-sys",
- "system-deps",
-]
-
[[package]]
name = "libc"
-version = "0.2.147"
+version = "0.2.153"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b4668fb0ea861c1df094127ac5f1da3409a82116a4ba74fca2e58ef927159bb3"
+checksum = "9c198f91728a82281a64e1f4f9eeb25d82cb32a5de251c6bd1b5154d63a8e7bd"
[[package]]
-name = "libset"
-version = "0.1.2"
-source = "git+https://github.com/edfloreshz/libset?branch=beta#f44cd2142b23996ed8390b73251a87cabd35caae"
-dependencies = [
- "anyhow",
- "directories",
- "dirs",
- "dyn-clone",
- "erased-serde",
- "serde",
- "serde_json",
- "toml 0.5.11",
- "walkdir",
-]
-
-[[package]]
-name = "libsqlite3-sys"
-version = "0.26.0"
+name = "libdbus-sys"
+version = "0.2.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "afc22eff61b133b115c6e8c74e818c628d6d5e7a502afea6f64dee076dd94326"
-dependencies = [
- "pkg-config",
- "vcpkg",
-]
-
-[[package]]
-name = "link-cplusplus"
-version = "1.0.9"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9d240c6f7e1ba3a28b0249f774e6a9dd0175054b52dfbb61b16eb8505c3785c9"
+checksum = "06085512b750d640299b79be4bad3d2fa90a9c00b1fd9e1b46364f66f0485c72"
dependencies = [
"cc",
+ "pkg-config",
+]
+
+[[package]]
+name = "libmimalloc-sys"
+version = "0.1.35"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3979b5c37ece694f1f5e51e7ecc871fdb0f517ed04ee45f88d15d6d553cb9664"
+dependencies = [
+ "cc",
+ "libc",
]
[[package]]
name = "linux-raw-sys"
-version = "0.4.5"
+version = "0.4.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "57bcfdad1b858c2db7c38303a6d2ad4dfaf5eb53dfeb0910128b2c26d6158503"
+checksum = "01cda141df6706de531b6c46c3a33ecca755538219bd484262fa09410c13539c"
[[package]]
name = "locale_config"
@@ -1733,9 +1231,9 @@ dependencies = [
[[package]]
name = "lock_api"
-version = "0.4.10"
+version = "0.4.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c1cc9717a20b1bb222f333e6a92fd32f7d8a18ddc5a3191a11af45dcbf4dcd16"
+checksum = "3c168f8615b12bc01f9c17e2eb0cc07dcae1940121185446edc3744920e8ef45"
dependencies = [
"autocfg",
"scopeguard",
@@ -1747,6 +1245,18 @@ version = "0.4.20"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "b5e6163cb8c49088c2c36f57875e58ccd8c87c7427f7fbd50ea6710b2f3f2e8f"
+[[package]]
+name = "lrc-nom"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cb7b624e31b9613023e400fb5dd998facc31b593e90c8115d67d561d9bd6a671"
+dependencies = [
+ "nom",
+ "rust_decimal",
+ "rust_decimal_macros",
+ "thiserror",
+]
+
[[package]]
name = "malloc_buf"
version = "0.0.6"
@@ -1757,10 +1267,25 @@ dependencies = [
]
[[package]]
-name = "memchr"
-version = "2.6.2"
+name = "matchers"
+version = "0.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5486aed0026218e61b8a01d5fbd5a0a134649abb71a0e53b7bc088529dced86e"
+checksum = "8263075bb86c5a1b1427b5ae862e8889656f126e9f77c484496e8b47cf5c5558"
+dependencies = [
+ "regex-automata 0.1.10",
+]
+
+[[package]]
+name = "md5"
+version = "0.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "490cc448043f947bae3cbee9c203358d62dbee0db12107a74be5c30ccfd09771"
+
+[[package]]
+name = "memchr"
+version = "2.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "523dc4f511e55ab87b694dc30d0f820d60906ef06413f93d4d7a1385599cc149"
[[package]]
name = "memoffset"
@@ -1772,24 +1297,12 @@ dependencies = [
]
[[package]]
-name = "migrations_internals"
-version = "2.1.0"
+name = "mimalloc"
+version = "0.1.39"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0f23f71580015254b020e856feac3df5878c2c7a8812297edd6c0a485ac9dada"
+checksum = "fa01922b5ea280a911e323e4d2fd24b7fe5cc4042e0d2cda3c40775cdc4bdc9c"
dependencies = [
- "serde",
- "toml 0.7.6",
-]
-
-[[package]]
-name = "migrations_macros"
-version = "2.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cce3325ac70e67bbab5bd837a31cae01f1a6db64e0e744a33cb03a543469ef08"
-dependencies = [
- "migrations_internals",
- "proc-macro2",
- "quote",
+ "libmimalloc-sys",
]
[[package]]
@@ -1799,32 +1312,42 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "6877bb514081ee2a7ff5ef9de3281f14a4dd4bceac4c09388074a6b5df8a139a"
[[package]]
-name = "miniz_oxide"
-version = "0.7.1"
+name = "minimal-lexical"
+version = "0.2.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e7810e0be55b428ada41041c41f32c9f1a42817901b4ccf45fa3d4b6561e74c7"
+checksum = "68354c5c6bd36d73ff3feceb05efa59b6acb7626617f4962be322a825e61f79a"
+
+[[package]]
+name = "miniz_oxide"
+version = "0.7.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9d811f3e15f28568be3407c8e7fdb6514c1cda3cb30683f15b6a1a1dc4ea14a7"
dependencies = [
"adler",
]
[[package]]
name = "mio"
-version = "0.8.8"
+version = "0.8.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "927a765cd3fc26206e66b296465fa9d3e5ab003e651c1b3c060e7956d96b19d2"
+checksum = "8f3d0b296e374a4e6f3c7b0a1f5a51d748a0d34c85e7dc48fc3fa9a87657fe09"
dependencies = [
"libc",
- "wasi 0.11.0+wasi-snapshot-preview1",
+ "wasi",
"windows-sys 0.48.0",
]
[[package]]
-name = "nanorand"
-version = "0.7.0"
+name = "mpris"
+version = "2.0.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6a51313c5820b0b02bd422f4b44776fbf47961755c74ce64afc73bfad10226c3"
+checksum = "55cef955a7826b1e00e901a3652e7a895abd221fb4ab61547e7d0e4c235d7feb"
dependencies = [
- "getrandom",
+ "dbus",
+ "derive_is_enum_variant",
+ "enum-kinds",
+ "from_variants",
+ "thiserror",
]
[[package]]
@@ -1846,10 +1369,34 @@ dependencies = [
]
[[package]]
-name = "ndk-context"
-version = "0.1.1"
+name = "ncmapi"
+version = "0.1.13"
+source = "git+https://github.com/waylyrics/ncmapi-rs.git?rev=51b4d121#51b4d121235823e8040feb3a9c9052da0559fe75"
+dependencies = [
+ "base64",
+ "cookie 0.18.0",
+ "hex",
+ "openssl",
+ "phf",
+ "rand",
+ "regex",
+ "reqwest",
+ "serde",
+ "serde_json",
+ "serde_repr",
+ "thiserror",
+ "tokio",
+]
+
+[[package]]
+name = "nom"
+version = "7.1.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "27b02d87554356db9e9a873add8782d4ea6e3e58ea071a9adb9a2e8ddb884a8b"
+checksum = "d273983c5a657a70a3e8f2a01329822f3b8c8172b73826411a55751e404a0a4a"
+dependencies = [
+ "memchr",
+ "minimal-lexical",
+]
[[package]]
name = "nu-ansi-term"
@@ -1862,10 +1409,16 @@ dependencies = [
]
[[package]]
-name = "num-traits"
-version = "0.2.16"
+name = "num-conv"
+version = "0.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f30b0abd723be7e2ffca1272140fac1a2f084c77ec3e123c192b66af1ee9e6c2"
+checksum = "51d515d32fb182ee37cda2ccdcb92950d6a3c2893aa280e540671c2cd0f3b1d9"
+
+[[package]]
+name = "num-traits"
+version = "0.2.18"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "da0df0e5185db44f69b44f26786fe401b6c293d1907744beaa7fa62b2e5a517a"
dependencies = [
"autocfg",
]
@@ -1876,7 +1429,7 @@ version = "1.16.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "4161fcb6d602d4d2081af7c3a45852d875a03dd337a6bfdd6e06407b61342a43"
dependencies = [
- "hermit-abi",
+ "hermit-abi 0.3.6",
"libc",
]
@@ -1911,37 +1464,26 @@ dependencies = [
[[package]]
name = "object"
-version = "0.32.0"
+version = "0.32.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "77ac5bbd07aea88c60a577a1ce218075ffd59208b2d7ca97adf9bfc5aeb21ebe"
+checksum = "a6a622008b6e321afc04970976f62ee297fdbaa6f95318ca343e3eebb9648441"
dependencies = [
"memchr",
]
[[package]]
name = "once_cell"
-version = "1.18.0"
+version = "1.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dd8b5dd2ae5ed71462c540258bedcb51965123ad7e7ccf4b9a8cafaa4a63576d"
-
-[[package]]
-name = "open"
-version = "5.0.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cfabf1927dce4d6fdf563d63328a0a506101ced3ec780ca2135747336c98cef8"
-dependencies = [
- "is-wsl",
- "libc",
- "pathdiff",
-]
+checksum = "3fdb12b2476b595f9358c5161aa467c2438859caa136dec86c26fdd2efe17b92"
[[package]]
name = "openssl"
-version = "0.10.57"
+version = "0.10.64"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bac25ee399abb46215765b1cb35bc0212377e58a061560d8b29b024fd0430e7c"
+checksum = "95a0481286a310808298130d22dd1fef0fa571e05a8f44ec801801e84b216b1f"
dependencies = [
- "bitflags 2.4.0",
+ "bitflags 2.4.2",
"cfg-if",
"foreign-types",
"libc",
@@ -1957,8 +1499,8 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "a948666b637a0f465e8564c73e89d4dde00d72d4d473cc972f390fc3dcee7d9c"
dependencies = [
"proc-macro2",
- "quote",
- "syn 2.0.29",
+ "quote 1.0.35",
+ "syn 2.0.50",
]
[[package]]
@@ -1968,13 +1510,23 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "ff011a302c396a5197692431fc1948019154afc178baf7d8e37367442a4601cf"
[[package]]
-name = "openssl-sys"
-version = "0.9.92"
+name = "openssl-src"
+version = "300.2.3+3.2.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "db7e971c2c2bba161b2d2fdf37080177eff520b3bc044787c7f1f5f9e78d869b"
+checksum = "5cff92b6f71555b61bb9315f7c64da3ca43d87531622120fea0195fc761b4843"
+dependencies = [
+ "cc",
+]
+
+[[package]]
+name = "openssl-sys"
+version = "0.9.101"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dda2b0f344e78efc2facf7d195d098df0dd72151b26ab98da807afc26c198dff"
dependencies = [
"cc",
"libc",
+ "openssl-src",
"pkg-config",
"vcpkg",
]
@@ -1987,22 +1539,21 @@ checksum = "b15813163c1d831bf4a13c3610c05c0d03b39feb07f7e09fa234dac9b15aaf39"
[[package]]
name = "pango"
-version = "0.18.0"
+version = "0.19.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "06a9e54b831d033206160096b825f2070cf5fda7e35167b1c01e9e774f9202d1"
+checksum = "7809e8af4df8d024a066106b72ca6bc7253a484ae3867041a96103ef8a13188d"
dependencies = [
"gio",
"glib",
"libc",
- "once_cell",
"pango-sys",
]
[[package]]
name = "pango-sys"
-version = "0.18.0"
+version = "0.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "436737e391a843e5933d6d9aa102cb126d501e815b83601365a948a518555dc5"
+checksum = "f52ef6a881c19fbfe3b1484df5cad411acaaba29dbec843941c3110d19f340ea"
dependencies = [
"glib-sys",
"gobject-sys",
@@ -2010,6 +1561,12 @@ dependencies = [
"system-deps",
]
+[[package]]
+name = "parking"
+version = "2.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bb813b8af86854136c6922af0598d719255ecb2179515e6e7730d468f05c9cae"
+
[[package]]
name = "parking_lot"
version = "0.12.1"
@@ -2022,72 +1579,63 @@ dependencies = [
[[package]]
name = "parking_lot_core"
-version = "0.9.8"
+version = "0.9.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "93f00c865fe7cabf650081affecd3871070f26767e7b2070a3ffae14c654b447"
+checksum = "4c42a9226546d68acdd9c0a280d17ce19bfe27a46bf68784e4066115788d008e"
dependencies = [
"cfg-if",
"libc",
- "redox_syscall 0.3.5",
+ "redox_syscall",
"smallvec",
"windows-targets 0.48.5",
]
-[[package]]
-name = "pathdiff"
-version = "0.2.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8835116a5c179084a830efb3adc117ab007512b535bc1a21c991d3b32a6b44dd"
-
[[package]]
name = "percent-encoding"
-version = "2.3.0"
+version = "2.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9b2a4787296e9989611394c33f193f676704af1686e70b8f8033ab5ba9a35a94"
+checksum = "e3148f5046208a5d56bcfc03053e3ca6334e51da8dfb19b6cdc8b306fae3283e"
[[package]]
-name = "pest"
-version = "2.7.3"
+name = "phf"
+version = "0.11.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d7a4d085fd991ac8d5b05a147b437791b4260b76326baf0fc60cf7c9c27ecd33"
+checksum = "ade2d8b8f33c7333b51bcf0428d37e217e9f32192ae4772156f65063b8ce03dc"
dependencies = [
- "memchr",
- "thiserror",
- "ucd-trie",
+ "phf_macros",
+ "phf_shared",
]
[[package]]
-name = "pest_derive"
-version = "2.7.3"
+name = "phf_generator"
+version = "0.11.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a2bee7be22ce7918f641a33f08e3f43388c7656772244e2bbb2477f44cc9021a"
+checksum = "48e4cc64c2ad9ebe670cb8fd69dd50ae301650392e81c05f9bfcb2d5bdbc24b0"
dependencies = [
- "pest",
- "pest_generator",
+ "phf_shared",
+ "rand",
]
[[package]]
-name = "pest_generator"
-version = "2.7.3"
+name = "phf_macros"
+version = "0.11.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d1511785c5e98d79a05e8a6bc34b4ac2168a0e3e92161862030ad84daa223141"
+checksum = "3444646e286606587e49f3bcf1679b8cef1dc2c5ecc29ddacaffc305180d464b"
dependencies = [
- "pest",
- "pest_meta",
+ "phf_generator",
+ "phf_shared",
"proc-macro2",
- "quote",
- "syn 2.0.29",
+ "quote 1.0.35",
+ "syn 2.0.50",
]
[[package]]
-name = "pest_meta"
-version = "2.7.3"
+name = "phf_shared"
+version = "0.11.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b42f0394d3123e33353ca5e1e89092e533d2cc490389f2bd6131c43c634ebc5f"
+checksum = "90fcb95eef784c2ac79119d1dd819e162b5da872ce6f3c3abe1e8ca1c082f72b"
dependencies = [
- "once_cell",
- "pest",
- "sha2",
+ "siphasher",
]
[[package]]
@@ -2104,18 +1652,29 @@ checksum = "8b870d8c151b6f2fb93e84a13146138f05d02ed11c7e7c54f8826aaaf7c9f184"
[[package]]
name = "pkg-config"
-version = "0.3.27"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "26072860ba924cbfa98ea39c8c19b4dd6a4a25423dbdf219c1eca91aa0cf6964"
+checksum = "d231b230927b5e4ad203db57bbcbee2802f6bce620b1e4a9024a07d94e2907ec"
+
+[[package]]
+name = "powerfmt"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "439ee305def115ba05938db6eb1644ff94165c5ab5e9420d1c1bcedbba909391"
+
+[[package]]
+name = "ppv-lite86"
+version = "0.2.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5b40af805b3121feab8a3c29f04d8ad262fa8e0561883e7653e024ae4479e6de"
[[package]]
name = "proc-macro-crate"
-version = "1.3.1"
+version = "3.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7f4c021e1093a56626774e81216a4ce732a735e5bad4868a03f3ed65ca0c3919"
+checksum = "6d37c51ca738a55da99dc0c4a34860fd675453b8b36209178c2249bb13651284"
dependencies = [
- "once_cell",
- "toml_edit",
+ "toml_edit 0.21.1",
]
[[package]]
@@ -2126,7 +1685,7 @@ checksum = "da25490ff9892aab3fcf7c36f08cfb902dd3e71ca0f9f9517bea02a73a5ce38c"
dependencies = [
"proc-macro-error-attr",
"proc-macro2",
- "quote",
+ "quote 1.0.35",
"syn 1.0.109",
"version_check",
]
@@ -2138,167 +1697,188 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "a1be40180e52ecc98ad80b184934baf3d0d29f979574e439af5a55274b35f869"
dependencies = [
"proc-macro2",
- "quote",
+ "quote 1.0.35",
"version_check",
]
[[package]]
name = "proc-macro2"
-version = "1.0.66"
+version = "1.0.78"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "18fb31db3f9bddb2ea821cde30a9f70117e3f119938b5ee630b7403aa6e2ead9"
+checksum = "e2422ad645d89c99f8f3e6b88a9fdeca7fabeac836b1002371c4367c8f984aae"
dependencies = [
"unicode-ident",
]
[[package]]
-name = "quick-error"
-version = "1.2.3"
+name = "psl-types"
+version = "2.0.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a1d01941d82fa2ab50be1e79e6714289dd7cde78eba4c074bc5a4374f650dfe0"
+checksum = "33cb294fe86a74cbcf50d4445b37da762029549ebeea341421c7c70370f86cac"
[[package]]
-name = "quick-xml"
-version = "0.30.0"
+name = "ptr_meta"
+version = "0.1.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "eff6510e86862b57b210fd8cbe8ed3f0d7d600b9c2863cd4549a2e033c66e956"
+checksum = "0738ccf7ea06b608c10564b31debd4f5bc5e197fc8bfe088f68ae5ce81e7a4f1"
+dependencies = [
+ "ptr_meta_derive",
+]
+
+[[package]]
+name = "ptr_meta_derive"
+version = "0.1.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "16b845dbfca988fa33db069c0e230574d15a3088f147a87b64c7589eb662c9ac"
+dependencies = [
+ "proc-macro2",
+ "quote 1.0.35",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "publicsuffix"
+version = "2.2.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "96a8c1bda5ae1af7f99a2962e49df150414a43d62404644d98dd5c3a93d07457"
+dependencies = [
+ "idna 0.3.0",
+ "psl-types",
+]
+
+[[package]]
+name = "qqmusic-rs"
+version = "0.1.0"
+source = "git+https://github.com/waylyrics/qqmusic-rs.git?rev=22e66ba#22e66ba62e63d97c6dffb45400655404e6f06b93"
dependencies = [
- "memchr",
"serde",
+ "serde_json",
+ "url",
]
[[package]]
name = "quote"
-version = "1.0.33"
+version = "0.3.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5267fca4496028628a95160fc423a33e8b2e6af8a5302579e322e4b520293cae"
+checksum = "7a6e920b65c65f10b2ae65c831a81a073a89edd28c7cce89475bff467ab4167a"
+
+[[package]]
+name = "quote"
+version = "1.0.35"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "291ec9ab5efd934aaf503a6466c5d5251535d108ee747472c3977cc5acc868ef"
dependencies = [
"proc-macro2",
]
[[package]]
-name = "raw-window-handle"
-version = "0.5.2"
+name = "radium"
+version = "0.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f2ff9a1f06a88b01621b7ae906ef0211290d1c8a168a15542486a8f61c0833b9"
+checksum = "dc33ff2d4973d518d823d61aa239014831e521c75da58e3df4840d3f47749d09"
[[package]]
-name = "redox_syscall"
-version = "0.2.16"
+name = "rand"
+version = "0.8.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fb5a58c1855b4b6819d59012155603f0b22ad30cad752600aadfcb695265519a"
+checksum = "34af8d1a0e25924bc5b7c43c079c942339d8f0a8b57c39049bef581b46327404"
dependencies = [
- "bitflags 1.3.2",
+ "libc",
+ "rand_chacha",
+ "rand_core",
]
[[package]]
-name = "redox_syscall"
-version = "0.3.5"
+name = "rand_chacha"
+version = "0.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "567664f262709473930a4bf9e51bf2ebf3348f2e748ccc50dea20646858f8f29"
+checksum = "e6c10a63a0fa32252be49d21e7709d4d4baf8d231c2dbce1eaa8141b9b127d88"
dependencies = [
- "bitflags 1.3.2",
+ "ppv-lite86",
+ "rand_core",
]
[[package]]
-name = "redox_users"
-version = "0.4.3"
+name = "rand_core"
+version = "0.6.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b033d837a7cf162d7993aded9304e30a83213c648b6e389db233191f891e5c2b"
+checksum = "ec0be4795e2f6a28069bec0b5ff3e2ac9bafc99e6a9a7dc3547996c5c816922c"
dependencies = [
"getrandom",
- "redox_syscall 0.2.16",
- "thiserror",
+]
+
+[[package]]
+name = "redox_syscall"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4722d768eff46b75989dd134e5c353f0d6296e5aaa3132e776cbdb56be7731aa"
+dependencies = [
+ "bitflags 1.3.2",
]
[[package]]
name = "regex"
-version = "1.9.4"
+version = "1.10.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "12de2eff854e5fa4b1295edd650e227e9d8fb0c9e90b12e7f36d6a6811791a29"
+checksum = "b62dbe01f0b06f9d8dc7d49e05a0785f153b00b2c227856282f671e0318c9b15"
dependencies = [
"aho-corasick",
"memchr",
- "regex-automata",
- "regex-syntax",
+ "regex-automata 0.4.5",
+ "regex-syntax 0.8.2",
]
[[package]]
name = "regex-automata"
-version = "0.3.7"
+version = "0.1.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "49530408a136e16e5b486e883fbb6ba058e8e4e8ae6621a77b048b314336e629"
+checksum = "6c230d73fb8d8c1b9c0b3135c5142a8acee3a0558fb8db5cf1cb65f8d7862132"
+dependencies = [
+ "regex-syntax 0.6.29",
+]
+
+[[package]]
+name = "regex-automata"
+version = "0.4.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5bb987efffd3c6d0d8f5f89510bb458559eab11e4f869acb20bf845e016259cd"
dependencies = [
"aho-corasick",
"memchr",
- "regex-syntax",
+ "regex-syntax 0.8.2",
]
[[package]]
name = "regex-syntax"
-version = "0.7.5"
+version = "0.6.29"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dbb5fb1acd8a1a18b3dd5be62d25485eb770e05afb408a9627d14d451bae12da"
+checksum = "f162c6dd7b008981e4d40210aca20b4bd0f9b60ca9271061b07f78537722f2e1"
[[package]]
-name = "relm4"
-version = "0.7.0-alpha.1"
+name = "regex-syntax"
+version = "0.8.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d307673e36bb450a4823d500d661f53e1622a685b330149c193b791687146b3f"
-dependencies = [
- "async-trait",
- "flume",
- "fragile",
- "futures",
- "gtk4",
- "libadwaita",
- "once_cell",
- "relm4-macros",
- "tokio",
- "tracing",
-]
+checksum = "c08c74e62047bb2de4ff487b251e4a92e24f48745648451635cec7d591162d9f"
[[package]]
-name = "relm4-icons"
-version = "0.7.0-alpha.1"
+name = "rend"
+version = "0.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5ac16f5e6ed25fbd23c667f060fdc2f320d317a3997063503cbdeffb14dcaf06"
+checksum = "71fe3824f5629716b1589be05dacd749f6aa084c87e00e016714a8cdfccc997c"
dependencies = [
- "gtk4",
- "gvdb",
-]
-
-[[package]]
-name = "relm4-macros"
-version = "0.7.0-alpha.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9d1892cdfb2df6c8bbe5a84451d3979125255e31437c0bfd14c81bde93ff0cf6"
-dependencies = [
- "proc-macro2",
- "quote",
- "syn 2.0.29",
-]
-
-[[package]]
-name = "remain"
-version = "0.2.11"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bce3a7139d2ee67d07538ee5dba997364fbc243e7e7143e96eb830c74bfaa082"
-dependencies = [
- "proc-macro2",
- "quote",
- "syn 2.0.29",
+ "bytecheck",
]
[[package]]
name = "reqwest"
-version = "0.11.20"
+version = "0.11.24"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3e9ad3fe7488d7e34558a2033d45a0c90b72d97b4f80705666fea71472e2e6a1"
+checksum = "c6920094eb85afde5e4a138be3f2de8bbdf28000f0029e72c45025a56b042251"
dependencies = [
- "async-compression",
"base64",
"bytes",
+ "cookie 0.17.0",
+ "cookie_store",
"encoding_rs",
"futures-core",
"futures-util",
@@ -2315,68 +1895,75 @@ dependencies = [
"once_cell",
"percent-encoding",
"pin-project-lite",
+ "rustls-pemfile",
"serde",
"serde_json",
"serde_urlencoded",
+ "sync_wrapper",
+ "system-configuration",
"tokio",
"tokio-native-tls",
- "tokio-util",
"tower-service",
"url",
"wasm-bindgen",
"wasm-bindgen-futures",
- "wasm-streams",
"web-sys",
"winreg",
]
[[package]]
-name = "ring"
-version = "0.16.20"
+name = "rkyv"
+version = "0.7.44"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3053cf52e236a3ed746dfc745aa9cacf1b791d846bdaf412f60a8d7d6e17c8fc"
+checksum = "5cba464629b3394fc4dbc6f940ff8f5b4ff5c7aef40f29166fd4ad12acbc99c0"
dependencies = [
- "cc",
- "libc",
- "once_cell",
- "spin 0.5.2",
- "untrusted",
- "web-sys",
- "winapi",
+ "bitvec",
+ "bytecheck",
+ "bytes",
+ "hashbrown 0.12.3",
+ "ptr_meta",
+ "rend",
+ "rkyv_derive",
+ "seahash",
+ "tinyvec",
+ "uuid",
]
[[package]]
-name = "rust-embed"
-version = "6.8.1"
+name = "rkyv_derive"
+version = "0.7.44"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a36224c3276f8c4ebc8c20f158eca7ca4359c8db89991c4925132aaaf6702661"
-dependencies = [
- "rust-embed-impl",
- "rust-embed-utils",
- "walkdir",
-]
-
-[[package]]
-name = "rust-embed-impl"
-version = "6.8.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "49b94b81e5b2c284684141a2fb9e2a31be90638caf040bf9afbc5a0416afe1ac"
+checksum = "a7dddfff8de25e6f62b9d64e6e432bf1c6736c57d20323e15ee10435fbda7c65"
dependencies = [
"proc-macro2",
- "quote",
- "rust-embed-utils",
- "syn 2.0.29",
- "walkdir",
+ "quote 1.0.35",
+ "syn 1.0.109",
]
[[package]]
-name = "rust-embed-utils"
-version = "7.8.1"
+name = "rust_decimal"
+version = "1.34.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9d38ff6bf570dc3bb7100fce9f7b60c33fa71d80e88da3f2580df4ff2bdded74"
+checksum = "b39449a79f45e8da28c57c341891b69a183044b29518bb8f86dbac9df60bb7df"
dependencies = [
- "sha2",
- "walkdir",
+ "arrayvec",
+ "borsh",
+ "bytes",
+ "num-traits",
+ "rand",
+ "rkyv",
+ "serde",
+ "serde_json",
+]
+
+[[package]]
+name = "rust_decimal_macros"
+version = "1.34.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e418701588729bef95e7a655f2b483ad64bb97c46e8e79fde83efd92aaab6d82"
+dependencies = [
+ "quote 1.0.35",
+ "rust_decimal",
]
[[package]]
@@ -2385,12 +1972,6 @@ version = "0.1.23"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "d626bb9dae77e28219937af045c257c28bfd3f69333c512553507f5f9798cb76"
-[[package]]
-name = "rustc-hash"
-version = "1.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "08d43f7aa6b08d49f382cde6a7982047c3426db949b1424bc4b7ec9ae12c6ce2"
-
[[package]]
name = "rustc_version"
version = "0.4.0"
@@ -2402,15 +1983,24 @@ dependencies = [
[[package]]
name = "rustix"
-version = "0.38.11"
+version = "0.38.31"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c0c3dde1fc030af041adc40e79c0e7fbcf431dd24870053d187d7c66e4b87453"
+checksum = "6ea3e1a662af26cd7a3ba09c0297a31af215563ecf42817c98df621387f4e949"
dependencies = [
- "bitflags 2.4.0",
+ "bitflags 2.4.2",
"errno",
"libc",
"linux-raw-sys",
- "windows-sys 0.48.0",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "rustls-pemfile"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1c74cae0a4cf6ccbbf5f359f08efdf8ee7e1dc532573bf0db71968cb56b1448c"
+dependencies = [
+ "base64",
]
[[package]]
@@ -2421,32 +2011,17 @@ checksum = "7ffc183a10b4478d04cbbbfc96d0873219d962dd5accaff2ffbd4ceb7df837f4"
[[package]]
name = "ryu"
-version = "1.0.15"
+version = "1.0.17"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ad4cc8da4ef723ed60bced201181d83791ad433213d8c24efffda1eec85d741"
-
-[[package]]
-name = "safe-transmute"
-version = "0.11.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "98a01dab6acf992653be49205bdd549f32f17cb2803e8eacf1560bf97259aae8"
-
-[[package]]
-name = "same-file"
-version = "1.0.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "93fc1dc3aaa9bfed95e02e6eadabb4baf7e3078b0bd1b4d7b6b0b68378900502"
-dependencies = [
- "winapi-util",
-]
+checksum = "e86697c916019a8588c99b5fac3cead74ec0b4b819707a682fd4d23fa0ce1ba1"
[[package]]
name = "schannel"
-version = "0.1.22"
+version = "0.1.23"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0c3733bf4cf7ea0880754e19cb5a462007c4a8c1914bff372ccc95b464f1df88"
+checksum = "fbc91545643bcf3a0bbb6569265615222618bdf33ce4ffbbd13c4bbd4c093534"
dependencies = [
- "windows-sys 0.48.0",
+ "windows-sys 0.52.0",
]
[[package]]
@@ -2456,10 +2031,10 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "94143f37725109f92c262ed2cf5e59bce7498c01bcc1502d7b9afe439a4e9f49"
[[package]]
-name = "scratch"
-version = "1.0.7"
+name = "seahash"
+version = "4.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a3cf7c11c38cb994f3d40e8a8cde3bbd1f72a435e4c49e85d6553d8312306152"
+checksum = "1c107b6f4780854c8b126e228ea8869f4d7b71260f962fefb57b996b8959ba6b"
[[package]]
name = "security-framework"
@@ -2484,54 +2059,37 @@ dependencies = [
"libc",
]
-[[package]]
-name = "self_cell"
-version = "0.10.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ef965a420fe14fdac7dd018862966a4c14094f900e1650bbc71ddd7d580c8af"
-
[[package]]
name = "semver"
-version = "1.0.18"
+version = "1.0.22"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b0293b4b29daaf487284529cc2f5675b8e57c61f70167ba415a463651fd6a918"
+checksum = "92d43fe69e652f3df9bdc2b85b2854a0825b86e4fb76bc44d945137d053639ca"
[[package]]
name = "serde"
-version = "1.0.188"
+version = "1.0.197"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cf9e0fcba69a370eed61bcf2b728575f726b50b55cba78064753d708ddc7549e"
+checksum = "3fb1c873e1b9b056a4dc4c0c198b24c3ffa059243875552b2bd0933b1aee4ce2"
dependencies = [
"serde_derive",
]
-[[package]]
-name = "serde-aux"
-version = "4.2.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c3dfe1b7eb6f9dcf011bd6fad169cdeaae75eda0d61b1a99a3f015b41b0cae39"
-dependencies = [
- "chrono",
- "serde",
- "serde_json",
-]
-
[[package]]
name = "serde_derive"
-version = "1.0.188"
+version = "1.0.197"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4eca7ac642d82aa35b60049a6eccb4be6be75e599bd2e9adb5f875a737654af2"
+checksum = "7eb0b34b42edc17f6b7cac84a52a1c5f0e1bb2227e997ca9011ea3dd34e8610b"
dependencies = [
"proc-macro2",
- "quote",
- "syn 2.0.29",
+ "quote 1.0.35",
+ "syn 2.0.50",
]
[[package]]
name = "serde_json"
-version = "1.0.105"
+version = "1.0.114"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "693151e1ac27563d6dbcec9dee9fbd5da8539b20fa14ad3752b2e6d363ace360"
+checksum = "c5f09b1bd632ef549eaa9f60a1f8de742bdbc698e6cee2095fc84dde5f549ae0"
dependencies = [
"itoa",
"ryu",
@@ -2539,10 +2097,21 @@ dependencies = [
]
[[package]]
-name = "serde_spanned"
-version = "0.6.3"
+name = "serde_repr"
+version = "0.1.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "96426c9936fd7a0124915f9185ea1d20aa9445cc9821142f0a73bc9207a2e186"
+checksum = "0b2e6b945e9d3df726b65d6ee24060aff8e3533d431f677a9695db04eff9dfdb"
+dependencies = [
+ "proc-macro2",
+ "quote 1.0.35",
+ "syn 2.0.50",
+]
+
+[[package]]
+name = "serde_spanned"
+version = "0.6.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eb3622f419d1296904700073ea6cc23ad690adbd66f13ea683df73298736f0c1"
dependencies = [
"serde",
]
@@ -2559,22 +2128,11 @@ dependencies = [
"serde",
]
-[[package]]
-name = "sha2"
-version = "0.10.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "479fb9d862239e610720565ca91403019f2f00410f1864c5aa7479b950a76ed8"
-dependencies = [
- "cfg-if",
- "cpufeatures",
- "digest",
-]
-
[[package]]
name = "sharded-slab"
-version = "0.1.4"
+version = "0.1.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "900fba806f70c630b0a382d0d825e17a0f19fcd059a2ade1ff237bcddf446b31"
+checksum = "f40ca3c46823713e0d4209592e8d6e826aa57e928f09752619fc696c499637f6"
dependencies = [
"lazy_static",
]
@@ -2588,6 +2146,18 @@ dependencies = [
"libc",
]
+[[package]]
+name = "simdutf8"
+version = "0.1.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f27f6278552951f1f2b8cf9da965d10969b2efdea95a6ec47987ab46edfe263a"
+
+[[package]]
+name = "siphasher"
+version = "0.3.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "38b58827f4464d87d377d175e90bf58eb00fd8716ff0a62f80356b5e61555d0d"
+
[[package]]
name = "slab"
version = "0.4.9"
@@ -2599,50 +2169,35 @@ dependencies = [
[[package]]
name = "smallvec"
-version = "1.11.0"
+version = "1.13.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "62bb4feee49fdd9f707ef802e22365a35de4b7b299de4763d44bfea899442ff9"
+checksum = "e6ecd384b10a64542d77071bd64bd7b231f4ed5940fba55e98c3de13824cf3d7"
[[package]]
name = "socket2"
-version = "0.4.9"
+version = "0.5.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "64a4a911eed85daf18834cfaa86a79b7d266ff93ff5ba14005426219480ed662"
-dependencies = [
- "libc",
- "winapi",
-]
-
-[[package]]
-name = "socket2"
-version = "0.5.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2538b18701741680e0322a2302176d3253a35388e2e62f172f64f4f16605f877"
+checksum = "7b5fac59a5cb5dd637972e5fca70daf0523c9067fcdc4842f053dae04a18f8e9"
dependencies = [
"libc",
"windows-sys 0.48.0",
]
[[package]]
-name = "spin"
-version = "0.5.2"
+name = "sorensen"
+version = "0.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6e63cff320ae2c57904679ba7cb63280a3dc4613885beafb148ee7bf9aa9042d"
-
-[[package]]
-name = "spin"
-version = "0.9.8"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6980e8d7511241f8acf4aebddbb1ff938df5eebe98691418c4468d0b72a96a67"
+checksum = "f480a5525538a706e6cb343127173f9b2fc402dea20cfdbbe6e91df018baedb8"
dependencies = [
- "lock_api",
+ "assert_float_eq",
+ "hashbrown 0.12.3",
]
[[package]]
-name = "static_assertions"
-version = "1.1.0"
+name = "strsim"
+version = "0.8.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a2eb9349b6444b326872e140eb1cf5e7c522154d69e7a0ffb0fb81c06b37543f"
+checksum = "8ea5119cdb4c55b55d432abb513a0429384878c15dde60cc77b1c99de1a95a6a"
[[package]]
name = "strsim"
@@ -2652,43 +2207,35 @@ checksum = "73473c0e59e6d5812c5dfe2a064a6444949f089e20eec9a2e5506596494e4623"
[[package]]
name = "strum"
-version = "0.24.1"
+version = "0.26.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "063e6045c0e62079840579a7e47a355ae92f60eb74daaf156fb1e84ba164e63f"
+checksum = "723b93e8addf9aa965ebe2d11da6d7540fa2283fcea14b3371ff055f7ba13f5f"
dependencies = [
- "strum_macros 0.24.3",
-]
-
-[[package]]
-name = "strum"
-version = "0.25.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "290d54ea6f91c969195bdbcd7442c8c2a2ba87da8bf60a7ee86a235d4bc1e125"
-
-[[package]]
-name = "strum_macros"
-version = "0.24.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1e385be0d24f186b4ce2f9982191e7101bb737312ad61c1f2f984f34bcf85d59"
-dependencies = [
- "heck",
- "proc-macro2",
- "quote",
- "rustversion",
- "syn 1.0.109",
+ "strum_macros",
]
[[package]]
name = "strum_macros"
-version = "0.25.2"
+version = "0.26.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ad8d03b598d3d0fff69bf533ee3ef19b8eeb342729596df84bcc7e1f96ec4059"
+checksum = "7a3417fc93d76740d974a01654a09777cb500428cc874ca9f45edfe0c4d4cd18"
dependencies = [
- "heck",
+ "heck 0.4.1",
"proc-macro2",
- "quote",
+ "quote 1.0.35",
"rustversion",
- "syn 2.0.29",
+ "syn 2.0.50",
+]
+
+[[package]]
+name = "syn"
+version = "0.11.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d3b891b9015c88c576343b9b3e41c2c11a51c219ef067b264bd9c8aa9b441dad"
+dependencies = [
+ "quote 0.3.15",
+ "synom",
+ "unicode-xid",
]
[[package]]
@@ -2698,93 +2245,146 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "72b64191b275b66ffe2469e8af2c1cfe3bafa67b529ead792a6d0160888b4237"
dependencies = [
"proc-macro2",
- "quote",
+ "quote 1.0.35",
"unicode-ident",
]
[[package]]
name = "syn"
-version = "2.0.29"
+version = "2.0.50"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c324c494eba9d92503e6f1ef2e6df781e78f6a7705a0202d9801b198807d518a"
+checksum = "74f1bdc9872430ce9b75da68329d1c1746faf50ffac5f19e02b71e37ff881ffb"
dependencies = [
"proc-macro2",
- "quote",
+ "quote 1.0.35",
"unicode-ident",
]
[[package]]
-name = "system-deps"
-version = "6.1.1"
+name = "syn_derive"
+version = "0.1.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "30c2de8a4d8f4b823d634affc9cd2a74ec98c53a756f317e529a48046cbf71f3"
+checksum = "1329189c02ff984e9736652b1631330da25eaa6bc639089ed4915d25446cbe7b"
+dependencies = [
+ "proc-macro-error",
+ "proc-macro2",
+ "quote 1.0.35",
+ "syn 2.0.50",
+]
+
+[[package]]
+name = "sync_wrapper"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2047c6ded9c721764247e62cd3b03c09ffc529b2ba5b10ec482ae507a4a70160"
+
+[[package]]
+name = "synom"
+version = "0.11.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a393066ed9010ebaed60b9eafa373d4b1baac186dd7e008555b0f702b51945b6"
+dependencies = [
+ "unicode-xid",
+]
+
+[[package]]
+name = "system-configuration"
+version = "0.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ba3a3adc5c275d719af8cb4272ea1c4a6d668a777f37e115f6d11ddbc1c8e0e7"
+dependencies = [
+ "bitflags 1.3.2",
+ "core-foundation",
+ "system-configuration-sys",
+]
+
+[[package]]
+name = "system-configuration-sys"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a75fb188eb626b924683e3b95e3a48e63551fcfb51949de2f06a9d91dbee93c9"
+dependencies = [
+ "core-foundation-sys",
+ "libc",
+]
+
+[[package]]
+name = "system-deps"
+version = "6.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2a2d580ff6a20c55dfb86be5f9c238f67835d0e81cbdea8bf5680e0897320331"
dependencies = [
"cfg-expr",
- "heck",
+ "heck 0.4.1",
"pkg-config",
- "toml 0.7.6",
+ "toml",
"version-compare",
]
[[package]]
-name = "target-lexicon"
-version = "0.12.11"
+name = "tap"
+version = "1.0.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9d0e916b1148c8e263850e1ebcbd046f333e0683c724876bb0da63ea4373dc8a"
+checksum = "55937e1799185b12863d447f42597ed69d9928686b8d88a1df17376a097d8369"
+
+[[package]]
+name = "target-lexicon"
+version = "0.12.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e1fc403891a21bcfb7c37834ba66a547a8f402146eba7265b5a6d88059c9ff2f"
[[package]]
name = "temp-dir"
-version = "0.1.11"
+version = "0.1.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "af547b166dd1ea4b472165569fc456cfb6818116f854690b0ff205e636523dab"
+checksum = "dd16aa9ffe15fe021c6ee3766772132c6e98dfa395a167e16864f61a9cfb71d6"
[[package]]
name = "tempfile"
-version = "3.8.0"
+version = "3.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cb94d2f3cc536af71caac6b6fcebf65860b347e7ce0cc9ebe8f70d3e521054ef"
+checksum = "a365e8cd18e44762ef95d87f284f4b5cd04107fec2ff3052bd6a3e6069669e67"
dependencies = [
"cfg-if",
"fastrand",
- "redox_syscall 0.3.5",
"rustix",
- "windows-sys 0.48.0",
+ "windows-sys 0.52.0",
]
[[package]]
-name = "termcolor"
-version = "1.2.0"
+name = "textwrap"
+version = "0.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "be55cf8942feac5c765c2c993422806843c9a9a45d4d5c407ad6dd2ea95eb9b6"
+checksum = "d326610f408c7a4eb6f51c37c330e496b08506c9457c9d34287ecc38809fb060"
dependencies = [
- "winapi-util",
+ "unicode-width",
]
[[package]]
name = "thiserror"
-version = "1.0.47"
+version = "1.0.57"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "97a802ec30afc17eee47b2855fc72e0c4cd62be9b4efe6591edde0ec5bd68d8f"
+checksum = "1e45bcbe8ed29775f228095caf2cd67af7a4ccf756ebff23a306bf3e8b47b24b"
dependencies = [
"thiserror-impl",
]
[[package]]
name = "thiserror-impl"
-version = "1.0.47"
+version = "1.0.57"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6bb623b56e39ab7dcd4b1b98bb6c8f8d907ed255b18de254088016b27a8ee19b"
+checksum = "a953cb265bef375dae3de6663da4d3804eee9682ea80d8e2542529b73c531c81"
dependencies = [
"proc-macro2",
- "quote",
- "syn 2.0.29",
+ "quote 1.0.35",
+ "syn 2.0.50",
]
[[package]]
name = "thread_local"
-version = "1.1.7"
+version = "1.1.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3fdd6f064ccff2d6567adcb3873ca630700f00b5ad3f060c25b5dcfd9a4ce152"
+checksum = "8b9ef9bad013ada3808854ceac7b46812a6465ba368859a37e2100283d2d719c"
dependencies = [
"cfg-if",
"once_cell",
@@ -2792,23 +2392,14 @@ dependencies = [
[[package]]
name = "time"
-version = "0.1.45"
+version = "0.3.34"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1b797afad3f312d1c66a56d11d0316f916356d11bd158fbc6ca6389ff6bf805a"
-dependencies = [
- "libc",
- "wasi 0.10.0+wasi-snapshot-preview1",
- "winapi",
-]
-
-[[package]]
-name = "time"
-version = "0.3.28"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "17f6bb557fd245c28e6411aa56b6403c689ad95061f50e4be16c274e70a17e48"
+checksum = "c8248b6521bb14bc45b4067159b9b6ad792e2d6d754d6c41fb50e29fefe38749"
dependencies = [
"deranged",
"itoa",
+ "num-conv",
+ "powerfmt",
"serde",
"time-core",
"time-macros",
@@ -2816,28 +2407,20 @@ dependencies = [
[[package]]
name = "time-core"
-version = "0.1.1"
+version = "0.1.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7300fbefb4dadc1af235a9cef3737cea692a9d97e1b9cbcd4ebdae6f8868e6fb"
+checksum = "ef927ca75afb808a4d64dd374f00a2adf8d0fcff8e7b184af886c3c87ec4a3f3"
[[package]]
name = "time-macros"
-version = "0.2.14"
+version = "0.2.17"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1a942f44339478ef67935ab2bbaec2fb0322496cf3cbe84b261e06ac3814c572"
+checksum = "7ba3a3ef41e6672a2f0f001392bb5dcd3ff0a9992d618ca761a11c3121547774"
dependencies = [
+ "num-conv",
"time-core",
]
-[[package]]
-name = "tinystr"
-version = "0.7.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7ac3f5b6856e931e15e07b478e98c8045239829a65f9156d4fa7e7788197a5ef"
-dependencies = [
- "displaydoc",
-]
-
[[package]]
name = "tinyvec"
version = "1.6.0"
@@ -2855,9 +2438,9 @@ checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20"
[[package]]
name = "tokio"
-version = "1.32.0"
+version = "1.36.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "17ed6077ed6cd6c74735e21f37eb16dc3935f96878b1fe961074089cc80893f9"
+checksum = "61285f6515fa018fb2d1e46eb21223fff441ee8db5d0f1435e8ab4f5cdb80931"
dependencies = [
"backtrace",
"bytes",
@@ -2867,20 +2450,20 @@ dependencies = [
"parking_lot",
"pin-project-lite",
"signal-hook-registry",
- "socket2 0.5.3",
+ "socket2",
"tokio-macros",
"windows-sys 0.48.0",
]
[[package]]
name = "tokio-macros"
-version = "2.1.0"
+version = "2.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "630bdcf245f78637c13ec01ffae6187cca34625e8c63150d424b59e55af2675e"
+checksum = "5b8a1e28f2deaa14e508979454cb3a223b10b938b45af148bc0986de36f1923b"
dependencies = [
"proc-macro2",
- "quote",
- "syn 2.0.29",
+ "quote 1.0.35",
+ "syn 2.0.50",
]
[[package]]
@@ -2895,9 +2478,9 @@ dependencies = [
[[package]]
name = "tokio-util"
-version = "0.7.8"
+version = "0.7.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "806fe8c2c87eccc8b3267cbae29ed3ab2d0bd37fca70ab622e46aaa9375ddb7d"
+checksum = "5419f34732d9eb6ee4c3578b7989078579b7f039cbbb9ca2c4da015749371e15"
dependencies = [
"bytes",
"futures-core",
@@ -2909,45 +2492,47 @@ dependencies = [
[[package]]
name = "toml"
-version = "0.5.11"
+version = "0.8.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f4f7f0dd8d50a853a531c426359045b1998f04219d88799810762cd4ad314234"
-dependencies = [
- "serde",
-]
-
-[[package]]
-name = "toml"
-version = "0.7.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c17e963a819c331dcacd7ab957d80bc2b9a9c1e71c804826d2f283dd65306542"
+checksum = "9a9aad4a3066010876e8dcf5a8a06e70a558751117a145c6ce2b82c2e2054290"
dependencies = [
"serde",
"serde_spanned",
"toml_datetime",
- "toml_edit",
+ "toml_edit 0.22.6",
]
[[package]]
name = "toml_datetime"
-version = "0.6.3"
+version = "0.6.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7cda73e2f1397b1262d6dfdcef8aafae14d1de7748d66822d3bfeeb6d03e5e4b"
+checksum = "3550f4e9685620ac18a50ed434eb3aec30db8ba93b0287467bca5826ea25baf1"
dependencies = [
"serde",
]
[[package]]
name = "toml_edit"
-version = "0.19.14"
+version = "0.21.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f8123f27e969974a3dfba720fdb560be359f57b44302d280ba72e76a74480e8a"
+checksum = "6a8534fd7f78b5405e860340ad6575217ce99f38d4d5c8f2442cb5ecb50090e1"
dependencies = [
- "indexmap 2.0.0",
+ "indexmap",
+ "toml_datetime",
+ "winnow 0.5.40",
+]
+
+[[package]]
+name = "toml_edit"
+version = "0.22.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2c1b5fd4128cc8d3e0cb74d4ed9a9cc7c7284becd4df68f5f940e1ad123606f6"
+dependencies = [
+ "indexmap",
"serde",
"serde_spanned",
"toml_datetime",
- "winnow",
+ "winnow 0.6.2",
]
[[package]]
@@ -2958,11 +2543,10 @@ checksum = "b6bc1c9ce2b5135ac7f93c72918fc37feb872bdc6a5533a8b85eb4b86bfdae52"
[[package]]
name = "tracing"
-version = "0.1.37"
+version = "0.1.40"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8ce8c33a8d48bd45d624a6e523445fd21ec13d3653cd51f681abf67418f54eb8"
+checksum = "c3523ab5a71916ccf420eebdf5521fcef02141234bbc0b8a49f2fdc4544364ef"
dependencies = [
- "cfg-if",
"pin-project-lite",
"tracing-attributes",
"tracing-core",
@@ -2970,149 +2554,126 @@ dependencies = [
[[package]]
name = "tracing-attributes"
-version = "0.1.26"
+version = "0.1.27"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5f4f31f56159e98206da9efd823404b79b6ef3143b4a7ab76e67b1751b25a4ab"
+checksum = "34704c8d6ebcbc939824180af020566b01a7c01f80641264eba0999f6c2b6be7"
dependencies = [
"proc-macro2",
- "quote",
- "syn 2.0.29",
+ "quote 1.0.35",
+ "syn 2.0.50",
]
[[package]]
name = "tracing-core"
-version = "0.1.31"
+version = "0.1.32"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0955b8137a1df6f1a2e9a37d8a6656291ff0297c1a97c24e0d8425fe2312f79a"
+checksum = "c06d3da6113f116aaee68e4d601191614c9053067f9ab7f6edbcb161237daa54"
dependencies = [
"once_cell",
"valuable",
]
[[package]]
-name = "tracing-log"
-version = "0.1.3"
+name = "tracing-journald"
+version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "78ddad33d2d10b1ed7eb9d1f518a5674713876e97e5bb9b7345a7984fbb4f922"
+checksum = "ba316a74e8fc3c3896a850dba2375928a9fa171b085ecddfc7c054d39970f3fd"
+dependencies = [
+ "libc",
+ "tracing-core",
+ "tracing-subscriber",
+]
+
+[[package]]
+name = "tracing-log"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ee855f1f400bd0e5c02d150ae5de3840039a3f54b025156404e34c23c03f47c3"
dependencies = [
- "lazy_static",
"log",
+ "once_cell",
"tracing-core",
]
[[package]]
name = "tracing-subscriber"
-version = "0.3.17"
+version = "0.3.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "30a651bc37f915e81f087d86e62a18eec5f79550c7faff886f7090b4ea757c77"
+checksum = "ad0f048c97dbd9faa9b7df56362b8ebcaa52adb06b498c050d2f4e32f90a7a8b"
dependencies = [
+ "matchers",
"nu-ansi-term",
+ "once_cell",
+ "regex",
"sharded-slab",
"smallvec",
"thread_local",
+ "tracing",
"tracing-core",
"tracing-log",
]
[[package]]
name = "try-lock"
-version = "0.2.4"
+version = "0.2.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3528ecfd12c466c6f163363caf2d02a71161dd5e1cc6ae7b34207ea2d42d81ed"
-
-[[package]]
-name = "type-map"
-version = "0.4.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b6d3364c5e96cb2ad1603037ab253ddd34d7fb72a58bdddf4b7350760fc69a46"
-dependencies = [
- "rustc-hash",
-]
-
-[[package]]
-name = "typenum"
-version = "1.16.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "497961ef93d974e23eb6f433eb5fe1b7930b659f06d12dec6fc44a8f554c0bba"
-
-[[package]]
-name = "ucd-trie"
-version = "0.1.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ed646292ffc8188ef8ea4d1e0e0150fb15a5c2e12ad9b8fc191ae7a8a7f3c4b9"
-
-[[package]]
-name = "unic-langid"
-version = "0.9.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "398f9ad7239db44fd0f80fe068d12ff22d78354080332a5077dc6f52f14dcf2f"
-dependencies = [
- "unic-langid-impl",
-]
-
-[[package]]
-name = "unic-langid-impl"
-version = "0.9.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e35bfd2f2b8796545b55d7d3fd3e89a0613f68a0d1c8bc28cb7ff96b411a35ff"
-dependencies = [
- "serde",
- "tinystr",
-]
+checksum = "e421abadd41a4225275504ea4d6566923418b7f05506fbc9c0fe86ba7396114b"
[[package]]
name = "unicode-bidi"
-version = "0.3.13"
+version = "0.3.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "92888ba5573ff080736b3648696b70cafad7d250551175acbaa4e0385b3e1460"
+checksum = "08f95100a766bf4f8f28f90d77e0a5461bbdb219042e7679bebe79004fed8d75"
[[package]]
name = "unicode-ident"
-version = "1.0.11"
+version = "1.0.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "301abaae475aa91687eb82514b328ab47a211a533026cb25fc3e519b86adfc3c"
+checksum = "3354b9ac3fae1ff6755cb6db53683adb661634f67557942dea4facebec0fee4b"
[[package]]
name = "unicode-normalization"
-version = "0.1.22"
+version = "0.1.23"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5c5713f0fc4b5db668a2ac63cdb7bb4469d8c9fed047b1d0292cc7b0ce2ba921"
+checksum = "a56d1686db2308d901306f92a263857ef59ea39678a5458e7cb17f01415101f5"
dependencies = [
"tinyvec",
]
[[package]]
-name = "unicode-width"
-version = "0.1.10"
+name = "unicode-segmentation"
+version = "1.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c0edd1e5b14653f783770bce4a4dabb4a5108a5370a5f5d8cfe8710c361f6c8b"
+checksum = "d4c87d22b6e3f4a18d4d40ef354e97c90fcb14dd91d7dc0aa9d8a1172ebf7202"
[[package]]
-name = "untrusted"
-version = "0.7.1"
+name = "unicode-width"
+version = "0.1.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a156c684c91ea7d62626509bce3cb4e1d9ed5c4d978f7b4352658f96a4c26b4a"
+checksum = "e51733f11c9c4f72aa0c160008246859e340b00807569a0da0e7a1079b27ba85"
+
+[[package]]
+name = "unicode-xid"
+version = "0.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8c1f860d7d29cf02cb2f3f359fd35991af3d30bac52c57d265a3c461074cb4dc"
[[package]]
name = "url"
-version = "2.4.1"
+version = "2.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "143b538f18257fac9cad154828a57c6bf5157e1aa604d4816b5995bf6de87ae5"
+checksum = "31e6302e3bb753d46e83516cae55ae196fc0c309407cf11ab35cc51a4c2a4633"
dependencies = [
"form_urlencoded",
- "idna",
+ "idna 0.5.0",
"percent-encoding",
- "serde",
]
[[package]]
name = "uuid"
-version = "1.4.1"
+version = "1.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "79daa5ed5740825c40b389c5e50312b9c86df53fccd33f281df655642b43869d"
-dependencies = [
- "getrandom",
-]
+checksum = "f00cc9702ca12d3c81455259621e676d0f7251cec66a21e98fe2e9a37db93b2a"
[[package]]
name = "valuable"
@@ -3126,6 +2687,12 @@ version = "0.2.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "accd4ea62f7bb7a82fe23066fb0957d48ef677f6eeb8215f372f52e48bb32426"
+[[package]]
+name = "vec_map"
+version = "0.8.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f1bddf1187be692e79c5ffeab891132dfb0f236ed36a43c7ed39f1165ee20191"
+
[[package]]
name = "version-compare"
version = "0.1.1"
@@ -3138,16 +2705,6 @@ version = "0.9.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "49874b5167b65d7193b8aba1567f5c7d93d001cafc34600cee003eda787e483f"
-[[package]]
-name = "walkdir"
-version = "2.3.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "36df944cda56c7d8d8b7496af378e6b16de9284591917d307c9b4d313c44e698"
-dependencies = [
- "same-file",
- "winapi-util",
-]
-
[[package]]
name = "want"
version = "0.3.1"
@@ -3157,12 +2714,6 @@ dependencies = [
"try-lock",
]
-[[package]]
-name = "wasi"
-version = "0.10.0+wasi-snapshot-preview1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1a143597ca7c7793eff794def352d41792a93c481eb1042423ff7ff72ba2c31f"
-
[[package]]
name = "wasi"
version = "0.11.0+wasi-snapshot-preview1"
@@ -3171,9 +2722,9 @@ checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
[[package]]
name = "wasm-bindgen"
-version = "0.2.87"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7706a72ab36d8cb1f80ffbf0e071533974a60d0a308d01a5d0375bf60499a342"
+checksum = "c1e124130aee3fb58c5bdd6b639a0509486b0338acaaae0c84a5124b0f588b7f"
dependencies = [
"cfg-if",
"wasm-bindgen-macro",
@@ -3181,24 +2732,24 @@ dependencies = [
[[package]]
name = "wasm-bindgen-backend"
-version = "0.2.87"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5ef2b6d3c510e9625e5fe6f509ab07d66a760f0885d858736483c32ed7809abd"
+checksum = "c9e7e1900c352b609c8488ad12639a311045f40a35491fb69ba8c12f758af70b"
dependencies = [
"bumpalo",
"log",
"once_cell",
"proc-macro2",
- "quote",
- "syn 2.0.29",
+ "quote 1.0.35",
+ "syn 2.0.50",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-futures"
-version = "0.4.37"
+version = "0.4.41"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c02dbc21516f9f1f04f187958890d7e6026df8d16540b7ad9492bc34a67cea03"
+checksum = "877b9c3f61ceea0e56331985743b13f3d25c406a7098d45180fb5f09bc19ed97"
dependencies = [
"cfg-if",
"js-sys",
@@ -3208,73 +2759,86 @@ dependencies = [
[[package]]
name = "wasm-bindgen-macro"
-version = "0.2.87"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dee495e55982a3bd48105a7b947fd2a9b4a8ae3010041b9e0faab3f9cd028f1d"
+checksum = "b30af9e2d358182b5c7449424f017eba305ed32a7010509ede96cdc4696c46ed"
dependencies = [
- "quote",
+ "quote 1.0.35",
"wasm-bindgen-macro-support",
]
[[package]]
name = "wasm-bindgen-macro-support"
-version = "0.2.87"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "54681b18a46765f095758388f2d0cf16eb8d4169b639ab575a8f5693af210c7b"
+checksum = "642f325be6301eb8107a83d12a8ac6c1e1c54345a7ef1a9261962dfefda09e66"
dependencies = [
"proc-macro2",
- "quote",
- "syn 2.0.29",
+ "quote 1.0.35",
+ "syn 2.0.50",
"wasm-bindgen-backend",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-shared"
-version = "0.2.87"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ca6ad05a4870b2bf5fe995117d3728437bd27d7cd5f06f13c17443ef369775a1"
+checksum = "4f186bd2dcf04330886ce82d6f33dd75a7bfcf69ecf5763b89fcde53b6ac9838"
[[package]]
-name = "wasm-streams"
-version = "0.3.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b4609d447824375f43e1ffbc051b50ad8f4b3ae8219680c94452ea05eb240ac7"
+name = "waylyrics"
+version = "0.2.12"
dependencies = [
- "futures-util",
- "js-sys",
- "wasm-bindgen",
- "wasm-bindgen-futures",
- "web-sys",
+ "anyhow",
+ "async-channel",
+ "async-trait",
+ "dbus",
+ "dbus-dummy",
+ "derivative",
+ "documented",
+ "gettext-rs",
+ "glib-macros",
+ "gtk4",
+ "ksni",
+ "ksni-dummy",
+ "lrc-nom",
+ "md5",
+ "mimalloc",
+ "mpris",
+ "ncmapi",
+ "once_cell",
+ "openssl",
+ "qqmusic-rs",
+ "regex",
+ "reqwest",
+ "rust_decimal",
+ "rust_decimal_macros",
+ "serde",
+ "serde_json",
+ "sorensen",
+ "strum",
+ "thiserror",
+ "tokio",
+ "toml",
+ "toml_edit 0.22.6",
+ "tracing",
+ "tracing-journald",
+ "tracing-subscriber",
+ "url",
+ "xdg",
]
[[package]]
name = "web-sys"
-version = "0.3.64"
+version = "0.3.68"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9b85cbef8c220a6abc02aefd892dfc0fc23afb1c6a426316ec33253a3877249b"
+checksum = "96565907687f7aceb35bc5fc03770a8a0471d82e479f25832f54a0e3f4b28446"
dependencies = [
"js-sys",
"wasm-bindgen",
]
-[[package]]
-name = "webbrowser"
-version = "0.8.11"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b2c79b77f525a2d670cb40619d7d9c673d09e0666f72c591ebd7861f84a87e57"
-dependencies = [
- "core-foundation",
- "home",
- "jni",
- "log",
- "ndk-context",
- "objc",
- "raw-window-handle",
- "url",
- "web-sys",
-]
-
[[package]]
name = "winapi"
version = "0.3.9"
@@ -3291,39 +2855,12 @@ version = "0.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "ac3b87c63620426dd9b991e5ce0329eff545bccbbb34f3be09ff6fb6ab51b7b6"
-[[package]]
-name = "winapi-util"
-version = "0.1.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "70ec6ce85bb158151cae5e5c87f95a8e97d2c0c4b001223f33a334e3ce5de178"
-dependencies = [
- "winapi",
-]
-
[[package]]
name = "winapi-x86_64-pc-windows-gnu"
version = "0.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
-[[package]]
-name = "windows"
-version = "0.48.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e686886bc078bc1b0b600cac0147aadb815089b6e4da64016cbd754b6342700f"
-dependencies = [
- "windows-targets 0.48.5",
-]
-
-[[package]]
-name = "windows-sys"
-version = "0.45.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "75283be5efb2831d37ea142365f009c02ec203cd29a3ebecbc093d52315b66d0"
-dependencies = [
- "windows-targets 0.42.2",
-]
-
[[package]]
name = "windows-sys"
version = "0.48.0"
@@ -3334,18 +2871,12 @@ dependencies = [
]
[[package]]
-name = "windows-targets"
-version = "0.42.2"
+name = "windows-sys"
+version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8e5180c00cd44c9b1c88adb3693291f1cd93605ded80c250a75d472756b4d071"
+checksum = "282be5f36a8ce781fad8c8ae18fa3f9beff57ec1b52cb3de0789201425d9a33d"
dependencies = [
- "windows_aarch64_gnullvm 0.42.2",
- "windows_aarch64_msvc 0.42.2",
- "windows_i686_gnu 0.42.2",
- "windows_i686_msvc 0.42.2",
- "windows_x86_64_gnu 0.42.2",
- "windows_x86_64_gnullvm 0.42.2",
- "windows_x86_64_msvc 0.42.2",
+ "windows-targets 0.52.0",
]
[[package]]
@@ -3364,10 +2895,19 @@ dependencies = [
]
[[package]]
-name = "windows_aarch64_gnullvm"
-version = "0.42.2"
+name = "windows-targets"
+version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "597a5118570b68bc08d8d59125332c54f1ba9d9adeedeef5b99b02ba2b0698f8"
+checksum = "8a18201040b24831fbb9e4eb208f8892e1f50a37feb53cc7ff887feb8f50e7cd"
+dependencies = [
+ "windows_aarch64_gnullvm 0.52.0",
+ "windows_aarch64_msvc 0.52.0",
+ "windows_i686_gnu 0.52.0",
+ "windows_i686_msvc 0.52.0",
+ "windows_x86_64_gnu 0.52.0",
+ "windows_x86_64_gnullvm 0.52.0",
+ "windows_x86_64_msvc 0.52.0",
+]
[[package]]
name = "windows_aarch64_gnullvm"
@@ -3376,10 +2916,10 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "2b38e32f0abccf9987a4e3079dfb67dcd799fb61361e53e2882c3cbaf0d905d8"
[[package]]
-name = "windows_aarch64_msvc"
-version = "0.42.2"
+name = "windows_aarch64_gnullvm"
+version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e08e8864a60f06ef0d0ff4ba04124db8b0fb3be5776a5cd47641e942e58c4d43"
+checksum = "cb7764e35d4db8a7921e09562a0304bf2f93e0a51bfccee0bd0bb0b666b015ea"
[[package]]
name = "windows_aarch64_msvc"
@@ -3388,10 +2928,10 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "dc35310971f3b2dbbf3f0690a219f40e2d9afcf64f9ab7cc1be722937c26b4bc"
[[package]]
-name = "windows_i686_gnu"
-version = "0.42.2"
+name = "windows_aarch64_msvc"
+version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c61d927d8da41da96a81f029489353e68739737d3beca43145c8afec9a31a84f"
+checksum = "bbaa0368d4f1d2aaefc55b6fcfee13f41544ddf36801e793edbbfd7d7df075ef"
[[package]]
name = "windows_i686_gnu"
@@ -3400,10 +2940,10 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "a75915e7def60c94dcef72200b9a8e58e5091744960da64ec734a6c6e9b3743e"
[[package]]
-name = "windows_i686_msvc"
-version = "0.42.2"
+name = "windows_i686_gnu"
+version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "44d840b6ec649f480a41c8d80f9c65108b92d89345dd94027bfe06ac444d1060"
+checksum = "a28637cb1fa3560a16915793afb20081aba2c92ee8af57b4d5f28e4b3e7df313"
[[package]]
name = "windows_i686_msvc"
@@ -3412,10 +2952,10 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "8f55c233f70c4b27f66c523580f78f1004e8b5a8b659e05a4eb49d4166cca406"
[[package]]
-name = "windows_x86_64_gnu"
-version = "0.42.2"
+name = "windows_i686_msvc"
+version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8de912b8b8feb55c064867cf047dda097f92d51efad5b491dfb98f6bbb70cb36"
+checksum = "ffe5e8e31046ce6230cc7215707b816e339ff4d4d67c65dffa206fd0f7aa7b9a"
[[package]]
name = "windows_x86_64_gnu"
@@ -3424,10 +2964,10 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "53d40abd2583d23e4718fddf1ebec84dbff8381c07cae67ff7768bbf19c6718e"
[[package]]
-name = "windows_x86_64_gnullvm"
-version = "0.42.2"
+name = "windows_x86_64_gnu"
+version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "26d41b46a36d453748aedef1486d5c7a85db22e56aff34643984ea85514e94a3"
+checksum = "3d6fa32db2bc4a2f5abeacf2b69f7992cd09dca97498da74a151a3132c26befd"
[[package]]
name = "windows_x86_64_gnullvm"
@@ -3436,10 +2976,10 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "0b7b52767868a23d5bab768e390dc5f5c55825b6d30b86c844ff2dc7414044cc"
[[package]]
-name = "windows_x86_64_msvc"
-version = "0.42.2"
+name = "windows_x86_64_gnullvm"
+version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9aec5da331524158c6d1a4ac0ab1541149c0b9505fde06423b02f5ef0106b9f0"
+checksum = "1a657e1e9d3f514745a572a6846d3c7aa7dbe1658c056ed9c3344c4109a6949e"
[[package]]
name = "windows_x86_64_msvc"
@@ -3448,10 +2988,25 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "ed94fce61571a4006852b7389a063ab983c02eb1bb37b47f8272ce92d06d9538"
[[package]]
-name = "winnow"
-version = "0.5.15"
+name = "windows_x86_64_msvc"
+version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7c2e3184b9c4e92ad5167ca73039d0c42476302ab603e2fec4487511f38ccefc"
+checksum = "dff9641d1cd4be8d1a070daf9e3773c5f67e78b4d9d42263020c057706765c04"
+
+[[package]]
+name = "winnow"
+version = "0.5.40"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f593a95398737aeed53e489c785df13f3618e41dbcd6718c6addbf1395aa6876"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "winnow"
+version = "0.6.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7a4191c47f15cc3ec71fcb4913cb83d58def65dd3787610213c649283b5ce178"
dependencies = [
"memchr",
]
@@ -3467,38 +3022,22 @@ dependencies = [
]
[[package]]
-name = "zvariant"
-version = "3.15.0"
+name = "wyz"
+version = "0.5.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "44b291bee0d960c53170780af148dca5fa260a63cdd24f1962fa82e03e53338c"
+checksum = "05f360fc0b24296329c78fda852a1e9ae82de9cf7b27dae4b7f62f118f77b9ed"
dependencies = [
- "byteorder",
- "libc",
- "serde",
- "static_assertions",
- "zvariant_derive",
+ "tap",
]
[[package]]
-name = "zvariant_derive"
-version = "3.15.0"
+name = "xdg"
+version = "2.5.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "934d7a7dfc310d6ee06c87ffe88ef4eca7d3e37bb251dece2ef93da8f17d8ecd"
-dependencies = [
- "proc-macro-crate",
- "proc-macro2",
- "quote",
- "syn 1.0.109",
- "zvariant_utils",
-]
+checksum = "213b7324336b53d2414b2db8537e56544d981803139155afa84f76eeebb7a546"
[[package]]
-name = "zvariant_utils"
-version = "1.0.1"
+name = "xml-rs"
+version = "0.8.19"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7234f0d811589db492d16893e3f21e8e2fd282e6d01b0cddee310322062cc200"
-dependencies = [
- "proc-macro2",
- "quote",
- "syn 1.0.109",
-]
+checksum = "0fcb9cbac069e033553e8bb871be2fbdffcab578eb25bd0f7c508cedc6dcd75a"
diff --git a/pkgs/applications/audio/waylyrics/default.nix b/pkgs/applications/audio/waylyrics/default.nix
index bd55b9c76214..9f55645a56bb 100644
--- a/pkgs/applications/audio/waylyrics/default.nix
+++ b/pkgs/applications/audio/waylyrics/default.nix
@@ -1,59 +1,64 @@
-{ lib, fetchFromGitHub, rustPlatform, gtk4, pkg-config, openssl, dbus, wrapGAppsHook4, glib, makeDesktopItem, copyDesktopItems }:
+{ lib
+, rustPlatform
+, fetchFromGitHub
+, pkg-config
+, wrapGAppsHook4
+, openssl
+, dbus
+}:
rustPlatform.buildRustPackage rec {
pname = "waylyrics";
- version = "unstable-2023-05-14";
+ version = "0.2.12";
src = fetchFromGitHub {
owner = "poly000";
- repo = pname;
- rev = "7e8bd99e1748a5448c1a5c49f0664bd96fbf965e";
- hash = "sha256-vSYtLsLvRHCCHxomPSHifXFZKjkFrlskNp7IlFflrUU=";
+ repo = "waylyrics";
+ rev = "v${version}";
+ hash = "sha256-sUhFT3Vq/IjbMir7/AVCU8FyfmoNiZsn2zkqdJkOMFo=";
};
- cargoHash = "sha256-dpJa0T6xapCBPM5fWbSDEhBlZ55c3Sr5oTnu58B/voM=";
+ cargoLock = {
+ lockFile = ./Cargo.lock;
+ outputHashes = {
+ "ncmapi-0.1.13" = "sha256-NxgF1TV+3hK5oE/DfJnWyc+XmPX3U1UeD+xTkcvDzIA=";
+ "qqmusic-rs-0.1.0" = "sha256-woLsO0n+m3EBUI+PRLio7iLp0UPQSliWK0djCSZEaZc=";
+ };
+ };
- nativeBuildInputs = [ pkg-config wrapGAppsHook4 copyDesktopItems ];
- buildInputs = [ gtk4 openssl dbus glib ];
+ postPatch = ''
+ cp ${./Cargo.lock} Cargo.lock
+ '';
- RUSTC_BOOTSTRAP = 1;
+ nativeBuildInputs = [ pkg-config wrapGAppsHook4 ];
+ buildInputs = [ openssl dbus ];
- doCheck = false; # No tests defined in the project.
-
- WAYLYRICS_DEFAULT_CONFIG = "${placeholder "out"}/share/waylyrics/config.toml";
- WAYLYRICS_THEME_PRESETS_DIR = "${placeholder "out"}/share/waylyrics/themes";
-
- desktopItems = [
- (makeDesktopItem {
- name = "io.poly000.waylyrics";
- exec = "waylyrics";
- comment = "Simple on screen lyrics for MPRIS-friendly players";
- type = "Application";
- icon = "io.poly000.waylyrics";
- desktopName = "Waylyrics";
- terminal = false;
- categories = [ "Audio" "AudioVideo" ];
- })
+ checkFlags = [
+ "--skip=tests::netease_lyric::get_netease_lyric" # Requires network access
];
+ WAYLYRICS_THEME_PRESETS_DIR = "${placeholder "out"}/share/waylyrics/themes";
+
postInstall = ''
- $out/bin/gen_config_example
- mkdir -p $out/share/waylyrics
- install -Dm644 config.toml $WAYLYRICS_DEFAULT_CONFIG
- cp -vr themes $out/share/waylyrics/
- rm $out/bin/gen_config_example # Unnecessary for end users
+ # Install themes
+ install -d $WAYLYRICS_THEME_PRESETS_DIR
+ cp -vr themes/* $WAYLYRICS_THEME_PRESETS_DIR
+ # Install desktop entry
+ install -Dm644 io.poly000.waylyrics.desktop -t $out/share/applications
# Install schema
install -Dm644 io.poly000.waylyrics.gschema.xml -t $out/share/gsettings-schemas/$name/glib-2.0/schemas
glib-compile-schemas $out/share/gsettings-schemas/$name/glib-2.0/schemas/
# Install icons
- cp -vr res/icons $out/share/
+ install -d $out/share/icons
+ cp -vr res/icons/hicolor $out/share/icons/hicolor
'';
meta = with lib; {
- description = "On screen lyrics for Wayland with NetEase Music source";
+ description = "Desktop lyrics with QQ and NetEase Music source";
+ mainProgram = "waylyrics";
homepage = "https://github.com/poly000/waylyrics";
- license = licenses.mit;
- maintainers = [ maintainers.shadowrz ];
+ license = with licenses; [ mit cc-by-40 ];
+ maintainers = with maintainers; [ shadowrz aleksana ];
platforms = platforms.linux;
};
}
diff --git a/pkgs/applications/audio/wolf-shaper/default.nix b/pkgs/applications/audio/wolf-shaper/default.nix
index 60b1aea19df2..a3c08e92b723 100644
--- a/pkgs/applications/audio/wolf-shaper/default.nix
+++ b/pkgs/applications/audio/wolf-shaper/default.nix
@@ -43,5 +43,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl3Plus;
maintainers = [ maintainers.magnetophon ];
platforms = [ "i686-linux" "x86_64-linux" ];
+ mainProgram = "wolf-shaper";
};
}
diff --git a/pkgs/applications/audio/x42-gmsynth/default.nix b/pkgs/applications/audio/x42-gmsynth/default.nix
index 90db8754ca2f..8f83263d0a00 100644
--- a/pkgs/applications/audio/x42-gmsynth/default.nix
+++ b/pkgs/applications/audio/x42-gmsynth/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "x42-gmsynth";
- version = "0.4.1";
+ version = "0.6.0";
src = fetchFromGitHub {
owner = "x42";
repo = "gmsynth.lv2";
rev = "v${version}";
- sha256 = "08dvdj8r17sfl6l18g2b8abgls2irkbrq5vhrfai01hp2m0rlm34";
+ hash = "sha256-onZoaQVAGH/1d7jBRlN3ucx/3mTGUCxjvvt19GyprsY=";
};
nativeBuildInputs = [ pkg-config ];
diff --git a/pkgs/applications/audio/xmp/default.nix b/pkgs/applications/audio/xmp/default.nix
index 325aa21984ed..efd5fb9caa60 100644
--- a/pkgs/applications/audio/xmp/default.nix
+++ b/pkgs/applications/audio/xmp/default.nix
@@ -21,5 +21,6 @@ stdenv.mkDerivation rec {
homepage = "https://xmp.sourceforge.net/";
license = licenses.gpl2Plus;
platforms = platforms.unix;
+ mainProgram = "xmp";
};
}
diff --git a/pkgs/applications/audio/xsynth-dssi/default.nix b/pkgs/applications/audio/xsynth-dssi/default.nix
index e28d773d6e2a..02336f753450 100644
--- a/pkgs/applications/audio/xsynth-dssi/default.nix
+++ b/pkgs/applications/audio/xsynth-dssi/default.nix
@@ -23,6 +23,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Classic-analog (VCOs-VCF-VCA) style software synthesizer";
+ mainProgram = "Xsynth_gtk";
longDescription = ''
Xsynth-DSSI is a classic-analog (VCOs-VCF-VCA) style software
synthesizer which operates as a plugin for the DSSI Soft Synth
diff --git a/pkgs/applications/audio/xtuner/default.nix b/pkgs/applications/audio/xtuner/default.nix
index 1fdb97f6dad4..2db4256ee87f 100644
--- a/pkgs/applications/audio/xtuner/default.nix
+++ b/pkgs/applications/audio/xtuner/default.nix
@@ -47,5 +47,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2Plus;
maintainers = with maintainers; [ magnetophon ];
platforms = platforms.linux;
+ mainProgram = "xtuner";
};
}
diff --git a/pkgs/applications/audio/yams/default.nix b/pkgs/applications/audio/yams/default.nix
index 96c1ab27b72c..e4afe4ad2729 100644
--- a/pkgs/applications/audio/yams/default.nix
+++ b/pkgs/applications/audio/yams/default.nix
@@ -23,6 +23,7 @@ python3Packages.buildPythonPackage rec {
meta = with lib; {
homepage = "https://github.com/Berulacks/yams";
description = "Last.FM scrobbler for MPD";
+ mainProgram = "yams";
license = licenses.gpl3Only;
maintainers = with maintainers; [ ccellado ];
};
diff --git a/pkgs/applications/audio/yasr/default.nix b/pkgs/applications/audio/yasr/default.nix
index d300737ae6d8..b90b7272b1ab 100644
--- a/pkgs/applications/audio/yasr/default.nix
+++ b/pkgs/applications/audio/yasr/default.nix
@@ -24,5 +24,6 @@ stdenv.mkDerivation rec {
platforms = lib.platforms.linux;
license = lib.licenses.gpl2;
maintainers = with lib.maintainers; [ jhhuh ];
+ mainProgram = "yasr";
};
}
diff --git a/pkgs/applications/audio/yesplaymusic/default.nix b/pkgs/applications/audio/yesplaymusic/default.nix
index aee0d1d192fe..a7f81dcf4c40 100644
--- a/pkgs/applications/audio/yesplaymusic/default.nix
+++ b/pkgs/applications/audio/yesplaymusic/default.nix
@@ -65,6 +65,7 @@ let
meta = with lib; {
description = "A good-looking third-party netease cloud music player";
+ mainProgram = "yesplaymusic";
homepage = "https://github.com/qier222/YesPlayMusic/";
license = licenses.mit;
maintainers = with maintainers; [ ChaosAttractor ];
diff --git a/pkgs/applications/audio/ympd/default.nix b/pkgs/applications/audio/ympd/default.nix
index 83ce36a59581..9921bb6dfada 100644
--- a/pkgs/applications/audio/ympd/default.nix
+++ b/pkgs/applications/audio/ympd/default.nix
@@ -34,5 +34,6 @@ stdenv.mkDerivation rec {
maintainers = [ maintainers.siddharthist ];
platforms = platforms.unix;
license = licenses.gpl2Plus;
+ mainProgram = "ympd";
};
}
diff --git a/pkgs/applications/audio/yoshimi/default.nix b/pkgs/applications/audio/yoshimi/default.nix
index 9509f71a78bf..02370d22ab7a 100644
--- a/pkgs/applications/audio/yoshimi/default.nix
+++ b/pkgs/applications/audio/yoshimi/default.nix
@@ -22,13 +22,13 @@
stdenv.mkDerivation rec {
pname = "yoshimi";
- version = "2.3.1.3";
+ version = "2.3.2";
src = fetchFromGitHub {
owner = "Yoshimi";
repo = pname;
rev = version;
- hash = "sha256-G4XLRYFndXW6toRyL7n1xV1ueGKVnkY1NgtpzaZ8h+I=";
+ hash = "sha256-UaZjT7B9T3a3W9PD9abA/WPmt9Id8/zUUSZU05+8x9c=";
};
sourceRoot = "${src.name}/src";
@@ -71,5 +71,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2Plus;
platforms = platforms.linux;
maintainers = [ maintainers.goibhniu ];
+ mainProgram = "yoshimi";
};
}
diff --git a/pkgs/applications/audio/youtube-music/default.nix b/pkgs/applications/audio/youtube-music/default.nix
index cfb97b549a06..7f6b7865054f 100644
--- a/pkgs/applications/audio/youtube-music/default.nix
+++ b/pkgs/applications/audio/youtube-music/default.nix
@@ -1,6 +1,5 @@
{ lib
, fetchFromGitHub
-, buildNpmPackage
, makeWrapper
, electron
, python3
@@ -14,21 +13,17 @@
, makeDesktopItem
}:
-let
+stdenv.mkDerivation (finalAttrs: {
pname = "youtube-music";
- version = "3.1.0";
+ version = "3.3.1";
src = fetchFromGitHub {
owner = "th-ch";
- repo = pname;
- rev = "v${version}";
- hash = "sha256-6ZiftpdCwxCkJzcHryVrUKzM+mM1eQpdLNFl0Dja59Q=";
+ repo = "youtube-music";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-N6TzDTKvMyasksE0qcEGKeNjGAD08OzxpmpoQ11/ZW4=";
};
-in
-stdenv.mkDerivation (finalAttrs: {
- inherit pname version src;
-
pnpmDeps = stdenvNoCC.mkDerivation {
pname = "${finalAttrs.pname}-pnpm-deps";
inherit (finalAttrs) src version ELECTRON_SKIP_BINARY_DOWNLOAD;
@@ -51,17 +46,15 @@ stdenv.mkDerivation (finalAttrs: {
dontBuild = true;
dontFixup = true;
outputHashMode = "recursive";
- outputHashAlgo = "sha256";
outputHash = {
- x86_64-linux = "sha256-Oy11V7FXfVhLUR9gX0sjQEFuVPFpbaVdT518oOSLcvA=";
- aarch64-linux = "sha256-6nXemaGiQjp2stjjKItPJ62VcH5Q5pRf63qKtl2haXI=";
- x86_64-darwin = "sha256-jSMAw+AMD63vqPckZjblw4EDngA4E8h0WlsZu3hUShY=";
- aarch64-darwin = "sha256-zujXURpIcw7IOw63AW167h6cywYXydhHZMzA2apGZAs=";
+ x86_64-linux = "sha256-V6CSawxBWFbXmAPbck0xCXqRlANpqFAoqSAB4Duf8qM=";
+ aarch64-linux = "sha256-cqBn35soV14CmobKt0napRELio4HKKA8Iw3QSWTxzP8=";
+ x86_64-darwin = "sha256-DY9T1N8Hxr57/XisYT+u2+hQvYMIiyQ3UHeTuA6BhSY=";
+ aarch64-darwin = "sha256-3Zk0SyhVKaz5QdO69/xzWFZj9ueJS6GLWhfW7odWvHc=";
}.${stdenv.system} or (throw "Unsupported system: ${stdenv.system}");
};
- nativeBuildInputs =
- [ makeWrapper python3 nodePackages.pnpm nodePackages.nodejs ]
+ nativeBuildInputs = [ makeWrapper python3 nodePackages.pnpm nodePackages.nodejs ]
++ lib.optionals (!stdenv.isDarwin) [ copyDesktopItems ];
diff --git a/pkgs/applications/audio/zita-at1/default.nix b/pkgs/applications/audio/zita-at1/default.nix
index 8a629e145c40..4e9544e39602 100644
--- a/pkgs/applications/audio/zita-at1/default.nix
+++ b/pkgs/applications/audio/zita-at1/default.nix
@@ -33,5 +33,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl3Plus;
maintainers = with maintainers; [ orivej ];
platforms = platforms.linux;
+ mainProgram = "zita-at1";
};
}
diff --git a/pkgs/applications/audio/zynaddsubfx/default.nix b/pkgs/applications/audio/zynaddsubfx/default.nix
index 0060e8d4c7f4..20dcd0d491e8 100644
--- a/pkgs/applications/audio/zynaddsubfx/default.nix
+++ b/pkgs/applications/audio/zynaddsubfx/default.nix
@@ -141,6 +141,7 @@ in stdenv.mkDerivation rec {
meta = with lib; {
description = "High quality software synthesizer (${guiName} GUI)";
+ mainProgram = "zynaddsubfx";
homepage =
if guiModule == "zest"
then "https://zynaddsubfx.sourceforge.io/zyn-fusion.html"
diff --git a/pkgs/applications/backup/pika-backup/borg-path.patch b/pkgs/applications/backup/pika-backup/borg-path.patch
index faa4b76cec7b..dc5d417d1480 100644
--- a/pkgs/applications/backup/pika-backup/borg-path.patch
+++ b/pkgs/applications/backup/pika-backup/borg-path.patch
@@ -1,22 +1,13 @@
diff --git a/src/borg/process.rs b/src/borg/process.rs
-index 63ea0ee..e3535e0 100644
+index 9af8da7..85bcbf8 100644
--- a/src/borg/process.rs
+++ b/src/borg/process.rs
-@@ -203,7 +203,7 @@ impl BorgCall {
+@@ -278,7 +278,7 @@ impl BorgCall {
}
- pub fn cmd(&self) -> Result {
-- let mut cmd = process::Command::new("borg");
-+ let mut cmd = process::Command::new("@borg@");
-
- cmd.envs([self.set_password()?]);
-
-@@ -221,7 +221,7 @@ impl BorgCall {
- }
-
- pub fn cmd_async(&self) -> Result {
+ pub(super) fn command(&self) -> Result<(async_process::Command, UnixStream)> {
- let mut cmd = async_process::Command::new("borg");
+ let mut cmd = async_process::Command::new("@borg@");
- cmd.envs([self.set_password()?]);
+ let unix_stream = self.stream_password(&mut cmd)?;
diff --git a/pkgs/applications/backup/pika-backup/default.nix b/pkgs/applications/backup/pika-backup/default.nix
index dd4049daa139..8c225b43ccf5 100644
--- a/pkgs/applications/backup/pika-backup/default.nix
+++ b/pkgs/applications/backup/pika-backup/default.nix
@@ -21,26 +21,26 @@
stdenv.mkDerivation rec {
pname = "pika-backup";
- version = "0.6.2";
+ version = "0.7.0";
src = fetchFromGitLab {
domain = "gitlab.gnome.org";
owner = "World";
repo = "pika-backup";
rev = "v${version}";
- hash = "sha256-RTeRlfRmA/fXBcdzP41mbs88ArKlbU49AA0lnW3xRlg=";
+ hash = "sha256-WeFc/4TEIxw6uzLroJX1D/rEA419sghkjBt1nsPv2Ho=";
};
cargoDeps = rustPlatform.fetchCargoTarball {
inherit src;
name = "${pname}-${version}";
- hash = "sha256-2B0N/Yq9A4LqKh8EKWmzNzTelwGE3Y9FL9IAqAgFSV8=";
+ hash = "sha256-fgPgUZxye9YUyX9/+hTye3cUypgRAegZMUTKfPxVH4s=";
};
patches = [
(substituteAll {
src = ./borg-path.patch;
- borg = "${borgbackup}/bin/borg";
+ borg = lib.getExe borgbackup;
})
];
diff --git a/pkgs/applications/backup/unifi-protect-backup/default.nix b/pkgs/applications/backup/unifi-protect-backup/default.nix
index ee8ca7e486d7..4bd8546e8ad1 100644
--- a/pkgs/applications/backup/unifi-protect-backup/default.nix
+++ b/pkgs/applications/backup/unifi-protect-backup/default.nix
@@ -5,14 +5,14 @@
python3.pkgs.buildPythonApplication rec {
pname = "unifi-protect-backup";
- version = "0.10.4";
+ version = "0.10.5";
pyproject = true;
src = fetchFromGitHub {
owner = "ep1cman";
repo = "unifi-protect-backup";
rev = "refs/tags/v${version}";
- hash = "sha256-KT2saPpkAS/6X491i0Y8+jr8JPD51iQx+YGT5zRTtcU=";
+ hash = "sha256-la/YLodfAnVuM5On6u4dyDDUvaCotmDZtHdxgNnD31A=";
};
pythonRelaxDeps = [
diff --git a/pkgs/applications/backup/urbackup-client/default.nix b/pkgs/applications/backup/urbackup-client/default.nix
index ed5f59e2c41c..3e6158f69ab5 100644
--- a/pkgs/applications/backup/urbackup-client/default.nix
+++ b/pkgs/applications/backup/urbackup-client/default.nix
@@ -38,7 +38,7 @@ stdenv.mkDerivation rec {
description = "An easy to setup Open Source client/server backup system";
longDescription = "An easy to setup Open Source client/server backup system, that through a combination of image and file backups accomplishes both data safety and a fast restoration time";
homepage = "https://www.urbackup.org/index.html";
- license = licenses.agpl3;
+ license = licenses.agpl3Plus;
platforms = platforms.linux;
maintainers = [ maintainers.mgttlinger ];
};
diff --git a/pkgs/applications/backup/vorta/default.nix b/pkgs/applications/backup/vorta/default.nix
index 6ce761e8677f..b620459f3c73 100644
--- a/pkgs/applications/backup/vorta/default.nix
+++ b/pkgs/applications/backup/vorta/default.nix
@@ -3,44 +3,43 @@
, fetchFromGitHub
, wrapQtAppsHook
, borgbackup
-, qt5
+, qtbase
+, qtwayland
, stdenv
+, makeFontsConf
}:
python3Packages.buildPythonApplication rec {
pname = "vorta";
- version = "0.8.12";
+ version = "0.9.1";
+ pyproject = true;
src = fetchFromGitHub {
owner = "borgbase";
repo = "vorta";
rev = "v${version}";
- hash = "sha256-nLdLTh1qSKvOR2cE9HWQrIWQ9L+ynX4qF+lTtKn/Ubs=";
+ hash = "sha256-wGlnldS2p92NAYAyRPqKjSneIlbdsOiJ0N42n/mMGFI=";
};
- nativeBuildInputs = [ wrapQtAppsHook ];
+ nativeBuildInputs = [
+ python3Packages.setuptools
+ wrapQtAppsHook
+ ];
buildInputs = lib.optionals stdenv.isLinux [
- qt5.qtwayland
+ qtwayland
];
propagatedBuildInputs = with python3Packages; [
peewee
- pyqt5
- python-dateutil
+ pyqt6
psutil
- qdarkstyle
secretstorage
- appdirs
setuptools
platformdirs
];
postPatch = ''
- substituteInPlace setup.cfg \
- --replace setuptools_git "" \
- --replace pytest-runner ""
-
substituteInPlace src/vorta/assets/metadata/com.borgbase.Vorta.desktop \
--replace com.borgbase.Vorta "com.borgbase.Vorta-symbolic"
'';
@@ -63,33 +62,28 @@ python3Packages.buildPythonApplication rec {
pytestCheckHook
];
- preCheck = ''
+ preCheck = let
+ fontsConf = makeFontsConf {
+ fontDirectories = [ ];
+ };
+ in ''
export HOME=$(mktemp -d)
+ export FONTCONFIG_FILE=${fontsConf};
# For tests/test_misc.py::test_autostart
mkdir -p $HOME/.config/autostart
- export QT_PLUGIN_PATH="${qt5.qtbase.bin}/${qt5.qtbase.qtPluginPrefix}"
+ export QT_PLUGIN_PATH="${qtbase}/${qtbase.qtPluginPrefix}"
export QT_QPA_PLATFORM=offscreen
'';
disabledTestPaths = [
- "tests/test_archives.py"
- "tests/test_borg.py"
- "tests/test_lock.py"
- "tests/test_notifications.py"
- ];
-
- disabledTests = [
- "diff_archives_dict_issue-Users"
- "diff_archives-test"
- "test_repo_unlink"
- "test_repo_add_success"
- "test_ssh_dialog"
- "test_create"
- "test_scheduler_create_backup"
+ # QObject::connect: No such signal QPlatformNativeInterface::systemTrayWindowChanged(QScreen*)
+ "tests/test_excludes.py"
+ "tests/integration"
+ "tests/unit"
];
meta = with lib; {
- changelog = "https://github.com/borgbase/vorta/releases/tag/v0.8.10";
+ changelog = "https://github.com/borgbase/vorta/releases/tag/${src.rev}";
description = "Desktop Backup Client for Borg";
homepage = "https://vorta.borgbase.com/";
license = licenses.gpl3Only;
diff --git a/pkgs/applications/blockchains/aeon/default.nix b/pkgs/applications/blockchains/aeon/default.nix
index 7928a63b8264..3939dbce3157 100644
--- a/pkgs/applications/blockchains/aeon/default.nix
+++ b/pkgs/applications/blockchains/aeon/default.nix
@@ -33,6 +33,9 @@ stdenv.mkDerivation {
hardeningDisable = [ "fortify" ];
meta = with lib; {
+ # Does not build against gcc-13. No development activity upstream
+ # for past few years.
+ broken = true;
description = "Private, secure, untraceable currency";
homepage = "http://www.aeon.cash/";
license = licenses.bsd3;
diff --git a/pkgs/applications/blockchains/besu/default.nix b/pkgs/applications/blockchains/besu/default.nix
index 63ae0d8fe9ad..f599c44b5a62 100644
--- a/pkgs/applications/blockchains/besu/default.nix
+++ b/pkgs/applications/blockchains/besu/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "besu";
- version = "24.1.1";
+ version = "24.1.2";
src = fetchurl {
url = "https://hyperledger.jfrog.io/artifactory/${pname}-binaries/${pname}/${version}/${pname}-${version}.tar.gz";
- sha256 = "sha256-Sw3dWiW+LfXSMkv/k1eF62Pk46X0IWFOppC6y1ucs0Q=";
+ sha256 = "sha256-CC24z0+2dSeqDddX5dJUs7SX9QJ8Iyh/nAp0pqdDvwg=";
};
nativeBuildInputs = [ makeWrapper ];
diff --git a/pkgs/applications/blockchains/bisq-desktop/default.nix b/pkgs/applications/blockchains/bisq-desktop/default.nix
index 8e6881f0d7e8..31c044f39c61 100644
--- a/pkgs/applications/blockchains/bisq-desktop/default.nix
+++ b/pkgs/applications/blockchains/bisq-desktop/default.nix
@@ -9,7 +9,7 @@
, dpkg
, writeScript
, bash
-, strip-nondeterminism
+, stripJavaArchivesHook
, tor
, zip
, xz
@@ -50,7 +50,7 @@ stdenv.mkDerivation rec {
dpkg
imagemagick
makeWrapper
- strip-nondeterminism
+ stripJavaArchivesHook
xz
zip
findutils
@@ -89,7 +89,6 @@ stdenv.mkDerivation rec {
tar --sort=name --mtime="@$SOURCE_DATE_EPOCH" -cJf native/linux/x64/tor.tar.xz tor
tor_jar_file=$(find ./opt/bisq/lib/app -name "tor-binary-linux64-*.jar")
zip -r $tor_jar_file native
- strip-nondeterminism ./opt/bisq/lib/app/*.jar
'';
installPhase = ''
diff --git a/pkgs/applications/blockchains/bitcoin-abc/default.nix b/pkgs/applications/blockchains/bitcoin-abc/default.nix
index 310c67d41530..2124cfec4770 100644
--- a/pkgs/applications/blockchains/bitcoin-abc/default.nix
+++ b/pkgs/applications/blockchains/bitcoin-abc/default.nix
@@ -15,6 +15,7 @@
, protobuf
, qrencode
, libevent
+, libnatpmp
, sqlite
, withGui
, python3
@@ -24,13 +25,13 @@
mkDerivation rec {
pname = "bitcoin" + lib.optionalString (!withGui) "d" + "-abc";
- version = "0.26.2";
+ version = "0.28.12";
src = fetchFromGitHub {
owner = "bitcoin-ABC";
repo = "bitcoin-abc";
rev = "v${version}";
- sha256 = "0gz4713lk3alk3ykwq1bdqjywadrfrnb7n2878136g01n87j00az";
+ hash = "sha256-D8ZKxvB8kfNkVTaI6uESEzw+ABtmNk0nJLK5N/pJ6W8=";
};
nativeBuildInputs = [ pkg-config cmake ];
@@ -41,6 +42,7 @@ mkDerivation rec {
zlib
python3
jemalloc
+ libnatpmp
zeromq4
miniupnpc
util-linux
diff --git a/pkgs/applications/blockchains/btcdeb/default.nix b/pkgs/applications/blockchains/btcdeb/default.nix
index f4c00d00858e..16e89b1e9daf 100644
--- a/pkgs/applications/blockchains/btcdeb/default.nix
+++ b/pkgs/applications/blockchains/btcdeb/default.nix
@@ -3,22 +3,27 @@
, autoreconfHook
, pkg-config
, openssl
+, unstableGitUpdater
}:
stdenv.mkDerivation rec {
pname = "btcdeb";
- version = "unstable-2022-04-03";
+ version = "0.3.20-unstable-2024-02-06";
src = fetchFromGitHub {
owner = "bitcoin-core";
repo = "btcdeb";
- rev = "3ba1ec7f4d37f7d2ff0544403465004c6e12036e";
- hash = "sha256-l/PGXXX288mnoSFZ32t2Xd13dC6JCU5wDHoDxb+fcp0=";
+ rev = "b9288fc3371eb1d9be0cae2549be25de66659be8";
+ hash = "sha256-IieLNMA3m6g2Kn7g3iewmUL9c+meMR4hrrwVYqNZoh8=";
};
nativeBuildInputs = [ pkg-config autoreconfHook ];
buildInputs = [ openssl ];
+ enableParallelBuilding = true;
+
+ passthru.updateScript = unstableGitUpdater {};
+
meta = with lib; {
description = "Bitcoin Script Debugger";
homepage = "https://github.com/bitcoin-core/btcdeb";
diff --git a/pkgs/applications/blockchains/cgminer/default.nix b/pkgs/applications/blockchains/cgminer/default.nix
index 7d2dbe920858..1f8cf152e080 100644
--- a/pkgs/applications/blockchains/cgminer/default.nix
+++ b/pkgs/applications/blockchains/cgminer/default.nix
@@ -48,6 +48,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "CPU/GPU miner in c for bitcoin";
+ mainProgram = "cgminer";
homepage = "https://github.com/ckolivas/cgminer";
license = licenses.gpl3;
maintainers = with maintainers; [ offline mmahut ];
diff --git a/pkgs/applications/blockchains/clboss/default.nix b/pkgs/applications/blockchains/clboss/default.nix
index c016e0f37e38..0cad0ed2ae54 100644
--- a/pkgs/applications/blockchains/clboss/default.nix
+++ b/pkgs/applications/blockchains/clboss/default.nix
@@ -1,6 +1,8 @@
{ lib
, stdenv
-, fetchurl
+, fetchFromGitHub
+, autoconf-archive
+, autoreconfHook
, pkg-config
, curlWithGnuTls
, libev
@@ -9,14 +11,16 @@
stdenv.mkDerivation rec {
pname = "clboss";
- version = "0.12";
+ version = "0.13";
- src = fetchurl {
- url = "https://github.com/ZmnSCPxj/clboss/releases/download/${version}/clboss-${version}.tar.gz";
- hash = "sha256-UZcSfbpp3vPsD3CDukp+r5Z60h0UEWTduqF4DhJ+H2U=";
+ src = fetchFromGitHub {
+ owner = "ZmnSCPxj";
+ repo = "clboss";
+ rev = "v${version}";
+ hash = "sha256-NP9blymdqDXo/OtGLQg/MXK24PpPvCrzqXRdtfCvpfI=";
};
- nativeBuildInputs = [ pkg-config libev curlWithGnuTls sqlite ];
+ nativeBuildInputs = [ autoconf-archive autoreconfHook pkg-config libev curlWithGnuTls sqlite ];
enableParallelBuilding = true;
diff --git a/pkgs/applications/blockchains/clightning/default.nix b/pkgs/applications/blockchains/clightning/default.nix
index 9b0e069bef58..cf8279e78c7b 100644
--- a/pkgs/applications/blockchains/clightning/default.nix
+++ b/pkgs/applications/blockchains/clightning/default.nix
@@ -22,11 +22,11 @@ let
in
stdenv.mkDerivation rec {
pname = "clightning";
- version = "23.11.2";
+ version = "24.02.1";
src = fetchurl {
url = "https://github.com/ElementsProject/lightning/releases/download/v${version}/clightning-v${version}.zip";
- sha256 = "sha256-n1+9Q493N/N5sr7sVpzhObtbKpEejsNUUhhbYPukveg=";
+ sha256 = "sha256-cz4rQUEaWILZMxmIP4V15pWf4zow5PDeWJzn5FEaUSs=";
};
# when building on darwin we need dawin.cctools to provide the correct libtool
@@ -44,8 +44,7 @@ stdenv.mkDerivation rec {
tools/generate-wire.py \
tools/update-mocks.sh \
tools/mockup.sh \
- devtools/sql-rewrite.py \
- plugins/clnrest/clnrest.py
+ devtools/sql-rewrite.py
'' else ''
substituteInPlace external/libwally-core/tools/autogen.sh --replace gsed sed && \
substituteInPlace external/libwally-core/configure.ac --replace gsed sed
@@ -62,6 +61,11 @@ stdenv.mkDerivation rec {
# char buf[CMSG_SPACE(sizeof(fd))];
env.NIX_CFLAGS_COMPILE = lib.optionalString (stdenv.isDarwin && stdenv.isx86_64) "-Wno-error=gnu-folding-constant";
+ # The `clnrest` plugin requires a Python environment to run
+ postInstall = ''
+ rm -r $out/libexec/c-lightning/plugins/clnrest
+ '';
+
meta = with lib; {
description = "A Bitcoin Lightning Network implementation in C";
longDescription = ''
diff --git a/pkgs/applications/blockchains/dcrwallet/default.nix b/pkgs/applications/blockchains/dcrwallet/default.nix
index fa604e9aac93..6fe4afa5b584 100644
--- a/pkgs/applications/blockchains/dcrwallet/default.nix
+++ b/pkgs/applications/blockchains/dcrwallet/default.nix
@@ -15,6 +15,13 @@ buildGoModule rec {
subPackages = [ "." ];
+ checkFlags = [
+ # Test fails with:
+ # 'x509_test.go:201: server did not report bad certificate error;
+ # instead errored with [...] tls: unknown certificate authority (*url.Error)'
+ "-skip=^TestUntrustedClientCert$"
+ ];
+
meta = {
homepage = "https://decred.org";
description = "A secure Decred wallet daemon written in Go (golang)";
diff --git a/pkgs/applications/blockchains/dogecoin/default.nix b/pkgs/applications/blockchains/dogecoin/default.nix
deleted file mode 100644
index 1c6a7212e2ed..000000000000
--- a/pkgs/applications/blockchains/dogecoin/default.nix
+++ /dev/null
@@ -1,59 +0,0 @@
-{ lib, stdenv , fetchFromGitHub
-, pkg-config, autoreconfHook
-, db5, openssl, boost, zlib, miniupnpc, libevent
-, protobuf, qtbase ? null
-, wrapQtAppsHook ? null, qttools ? null, qmake ? null, qrencode
-, withGui, withUpnp ? true, withUtils ? true, withWallet ? true
-, withZmq ? true, zeromq, util-linux ? null, Cocoa ? null }:
-
-stdenv.mkDerivation rec {
- pname = "dogecoin" + lib.optionalString (!withGui) "d";
- version = "1.14.6";
-
- src = fetchFromGitHub {
- owner = "dogecoin";
- repo = "dogecoin";
- rev = "v${version}";
- sha256 = "sha256-PmbmmA2Mq07dwB3cI7A9c/ewtu0I+sWvQT39Yekm/sU=";
- };
-
- preConfigure = lib.optionalString withGui ''
- export LRELEASE=${lib.getDev qttools}/bin/lrelease
- '';
-
- nativeBuildInputs = [ pkg-config autoreconfHook util-linux ]
- ++ lib.optionals withGui [ wrapQtAppsHook qttools ];
-
- buildInputs = [ openssl protobuf boost zlib libevent ]
- ++ lib.optionals withGui [ qtbase qrencode ]
- ++ lib.optionals withUpnp [ miniupnpc ]
- ++ lib.optionals withWallet [ db5 ]
- ++ lib.optionals withZmq [ zeromq ]
- ++ lib.optionals stdenv.isDarwin [ Cocoa ];
-
- configureFlags = [
- "--with-incompatible-bdb"
- "--with-boost-libdir=${boost.out}/lib"
- ] ++ lib.optionals (!withGui) [ "--with-gui=no" ]
- ++ lib.optionals (!withUpnp) [ "--without-miniupnpc" ]
- ++ lib.optionals (!withUtils) [ "--without-utils" ]
- ++ lib.optionals (!withWallet) [ "--disable-wallet" ]
- ++ lib.optionals (!withZmq) [ "--disable-zmq" ];
-
- enableParallelBuilding = true;
-
- meta = with lib; {
- description = "Wow, such coin, much shiba, very rich";
- longDescription = ''
- Dogecoin is a decentralized, peer-to-peer digital currency that
- enables you to easily send money online. Think of it as "the
- internet currency."
- It is named after a famous Internet meme, the "Doge" - a Shiba Inu dog.
- '';
- homepage = "https://www.dogecoin.com/";
- license = licenses.mit;
- maintainers = with maintainers; [ edwtjo offline ];
- platforms = platforms.unix;
- broken = true;
- };
-}
diff --git a/pkgs/applications/blockchains/exodus/default.nix b/pkgs/applications/blockchains/exodus/default.nix
index 110ddb9017e5..60189088b201 100644
--- a/pkgs/applications/blockchains/exodus/default.nix
+++ b/pkgs/applications/blockchains/exodus/default.nix
@@ -27,13 +27,13 @@
stdenv.mkDerivation rec {
pname = "exodus";
- version = "24.1.15";
+ version = "24.11.5";
src = fetchurl {
name = "exodus-linux-x64-${version}.zip";
url = "https://downloads.exodus.com/releases/${pname}-linux-x64-${version}.zip";
curlOptsList = [ "--user-agent" "Mozilla/5.0" ];
- sha256 = "sha256-KfUlYnonlyI/5sWIM9CS/eo9a4KWeG7Gqe/KkAqSbbY=";
+ sha256 = "sha256-sh6Ym+Dm5UIEiESIu1cuY8XSsnJcENCzW7b4S562ax8=";
};
nativeBuildInputs = [ unzip ];
diff --git a/pkgs/applications/blockchains/framesh/default.nix b/pkgs/applications/blockchains/framesh/default.nix
index 68eaf2a1adff..f866ff3ea98c 100644
--- a/pkgs/applications/blockchains/framesh/default.nix
+++ b/pkgs/applications/blockchains/framesh/default.nix
@@ -2,10 +2,10 @@
let
pname = "framesh";
- version = "0.6.8";
+ version = "0.6.9";
src = fetchurl {
url = "https://github.com/floating/frame/releases/download/v${version}/Frame-${version}.AppImage";
- hash = "sha256-qTbT1g+9hypBUxRMZ/Eat5OGb1y6yJlxQ6iJzfQH8G4=";
+ hash = "sha256-SsQIAg5DttvNJk1z+GJq4+e0Qa/j+VEKPV2bPA6+V8A=";
};
appimageContents = appimageTools.extractType2 {
diff --git a/pkgs/applications/blockchains/fulcrum/default.nix b/pkgs/applications/blockchains/fulcrum/default.nix
index fb81a59574e3..655a65936857 100644
--- a/pkgs/applications/blockchains/fulcrum/default.nix
+++ b/pkgs/applications/blockchains/fulcrum/default.nix
@@ -11,13 +11,13 @@
stdenv.mkDerivation rec {
pname = "fulcrum";
- version = "1.9.8";
+ version = "1.10.0";
src = fetchFromGitHub {
owner = "cculianu";
repo = "Fulcrum";
rev = "v${version}";
- sha256 = "sha256-cWrhALYIjhOCKi/uPXD8Ty0wuN4WQq+8o97M6CtW+YE=";
+ sha256 = "sha256-HTyOlbrmZHN2MbG70auGkuPPo3fTN/oCHi6Lwnq+gKk=";
};
nativeBuildInputs = [ pkg-config qmake ];
diff --git a/pkgs/applications/blockchains/gridcoin-research/default.nix b/pkgs/applications/blockchains/gridcoin-research/default.nix
index 2e1b6563afe4..4a5bd601ced7 100644
--- a/pkgs/applications/blockchains/gridcoin-research/default.nix
+++ b/pkgs/applications/blockchains/gridcoin-research/default.nix
@@ -20,13 +20,13 @@
stdenv.mkDerivation rec {
pname = "gridcoin-research";
- version = "5.4.5.0";
+ version = "5.4.7.0";
src = fetchFromGitHub {
owner = "gridcoin-community";
repo = "Gridcoin-Research";
rev = "${version}";
- sha256 = "1a174m7821c7d3yh9lyh0r3ds6qn06x16aa1qxcbrqyxxc127yky";
+ sha256 = "sha256-wdXah7QnWohGAtC98exPSkhg5F3BaBOiFs6bklFxD7E=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/blockchains/ledger-live-desktop/default.nix b/pkgs/applications/blockchains/ledger-live-desktop/default.nix
index 674156e24c06..d2e9094afa61 100644
--- a/pkgs/applications/blockchains/ledger-live-desktop/default.nix
+++ b/pkgs/applications/blockchains/ledger-live-desktop/default.nix
@@ -2,11 +2,11 @@
let
pname = "ledger-live-desktop";
- version = "2.75.0";
+ version = "2.77.2";
src = fetchurl {
url = "https://download.live.ledger.com/${pname}-${version}-linux-x86_64.AppImage";
- hash = "sha256-sVaQbfpgHgd1OZgR+R0PUmNENfDOcNRfvO2AVKFyDqM=";
+ hash = "sha256-orIyfRs6TJgqGGLpl2tvoUtejsB0ni8xRK0SboP2LHw=";
};
appimageContents = appimageTools.extractType2 {
diff --git a/pkgs/applications/blockchains/lighthouse/Cargo.lock b/pkgs/applications/blockchains/lighthouse/Cargo.lock
index a7f0bd102b66..6d76f7aef763 100644
--- a/pkgs/applications/blockchains/lighthouse/Cargo.lock
+++ b/pkgs/applications/blockchains/lighthouse/Cargo.lock
@@ -53,7 +53,6 @@ dependencies = [
"regex",
"rpassword",
"serde",
- "serde_derive",
"serde_yaml",
"slog",
"types",
@@ -91,6 +90,16 @@ dependencies = [
"generic-array",
]
+[[package]]
+name = "aead"
+version = "0.5.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d122413f284cf2d62fb1b7db97e02edb8cda96d769b16e443a4f6195e35662b0"
+dependencies = [
+ "crypto-common",
+ "generic-array",
+]
+
[[package]]
name = "aes"
version = "0.7.5"
@@ -100,7 +109,7 @@ dependencies = [
"cfg-if",
"cipher 0.3.0",
"cpufeatures",
- "ctr",
+ "ctr 0.8.0",
"opaque-debug",
]
@@ -117,45 +126,49 @@ dependencies = [
[[package]]
name = "aes-gcm"
-version = "0.9.4"
+version = "0.9.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "df5f85a83a7d8b0442b6aa7b504b8212c1733da07b98aae43d4bc21b2cb3cdf6"
+checksum = "bc3be92e19a7ef47457b8e6f90707e12b6ac5d20c6f3866584fa3be0787d839f"
dependencies = [
- "aead",
+ "aead 0.4.3",
"aes 0.7.5",
"cipher 0.3.0",
- "ctr",
- "ghash",
+ "ctr 0.7.0",
+ "ghash 0.4.4",
+ "subtle",
+]
+
+[[package]]
+name = "aes-gcm"
+version = "0.10.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "831010a0f742e1209b3bcea8fab6a8e149051ba6099432c8cb2cc117dec3ead1"
+dependencies = [
+ "aead 0.5.2",
+ "aes 0.8.3",
+ "cipher 0.4.4",
+ "ctr 0.9.2",
+ "ghash 0.5.0",
"subtle",
]
[[package]]
name = "ahash"
-version = "0.7.6"
+version = "0.8.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fcb51a0695d8f838b1ee009b3fbf66bda078cd64590202a864a8f3e8c4315c47"
-dependencies = [
- "getrandom 0.2.10",
- "once_cell",
- "version_check",
-]
-
-[[package]]
-name = "ahash"
-version = "0.8.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2c99f64d1e06488f620f932677e24bc6e2897582980441ae90a671415bd7ec2f"
+checksum = "77c3a9648d43b9cd48db467b3f87fdd6e146bcc88ab0180006cef2179fe11d01"
dependencies = [
"cfg-if",
"once_cell",
"version_check",
+ "zerocopy",
]
[[package]]
name = "aho-corasick"
-version = "1.1.0"
+version = "1.1.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0f2135563fb5c609d2b2b87c1e8ce7bc41b0b45430fa9661f457981503dd5bf0"
+checksum = "b2969dcb958b36655471fc61f7e416fa76033bdd4bfed0678d8fee1e2d07a1f0"
dependencies = [
"memchr",
]
@@ -195,26 +208,17 @@ dependencies = [
"winapi",
]
-[[package]]
-name = "anvil-rpc"
-version = "0.1.0"
-source = "git+https://github.com/foundry-rs/foundry?rev=b45456717ffae1af65acdc71099f8cb95e6683a0#b45456717ffae1af65acdc71099f8cb95e6683a0"
-dependencies = [
- "serde",
- "serde_json",
-]
-
[[package]]
name = "anyhow"
-version = "1.0.75"
+version = "1.0.79"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a4668cab20f66d8d020e1fbc0ebe47217433c1b6c8f2040faf858554e394ace6"
+checksum = "080e9890a082662b09c1ad45f567faeeb47f22b5fb23895fbe1e651e718e25ca"
[[package]]
name = "arbitrary"
-version = "1.3.0"
+version = "1.3.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e2d098ff73c1ca148721f37baad5ea6a465a13f9573aba8641fbbbae8164a54e"
+checksum = "7d5a26814d8dcb93b0e5a0ff3c6d80a8843bafb21b39e8e18a6f05471870e110"
dependencies = [
"derive_arbitrary",
]
@@ -225,15 +229,6 @@ version = "1.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "bddcadddf5e9015d310179a59bb28c4d4b9920ad0f11e8e14dbadf654890c9a6"
-[[package]]
-name = "array-init"
-version = "0.0.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "23589ecb866b460d3a0f1278834750268c607e8e28a1b982c907219f3178cd72"
-dependencies = [
- "nodrop",
-]
-
[[package]]
name = "arrayref"
version = "0.3.7"
@@ -292,65 +287,55 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "155a5a185e42c6b77ac7b88a15143d930a9e9727a5b7b77eed417404ab15c247"
[[package]]
-name = "async-io"
-version = "1.13.0"
+name = "async-channel"
+version = "1.9.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0fc5b45d93ef0529756f812ca52e44c221b35341892d3dcc34132ac02f3dd2af"
+checksum = "81953c529336010edd6d8e358f886d9581267795c61b19475b71314bffa46d35"
+dependencies = [
+ "concurrent-queue",
+ "event-listener 2.5.3",
+ "futures-core",
+]
+
+[[package]]
+name = "async-io"
+version = "2.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fb41eb19024a91746eba0773aa5e16036045bbf45733766661099e182ea6a744"
dependencies = [
"async-lock",
- "autocfg",
"cfg-if",
"concurrent-queue",
+ "futures-io",
"futures-lite",
- "log",
"parking",
"polling",
- "rustix 0.37.23",
+ "rustix 0.38.30",
"slab",
- "socket2 0.4.9",
- "waker-fn",
+ "tracing",
+ "windows-sys 0.52.0",
]
[[package]]
name = "async-lock"
-version = "2.8.0"
+version = "3.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "287272293e9d8c41773cec55e365490fe034813a2f172f502d6ddcf75b2f582b"
+checksum = "d034b430882f8381900d3fe6f0aaa3ad94f2cb4ac519b429692a1bc2dda4ae7b"
dependencies = [
- "event-listener",
-]
-
-[[package]]
-name = "async-stream"
-version = "0.3.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cd56dd203fef61ac097dd65721a419ddccb106b2d2b70ba60a6b529f03961a51"
-dependencies = [
- "async-stream-impl",
- "futures-core",
+ "event-listener 4.0.3",
+ "event-listener-strategy",
"pin-project-lite",
]
-[[package]]
-name = "async-stream-impl"
-version = "0.3.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "16e62a023e7c117e27523144c5d2459f4397fcc3cab0085af8e2224f643a0193"
-dependencies = [
- "proc-macro2",
- "quote",
- "syn 2.0.37",
-]
-
[[package]]
name = "async-trait"
-version = "0.1.73"
+version = "0.1.77"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bc00ceb34980c03614e35a3a4e218276a0a824e911d07651cd0d858a51e8c0f0"
+checksum = "c980ee35e870bd1a4d2c8294d4c04d0499e67bca1e4b5cefcc693c2fa00caea9"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.37",
+ "syn 2.0.48",
]
[[package]]
@@ -366,9 +351,9 @@ dependencies = [
[[package]]
name = "asynchronous-codec"
-version = "0.6.2"
+version = "0.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4057f2c32adbb2fc158e22fb38433c8e9bbf76b75a4732c7c0cbaf695fb65568"
+checksum = "a860072022177f903e59730004fb5dc13db9275b79bb2aef7ba8ce831956c233"
dependencies = [
"bytes",
"futures-sink",
@@ -383,12 +368,23 @@ version = "0.16.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "fdb8867f378f33f78a811a8eb9bf108ad99430d7aad43315dd9319c827ef6247"
dependencies = [
- "http",
+ "http 0.2.11",
"log",
"url",
"wildmatch",
]
+[[package]]
+name = "attohttpc"
+version = "0.24.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8d9a9bf8b79a749ee0b911b91b671cc2b6c670bdbc7e3dfd537576ddc94bb2a2"
+dependencies = [
+ "http 0.2.11",
+ "log",
+ "url",
+]
+
[[package]]
name = "atty"
version = "0.2.14"
@@ -420,18 +416,19 @@ checksum = "d468802bab17cbc0cc575e9b053f41e72aa36bfa6b7f55e3529ffa43161b97fa"
[[package]]
name = "axum"
-version = "0.6.20"
+version = "0.7.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3b829e4e32b91e643de6eafe82b1d90675f5874230191a4ffbc1b336dec4d6bf"
+checksum = "1236b4b292f6c4d6dc34604bb5120d85c3fe1d1aa596bd5cc52ca054d13e7b9e"
dependencies = [
"async-trait",
"axum-core",
- "bitflags 1.3.2",
"bytes",
"futures-util",
- "http",
- "http-body",
- "hyper",
+ "http 1.0.0",
+ "http-body 1.0.0",
+ "http-body-util",
+ "hyper 1.1.0",
+ "hyper-util",
"itoa",
"matchit",
"memchr",
@@ -448,23 +445,28 @@ dependencies = [
"tower",
"tower-layer",
"tower-service",
+ "tracing",
]
[[package]]
name = "axum-core"
-version = "0.3.4"
+version = "0.4.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "759fa577a247914fd3f7f76d62972792636412fbfd634cd452f6a385a74d2d2c"
+checksum = "a15c63fd72d41492dc4f497196f5da1fb04fb7529e631d73630d1b491e47a2e3"
dependencies = [
"async-trait",
"bytes",
"futures-util",
- "http",
- "http-body",
+ "http 1.0.0",
+ "http-body 1.0.0",
+ "http-body-util",
"mime",
+ "pin-project-lite",
"rustversion",
+ "sync_wrapper",
"tower-layer",
"tower-service",
+ "tracing",
]
[[package]]
@@ -508,9 +510,9 @@ checksum = "9e1b586273c5702936fe7b7d6896644d8be71e6314cfe09d3167c95f712589e8"
[[package]]
name = "base64"
-version = "0.21.4"
+version = "0.21.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9ba43ea6f343b788c8764558649e08df62f86c6ef251fdaeb1ffd010a9ae50a2"
+checksum = "9d297deb1925b89f2ccc13d7635fa0714f12c87adce1c75356b39ca9b7178567"
[[package]]
name = "base64ct"
@@ -518,24 +520,6 @@ version = "1.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "8c3c1a368f70d6cf7302d78f8f7093da241fb8e8807c05cc9e51a125895a6d5b"
-[[package]]
-name = "beacon-api-client"
-version = "0.1.0"
-source = "git+https://github.com/ralexstokes/beacon-api-client?rev=93d7e8c#93d7e8c38fe9782c4862909663e7b57c44f805a9"
-dependencies = [
- "ethereum-consensus",
- "http",
- "itertools",
- "reqwest",
- "serde",
- "serde_json",
- "thiserror",
- "tokio",
- "tracing",
- "tracing-subscriber",
- "url",
-]
-
[[package]]
name = "beacon_chain"
version = "0.2.0"
@@ -546,6 +530,7 @@ dependencies = [
"environment",
"eth1",
"eth2",
+ "eth2_network_config",
"ethereum_hashing",
"ethereum_serde_utils",
"ethereum_ssz",
@@ -558,10 +543,11 @@ dependencies = [
"hex",
"int_to_bytes",
"itertools",
+ "kzg",
"lazy_static",
"lighthouse_metrics",
"logging",
- "lru 0.7.8",
+ "lru",
"maplit",
"merkle_proof",
"oneshot_broadcast",
@@ -576,9 +562,11 @@ dependencies = [
"serde_json",
"slasher",
"slog",
+ "slog-async",
+ "slog-term",
"sloggers",
"slot_clock",
- "smallvec 1.11.0",
+ "smallvec",
"ssz_types",
"state_processing",
"store",
@@ -595,7 +583,7 @@ dependencies = [
[[package]]
name = "beacon_node"
-version = "4.5.0"
+version = "4.6.0"
dependencies = [
"beacon_chain",
"clap",
@@ -611,13 +599,14 @@ dependencies = [
"genesis",
"hex",
"http_api",
- "hyper",
+ "hyper 1.1.0",
"lighthouse_network",
"lighthouse_version",
"monitoring_api",
"node_test_rig",
"sensitive_url",
"serde",
+ "serde_json",
"slasher",
"slog",
"store",
@@ -681,6 +670,29 @@ dependencies = [
"shlex",
]
+[[package]]
+name = "bindgen"
+version = "0.66.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f2b84e06fc203107bfbad243f4aba2af864eb7db3b1cf46ea0a023b0b433d2a7"
+dependencies = [
+ "bitflags 2.4.2",
+ "cexpr",
+ "clang-sys",
+ "lazy_static",
+ "lazycell",
+ "log",
+ "peeking_take_while",
+ "prettyplease",
+ "proc-macro2",
+ "quote",
+ "regex",
+ "rustc-hash",
+ "shlex",
+ "syn 2.0.48",
+ "which",
+]
+
[[package]]
name = "bitflags"
version = "1.3.2"
@@ -689,9 +701,9 @@ checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a"
[[package]]
name = "bitflags"
-version = "2.4.0"
+version = "2.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b4682ae6287fcf752ecaabbfcc7b6f9b72aa33933dc23a554d853aea8eea8635"
+checksum = "ed570934406eb16438a4e976b1b4500774099c13b8cb96eec99f620f05090ddf"
[[package]]
name = "bitvec"
@@ -765,7 +777,6 @@ dependencies = [
"milagro_bls",
"rand",
"serde",
- "serde_derive",
"tree_hash",
"zeroize",
]
@@ -794,7 +805,7 @@ dependencies = [
[[package]]
name = "boot_node"
-version = "4.5.0"
+version = "4.6.0"
dependencies = [
"beacon_node",
"clap",
@@ -806,7 +817,6 @@ dependencies = [
"log",
"logging",
"serde",
- "serde_derive",
"serde_json",
"serde_yaml",
"slog",
@@ -859,9 +869,9 @@ checksum = "c3ac9f8b63eca6fd385229b3675f6cc0dc5c8a5c8a54a59d4f52ffd670d87b0c"
[[package]]
name = "byteorder"
-version = "1.4.3"
+version = "1.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "14c189c53d098945499cdfa7ecc63567cf3886b3332b312a5b4585d8d3a6a610"
+checksum = "1fd0f2584146f6f2ef48085050886acf353beff7305ebd1ae69500e27c67f64b"
[[package]]
name = "bytes"
@@ -893,6 +903,21 @@ dependencies = [
"pkg-config",
]
+[[package]]
+name = "c-kzg"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "32700dc7904064bb64e857d38a1766607372928e2466ee5f02a869829b3297d7"
+dependencies = [
+ "bindgen 0.66.1",
+ "blst",
+ "cc",
+ "glob",
+ "hex",
+ "libc",
+ "serde",
+]
+
[[package]]
name = "cached_tree_hash"
version = "0.1.0"
@@ -903,7 +928,7 @@ dependencies = [
"ethereum_ssz_derive",
"quickcheck",
"quickcheck_macros",
- "smallvec 1.11.0",
+ "smallvec",
"ssz_types",
"tree_hash",
]
@@ -919,9 +944,9 @@ dependencies = [
[[package]]
name = "cargo-platform"
-version = "0.1.3"
+version = "0.1.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2cfa25e60aea747ec7e1124f238816749faa93759c6ff5b31f1ccdda137f4479"
+checksum = "ceed8ef69d8518a5dda55c07425450b58a4e1946f4951eab6d7191ee86c2443d"
dependencies = [
"serde",
]
@@ -973,39 +998,38 @@ checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd"
[[package]]
name = "chacha20"
-version = "0.8.2"
+version = "0.9.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5c80e5460aa66fe3b91d40bcbdab953a597b60053e34d684ac6903f863b680a6"
+checksum = "c3613f74bd2eac03dad61bd53dbe620703d4371614fe0bc3b9f04dd36fe4e818"
dependencies = [
"cfg-if",
- "cipher 0.3.0",
+ "cipher 0.4.4",
"cpufeatures",
- "zeroize",
]
[[package]]
name = "chacha20poly1305"
-version = "0.9.1"
+version = "0.10.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a18446b09be63d457bbec447509e85f662f32952b035ce892290396bc0b0cff5"
+checksum = "10cd79432192d1c0f4e1a0fef9527696cc039165d729fb41b3f4f4f354c2dc35"
dependencies = [
- "aead",
+ "aead 0.5.2",
"chacha20",
- "cipher 0.3.0",
+ "cipher 0.4.4",
"poly1305",
"zeroize",
]
[[package]]
name = "chrono"
-version = "0.4.31"
+version = "0.4.32"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7f2c685bad3eb3d45a01354cedb7d5faa66194d1d58ba6e267a8de788f79db38"
+checksum = "41daef31d7a747c5c847246f36de49ced6f7403b4cdabc807a97b5cc184cda7a"
dependencies = [
"android-tzdata",
"iana-time-zone",
"num-traits",
- "windows-targets 0.48.5",
+ "windows-targets 0.52.0",
]
[[package]]
@@ -1025,13 +1049,14 @@ checksum = "773f3b9af64447d2ce9850330c473515014aa235e6a783b02db81ff39e4a3dad"
dependencies = [
"crypto-common",
"inout",
+ "zeroize",
]
[[package]]
name = "clang-sys"
-version = "1.6.1"
+version = "1.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c688fc74432808e3eb684cae8830a86be1d66a2bd58e1f248ed0960a590baf6f"
+checksum = "67523a3b4be3ce1989d607a828d036249522dd9c1c8de7f4dd2dae43a37369d1"
dependencies = [
"glob",
"libc",
@@ -1097,7 +1122,6 @@ dependencies = [
"parking_lot 0.12.1",
"sensitive_url",
"serde",
- "serde_derive",
"serde_yaml",
"slasher",
"slasher_service",
@@ -1126,6 +1150,7 @@ name = "compare_fields"
version = "0.2.0"
dependencies = [
"compare_fields_derive",
+ "itertools",
]
[[package]]
@@ -1138,18 +1163,18 @@ dependencies = [
[[package]]
name = "concurrent-queue"
-version = "2.2.0"
+version = "2.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "62ec6771ecfa0762d24683ee5a32ad78487a3d3afdc0fb8cae19d2c5deb50b7c"
+checksum = "d16048cd947b08fa32c24458a22f5dc5e835264f689f4f5653210c69fd107363"
dependencies = [
"crossbeam-utils",
]
[[package]]
name = "const-oid"
-version = "0.9.5"
+version = "0.9.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "28c122c3980598d243d63d9a704629a2d748d101f278052ff068be5a4423ab6f"
+checksum = "c2459377285ad874054d797f3ccebf984978aa39129f6eafde5cdc8315b612f8"
[[package]]
name = "constant_time_eq"
@@ -1165,9 +1190,9 @@ checksum = "6245d59a3e82a7fc217c5828a6692dbc6dfb63a0c8c90495621f7b9d79704a0e"
[[package]]
name = "core-foundation"
-version = "0.9.3"
+version = "0.9.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "194a7a9e6de53fa55116934067c844d9d749312f75c6f6d0980e8c252f8c2146"
+checksum = "91e195e091a93c46f7102ec7818a2aa394e1e1771c3ab4825963fa03e45afb8f"
dependencies = [
"core-foundation-sys",
"libc",
@@ -1175,9 +1200,9 @@ dependencies = [
[[package]]
name = "core-foundation-sys"
-version = "0.8.4"
+version = "0.8.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e496a50fda8aacccc86d7529e2c1e0892dbd0f898a6b5645b5561b89c3210efa"
+checksum = "06ea2b9bc92be3c2baa9334a323ebca2d6f074ff852cd1d7b11064035cd3868f"
[[package]]
name = "core2"
@@ -1190,9 +1215,9 @@ dependencies = [
[[package]]
name = "cpufeatures"
-version = "0.2.9"
+version = "0.2.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a17b76ff3a4162b0b27f354a0c87015ddad39d35f9c0c36607a3bdd175dde1f1"
+checksum = "53fe5e26ff1b7aef8bca9c6080520cfb8d9333c7568e1829cef191a9723e5504"
dependencies = [
"libc",
]
@@ -1244,46 +1269,37 @@ dependencies = [
[[package]]
name = "crossbeam-channel"
-version = "0.5.8"
+version = "0.5.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a33c2bf77f2df06183c3aa30d1e96c0695a313d4f9c453cc3762a6db39f99200"
+checksum = "176dc175b78f56c0f321911d9c8eb2b77a78a4860b9c19db83835fea1a46649b"
dependencies = [
- "cfg-if",
"crossbeam-utils",
]
[[package]]
name = "crossbeam-deque"
-version = "0.8.3"
+version = "0.8.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ce6fd6f855243022dcecf8702fef0c297d4338e226845fe067f6341ad9fa0cef"
+checksum = "613f8cc01fe9cf1a3eb3d7f488fd2fa8388403e97039e2f73692932e291a770d"
dependencies = [
- "cfg-if",
"crossbeam-epoch",
"crossbeam-utils",
]
[[package]]
name = "crossbeam-epoch"
-version = "0.9.15"
+version = "0.9.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ae211234986c545741a7dc064309f67ee1e5ad243d0e48335adc0484d960bcc7"
+checksum = "5b82ac4a3c2ca9c3460964f020e1402edd5753411d7737aa39c3714ad1b5420e"
dependencies = [
- "autocfg",
- "cfg-if",
"crossbeam-utils",
- "memoffset 0.9.0",
- "scopeguard",
]
[[package]]
name = "crossbeam-utils"
-version = "0.8.16"
+version = "0.8.19"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5a22b2d63d4d1dc0b7f1b6b2747dd0088008a9be28b6ddf0b1e7d335e3037294"
-dependencies = [
- "cfg-if",
-]
+checksum = "248e3bacc7dc6baa3b21e405ee045c3047101a49145e7e9eca583ab4c2ca5345"
[[package]]
name = "crunchy"
@@ -1298,19 +1314,19 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "ef2b4b23cddf68b89b8f8069890e8c270d54e2d5fe1b143820234805e4cb17ef"
dependencies = [
"generic-array",
- "rand_core 0.6.4",
+ "rand_core",
"subtle",
"zeroize",
]
[[package]]
name = "crypto-bigint"
-version = "0.5.3"
+version = "0.5.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "740fe28e594155f10cfc383984cbefd529d7396050557148f79cb0f621204124"
+checksum = "0dc92fb57ca44df6db8059111ab3af99a63d5d0f8375d9972e319a379c6bab76"
dependencies = [
"generic-array",
- "rand_core 0.6.4",
+ "rand_core",
"subtle",
"zeroize",
]
@@ -1322,6 +1338,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "1bfb12502f3fc46cca1bb51ac28df9d618d813cdc3d2f25b9fe775a34af26bb3"
dependencies = [
"generic-array",
+ "rand_core",
"typenum",
]
@@ -1337,9 +1354,9 @@ dependencies = [
[[package]]
name = "crypto-mac"
-version = "0.11.1"
+version = "0.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b1d1a86f49236c215f271d40892d5fc950490551400b02ef360692c29815c714"
+checksum = "25fab6889090c8133f3deb8f73ba3c65a7f456f66436fc012a1b1e272b1e103e"
dependencies = [
"generic-array",
"subtle",
@@ -1347,9 +1364,9 @@ dependencies = [
[[package]]
name = "csv"
-version = "1.2.2"
+version = "1.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "626ae34994d3d8d668f4269922248239db4ae42d538b14c398b74a52208e8086"
+checksum = "ac574ff4d437a7b5ad237ef331c17ccca63c46479e5b5453eb8e10bb99a759fe"
dependencies = [
"csv-core",
"itoa",
@@ -1359,13 +1376,22 @@ dependencies = [
[[package]]
name = "csv-core"
-version = "0.1.10"
+version = "0.1.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2b2466559f260f48ad25fe6317b3c8dac77b5bdb5763ac7d9d6103530663bc90"
+checksum = "5efa2b3d7902f4b634a20cae3c9c4e6209dc4779feb6863329607560143efa70"
dependencies = [
"memchr",
]
+[[package]]
+name = "ctr"
+version = "0.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a232f92a03f37dd7d7dd2adc67166c77e9cd88de5b019b9a9eecfaeaf7bfd481"
+dependencies = [
+ "cipher 0.3.0",
+]
+
[[package]]
name = "ctr"
version = "0.8.0"
@@ -1376,40 +1402,36 @@ dependencies = [
]
[[package]]
-name = "ctrlc"
-version = "3.4.1"
+name = "ctr"
+version = "0.9.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "82e95fbd621905b854affdc67943b043a0fbb6ed7385fd5a25650d19a8a6cfdf"
+checksum = "0369ee1ad671834580515889b80f2ea915f23b8be8d0daa4bbaf2ac5c7590835"
+dependencies = [
+ "cipher 0.4.4",
+]
+
+[[package]]
+name = "ctrlc"
+version = "3.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b467862cc8610ca6fc9a1532d7777cee0804e678ab45410897b9396495994a0b"
dependencies = [
"nix 0.27.1",
- "windows-sys 0.48.0",
+ "windows-sys 0.52.0",
]
[[package]]
name = "curve25519-dalek"
-version = "3.2.0"
+version = "4.1.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0b9fdf9972b2bd6af2d913799d9ebc165ea4d2e65878e329d9c6b372c4491b61"
-dependencies = [
- "byteorder",
- "digest 0.9.0",
- "rand_core 0.5.1",
- "subtle",
- "zeroize",
-]
-
-[[package]]
-name = "curve25519-dalek"
-version = "4.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "622178105f911d937a42cdb140730ba4a3ed2becd8ae6ce39c7d28b5d75d4588"
+checksum = "e89b8c6a2e4b1f45971ad09761aafb85514a84744b67a95e32c3cc1352d1f65c"
dependencies = [
"cfg-if",
"cpufeatures",
"curve25519-dalek-derive",
"digest 0.10.7",
"fiat-crypto",
- "platforms 3.1.2",
+ "platforms 3.3.0",
"rustc_version",
"subtle",
"zeroize",
@@ -1417,13 +1439,13 @@ dependencies = [
[[package]]
name = "curve25519-dalek-derive"
-version = "0.1.0"
+version = "0.1.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "83fdaf97f4804dcebfa5862639bc9ce4121e82140bec2a987ac5140294865b5b"
+checksum = "f46882e17999c6cc590af592290432be3bce0428cb0d5f8b6715e4dc7b383eb3"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.37",
+ "syn 2.0.48",
]
[[package]]
@@ -1483,15 +1505,15 @@ dependencies = [
[[package]]
name = "data-encoding"
-version = "2.4.0"
+version = "2.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c2e66c9d817f1720209181c316d28635c050fa304f9c79e47a520882661b7308"
+checksum = "7e962a19be5cfc3f3bf6dd8f61eb50107f356ad6270fbb3ed41476571db78be5"
[[package]]
name = "data-encoding-macro"
-version = "0.1.13"
+version = "0.1.14"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c904b33cc60130e1aeea4956ab803d08a3f4a0ca82d64ed757afac3891f2bb99"
+checksum = "20c01c06f5f429efdf2bae21eb67c28b3df3cf85b7dd2d8ef09c0838dac5d33e"
dependencies = [
"data-encoding",
"data-encoding-macro-internal",
@@ -1499,9 +1521,9 @@ dependencies = [
[[package]]
name = "data-encoding-macro-internal"
-version = "0.1.11"
+version = "0.1.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8fdf3fce3ce863539ec1d7fd1b6dcc3c645663376b43ed376bbf887733e4f772"
+checksum = "0047d07f2c89b17dd631c80450d69841a6b5d7fb17278cbc43d7e4cfcf2576f3"
dependencies = [
"data-encoding",
"syn 1.0.109",
@@ -1516,6 +1538,7 @@ dependencies = [
"clap",
"clap_utils",
"environment",
+ "hex",
"logging",
"slog",
"sloggers",
@@ -1538,7 +1561,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "e4355c25cbf99edcb6b4a0e906f6bdc6956eda149e84455bea49696429b2f8e8"
dependencies = [
"futures",
- "tokio-util 0.7.8",
+ "tokio-util 0.7.10",
]
[[package]]
@@ -1592,9 +1615,12 @@ dependencies = [
[[package]]
name = "deranged"
-version = "0.3.8"
+version = "0.3.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f2696e8a945f658fd14dc3b87242e6b80cd0f36ff04ea560fa39082368847946"
+checksum = "b42b6fa04a440b495c8b04d0e71b707c585f83cb9cb28cf8cd0d976c315e31b4"
+dependencies = [
+ "powerfmt",
+]
[[package]]
name = "derivative"
@@ -1609,13 +1635,13 @@ dependencies = [
[[package]]
name = "derive_arbitrary"
-version = "1.3.1"
+version = "1.3.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "53e0efad4403bfc52dc201159c4b842a246a14b98c64b55dfd0f2d89729dfeb8"
+checksum = "67e77553c4162a157adbf834ebae5b415acbecbeafc7a74b0e886657506a7611"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.37",
+ "syn 2.0.48",
]
[[package]]
@@ -1633,11 +1659,11 @@ dependencies = [
[[package]]
name = "diesel"
-version = "2.1.1"
+version = "2.1.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d98235fdc2f355d330a8244184ab6b4b33c28679c0b4158f63138e51d6cf7e88"
+checksum = "62c6fcf842f17f8c78ecf7c81d75c5ce84436b41ee07e03f490fbb5f5a8731d8"
dependencies = [
- "bitflags 2.4.0",
+ "bitflags 2.4.2",
"byteorder",
"diesel_derives",
"itoa",
@@ -1647,14 +1673,14 @@ dependencies = [
[[package]]
name = "diesel_derives"
-version = "2.1.1"
+version = "2.1.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e054665eaf6d97d1e7125512bb2d35d07c73ac86cc6920174cb42d1ab697a554"
+checksum = "ef8337737574f55a468005a83499da720f20c65586241ffea339db9ecdfd2b44"
dependencies = [
"diesel_table_macro_syntax",
"proc-macro2",
"quote",
- "syn 2.0.37",
+ "syn 2.0.48",
]
[[package]]
@@ -1674,7 +1700,7 @@ version = "0.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "fc5557efc453706fed5e4fa85006fe9817c224c3f480a34c7e5959fd700921c5"
dependencies = [
- "syn 2.0.37",
+ "syn 2.0.48",
]
[[package]]
@@ -1750,33 +1776,30 @@ dependencies = [
[[package]]
name = "discv5"
-version = "0.3.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "98c05fa26996c6141f78ac4fafbe297a7fa69690565ba4e0d1f2e60bde5ce501"
+version = "0.4.0"
+source = "git+https://github.com/sigp/discv5?rev=e30a2c31b7ac0c57876458b971164654dfa4513b#e30a2c31b7ac0c57876458b971164654dfa4513b"
dependencies = [
"aes 0.7.5",
- "aes-gcm",
+ "aes-gcm 0.9.2",
"arrayvec",
"delay_map",
- "enr 0.9.0",
+ "enr",
"fnv",
"futures",
- "hashlink 0.7.0",
+ "hashlink",
"hex",
"hkdf",
"lazy_static",
- "libp2p-core",
- "libp2p-identity",
- "lru 0.7.8",
+ "libp2p",
+ "lru",
"more-asserts",
"parking_lot 0.11.2",
"rand",
"rlp",
- "smallvec 1.11.0",
- "socket2 0.4.9",
+ "smallvec",
+ "socket2 0.4.10",
"tokio",
"tracing",
- "tracing-subscriber",
"uint",
"zeroize",
]
@@ -1789,7 +1812,7 @@ checksum = "487585f4d0c6655fe74905e2504d8ad6908e4db67f744eb140876906c2f3175d"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.37",
+ "syn 2.0.48",
]
[[package]]
@@ -1818,39 +1841,40 @@ dependencies = [
[[package]]
name = "ecdsa"
-version = "0.16.8"
+version = "0.16.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a4b1e0c257a9e9f25f90ff76d7a68360ed497ee519c8e428d1825ef0000799d4"
+checksum = "ee27f32b5c5292967d2d4a9d7f1e0b0aed2c15daded5a60300e4abb9d8020bca"
dependencies = [
"der 0.7.8",
"digest 0.10.7",
- "elliptic-curve 0.13.5",
+ "elliptic-curve 0.13.8",
"rfc6979 0.4.0",
- "signature 2.1.0",
- "spki 0.7.2",
+ "signature 2.2.0",
+ "spki 0.7.3",
]
[[package]]
name = "ed25519"
-version = "2.2.2"
+version = "2.2.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "60f6d271ca33075c88028be6f04d502853d63a5ece419d269c15315d4fc1cf1d"
+checksum = "115531babc129696a58c64a4fef0a8bf9e9698629fb97e9e40767d235cfbcd53"
dependencies = [
"pkcs8 0.10.2",
- "signature 2.1.0",
+ "signature 2.2.0",
]
[[package]]
name = "ed25519-dalek"
-version = "2.0.0"
+version = "2.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7277392b266383ef8396db7fdeb1e77b6c52fed775f5df15bb24f35b72156980"
+checksum = "1f628eaec48bfd21b865dc2950cfa014450c01d2fa2b69a86c2fd5844ec523c0"
dependencies = [
- "curve25519-dalek 4.1.0",
+ "curve25519-dalek",
"ed25519",
- "rand_core 0.6.4",
+ "rand_core",
"serde",
- "sha2 0.10.7",
+ "sha2 0.10.8",
+ "subtle",
"zeroize",
]
@@ -1864,16 +1888,20 @@ dependencies = [
"compare_fields",
"compare_fields_derive",
"derivative",
+ "eth2_network_config",
"ethereum-types 0.14.1",
+ "ethereum_serde_utils",
"ethereum_ssz",
"ethereum_ssz_derive",
"execution_layer",
"fork_choice",
"fs2",
"hex",
+ "kzg",
+ "logging",
"rayon",
"serde",
- "serde_derive",
+ "serde_json",
"serde_repr",
"serde_yaml",
"snap",
@@ -1904,8 +1932,7 @@ dependencies = [
"ff 0.12.1",
"generic-array",
"group 0.12.1",
- "pkcs8 0.9.0",
- "rand_core 0.6.4",
+ "rand_core",
"sec1 0.3.0",
"subtle",
"zeroize",
@@ -1913,19 +1940,19 @@ dependencies = [
[[package]]
name = "elliptic-curve"
-version = "0.13.5"
+version = "0.13.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "968405c8fdc9b3bf4df0a6638858cc0b52462836ab6b1c87377785dd09cf1c0b"
+checksum = "b5e6043086bf7973472e0c7dff2142ea0b680d30e18d9cc40f267efbf222bd47"
dependencies = [
"base16ct 0.2.0",
- "crypto-bigint 0.5.3",
+ "crypto-bigint 0.5.5",
"digest 0.10.7",
"ff 0.13.0",
"generic-array",
"group 0.13.0",
"pem-rfc7468",
"pkcs8 0.10.2",
- "rand_core 0.6.4",
+ "rand_core",
"sec1 0.7.3",
"subtle",
"zeroize",
@@ -1942,53 +1969,33 @@ dependencies = [
[[package]]
name = "enr"
-version = "0.6.2"
+version = "0.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "26fa0a0be8915790626d5759eb51fe47435a8eac92c2f212bd2da9aa7f30ea56"
+checksum = "2a3d8dc56e02f954cac8eb489772c552c473346fc34f67412bb6244fd647f7e4"
dependencies = [
- "base64 0.13.1",
- "bs58 0.4.0",
- "bytes",
- "hex",
- "k256 0.11.6",
- "log",
- "rand",
- "rlp",
- "serde",
- "sha3 0.10.8",
- "zeroize",
-]
-
-[[package]]
-name = "enr"
-version = "0.9.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0be7b2ac146c1f99fe245c02d16af0696450d8e06c135db75e10eeb9e642c20d"
-dependencies = [
- "base64 0.21.4",
+ "base64 0.21.7",
"bytes",
"ed25519-dalek",
"hex",
- "k256 0.13.1",
+ "k256 0.13.3",
"log",
"rand",
"rlp",
"serde",
- "serde-hex",
"sha3 0.10.8",
"zeroize",
]
[[package]]
name = "enum-as-inner"
-version = "0.5.1"
+version = "0.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c9720bba047d567ffc8a3cba48bf19126600e249ab7f128e9233e6376976a116"
+checksum = "5ffccbb6966c05b32ef8fbac435df276c4ae4d3dc55a8cd0eb9745e6c12f546a"
dependencies = [
"heck",
"proc-macro2",
"quote",
- "syn 1.0.109",
+ "syn 2.0.48",
]
[[package]]
@@ -2025,7 +2032,6 @@ dependencies = [
"futures",
"logging",
"serde",
- "serde_derive",
"slog",
"slog-async",
"slog-json",
@@ -2043,24 +2049,22 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "5443807d6dff69373d433ab9ef5378ad8df50ca6298caf15de6e52e24aaf54d5"
[[package]]
-name = "errno"
-version = "0.3.3"
+name = "erased-serde"
+version = "0.3.31"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "136526188508e25c6fef639d7927dfb3e0e3084488bf202267829cf7fc23dbdd"
+checksum = "6c138974f9d5e7fe373eb04df7cae98833802ae4b11c24ac7039a21d5af4b26c"
dependencies = [
- "errno-dragonfly",
- "libc",
- "windows-sys 0.48.0",
+ "serde",
]
[[package]]
-name = "errno-dragonfly"
-version = "0.1.2"
+name = "errno"
+version = "0.3.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "aa68f1b12764fab894d2755d2518754e71b4fd80ecfb822714a1206c2aab39bf"
+checksum = "a258e46cdc063eb8519c00b9fc845fc47bcfca4130e2f08e88665ceda8474245"
dependencies = [
- "cc",
"libc",
+ "windows-sys 0.52.0",
]
[[package]]
@@ -2140,13 +2144,15 @@ dependencies = [
"proto_array",
"psutil",
"reqwest",
- "ring",
+ "ring 0.16.20",
"sensitive_url",
"serde",
"serde_json",
"slashing_protection",
+ "ssz_types",
"store",
"tokio",
+ "tree_hash",
"types",
]
@@ -2169,7 +2175,6 @@ dependencies = [
"lazy_static",
"num-bigint",
"serde",
- "serde_derive",
"serde_yaml",
]
@@ -2180,7 +2185,7 @@ dependencies = [
"bls",
"hex",
"num-bigint-dig",
- "ring",
+ "ring 0.16.20",
"sha2 0.9.9",
"zeroize",
]
@@ -2219,6 +2224,7 @@ dependencies = [
"pretty_reqwest_error",
"reqwest",
"sensitive_url",
+ "serde_json",
"serde_yaml",
"sha2 0.9.9",
"slog",
@@ -2314,30 +2320,6 @@ dependencies = [
"tiny-keccak",
]
-[[package]]
-name = "ethereum-consensus"
-version = "0.1.1"
-source = "git+https://github.com/ralexstokes/ethereum-consensus?rev=e380108#e380108d15fcc40349927fdf3d11c71f9edb67c2"
-dependencies = [
- "async-stream",
- "blst",
- "bs58 0.4.0",
- "enr 0.6.2",
- "hex",
- "integer-sqrt",
- "multiaddr 0.14.0",
- "multihash 0.16.3",
- "rand",
- "serde",
- "serde_json",
- "serde_yaml",
- "sha2 0.9.9",
- "ssz_rs",
- "thiserror",
- "tokio",
- "tokio-stream",
-]
-
[[package]]
name = "ethereum-types"
version = "0.12.1"
@@ -2363,7 +2345,7 @@ dependencies = [
"impl-codec 0.6.0",
"impl-rlp",
"impl-serde 0.4.0",
- "primitive-types 0.12.1",
+ "primitive-types 0.12.2",
"scale-info",
"uint",
]
@@ -2376,15 +2358,15 @@ checksum = "233dc6f434ce680dbabf4451ee3380cec46cb3c45d66660445a435619710dd35"
dependencies = [
"cpufeatures",
"lazy_static",
- "ring",
- "sha2 0.10.7",
+ "ring 0.16.20",
+ "sha2 0.10.8",
]
[[package]]
name = "ethereum_serde_utils"
-version = "0.5.1"
+version = "0.5.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0f8cb04ea380a33e9c269fa5f8df6f2d63dee19728235f3e639e7674e038686a"
+checksum = "de4d5951468846963c24e8744c133d44f39dff2cd3a233f6be22b370d08a524f"
dependencies = [
"ethereum-types 0.14.1",
"hex",
@@ -2401,7 +2383,7 @@ checksum = "e61ffea29f26e8249d35128a82ec8d3bd4fbc80179ea5f5e5e3daafef6a80fcb"
dependencies = [
"ethereum-types 0.14.1",
"itertools",
- "smallvec 1.11.0",
+ "smallvec",
]
[[package]]
@@ -2446,7 +2428,7 @@ dependencies = [
"dunce",
"ethers-core",
"eyre",
- "getrandom 0.2.10",
+ "getrandom",
"hex",
"proc-macro2",
"quote",
@@ -2517,10 +2499,10 @@ dependencies = [
"futures-core",
"futures-timer",
"futures-util",
- "getrandom 0.2.10",
+ "getrandom",
"hashers",
"hex",
- "http",
+ "http 0.2.11",
"once_cell",
"parking_lot 0.11.2",
"pin-project",
@@ -2545,6 +2527,27 @@ version = "2.5.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "0206175f82b8d6bf6652ff7d71a1e27fd2e4efde587fd368662814d6ec1d9ce0"
+[[package]]
+name = "event-listener"
+version = "4.0.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "67b215c49b2b248c855fb73579eb1f4f26c38ffdc12973e20e07b91d78d5646e"
+dependencies = [
+ "concurrent-queue",
+ "parking",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "event-listener-strategy"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "958e4d70b6d5e81971bebec42271ec641e7ff4e170a6fa605f2b8a8b65cb97d3"
+dependencies = [
+ "event-listener 4.0.3",
+ "pin-project-lite",
+]
+
[[package]]
name = "execution_engine_integration"
version = "0.1.0"
@@ -2575,12 +2578,11 @@ version = "0.1.0"
dependencies = [
"arc-swap",
"async-trait",
- "axum",
"builder_client",
"bytes",
"environment",
"eth2",
- "ethereum-consensus",
+ "eth2_network_config",
"ethereum_serde_utils",
"ethereum_ssz",
"ethers-core",
@@ -2590,13 +2592,12 @@ dependencies = [
"hash-db",
"hash256-std-hasher",
"hex",
- "hyper",
"jsonwebtoken",
"keccak-hash",
+ "kzg",
"lazy_static",
"lighthouse_metrics",
- "lru 0.7.8",
- "mev-rs",
+ "lru",
"parking_lot 0.12.1",
"pretty_reqwest_error",
"rand",
@@ -2606,7 +2607,6 @@ dependencies = [
"serde_json",
"slog",
"slot_clock",
- "ssz_rs",
"ssz_types",
"state_processing",
"strum",
@@ -2634,9 +2634,9 @@ dependencies = [
[[package]]
name = "eyre"
-version = "0.6.8"
+version = "0.6.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4c2b6b5a29c02cdc822728b7d7b8ae1bab3e3b05d44522770ddd49722eeac7eb"
+checksum = "b6267a1fa6f59179ea4afc8e50fd8612a3cc60bc858f786ff877a4a8cb042799"
dependencies = [
"indenter",
"once_cell",
@@ -2656,18 +2656,9 @@ checksum = "7360491ce676a36bf9bb3c56c1aa791658183a54d2744120f27285738d90465a"
[[package]]
name = "fastrand"
-version = "1.9.0"
+version = "2.0.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e51093e27b0797c359783294ca4f0a911c270184cb10f85783b118614a1501be"
-dependencies = [
- "instant",
-]
-
-[[package]]
-name = "fastrand"
-version = "2.0.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6999dc1837253364c2ebb0704ba97994bd874e8f195d665c50b7548f6ea92764"
+checksum = "25cbce373ec4653f1a01a31e8a5e5ec0c622dc27ff9c4e6606eefef5cbbed4a5"
[[package]]
name = "ff"
@@ -2675,7 +2666,7 @@ version = "0.12.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "d013fc25338cc558c5c2cfbad646908fb23591e2404481826742b651c9af7160"
dependencies = [
- "rand_core 0.6.4",
+ "rand_core",
"subtle",
]
@@ -2685,7 +2676,7 @@ version = "0.13.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "ded41244b729663b1e574f1b4fb731469f69f79c17667b5d776b16cda0479449"
dependencies = [
- "rand_core 0.6.4",
+ "rand_core",
"subtle",
]
@@ -2697,9 +2688,9 @@ checksum = "ec54ac60a7f2ee9a97cad9946f9bf629a3bc6a7ae59e68983dc9318f5a54b81a"
[[package]]
name = "fiat-crypto"
-version = "0.2.1"
+version = "0.2.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d0870c84016d4b481be5c9f323c24f65e31e901ae618f0e80f4308fb00de1d2d"
+checksum = "27573eac26f4dd11e2b1916c3fe1baa56407c83c71a773a8ba17ec0bca03b6b7"
[[package]]
name = "field-offset"
@@ -2707,7 +2698,7 @@ version = "0.3.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "38e2275cc4e4fc009b0669731a1e5ab7ebf11f469eaede2bab9309a5b4d6057f"
dependencies = [
- "memoffset 0.9.0",
+ "memoffset",
"rustc_version",
]
@@ -2752,9 +2743,9 @@ dependencies = [
[[package]]
name = "flate2"
-version = "1.0.27"
+version = "1.0.28"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c6c98ee8095e9d1dcbf2fcc6d95acccb90d1c81db1e44725c6a984b1dbdfb010"
+checksum = "46303f565772937ffe1d394a4fac6f411c6013172fadde9dcdb1e147a086940e"
dependencies = [
"crc32fast",
"libz-sys",
@@ -2799,9 +2790,9 @@ dependencies = [
[[package]]
name = "form_urlencoded"
-version = "1.2.0"
+version = "1.2.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a62bc1cf6f830c2ec14a513a9fb124d0a213a629668a4186f329db21fe045652"
+checksum = "e13624c2627564efccf4934284bdd98cbaa14e79b0b5a141218e507b3a823456"
dependencies = [
"percent-encoding",
]
@@ -2830,9 +2821,9 @@ checksum = "e6d5a32815ae3f33302d95fdcb2ce17862f8c65363dcfd29360480ba1001fc9c"
[[package]]
name = "futures"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "23342abe12aba583913b2e62f22225ff9c950774065e4bfb61a19cd9770fec40"
+checksum = "645c6916888f6cb6350d2550b80fb63e734897a8498abe35cfb732b6487804b0"
dependencies = [
"futures-channel",
"futures-core",
@@ -2843,11 +2834,20 @@ dependencies = [
"futures-util",
]
+[[package]]
+name = "futures-bounded"
+version = "0.2.3"
+source = "git+https://github.com/sigp/rust-libp2p/?rev=cfa3275ca17e502799ed56e555b6c0611752e369#cfa3275ca17e502799ed56e555b6c0611752e369"
+dependencies = [
+ "futures-timer",
+ "futures-util",
+]
+
[[package]]
name = "futures-channel"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "955518d47e09b25bbebc7a18df10b81f0c766eaf4c4f1cccef2fca5f2a4fb5f2"
+checksum = "eac8f7d7865dcb88bd4373ab671c8cf4508703796caa2b1985a9ca867b3fcb78"
dependencies = [
"futures-core",
"futures-sink",
@@ -2855,15 +2855,15 @@ dependencies = [
[[package]]
name = "futures-core"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4bca583b7e26f571124fe5b7561d49cb2868d79116cfa0eefce955557c6fee8c"
+checksum = "dfc6580bb841c5a68e9ef15c77ccc837b40a7504914d52e47b8b0e9bbda25a1d"
[[package]]
name = "futures-executor"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ccecee823288125bd88b4d7f565c9e58e41858e47ab72e8ea2d64e93624386e0"
+checksum = "a576fc72ae164fca6b9db127eaa9a9dda0d61316034f33a0a0d4eda41f02b01d"
dependencies = [
"futures-core",
"futures-task",
@@ -2873,34 +2873,29 @@ dependencies = [
[[package]]
name = "futures-io"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4fff74096e71ed47f8e023204cfd0aa1289cd54ae5430a9523be060cdb849964"
+checksum = "a44623e20b9681a318efdd71c299b6b222ed6f231972bfe2f224ebad6311f0c1"
[[package]]
name = "futures-lite"
-version = "1.13.0"
+version = "2.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "49a9d51ce47660b1e808d3c990b4709f2f415d928835a17dfd16991515c46bce"
+checksum = "445ba825b27408685aaecefd65178908c36c6e96aaf6d8599419d46e624192ba"
dependencies = [
- "fastrand 1.9.0",
"futures-core",
- "futures-io",
- "memchr",
- "parking",
"pin-project-lite",
- "waker-fn",
]
[[package]]
name = "futures-macro"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "89ca545a94061b6365f2c7355b4b32bd20df3ff95f02da9329b34ccc3bd6ee72"
+checksum = "87750cf4b7a4c0625b1529e4c543c2182106e4dedc60a2a6455e00d212c489ac"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.37",
+ "syn 2.0.48",
]
[[package]]
@@ -2910,20 +2905,20 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "35bd3cf68c183738046838e300353e4716c674dc5e56890de4826801a6622a28"
dependencies = [
"futures-io",
- "rustls",
+ "rustls 0.21.10",
]
[[package]]
name = "futures-sink"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f43be4fe21a13b9781a69afa4985b0f6ee0e1afab2c6f454a8cf30e2b2237b6e"
+checksum = "9fb8e00e87438d937621c1c6269e53f536c14d3fbd6a042bb24879e57d474fb5"
[[package]]
name = "futures-task"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "76d3d132be6c0e6aa1534069c705a74a5997a356c0dc2f86a47765e5617c5b65"
+checksum = "38d84fa142264698cdce1a9f9172cf383a0c82de1bddcf3092901442c4097004"
[[package]]
name = "futures-ticker"
@@ -2944,9 +2939,9 @@ checksum = "e64b03909df88034c26dc1547e8970b91f98bdb65165d6a4e9110d94263dbb2c"
[[package]]
name = "futures-util"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "26b01e40b772d54cf6c6d721c1d1abd0647a0106a12ecaa1c186273392a69533"
+checksum = "3d6401deb83407ab3da39eba7e33987a73c3df0c82b4bb5813ee871c19c41d48"
dependencies = [
"futures-channel",
"futures-core",
@@ -3003,25 +2998,14 @@ dependencies = [
[[package]]
name = "getrandom"
-version = "0.1.16"
+version = "0.2.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8fc3cb4d91f53b50155bdcfd23f6a4c39ae1969c2ae85982b135750cccaf5fce"
-dependencies = [
- "cfg-if",
- "libc",
- "wasi 0.9.0+wasi-snapshot-preview1",
-]
-
-[[package]]
-name = "getrandom"
-version = "0.2.10"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "be4136b2a15dd319360be1c07d9933517ccf0be8f16bf62a3bee4f0d618df427"
+checksum = "190092ea657667030ac6a35e305e62fc4dd69fd98ac98631e5d3a2b1575a12b5"
dependencies = [
"cfg-if",
"js-sys",
"libc",
- "wasi 0.11.0+wasi-snapshot-preview1",
+ "wasi",
"wasm-bindgen",
]
@@ -3032,35 +3016,43 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "1583cc1656d7839fd3732b80cf4f38850336cdb9b8ded1cd399ca62958de3c99"
dependencies = [
"opaque-debug",
- "polyval",
+ "polyval 0.5.3",
+]
+
+[[package]]
+name = "ghash"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d930750de5717d2dd0b8c0d42c076c0e884c81a73e6cab859bbd2339c71e3e40"
+dependencies = [
+ "opaque-debug",
+ "polyval 0.6.1",
]
[[package]]
name = "gimli"
-version = "0.28.0"
+version = "0.28.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6fb8d784f27acf97159b40fc4db5ecd8aa23b9ad5ef69cdd136d3bc80665f0c0"
+checksum = "4271d37baee1b8c7e4b708028c57d816cf9d2434acb33a549475f78c181f6253"
[[package]]
name = "git-version"
-version = "0.3.5"
+version = "0.3.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f6b0decc02f4636b9ccad390dcbe77b722a77efedfa393caf8379a51d5c61899"
+checksum = "1ad568aa3db0fcbc81f2f116137f263d7304f512a1209b35b85150d3ef88ad19"
dependencies = [
"git-version-macro",
- "proc-macro-hack",
]
[[package]]
name = "git-version-macro"
-version = "0.3.5"
+version = "0.3.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fe69f1cbdb6e28af2bac214e943b99ce8a0a06b447d15d3e61161b0423139f3f"
+checksum = "53010ccb100b96a67bc32c0175f0ed1426b31b655d562898e57325f81c023ac0"
dependencies = [
- "proc-macro-hack",
"proc-macro2",
"quote",
- "syn 1.0.109",
+ "syn 2.0.48",
]
[[package]]
@@ -3076,7 +3068,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "5dfbfb3a6cfbd390d5c9564ab283a0349b9b9fcd46a706c1eb10e0db70bfbac7"
dependencies = [
"ff 0.12.1",
- "rand_core 0.6.4",
+ "rand_core",
"subtle",
]
@@ -3087,26 +3079,45 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "f0f9ef7462f7c099f518d754361858f86d8a07af53ba9af0fe635bbccb151a63"
dependencies = [
"ff 0.13.0",
- "rand_core 0.6.4",
+ "rand_core",
"subtle",
]
[[package]]
name = "h2"
-version = "0.3.21"
+version = "0.3.24"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "91fc23aa11be92976ef4729127f1a74adf36d8436f7816b185d18df956790833"
+checksum = "bb2c4422095b67ee78da96fbb51a4cc413b3b25883c7717ff7ca1ab31022c9c9"
dependencies = [
"bytes",
"fnv",
"futures-core",
"futures-sink",
"futures-util",
- "http",
- "indexmap 1.9.3",
+ "http 0.2.11",
+ "indexmap 2.1.0",
"slab",
"tokio",
- "tokio-util 0.7.8",
+ "tokio-util 0.7.10",
+ "tracing",
+]
+
+[[package]]
+name = "h2"
+version = "0.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "31d030e59af851932b72ceebadf4a2b5986dba4c3b99dd2493f8273a0f151943"
+dependencies = [
+ "bytes",
+ "fnv",
+ "futures-core",
+ "futures-sink",
+ "futures-util",
+ "http 1.0.0",
+ "indexmap 2.1.0",
+ "slab",
+ "tokio",
+ "tokio-util 0.7.10",
"tracing",
]
@@ -3131,40 +3142,19 @@ dependencies = [
"crunchy",
]
-[[package]]
-name = "hashbrown"
-version = "0.11.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ab5ef0d4909ef3724cc8cce6ccc8572c5c817592e9285f5464f8e86f8bd3726e"
-dependencies = [
- "ahash 0.7.6",
-]
-
[[package]]
name = "hashbrown"
version = "0.12.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "8a9ee70c43aaf417c914396645a0fa852624801b24ebb7ae78fe8272889ac888"
-dependencies = [
- "ahash 0.7.6",
-]
[[package]]
name = "hashbrown"
-version = "0.13.2"
+version = "0.14.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "43a3c133739dddd0d2990f9a4bdf8eb4b21ef50e4851ca85ab661199821d510e"
+checksum = "290f1a1d9242c78d09ce40a5e87e7554ee637af1351968159f4952f028f75604"
dependencies = [
- "ahash 0.8.3",
-]
-
-[[package]]
-name = "hashbrown"
-version = "0.14.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2c6201b9ff9fd90a5a3bac2e56a830d0caa509576f0e503818ee82c181b3437a"
-dependencies = [
- "ahash 0.8.3",
+ "ahash",
"allocator-api2",
]
@@ -3177,22 +3167,13 @@ dependencies = [
"fxhash",
]
-[[package]]
-name = "hashlink"
-version = "0.7.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7249a3129cbc1ffccd74857f81464a323a152173cdb134e0fd81bc803b29facf"
-dependencies = [
- "hashbrown 0.11.2",
-]
-
[[package]]
name = "hashlink"
version = "0.8.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "e8094feaf31ff591f651a2664fb9cfd92bba7a60ce3197265e9482ebe753c8f7"
dependencies = [
- "hashbrown 0.14.0",
+ "hashbrown 0.14.3",
]
[[package]]
@@ -3201,10 +3182,10 @@ version = "0.3.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "06683b93020a07e3dbcf5f8c0f6d40080d725bea7936fc01ad345c01b97dc270"
dependencies = [
- "base64 0.21.4",
+ "base64 0.21.7",
"bytes",
"headers-core",
- "http",
+ "http 0.2.11",
"httpdate",
"mime",
"sha1",
@@ -3216,7 +3197,7 @@ version = "0.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "e7f66481bfee273957b1f20485a4ff3362987f85b2c236580d81b4eb7a326429"
dependencies = [
- "http",
+ "http 0.2.11",
]
[[package]]
@@ -3236,9 +3217,9 @@ dependencies = [
[[package]]
name = "hermit-abi"
-version = "0.3.2"
+version = "0.3.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "443144c8cdadd93ebf52ddb4056d257f5b52c04d3c804e657d19eb73fc33668b"
+checksum = "5d3d0e0f38255e7fa3cf31335b3a56f05febd18025f4db5ef7a0cfb4f8da651f"
[[package]]
name = "hex"
@@ -3253,10 +3234,56 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "b07f60793ff0a4d9cef0f18e63b5357e06209987153a64648c972c1e5aff336f"
[[package]]
-name = "hkdf"
-version = "0.12.3"
+name = "hickory-proto"
+version = "0.24.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "791a029f6b9fc27657f6f188ec6e5e43f6911f6f878e0dc5501396e09809d437"
+checksum = "091a6fbccf4860009355e3efc52ff4acf37a63489aad7435372d44ceeb6fbbcf"
+dependencies = [
+ "async-trait",
+ "cfg-if",
+ "data-encoding",
+ "enum-as-inner",
+ "futures-channel",
+ "futures-io",
+ "futures-util",
+ "idna 0.4.0",
+ "ipnet",
+ "once_cell",
+ "rand",
+ "socket2 0.5.5",
+ "thiserror",
+ "tinyvec",
+ "tokio",
+ "tracing",
+ "url",
+]
+
+[[package]]
+name = "hickory-resolver"
+version = "0.24.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "35b8f021164e6a984c9030023544c57789c51760065cd510572fedcfb04164e8"
+dependencies = [
+ "cfg-if",
+ "futures-util",
+ "hickory-proto",
+ "ipconfig",
+ "lru-cache",
+ "once_cell",
+ "parking_lot 0.12.1",
+ "rand",
+ "resolv-conf",
+ "smallvec",
+ "thiserror",
+ "tokio",
+ "tracing",
+]
+
+[[package]]
+name = "hkdf"
+version = "0.12.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7b5f8eb2ad728638ea2c7d47a21db23b7b58a72ed6a38256b8a1849f15fbbdf7"
dependencies = [
"hmac 0.12.1",
]
@@ -3277,7 +3304,7 @@ version = "0.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "2a2a2320eb7ec0ebe8da8f744d7812d9fc4cb4d09344ac01898dbcb6a20ae69b"
dependencies = [
- "crypto-mac 0.11.1",
+ "crypto-mac 0.11.0",
"digest 0.9.0",
]
@@ -3301,6 +3328,15 @@ dependencies = [
"hmac 0.8.1",
]
+[[package]]
+name = "home"
+version = "0.5.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e3d1354bf6b7235cb4a0576c2619fd4ed18183f689b12b006a0ee7329eeff9a5"
+dependencies = [
+ "windows-sys 0.52.0",
+]
+
[[package]]
name = "hostname"
version = "0.3.1"
@@ -3314,9 +3350,20 @@ dependencies = [
[[package]]
name = "http"
-version = "0.2.9"
+version = "0.2.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bd6effc99afb63425aff9b05836f029929e345a6148a14b7ecd5ab67af944482"
+checksum = "8947b1a6fad4393052c7ba1f4cd97bed3e953a95c79c92ad9b051a04611d9fbb"
+dependencies = [
+ "bytes",
+ "fnv",
+ "itoa",
+]
+
+[[package]]
+name = "http"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b32afd38673a8016f7c9ae69e5af41a58f81b1d31689040f2f1959594ce194ea"
dependencies = [
"bytes",
"fnv",
@@ -3325,12 +3372,35 @@ dependencies = [
[[package]]
name = "http-body"
-version = "0.4.5"
+version = "0.4.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d5f38f16d184e36f2408a55281cd658ecbd3ca05cce6d6510a176eca393e26d1"
+checksum = "7ceab25649e9960c0311ea418d17bee82c0dcec1bd053b5f9a66e265a693bed2"
dependencies = [
"bytes",
- "http",
+ "http 0.2.11",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "http-body"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1cac85db508abc24a2e48553ba12a996e87244a0395ce011e62b37158745d643"
+dependencies = [
+ "bytes",
+ "http 1.0.0",
+]
+
+[[package]]
+name = "http-body-util"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "41cb79eb393015dadd30fc252023adb0b2400a0caee0fa2a077e6e21a551e840"
+dependencies = [
+ "bytes",
+ "futures-util",
+ "http 1.0.0",
+ "http-body 1.0.0",
"pin-project-lite",
]
@@ -3357,7 +3427,7 @@ dependencies = [
"lighthouse_network",
"lighthouse_version",
"logging",
- "lru 0.7.8",
+ "lru",
"network",
"operation_pool",
"parking_lot 0.12.1",
@@ -3422,22 +3492,22 @@ checksum = "9a3a5bfb195931eeb336b2a7b4d761daec841b97f947d34394601737a7bba5e4"
[[package]]
name = "hyper"
-version = "0.14.27"
+version = "0.14.28"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ffb1cfd654a8219eaef89881fdb3bb3b1cdc5fa75ded05d6933b2b382e395468"
+checksum = "bf96e135eb83a2a8ddf766e426a841d8ddd7449d5f00d34ea02b41d2f19eef80"
dependencies = [
"bytes",
"futures-channel",
"futures-core",
"futures-util",
- "h2",
- "http",
- "http-body",
+ "h2 0.3.24",
+ "http 0.2.11",
+ "http-body 0.4.6",
"httparse",
"httpdate",
"itoa",
"pin-project-lite",
- "socket2 0.4.9",
+ "socket2 0.5.5",
"tokio",
"tower-service",
"tracing",
@@ -3445,17 +3515,36 @@ dependencies = [
]
[[package]]
-name = "hyper-rustls"
-version = "0.24.1"
+name = "hyper"
+version = "1.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8d78e1e73ec14cf7375674f74d7dde185c8206fd9dea6fb6295e8a98098aaa97"
+checksum = "fb5aa53871fc917b1a9ed87b683a5d86db645e23acb32c2e0785a353e522fb75"
+dependencies = [
+ "bytes",
+ "futures-channel",
+ "futures-util",
+ "h2 0.4.2",
+ "http 1.0.0",
+ "http-body 1.0.0",
+ "httparse",
+ "httpdate",
+ "itoa",
+ "pin-project-lite",
+ "tokio",
+]
+
+[[package]]
+name = "hyper-rustls"
+version = "0.24.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ec3efd23720e2049821a693cbc7e65ea87c72f1c58ff2f9522ff332b1491e590"
dependencies = [
"futures-util",
- "http",
- "hyper",
- "rustls",
+ "http 0.2.11",
+ "hyper 0.14.28",
+ "rustls 0.21.10",
"tokio",
- "tokio-rustls",
+ "tokio-rustls 0.24.1",
]
[[package]]
@@ -3465,24 +3554,42 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "d6183ddfa99b85da61a140bea0efc93fdf56ceaa041b37d553518030827f9905"
dependencies = [
"bytes",
- "hyper",
+ "hyper 0.14.28",
"native-tls",
"tokio",
"tokio-native-tls",
]
[[package]]
-name = "iana-time-zone"
-version = "0.1.57"
+name = "hyper-util"
+version = "0.1.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2fad5b825842d2b38bd206f3e81d6957625fd7f0a361e345c30e01a0ae2dd613"
+checksum = "bdea9aac0dbe5a9240d68cfd9501e2db94222c6dc06843e06640b9e07f0fdc67"
+dependencies = [
+ "bytes",
+ "futures-channel",
+ "futures-util",
+ "http 1.0.0",
+ "http-body 1.0.0",
+ "hyper 1.1.0",
+ "pin-project-lite",
+ "socket2 0.5.5",
+ "tokio",
+ "tracing",
+]
+
+[[package]]
+name = "iana-time-zone"
+version = "0.1.59"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b6a67363e2aa4443928ce15e57ebae94fd8949958fd1223c4cfc0cd473ad7539"
dependencies = [
"android_system_properties",
"core-foundation-sys",
"iana-time-zone-haiku",
"js-sys",
"wasm-bindgen",
- "windows 0.48.0",
+ "windows-core 0.52.0",
]
[[package]]
@@ -3502,20 +3609,19 @@ checksum = "b9e0384b61958566e926dc50660321d12159025e767c18e043daf26b70104c39"
[[package]]
name = "idna"
-version = "0.2.3"
+version = "0.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "418a0a6fab821475f634efe3ccc45c013f742efe03d853e8d3355d5cb850ecf8"
+checksum = "7d20d6b07bfbc108882d88ed8e37d39636dcc260e15e30c45e6ba089610b917c"
dependencies = [
- "matches",
"unicode-bidi",
"unicode-normalization",
]
[[package]]
name = "idna"
-version = "0.4.0"
+version = "0.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7d20d6b07bfbc108882d88ed8e37d39636dcc260e15e30c45e6ba089610b917c"
+checksum = "634d9b1461af396cad843f47fdba5597a4f9e6ddd4bfb6ff5d85028c25cb12f6"
dependencies = [
"unicode-bidi",
"unicode-normalization",
@@ -3534,12 +3640,12 @@ dependencies = [
[[package]]
name = "if-addrs"
-version = "0.7.0"
+version = "0.10.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cbc0fa01ffc752e9dbc72818cdb072cd028b86be5e09dd04c5a643704fe101a9"
+checksum = "cabb0019d51a643781ff15c9c8a3e5dedc365c47211270f4e8f82812fedd8f0a"
dependencies = [
"libc",
- "winapi",
+ "windows-sys 0.48.0",
]
[[package]]
@@ -3554,21 +3660,21 @@ dependencies = [
[[package]]
name = "if-watch"
-version = "3.0.1"
+version = "3.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a9465340214b296cd17a0009acdb890d6160010b8adf8f78a00d0d7ab270f79f"
+checksum = "d6b0422c86d7ce0e97169cc42e04ae643caf278874a7a3c87b8150a220dc7e1e"
dependencies = [
"async-io",
"core-foundation",
"fnv",
"futures",
- "if-addrs 0.7.0",
+ "if-addrs 0.10.2",
"ipnet",
"log",
"rtnetlink",
"system-configuration",
"tokio",
- "windows 0.34.0",
+ "windows",
]
[[package]]
@@ -3577,13 +3683,32 @@ version = "0.12.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "556b5a75cd4adb7c4ea21c64af1c48cefb2ce7d43dc4352c720a1fe47c21f355"
dependencies = [
- "attohttpc",
+ "attohttpc 0.16.3",
"log",
"rand",
"url",
"xmltree",
]
+[[package]]
+name = "igd-next"
+version = "0.14.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "064d90fec10d541084e7b39ead8875a5a80d9114a2b18791565253bae25f49e4"
+dependencies = [
+ "async-trait",
+ "attohttpc 0.24.1",
+ "bytes",
+ "futures",
+ "http 0.2.11",
+ "hyper 0.14.28",
+ "log",
+ "rand",
+ "tokio",
+ "url",
+ "xmltree",
+]
+
[[package]]
name = "impl-codec"
version = "0.5.1"
@@ -3599,7 +3724,7 @@ version = "0.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "ba6a270039626615617f3f36d15fc827041df3b78c439da2cadfa47455a77f2f"
dependencies = [
- "parity-scale-codec 3.6.5",
+ "parity-scale-codec 3.6.9",
]
[[package]]
@@ -3658,12 +3783,12 @@ dependencies = [
[[package]]
name = "indexmap"
-version = "2.0.0"
+version = "2.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d5477fe2230a79769d8dc68e0eabf5437907c0457a5614a9e8dddb67f65eb65d"
+checksum = "d530e1a18b1cb4c484e6e34556a0d948706958449fca0cab753d649f2bce3d1f"
dependencies = [
"equivalent",
- "hashbrown 0.14.0",
+ "hashbrown 0.14.3",
]
[[package]]
@@ -3711,7 +3836,7 @@ version = "1.0.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "eae7b9aee968036d54dce06cebaefd919e4472e753296daccd6d344e3e2df0c2"
dependencies = [
- "hermit-abi 0.3.2",
+ "hermit-abi 0.3.4",
"libc",
"windows-sys 0.48.0",
]
@@ -3722,7 +3847,7 @@ version = "0.3.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "b58db92f96b720de98181bbbe63c831e87005ab460c1bf306eb2622b4707997f"
dependencies = [
- "socket2 0.5.4",
+ "socket2 0.5.5",
"widestring 1.0.2",
"windows-sys 0.48.0",
"winreg",
@@ -3730,9 +3855,9 @@ dependencies = [
[[package]]
name = "ipnet"
-version = "2.8.0"
+version = "2.9.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "28b29a3cd74f0f4598934efe3aeba42bae0eb4680554128851ebbecb02af14e6"
+checksum = "8f518f335dce6725a761382244631d86cf0ccb2863413590b31338feb467f9c3"
[[package]]
name = "itertools"
@@ -3745,9 +3870,9 @@ dependencies = [
[[package]]
name = "itoa"
-version = "1.0.9"
+version = "1.0.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "af150ab688ff2122fcef229be89cb50dd66af9e01a4ff320cc137eecc9bacc38"
+checksum = "b1a46d1a171d865aa5f83f92695765caa047a9b4cbae2cbf37dbd613a793fd4c"
[[package]]
name = "jemalloc-ctl"
@@ -3782,18 +3907,18 @@ dependencies = [
[[package]]
name = "jobserver"
-version = "0.1.26"
+version = "0.1.27"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "936cfd212a0155903bcbc060e316fb6cc7cbf2e1907329391ebadc1fe0ce77c2"
+checksum = "8c37f63953c4c63420ed5fd3d6d398c719489b9f872b9fa683262f8edd363c7d"
dependencies = [
"libc",
]
[[package]]
name = "js-sys"
-version = "0.3.64"
+version = "0.3.67"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c5f195fe497f702db0f318b07fdd68edb16955aed830df8363d837542f8f935a"
+checksum = "9a1d36f1235bc969acba30b7f5990b864423a6068a10f7c90ae8f0112e3a59d1"
dependencies = [
"wasm-bindgen",
]
@@ -3804,9 +3929,9 @@ version = "8.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "6971da4d9c3aa03c3d8f3ff0f4155b534aad021292003895a469716b2a230378"
dependencies = [
- "base64 0.21.4",
- "pem",
- "ring",
+ "base64 0.21.7",
+ "pem 1.1.1",
+ "ring 0.16.20",
"serde",
"serde_json",
"simple_asn1",
@@ -3821,29 +3946,29 @@ dependencies = [
"cfg-if",
"ecdsa 0.14.8",
"elliptic-curve 0.12.3",
- "sha2 0.10.7",
+ "sha2 0.10.8",
"sha3 0.10.8",
]
[[package]]
name = "k256"
-version = "0.13.1"
+version = "0.13.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cadb76004ed8e97623117f3df85b17aaa6626ab0b0831e6573f104df16cd1bcc"
+checksum = "956ff9b67e26e1a6a866cb758f12c6f8746208489e3e4a4b5580802f2f0a587b"
dependencies = [
"cfg-if",
- "ecdsa 0.16.8",
- "elliptic-curve 0.13.5",
+ "ecdsa 0.16.9",
+ "elliptic-curve 0.13.8",
"once_cell",
- "sha2 0.10.7",
- "signature 2.1.0",
+ "sha2 0.10.8",
+ "signature 2.2.0",
]
[[package]]
name = "keccak"
-version = "0.1.4"
+version = "0.1.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8f6d5ed8676d904364de097082f4e7d240b571b67989ced0240f08b7f966f940"
+checksum = "ecc2af9a1119c51f12a14607e783cb977bde58bc069ff0c3da1095e635d70654"
dependencies = [
"cpufeatures",
]
@@ -3854,17 +3979,33 @@ version = "0.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "4b286e6b663fb926e1eeb68528e69cb70ed46c6d65871a21b2215ae8154c6d3c"
dependencies = [
- "primitive-types 0.12.1",
+ "primitive-types 0.12.2",
"tiny-keccak",
]
+[[package]]
+name = "kzg"
+version = "0.1.0"
+dependencies = [
+ "arbitrary",
+ "c-kzg",
+ "derivative",
+ "ethereum_hashing",
+ "ethereum_serde_utils",
+ "ethereum_ssz",
+ "ethereum_ssz_derive",
+ "hex",
+ "serde",
+ "tree_hash",
+]
+
[[package]]
name = "lazy_static"
version = "1.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "e2abad23fbc42b3700f2f279844dc832adb2b2eb069b2df918f455c4e18cc646"
dependencies = [
- "spin",
+ "spin 0.5.2",
]
[[package]]
@@ -3875,7 +4016,7 @@ checksum = "830d08ce1d1d941e6b30645f1a0eb5643013d835ce3779a5fc208261dbe10f55"
[[package]]
name = "lcli"
-version = "4.5.0"
+version = "4.6.0"
dependencies = [
"account_utils",
"beacon_chain",
@@ -3892,7 +4033,9 @@ dependencies = [
"eth2_wallet",
"ethereum_hashing",
"ethereum_ssz",
+ "execution_layer",
"genesis",
+ "hex",
"int_to_bytes",
"lighthouse_network",
"lighthouse_version",
@@ -3936,9 +4079,9 @@ dependencies = [
[[package]]
name = "libc"
-version = "0.2.148"
+version = "0.2.152"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9cdc71e17332e86d2e1d38c1f99edcb6288ee11b815fb1a4b049eaa2114d369b"
+checksum = "13e3bf6590cbc649f4d1a3eefc9d5d6eb746f5200ffb04e5e142700b8faa56e7"
[[package]]
name = "libflate"
@@ -3962,19 +4105,19 @@ dependencies = [
[[package]]
name = "libloading"
-version = "0.7.4"
+version = "0.8.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b67380fd3b2fbe7527a606e18729d21c6f3951633d0500574c4dc22d2d638b9f"
+checksum = "c571b676ddfc9a8c12f1f3d3085a7b163966a8fd8098a90640953ce5f6170161"
dependencies = [
"cfg-if",
- "winapi",
+ "windows-sys 0.48.0",
]
[[package]]
name = "libm"
-version = "0.2.7"
+version = "0.2.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f7012b1bbb0719e1097c47611d3898568c546d597c2e74d66f6087edd5233ff4"
+checksum = "4ec2a862134d2a7d32d7983ddcdd1c4923530833c9f2ea1a44fc5fa473989058"
[[package]]
name = "libmdbx"
@@ -3993,14 +4136,14 @@ dependencies = [
[[package]]
name = "libp2p"
-version = "0.52.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "32d07d1502a027366d55afe187621c2d7895dc111a3df13b35fed698049681d7"
+version = "0.54.0"
+source = "git+https://github.com/sigp/rust-libp2p/?rev=cfa3275ca17e502799ed56e555b6c0611752e369#cfa3275ca17e502799ed56e555b6c0611752e369"
dependencies = [
"bytes",
+ "either",
"futures",
"futures-timer",
- "getrandom 0.2.10",
+ "getrandom",
"instant",
"libp2p-allow-block-list",
"libp2p-connection-limits",
@@ -4016,16 +4159,18 @@ dependencies = [
"libp2p-quic",
"libp2p-swarm",
"libp2p-tcp",
+ "libp2p-upnp",
"libp2p-yamux",
- "multiaddr 0.18.0",
+ "multiaddr",
"pin-project",
+ "rw-stream-sink",
+ "thiserror",
]
[[package]]
name = "libp2p-allow-block-list"
-version = "0.2.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "55b46558c5c0bf99d3e2a1a38fd54ff5476ca66dd1737b12466a1824dd219311"
+version = "0.3.0"
+source = "git+https://github.com/sigp/rust-libp2p/?rev=cfa3275ca17e502799ed56e555b6c0611752e369#cfa3275ca17e502799ed56e555b6c0611752e369"
dependencies = [
"libp2p-core",
"libp2p-identity",
@@ -4035,9 +4180,8 @@ dependencies = [
[[package]]
name = "libp2p-connection-limits"
-version = "0.2.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2f5107ad45cb20b2f6c3628c7b6014b996fcb13a88053f4569c872c6e30abf58"
+version = "0.3.1"
+source = "git+https://github.com/sigp/rust-libp2p/?rev=cfa3275ca17e502799ed56e555b6c0611752e369#cfa3275ca17e502799ed56e555b6c0611752e369"
dependencies = [
"libp2p-core",
"libp2p-identity",
@@ -4047,9 +4191,8 @@ dependencies = [
[[package]]
name = "libp2p-core"
-version = "0.40.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ef7dd7b09e71aac9271c60031d0e558966cdb3253ba0308ab369bb2de80630d0"
+version = "0.41.2"
+source = "git+https://github.com/sigp/rust-libp2p/?rev=cfa3275ca17e502799ed56e555b6c0611752e369#cfa3275ca17e502799ed56e555b6c0611752e369"
dependencies = [
"either",
"fnv",
@@ -4057,9 +4200,8 @@ dependencies = [
"futures-timer",
"instant",
"libp2p-identity",
- "log",
- "multiaddr 0.18.0",
- "multihash 0.19.1",
+ "multiaddr",
+ "multihash",
"multistream-select",
"once_cell",
"parking_lot 0.12.1",
@@ -4067,205 +4209,203 @@ dependencies = [
"quick-protobuf",
"rand",
"rw-stream-sink",
- "smallvec 1.11.0",
+ "smallvec",
"thiserror",
- "unsigned-varint 0.7.2",
+ "tracing",
+ "unsigned-varint 0.8.0",
"void",
]
[[package]]
name = "libp2p-dns"
-version = "0.40.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fd4394c81c0c06d7b4a60f3face7e8e8a9b246840f98d2c80508d0721b032147"
+version = "0.41.1"
+source = "git+https://github.com/sigp/rust-libp2p/?rev=cfa3275ca17e502799ed56e555b6c0611752e369#cfa3275ca17e502799ed56e555b6c0611752e369"
dependencies = [
+ "async-trait",
"futures",
+ "hickory-resolver",
"libp2p-core",
"libp2p-identity",
- "log",
"parking_lot 0.12.1",
- "smallvec 1.11.0",
- "trust-dns-resolver",
+ "smallvec",
+ "tracing",
]
[[package]]
name = "libp2p-gossipsub"
-version = "0.45.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2d157562dba6017193e5285acf6b1054759e83540bfd79f75b69d6ce774c88da"
+version = "0.46.1"
+source = "git+https://github.com/sigp/rust-libp2p/?rev=cfa3275ca17e502799ed56e555b6c0611752e369#cfa3275ca17e502799ed56e555b6c0611752e369"
dependencies = [
+ "async-channel",
"asynchronous-codec",
- "base64 0.21.4",
+ "base64 0.21.7",
"byteorder",
"bytes",
"either",
"fnv",
"futures",
"futures-ticker",
- "getrandom 0.2.10",
+ "futures-timer",
+ "getrandom",
"hex_fmt",
"instant",
"libp2p-core",
"libp2p-identity",
"libp2p-swarm",
- "log",
"prometheus-client",
"quick-protobuf",
"quick-protobuf-codec",
"rand",
"regex",
- "sha2 0.10.7",
- "smallvec 1.11.0",
- "unsigned-varint 0.7.2",
+ "sha2 0.10.8",
+ "smallvec",
+ "tracing",
"void",
]
[[package]]
name = "libp2p-identify"
-version = "0.43.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6a29675a32dbcc87790db6cf599709e64308f1ae9d5ecea2d259155889982db8"
+version = "0.44.1"
+source = "git+https://github.com/sigp/rust-libp2p/?rev=cfa3275ca17e502799ed56e555b6c0611752e369#cfa3275ca17e502799ed56e555b6c0611752e369"
dependencies = [
"asynchronous-codec",
"either",
"futures",
+ "futures-bounded",
"futures-timer",
"libp2p-core",
"libp2p-identity",
"libp2p-swarm",
- "log",
- "lru 0.10.1",
+ "lru",
"quick-protobuf",
"quick-protobuf-codec",
- "smallvec 1.11.0",
+ "smallvec",
"thiserror",
+ "tracing",
"void",
]
[[package]]
name = "libp2p-identity"
-version = "0.2.3"
+version = "0.2.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "686e73aff5e23efbb99bc85340ea6fd8686986aa7b283a881ba182cfca535ca9"
+checksum = "999ec70441b2fb35355076726a6bc466c932e9bdc66f6a11c6c0aa17c7ab9be0"
dependencies = [
"asn1_der",
"bs58 0.5.0",
"ed25519-dalek",
+ "hkdf",
"libsecp256k1",
- "log",
- "multihash 0.19.1",
+ "multihash",
"p256",
"quick-protobuf",
"rand",
"sec1 0.7.3",
- "sha2 0.10.7",
+ "sha2 0.10.8",
"thiserror",
+ "tracing",
"void",
"zeroize",
]
[[package]]
name = "libp2p-mdns"
-version = "0.44.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "42a2567c305232f5ef54185e9604579a894fd0674819402bb0ac0246da82f52a"
+version = "0.45.1"
+source = "git+https://github.com/sigp/rust-libp2p/?rev=cfa3275ca17e502799ed56e555b6c0611752e369#cfa3275ca17e502799ed56e555b6c0611752e369"
dependencies = [
"data-encoding",
"futures",
+ "hickory-proto",
"if-watch",
"libp2p-core",
"libp2p-identity",
"libp2p-swarm",
- "log",
"rand",
- "smallvec 1.11.0",
- "socket2 0.5.4",
+ "smallvec",
+ "socket2 0.5.5",
"tokio",
- "trust-dns-proto",
+ "tracing",
"void",
]
[[package]]
name = "libp2p-metrics"
-version = "0.13.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "239ba7d28f8d0b5d77760dc6619c05c7e88e74ec8fbbe97f856f20a56745e620"
+version = "0.14.1"
+source = "git+https://github.com/sigp/rust-libp2p/?rev=cfa3275ca17e502799ed56e555b6c0611752e369#cfa3275ca17e502799ed56e555b6c0611752e369"
dependencies = [
+ "futures",
"instant",
"libp2p-core",
"libp2p-gossipsub",
"libp2p-identify",
"libp2p-identity",
"libp2p-swarm",
- "once_cell",
+ "pin-project",
"prometheus-client",
]
[[package]]
name = "libp2p-mplex"
-version = "0.40.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "93959ed08b6caf9810e067655e25f1362098797fef7c44d3103e63dcb6f0fabe"
+version = "0.41.0"
+source = "git+https://github.com/sigp/rust-libp2p/?rev=cfa3275ca17e502799ed56e555b6c0611752e369#cfa3275ca17e502799ed56e555b6c0611752e369"
dependencies = [
"asynchronous-codec",
"bytes",
"futures",
"libp2p-core",
"libp2p-identity",
- "log",
"nohash-hasher",
"parking_lot 0.12.1",
"rand",
- "smallvec 1.11.0",
- "unsigned-varint 0.7.2",
+ "smallvec",
+ "tracing",
+ "unsigned-varint 0.8.0",
]
[[package]]
name = "libp2p-noise"
-version = "0.43.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "71ce70757f2c0d82e9a3ef738fb10ea0723d16cec37f078f719e2c247704c1bb"
+version = "0.44.0"
+source = "git+https://github.com/sigp/rust-libp2p/?rev=cfa3275ca17e502799ed56e555b6c0611752e369#cfa3275ca17e502799ed56e555b6c0611752e369"
dependencies = [
+ "asynchronous-codec",
"bytes",
- "curve25519-dalek 4.1.0",
+ "curve25519-dalek",
"futures",
"libp2p-core",
"libp2p-identity",
- "log",
- "multiaddr 0.18.0",
- "multihash 0.19.1",
+ "multiaddr",
+ "multihash",
"once_cell",
"quick-protobuf",
"rand",
- "sha2 0.10.7",
+ "sha2 0.10.8",
"snow",
"static_assertions",
"thiserror",
+ "tracing",
"x25519-dalek",
"zeroize",
]
[[package]]
name = "libp2p-plaintext"
-version = "0.40.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "37266c683a757df713f7dcda0cdcb5ad4681355ffa1b37b77c113c176a531195"
+version = "0.41.0"
+source = "git+https://github.com/sigp/rust-libp2p/?rev=cfa3275ca17e502799ed56e555b6c0611752e369#cfa3275ca17e502799ed56e555b6c0611752e369"
dependencies = [
"asynchronous-codec",
"bytes",
"futures",
"libp2p-core",
"libp2p-identity",
- "log",
"quick-protobuf",
- "unsigned-varint 0.7.2",
+ "quick-protobuf-codec",
+ "tracing",
]
[[package]]
name = "libp2p-quic"
-version = "0.9.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4cb763e88f9a043546bfebd3575f340e7dd3d6c1b2cf2629600ec8965360c63a"
+version = "0.10.2"
+source = "git+https://github.com/sigp/rust-libp2p/?rev=cfa3275ca17e502799ed56e555b6c0611752e369#cfa3275ca17e502799ed56e555b6c0611752e369"
dependencies = [
"bytes",
"futures",
@@ -4274,21 +4414,21 @@ dependencies = [
"libp2p-core",
"libp2p-identity",
"libp2p-tls",
- "log",
"parking_lot 0.12.1",
"quinn",
"rand",
- "rustls",
- "socket2 0.5.4",
+ "ring 0.16.20",
+ "rustls 0.21.10",
+ "socket2 0.5.5",
"thiserror",
"tokio",
+ "tracing",
]
[[package]]
name = "libp2p-swarm"
-version = "0.43.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "28016944851bd73526d3c146aabf0fa9bbe27c558f080f9e5447da3a1772c01a"
+version = "0.45.0"
+source = "git+https://github.com/sigp/rust-libp2p/?rev=cfa3275ca17e502799ed56e555b6c0611752e369#cfa3275ca17e502799ed56e555b6c0611752e369"
dependencies = [
"either",
"fnv",
@@ -4298,33 +4438,30 @@ dependencies = [
"libp2p-core",
"libp2p-identity",
"libp2p-swarm-derive",
- "log",
"multistream-select",
"once_cell",
"rand",
- "smallvec 1.11.0",
+ "smallvec",
"tokio",
+ "tracing",
"void",
]
[[package]]
name = "libp2p-swarm-derive"
-version = "0.33.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c4d5ec2a3df00c7836d7696c136274c9c59705bac69133253696a6c932cd1d74"
+version = "0.34.1"
+source = "git+https://github.com/sigp/rust-libp2p/?rev=cfa3275ca17e502799ed56e555b6c0611752e369#cfa3275ca17e502799ed56e555b6c0611752e369"
dependencies = [
"heck",
- "proc-macro-warning",
"proc-macro2",
"quote",
- "syn 2.0.37",
+ "syn 2.0.48",
]
[[package]]
name = "libp2p-tcp"
-version = "0.40.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "09bfdfb6f945c5c014b87872a0bdb6e0aef90e92f380ef57cd9013f118f9289d"
+version = "0.41.0"
+source = "git+https://github.com/sigp/rust-libp2p/?rev=cfa3275ca17e502799ed56e555b6c0611752e369#cfa3275ca17e502799ed56e555b6c0611752e369"
dependencies = [
"futures",
"futures-timer",
@@ -4332,41 +4469,67 @@ dependencies = [
"libc",
"libp2p-core",
"libp2p-identity",
- "log",
- "socket2 0.5.4",
+ "socket2 0.5.5",
"tokio",
+ "tracing",
]
[[package]]
name = "libp2p-tls"
-version = "0.2.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8218d1d5482b122ccae396bbf38abdcb283ecc96fa54760e1dfd251f0546ac61"
+version = "0.3.0"
+source = "git+https://github.com/sigp/rust-libp2p/?rev=cfa3275ca17e502799ed56e555b6c0611752e369#cfa3275ca17e502799ed56e555b6c0611752e369"
dependencies = [
"futures",
"futures-rustls",
"libp2p-core",
"libp2p-identity",
"rcgen",
- "ring",
- "rustls",
- "rustls-webpki",
+ "ring 0.16.20",
+ "rustls 0.21.10",
+ "rustls-webpki 0.101.7",
"thiserror",
"x509-parser",
"yasna",
]
[[package]]
-name = "libp2p-yamux"
-version = "0.44.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8eedcb62824c4300efb9cfd4e2a6edaf3ca097b9e68b36dabe45a44469fd6a85"
+name = "libp2p-upnp"
+version = "0.2.0"
+source = "git+https://github.com/sigp/rust-libp2p/?rev=cfa3275ca17e502799ed56e555b6c0611752e369#cfa3275ca17e502799ed56e555b6c0611752e369"
dependencies = [
+ "futures",
+ "futures-timer",
+ "igd-next",
+ "libp2p-core",
+ "libp2p-swarm",
+ "tokio",
+ "tracing",
+ "void",
+]
+
+[[package]]
+name = "libp2p-yamux"
+version = "0.45.1"
+source = "git+https://github.com/sigp/rust-libp2p/?rev=cfa3275ca17e502799ed56e555b6c0611752e369#cfa3275ca17e502799ed56e555b6c0611752e369"
+dependencies = [
+ "either",
"futures",
"libp2p-core",
- "log",
"thiserror",
- "yamux",
+ "tracing",
+ "yamux 0.12.1",
+ "yamux 0.13.1",
+]
+
+[[package]]
+name = "libredox"
+version = "0.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "85c833ca1e66078851dba29046874e38f08b2c883700aa29a03ddd3b23814ee8"
+dependencies = [
+ "bitflags 2.4.2",
+ "libc",
+ "redox_syscall 0.4.1",
]
[[package]]
@@ -4429,9 +4592,9 @@ dependencies = [
[[package]]
name = "libz-sys"
-version = "1.1.12"
+version = "1.1.14"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d97137b25e321a73eef1418d1d5d2eda4d77e12813f8e6dead84bc52c5870a7b"
+checksum = "295c17e837573c8c821dbaeb3cceb3d745ad082f7572191409e69cbc1b3fd050"
dependencies = [
"cc",
"pkg-config",
@@ -4440,7 +4603,7 @@ dependencies = [
[[package]]
name = "lighthouse"
-version = "4.5.0"
+version = "4.6.0"
dependencies = [
"account_manager",
"account_utils",
@@ -4452,7 +4615,6 @@ dependencies = [
"clap_utils",
"database_manager",
"directory",
- "env_logger 0.9.3",
"environment",
"eth1",
"eth2",
@@ -4463,6 +4625,7 @@ dependencies = [
"lighthouse_metrics",
"lighthouse_network",
"lighthouse_version",
+ "logging",
"malloc_utils",
"sensitive_url",
"serde",
@@ -4474,6 +4637,7 @@ dependencies = [
"sloggers",
"task_executor",
"tempfile",
+ "tracing-subscriber",
"types",
"unused_port",
"validator_client",
@@ -4507,10 +4671,9 @@ dependencies = [
"lazy_static",
"libp2p",
"libp2p-mplex",
- "libp2p-quic",
"lighthouse_metrics",
"lighthouse_version",
- "lru 0.7.8",
+ "lru",
"lru_cache",
"parking_lot 0.12.1",
"prometheus-client",
@@ -4519,12 +4682,11 @@ dependencies = [
"rand",
"regex",
"serde",
- "serde_derive",
"sha2 0.9.9",
"slog",
"slog-async",
"slog-term",
- "smallvec 1.11.0",
+ "smallvec",
"snap",
"ssz_types",
"strum",
@@ -4566,15 +4728,9 @@ checksum = "f051f77a7c8e6957c0696eac88f26b0117e54f52d3fc682ab19397a8812846a4"
[[package]]
name = "linux-raw-sys"
-version = "0.3.8"
+version = "0.4.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ef53942eb7bf7ff43a617b3e2c1c4a5ecf5944a7c1bc12d7ee39bbb15e5c1519"
-
-[[package]]
-name = "linux-raw-sys"
-version = "0.4.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1a9bad9f94746442c783ca431b22403b519cd7fbeed0533fdd6328b2f2212128"
+checksum = "01cda141df6706de531b6c46c3a33ecca755538219bd484262fa09410c13539c"
[[package]]
name = "lmdb-rkv"
@@ -4599,9 +4755,9 @@ dependencies = [
[[package]]
name = "lock_api"
-version = "0.4.10"
+version = "0.4.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c1cc9717a20b1bb222f333e6a92fd32f7d8a18ddc5a3191a11af45dcbf4dcd16"
+checksum = "3c168f8615b12bc01f9c17e2eb0cc07dcae1940121185446edc3744920e8ef45"
dependencies = [
"autocfg",
"scopeguard",
@@ -4637,24 +4793,20 @@ dependencies = [
"sloggers",
"take_mut",
"tokio",
+ "tracing",
+ "tracing-appender",
+ "tracing-core",
+ "tracing-log",
+ "tracing-subscriber",
]
[[package]]
name = "lru"
-version = "0.7.8"
+version = "0.12.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e999beba7b6e8345721bd280141ed958096a2e4abdf74f67ff4ce49b4b54e47a"
+checksum = "2994eeba8ed550fd9b47a0b38f0242bc3344e496483c6180b69139cc2fa5d1d7"
dependencies = [
- "hashbrown 0.12.3",
-]
-
-[[package]]
-name = "lru"
-version = "0.10.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "718e8fae447df0c7e1ba7f5189829e63fd536945c8988d61444c19039f16b670"
-dependencies = [
- "hashbrown 0.13.2",
+ "hashbrown 0.14.3",
]
[[package]]
@@ -4671,13 +4823,14 @@ name = "lru_cache"
version = "0.1.0"
dependencies = [
"fnv",
+ "mock_instant",
]
[[package]]
-name = "mach"
-version = "0.3.2"
+name = "mach2"
+version = "0.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b823e83b2affd8f40a9ee8c29dbc56404c1e34cd2710921f2801e2cf29527afa"
+checksum = "19b955cdeb2a02b9117f121ce63aa52d08ade45de53e48fe6a38b39c10f6f709"
dependencies = [
"libc",
]
@@ -4723,22 +4876,17 @@ checksum = "2532096657941c2fea9c289d370a250971c689d4f143798ff67113ec042024a5"
[[package]]
name = "matchit"
-version = "0.7.2"
+version = "0.7.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ed1202b2a6f884ae56f04cff409ab315c5ce26b5e58d7412e484f01fd52f52ef"
-
-[[package]]
-name = "maybe-uninit"
-version = "2.0.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "60302e4db3a61da70c0cb7991976248362f30319e88850c487b9b95bbf059e00"
+checksum = "0e7465ac9959cc2b1404e8e2367b43684a6d13790fe23056cc8c6c5a6b7bcb94"
[[package]]
name = "md-5"
-version = "0.10.5"
+version = "0.10.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6365506850d44bff6e2fbcb5176cf63650e48bd45ef2fe2665ae1570e0f4b9ca"
+checksum = "d89e7ee0cfbedfc4da3340218492196241d89eefb6dab27de5df917a6d2e78cf"
dependencies = [
+ "cfg-if",
"digest 0.10.7",
]
@@ -4747,7 +4895,7 @@ name = "mdbx-sys"
version = "0.11.6-4"
source = "git+https://github.com/sigp/libmdbx-rs?tag=v0.1.4#096da80a83d14343f8df833006483f48075cd135"
dependencies = [
- "bindgen",
+ "bindgen 0.59.2",
"cc",
"cmake",
"libc",
@@ -4755,24 +4903,15 @@ dependencies = [
[[package]]
name = "mediatype"
-version = "0.19.15"
+version = "0.19.17"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8c408dc227d302f1496c84d9dc68c00fec6f56f9228a18f3023f976f3ca7c945"
+checksum = "83a018c36a54f4e12c30464bbc59311f85d3f6f4d6c1b4fa4ea9db2b174ddefc"
[[package]]
name = "memchr"
-version = "2.6.3"
+version = "2.7.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8f232d6ef707e1956a43342693d2a31e72989554d58299d7a88738cc95b0d35c"
-
-[[package]]
-name = "memoffset"
-version = "0.6.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5aa361d4faea93603064a027415f07bd8e1d5c88c9fbf68bf56a285428fd79ce"
-dependencies = [
- "autocfg",
-]
+checksum = "523dc4f511e55ab87b694dc30d0f820d60906ef06413f93d4d7a1385599cc149"
[[package]]
name = "memoffset"
@@ -4814,31 +4953,10 @@ dependencies = [
"itertools",
"proc-macro2",
"quote",
- "smallvec 1.11.0",
+ "smallvec",
"syn 1.0.109",
]
-[[package]]
-name = "mev-rs"
-version = "0.3.0"
-source = "git+https://github.com/ralexstokes/mev-rs?rev=216657016d5c0889b505857c89ae42c7aa2764af#216657016d5c0889b505857c89ae42c7aa2764af"
-dependencies = [
- "anvil-rpc",
- "async-trait",
- "axum",
- "beacon-api-client",
- "ethereum-consensus",
- "hyper",
- "parking_lot 0.12.1",
- "reqwest",
- "serde",
- "serde_json",
- "ssz_rs",
- "thiserror",
- "tokio",
- "tracing",
-]
-
[[package]]
name = "migrations_internals"
version = "2.1.0"
@@ -4905,15 +5023,21 @@ dependencies = [
[[package]]
name = "mio"
-version = "0.8.8"
+version = "0.8.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "927a765cd3fc26206e66b296465fa9d3e5ab003e651c1b3c060e7956d96b19d2"
+checksum = "8f3d0b296e374a4e6f3c7b0a1f5a51d748a0d34c85e7dc48fc3fa9a87657fe09"
dependencies = [
"libc",
- "wasi 0.11.0+wasi-snapshot-preview1",
+ "wasi",
"windows-sys 0.48.0",
]
+[[package]]
+name = "mock_instant"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6c1a54de846c4006b88b1516731cc1f6026eb5dc4bcb186aa071ef66d40524ec"
+
[[package]]
name = "monitoring_api"
version = "0.1.0"
@@ -4926,7 +5050,6 @@ dependencies = [
"reqwest",
"sensitive_url",
"serde",
- "serde_derive",
"serde_json",
"slog",
"store",
@@ -4936,40 +5059,22 @@ dependencies = [
[[package]]
name = "more-asserts"
-version = "0.2.2"
+version = "0.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7843ec2de400bcbc6a6328c958dc38e5359da6e93e72e37bc5246bf1ae776389"
+checksum = "1fafa6961cabd9c63bcd77a45d7e3b7f3b552b70417831fb0f56db717e72407e"
[[package]]
name = "multiaddr"
-version = "0.14.0"
+version = "0.18.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3c580bfdd8803cce319b047d239559a22f809094aaea4ac13902a1fdcfcd4261"
-dependencies = [
- "arrayref",
- "bs58 0.4.0",
- "byteorder",
- "data-encoding",
- "multihash 0.16.3",
- "percent-encoding",
- "serde",
- "static_assertions",
- "unsigned-varint 0.7.2",
- "url",
-]
-
-[[package]]
-name = "multiaddr"
-version = "0.18.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "92a651988b3ed3ad1bc8c87d016bb92f6f395b84ed1db9b926b32b1fc5a2c8b5"
+checksum = "8b852bc02a2da5feed68cd14fa50d0774b92790a5bdbfa932a813926c8472070"
dependencies = [
"arrayref",
"byteorder",
"data-encoding",
"libp2p-identity",
"multibase",
- "multihash 0.19.1",
+ "multihash",
"percent-encoding",
"serde",
"static_assertions",
@@ -4988,19 +5093,6 @@ dependencies = [
"data-encoding-macro",
]
-[[package]]
-name = "multihash"
-version = "0.16.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1c346cf9999c631f002d8f977c4eaeaa0e6386f16007202308d0b3757522c2cc"
-dependencies = [
- "core2",
- "digest 0.10.7",
- "multihash-derive",
- "sha2 0.10.7",
- "unsigned-varint 0.7.2",
-]
-
[[package]]
name = "multihash"
version = "0.19.1"
@@ -5011,32 +5103,17 @@ dependencies = [
"unsigned-varint 0.7.2",
]
-[[package]]
-name = "multihash-derive"
-version = "0.8.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1d6d4752e6230d8ef7adf7bd5d8c4b1f6561c1014c5ba9a37445ccefe18aa1db"
-dependencies = [
- "proc-macro-crate",
- "proc-macro-error",
- "proc-macro2",
- "quote",
- "syn 1.0.109",
- "synstructure",
-]
-
[[package]]
name = "multistream-select"
version = "0.13.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ea0df8e5eec2298a62b326ee4f0d7fe1a6b90a09dfcf9df37b38f947a8c42f19"
+source = "git+https://github.com/sigp/rust-libp2p/?rev=cfa3275ca17e502799ed56e555b6c0611752e369#cfa3275ca17e502799ed56e555b6c0611752e369"
dependencies = [
"bytes",
"futures",
- "log",
"pin-project",
- "smallvec 1.11.0",
- "unsigned-varint 0.7.2",
+ "smallvec",
+ "tracing",
+ "unsigned-varint 0.8.0",
]
[[package]]
@@ -5133,6 +5210,7 @@ dependencies = [
"derivative",
"environment",
"error-chain",
+ "eth2",
"ethereum-types 0.14.1",
"ethereum_ssz",
"execution_layer",
@@ -5148,6 +5226,7 @@ dependencies = [
"lighthouse_metrics",
"lighthouse_network",
"logging",
+ "lru",
"lru_cache",
"matches",
"num_cpus",
@@ -5160,7 +5239,7 @@ dependencies = [
"slog-term",
"sloggers",
"slot_clock",
- "smallvec 1.11.0",
+ "smallvec",
"ssz_types",
"store",
"strum",
@@ -5171,19 +5250,6 @@ dependencies = [
"types",
]
-[[package]]
-name = "nix"
-version = "0.23.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8f3790c00a0150112de0f4cd161e3d7fc4b2d8a5542ffc35f099a2562aecb35c"
-dependencies = [
- "bitflags 1.3.2",
- "cc",
- "cfg-if",
- "libc",
- "memoffset 0.6.5",
-]
-
[[package]]
name = "nix"
version = "0.24.3"
@@ -5201,7 +5267,7 @@ version = "0.27.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "2eb04e9c688eff1c89d72b407f168cf79bb9e867a9d3323ed6c01519eb9cc053"
dependencies = [
- "bitflags 2.4.0",
+ "bitflags 2.4.2",
"cfg-if",
"libc",
]
@@ -5222,12 +5288,6 @@ dependencies = [
"validator_dir",
]
-[[package]]
-name = "nodrop"
-version = "0.1.14"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "72ef4a56884ca558e5ddb05a1d1e7e1bfd9a68d9ed024c21704cc98872dae1bb"
-
[[package]]
name = "nohash-hasher"
version = "0.2.0"
@@ -5288,7 +5348,7 @@ dependencies = [
"num-traits",
"rand",
"serde",
- "smallvec 1.11.0",
+ "smallvec",
"zeroize",
]
@@ -5315,9 +5375,9 @@ dependencies = [
[[package]]
name = "num-traits"
-version = "0.2.16"
+version = "0.2.17"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f30b0abd723be7e2ffca1272140fac1a2f084c77ec3e123c192b66af1ee9e6c2"
+checksum = "39e3200413f237f41ab11ad6d161bc7239c84dcb631773ccd7de3dfe4b5c267c"
dependencies = [
"autocfg",
]
@@ -5328,7 +5388,7 @@ version = "1.16.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "4161fcb6d602d4d2081af7c3a45852d875a03dd337a6bfdd6e06407b61342a43"
dependencies = [
- "hermit-abi 0.3.2",
+ "hermit-abi 0.3.4",
"libc",
]
@@ -5343,9 +5403,9 @@ dependencies = [
[[package]]
name = "object"
-version = "0.32.1"
+version = "0.32.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9cf5f9dd3933bd50a9e1f149ec995f39ae2c496d31fd772c1fd45ebc27e902b0"
+checksum = "a6a622008b6e321afc04970976f62ee297fdbaa6f95318ca343e3eebb9648441"
dependencies = [
"memchr",
]
@@ -5361,9 +5421,9 @@ dependencies = [
[[package]]
name = "once_cell"
-version = "1.18.0"
+version = "1.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dd8b5dd2ae5ed71462c540258bedcb51965123ad7e7ccf4b9a8cafaa4a63576d"
+checksum = "3fdb12b2476b595f9358c5161aa467c2438859caa136dec86c26fdd2efe17b92"
[[package]]
name = "oneshot_broadcast"
@@ -5411,11 +5471,11 @@ dependencies = [
[[package]]
name = "openssl"
-version = "0.10.57"
+version = "0.10.63"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bac25ee399abb46215765b1cb35bc0212377e58a061560d8b29b024fd0430e7c"
+checksum = "15c9d69dd87a29568d4d017cfe8ec518706046a05184e5aea92d0af890b803c8"
dependencies = [
- "bitflags 2.4.0",
+ "bitflags 2.4.2",
"cfg-if",
"foreign-types",
"libc",
@@ -5432,7 +5492,7 @@ checksum = "a948666b637a0f465e8564c73e89d4dde00d72d4d473cc972f390fc3dcee7d9c"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.37",
+ "syn 2.0.48",
]
[[package]]
@@ -5443,18 +5503,18 @@ checksum = "ff011a302c396a5197692431fc1948019154afc178baf7d8e37367442a4601cf"
[[package]]
name = "openssl-src"
-version = "300.1.3+3.1.2"
+version = "300.2.1+3.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cd2c101a165fff9935e34def4669595ab1c7847943c42be86e21503e482be107"
+checksum = "3fe476c29791a5ca0d1273c697e96085bbabbbea2ef7afd5617e78a4b40332d3"
dependencies = [
"cc",
]
[[package]]
name = "openssl-sys"
-version = "0.9.93"
+version = "0.9.99"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "db4d56a4c0478783083cfafcc42493dd4a981d41669da64b4572a2a089b51b1d"
+checksum = "22e1bf214306098e4832460f797824c05d25aacdf896f64a985fb0fd992454ae"
dependencies = [
"cc",
"libc",
@@ -5480,7 +5540,6 @@ dependencies = [
"rand",
"rayon",
"serde",
- "serde_derive",
"state_processing",
"store",
"tokio",
@@ -5499,10 +5558,10 @@ version = "0.13.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "c9863ad85fa8f4460f9c48cb909d38a0d689dba1f6f6988a5e3e0d31071bcd4b"
dependencies = [
- "ecdsa 0.16.8",
- "elliptic-curve 0.13.5",
+ "ecdsa 0.16.9",
+ "elliptic-curve 0.13.8",
"primeorder",
- "sha2 0.10.7",
+ "sha2 0.10.8",
]
[[package]]
@@ -5521,15 +5580,15 @@ dependencies = [
[[package]]
name = "parity-scale-codec"
-version = "3.6.5"
+version = "3.6.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0dec8a8073036902368c2cdc0387e85ff9a37054d7e7c98e592145e0c92cd4fb"
+checksum = "881331e34fa842a2fb61cc2db9643a8fedc615e47cfcc52597d1af0db9a7e8fe"
dependencies = [
"arrayvec",
"bitvec 1.0.1",
"byte-slice-cast",
"impl-trait-for-tuples",
- "parity-scale-codec-derive 3.6.5",
+ "parity-scale-codec-derive 3.6.9",
"serde",
]
@@ -5539,7 +5598,7 @@ version = "2.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "1557010476e0595c9b568d16dcfb81b93cdeb157612726f5170d31aa707bed27"
dependencies = [
- "proc-macro-crate",
+ "proc-macro-crate 1.3.1",
"proc-macro2",
"quote",
"syn 1.0.109",
@@ -5547,11 +5606,11 @@ dependencies = [
[[package]]
name = "parity-scale-codec-derive"
-version = "3.6.5"
+version = "3.6.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "312270ee71e1cd70289dacf597cab7b207aa107d2f28191c2ae45b2ece18a260"
+checksum = "be30eaf4b0a9fba5336683b38de57bb86d179a35862ba6bfcf57625d006bde5b"
dependencies = [
- "proc-macro-crate",
+ "proc-macro-crate 2.0.0",
"proc-macro2",
"quote",
"syn 1.0.109",
@@ -5559,9 +5618,9 @@ dependencies = [
[[package]]
name = "parking"
-version = "2.1.0"
+version = "2.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "14f2252c834a40ed9bb5422029649578e63aa341ac401f74e719dd1afda8394e"
+checksum = "bb813b8af86854136c6922af0598d719255ecb2179515e6e7730d468f05c9cae"
[[package]]
name = "parking_lot"
@@ -5581,7 +5640,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "3742b2c103b9f06bc9fff0a37ff4912935851bee6d36f3c02bcc755bcfec228f"
dependencies = [
"lock_api",
- "parking_lot_core 0.9.8",
+ "parking_lot_core 0.9.9",
]
[[package]]
@@ -5594,20 +5653,20 @@ dependencies = [
"instant",
"libc",
"redox_syscall 0.2.16",
- "smallvec 1.11.0",
+ "smallvec",
"winapi",
]
[[package]]
name = "parking_lot_core"
-version = "0.9.8"
+version = "0.9.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "93f00c865fe7cabf650081affecd3871070f26767e7b2070a3ffae14c654b447"
+checksum = "4c42a9226546d68acdd9c0a280d17ce19bfe27a46bf68784e4066115788d008e"
dependencies = [
"cfg-if",
"libc",
- "redox_syscall 0.3.5",
- "smallvec 1.11.0",
+ "redox_syscall 0.4.1",
+ "smallvec",
"windows-targets 0.48.5",
]
@@ -5618,7 +5677,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "7676374caaee8a325c9e7a2ae557f216c5563a171d6997b0ef8a65af35147700"
dependencies = [
"base64ct",
- "rand_core 0.6.4",
+ "rand_core",
"subtle",
]
@@ -5634,7 +5693,7 @@ version = "0.8.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "d95f5254224e617595d2cc3cc73ff0a5eaf2637519e25f03388154e9378b6ffa"
dependencies = [
- "crypto-mac 0.11.1",
+ "crypto-mac 0.11.0",
]
[[package]]
@@ -5646,7 +5705,7 @@ dependencies = [
"digest 0.10.7",
"hmac 0.12.1",
"password-hash",
- "sha2 0.10.7",
+ "sha2 0.10.8",
]
[[package]]
@@ -5664,6 +5723,16 @@ dependencies = [
"base64 0.13.1",
]
+[[package]]
+name = "pem"
+version = "3.0.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1b8fcc794035347fb64beda2d3b462595dd2753e3f268d89c5aae77e8cf2c310"
+dependencies = [
+ "base64 0.21.7",
+ "serde",
+]
+
[[package]]
name = "pem-rfc7468"
version = "0.7.0"
@@ -5675,9 +5744,9 @@ dependencies = [
[[package]]
name = "percent-encoding"
-version = "2.3.0"
+version = "2.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9b2a4787296e9989611394c33f193f676704af1686e70b8f8033ab5ba9a35a94"
+checksum = "e3148f5046208a5d56bcfc03053e3ca6334e51da8dfb19b6cdc8b306fae3283e"
[[package]]
name = "pharos"
@@ -5724,7 +5793,7 @@ checksum = "4359fd9c9171ec6e8c62926d6faaf553a8dc3f64e1507e76da7911b4f6a04405"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.37",
+ "syn 2.0.48",
]
[[package]]
@@ -5756,14 +5825,14 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "f950b2377845cebe5cf8b5165cb3cc1a5e0fa5cfa3e1f7f55707d8fd82e0a7b7"
dependencies = [
"der 0.7.8",
- "spki 0.7.2",
+ "spki 0.7.3",
]
[[package]]
name = "pkg-config"
-version = "0.3.27"
+version = "0.3.29"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "26072860ba924cbfa98ea39c8c19b4dd6a4a25423dbdf219c1eca91aa0cf6964"
+checksum = "2900ede94e305130c13ddd391e0ab7cbaeb783945ae07a279c268cb05109c6cb"
[[package]]
name = "platforms"
@@ -5773,9 +5842,9 @@ checksum = "e8d0eef3571242013a0d5dc84861c3ae4a652e56e12adf8bdc26ff5f8cb34c94"
[[package]]
name = "platforms"
-version = "3.1.2"
+version = "3.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4503fa043bf02cee09a9582e9554b4c6403b2ef55e4612e96561d294419429f8"
+checksum = "626dec3cac7cc0e1577a2ec3fc496277ec2baa084bebad95bb6fdbfae235f84c"
[[package]]
name = "plotters"
@@ -5807,29 +5876,27 @@ dependencies = [
[[package]]
name = "polling"
-version = "2.8.0"
+version = "3.3.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4b2d323e8ca7996b3e23126511a523f7e62924d93ecd5ae73b333815b0eb3dce"
+checksum = "545c980a3880efd47b2e262f6a4bb6daad6555cf3367aa9c4e52895f69537a41"
dependencies = [
- "autocfg",
- "bitflags 1.3.2",
"cfg-if",
"concurrent-queue",
- "libc",
- "log",
"pin-project-lite",
- "windows-sys 0.48.0",
+ "rustix 0.38.30",
+ "tracing",
+ "windows-sys 0.52.0",
]
[[package]]
name = "poly1305"
-version = "0.7.2"
+version = "0.8.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "048aeb476be11a4b6ca432ca569e375810de9294ae78f4774e78ea98a9246ede"
+checksum = "8159bd90725d2df49889a078b54f4f79e87f1f8a8444194cdca81d38f5393abf"
dependencies = [
"cpufeatures",
"opaque-debug",
- "universal-hash",
+ "universal-hash 0.5.1",
]
[[package]]
@@ -5841,7 +5908,19 @@ dependencies = [
"cfg-if",
"cpufeatures",
"opaque-debug",
- "universal-hash",
+ "universal-hash 0.4.0",
+]
+
+[[package]]
+name = "polyval"
+version = "0.6.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d52cff9d1d4dee5fe6d03729099f4a310a41179e0a10dbf542039873f2e826fb"
+dependencies = [
+ "cfg-if",
+ "cpufeatures",
+ "opaque-debug",
+ "universal-hash 0.5.1",
]
[[package]]
@@ -5850,7 +5929,7 @@ version = "0.6.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "49b6c5ef183cd3ab4ba005f1ca64c21e8bd97ce4699cfea9e8d9a2c4958ca520"
dependencies = [
- "base64 0.21.4",
+ "base64 0.21.7",
"byteorder",
"bytes",
"fallible-iterator",
@@ -5858,7 +5937,7 @@ dependencies = [
"md-5",
"memchr",
"rand",
- "sha2 0.10.7",
+ "sha2 0.10.8",
"stringprep",
]
@@ -5873,6 +5952,12 @@ dependencies = [
"postgres-protocol",
]
+[[package]]
+name = "powerfmt"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "439ee305def115ba05938db6eb1644ff94165c5ab5e9420d1c1bcedbba909391"
+
[[package]]
name = "ppv-lite86"
version = "0.2.17"
@@ -5897,12 +5982,22 @@ dependencies = [
]
[[package]]
-name = "primeorder"
-version = "0.13.2"
+name = "prettyplease"
+version = "0.2.16"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3c2fcef82c0ec6eefcc179b978446c399b3cdf73c392c35604e399eee6df1ee3"
+checksum = "a41cf62165e97c7f814d2221421dbb9afcbcdb0a88068e5ea206e19951c2cbb5"
dependencies = [
- "elliptic-curve 0.13.5",
+ "proc-macro2",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "primeorder"
+version = "0.13.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "353e1ca18966c16d9deb1c69278edbc5f194139612772bd9537af60ac231e1e6"
+dependencies = [
+ "elliptic-curve 0.13.8",
]
[[package]]
@@ -5920,9 +6015,9 @@ dependencies = [
[[package]]
name = "primitive-types"
-version = "0.12.1"
+version = "0.12.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9f3486ccba82358b11a77516035647c34ba167dfa53312630de83b12bd4f3d66"
+checksum = "0b34d9fd68ae0b74a41b21c03c2f62847aa0ffea044eee893b4c140b37e244e2"
dependencies = [
"fixed-hash 0.8.0",
"impl-codec 0.6.0",
@@ -5934,12 +6029,21 @@ dependencies = [
[[package]]
name = "proc-macro-crate"
-version = "1.1.3"
+version = "1.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e17d47ce914bf4de440332250b0edd23ce48c005f59fab39d3335866b114f11a"
+checksum = "7f4c021e1093a56626774e81216a4ce732a735e5bad4868a03f3ed65ca0c3919"
dependencies = [
- "thiserror",
- "toml 0.5.11",
+ "once_cell",
+ "toml_edit 0.19.15",
+]
+
+[[package]]
+name = "proc-macro-crate"
+version = "2.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7e8366a6159044a37876a2b9817124296703c586a5c92e2c53751fa06d8d43e8"
+dependencies = [
+ "toml_edit 0.20.7",
]
[[package]]
@@ -5966,28 +6070,11 @@ dependencies = [
"version_check",
]
-[[package]]
-name = "proc-macro-hack"
-version = "0.5.20+deprecated"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dc375e1527247fe1a97d8b7156678dfe7c1af2fc075c9a4db3690ecd2a148068"
-
-[[package]]
-name = "proc-macro-warning"
-version = "0.4.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3d1eaa7fa0aa1929ffdf7eeb6eac234dde6268914a14ad44d23521ab6a9b258e"
-dependencies = [
- "proc-macro2",
- "quote",
- "syn 2.0.37",
-]
-
[[package]]
name = "proc-macro2"
-version = "1.0.67"
+version = "1.0.78"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3d433d9f1a3e8c1263d9456598b16fec66f4acc9a74dacffd35c7bb09b3a1328"
+checksum = "e2422ad645d89c99f8f3e6b88a9fdeca7fabeac836b1002371c4367c8f984aae"
dependencies = [
"unicode-ident",
]
@@ -6004,7 +6091,7 @@ dependencies = [
"flate2",
"hex",
"lazy_static",
- "rustix 0.36.15",
+ "rustix 0.36.17",
]
[[package]]
@@ -6024,9 +6111,9 @@ dependencies = [
[[package]]
name = "prometheus-client"
-version = "0.21.2"
+version = "0.22.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3c99afa9a01501019ac3a14d71d9f94050346f55ca471ce90c799a15c58f61e2"
+checksum = "510c4f1c9d81d556458f94c98f857748130ea9737bbd6053da497503b26ea63c"
dependencies = [
"dtoa",
"itoa",
@@ -6042,7 +6129,7 @@ checksum = "440f724eba9f6996b75d63681b0a92b06947f1457076d503a4d2e2c8f56442b8"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.37",
+ "syn 2.0.48",
]
[[package]]
@@ -6053,7 +6140,6 @@ dependencies = [
"ethereum_ssz_derive",
"safe_arith",
"serde",
- "serde_derive",
"serde_yaml",
"superstruct",
"types",
@@ -6067,16 +6153,16 @@ checksum = "106dd99e98437432fed6519dedecfade6a06a73bb7b2a1e019fdd2bee5778d94"
[[package]]
name = "psutil"
-version = "3.2.2"
+version = "3.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f866af2b0f8e4b0d2d00aad8a9c5fc48fad33466cd99a64cbb3a4c1505f1a62d"
+checksum = "5e617cc9058daa5e1fe5a0d23ed745773a5ee354111dad1ec0235b0cc16b6730"
dependencies = [
"cfg-if",
"darwin-libproc",
"derive_more",
"glob",
- "mach",
- "nix 0.23.2",
+ "mach2",
+ "nix 0.24.3",
"num_cpus",
"once_cell",
"platforms 2.0.0",
@@ -6101,15 +6187,14 @@ dependencies = [
[[package]]
name = "quick-protobuf-codec"
-version = "0.2.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f8ededb1cd78531627244d51dd0c7139fbe736c7d57af0092a76f0ffb2f56e98"
+version = "0.3.1"
+source = "git+https://github.com/sigp/rust-libp2p/?rev=cfa3275ca17e502799ed56e555b6c0611752e369#cfa3275ca17e502799ed56e555b6c0611752e369"
dependencies = [
"asynchronous-codec",
"bytes",
"quick-protobuf",
"thiserror",
- "unsigned-varint 0.7.2",
+ "unsigned-varint 0.8.0",
]
[[package]]
@@ -6146,7 +6231,7 @@ dependencies = [
"quinn-proto",
"quinn-udp",
"rustc-hash",
- "rustls",
+ "rustls 0.21.10",
"thiserror",
"tokio",
"tracing",
@@ -6154,15 +6239,15 @@ dependencies = [
[[package]]
name = "quinn-proto"
-version = "0.10.5"
+version = "0.10.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2c78e758510582acc40acb90458401172d41f1016f8c9dde89e49677afb7eec1"
+checksum = "141bf7dfde2fbc246bfd3fe12f2455aa24b0fbd9af535d8c86c7bd1381ff2b1a"
dependencies = [
"bytes",
"rand",
- "ring",
+ "ring 0.16.20",
"rustc-hash",
- "rustls",
+ "rustls 0.21.10",
"slab",
"thiserror",
"tinyvec",
@@ -6177,16 +6262,16 @@ checksum = "055b4e778e8feb9f93c4e439f71dc2156ef13360b432b799e179a8c4cdf0b1d7"
dependencies = [
"bytes",
"libc",
- "socket2 0.5.4",
+ "socket2 0.5.5",
"tracing",
"windows-sys 0.48.0",
]
[[package]]
name = "quote"
-version = "1.0.33"
+version = "1.0.35"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5267fca4496028628a95160fc423a33e8b2e6af8a5302579e322e4b520293cae"
+checksum = "291ec9ab5efd934aaf503a6466c5d5251535d108ee747472c3977cc5acc868ef"
dependencies = [
"proc-macro2",
]
@@ -6232,7 +6317,7 @@ checksum = "34af8d1a0e25924bc5b7c43c079c942339d8f0a8b57c39049bef581b46327404"
dependencies = [
"libc",
"rand_chacha",
- "rand_core 0.6.4",
+ "rand_core",
]
[[package]]
@@ -6242,16 +6327,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "e6c10a63a0fa32252be49d21e7709d4d4baf8d231c2dbce1eaa8141b9b127d88"
dependencies = [
"ppv-lite86",
- "rand_core 0.6.4",
-]
-
-[[package]]
-name = "rand_core"
-version = "0.5.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "90bde5296fc891b0cef12a6d03ddccc162ce7b2aff54160af9338f8d40df6d19"
-dependencies = [
- "getrandom 0.1.16",
+ "rand_core",
]
[[package]]
@@ -6260,7 +6336,7 @@ version = "0.6.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "ec0be4795e2f6a28069bec0b5ff3e2ac9bafc99e6a9a7dc3547996c5c816922c"
dependencies = [
- "getrandom 0.2.10",
+ "getrandom",
]
[[package]]
@@ -6269,14 +6345,14 @@ version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "d25bf25ec5ae4a3f1b92f929810509a2f53d7dca2f50b794ff57e3face536c8f"
dependencies = [
- "rand_core 0.6.4",
+ "rand_core",
]
[[package]]
name = "rayon"
-version = "1.7.0"
+version = "1.8.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1d2df5196e37bcc87abebc0053e20787d73847bb33134a69841207dd0a47f03b"
+checksum = "fa7237101a77a10773db45d62004a272517633fbcc3df19d96455ede1122e051"
dependencies = [
"either",
"rayon-core",
@@ -6284,24 +6360,22 @@ dependencies = [
[[package]]
name = "rayon-core"
-version = "1.11.0"
+version = "1.12.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4b8f95bd6966f5c87776639160a66bd8ab9895d9d4ab01ddba9fc60661aebe8d"
+checksum = "1465873a3dfdaa8ae7cb14b4383657caab0b3e8a0aa9ae8e04b044854c8dfce2"
dependencies = [
- "crossbeam-channel",
"crossbeam-deque",
"crossbeam-utils",
- "num_cpus",
]
[[package]]
name = "rcgen"
-version = "0.10.0"
+version = "0.11.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ffbe84efe2f38dea12e9bfc1f65377fdf03e53a18cb3b995faedf7934c7e785b"
+checksum = "52c4f3084aa3bc7dfbba4eff4fab2a54db4324965d8872ab933565e6fbd83bc6"
dependencies = [
- "pem",
- "ring",
+ "pem 3.0.3",
+ "ring 0.16.20",
"time",
"yasna",
]
@@ -6317,34 +6391,34 @@ dependencies = [
[[package]]
name = "redox_syscall"
-version = "0.3.5"
+version = "0.4.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "567664f262709473930a4bf9e51bf2ebf3348f2e748ccc50dea20646858f8f29"
+checksum = "4722d768eff46b75989dd134e5c353f0d6296e5aaa3132e776cbdb56be7731aa"
dependencies = [
"bitflags 1.3.2",
]
[[package]]
name = "redox_users"
-version = "0.4.3"
+version = "0.4.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b033d837a7cf162d7993aded9304e30a83213c648b6e389db233191f891e5c2b"
+checksum = "a18479200779601e498ada4e8c1e1f50e3ee19deb0259c25825a98b5603b2cb4"
dependencies = [
- "getrandom 0.2.10",
- "redox_syscall 0.2.16",
+ "getrandom",
+ "libredox",
"thiserror",
]
[[package]]
name = "regex"
-version = "1.9.5"
+version = "1.10.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "697061221ea1b4a94a624f67d0ae2bfe4e22b8a17b6a192afb11046542cc8c47"
+checksum = "b62dbe01f0b06f9d8dc7d49e05a0785f153b00b2c227856282f671e0318c9b15"
dependencies = [
"aho-corasick",
"memchr",
- "regex-automata 0.3.8",
- "regex-syntax 0.7.5",
+ "regex-automata 0.4.4",
+ "regex-syntax 0.8.2",
]
[[package]]
@@ -6358,13 +6432,13 @@ dependencies = [
[[package]]
name = "regex-automata"
-version = "0.3.8"
+version = "0.4.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c2f401f4955220693b56f8ec66ee9c78abffd8d1c4f23dc41a23839eb88f0795"
+checksum = "3b7fa1134405e2ec9353fd416b17f8dacd46c473d7d3fd1cf202706a14eb792a"
dependencies = [
"aho-corasick",
"memchr",
- "regex-syntax 0.7.5",
+ "regex-syntax 0.8.2",
]
[[package]]
@@ -6375,25 +6449,25 @@ checksum = "f162c6dd7b008981e4d40210aca20b4bd0f9b60ca9271061b07f78537722f2e1"
[[package]]
name = "regex-syntax"
-version = "0.7.5"
+version = "0.8.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dbb5fb1acd8a1a18b3dd5be62d25485eb770e05afb408a9627d14d451bae12da"
+checksum = "c08c74e62047bb2de4ff487b251e4a92e24f48745648451635cec7d591162d9f"
[[package]]
name = "reqwest"
-version = "0.11.20"
+version = "0.11.23"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3e9ad3fe7488d7e34558a2033d45a0c90b72d97b4f80705666fea71472e2e6a1"
+checksum = "37b1ae8d9ac08420c66222fb9096fc5de435c3c48542bc5336c51892cffafb41"
dependencies = [
- "base64 0.21.4",
+ "base64 0.21.7",
"bytes",
"encoding_rs",
"futures-core",
"futures-util",
- "h2",
- "http",
- "http-body",
- "hyper",
+ "h2 0.3.24",
+ "http 0.2.11",
+ "http-body 0.4.6",
+ "hyper 0.14.28",
"hyper-rustls",
"hyper-tls",
"ipnet",
@@ -6404,15 +6478,16 @@ dependencies = [
"once_cell",
"percent-encoding",
"pin-project-lite",
- "rustls",
- "rustls-pemfile",
+ "rustls 0.21.10",
+ "rustls-pemfile 1.0.4",
"serde",
"serde_json",
"serde_urlencoded",
+ "system-configuration",
"tokio",
"tokio-native-tls",
- "tokio-rustls",
- "tokio-util 0.7.8",
+ "tokio-rustls 0.24.1",
+ "tokio-util 0.7.10",
"tower-service",
"url",
"wasm-bindgen",
@@ -6463,12 +6538,26 @@ dependencies = [
"cc",
"libc",
"once_cell",
- "spin",
- "untrusted",
+ "spin 0.5.2",
+ "untrusted 0.7.1",
"web-sys",
"winapi",
]
+[[package]]
+name = "ring"
+version = "0.17.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "688c63d65483050968b2a8937f7995f443e27041a0f7700aa59b0822aedebb74"
+dependencies = [
+ "cc",
+ "getrandom",
+ "libc",
+ "spin 0.9.8",
+ "untrusted 0.9.0",
+ "windows-sys 0.48.0",
+]
+
[[package]]
name = "rle-decode-fast"
version = "1.0.3"
@@ -6530,9 +6619,9 @@ dependencies = [
"bitflags 1.3.2",
"fallible-iterator",
"fallible-streaming-iterator",
- "hashlink 0.8.4",
+ "hashlink",
"libsqlite3-sys",
- "smallvec 1.11.0",
+ "smallvec",
]
[[package]]
@@ -6573,9 +6662,9 @@ dependencies = [
[[package]]
name = "rustix"
-version = "0.36.15"
+version = "0.36.17"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c37f1bd5ef1b5422177b7646cba67430579cfe2ace80f284fee876bca52ad941"
+checksum = "305efbd14fde4139eb501df5f136994bb520b033fa9fbdce287507dc23b8c7ed"
dependencies = [
"bitflags 1.3.2",
"errno",
@@ -6587,60 +6676,87 @@ dependencies = [
[[package]]
name = "rustix"
-version = "0.37.23"
+version = "0.38.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4d69718bf81c6127a49dc64e44a742e8bb9213c0ff8869a22c308f84c1d4ab06"
+checksum = "322394588aaf33c24007e8bb3238ee3e4c5c09c084ab32bc73890b99ff326bca"
dependencies = [
- "bitflags 1.3.2",
- "errno",
- "io-lifetimes",
- "libc",
- "linux-raw-sys 0.3.8",
- "windows-sys 0.48.0",
-]
-
-[[package]]
-name = "rustix"
-version = "0.38.13"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d7db8590df6dfcd144d22afd1b83b36c21a18d7cbc1dc4bb5295a8712e9eb662"
-dependencies = [
- "bitflags 2.4.0",
+ "bitflags 2.4.2",
"errno",
"libc",
- "linux-raw-sys 0.4.7",
- "windows-sys 0.48.0",
+ "linux-raw-sys 0.4.13",
+ "windows-sys 0.52.0",
]
[[package]]
name = "rustls"
-version = "0.21.7"
+version = "0.21.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cd8d6c9f025a446bc4d18ad9632e69aec8f287aa84499ee335599fabd20c3fd8"
+checksum = "f9d5a6813c0759e4609cd494e8e725babae6a2ca7b62a5536a13daaec6fcb7ba"
dependencies = [
"log",
- "ring",
- "rustls-webpki",
+ "ring 0.17.7",
+ "rustls-webpki 0.101.7",
"sct",
]
[[package]]
-name = "rustls-pemfile"
-version = "1.0.3"
+name = "rustls"
+version = "0.22.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2d3987094b1d07b653b7dfdc3f70ce9a1da9c51ac18c1b06b662e4f9a0e9f4b2"
+checksum = "e87c9956bd9807afa1f77e0f7594af32566e830e088a5576d27c5b6f30f49d41"
dependencies = [
- "base64 0.21.4",
+ "log",
+ "ring 0.17.7",
+ "rustls-pki-types",
+ "rustls-webpki 0.102.1",
+ "subtle",
+ "zeroize",
+]
+
+[[package]]
+name = "rustls-pemfile"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1c74cae0a4cf6ccbbf5f359f08efdf8ee7e1dc532573bf0db71968cb56b1448c"
+dependencies = [
+ "base64 0.21.7",
+]
+
+[[package]]
+name = "rustls-pemfile"
+version = "2.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "35e4980fa29e4c4b212ffb3db068a564cbf560e51d3944b7c88bd8bf5bec64f4"
+dependencies = [
+ "base64 0.21.7",
+ "rustls-pki-types",
+]
+
+[[package]]
+name = "rustls-pki-types"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9e9d979b3ce68192e42760c7810125eb6cf2ea10efae545a156063e61f314e2a"
+
+[[package]]
+name = "rustls-webpki"
+version = "0.101.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8b6275d1ee7a1cd780b64aca7726599a1dbc893b1e64144529e55c3c2f745765"
+dependencies = [
+ "ring 0.17.7",
+ "untrusted 0.9.0",
]
[[package]]
name = "rustls-webpki"
-version = "0.101.5"
+version = "0.102.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "45a27e3b59326c16e23d30aeb7a36a24cc0d29e71d68ff611cdfb4a01d013bed"
+checksum = "ef4ca26037c909dedb327b48c3327d0ba91d3dd3c4e05dad328f210ffb68e95b"
dependencies = [
- "ring",
- "untrusted",
+ "ring 0.17.7",
+ "rustls-pki-types",
+ "untrusted 0.9.0",
]
[[package]]
@@ -6652,8 +6768,7 @@ checksum = "7ffc183a10b4478d04cbbbfc96d0873219d962dd5accaff2ffbd4ceb7df837f4"
[[package]]
name = "rw-stream-sink"
version = "0.4.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d8c9026ff5d2f23da5e45bbc283f156383001bfb09c4e44256d02c1a685fe9a1"
+source = "git+https://github.com/sigp/rust-libp2p/?rev=cfa3275ca17e502799ed56e555b6c0611752e369#cfa3275ca17e502799ed56e555b6c0611752e369"
dependencies = [
"futures",
"pin-project",
@@ -6662,9 +6777,9 @@ dependencies = [
[[package]]
name = "ryu"
-version = "1.0.15"
+version = "1.0.16"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ad4cc8da4ef723ed60bced201181d83791ad433213d8c24efffda1eec85d741"
+checksum = "f98d2aa92eebf49b69786be48e4477826b256916e84a57ff2a4f21923b48eb4c"
[[package]]
name = "safe_arith"
@@ -6690,23 +6805,23 @@ dependencies = [
[[package]]
name = "scale-info"
-version = "2.9.0"
+version = "2.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "35c0a159d0c45c12b20c5a844feb1fe4bea86e28f17b92a5f0c42193634d3782"
+checksum = "7f7d66a1128282b7ef025a8ead62a4a9fcf017382ec53b8ffbf4d7bf77bd3c60"
dependencies = [
"cfg-if",
"derive_more",
- "parity-scale-codec 3.6.5",
+ "parity-scale-codec 3.6.9",
"scale-info-derive",
]
[[package]]
name = "scale-info-derive"
-version = "2.9.0"
+version = "2.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "912e55f6d20e0e80d63733872b40e1227c0bce1e1ab81ba67d696339bfd7fd29"
+checksum = "abf2c68b89cafb3b8d918dd07b42be0da66ff202cf1155c5739a4e0c1ea0dc19"
dependencies = [
- "proc-macro-crate",
+ "proc-macro-crate 1.3.1",
"proc-macro2",
"quote",
"syn 1.0.109",
@@ -6714,11 +6829,11 @@ dependencies = [
[[package]]
name = "schannel"
-version = "0.1.22"
+version = "0.1.23"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0c3733bf4cf7ea0880754e19cb5a462007c4a8c1914bff372ccc95b464f1df88"
+checksum = "fbc91545643bcf3a0bbb6569265615222618bdf33ce4ffbbd13c4bbd4c093534"
dependencies = [
- "windows-sys 0.48.0",
+ "windows-sys 0.52.0",
]
[[package]]
@@ -6756,12 +6871,12 @@ dependencies = [
[[package]]
name = "sct"
-version = "0.7.0"
+version = "0.7.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d53dcdb7c9f8158937a7981b48accfd39a43af418591a5d008c7b22b5e1b7ca4"
+checksum = "da046153aa2352493d6cb7da4b6e5c0c057d8a1d0a9aa8560baffdd945acd414"
dependencies = [
- "ring",
- "untrusted",
+ "ring 0.17.7",
+ "untrusted 0.9.0",
]
[[package]]
@@ -6817,9 +6932,9 @@ dependencies = [
[[package]]
name = "semver"
-version = "1.0.18"
+version = "1.0.21"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b0293b4b29daaf487284529cc2f5675b8e57c61f70167ba415a463651fd6a918"
+checksum = "b97ed7a9823b74f99c7742f5336af7be5ecd3eeafcb1507d1fa93347b1d589b0"
dependencies = [
"serde",
]
@@ -6840,24 +6955,13 @@ dependencies = [
[[package]]
name = "serde"
-version = "1.0.188"
+version = "1.0.195"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cf9e0fcba69a370eed61bcf2b728575f726b50b55cba78064753d708ddc7549e"
+checksum = "63261df402c67811e9ac6def069e4786148c4563f4b50fd4bf30aa370d626b02"
dependencies = [
"serde_derive",
]
-[[package]]
-name = "serde-hex"
-version = "0.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ca37e3e4d1b39afd7ff11ee4e947efae85adfddf4841787bfa47c470e96dc26d"
-dependencies = [
- "array-init",
- "serde",
- "smallvec 0.6.14",
-]
-
[[package]]
name = "serde_array_query"
version = "0.1.0"
@@ -6880,20 +6984,20 @@ dependencies = [
[[package]]
name = "serde_derive"
-version = "1.0.188"
+version = "1.0.195"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4eca7ac642d82aa35b60049a6eccb4be6be75e599bd2e9adb5f875a737654af2"
+checksum = "46fe8f8603d81ba86327b23a2e9cdf49e1255fb94a4c5f297f6ee0547178ea2c"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.37",
+ "syn 2.0.48",
]
[[package]]
name = "serde_json"
-version = "1.0.107"
+version = "1.0.111"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6b420ce6e3d8bd882e9b243c6eed35dbc9a6110c9769e74b584e0d68d1f20c65"
+checksum = "176e46fa42316f18edd598015a5166857fc835ec732f5215eac6b7bdbf0a84f4"
dependencies = [
"itoa",
"ryu",
@@ -6902,9 +7006,9 @@ dependencies = [
[[package]]
name = "serde_path_to_error"
-version = "0.1.14"
+version = "0.1.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4beec8bce849d58d06238cb50db2e1c417cfeafa4c63f692b15c82b7c80f8335"
+checksum = "ebd154a240de39fdebcf5775d2675c204d7c13cf39a4c697be6493c8e734337c"
dependencies = [
"itoa",
"serde",
@@ -6912,20 +7016,20 @@ dependencies = [
[[package]]
name = "serde_repr"
-version = "0.1.16"
+version = "0.1.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8725e1dfadb3a50f7e5ce0b1a540466f6ed3fe7a0fca2ac2b8b831d31316bd00"
+checksum = "0b2e6b945e9d3df726b65d6ee24060aff8e3533d431f677a9695db04eff9dfdb"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.37",
+ "syn 2.0.48",
]
[[package]]
name = "serde_spanned"
-version = "0.6.3"
+version = "0.6.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "96426c9936fd7a0124915f9185ea1d20aa9445cc9821142f0a73bc9207a2e186"
+checksum = "eb3622f419d1296904700073ea6cc23ad690adbd66f13ea683df73298736f0c1"
dependencies = [
"serde",
]
@@ -6966,21 +7070,22 @@ dependencies = [
[[package]]
name = "serde_yaml"
-version = "0.8.26"
+version = "0.9.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "578a7433b776b56a35785ed5ce9a7e777ac0598aac5a6dd1b4b18a307c7fc71b"
+checksum = "b1bf28c79a99f70ee1f1d83d10c875d2e70618417fda01ad1785e027579d9d38"
dependencies = [
- "indexmap 1.9.3",
+ "indexmap 2.1.0",
+ "itoa",
"ryu",
"serde",
- "yaml-rust",
+ "unsafe-libyaml",
]
[[package]]
name = "sha1"
-version = "0.10.5"
+version = "0.10.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f04293dc80c3993519f2d7f6f511707ee7094fe0c6d3406feb330cdb3540eba3"
+checksum = "e3bf829a2d51ab4a5ddf1352d8470c140cadc8301b2ae1789db023f01cedd6ba"
dependencies = [
"cfg-if",
"cpufeatures",
@@ -7002,9 +7107,9 @@ dependencies = [
[[package]]
name = "sha2"
-version = "0.10.7"
+version = "0.10.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "479fb9d862239e610720565ca91403019f2f00410f1864c5aa7479b950a76ed8"
+checksum = "793db75ad2bcafc3ffa7c68b215fee268f537982cd901d132f89c6343f3a3dc8"
dependencies = [
"cfg-if",
"cpufeatures",
@@ -7035,18 +7140,18 @@ dependencies = [
[[package]]
name = "sharded-slab"
-version = "0.1.4"
+version = "0.1.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "900fba806f70c630b0a382d0d825e17a0f19fcd059a2ade1ff237bcddf446b31"
+checksum = "f40ca3c46823713e0d4209592e8d6e826aa57e928f09752619fc696c499637f6"
dependencies = [
"lazy_static",
]
[[package]]
name = "shlex"
-version = "1.2.0"
+version = "1.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a7cee0529a6d40f580e7a5e6c495c8fbfe21b7b52795ed4bb5e62cdf92bc6380"
+checksum = "0fda2ff0d084019ba4d7c6f371c95d8fd75ce3524c3cb8fb653a3023f6323e64"
[[package]]
name = "signal-hook-registry"
@@ -7064,17 +7169,17 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "74233d3b3b2f6d4b006dc19dee745e73e2a6bfb6f93607cd3b02bd5b00797d7c"
dependencies = [
"digest 0.10.7",
- "rand_core 0.6.4",
+ "rand_core",
]
[[package]]
name = "signature"
-version = "2.1.0"
+version = "2.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5e1788eed21689f9cf370582dfc467ef36ed9c707f073528ddafa8d83e3b8500"
+checksum = "77549399552de45a898a580c1b41d445bf730df867cc44e6c0233bbc4b8329de"
dependencies = [
"digest 0.10.7",
- "rand_core 0.6.4",
+ "rand_core",
]
[[package]]
@@ -7138,14 +7243,13 @@ dependencies = [
"lmdb-rkv",
"lmdb-rkv-sys",
"logging",
- "lru 0.7.8",
+ "lru",
"maplit",
"parking_lot 0.12.1",
"rand",
"rayon",
"safe_arith",
"serde",
- "serde_derive",
"slog",
"sloggers",
"strum",
@@ -7185,7 +7289,6 @@ dependencies = [
"rayon",
"rusqlite",
"serde",
- "serde_derive",
"serde_json",
"tempfile",
"types",
@@ -7196,6 +7299,9 @@ name = "slog"
version = "2.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "8347046d4ebd943127157b94d63abb990fcf729dc4e9978927fdf4ac3c998d06"
+dependencies = [
+ "erased-serde",
+]
[[package]]
name = "slog-async"
@@ -7302,47 +7408,38 @@ dependencies = [
[[package]]
name = "smallvec"
-version = "0.6.14"
+version = "1.13.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b97fcaeba89edba30f044a10c6a3cc39df9c3f17d7cd829dd1446cab35f890e0"
-dependencies = [
- "maybe-uninit",
-]
-
-[[package]]
-name = "smallvec"
-version = "1.11.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "62bb4feee49fdd9f707ef802e22365a35de4b7b299de4763d44bfea899442ff9"
+checksum = "e6ecd384b10a64542d77071bd64bd7b231f4ed5940fba55e98c3de13824cf3d7"
[[package]]
name = "snap"
-version = "1.1.0"
+version = "1.1.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5e9f0ab6ef7eb7353d9119c170a436d1bf248eea575ac42d19d12f4e34130831"
+checksum = "1b6b67fb9a61334225b5b790716f609cd58395f895b3fe8b328786812a40bc3b"
[[package]]
name = "snow"
-version = "0.9.3"
+version = "0.9.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0c9d1425eb528a21de2755c75af4c9b5d57f50a0d4c3b7f1828a4cd03f8ba155"
+checksum = "58021967fd0a5eeeb23b08df6cc244a4d4a5b4aec1d27c9e02fad1a58b4cd74e"
dependencies = [
- "aes-gcm",
+ "aes-gcm 0.10.3",
"blake2",
"chacha20poly1305",
- "curve25519-dalek 4.1.0",
- "rand_core 0.6.4",
- "ring",
+ "curve25519-dalek",
+ "rand_core",
+ "ring 0.17.7",
"rustc_version",
- "sha2 0.10.7",
+ "sha2 0.10.8",
"subtle",
]
[[package]]
name = "socket2"
-version = "0.4.9"
+version = "0.4.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "64a4a911eed85daf18834cfaa86a79b7d266ff93ff5ba14005426219480ed662"
+checksum = "9f7916fc008ca5542385b89a3d3ce689953c143e9304a9bf8beec1de48994c0d"
dependencies = [
"libc",
"winapi",
@@ -7350,9 +7447,9 @@ dependencies = [
[[package]]
name = "socket2"
-version = "0.5.4"
+version = "0.5.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4031e820eb552adee9295814c0ced9e5cf38ddf1e8b7d566d6de8e2538ea989e"
+checksum = "7b5fac59a5cb5dd637972e5fca70daf0523c9067fcdc4842f053dae04a18f8e9"
dependencies = [
"libc",
"windows-sys 0.48.0",
@@ -7364,6 +7461,12 @@ version = "0.5.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "6e63cff320ae2c57904679ba7cb63280a3dc4613885beafb148ee7bf9aa9042d"
+[[package]]
+name = "spin"
+version = "0.9.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6980e8d7511241f8acf4aebddbb1ff938df5eebe98691418c4468d0b72a96a67"
+
[[package]]
name = "spki"
version = "0.6.0"
@@ -7376,39 +7479,14 @@ dependencies = [
[[package]]
name = "spki"
-version = "0.7.2"
+version = "0.7.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9d1e996ef02c474957d681f1b05213dfb0abab947b446a62d37770b23500184a"
+checksum = "d91ed6c858b01f942cd56b37a94b3e0a1798290327d1236e4d9cf4eaca44d29d"
dependencies = [
"base64ct",
"der 0.7.8",
]
-[[package]]
-name = "ssz_rs"
-version = "0.9.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "057291e5631f280978fa9c8009390663ca4613359fc1318e36a8c24c392f6d1f"
-dependencies = [
- "bitvec 1.0.1",
- "hex",
- "num-bigint",
- "serde",
- "sha2 0.9.9",
- "ssz_rs_derive",
-]
-
-[[package]]
-name = "ssz_rs_derive"
-version = "0.9.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f07d54c4d01a1713eb363b55ba51595da15f6f1211435b71466460da022aa140"
-dependencies = [
- "proc-macro2",
- "quote",
- "syn 1.0.109",
-]
-
[[package]]
name = "ssz_types"
version = "0.5.4"
@@ -7422,7 +7500,7 @@ dependencies = [
"itertools",
"serde",
"serde_derive",
- "smallvec 1.11.0",
+ "smallvec",
"tree_hash",
"typenum",
]
@@ -7447,7 +7525,7 @@ dependencies = [
"merkle_proof",
"rayon",
"safe_arith",
- "smallvec 1.11.0",
+ "smallvec",
"ssz_types",
"tokio",
"tree_hash",
@@ -7485,10 +7563,9 @@ dependencies = [
"lazy_static",
"leveldb",
"lighthouse_metrics",
- "lru 0.7.8",
+ "lru",
"parking_lot 0.12.1",
"serde",
- "serde_derive",
"slog",
"sloggers",
"state_processing",
@@ -7544,9 +7621,9 @@ dependencies = [
[[package]]
name = "subtle"
-version = "2.4.1"
+version = "2.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6bdef32e8150c2a081110b42772ffe7d7c9032b606bc226c8260fd97e0976601"
+checksum = "81cdd64d312baedb58e21336b31bc043b77e01cc99033ce76ef539f78e965ebc"
[[package]]
name = "superstruct"
@@ -7558,7 +7635,7 @@ dependencies = [
"itertools",
"proc-macro2",
"quote",
- "smallvec 1.11.0",
+ "smallvec",
"syn 1.0.109",
]
@@ -7584,9 +7661,9 @@ dependencies = [
[[package]]
name = "syn"
-version = "2.0.37"
+version = "2.0.48"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7303ef2c05cd654186cb250d29049a24840ca25d2747c25c0381c8d9e2f582e8"
+checksum = "0f3531638e407dfc0814761abb7c00a5b54992b849452a0646b7f65c9f770f3f"
dependencies = [
"proc-macro2",
"quote",
@@ -7654,7 +7731,6 @@ dependencies = [
"lighthouse_network",
"parking_lot 0.12.1",
"serde",
- "serde_derive",
"serde_json",
"sysinfo",
"types",
@@ -7700,15 +7776,15 @@ dependencies = [
[[package]]
name = "tempfile"
-version = "3.8.0"
+version = "3.9.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cb94d2f3cc536af71caac6b6fcebf65860b347e7ce0cc9ebe8f70d3e521054ef"
+checksum = "01ce4141aa927a6d1bd34a041795abd0db1cccba5d5f24b009f694bdf3a1f3fa"
dependencies = [
"cfg-if",
- "fastrand 2.0.0",
- "redox_syscall 0.3.5",
- "rustix 0.38.13",
- "windows-sys 0.48.0",
+ "fastrand",
+ "redox_syscall 0.4.1",
+ "rustix 0.38.30",
+ "windows-sys 0.52.0",
]
[[package]]
@@ -7724,9 +7800,9 @@ dependencies = [
[[package]]
name = "termcolor"
-version = "1.2.0"
+version = "1.4.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "be55cf8942feac5c765c2c993422806843c9a9a45d4d5c407ad6dd2ea95eb9b6"
+checksum = "06794f8f6c5c898b3275aebefa6b8a1cb24cd2c6c79397ab15774837a0bc5755"
dependencies = [
"winapi-util",
]
@@ -7749,8 +7825,9 @@ dependencies = [
[[package]]
name = "testcontainers"
-version = "0.14.0"
-source = "git+https://github.com/testcontainers/testcontainers-rs/?rev=0f2c9851#0f2c985160e51a200cfc847097c15b8d85ed7df1"
+version = "0.15.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f83d2931d7f521af5bae989f716c3fa43a6af9af7ec7a5e21b59ae40878cec00"
dependencies = [
"bollard-stubs",
"futures",
@@ -7760,7 +7837,7 @@ dependencies = [
"rand",
"serde",
"serde_json",
- "sha2 0.10.7",
+ "sha2 0.10.8",
]
[[package]]
@@ -7774,22 +7851,22 @@ dependencies = [
[[package]]
name = "thiserror"
-version = "1.0.48"
+version = "1.0.56"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9d6d7a740b8a666a7e828dd00da9c0dc290dff53154ea77ac109281de90589b7"
+checksum = "d54378c645627613241d077a3a79db965db602882668f9136ac42af9ecb730ad"
dependencies = [
"thiserror-impl",
]
[[package]]
name = "thiserror-impl"
-version = "1.0.48"
+version = "1.0.56"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "49922ecae66cc8a249b77e68d1d0623c1b2c514f0060c27cdc68bd62a1219d35"
+checksum = "fa0faa943b50f3db30a20aa7e265dbc66076993efed8463e8de414e5d06d3471"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.37",
+ "syn 2.0.48",
]
[[package]]
@@ -7813,14 +7890,15 @@ dependencies = [
[[package]]
name = "time"
-version = "0.3.28"
+version = "0.3.31"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "17f6bb557fd245c28e6411aa56b6403c689ad95061f50e4be16c274e70a17e48"
+checksum = "f657ba42c3f86e7680e53c8cd3af8abbe56b5491790b46e22e19c0d57463583e"
dependencies = [
"deranged",
"itoa",
"libc",
"num_threads",
+ "powerfmt",
"serde",
"time-core",
"time-macros",
@@ -7828,15 +7906,15 @@ dependencies = [
[[package]]
name = "time-core"
-version = "0.1.1"
+version = "0.1.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7300fbefb4dadc1af235a9cef3737cea692a9d97e1b9cbcd4ebdae6f8868e6fb"
+checksum = "ef927ca75afb808a4d64dd374f00a2adf8d0fcff8e7b184af886c3c87ec4a3f3"
[[package]]
name = "time-macros"
-version = "0.2.14"
+version = "0.2.16"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1a942f44339478ef67935ab2bbaec2fb0322496cf3cbe84b261e06ac3814c572"
+checksum = "26197e33420244aeb70c3e8c78376ca46571bc4e701e4791c2cd9f57dcb3a43f"
dependencies = [
"time-core",
]
@@ -7864,7 +7942,7 @@ dependencies = [
"pbkdf2 0.11.0",
"rand",
"rustc-hash",
- "sha2 0.10.7",
+ "sha2 0.10.8",
"thiserror",
"unicode-normalization",
"wasm-bindgen",
@@ -7907,19 +7985,18 @@ checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20"
[[package]]
name = "tokio"
-version = "1.32.0"
+version = "1.35.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "17ed6077ed6cd6c74735e21f37eb16dc3935f96878b1fe961074089cc80893f9"
+checksum = "c89b4efa943be685f629b149f53829423f8f5531ea21249408e8e2f8671ec104"
dependencies = [
"backtrace",
"bytes",
"libc",
"mio",
"num_cpus",
- "parking_lot 0.12.1",
"pin-project-lite",
"signal-hook-registry",
- "socket2 0.5.4",
+ "socket2 0.5.5",
"tokio-macros",
"windows-sys 0.48.0",
]
@@ -7936,13 +8013,13 @@ dependencies = [
[[package]]
name = "tokio-macros"
-version = "2.1.0"
+version = "2.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "630bdcf245f78637c13ec01ffae6187cca34625e8c63150d424b59e55af2675e"
+checksum = "5b8a1e28f2deaa14e508979454cb3a223b10b938b45af148bc0986de36f1923b"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.37",
+ "syn 2.0.48",
]
[[package]]
@@ -7975,9 +8052,9 @@ dependencies = [
"postgres-protocol",
"postgres-types",
"rand",
- "socket2 0.5.4",
+ "socket2 0.5.5",
"tokio",
- "tokio-util 0.7.8",
+ "tokio-util 0.7.10",
"whoami",
]
@@ -7987,7 +8064,18 @@ version = "0.24.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "c28327cf380ac148141087fbfb9de9d7bd4e84ab5d2c28fbc911d753de8a7081"
dependencies = [
- "rustls",
+ "rustls 0.21.10",
+ "tokio",
+]
+
+[[package]]
+name = "tokio-rustls"
+version = "0.25.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "775e0c0f0adb3a2f22a00c4745d728b479985fc15ee7ca6a2608388c5569860f"
+dependencies = [
+ "rustls 0.22.2",
+ "rustls-pki-types",
"tokio",
]
@@ -8000,7 +8088,7 @@ dependencies = [
"futures-core",
"pin-project-lite",
"tokio",
- "tokio-util 0.7.8",
+ "tokio-util 0.7.10",
]
[[package]]
@@ -8021,9 +8109,9 @@ dependencies = [
[[package]]
name = "tokio-util"
-version = "0.7.8"
+version = "0.7.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "806fe8c2c87eccc8b3267cbae29ed3ab2d0bd37fca70ab622e46aaa9375ddb7d"
+checksum = "5419f34732d9eb6ee4c3578b7989078579b7f039cbbb9ca2c4da015749371e15"
dependencies = [
"bytes",
"futures-core",
@@ -8052,14 +8140,14 @@ dependencies = [
"serde",
"serde_spanned",
"toml_datetime",
- "toml_edit",
+ "toml_edit 0.19.15",
]
[[package]]
name = "toml_datetime"
-version = "0.6.3"
+version = "0.6.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7cda73e2f1397b1262d6dfdcef8aafae14d1de7748d66822d3bfeeb6d03e5e4b"
+checksum = "3550f4e9685620ac18a50ed434eb3aec30db8ba93b0287467bca5826ea25baf1"
dependencies = [
"serde",
]
@@ -8070,13 +8158,24 @@ version = "0.19.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "1b5bb770da30e5cbfde35a2d7b9b8a2c4b8ef89548a7a6aeab5c9a576e3e7421"
dependencies = [
- "indexmap 2.0.0",
+ "indexmap 2.1.0",
"serde",
"serde_spanned",
"toml_datetime",
"winnow",
]
+[[package]]
+name = "toml_edit"
+version = "0.20.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "70f427fce4d84c72b5b732388bf4a9f4531b53f74e2887e3ecb2481f68f66d81"
+dependencies = [
+ "indexmap 2.1.0",
+ "toml_datetime",
+ "winnow",
+]
+
[[package]]
name = "tower"
version = "0.4.13"
@@ -8107,11 +8206,10 @@ checksum = "b6bc1c9ce2b5135ac7f93c72918fc37feb872bdc6a5533a8b85eb4b86bfdae52"
[[package]]
name = "tracing"
-version = "0.1.37"
+version = "0.1.40"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8ce8c33a8d48bd45d624a6e523445fd21ec13d3653cd51f681abf67418f54eb8"
+checksum = "c3523ab5a71916ccf420eebdf5521fcef02141234bbc0b8a49f2fdc4544364ef"
dependencies = [
- "cfg-if",
"log",
"pin-project-lite",
"tracing-attributes",
@@ -8119,21 +8217,33 @@ dependencies = [
]
[[package]]
-name = "tracing-attributes"
-version = "0.1.26"
+name = "tracing-appender"
+version = "0.2.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5f4f31f56159e98206da9efd823404b79b6ef3143b4a7ab76e67b1751b25a4ab"
+checksum = "3566e8ce28cc0a3fe42519fc80e6b4c943cc4c8cef275620eb8dac2d3d4e06cf"
+dependencies = [
+ "crossbeam-channel",
+ "thiserror",
+ "time",
+ "tracing-subscriber",
+]
+
+[[package]]
+name = "tracing-attributes"
+version = "0.1.27"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "34704c8d6ebcbc939824180af020566b01a7c01f80641264eba0999f6c2b6be7"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.37",
+ "syn 2.0.48",
]
[[package]]
name = "tracing-core"
-version = "0.1.31"
+version = "0.1.32"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0955b8137a1df6f1a2e9a37d8a6656291ff0297c1a97c24e0d8425fe2312f79a"
+checksum = "c06d3da6113f116aaee68e4d601191614c9053067f9ab7f6edbcb161237daa54"
dependencies = [
"once_cell",
"valuable",
@@ -8151,27 +8261,27 @@ dependencies = [
[[package]]
name = "tracing-log"
-version = "0.1.3"
+version = "0.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "78ddad33d2d10b1ed7eb9d1f518a5674713876e97e5bb9b7345a7984fbb4f922"
+checksum = "ee855f1f400bd0e5c02d150ae5de3840039a3f54b025156404e34c23c03f47c3"
dependencies = [
- "lazy_static",
"log",
+ "once_cell",
"tracing-core",
]
[[package]]
name = "tracing-subscriber"
-version = "0.3.17"
+version = "0.3.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "30a651bc37f915e81f087d86e62a18eec5f79550c7faff886f7090b4ea757c77"
+checksum = "ad0f048c97dbd9faa9b7df56362b8ebcaa52adb06b498c050d2f4e32f90a7a8b"
dependencies = [
"matchers",
"nu-ansi-term",
"once_cell",
"regex",
"sharded-slab",
- "smallvec 1.11.0",
+ "smallvec",
"thread_local",
"tracing",
"tracing-core",
@@ -8205,7 +8315,7 @@ checksum = "5c998ac5fe2b07c025444bdd522e6258110b63861c6698eedc610c071980238d"
dependencies = [
"ethereum-types 0.14.1",
"ethereum_hashing",
- "smallvec 1.11.0",
+ "smallvec",
]
[[package]]
@@ -8229,57 +8339,11 @@ dependencies = [
"rlp",
]
-[[package]]
-name = "trust-dns-proto"
-version = "0.22.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4f7f83d1e4a0e4358ac54c5c3681e5d7da5efc5a7a632c90bb6d6669ddd9bc26"
-dependencies = [
- "async-trait",
- "cfg-if",
- "data-encoding",
- "enum-as-inner",
- "futures-channel",
- "futures-io",
- "futures-util",
- "idna 0.2.3",
- "ipnet",
- "lazy_static",
- "rand",
- "smallvec 1.11.0",
- "socket2 0.4.9",
- "thiserror",
- "tinyvec",
- "tokio",
- "tracing",
- "url",
-]
-
-[[package]]
-name = "trust-dns-resolver"
-version = "0.22.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "aff21aa4dcefb0a1afbfac26deb0adc93888c7d295fb63ab273ef276ba2b7cfe"
-dependencies = [
- "cfg-if",
- "futures-util",
- "ipconfig",
- "lazy_static",
- "lru-cache",
- "parking_lot 0.12.1",
- "resolv-conf",
- "smallvec 1.11.0",
- "thiserror",
- "tokio",
- "tracing",
- "trust-dns-proto",
-]
-
[[package]]
name = "try-lock"
-version = "0.2.4"
+version = "0.2.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3528ecfd12c466c6f163363caf2d02a71161dd5e1cc6ae7b34207ea2d42d81ed"
+checksum = "e421abadd41a4225275504ea4d6566923418b7f05506fbc9c0fe86ba7396114b"
[[package]]
name = "typenum"
@@ -8308,6 +8372,7 @@ dependencies = [
"hex",
"int_to_bytes",
"itertools",
+ "kzg",
"lazy_static",
"log",
"maplit",
@@ -8322,12 +8387,10 @@ dependencies = [
"rusqlite",
"safe_arith",
"serde",
- "serde_derive",
"serde_json",
- "serde_with",
"serde_yaml",
"slog",
- "smallvec 1.11.0",
+ "smallvec",
"ssz_types",
"state_processing",
"strum",
@@ -8370,9 +8433,9 @@ dependencies = [
[[package]]
name = "unicode-bidi"
-version = "0.3.13"
+version = "0.3.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "92888ba5573ff080736b3648696b70cafad7d250551175acbaa4e0385b3e1460"
+checksum = "08f95100a766bf4f8f28f90d77e0a5461bbdb219042e7679bebe79004fed8d75"
[[package]]
name = "unicode-ident"
@@ -8391,9 +8454,9 @@ dependencies = [
[[package]]
name = "unicode-width"
-version = "0.1.10"
+version = "0.1.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c0edd1e5b14653f783770bce4a4dabb4a5108a5370a5f5d8cfe8710c361f6c8b"
+checksum = "e51733f11c9c4f72aa0c160008246859e340b00807569a0da0e7a1079b27ba85"
[[package]]
name = "unicode-xid"
@@ -8403,14 +8466,30 @@ checksum = "f962df74c8c05a667b5ee8bcf162993134c104e96440b663c8daa176dc772d8c"
[[package]]
name = "universal-hash"
-version = "0.4.1"
+version = "0.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9f214e8f697e925001e66ec2c6e37a4ef93f0f78c2eed7814394e10c62025b05"
+checksum = "8326b2c654932e3e4f9196e69d08fdf7cfd718e1dc6f66b347e6024a0c961402"
dependencies = [
"generic-array",
"subtle",
]
+[[package]]
+name = "universal-hash"
+version = "0.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fc1de2c688dc15305988b563c3854064043356019f97a4b46276fe734c4f07ea"
+dependencies = [
+ "crypto-common",
+ "subtle",
+]
+
+[[package]]
+name = "unsafe-libyaml"
+version = "0.2.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ab4c90930b95a82d00dc9e9ac071b4991924390d46cbd0dfe566148667605e4b"
+
[[package]]
name = "unsigned-varint"
version = "0.6.0"
@@ -8426,6 +8505,12 @@ name = "unsigned-varint"
version = "0.7.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "6889a77d49f1f013504cec6bf97a2c730394adedaeb1deb5ea08949a50541105"
+
+[[package]]
+name = "unsigned-varint"
+version = "0.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eb066959b24b5196ae73cb057f45598450d2c5f71460e98c49b738086eff9c06"
dependencies = [
"asynchronous-codec",
"bytes",
@@ -8437,6 +8522,12 @@ version = "0.7.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "a156c684c91ea7d62626509bce3cb4e1d9ed5c4d978f7b4352658f96a4c26b4a"
+[[package]]
+name = "untrusted"
+version = "0.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8ecb6da28b8a351d773b68d5825ac39017e680750f980f3a1a85cd8dd28a47c1"
+
[[package]]
name = "unused_port"
version = "0.1.0"
@@ -8448,12 +8539,12 @@ dependencies = [
[[package]]
name = "url"
-version = "2.4.1"
+version = "2.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "143b538f18257fac9cad154828a57c6bf5157e1aa604d4816b5995bf6de87ae5"
+checksum = "31e6302e3bb753d46e83516cae55ae196fc0c309407cf11ab35cc51a4c2a4633"
dependencies = [
"form_urlencoded",
- "idna 0.4.0",
+ "idna 0.5.0",
"percent-encoding",
]
@@ -8463,7 +8554,7 @@ version = "0.8.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "bc5cf98d8186244414c848017f0e2676b3fcb46807f6668a97dfe67359a3c4b7"
dependencies = [
- "getrandom 0.2.10",
+ "getrandom",
"serde",
]
@@ -8487,7 +8578,7 @@ dependencies = [
"filesystem",
"futures",
"hex",
- "hyper",
+ "hyper 1.1.0",
"itertools",
"lazy_static",
"libsecp256k1",
@@ -8500,15 +8591,15 @@ dependencies = [
"parking_lot 0.12.1",
"rand",
"reqwest",
- "ring",
+ "ring 0.16.20",
"safe_arith",
"sensitive_url",
"serde",
- "serde_derive",
"serde_json",
"slashing_protection",
"slog",
"slot_clock",
+ "strum",
"sysinfo",
"system_health",
"task_executor",
@@ -8596,12 +8687,6 @@ version = "1.0.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "6a02e4885ed3bc0f2de90ea6dd45ebcbb66dacffe03547fadbb0eeae2770887d"
-[[package]]
-name = "waker-fn"
-version = "1.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9d5b2c62b4012a3e1eca5a7e077d13b3bf498c4073e33ccd58626607748ceeca"
-
[[package]]
name = "walkdir"
version = "2.4.0"
@@ -8623,29 +8708,28 @@ dependencies = [
[[package]]
name = "warp"
-version = "0.3.5"
-source = "git+https://github.com/seanmonstar/warp.git#5ad8a9cb155f6485d13d591a564d8c70053a388a"
+version = "0.3.6"
+source = "git+https://github.com/seanmonstar/warp.git#7b07043cee0ca24e912155db4e8f6d9ab7c049ed"
dependencies = [
"bytes",
"futures-channel",
"futures-util",
"headers",
- "http",
- "hyper",
+ "http 0.2.11",
+ "hyper 0.14.28",
"log",
"mime",
"mime_guess",
"percent-encoding",
"pin-project",
- "rustls-pemfile",
+ "rustls-pemfile 2.0.0",
"scoped-tls",
"serde",
"serde_json",
"serde_urlencoded",
"tokio",
- "tokio-rustls",
- "tokio-stream",
- "tokio-util 0.7.8",
+ "tokio-rustls 0.25.0",
+ "tokio-util 0.7.10",
"tower-service",
"tracing",
]
@@ -8668,12 +8752,6 @@ dependencies = [
"warp",
]
-[[package]]
-name = "wasi"
-version = "0.9.0+wasi-snapshot-preview1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cccddf32554fecc6acb585f82a32a72e28b48f8c4c1883ddfeeeaa96f7d8e519"
-
[[package]]
name = "wasi"
version = "0.11.0+wasi-snapshot-preview1"
@@ -8682,9 +8760,9 @@ checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
[[package]]
name = "wasm-bindgen"
-version = "0.2.87"
+version = "0.2.90"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7706a72ab36d8cb1f80ffbf0e071533974a60d0a308d01a5d0375bf60499a342"
+checksum = "b1223296a201415c7fad14792dbefaace9bd52b62d33453ade1c5b5f07555406"
dependencies = [
"cfg-if",
"wasm-bindgen-macro",
@@ -8692,24 +8770,24 @@ dependencies = [
[[package]]
name = "wasm-bindgen-backend"
-version = "0.2.87"
+version = "0.2.90"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5ef2b6d3c510e9625e5fe6f509ab07d66a760f0885d858736483c32ed7809abd"
+checksum = "fcdc935b63408d58a32f8cc9738a0bffd8f05cc7c002086c6ef20b7312ad9dcd"
dependencies = [
"bumpalo",
"log",
"once_cell",
"proc-macro2",
"quote",
- "syn 2.0.37",
+ "syn 2.0.48",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-futures"
-version = "0.4.37"
+version = "0.4.40"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c02dbc21516f9f1f04f187958890d7e6026df8d16540b7ad9492bc34a67cea03"
+checksum = "bde2032aeb86bdfaecc8b261eef3cba735cc426c1f3a3416d1e0791be95fc461"
dependencies = [
"cfg-if",
"js-sys",
@@ -8719,9 +8797,9 @@ dependencies = [
[[package]]
name = "wasm-bindgen-macro"
-version = "0.2.87"
+version = "0.2.90"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dee495e55982a3bd48105a7b947fd2a9b4a8ae3010041b9e0faab3f9cd028f1d"
+checksum = "3e4c238561b2d428924c49815533a8b9121c664599558a5d9ec51f8a1740a999"
dependencies = [
"quote",
"wasm-bindgen-macro-support",
@@ -8729,22 +8807,22 @@ dependencies = [
[[package]]
name = "wasm-bindgen-macro-support"
-version = "0.2.87"
+version = "0.2.90"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "54681b18a46765f095758388f2d0cf16eb8d4169b639ab575a8f5693af210c7b"
+checksum = "bae1abb6806dc1ad9e560ed242107c0f6c84335f1749dd4e8ddb012ebd5e25a7"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.37",
+ "syn 2.0.48",
"wasm-bindgen-backend",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-shared"
-version = "0.2.87"
+version = "0.2.90"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ca6ad05a4870b2bf5fe995117d3728437bd27d7cd5f06f13c17443ef369775a1"
+checksum = "4d91413b1c31d7539ba5ef2451af3f0b833a005eb27a631cec32bc0635a8602b"
[[package]]
name = "wasm-streams"
@@ -8790,7 +8868,7 @@ dependencies = [
"eth2",
"hex",
"http_api",
- "hyper",
+ "hyper 1.1.0",
"log",
"logging",
"network",
@@ -8811,9 +8889,9 @@ dependencies = [
[[package]]
name = "web-sys"
-version = "0.3.64"
+version = "0.3.67"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9b85cbef8c220a6abc02aefd892dfc0fc23afb1c6a426316ec33253a3877249b"
+checksum = "58cd2333b6e0be7a39605f0e255892fd7418a682d8da8fe042fe25128794d2ed"
dependencies = [
"js-sys",
"wasm-bindgen",
@@ -8847,9 +8925,21 @@ dependencies = [
[[package]]
name = "webpki-roots"
-version = "0.25.2"
+version = "0.25.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "14247bb57be4f377dfb94c72830b8ce8fc6beac03cf4bf7b9732eadd414123fc"
+checksum = "1778a42e8b3b90bff8d0f5032bf22250792889a5cdc752aa0020c84abe3aaf10"
+
+[[package]]
+name = "which"
+version = "4.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "87ba24419a2078cd2b0f2ede2691b6c66d8e47836da3b6db8265ebad47afbfc7"
+dependencies = [
+ "either",
+ "home",
+ "once_cell",
+ "rustix 0.38.30",
+]
[[package]]
name = "whoami"
@@ -8897,9 +8987,9 @@ checksum = "ac3b87c63620426dd9b991e5ce0329eff545bccbbb34f3be09ff6fb6ab51b7b6"
[[package]]
name = "winapi-util"
-version = "0.1.5"
+version = "0.1.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "70ec6ce85bb158151cae5e5c87f95a8e97d2c0c4b001223f33a334e3ce5de178"
+checksum = "f29e6f9198ba0d26b4c9f07dbe6f9ed633e1f3d5b8b414090084349e46a52596"
dependencies = [
"winapi",
]
@@ -8912,23 +9002,11 @@ checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
[[package]]
name = "windows"
-version = "0.34.0"
+version = "0.51.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "45296b64204227616fdbf2614cefa4c236b98ee64dfaaaa435207ed99fe7829f"
-dependencies = [
- "windows_aarch64_msvc 0.34.0",
- "windows_i686_gnu 0.34.0",
- "windows_i686_msvc 0.34.0",
- "windows_x86_64_gnu 0.34.0",
- "windows_x86_64_msvc 0.34.0",
-]
-
-[[package]]
-name = "windows"
-version = "0.48.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e686886bc078bc1b0b600cac0147aadb815089b6e4da64016cbd754b6342700f"
+checksum = "ca229916c5ee38c2f2bc1e9d8f04df975b4bd93f9955dc69fabb5d91270045c9"
dependencies = [
+ "windows-core 0.51.1",
"windows-targets 0.48.5",
]
@@ -8944,6 +9022,24 @@ dependencies = [
"winapi",
]
+[[package]]
+name = "windows-core"
+version = "0.51.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f1f8cf84f35d2db49a46868f947758c7a1138116f7fac3bc844f43ade1292e64"
+dependencies = [
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "windows-core"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "33ab640c8d7e35bf8ba19b884ba838ceb4fba93a4e8c65a9059d08afcfc683d9"
+dependencies = [
+ "windows-targets 0.52.0",
+]
+
[[package]]
name = "windows-sys"
version = "0.45.0"
@@ -8962,6 +9058,15 @@ dependencies = [
"windows-targets 0.48.5",
]
+[[package]]
+name = "windows-sys"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "282be5f36a8ce781fad8c8ae18fa3f9beff57ec1b52cb3de0789201425d9a33d"
+dependencies = [
+ "windows-targets 0.52.0",
+]
+
[[package]]
name = "windows-targets"
version = "0.42.2"
@@ -8992,6 +9097,21 @@ dependencies = [
"windows_x86_64_msvc 0.48.5",
]
+[[package]]
+name = "windows-targets"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8a18201040b24831fbb9e4eb208f8892e1f50a37feb53cc7ff887feb8f50e7cd"
+dependencies = [
+ "windows_aarch64_gnullvm 0.52.0",
+ "windows_aarch64_msvc 0.52.0",
+ "windows_i686_gnu 0.52.0",
+ "windows_i686_msvc 0.52.0",
+ "windows_x86_64_gnu 0.52.0",
+ "windows_x86_64_gnullvm 0.52.0",
+ "windows_x86_64_msvc 0.52.0",
+]
+
[[package]]
name = "windows_aarch64_gnullvm"
version = "0.42.2"
@@ -9005,10 +9125,10 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "2b38e32f0abccf9987a4e3079dfb67dcd799fb61361e53e2882c3cbaf0d905d8"
[[package]]
-name = "windows_aarch64_msvc"
-version = "0.34.0"
+name = "windows_aarch64_gnullvm"
+version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "17cffbe740121affb56fad0fc0e421804adf0ae00891205213b5cecd30db881d"
+checksum = "cb7764e35d4db8a7921e09562a0304bf2f93e0a51bfccee0bd0bb0b666b015ea"
[[package]]
name = "windows_aarch64_msvc"
@@ -9023,10 +9143,10 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "dc35310971f3b2dbbf3f0690a219f40e2d9afcf64f9ab7cc1be722937c26b4bc"
[[package]]
-name = "windows_i686_gnu"
-version = "0.34.0"
+name = "windows_aarch64_msvc"
+version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2564fde759adb79129d9b4f54be42b32c89970c18ebf93124ca8870a498688ed"
+checksum = "bbaa0368d4f1d2aaefc55b6fcfee13f41544ddf36801e793edbbfd7d7df075ef"
[[package]]
name = "windows_i686_gnu"
@@ -9041,10 +9161,10 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "a75915e7def60c94dcef72200b9a8e58e5091744960da64ec734a6c6e9b3743e"
[[package]]
-name = "windows_i686_msvc"
-version = "0.34.0"
+name = "windows_i686_gnu"
+version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9cd9d32ba70453522332c14d38814bceeb747d80b3958676007acadd7e166956"
+checksum = "a28637cb1fa3560a16915793afb20081aba2c92ee8af57b4d5f28e4b3e7df313"
[[package]]
name = "windows_i686_msvc"
@@ -9059,10 +9179,10 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "8f55c233f70c4b27f66c523580f78f1004e8b5a8b659e05a4eb49d4166cca406"
[[package]]
-name = "windows_x86_64_gnu"
-version = "0.34.0"
+name = "windows_i686_msvc"
+version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cfce6deae227ee8d356d19effc141a509cc503dfd1f850622ec4b0f84428e1f4"
+checksum = "ffe5e8e31046ce6230cc7215707b816e339ff4d4d67c65dffa206fd0f7aa7b9a"
[[package]]
name = "windows_x86_64_gnu"
@@ -9076,6 +9196,12 @@ version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "53d40abd2583d23e4718fddf1ebec84dbff8381c07cae67ff7768bbf19c6718e"
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3d6fa32db2bc4a2f5abeacf2b69f7992cd09dca97498da74a151a3132c26befd"
+
[[package]]
name = "windows_x86_64_gnullvm"
version = "0.42.2"
@@ -9089,10 +9215,10 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "0b7b52767868a23d5bab768e390dc5f5c55825b6d30b86c844ff2dc7414044cc"
[[package]]
-name = "windows_x86_64_msvc"
-version = "0.34.0"
+name = "windows_x86_64_gnullvm"
+version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d19538ccc21819d01deaf88d6a17eae6596a12e9aafdbb97916fb49896d89de9"
+checksum = "1a657e1e9d3f514745a572a6846d3c7aa7dbe1658c056ed9c3344c4109a6949e"
[[package]]
name = "windows_x86_64_msvc"
@@ -9107,10 +9233,16 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "ed94fce61571a4006852b7389a063ab983c02eb1bb37b47f8272ce92d06d9538"
[[package]]
-name = "winnow"
-version = "0.5.15"
+name = "windows_x86_64_msvc"
+version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7c2e3184b9c4e92ad5167ca73039d0c42476302ab603e2fec4487511f38ccefc"
+checksum = "dff9641d1cd4be8d1a070daf9e3773c5f67e78b4d9d42263020c057706765c04"
+
+[[package]]
+name = "winnow"
+version = "0.5.34"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b7cf47b659b318dccbd69cc4797a39ae128f533dce7902a1096044d1967b9c16"
dependencies = [
"memchr",
]
@@ -9161,12 +9293,13 @@ dependencies = [
[[package]]
name = "x25519-dalek"
-version = "1.1.1"
+version = "2.0.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5a0c105152107e3b96f6a00a65e86ce82d9b125230e1c4302940eca58ff71f4f"
+checksum = "fb66477291e7e8d2b0ff1bcb900bf29489a9692816d79874bea351e7a8b6de96"
dependencies = [
- "curve25519-dalek 3.2.0",
- "rand_core 0.5.1",
+ "curve25519-dalek",
+ "rand_core",
+ "serde",
"zeroize",
]
@@ -9189,9 +9322,9 @@ dependencies = [
[[package]]
name = "xml-rs"
-version = "0.8.18"
+version = "0.8.19"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bab77e97b50aee93da431f2cee7cd0f43b4d1da3c408042f2d7d164187774f0a"
+checksum = "0fcb9cbac069e033553e8bb871be2fbdffcab578eb25bd0f7c508cedc6dcd75a"
[[package]]
name = "xmltree"
@@ -9213,9 +9346,9 @@ dependencies = [
[[package]]
name = "yamux"
-version = "0.12.0"
+version = "0.12.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0329ef377816896f014435162bb3711ea7a07729c23d0960e6f8048b21b8fe91"
+checksum = "9ed0164ae619f2dc144909a9f082187ebb5893693d8c0196e8085283ccd4b776"
dependencies = [
"futures",
"log",
@@ -9226,6 +9359,22 @@ dependencies = [
"static_assertions",
]
+[[package]]
+name = "yamux"
+version = "0.13.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ad1d0148b89300047e72994bee99ecdabd15a9166a7b70c8b8c37c314dcc9002"
+dependencies = [
+ "futures",
+ "instant",
+ "log",
+ "nohash-hasher",
+ "parking_lot 0.12.1",
+ "pin-project",
+ "rand",
+ "static_assertions",
+]
+
[[package]]
name = "yasna"
version = "0.5.2"
@@ -9236,10 +9385,30 @@ dependencies = [
]
[[package]]
-name = "zeroize"
-version = "1.6.0"
+name = "zerocopy"
+version = "0.7.32"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2a0956f1ba7c7909bfb66c2e9e4124ab6f6482560f6628b5aaeba39207c9aad9"
+checksum = "74d4d3961e53fa4c9a25a8637fc2bfaf2595b3d3ae34875568a5cf64787716be"
+dependencies = [
+ "zerocopy-derive",
+]
+
+[[package]]
+name = "zerocopy-derive"
+version = "0.7.32"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9ce1b18ccd8e73a9321186f97e46f9f04b778851177567b1975109d26a08d2a6"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "zeroize"
+version = "1.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "525b4ec142c6b68a2d10f01f7bbf6755599ca3f81ea53b8431b7dd348f5fdb2d"
dependencies = [
"zeroize_derive",
]
@@ -9252,7 +9421,7 @@ checksum = "ce36e65b0d2999d2aafac989fb249189a141aee1f53c612c1f37d72631959f69"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.37",
+ "syn 2.0.48",
]
[[package]]
@@ -9296,11 +9465,10 @@ dependencies = [
[[package]]
name = "zstd-sys"
-version = "2.0.8+zstd.1.5.5"
+version = "2.0.9+zstd.1.5.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5556e6ee25d32df2586c098bbfa278803692a20d0ab9565e049480d52707ec8c"
+checksum = "9e16efa8a874a0481a574084d34cc26fdb3b99627480f785888deb6386506656"
dependencies = [
"cc",
- "libc",
"pkg-config",
]
diff --git a/pkgs/applications/blockchains/lighthouse/default.nix b/pkgs/applications/blockchains/lighthouse/default.nix
index dbc4d4d4b9aa..b348233d312d 100644
--- a/pkgs/applications/blockchains/lighthouse/default.nix
+++ b/pkgs/applications/blockchains/lighthouse/default.nix
@@ -12,9 +12,9 @@
, postgresql
, protobuf
, rustPlatform
+, rust-jemalloc-sys
, Security
, sqlite
-, rust-jemalloc-sys
, stdenv
, SystemConfiguration
, testers
@@ -23,7 +23,7 @@
rustPlatform.buildRustPackage rec {
pname = "lighthouse";
- version = "4.5.0";
+ version = "4.6.0";
# lighthouse/common/deposit_contract/build.rs
depositContractSpecVersion = "0.12.1";
@@ -33,11 +33,12 @@ rustPlatform.buildRustPackage rec {
owner = "sigp";
repo = "lighthouse";
rev = "v${version}";
- hash = "sha256-UUOvTxOQXT1zfhDYEL/J6moHAyejZn7GyGS/XBmXxRQ=";
+ hash = "sha256-uMrVnVvYXcY2Axn3ycsf+Pwur3HYGoOYjjUkGS5c3l4=";
};
patches = [
./use-system-sqlite.patch
+ ./use-c-kzg-from-crates-io.patch
];
postPatch = ''
@@ -48,14 +49,11 @@ rustPlatform.buildRustPackage rec {
lockFile = ./Cargo.lock;
outputHashes = {
"amcl-0.3.0" = "sha256-kc8k/ls4W0TwFBsRcyyotyz8ZBEjsZXHeJnJtsnW/LM=";
- "anvil-rpc-0.1.0" = "sha256-L38OioxnWEn94g3GJT4j3U1cJZ8jQDHp8d1QOHaVEuU=";
- "beacon-api-client-0.1.0" = "sha256-Z0CoPxZzl2bjb8vgmHWxq2orMawhMMs7beKGopilKjE=";
- "ethereum-consensus-0.1.1" = "sha256-biTrw3yMJUo9+56QK5RGWXLCoPPZEWp18SCs+Y9QWg4=";
+ "discv5-0.4.0" = "sha256-GKAk9Du6fy0ldeBEwPueDbVPhyNxdKNROKpMJvR/OTc=";
+ "futures-bounded-0.2.3" = "sha256-/LbD+je9P1lPnXMJVDqRQHJziQPXPvSDmQadTfsQ5I8=";
"libmdbx-0.1.4" = "sha256-NMsR/Wl1JIj+YFPyeMMkrJFfoS07iEAKEQawO89a+/Q=";
"lmdb-rkv-0.14.0" = "sha256-sxmguwqqcyOlfXOZogVz1OLxfJPo+Q0+UjkROkbbOCk=";
- "mev-rs-0.3.0" = "sha256-LCO0GTvWTLcbPt7qaSlLwlKmAjt3CIHVYTT/JRXpMEo=";
- "testcontainers-0.14.0" = "sha256-mSsp21G7MLEtFROWy88Et5s07PO0tjezovCGIMh+/oQ=";
- "warp-0.3.5" = "sha256-d5e6ASdL7+Dl3KsTNOb9B5RHpStrupOKsbGWsdu9Jfk=";
+ "warp-0.3.6" = "sha256-knDt2aw/PJ0iabhKg+okwwnEzCY+vQVhE7HKCTM6QbE=";
};
};
@@ -70,8 +68,8 @@ rustPlatform.buildRustPackage rec {
];
buildInputs = [
- sqlite
rust-jemalloc-sys
+ sqlite
] ++ lib.optionals stdenv.isDarwin [
CoreFoundation
Security
@@ -102,11 +100,12 @@ rustPlatform.buildRustPackage rec {
# All of these tests require network access and/or docker
cargoTestFlags = [
"--workspace"
- "--exclude beacon_node"
"--exclude beacon_chain"
+ "--exclude beacon_node"
"--exclude http_api"
"--exclude lighthouse"
"--exclude lighthouse_network"
+ "--exclude network"
"--exclude slashing_protection"
"--exclude watch"
"--exclude web3signer_tests"
@@ -147,11 +146,17 @@ rustPlatform.buildRustPackage rec {
updateScript = nix-update-script { };
};
+ enableParallelBuilding = true;
+
+ # This is needed by the unit tests.
+ FORK_NAME = "capella";
+
meta = with lib; {
description = "Ethereum consensus client in Rust";
homepage = "https://lighthouse.sigmaprime.io/";
license = licenses.asl20;
maintainers = with maintainers; [ centromere pmw ];
mainProgram = "lighthouse";
+ broken = stdenv.hostPlatform.isDarwin;
};
}
diff --git a/pkgs/applications/blockchains/lighthouse/use-c-kzg-from-crates-io.patch b/pkgs/applications/blockchains/lighthouse/use-c-kzg-from-crates-io.patch
new file mode 100644
index 000000000000..b6cb1768636a
--- /dev/null
+++ b/pkgs/applications/blockchains/lighthouse/use-c-kzg-from-crates-io.patch
@@ -0,0 +1,11 @@
+diff --git a/crypto/kzg/Cargo.toml b/crypto/kzg/Cargo.toml
+index 7b70166f9..857fa4ee1 100644
+--- a/crypto/kzg/Cargo.toml
++++ b/crypto/kzg/Cargo.toml
+@@ -16,4 +16,4 @@ serde = { workspace = true }
+ ethereum_serde_utils = { workspace = true }
+ hex = { workspace = true }
+ ethereum_hashing = { workspace = true }
+-c-kzg = { git = "https://github.com/ethereum/c-kzg-4844", rev = "748283cced543c486145d5f3f38684becdfe3e1b"}
+\ No newline at end of file
++c-kzg = "0.4.0"
diff --git a/pkgs/applications/blockchains/lighthouse/use-system-sqlite.patch b/pkgs/applications/blockchains/lighthouse/use-system-sqlite.patch
index 9980b22c8525..88025059662e 100644
--- a/pkgs/applications/blockchains/lighthouse/use-system-sqlite.patch
+++ b/pkgs/applications/blockchains/lighthouse/use-system-sqlite.patch
@@ -1,10 +1,10 @@
diff --git a/Cargo.toml b/Cargo.toml
-index 62c0e7bd2..a089e3c5b 100644
+index ca55d00d4..76514b545 100644
--- a/Cargo.toml
+++ b/Cargo.toml
-@@ -138,7 +138,7 @@ rayon = "1.7"
+@@ -139,7 +139,7 @@ rayon = "1.7"
regex = "1"
- reqwest = { version = "0.11", default-features = false, features = ["blocking", "json", "stream", "rustls-tls"] }
+ reqwest = { version = "0.11", default-features = false, features = ["blocking", "json", "stream", "rustls-tls", "native-tls-vendored"] }
ring = "0.16"
-rusqlite = { version = "0.28", features = ["bundled"] }
+rusqlite = { version = "0.28" }
diff --git a/pkgs/applications/blockchains/litecoin/default.nix b/pkgs/applications/blockchains/litecoin/default.nix
index 85436f6023a6..c8e3140eb5de 100644
--- a/pkgs/applications/blockchains/litecoin/default.nix
+++ b/pkgs/applications/blockchains/litecoin/default.nix
@@ -26,6 +26,14 @@ mkDerivation rec {
url = "https://aur.archlinux.org/cgit/aur.git/plain/boost1770.patch?h=litecoin-qt&id=dc75ad854af123f375b5b683be64aa14573170d7";
hash = "sha256-PTkYQRA8n5a9yR2AvpzH5natsXT2W6Xjo0ONCPJx78k=";
})
+
+ # Fix gcc-13 build by adding missing headers:
+ # https://github.com/litecoin-project/litecoin/pull/929
+ (fetchpatch {
+ name = "gcc-13.patch";
+ url = "https://github.com/litecoin-project/litecoin/commit/6d1adb19aa79a8e8e140582759515bbd76816aa0.patch";
+ hash = "sha256-1y4Iz2plMw5HMAjl9x50QQpYrYaUd2WKrrAcUnQmlBY=";
+ })
];
nativeBuildInputs = [ pkg-config autoreconfHook ];
diff --git a/pkgs/applications/blockchains/lnd/default.nix b/pkgs/applications/blockchains/lnd/default.nix
index 9baa951b370f..73bd7f3afc27 100644
--- a/pkgs/applications/blockchains/lnd/default.nix
+++ b/pkgs/applications/blockchains/lnd/default.nix
@@ -6,16 +6,16 @@
buildGoModule rec {
pname = "lnd";
- version = "0.17.3-beta";
+ version = "0.17.4-beta";
src = fetchFromGitHub {
owner = "lightningnetwork";
repo = "lnd";
rev = "v${version}";
- hash = "sha256-JZ+DhFIDMRDDeW6YNeUy/pQt+IbFyZiiqFn4//S2Oao=";
+ hash = "sha256-O6cGK4UMKrZpYqtghjjqqLBStLG5GEi/Q5liR557I8s=";
};
- vendorHash = "sha256-lvysD9/26OoPCKBOGu/R95x1UKvhcLtn17bQLPT4ofM=";
+ vendorHash = "sha256-eaQmM5bfsUmzTiUALX543VBQRJK+TqW2i28npwSrn3Q=";
subPackages = [ "cmd/lncli" "cmd/lnd" ];
diff --git a/pkgs/applications/blockchains/monero-cli/default.nix b/pkgs/applications/blockchains/monero-cli/default.nix
index 9f56963bcf33..341e346b1c4d 100644
--- a/pkgs/applications/blockchains/monero-cli/default.nix
+++ b/pkgs/applications/blockchains/monero-cli/default.nix
@@ -25,13 +25,13 @@ in
stdenv.mkDerivation rec {
pname = "monero-cli";
- version = "0.18.3.1";
+ version = "0.18.3.2";
src = fetchFromGitHub {
owner = "monero-project";
repo = "monero";
rev = "v${version}";
- hash = "sha256-PYcSbwbuQm6/r9RH+vjDy7NW1AiKhK/DG1pYYt4/drg=";
+ hash = "sha256-iVxy5SKBowTd8tY1L/MrXAu3r2S7bv67up3qWf0xJiw=";
};
patches = [
diff --git a/pkgs/applications/blockchains/monero-gui/default.nix b/pkgs/applications/blockchains/monero-gui/default.nix
index c78fa74fb68e..a1ab9ff5889e 100644
--- a/pkgs/applications/blockchains/monero-gui/default.nix
+++ b/pkgs/applications/blockchains/monero-gui/default.nix
@@ -14,13 +14,13 @@
stdenv.mkDerivation rec {
pname = "monero-gui";
- version = "0.18.3.1";
+ version = "0.18.3.2";
src = fetchFromGitHub {
owner = "monero-project";
repo = "monero-gui";
rev = "v${version}";
- hash = "sha256-1xgecaScGLFbv0V5QlpettdvCcb9+xu7eO/J9MyPzmY=";
+ hash = "sha256-7/pJcEWc7zujegBnlRDMOfYpVuUDMGsQO805nYgN5PY=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/blockchains/nano-wallet/default.nix b/pkgs/applications/blockchains/nano-wallet/default.nix
index aa5222a50e7e..0dc6db9e5d7f 100644
--- a/pkgs/applications/blockchains/nano-wallet/default.nix
+++ b/pkgs/applications/blockchains/nano-wallet/default.nix
@@ -1,4 +1,6 @@
-{ lib, stdenv, fetchFromGitHub, cmake, pkg-config, wrapQtAppsHook, boost, libGL
+{ lib, stdenv, fetchFromGitHub
+, fetchpatch
+, cmake, pkg-config, wrapQtAppsHook, boost, libGL
, qtbase, python3 }:
stdenv.mkDerivation rec {
@@ -14,6 +16,17 @@ stdenv.mkDerivation rec {
hash = "sha256-YvYEXHC8kxviZLQwINs+pS61wITSfqfrrPmlR+zNRoE=";
};
+ patches = [
+ # Fix gcc-13 build failure due to missing includes.
+ (fetchpatch {
+ name = "gcc-13.patch";
+ url = "https://github.com/facebook/rocksdb/commit/88edfbfb5e1cac228f7cc31fbec24bb637fe54b1.patch";
+ stripLen = 1;
+ extraPrefix = "submodules/rocksdb/";
+ hash = "sha256-HhlIYyPzIZFuyzHTUPz3bXgXiaFSQ8pVrLLMzegjTgE=";
+ })
+ ];
+
cmakeFlags = let
options = {
PYTHON_EXECUTABLE = "${python3.interpreter}";
diff --git a/pkgs/applications/blockchains/optimism/default.nix b/pkgs/applications/blockchains/optimism/default.nix
index 40f5c0ceb2e7..02ec6b101cc5 100644
--- a/pkgs/applications/blockchains/optimism/default.nix
+++ b/pkgs/applications/blockchains/optimism/default.nix
@@ -6,19 +6,19 @@
buildGoModule rec {
pname = "optimism";
- version = "1.5.1";
+ version = "1.7.2";
src = fetchFromGitHub {
owner = "ethereum-optimism";
repo = "optimism";
rev = "op-node/v${version}";
- hash = "sha256-oVrm1mK2yw5IF7WZCwDQ1U/JdYvUPKJY/kzRSp6Pzwo=";
+ hash = "sha256-p3dbyszUeknAXrI1WqN9WS6AkEYQdVfMP90Kk/L41vM=";
fetchSubmodules = true;
};
subPackages = [ "op-node/cmd" "op-proposer/cmd" "op-batcher/cmd" ];
- vendorHash = "sha256-QDpCGfykTUIgPQxHH8qIfmOsQrcQfZ3/vwjsuvUo1Fo=";
+ vendorHash = "sha256-24zj480UU9SYqr2mV6rCJ46gwLgzilLuhqrkNKHVR28=";
buildInputs = [
libpcap
diff --git a/pkgs/applications/blockchains/particl-core/default.nix b/pkgs/applications/blockchains/particl-core/default.nix
index 942f0a71cbf4..dcd9f107b926 100644
--- a/pkgs/applications/blockchains/particl-core/default.nix
+++ b/pkgs/applications/blockchains/particl-core/default.nix
@@ -16,13 +16,13 @@
stdenv.mkDerivation rec {
pname = "particl-core";
- version = "23.0.3.0";
+ version = "23.2.7.0";
src = fetchFromGitHub {
owner = "particl";
repo = "particl-core";
rev = "v${version}";
- sha256 = "sha256-jrIsErKeHP9CMUWsrD42RmfmApP7J091OLA5JNY0fe0=";
+ hash = "sha256-RxkLt+7u+r5jNwEWiArTUpZ8ykYwWtvIDFXTSKhGN/w=";
};
nativeBuildInputs = [ pkg-config autoreconfHook ];
diff --git a/pkgs/applications/blockchains/polkadot/Cargo.lock b/pkgs/applications/blockchains/polkadot/Cargo.lock
index 0edbfa0a419a..439e4052adff 100644
--- a/pkgs/applications/blockchains/polkadot/Cargo.lock
+++ b/pkgs/applications/blockchains/polkadot/Cargo.lock
@@ -86,16 +86,16 @@ dependencies = [
[[package]]
name = "aes-gcm"
-version = "0.9.4"
+version = "0.9.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "df5f85a83a7d8b0442b6aa7b504b8212c1733da07b98aae43d4bc21b2cb3cdf6"
+checksum = "bc3be92e19a7ef47457b8e6f90707e12b6ac5d20c6f3866584fa3be0787d839f"
dependencies = [
"aead 0.4.3",
"aes 0.7.5",
"cipher 0.3.0",
- "ctr 0.8.0",
+ "ctr 0.7.0",
"ghash 0.4.4",
- "subtle 2.4.1",
+ "subtle 2.5.0",
]
[[package]]
@@ -109,14 +109,14 @@ dependencies = [
"cipher 0.4.4",
"ctr 0.9.2",
"ghash 0.5.0",
- "subtle 2.4.1",
+ "subtle 2.5.0",
]
[[package]]
name = "ahash"
-version = "0.7.6"
+version = "0.7.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fcb51a0695d8f838b1ee009b3fbf66bda078cd64590202a864a8f3e8c4315c47"
+checksum = "891477e0c6a8957309ee5c45a6368af3ae14bb510732d2684ffa19af310920f9"
dependencies = [
"getrandom 0.2.10",
"once_cell",
@@ -125,9 +125,9 @@ dependencies = [
[[package]]
name = "ahash"
-version = "0.8.7"
+version = "0.8.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "77c3a9648d43b9cd48db467b3f87fdd6e146bcc88ab0180006cef2179fe11d01"
+checksum = "42cd52102d3df161c77a887b608d7a4897d7cc112886a9537b738a887a03aaff"
dependencies = [
"cfg-if",
"getrandom 0.2.10",
@@ -191,7 +191,7 @@ checksum = "c0391754c09fab4eae3404d19d0d297aa1c670c1775ab51d8a5312afeca23157"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -206,7 +206,7 @@ dependencies = [
"proc-macro-error",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
"syn-solidity",
"tiny-keccak",
]
@@ -322,6 +322,20 @@ dependencies = [
"num-traits",
]
+[[package]]
+name = "aquamarine"
+version = "0.3.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d1da02abba9f9063d786eab1509833ebb2fac0f966862ca59439c76b9c566760"
+dependencies = [
+ "include_dir",
+ "itertools 0.10.5",
+ "proc-macro-error",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
[[package]]
name = "aquamarine"
version = "0.5.0"
@@ -333,7 +347,7 @@ dependencies = [
"proc-macro-error",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -730,12 +744,6 @@ dependencies = [
"nodrop",
]
-[[package]]
-name = "arrayvec"
-version = "0.5.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "23b62fc65de8e4e7f52534fb52b0f3ed04746ae267519eef2a83941e8085068b"
-
[[package]]
name = "arrayvec"
version = "0.7.4"
@@ -978,6 +986,7 @@ dependencies = [
"cumulus-pallet-session-benchmarking",
"cumulus-pallet-xcm",
"cumulus-pallet-xcmp-queue",
+ "cumulus-primitives-aura",
"cumulus-primitives-core",
"cumulus-primitives-utility",
"frame-benchmarking",
@@ -1049,11 +1058,11 @@ dependencies = [
"frame-support",
"frame-system",
"hex-literal",
- "pallet-asset-conversion",
"pallet-assets",
"pallet-balances",
"pallet-collator-selection",
"pallet-session",
+ "pallet-timestamp",
"pallet-xcm",
"pallet-xcm-bridge-hub-router",
"parachains-common",
@@ -1098,7 +1107,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "81953c529336010edd6d8e358f886d9581267795c61b19475b71314bffa46d35"
dependencies = [
"concurrent-queue",
- "event-listener",
+ "event-listener 2.5.3",
"futures-core",
]
@@ -1108,7 +1117,7 @@ version = "1.5.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "6fa3dc5f2a8564f07759c008b9109dc0d39de92a88d5588b8a5036d286383afb"
dependencies = [
- "async-lock",
+ "async-lock 2.8.0",
"async-task",
"concurrent-queue",
"fastrand 1.9.0",
@@ -1122,7 +1131,7 @@ version = "1.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "279cf904654eeebfa37ac9bb1598880884924aab82e290aa65c9e77a0e142e06"
dependencies = [
- "async-lock",
+ "async-lock 2.8.0",
"autocfg",
"blocking",
"futures-lite",
@@ -1134,7 +1143,7 @@ version = "1.13.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "0fc5b45d93ef0529756f812ca52e44c221b35341892d3dcc34132ac02f3dd2af"
dependencies = [
- "async-lock",
+ "async-lock 2.8.0",
"autocfg",
"cfg-if",
"concurrent-queue",
@@ -1154,7 +1163,18 @@ version = "2.8.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "287272293e9d8c41773cec55e365490fe034813a2f172f502d6ddcf75b2f582b"
dependencies = [
- "event-listener",
+ "event-listener 2.5.3",
+]
+
+[[package]]
+name = "async-lock"
+version = "3.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d034b430882f8381900d3fe6f0aaa3ad94f2cb4ac519b429692a1bc2dda4ae7b"
+dependencies = [
+ "event-listener 4.0.3",
+ "event-listener-strategy",
+ "pin-project-lite 0.2.12",
]
[[package]]
@@ -1176,11 +1196,11 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "7a9d28b1d97e08915212e2e45310d47854eafa69600756fc735fb788f75199c9"
dependencies = [
"async-io",
- "async-lock",
+ "async-lock 2.8.0",
"autocfg",
"blocking",
"cfg-if",
- "event-listener",
+ "event-listener 2.5.3",
"futures-lite",
"rustix 0.37.23",
"signal-hook",
@@ -1206,7 +1226,7 @@ checksum = "16e62a023e7c117e27523144c5d2459f4397fcc3cab0085af8e2224f643a0193"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -1223,7 +1243,7 @@ checksum = "a66537f1bb974b254c98ed142ff995236e81b9d0fe4db0575f46612cb15eb0f9"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -1308,7 +1328,7 @@ dependencies = [
"ark-std 0.4.0",
"dleq_vrf",
"fflonk",
- "merlin 3.0.0",
+ "merlin",
"rand_chacha 0.3.1",
"rand_core 0.6.4",
"ring 0.1.0",
@@ -1405,7 +1425,7 @@ dependencies = [
"regex",
"rustc-hash",
"shlex",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -1414,9 +1434,7 @@ version = "2.0.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "93f2635620bf0b9d4576eb7bb9a38a55df78bd1205d26fa994b25911a69f212f"
dependencies = [
- "bitcoin_hashes",
- "rand",
- "rand_core 0.6.4",
+ "bitcoin_hashes 0.11.0",
"serde",
"unicode-normalization",
]
@@ -1436,12 +1454,28 @@ version = "0.6.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "349f9b6a179ed607305526ca489b34ad0a41aed5f7980fa90eb03160b69598fb"
+[[package]]
+name = "bitcoin-internals"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9425c3bf7089c983facbae04de54513cce73b41c7f9ff8c845b54e7bc64ebbfb"
+
[[package]]
name = "bitcoin_hashes"
version = "0.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "90064b8dee6815a6470d60bad07bbbaee885c0e12d04177138fa3291a01b7bc4"
+[[package]]
+name = "bitcoin_hashes"
+version = "0.13.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1930a4dabfebb8d7d9992db18ebe3ae2876f0a305fab206fd168df931ede293b"
+dependencies = [
+ "bitcoin-internals",
+ "hex-conservative",
+]
+
[[package]]
name = "bitflags"
version = "1.3.2"
@@ -1533,18 +1567,6 @@ dependencies = [
"constant_time_eq 0.3.0",
]
-[[package]]
-name = "block-buffer"
-version = "0.7.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c0940dc441f31689269e10ac70eb1002a3a1d3ad1390e030043662eb7fe4688b"
-dependencies = [
- "block-padding",
- "byte-tools",
- "byteorder",
- "generic-array 0.12.4",
-]
-
[[package]]
name = "block-buffer"
version = "0.9.0"
@@ -1563,15 +1585,6 @@ dependencies = [
"generic-array 0.14.7",
]
-[[package]]
-name = "block-padding"
-version = "0.1.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fa79dedbb091f449f1f39e53edf88d5dbe95f895dae6135a8d7b881fb5af73f5"
-dependencies = [
- "byte-tools",
-]
-
[[package]]
name = "blocking"
version = "1.3.1"
@@ -1579,7 +1592,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "77231a1c8f801696fc0123ec6150ce92cffb8e164a02afb9c8ddee0e9b65ad65"
dependencies = [
"async-channel",
- "async-lock",
+ "async-lock 2.8.0",
"async-task",
"atomic-waker",
"fastrand 1.9.0",
@@ -1993,6 +2006,7 @@ dependencies = [
"cumulus-pallet-session-benchmarking",
"cumulus-pallet-xcm",
"cumulus-pallet-xcmp-queue",
+ "cumulus-primitives-aura",
"cumulus-primitives-core",
"cumulus-primitives-utility",
"frame-benchmarking",
@@ -2087,6 +2101,7 @@ dependencies = [
"pallet-bridge-messages",
"pallet-bridge-parachains",
"pallet-bridge-relayers",
+ "pallet-timestamp",
"pallet-utility",
"parachains-common",
"parachains-runtimes-test-utils",
@@ -2161,6 +2176,7 @@ dependencies = [
"cumulus-pallet-session-benchmarking",
"cumulus-pallet-xcm",
"cumulus-pallet-xcmp-queue",
+ "cumulus-primitives-aura",
"cumulus-primitives-core",
"cumulus-primitives-utility",
"frame-benchmarking",
@@ -2588,40 +2604,40 @@ dependencies = [
"clap_lex 0.2.4",
"indexmap 1.9.3",
"once_cell",
- "strsim",
+ "strsim 0.10.0",
"termcolor",
"textwrap",
]
[[package]]
name = "clap"
-version = "4.4.18"
+version = "4.5.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1e578d6ec4194633722ccf9544794b71b1385c3c027efe0c55db226fc880865c"
+checksum = "c918d541ef2913577a0f9566e9ce27cb35b6df072075769e0b26cb5a554520da"
dependencies = [
"clap_builder",
- "clap_derive 4.4.7",
+ "clap_derive 4.5.0",
]
[[package]]
name = "clap-num"
-version = "1.0.2"
+version = "1.1.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "488557e97528174edaa2ee268b23a809e0c598213a4bbcb4f34575a46fda147e"
+checksum = "0e063d263364859dc54fb064cedb7c122740cd4733644b14b176c097f51e8ab7"
dependencies = [
"num-traits",
]
[[package]]
name = "clap_builder"
-version = "4.4.18"
+version = "4.5.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4df4df40ec50c46000231c914968278b1eb05098cf8f1b3a518a95030e71d1c7"
+checksum = "9f3e7391dad68afb0c2ede1bf619f579a3dc9c2ec67f089baa397123a2f3d1eb"
dependencies = [
"anstream",
"anstyle",
- "clap_lex 0.6.0",
- "strsim",
+ "clap_lex 0.7.0",
+ "strsim 0.11.0",
"terminal_size",
]
@@ -2631,7 +2647,7 @@ version = "4.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "586a385f7ef2f8b4d86bddaa0c094794e7ccbfe5ffef1f434fe928143fc783a5"
dependencies = [
- "clap 4.4.18",
+ "clap 4.5.1",
]
[[package]]
@@ -2649,14 +2665,14 @@ dependencies = [
[[package]]
name = "clap_derive"
-version = "4.4.7"
+version = "4.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cf9804afaaf59a91e75b022a30fb7229a7901f60c755489cc61c9b423b836442"
+checksum = "307bc0538d5f0f83b8248db3087aa92fe504e4691294d0c96c0eabc33f47ba47"
dependencies = [
"heck",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -2670,9 +2686,9 @@ dependencies = [
[[package]]
name = "clap_lex"
-version = "0.6.0"
+version = "0.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "702fc72eb24e5a1e48ce58027a675bc24edd52096d5397d4aea7c6dd9eca0bd1"
+checksum = "98cc8fbded0c607b7ba9dd60cd98df59af97e84d24e49c8557331cfc26d301ce"
[[package]]
name = "coarsetime"
@@ -2718,6 +2734,7 @@ dependencies = [
"cumulus-pallet-session-benchmarking",
"cumulus-pallet-xcm",
"cumulus-pallet-xcmp-queue",
+ "cumulus-primitives-aura",
"cumulus-primitives-core",
"cumulus-primitives-utility",
"frame-benchmarking",
@@ -2824,11 +2841,10 @@ checksum = "acbf1af155f9b9ef647e42cdc158db4b64a1b61f743629225fde6f3e0be2a7c7"
[[package]]
name = "colored"
-version = "2.0.4"
+version = "2.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2674ec482fbc38012cf31e6c42ba0177b431a0cb6f15fe40efa5aab1bda516f6"
+checksum = "cbf2150cce219b664a8a70df7a1f933836724b503f8a413af9365b4dcc4d90b8"
dependencies = [
- "is-terminal",
"lazy_static",
"windows-sys 0.48.0",
]
@@ -2856,7 +2872,7 @@ dependencies = [
"ark-std 0.4.0",
"fflonk",
"getrandom_or_panic",
- "merlin 3.0.0",
+ "merlin",
"rand_chacha 0.3.1",
]
@@ -2972,6 +2988,7 @@ dependencies = [
"cumulus-pallet-session-benchmarking",
"cumulus-pallet-xcm",
"cumulus-pallet-xcmp-queue",
+ "cumulus-primitives-aura",
"cumulus-primitives-core",
"cumulus-primitives-utility",
"frame-benchmarking",
@@ -3065,6 +3082,7 @@ dependencies = [
"cumulus-pallet-session-benchmarking",
"cumulus-pallet-xcm",
"cumulus-pallet-xcmp-queue",
+ "cumulus-primitives-aura",
"cumulus-primitives-core",
"cumulus-primitives-utility",
"frame-benchmarking",
@@ -3093,7 +3111,6 @@ dependencies = [
"pallet-xcm-benchmarks",
"parachains-common",
"parity-scale-codec",
- "polkadot-core-primitives",
"polkadot-parachain-primitives",
"polkadot-runtime-common",
"rococo-runtime-constants",
@@ -3129,6 +3146,7 @@ dependencies = [
"cumulus-pallet-session-benchmarking",
"cumulus-pallet-xcm",
"cumulus-pallet-xcmp-queue",
+ "cumulus-primitives-aura",
"cumulus-primitives-core",
"cumulus-primitives-utility",
"frame-benchmarking",
@@ -3143,11 +3161,11 @@ dependencies = [
"pallet-aura",
"pallet-authorship",
"pallet-balances",
+ "pallet-broker",
"pallet-collator-selection",
"pallet-message-queue",
"pallet-multisig",
"pallet-session",
- "pallet-sudo",
"pallet-timestamp",
"pallet-transaction-payment",
"pallet-transaction-payment-rpc-runtime-api",
@@ -3156,7 +3174,6 @@ dependencies = [
"pallet-xcm-benchmarks",
"parachains-common",
"parity-scale-codec",
- "polkadot-core-primitives",
"polkadot-parachain-primitives",
"polkadot-runtime-common",
"scale-info",
@@ -3364,7 +3381,7 @@ dependencies = [
"anes",
"cast",
"ciborium",
- "clap 4.4.18",
+ "clap 4.5.1",
"criterion-plot",
"futures",
"is-terminal",
@@ -3460,7 +3477,7 @@ checksum = "cf4c2f4e1afd912bc40bfd6fed5d9dc1f288e0ba01bfcc835cc5bc3eb13efe15"
dependencies = [
"generic-array 0.14.7",
"rand_core 0.6.4",
- "subtle 2.4.1",
+ "subtle 2.5.0",
"zeroize",
]
@@ -3492,24 +3509,14 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "b584a330336237c1eecd3e94266efb216c56ed91225d634cb2991c5f3fd1aeab"
dependencies = [
"generic-array 0.14.7",
- "subtle 2.4.1",
-]
-
-[[package]]
-name = "crypto-mac"
-version = "0.11.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b1d1a86f49236c215f271d40892d5fc950490551400b02ef360692c29815c714"
-dependencies = [
- "generic-array 0.14.7",
- "subtle 2.4.1",
+ "subtle 2.5.0",
]
[[package]]
name = "ctr"
-version = "0.8.0"
+version = "0.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "049bb91fb4aaf0e3c7efa6cd5ef877dbbbd15b39dad06d9948de4ec8a75761ea"
+checksum = "a232f92a03f37dd7d7dd2adc67166c77e9cd88de5b019b9a9eecfaeaf7bfd481"
dependencies = [
"cipher 0.3.0",
]
@@ -3527,7 +3534,7 @@ dependencies = [
name = "cumulus-client-cli"
version = "0.7.0"
dependencies = [
- "clap 4.4.18",
+ "clap 4.5.1",
"parity-scale-codec",
"sc-chain-spec",
"sc-cli",
@@ -3857,6 +3864,7 @@ dependencies = [
"pallet-message-queue",
"parity-scale-codec",
"polkadot-parachain-primitives",
+ "polkadot-runtime-common",
"polkadot-runtime-parachains",
"rand",
"sc-client-api",
@@ -3885,7 +3893,7 @@ dependencies = [
"proc-macro-crate 3.0.0",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -4030,6 +4038,25 @@ dependencies = [
"sp-trie",
]
+[[package]]
+name = "cumulus-primitives-storage-weight-reclaim"
+version = "1.0.0"
+dependencies = [
+ "cumulus-primitives-core",
+ "cumulus-primitives-proof-size-hostfunction",
+ "cumulus-test-runtime",
+ "docify 0.2.7",
+ "frame-support",
+ "frame-system",
+ "log",
+ "parity-scale-codec",
+ "scale-info",
+ "sp-io",
+ "sp-runtime",
+ "sp-std 14.0.0",
+ "sp-trie",
+]
+
[[package]]
name = "cumulus-primitives-timestamp"
version = "0.7.0"
@@ -4050,7 +4077,6 @@ dependencies = [
"frame-support",
"log",
"pallet-asset-conversion",
- "pallet-xcm-benchmarks",
"parity-scale-codec",
"polkadot-runtime-common",
"polkadot-runtime-parachains",
@@ -4130,6 +4156,7 @@ dependencies = [
"polkadot-node-subsystem-util",
"polkadot-overseer",
"polkadot-primitives",
+ "polkadot-service",
"sc-authority-discovery",
"sc-client-api",
"sc-network",
@@ -4192,6 +4219,7 @@ dependencies = [
"cumulus-primitives-core",
"cumulus-primitives-parachain-inherent",
"cumulus-primitives-proof-size-hostfunction",
+ "cumulus-primitives-storage-weight-reclaim",
"cumulus-test-relay-sproof-builder",
"cumulus-test-runtime",
"cumulus-test-service",
@@ -4236,6 +4264,7 @@ version = "0.1.0"
dependencies = [
"cumulus-pallet-parachain-system",
"cumulus-primitives-core",
+ "cumulus-primitives-storage-weight-reclaim",
"frame-executive",
"frame-support",
"frame-system",
@@ -4268,7 +4297,7 @@ name = "cumulus-test-service"
version = "0.1.0"
dependencies = [
"async-trait",
- "clap 4.4.18",
+ "clap 4.5.1",
"criterion 0.5.1",
"cumulus-client-cli",
"cumulus-client-consensus-common",
@@ -4278,6 +4307,7 @@ dependencies = [
"cumulus-client-service",
"cumulus-pallet-parachain-system",
"cumulus-primitives-core",
+ "cumulus-primitives-storage-weight-reclaim",
"cumulus-relay-chain-inprocess-interface",
"cumulus-relay-chain-interface",
"cumulus-relay-chain-minimal-node",
@@ -4340,19 +4370,6 @@ dependencies = [
"url",
]
-[[package]]
-name = "curve25519-dalek"
-version = "2.1.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4a9b85542f99a2dfa2a1b8e192662741c9859a846b296bef1c92ef9b58b5a216"
-dependencies = [
- "byteorder",
- "digest 0.8.1",
- "rand_core 0.5.1",
- "subtle 2.4.1",
- "zeroize",
-]
-
[[package]]
name = "curve25519-dalek"
version = "3.2.0"
@@ -4362,15 +4379,15 @@ dependencies = [
"byteorder",
"digest 0.9.0",
"rand_core 0.5.1",
- "subtle 2.4.1",
+ "subtle 2.5.0",
"zeroize",
]
[[package]]
name = "curve25519-dalek"
-version = "4.1.1"
+version = "4.1.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e89b8c6a2e4b1f45971ad09761aafb85514a84744b67a95e32c3cc1352d1f65c"
+checksum = "0a677b8922c94e01bdbb12126b0bc852f00447528dee1782229af9c720c3f348"
dependencies = [
"cfg-if",
"cpufeatures",
@@ -4379,7 +4396,7 @@ dependencies = [
"fiat-crypto",
"platforms",
"rustc_version 0.4.0",
- "subtle 2.4.1",
+ "subtle 2.5.0",
"zeroize",
]
@@ -4391,7 +4408,7 @@ checksum = "83fdaf97f4804dcebfa5862639bc9ce4121e82140bec2a987ac5140294865b5b"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -4431,7 +4448,7 @@ dependencies = [
"proc-macro2",
"quote",
"scratch",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -4448,7 +4465,7 @@ checksum = "50c49547d73ba8dcfd4ad7325d64c6d5391ff4224d498fc39a6f3f49825a530d"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -4603,7 +4620,7 @@ dependencies = [
"block-buffer 0.10.4",
"const-oid",
"crypto-common",
- "subtle 2.4.1",
+ "subtle 2.5.0",
]
[[package]]
@@ -4656,7 +4673,7 @@ checksum = "487585f4d0c6655fe74905e2504d8ad6908e4db67f744eb140876906c2f3175d"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -4696,13 +4713,39 @@ version = "0.3.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "fea41bba32d969b513997752735605054bc0dfa92b4c56bf1189f2e174be7a10"
+[[package]]
+name = "docify"
+version = "0.1.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "af1b04e6ef3d21119d3eb7b032bca17f99fe041e9c072f30f32cc0e1a2b1f3c4"
+dependencies = [
+ "docify_macros 0.1.16",
+]
+
[[package]]
name = "docify"
version = "0.2.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "7cc4fd38aaa9fb98ac70794c82a00360d1e165a87fbf96a8a91f9dfc602aaee2"
dependencies = [
- "docify_macros",
+ "docify_macros 0.2.7",
+]
+
+[[package]]
+name = "docify_macros"
+version = "0.1.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8b5610df7f2acf89a1bb5d1a66ae56b1c7fcdcfe3948856fb3ace3f644d70eb7"
+dependencies = [
+ "common-path",
+ "derive-syn-parse",
+ "lazy_static",
+ "proc-macro2",
+ "quote",
+ "regex",
+ "syn 2.0.50",
+ "termcolor",
+ "walkdir",
]
[[package]]
@@ -4717,7 +4760,7 @@ dependencies = [
"proc-macro2",
"quote",
"regex",
- "syn 2.0.48",
+ "syn 2.0.50",
"termcolor",
"toml 0.8.8",
"walkdir",
@@ -4784,6 +4827,7 @@ dependencies = [
"digest 0.10.7",
"elliptic-curve",
"rfc6979",
+ "serdect",
"signature",
"spki",
]
@@ -4804,12 +4848,12 @@ version = "2.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "1f628eaec48bfd21b865dc2950cfa014450c01d2fa2b69a86c2fd5844ec523c0"
dependencies = [
- "curve25519-dalek 4.1.1",
+ "curve25519-dalek 4.1.2",
"ed25519",
"rand_core 0.6.4",
"serde",
"sha2 0.10.7",
- "subtle 2.4.1",
+ "subtle 2.5.0",
"zeroize",
]
@@ -4833,7 +4877,7 @@ version = "4.0.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "7d9ce6874da5d4415896cd45ffbc4d1cfc0c4f9c079427bd870742c30f2f65a9"
dependencies = [
- "curve25519-dalek 4.1.1",
+ "curve25519-dalek 4.1.2",
"ed25519",
"hashbrown 0.14.3",
"hex",
@@ -4850,9 +4894,9 @@ checksum = "a26ae43d7bcc3b814de94796a5e736d4029efb0ee900c12e2d54c993ad1a1e07"
[[package]]
name = "elliptic-curve"
-version = "0.13.5"
+version = "0.13.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "968405c8fdc9b3bf4df0a6638858cc0b52462836ab6b1c87377785dd09cf1c0b"
+checksum = "b5e6043086bf7973472e0c7dff2142ea0b680d30e18d9cc40f267efbf222bd47"
dependencies = [
"base16ct",
"crypto-bigint",
@@ -4863,7 +4907,8 @@ dependencies = [
"pkcs8",
"rand_core 0.6.4",
"sec1",
- "subtle 2.4.1",
+ "serdect",
+ "subtle 2.5.0",
"zeroize",
]
@@ -4888,10 +4933,10 @@ dependencies = [
"paste",
"polkadot-primitives",
"polkadot-runtime-parachains",
- "polkadot-service",
"sc-consensus-grandpa",
"sp-authority-discovery",
"sp-consensus-babe",
+ "sp-consensus-beefy",
"sp-core",
"sp-runtime",
"staging-xcm",
@@ -4942,7 +4987,7 @@ checksum = "5e9a1f9f7d83e59740248a6e14ecf93929ade55027844dfcea78beafccc15745"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -4953,7 +4998,7 @@ checksum = "c2ad8cef1d801a4686bfd8919f0b30eac4c8e48968c437a6405ded4fb5272d2b"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -5091,6 +5136,27 @@ version = "2.5.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "0206175f82b8d6bf6652ff7d71a1e27fd2e4efde587fd368662814d6ec1d9ce0"
+[[package]]
+name = "event-listener"
+version = "4.0.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "67b215c49b2b248c855fb73579eb1f4f26c38ffdc12973e20e07b91d78d5646e"
+dependencies = [
+ "concurrent-queue",
+ "parking",
+ "pin-project-lite 0.2.12",
+]
+
+[[package]]
+name = "event-listener-strategy"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "958e4d70b6d5e81971bebec42271ec641e7ff4e170a6fa605f2b8a8b65cb97d3"
+dependencies = [
+ "event-listener 4.0.3",
+ "pin-project-lite 0.2.12",
+]
+
[[package]]
name = "exit-future"
version = "0.2.0"
@@ -5122,7 +5188,7 @@ dependencies = [
"fs-err",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -5135,12 +5201,6 @@ dependencies = [
"once_cell",
]
-[[package]]
-name = "fake-simd"
-version = "0.1.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e88a8acf291dafb59c2d96e8f59828f3838bb1a70398823ade51a84de6a6deed"
-
[[package]]
name = "fallible-iterator"
version = "0.2.0"
@@ -5237,7 +5297,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "ded41244b729663b1e574f1b4fb731469f69f79c17667b5d776b16cda0479449"
dependencies = [
"rand_core 0.6.4",
- "subtle 2.4.1",
+ "subtle 2.5.0",
]
[[package]]
@@ -5250,7 +5310,7 @@ dependencies = [
"ark-poly",
"ark-serialize 0.4.2",
"ark-std 0.4.0",
- "merlin 3.0.0",
+ "merlin",
]
[[package]]
@@ -5390,7 +5450,7 @@ checksum = "6c2141d6d6c8512188a7891b4b01590a45f6dac67afb4f255c4124dbb86d4eaa"
name = "frame"
version = "0.0.1-dev"
dependencies = [
- "docify",
+ "docify 0.2.7",
"frame-executive",
"frame-support",
"frame-system",
@@ -5399,7 +5459,6 @@ dependencies = [
"pallet-examples",
"parity-scale-codec",
"scale-info",
- "simple-mermaid",
"sp-api",
"sp-arithmetic",
"sp-block-builder",
@@ -5450,7 +5509,7 @@ dependencies = [
"Inflector",
"array-bytes 6.1.0",
"chrono",
- "clap 4.4.18",
+ "clap 4.5.1",
"comfy-table",
"frame-benchmarking",
"frame-support",
@@ -5516,7 +5575,7 @@ dependencies = [
"quote",
"scale-info",
"sp-arithmetic",
- "syn 2.0.48",
+ "syn 2.0.50",
"trybuild",
]
@@ -5542,7 +5601,7 @@ dependencies = [
name = "frame-election-solution-type-fuzzer"
version = "2.0.0-alpha.5"
dependencies = [
- "clap 4.4.18",
+ "clap 4.5.1",
"frame-election-provider-solution-type",
"frame-election-provider-support",
"frame-support",
@@ -5559,6 +5618,7 @@ dependencies = [
name = "frame-executive"
version = "28.0.0"
dependencies = [
+ "aquamarine 0.3.3",
"array-bytes 6.1.0",
"frame-support",
"frame-system",
@@ -5615,11 +5675,11 @@ dependencies = [
name = "frame-support"
version = "28.0.0"
dependencies = [
- "aquamarine",
+ "aquamarine 0.5.0",
"array-bytes 6.1.0",
"assert_matches",
"bitflags 1.3.2",
- "docify",
+ "docify 0.2.7",
"environmental",
"frame-metadata",
"frame-support-procedural",
@@ -5649,6 +5709,7 @@ dependencies = [
"sp-staking",
"sp-state-machine",
"sp-std 14.0.0",
+ "sp-timestamp",
"sp-tracing 16.0.0",
"sp-weights",
"static_assertions",
@@ -5671,7 +5732,7 @@ dependencies = [
"quote",
"regex",
"sp-crypto-hashing",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -5682,7 +5743,7 @@ dependencies = [
"proc-macro-crate 3.0.0",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -5691,7 +5752,7 @@ version = "11.0.0"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -5762,7 +5823,7 @@ version = "28.0.0"
dependencies = [
"cfg-if",
"criterion 0.4.0",
- "docify",
+ "docify 0.2.7",
"frame-support",
"log",
"parity-scale-codec",
@@ -5854,9 +5915,9 @@ checksum = "e6d5a32815ae3f33302d95fdcb2ce17862f8c65363dcfd29360480ba1001fc9c"
[[package]]
name = "futures"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "23342abe12aba583913b2e62f22225ff9c950774065e4bfb61a19cd9770fec40"
+checksum = "645c6916888f6cb6350d2550b80fb63e734897a8498abe35cfb732b6487804b0"
dependencies = [
"futures-channel",
"futures-core",
@@ -5885,9 +5946,9 @@ checksum = "dfc6580bb841c5a68e9ef15c77ccc837b40a7504914d52e47b8b0e9bbda25a1d"
[[package]]
name = "futures-executor"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ccecee823288125bd88b4d7f565c9e58e41858e47ab72e8ea2d64e93624386e0"
+checksum = "a576fc72ae164fca6b9db127eaa9a9dda0d61316034f33a0a0d4eda41f02b01d"
dependencies = [
"futures-core",
"futures-task",
@@ -5924,7 +5985,7 @@ checksum = "87750cf4b7a4c0625b1529e4c543c2182106e4dedc60a2a6455e00d212c489ac"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -6151,6 +6212,24 @@ dependencies = [
"testnet-parachains-constants",
]
+[[package]]
+name = "governor"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "821239e5672ff23e2a7060901fa622950bbd80b649cdaadd78d1c1767ed14eb4"
+dependencies = [
+ "cfg-if",
+ "dashmap",
+ "futures",
+ "futures-timer",
+ "no-std-compat",
+ "nonzero_ext",
+ "parking_lot 0.12.1",
+ "quanta",
+ "rand",
+ "smallvec",
+]
+
[[package]]
name = "group"
version = "0.13.0"
@@ -6159,7 +6238,7 @@ checksum = "f0f9ef7462f7c099f518d754361858f86d8a07af53ba9af0fe635bbccb151a63"
dependencies = [
"ff",
"rand_core 0.6.4",
- "subtle 2.4.1",
+ "subtle 2.5.0",
]
[[package]]
@@ -6174,7 +6253,7 @@ dependencies = [
"futures-sink",
"futures-util",
"http",
- "indexmap 2.0.0",
+ "indexmap 2.2.3",
"slab",
"tokio",
"tokio-util",
@@ -6189,9 +6268,9 @@ checksum = "eabb4a44450da02c90444cf74558da904edde8fb4e9035a9a6a4e15445af0bd7"
[[package]]
name = "handlebars"
-version = "4.3.7"
+version = "5.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "83c3372087601b532857d332f5957cbae686da52bb7810bf038c3e3c3cc2fa0d"
+checksum = "ab283476b99e66691dee3f1640fea91487a8d81f50fb5ecc75538f8f8879a1e4"
dependencies = [
"log",
"pest",
@@ -6222,7 +6301,7 @@ version = "0.12.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "8a9ee70c43aaf417c914396645a0fa852624801b24ebb7ae78fe8272889ac888"
dependencies = [
- "ahash 0.7.6",
+ "ahash 0.7.8",
]
[[package]]
@@ -6231,7 +6310,7 @@ version = "0.13.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "43a3c133739dddd0d2990f9a4bdf8eb4b21ef50e4851ca85ab661199821d510e"
dependencies = [
- "ahash 0.8.7",
+ "ahash 0.8.8",
]
[[package]]
@@ -6240,7 +6319,7 @@ version = "0.14.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "290f1a1d9242c78d09ce40a5e87e7554ee637af1351968159f4952f028f75604"
dependencies = [
- "ahash 0.8.7",
+ "ahash 0.8.8",
"allocator-api2",
"serde",
]
@@ -6281,6 +6360,12 @@ version = "0.4.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "7f24254aa9a54b5c858eaee2f5bccdb46aaf0e486a595ed5fd8f86ba55232a70"
+[[package]]
+name = "hex-conservative"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "30ed443af458ccb6d81c1e7e661545f94d3176752fb1df2f543b902a1e0f51e2"
+
[[package]]
name = "hex-literal"
version = "0.4.1"
@@ -6306,16 +6391,6 @@ dependencies = [
"digest 0.9.0",
]
-[[package]]
-name = "hmac"
-version = "0.11.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2a2a2320eb7ec0ebe8da8f744d7812d9fc4cb4d09344ac01898dbcb6a20ae69b"
-dependencies = [
- "crypto-mac 0.11.1",
- "digest 0.9.0",
-]
-
[[package]]
name = "hmac"
version = "0.12.1"
@@ -6440,9 +6515,9 @@ dependencies = [
"hyper",
"log",
"rustls 0.21.6",
- "rustls-native-certs",
+ "rustls-native-certs 0.6.3",
"tokio",
- "tokio-rustls",
+ "tokio-rustls 0.24.1",
]
[[package]]
@@ -6605,9 +6680,9 @@ dependencies = [
[[package]]
name = "indexmap"
-version = "2.0.0"
+version = "2.2.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d5477fe2230a79769d8dc68e0eabf5437907c0457a5614a9e8dddb67f65eb65d"
+checksum = "233cf39063f058ea2caae4091bf4a3ef70a653afbc026f5c4a4135d114e3c177"
dependencies = [
"equivalent",
"hashbrown 0.14.3",
@@ -6621,9 +6696,9 @@ checksum = "8e04e2fd2b8188ea827b32ef11de88377086d690286ab35747ef7f9bf3ccb590"
[[package]]
name = "indicatif"
-version = "0.17.6"
+version = "0.17.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0b297dc40733f23a0e52728a58fa9489a5b7638a324932de16b41adc3ef80730"
+checksum = "fb28741c9db9a713d93deb3bb9515c20788cef5815265bee4980e87bde7e0f25"
dependencies = [
"console",
"instant",
@@ -6770,9 +6845,9 @@ checksum = "078e285eafdfb6c4b434e0d31e8cfcb5115b651496faca5749b88fafd4f23bfd"
[[package]]
name = "jsonrpsee"
-version = "0.20.3"
+version = "0.22.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "affdc52f7596ccb2d7645231fc6163bb314630c989b64998f3699a28b4d5d4dc"
+checksum = "4a95f7cc23d5fab0cdeeaf6bad8c8f5e7a3aa7f0d211957ea78232b327ab27b0"
dependencies = [
"jsonrpsee-core",
"jsonrpsee-http-client",
@@ -6786,19 +6861,20 @@ dependencies = [
[[package]]
name = "jsonrpsee-client-transport"
-version = "0.20.3"
+version = "0.22.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b5b005c793122d03217da09af68ba9383363caa950b90d3436106df8cabce935"
+checksum = "6b1736cfa3845fd9f8f43751f2b8e0e83f7b6081e754502f7d63b6587692cc83"
dependencies = [
"futures-util",
"http",
"jsonrpsee-core",
"pin-project",
- "rustls-native-certs",
+ "rustls-native-certs 0.7.0",
+ "rustls-pki-types",
"soketto",
"thiserror",
"tokio",
- "tokio-rustls",
+ "tokio-rustls 0.25.0",
"tokio-util",
"tracing",
"url",
@@ -6806,12 +6882,12 @@ dependencies = [
[[package]]
name = "jsonrpsee-core"
-version = "0.20.3"
+version = "0.22.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "da2327ba8df2fdbd5e897e2b5ed25ce7f299d345b9736b6828814c3dbd1fd47b"
+checksum = "82030d038658974732103e623ba2e0abec03bbbe175b39c0a2fafbada60c5868"
dependencies = [
"anyhow",
- "async-lock",
+ "async-lock 3.3.0",
"async-trait",
"beef",
"futures-timer",
@@ -6819,21 +6895,22 @@ dependencies = [
"hyper",
"jsonrpsee-types",
"parking_lot 0.12.1",
+ "pin-project",
"rand",
"rustc-hash",
"serde",
"serde_json",
- "soketto",
"thiserror",
"tokio",
+ "tokio-stream",
"tracing",
]
[[package]]
name = "jsonrpsee-http-client"
-version = "0.20.3"
+version = "0.22.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5f80c17f62c7653ce767e3d7288b793dfec920f97067ceb189ebdd3570f2bc20"
+checksum = "36a06ef0de060005fddf772d54597bb6a8b0413da47dcffd304b0306147b9678"
dependencies = [
"async-trait",
"hyper",
@@ -6851,12 +6928,12 @@ dependencies = [
[[package]]
name = "jsonrpsee-proc-macros"
-version = "0.20.3"
+version = "0.22.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "29110019693a4fa2dbda04876499d098fa16d70eba06b1e6e2b3f1b251419515"
+checksum = "69fc56131589f82e57805f7338b87023db4aafef813555708b159787e34ad6bc"
dependencies = [
"heck",
- "proc-macro-crate 1.3.1",
+ "proc-macro-crate 3.0.0",
"proc-macro2",
"quote",
"syn 1.0.109",
@@ -6864,15 +6941,16 @@ dependencies = [
[[package]]
name = "jsonrpsee-server"
-version = "0.20.3"
+version = "0.22.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "82c39a00449c9ef3f50b84fc00fc4acba20ef8f559f07902244abf4c15c5ab9c"
+checksum = "d85be77fe5b2a94589e3164fb780017f7aff7d646b49278c0d0346af16975c8e"
dependencies = [
"futures-util",
"http",
"hyper",
"jsonrpsee-core",
"jsonrpsee-types",
+ "pin-project",
"route-recognizer",
"serde",
"serde_json",
@@ -6887,23 +6965,22 @@ dependencies = [
[[package]]
name = "jsonrpsee-types"
-version = "0.20.3"
+version = "0.22.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5be0be325642e850ed0bdff426674d2e66b2b7117c9be23a7caef68a2902b7d9"
+checksum = "9a48fdc1202eafc51c63e00406575e59493284ace8b8b61aa16f3a6db5d64f1a"
dependencies = [
"anyhow",
"beef",
"serde",
"serde_json",
"thiserror",
- "tracing",
]
[[package]]
name = "jsonrpsee-ws-client"
-version = "0.20.3"
+version = "0.22.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bca9cb3933ccae417eb6b08c3448eb1cb46e39834e5b503e395e5e5bd08546c0"
+checksum = "c5ce25d70a8e4d3cc574bbc3cad0137c326ad64b194793d5e7bbdd3fa4504181"
dependencies = [
"http",
"jsonrpsee-client-transport",
@@ -6914,14 +6991,15 @@ dependencies = [
[[package]]
name = "k256"
-version = "0.13.1"
+version = "0.13.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cadb76004ed8e97623117f3df85b17aaa6626ab0b0831e6573f104df16cd1bcc"
+checksum = "956ff9b67e26e1a6a866cb758f12c6f8746208489e3e4a4b5580802f2f0a587b"
dependencies = [
"cfg-if",
"ecdsa",
"elliptic-curve",
"once_cell",
+ "serdect",
"sha2 0.10.7",
]
@@ -7001,6 +7079,7 @@ dependencies = [
"pallet-lottery",
"pallet-membership",
"pallet-message-queue",
+ "pallet-migrations",
"pallet-mixnet",
"pallet-mmr",
"pallet-multisig",
@@ -7013,6 +7092,7 @@ dependencies = [
"pallet-nomination-pools-runtime-api",
"pallet-offences",
"pallet-offences-benchmarking",
+ "pallet-parameters",
"pallet-preimage",
"pallet-proxy",
"pallet-ranked-collective",
@@ -7631,7 +7711,7 @@ checksum = "5be9b9bb642d8522a44d533eab56c16c738301965504753b03ad1de3425d5451"
dependencies = [
"crunchy",
"digest 0.9.0",
- "subtle 2.4.1",
+ "subtle 2.5.0",
]
[[package]]
@@ -7826,6 +7906,15 @@ dependencies = [
"libc",
]
+[[package]]
+name = "mach2"
+version = "0.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "19b955cdeb2a02b9117f121ce63aa52d08ade45de53e48fe6a38b39c10f6f709"
+dependencies = [
+ "libc",
+]
+
[[package]]
name = "macro_magic"
version = "0.5.0"
@@ -7835,7 +7924,7 @@ dependencies = [
"macro_magic_core",
"macro_magic_macros",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -7849,7 +7938,7 @@ dependencies = [
"macro_magic_core_macros",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -7860,7 +7949,7 @@ checksum = "9ea73aa640dc01d62a590d48c0c3521ed739d53b27f919b25c3551e233481654"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -7871,7 +7960,7 @@ checksum = "ef9d79ae96aaba821963320eb2b6e34d17df1e5a83d8a1985c29cc5be59577b3"
dependencies = [
"macro_magic_core",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -7989,18 +8078,6 @@ dependencies = [
"hash-db",
]
-[[package]]
-name = "merlin"
-version = "2.0.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4e261cf0f8b3c42ded9f7d2bb59dea03aa52bc8a1cbc7482f9fc3fd1229d3b42"
-dependencies = [
- "byteorder",
- "keccak",
- "rand_core 0.5.1",
- "zeroize",
-]
-
[[package]]
name = "merlin"
version = "3.0.0"
@@ -8037,15 +8114,15 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "68354c5c6bd36d73ff3feceb05efa59b6acb7626617f4962be322a825e61f79a"
[[package]]
-name = "minimal-node"
-version = "4.0.0-dev"
+name = "minimal-template-node"
+version = "0.0.0"
dependencies = [
- "clap 4.4.18",
+ "clap 4.5.1",
"frame",
"futures",
"futures-timer",
"jsonrpsee",
- "minimal-runtime",
+ "minimal-template-runtime",
"sc-basic-authorship",
"sc-cli",
"sc-client-api",
@@ -8072,12 +8149,12 @@ dependencies = [
]
[[package]]
-name = "minimal-runtime"
-version = "0.1.0"
+name = "minimal-template-runtime"
+version = "0.0.0"
dependencies = [
"frame",
- "frame-support",
"pallet-balances",
+ "pallet-minimal-template",
"pallet-sudo",
"pallet-timestamp",
"pallet-transaction-payment",
@@ -8099,9 +8176,9 @@ dependencies = [
[[package]]
name = "mio"
-version = "0.8.8"
+version = "0.8.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "927a765cd3fc26206e66b296465fa9d3e5ab003e651c1b3c060e7956d96b19d2"
+checksum = "a4a650543ca06a924e8b371db273b2756685faae30f8487da1b56505a8f78b0c"
dependencies = [
"libc",
"wasi 0.11.0+wasi-snapshot-preview1",
@@ -8119,7 +8196,7 @@ dependencies = [
"bitflags 1.3.2",
"blake2 0.10.6",
"c2-chacha",
- "curve25519-dalek 4.1.1",
+ "curve25519-dalek 4.1.2",
"either",
"hashlink",
"lioness",
@@ -8128,7 +8205,7 @@ dependencies = [
"rand",
"rand_chacha 0.3.1",
"rand_distr",
- "subtle 2.4.1",
+ "subtle 2.5.0",
"thiserror",
"zeroize",
]
@@ -8492,6 +8569,12 @@ dependencies = [
"libc",
]
+[[package]]
+name = "no-std-compat"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b93853da6d84c2e3c7d730d6473e8817692dd89be387eb01b94d7f108ecb5b8c"
+
[[package]]
name = "no-std-net"
version = "0.6.0"
@@ -8503,7 +8586,7 @@ name = "node-bench"
version = "0.9.0-dev"
dependencies = [
"array-bytes 6.1.0",
- "clap 4.4.18",
+ "clap 4.5.1",
"derive_more",
"fs_extra",
"futures",
@@ -8580,60 +8663,16 @@ dependencies = [
name = "node-runtime-generate-bags"
version = "3.0.0"
dependencies = [
- "clap 4.4.18",
+ "clap 4.5.1",
"generate-bags",
"kitchensink-runtime",
]
-[[package]]
-name = "node-template"
-version = "4.0.0-dev"
-dependencies = [
- "clap 4.4.18",
- "frame-benchmarking",
- "frame-benchmarking-cli",
- "frame-system",
- "futures",
- "jsonrpsee",
- "node-template-runtime",
- "pallet-transaction-payment",
- "pallet-transaction-payment-rpc",
- "sc-basic-authorship",
- "sc-cli",
- "sc-client-api",
- "sc-consensus",
- "sc-consensus-aura",
- "sc-consensus-grandpa",
- "sc-executor",
- "sc-network",
- "sc-offchain",
- "sc-rpc-api",
- "sc-service",
- "sc-telemetry",
- "sc-transaction-pool",
- "sc-transaction-pool-api",
- "serde_json",
- "sp-api",
- "sp-block-builder",
- "sp-blockchain",
- "sp-consensus-aura",
- "sp-consensus-grandpa",
- "sp-core",
- "sp-inherents",
- "sp-io",
- "sp-keyring",
- "sp-runtime",
- "sp-timestamp",
- "substrate-build-script-utils",
- "substrate-frame-rpc-system",
- "try-runtime-cli",
-]
-
[[package]]
name = "node-template-release"
version = "3.0.0"
dependencies = [
- "clap 4.4.18",
+ "clap 4.5.1",
"flate2",
"fs_extra",
"glob",
@@ -8643,45 +8682,6 @@ dependencies = [
"toml_edit 0.19.15",
]
-[[package]]
-name = "node-template-runtime"
-version = "4.0.0-dev"
-dependencies = [
- "frame-benchmarking",
- "frame-executive",
- "frame-support",
- "frame-system",
- "frame-system-benchmarking",
- "frame-system-rpc-runtime-api",
- "frame-try-runtime",
- "pallet-aura",
- "pallet-balances",
- "pallet-grandpa",
- "pallet-sudo",
- "pallet-template",
- "pallet-timestamp",
- "pallet-transaction-payment",
- "pallet-transaction-payment-rpc-runtime-api",
- "parity-scale-codec",
- "scale-info",
- "serde_json",
- "sp-api",
- "sp-block-builder",
- "sp-consensus-aura",
- "sp-consensus-grandpa",
- "sp-core",
- "sp-genesis-builder",
- "sp-inherents",
- "sp-offchain",
- "sp-runtime",
- "sp-session",
- "sp-std 14.0.0",
- "sp-storage 19.0.0",
- "sp-transaction-pool",
- "sp-version",
- "substrate-wasm-builder",
-]
-
[[package]]
name = "node-testing"
version = "3.0.0-dev"
@@ -8742,6 +8742,12 @@ dependencies = [
"minimal-lexical",
]
+[[package]]
+name = "nonzero_ext"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "38bf9645c8b145698bb0b18a4637dcacbc421ea49bef2317e4fd8065a387cf21"
+
[[package]]
name = "normalize-line-endings"
version = "0.3.0"
@@ -8893,9 +8899,9 @@ dependencies = [
[[package]]
name = "once_cell"
-version = "1.18.0"
+version = "1.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dd8b5dd2ae5ed71462c540258bedcb51965123ad7e7ccf4b9a8cafaa4a63576d"
+checksum = "3fdb12b2476b595f9358c5161aa467c2438859caa136dec86c26fdd2efe17b92"
[[package]]
name = "oorandom"
@@ -8951,7 +8957,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "eedb646674596266dc9bb2b5c7eea7c36b32ecc7777eba0d510196972d72c4fd"
dependencies = [
"expander 2.0.0",
- "indexmap 2.0.0",
+ "indexmap 2.2.3",
"itertools 0.11.0",
"petgraph",
"proc-macro-crate 1.3.1",
@@ -9199,8 +9205,8 @@ dependencies = [
name = "pallet-bags-list"
version = "27.0.0"
dependencies = [
- "aquamarine",
- "docify",
+ "aquamarine 0.5.0",
+ "docify 0.2.7",
"frame-benchmarking",
"frame-election-provider-support",
"frame-support",
@@ -9248,7 +9254,7 @@ dependencies = [
name = "pallet-balances"
version = "28.0.0"
dependencies = [
- "docify",
+ "docify 0.2.7",
"frame-benchmarking",
"frame-support",
"frame-system",
@@ -9564,12 +9570,11 @@ dependencies = [
"anyhow",
"frame-system",
"parity-wasm",
- "polkavm-linker",
+ "polkavm-linker 0.5.0",
"sp-runtime",
"tempfile",
"toml 0.8.8",
"twox-hash",
- "wat",
]
[[package]]
@@ -9616,7 +9621,7 @@ version = "18.0.0"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -9626,7 +9631,7 @@ dependencies = [
"bitflags 1.3.2",
"parity-scale-codec",
"paste",
- "polkavm-derive",
+ "polkavm-derive 0.5.0",
"scale-info",
]
@@ -9728,6 +9733,7 @@ dependencies = [
"pallet-bags-list",
"pallet-balances",
"pallet-election-provider-multi-phase",
+ "pallet-nomination-pools",
"pallet-session",
"pallet-staking",
"pallet-timestamp",
@@ -9862,6 +9868,26 @@ dependencies = [
"sp-std 14.0.0",
]
+[[package]]
+name = "pallet-example-single-block-migrations"
+version = "0.0.1"
+dependencies = [
+ "docify 0.2.7",
+ "frame-executive",
+ "frame-support",
+ "frame-system",
+ "frame-try-runtime",
+ "log",
+ "pallet-balances",
+ "parity-scale-codec",
+ "scale-info",
+ "sp-core",
+ "sp-io",
+ "sp-runtime",
+ "sp-std 14.0.0",
+ "sp-version",
+]
+
[[package]]
name = "pallet-example-split"
version = "10.0.0"
@@ -9903,6 +9929,7 @@ dependencies = [
"pallet-example-frame-crate",
"pallet-example-kitchensink",
"pallet-example-offchain-worker",
+ "pallet-example-single-block-migrations",
"pallet-example-split",
"pallet-example-tasks",
]
@@ -9911,7 +9938,7 @@ dependencies = [
name = "pallet-fast-unstake"
version = "27.0.0"
dependencies = [
- "docify",
+ "docify 0.2.7",
"frame-benchmarking",
"frame-election-provider-support",
"frame-support",
@@ -10108,6 +10135,39 @@ dependencies = [
"sp-weights",
]
+[[package]]
+name = "pallet-migrations"
+version = "1.0.0"
+dependencies = [
+ "docify 0.1.16",
+ "frame-benchmarking",
+ "frame-executive",
+ "frame-support",
+ "frame-system",
+ "impl-trait-for-tuples",
+ "log",
+ "parity-scale-codec",
+ "pretty_assertions",
+ "scale-info",
+ "sp-api",
+ "sp-block-builder",
+ "sp-core",
+ "sp-io",
+ "sp-runtime",
+ "sp-std 14.0.0",
+ "sp-tracing 16.0.0",
+ "sp-version",
+]
+
+[[package]]
+name = "pallet-minimal-template"
+version = "0.0.0"
+dependencies = [
+ "frame",
+ "parity-scale-codec",
+ "scale-info",
+]
+
[[package]]
name = "pallet-mixnet"
version = "0.4.0"
@@ -10383,7 +10443,7 @@ dependencies = [
name = "pallet-paged-list"
version = "0.6.0"
dependencies = [
- "docify",
+ "docify 0.2.7",
"frame-benchmarking",
"frame-support",
"frame-system",
@@ -10409,17 +10469,36 @@ dependencies = [
[[package]]
name = "pallet-parachain-template"
-version = "0.7.0"
+version = "0.0.0"
dependencies = [
"frame-benchmarking",
"frame-support",
"frame-system",
"parity-scale-codec",
"scale-info",
+ "sp-core",
+ "sp-io",
+ "sp-runtime",
+]
+
+[[package]]
+name = "pallet-parameters"
+version = "0.0.1"
+dependencies = [
+ "docify 0.2.7",
+ "frame-benchmarking",
+ "frame-support",
+ "frame-system",
+ "pallet-balances",
+ "pallet-example-basic",
+ "parity-scale-codec",
+ "paste",
+ "scale-info",
"serde",
"sp-core",
"sp-io",
"sp-runtime",
+ "sp-std 14.0.0",
]
[[package]]
@@ -10567,7 +10646,7 @@ dependencies = [
name = "pallet-safe-mode"
version = "9.0.0"
dependencies = [
- "docify",
+ "docify 0.2.7",
"frame-benchmarking",
"frame-support",
"frame-system",
@@ -10624,7 +10703,7 @@ dependencies = [
name = "pallet-scheduler"
version = "29.0.0"
dependencies = [
- "docify",
+ "docify 0.2.7",
"frame-benchmarking",
"frame-support",
"frame-system",
@@ -10770,7 +10849,7 @@ dependencies = [
"proc-macro2",
"quote",
"sp-runtime",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -10837,7 +10916,7 @@ dependencies = [
name = "pallet-sudo"
version = "28.0.0"
dependencies = [
- "docify",
+ "docify 0.2.7",
"frame-benchmarking",
"frame-support",
"frame-system",
@@ -10851,7 +10930,7 @@ dependencies = [
[[package]]
name = "pallet-template"
-version = "4.0.0-dev"
+version = "0.0.0"
dependencies = [
"frame-benchmarking",
"frame-support",
@@ -10861,14 +10940,13 @@ dependencies = [
"sp-core",
"sp-io",
"sp-runtime",
- "sp-std 14.0.0",
]
[[package]]
name = "pallet-timestamp"
version = "27.0.0"
dependencies = [
- "docify",
+ "docify 0.2.7",
"frame-benchmarking",
"frame-support",
"frame-system",
@@ -10972,7 +11050,7 @@ dependencies = [
name = "pallet-treasury"
version = "27.0.0"
dependencies = [
- "docify",
+ "docify 0.2.7",
"frame-benchmarking",
"frame-support",
"frame-system",
@@ -10992,7 +11070,7 @@ dependencies = [
name = "pallet-tx-pause"
version = "9.0.0"
dependencies = [
- "docify",
+ "docify 0.2.7",
"frame-benchmarking",
"frame-support",
"frame-system",
@@ -11174,9 +11252,9 @@ dependencies = [
[[package]]
name = "parachain-template-node"
-version = "0.1.0"
+version = "0.0.0"
dependencies = [
- "clap 4.4.18",
+ "clap 4.5.1",
"color-print",
"cumulus-client-cli",
"cumulus-client-collator",
@@ -11232,7 +11310,7 @@ dependencies = [
[[package]]
name = "parachain-template-runtime"
-version = "0.7.0"
+version = "0.0.0"
dependencies = [
"cumulus-pallet-aura-ext",
"cumulus-pallet-parachain-system",
@@ -11240,6 +11318,7 @@ dependencies = [
"cumulus-pallet-xcm",
"cumulus-pallet-xcmp-queue",
"cumulus-primitives-core",
+ "cumulus-primitives-storage-weight-reclaim",
"cumulus-primitives-utility",
"frame-benchmarking",
"frame-executive",
@@ -11332,6 +11411,7 @@ dependencies = [
"pallet-balances",
"pallet-collator-selection",
"pallet-session",
+ "pallet-timestamp",
"pallet-xcm",
"parity-scale-codec",
"polkadot-parachain-primitives",
@@ -11347,6 +11427,19 @@ dependencies = [
"substrate-wasm-builder",
]
+[[package]]
+name = "parity-bip39"
+version = "2.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4e69bf016dc406eff7d53a7d3f7cf1c2e72c82b9088aac1118591e36dd2cd3e9"
+dependencies = [
+ "bitcoin_hashes 0.13.0",
+ "rand",
+ "rand_core 0.6.4",
+ "serde",
+ "unicode-normalization",
+]
+
[[package]]
name = "parity-bytes"
version = "0.1.2"
@@ -11501,21 +11594,23 @@ version = "0.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "7924d1d0ad836f665c9065e26d016c673ece3993f30d340068b16f282afc1156"
+[[package]]
+name = "password-hash"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "346f04948ba92c43e8469c1ee6736c7563d71012b17d40745260fe106aac2166"
+dependencies = [
+ "base64ct",
+ "rand_core 0.6.4",
+ "subtle 2.5.0",
+]
+
[[package]]
name = "paste"
version = "1.0.14"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "de3145af08024dea9fa9914f381a17b8fc6034dfb00f3a84013f7ff43f29ed4c"
-[[package]]
-name = "pbkdf2"
-version = "0.8.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d95f5254224e617595d2cc3cc73ff0a5eaf2637519e25f03388154e9378b6ffa"
-dependencies = [
- "crypto-mac 0.11.1",
-]
-
[[package]]
name = "pbkdf2"
version = "0.12.2"
@@ -11523,6 +11618,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "f8ed6a7761f76e3b9f92dfb0a60a6a6477c61024b775147ff0973a02653abaf2"
dependencies = [
"digest 0.10.7",
+ "password-hash",
]
[[package]]
@@ -11613,7 +11709,6 @@ dependencies = [
"staging-xcm-builder",
"staging-xcm-executor",
"substrate-wasm-builder",
- "testnet-parachains-constants",
]
[[package]]
@@ -11660,6 +11755,7 @@ dependencies = [
"cumulus-pallet-session-benchmarking",
"cumulus-pallet-xcm",
"cumulus-pallet-xcmp-queue",
+ "cumulus-primitives-aura",
"cumulus-primitives-core",
"cumulus-primitives-utility",
"enumflags2",
@@ -11758,6 +11854,7 @@ dependencies = [
"cumulus-pallet-session-benchmarking",
"cumulus-pallet-xcm",
"cumulus-pallet-xcmp-queue",
+ "cumulus-primitives-aura",
"cumulus-primitives-core",
"cumulus-primitives-utility",
"enumflags2",
@@ -11848,7 +11945,7 @@ dependencies = [
"pest_meta",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -11869,7 +11966,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "e1d3afd2628e69da2be385eb6f2fd57c8ac7977ceeff6dc166ff1657b0e386a9"
dependencies = [
"fixedbitset",
- "indexmap 2.0.0",
+ "indexmap 2.2.3",
]
[[package]]
@@ -11889,7 +11986,7 @@ checksum = "4359fd9c9171ec6e8c62926d6faaf553a8dc3f64e1507e76da7911b4f6a04405"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -11962,7 +12059,7 @@ dependencies = [
[[package]]
name = "polkadot"
-version = "1.7.0"
+version = "6.0.0"
dependencies = [
"assert_cmd",
"color-eyre",
@@ -12055,6 +12152,7 @@ dependencies = [
"polkadot-node-subsystem-util",
"polkadot-primitives",
"polkadot-primitives-test-helpers",
+ "polkadot-subsystem-bench",
"rand",
"sc-network",
"schnellru",
@@ -12086,6 +12184,7 @@ dependencies = [
"polkadot-node-subsystem-util",
"polkadot-primitives",
"polkadot-primitives-test-helpers",
+ "polkadot-subsystem-bench",
"rand",
"sc-network",
"schnellru",
@@ -12102,7 +12201,7 @@ name = "polkadot-cli"
version = "7.0.0"
dependencies = [
"cfg-if",
- "clap 4.4.18",
+ "clap 4.5.1",
"frame-benchmarking-cli",
"futures",
"log",
@@ -12121,6 +12220,7 @@ dependencies = [
"sp-io",
"sp-keyring",
"sp-maybe-compressed-blob",
+ "sp-runtime",
"substrate-build-script-utils",
"thiserror",
"try-runtime-cli",
@@ -12178,7 +12278,7 @@ dependencies = [
"fatality",
"futures",
"futures-timer",
- "indexmap 2.0.0",
+ "indexmap 2.2.3",
"lazy_static",
"parity-scale-codec",
"polkadot-erasure-coding",
@@ -12223,6 +12323,7 @@ dependencies = [
"futures",
"futures-timer",
"lazy_static",
+ "parking_lot 0.12.1",
"polkadot-node-network-protocol",
"polkadot-node-subsystem",
"polkadot-node-subsystem-test-helpers",
@@ -12309,7 +12410,7 @@ dependencies = [
"kvdb",
"kvdb-memorydb",
"log",
- "merlin 3.0.0",
+ "merlin",
"parity-scale-codec",
"parking_lot 0.12.1",
"polkadot-node-jaeger",
@@ -12384,7 +12485,9 @@ dependencies = [
"polkadot-primitives",
"polkadot-primitives-test-helpers",
"polkadot-statement-table",
+ "rstest",
"sc-keystore",
+ "schnellru",
"sp-application-crypto",
"sp-core",
"sp-keyring",
@@ -12536,6 +12639,7 @@ dependencies = [
"polkadot-node-subsystem-util",
"polkadot-primitives",
"polkadot-primitives-test-helpers",
+ "rstest",
"sc-keystore",
"sp-application-crypto",
"sp-core",
@@ -12559,6 +12663,8 @@ dependencies = [
"polkadot-node-subsystem-util",
"polkadot-primitives",
"polkadot-primitives-test-helpers",
+ "rstest",
+ "schnellru",
"sp-application-crypto",
"sp-keystore",
"thiserror",
@@ -12937,7 +13043,7 @@ dependencies = [
[[package]]
name = "polkadot-parachain-bin"
-version = "1.7.0"
+version = "1.9.0"
dependencies = [
"assert_cmd",
"asset-hub-rococo-runtime",
@@ -12945,7 +13051,7 @@ dependencies = [
"async-trait",
"bridge-hub-rococo-runtime",
"bridge-hub-westend-runtime",
- "clap 4.4.18",
+ "clap 4.5.1",
"collectives-westend-runtime",
"color-print",
"contracts-rococo-runtime",
@@ -13054,6 +13160,7 @@ version = "7.0.0"
dependencies = [
"bitvec",
"hex-literal",
+ "log",
"parity-scale-codec",
"polkadot-core-primitives",
"polkadot-parachain-primitives",
@@ -13146,7 +13253,6 @@ dependencies = [
"pallet-transaction-payment",
"pallet-treasury",
"pallet-vesting",
- "pallet-xcm-benchmarks",
"parity-scale-codec",
"polkadot-primitives",
"polkadot-primitives-test-helpers",
@@ -13220,6 +13326,7 @@ dependencies = [
"polkadot-runtime-metrics",
"rand",
"rand_chacha 0.3.1",
+ "rstest",
"rustc-hex",
"sc-keystore",
"scale-info",
@@ -13251,13 +13358,28 @@ version = "0.0.1"
dependencies = [
"cumulus-pallet-aura-ext",
"cumulus-pallet-parachain-system",
- "docify",
+ "docify 0.2.7",
"frame",
+ "frame-executive",
+ "frame-support",
+ "frame-system",
"kitchensink-runtime",
+ "pallet-assets",
"pallet-aura",
+ "pallet-authorship",
+ "pallet-balances",
+ "pallet-collective",
"pallet-default-config-example",
+ "pallet-democracy",
+ "pallet-example-offchain-worker",
+ "pallet-example-single-block-migrations",
"pallet-examples",
+ "pallet-multisig",
+ "pallet-proxy",
+ "pallet-scheduler",
"pallet-timestamp",
+ "pallet-transaction-payment",
+ "pallet-utility",
"parity-scale-codec",
"sc-cli",
"sc-client-db",
@@ -13276,10 +13398,13 @@ dependencies = [
"sp-core",
"sp-io",
"sp-keyring",
+ "sp-offchain",
"sp-runtime",
+ "sp-version",
"staging-chain-spec-builder",
"staging-node-cli",
"staging-parachain-info",
+ "staging-xcm",
"subkey",
"substrate-wasm-builder",
]
@@ -13290,6 +13415,7 @@ version = "7.0.0"
dependencies = [
"assert_matches",
"async-trait",
+ "bitvec",
"env_logger 0.9.3",
"frame-benchmarking",
"frame-benchmarking-cli",
@@ -13420,7 +13546,7 @@ dependencies = [
"fatality",
"futures",
"futures-timer",
- "indexmap 2.0.0",
+ "indexmap 2.2.3",
"parity-scale-codec",
"polkadot-node-network-protocol",
"polkadot-node-primitives",
@@ -13450,6 +13576,7 @@ dependencies = [
"parity-scale-codec",
"polkadot-primitives",
"sp-core",
+ "tracing-gum",
]
[[package]]
@@ -13458,24 +13585,28 @@ version = "1.0.0"
dependencies = [
"assert_matches",
"async-trait",
+ "bincode",
"bitvec",
- "clap 4.4.18",
+ "clap 4.5.1",
"clap-num",
"color-eyre",
"colored",
"env_logger 0.9.3",
"futures",
"futures-timer",
+ "hex",
"itertools 0.11.0",
"kvdb-memorydb",
"log",
"orchestra",
"parity-scale-codec",
"paste",
+ "polkadot-approval-distribution",
"polkadot-availability-bitfield-distribution",
"polkadot-availability-distribution",
"polkadot-availability-recovery",
"polkadot-erasure-coding",
+ "polkadot-node-core-approval-voting",
"polkadot-node-core-av-store",
"polkadot-node-core-chain-api",
"polkadot-node-metrics",
@@ -13492,17 +13623,24 @@ dependencies = [
"pyroscope",
"pyroscope_pprofrs",
"rand",
+ "rand_chacha 0.3.1",
+ "rand_core 0.6.4",
"rand_distr",
"sc-keystore",
"sc-network",
"sc-service",
+ "schnorrkel 0.11.4",
"serde",
"serde_yaml",
+ "sha1",
"sp-application-crypto",
"sp-consensus",
+ "sp-consensus-babe",
"sp-core",
"sp-keyring",
"sp-keystore",
+ "sp-runtime",
+ "sp-timestamp",
"substrate-prometheus-endpoint",
"tokio",
"tracing-gum",
@@ -13543,7 +13681,7 @@ version = "1.0.0"
dependencies = [
"assert_matches",
"async-trait",
- "clap 4.4.18",
+ "clap 4.5.1",
"color-eyre",
"futures",
"futures-timer",
@@ -13690,26 +13828,66 @@ dependencies = [
name = "polkadot-voter-bags"
version = "7.0.0"
dependencies = [
- "clap 4.4.18",
+ "clap 4.5.1",
"generate-bags",
"sp-io",
"westend-runtime",
]
+[[package]]
+name = "polkavm"
+version = "0.9.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8a3693e5efdb2bf74e449cd25fd777a28bd7ed87e41f5d5da75eb31b4de48b94"
+dependencies = [
+ "libc",
+ "log",
+ "polkavm-assembler",
+ "polkavm-common 0.9.0",
+ "polkavm-linux-raw",
+]
+
+[[package]]
+name = "polkavm-assembler"
+version = "0.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1fa96d6d868243acc12de813dd48e756cbadcc8e13964c70d272753266deadc1"
+dependencies = [
+ "log",
+]
+
[[package]]
name = "polkavm-common"
version = "0.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "88b4e215c80fe876147f3d58158d5dfeae7dabdd6047e175af77095b78d0035c"
+[[package]]
+name = "polkavm-common"
+version = "0.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1d9428a5cfcc85c5d7b9fc4b6a18c4b802d0173d768182a51cc7751640f08b92"
+dependencies = [
+ "log",
+]
+
[[package]]
name = "polkavm-derive"
version = "0.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "6380dbe1fb03ecc74ad55d841cfc75480222d153ba69ddcb00977866cbdabdb8"
dependencies = [
- "polkavm-derive-impl",
- "syn 2.0.48",
+ "polkavm-derive-impl 0.5.0",
+ "syn 2.0.50",
+]
+
+[[package]]
+name = "polkavm-derive"
+version = "0.9.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ae8c4bea6f3e11cd89bb18bcdddac10bd9a24015399bd1c485ad68a985a19606"
+dependencies = [
+ "polkavm-derive-impl-macro",
]
[[package]]
@@ -13718,10 +13896,32 @@ version = "0.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "dc8211b3365bbafb2fb32057d68b0e1ca55d079f5cf6f9da9b98079b94b3987d"
dependencies = [
- "polkavm-common",
+ "polkavm-common 0.5.0",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
+]
+
+[[package]]
+name = "polkavm-derive-impl"
+version = "0.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5c4fdfc49717fb9a196e74a5d28e0bc764eb394a2c803eb11133a31ac996c60c"
+dependencies = [
+ "polkavm-common 0.9.0",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.50",
+]
+
+[[package]]
+name = "polkavm-derive-impl-macro"
+version = "0.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8ba81f7b5faac81e528eb6158a6f3c9e0bb1008e0ffa19653bc8dea925ecb429"
+dependencies = [
+ "polkavm-derive-impl 0.9.0",
+ "syn 2.0.50",
]
[[package]]
@@ -13734,11 +13934,32 @@ dependencies = [
"hashbrown 0.14.3",
"log",
"object 0.32.2",
- "polkavm-common",
+ "polkavm-common 0.5.0",
"regalloc2 0.9.3",
"rustc-demangle",
]
+[[package]]
+name = "polkavm-linker"
+version = "0.9.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9c7be503e60cf56c0eb785f90aaba4b583b36bff00e93997d93fef97f9553c39"
+dependencies = [
+ "gimli 0.28.0",
+ "hashbrown 0.14.3",
+ "log",
+ "object 0.32.2",
+ "polkavm-common 0.9.0",
+ "regalloc2 0.9.3",
+ "rustc-demangle",
+]
+
+[[package]]
+name = "polkavm-linux-raw"
+version = "0.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "26e85d3456948e650dff0cfc85603915847faf893ed1e66b020bb82ef4557120"
+
[[package]]
name = "polling"
version = "2.8.0"
@@ -13763,7 +13984,7 @@ checksum = "048aeb476be11a4b6ca432ca569e375810de9294ae78f4774e78ea98a9246ede"
dependencies = [
"cpufeatures",
"opaque-debug 0.3.0",
- "universal-hash 0.4.1",
+ "universal-hash 0.4.0",
]
[[package]]
@@ -13786,7 +14007,7 @@ dependencies = [
"cfg-if",
"cpufeatures",
"opaque-debug 0.3.0",
- "universal-hash 0.4.1",
+ "universal-hash 0.4.0",
]
[[package]]
@@ -13911,7 +14132,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "6c64d9ba0963cdcea2e1b2230fbae2bab30eb25a174be395c41e764bfb65dd62"
dependencies = [
"proc-macro2",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -14002,7 +14223,7 @@ checksum = "9b698b0b09d40e9b7c1a47b132d66a8b54bcd20583d9b6d06e4535e383b4405c"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -14074,7 +14295,7 @@ checksum = "440f724eba9f6996b75d63681b0a92b06947f1457076d503a4d2e2c8f56442b8"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -14174,7 +14395,7 @@ dependencies = [
"itertools 0.11.0",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -14225,6 +14446,22 @@ dependencies = [
"thiserror",
]
+[[package]]
+name = "quanta"
+version = "0.11.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a17e662a7a8291a865152364c20c7abc5e60486ab2001e8ec10b24862de0b9ab"
+dependencies = [
+ "crossbeam-utils",
+ "libc",
+ "mach2",
+ "once_cell",
+ "raw-cpuid",
+ "wasi 0.11.0+wasi-snapshot-preview1",
+ "web-sys",
+ "winapi",
+]
+
[[package]]
name = "quick-error"
version = "1.2.3"
@@ -14385,6 +14622,15 @@ dependencies = [
"rand_core 0.6.4",
]
+[[package]]
+name = "raw-cpuid"
+version = "10.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6c297679cb867470fa8c9f67dbba74a78d78e3e98d7cf2b08d6d71540f797332"
+dependencies = [
+ "bitflags 1.3.2",
+]
+
[[package]]
name = "rawpointer"
version = "0.2.1"
@@ -14492,7 +14738,7 @@ checksum = "7f7473c2cfcf90008193dd0e3e16599455cb601a9fce322b5bb55de799664925"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -14570,11 +14816,17 @@ version = "0.8.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "c08c74e62047bb2de4ff487b251e4a92e24f48745648451635cec7d591162d9f"
+[[package]]
+name = "relative-path"
+version = "1.9.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e898588f33fdd5b9420719948f9f2a32c922a246964576f71ba7f24f80610fbc"
+
[[package]]
name = "remote-ext-tests-bags-list"
version = "1.0.0"
dependencies = [
- "clap 4.4.18",
+ "clap 4.5.1",
"frame-system",
"log",
"pallet-bags-list-remote-tests",
@@ -14609,12 +14861,12 @@ dependencies = [
"percent-encoding",
"pin-project-lite 0.2.12",
"rustls 0.21.6",
- "rustls-pemfile",
+ "rustls-pemfile 1.0.3",
"serde",
"serde_json",
"serde_urlencoded",
"tokio",
- "tokio-rustls",
+ "tokio-rustls 0.24.1",
"tower-service",
"url",
"wasm-bindgen",
@@ -14641,7 +14893,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "f8dd2a808d456c4a54e300a23e9f5a67e122c3024119acbfd73e3bf664491cb2"
dependencies = [
"hmac 0.12.1",
- "subtle 2.4.1",
+ "subtle 2.5.0",
]
[[package]]
@@ -14657,7 +14909,7 @@ dependencies = [
"blake2 0.10.6",
"common",
"fflonk",
- "merlin 3.0.0",
+ "merlin",
]
[[package]]
@@ -14952,6 +15204,35 @@ dependencies = [
"winapi",
]
+[[package]]
+name = "rstest"
+version = "0.18.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "97eeab2f3c0a199bc4be135c36c924b6590b88c377d416494288c14f2db30199"
+dependencies = [
+ "futures",
+ "futures-timer",
+ "rstest_macros",
+ "rustc_version 0.4.0",
+]
+
+[[package]]
+name = "rstest_macros"
+version = "0.18.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d428f8247852f894ee1be110b375111b586d4fa431f6c46e64ba5a0dcccbe605"
+dependencies = [
+ "cfg-if",
+ "glob",
+ "proc-macro2",
+ "quote",
+ "regex",
+ "relative-path",
+ "rustc_version 0.4.0",
+ "syn 2.0.50",
+ "unicode-ident",
+]
+
[[package]]
name = "rtnetlink"
version = "0.10.1"
@@ -15122,10 +15403,24 @@ checksum = "1d1feddffcfcc0b33f5c6ce9a29e341e4cd59c3f78e7ee45f4a40c038b1d6cbb"
dependencies = [
"log",
"ring 0.16.20",
- "rustls-webpki",
+ "rustls-webpki 0.101.4",
"sct",
]
+[[package]]
+name = "rustls"
+version = "0.22.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e87c9956bd9807afa1f77e0f7594af32566e830e088a5576d27c5b6f30f49d41"
+dependencies = [
+ "log",
+ "ring 0.17.7",
+ "rustls-pki-types",
+ "rustls-webpki 0.102.2",
+ "subtle 2.5.0",
+ "zeroize",
+]
+
[[package]]
name = "rustls-native-certs"
version = "0.6.3"
@@ -15133,7 +15428,20 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "a9aace74cb666635c918e9c12bc0d348266037aa8eb599b5cba565709a8dff00"
dependencies = [
"openssl-probe",
- "rustls-pemfile",
+ "rustls-pemfile 1.0.3",
+ "schannel",
+ "security-framework",
+]
+
+[[package]]
+name = "rustls-native-certs"
+version = "0.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f1fb85efa936c42c6d5fc28d2629bb51e4b2f4b8a5211e297d599cc5a093792"
+dependencies = [
+ "openssl-probe",
+ "rustls-pemfile 2.0.0",
+ "rustls-pki-types",
"schannel",
"security-framework",
]
@@ -15147,6 +15455,22 @@ dependencies = [
"base64 0.21.2",
]
+[[package]]
+name = "rustls-pemfile"
+version = "2.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "35e4980fa29e4c4b212ffb3db068a564cbf560e51d3944b7c88bd8bf5bec64f4"
+dependencies = [
+ "base64 0.21.2",
+ "rustls-pki-types",
+]
+
+[[package]]
+name = "rustls-pki-types"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0a716eb65e3158e90e17cd93d855216e27bde02745ab842f2cab4a39dba1bacf"
+
[[package]]
name = "rustls-webpki"
version = "0.101.4"
@@ -15157,6 +15481,17 @@ dependencies = [
"untrusted 0.7.1",
]
+[[package]]
+name = "rustls-webpki"
+version = "0.102.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "faaa0a62740bedb9b2ef5afa303da42764c012f743917351dc9a237ea1663610"
+dependencies = [
+ "ring 0.17.7",
+ "rustls-pki-types",
+ "untrusted 0.9.0",
+]
+
[[package]]
name = "rustversion"
version = "1.0.14"
@@ -15317,7 +15652,7 @@ name = "sc-chain-spec"
version = "27.0.0"
dependencies = [
"array-bytes 6.1.0",
- "docify",
+ "docify 0.2.7",
"log",
"memmap2 0.9.3",
"parity-scale-codec",
@@ -15348,7 +15683,7 @@ dependencies = [
"proc-macro-crate 3.0.0",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -15356,9 +15691,8 @@ name = "sc-cli"
version = "0.36.0"
dependencies = [
"array-bytes 6.1.0",
- "bip39",
"chrono",
- "clap 4.4.18",
+ "clap 4.5.1",
"fdlimit",
"futures",
"futures-timer",
@@ -15366,6 +15700,7 @@ dependencies = [
"libp2p-identity",
"log",
"names",
+ "parity-bip39",
"parity-scale-codec",
"rand",
"regex",
@@ -15669,7 +16004,7 @@ dependencies = [
name = "sc-consensus-grandpa"
version = "0.19.0"
dependencies = [
- "ahash 0.8.7",
+ "ahash 0.8.8",
"array-bytes 6.1.0",
"assert_matches",
"async-trait",
@@ -15837,6 +16172,7 @@ dependencies = [
"paste",
"regex",
"sc-executor-common",
+ "sc-executor-polkavm",
"sc-executor-wasmtime",
"sc-runtime-test",
"sc-tracing",
@@ -15866,6 +16202,7 @@ dependencies = [
name = "sc-executor-common"
version = "0.29.0"
dependencies = [
+ "polkavm",
"sc-allocator",
"sp-maybe-compressed-blob",
"sp-wasm-interface 20.0.0",
@@ -15873,6 +16210,16 @@ dependencies = [
"wasm-instrument",
]
+[[package]]
+name = "sc-executor-polkavm"
+version = "0.29.0"
+dependencies = [
+ "log",
+ "polkavm",
+ "sc-executor-common",
+ "sp-wasm-interface 20.0.0",
+]
+
[[package]]
name = "sc-executor-wasmtime"
version = "0.29.0"
@@ -16055,7 +16402,7 @@ dependencies = [
name = "sc-network-gossip"
version = "0.34.0"
dependencies = [
- "ahash 0.8.7",
+ "ahash 0.8.8",
"async-trait",
"futures",
"futures-timer",
@@ -16314,7 +16661,10 @@ dependencies = [
name = "sc-rpc-server"
version = "11.0.0"
dependencies = [
+ "futures",
+ "governor",
"http",
+ "hyper",
"jsonrpsee",
"log",
"serde_json",
@@ -16338,11 +16688,13 @@ dependencies = [
"parity-scale-codec",
"parking_lot 0.12.1",
"pretty_assertions",
+ "rand",
"sc-block-builder",
"sc-chain-spec",
"sc-client-api",
"sc-rpc",
"sc-service",
+ "sc-transaction-pool",
"sc-transaction-pool-api",
"sc-utils",
"serde",
@@ -16358,6 +16710,7 @@ dependencies = [
"sp-version",
"substrate-test-runtime",
"substrate-test-runtime-client",
+ "substrate-test-runtime-transaction-pool",
"thiserror",
"tokio",
"tokio-stream",
@@ -16412,6 +16765,7 @@ dependencies = [
"sc-transaction-pool",
"sc-transaction-pool-api",
"sc-utils",
+ "schnellru",
"serde",
"serde_json",
"sp-api",
@@ -16509,7 +16863,7 @@ dependencies = [
name = "sc-storage-monitor"
version = "0.16.0"
dependencies = [
- "clap 4.4.18",
+ "clap 4.5.1",
"fs4",
"log",
"sp-core",
@@ -16611,7 +16965,7 @@ dependencies = [
"proc-macro-crate 3.0.0",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -16744,27 +17098,11 @@ version = "0.2.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "772575a524feeb803e5b0fcbc6dd9f367e579488197c94c6e4023aad2305774d"
dependencies = [
- "ahash 0.8.7",
+ "ahash 0.8.8",
"cfg-if",
"hashbrown 0.13.2",
]
-[[package]]
-name = "schnorrkel"
-version = "0.9.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "021b403afe70d81eea68f6ea12f6b3c9588e5d536a94c3bf80f15e7faa267862"
-dependencies = [
- "arrayref",
- "arrayvec 0.5.2",
- "curve25519-dalek 2.1.3",
- "merlin 2.0.1",
- "rand_core 0.5.1",
- "sha2 0.8.2",
- "subtle 2.4.1",
- "zeroize",
-]
-
[[package]]
name = "schnorrkel"
version = "0.10.2"
@@ -16774,7 +17112,7 @@ dependencies = [
"arrayref",
"arrayvec 0.7.4",
"curve25519-dalek-ng",
- "merlin 3.0.0",
+ "merlin",
"rand_core 0.6.4",
"sha2 0.9.9",
"subtle-ng",
@@ -16790,13 +17128,13 @@ dependencies = [
"aead 0.5.2",
"arrayref",
"arrayvec 0.7.4",
- "curve25519-dalek 4.1.1",
+ "curve25519-dalek 4.1.2",
"getrandom_or_panic",
- "merlin 3.0.0",
+ "merlin",
"rand_core 0.6.4",
"serde_bytes",
"sha2 0.10.7",
- "subtle 2.4.1",
+ "subtle 2.5.0",
"zeroize",
]
@@ -16838,7 +17176,8 @@ dependencies = [
"der",
"generic-array 0.14.7",
"pkcs8",
- "subtle 2.4.1",
+ "serdect",
+ "subtle 2.5.0",
"zeroize",
]
@@ -16995,9 +17334,9 @@ checksum = "f97841a747eef040fcd2e7b3b9a220a7205926e60488e673d9e4926d27772ce5"
[[package]]
name = "serde"
-version = "1.0.195"
+version = "1.0.197"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "63261df402c67811e9ac6def069e4786148c4563f4b50fd4bf30aa370d626b02"
+checksum = "3fb1c873e1b9b056a4dc4c0c198b24c3ffa059243875552b2bd0933b1aee4ce2"
dependencies = [
"serde_derive",
]
@@ -17022,13 +17361,13 @@ dependencies = [
[[package]]
name = "serde_derive"
-version = "1.0.195"
+version = "1.0.197"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "46fe8f8603d81ba86327b23a2e9cdf49e1255fb94a4c5f297f6ee0547178ea2c"
+checksum = "7eb0b34b42edc17f6b7cac84a52a1c5f0e1bb2227e997ca9011ea3dd34e8610b"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -17053,9 +17392,9 @@ dependencies = [
[[package]]
name = "serde_json"
-version = "1.0.111"
+version = "1.0.114"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "176e46fa42316f18edd598015a5166857fc835ec732f5215eac6b7bdbf0a84f4"
+checksum = "c5f09b1bd632ef549eaa9f60a1f8de742bdbc698e6cee2095fc84dde5f549ae0"
dependencies = [
"itoa",
"ryu",
@@ -17085,17 +17424,27 @@ dependencies = [
[[package]]
name = "serde_yaml"
-version = "0.9.30"
+version = "0.9.32"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b1bf28c79a99f70ee1f1d83d10c875d2e70618417fda01ad1785e027579d9d38"
+checksum = "8fd075d994154d4a774f95b51fb96bdc2832b0ea48425c92546073816cda1f2f"
dependencies = [
- "indexmap 2.0.0",
+ "indexmap 2.2.3",
"itoa",
"ryu",
"serde",
"unsafe-libyaml",
]
+[[package]]
+name = "serdect"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a84f14a19e9a014bb9f4512488d9829a68e04ecabffb0f9904cd1ace94598177"
+dependencies = [
+ "base16ct",
+ "serde",
+]
+
[[package]]
name = "serial_test"
version = "2.0.0"
@@ -17118,7 +17467,7 @@ checksum = "91d129178576168c589c9ec973feedf7d3126c01ac2bf08795109aa35b69fb8f"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -17147,27 +17496,15 @@ dependencies = [
[[package]]
name = "sha1"
-version = "0.10.5"
+version = "0.10.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f04293dc80c3993519f2d7f6f511707ee7094fe0c6d3406feb330cdb3540eba3"
+checksum = "e3bf829a2d51ab4a5ddf1352d8470c140cadc8301b2ae1789db023f01cedd6ba"
dependencies = [
"cfg-if",
"cpufeatures",
"digest 0.10.7",
]
-[[package]]
-name = "sha2"
-version = "0.8.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a256f46ea78a0c0d9ff00077504903ac881a1dafdc20da66545699e7776b3e69"
-dependencies = [
- "block-buffer 0.7.3",
- "digest 0.8.1",
- "fake-simd",
- "opaque-debug 0.2.3",
-]
-
[[package]]
name = "sha2"
version = "0.9.9"
@@ -17298,8 +17635,9 @@ dependencies = [
[[package]]
name = "simple-mermaid"
-version = "0.1.0"
-source = "git+https://github.com/kianenigma/simple-mermaid.git?rev=e48b187bcfd5cc75111acd9d241f1bd36604344b#e48b187bcfd5cc75111acd9d241f1bd36604344b"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "620a1d43d70e142b1d46a929af51d44f383db9c7a2ec122de2cd992ccfcf3c18"
[[package]]
name = "siphasher"
@@ -17358,7 +17696,7 @@ dependencies = [
"async-executor",
"async-fs",
"async-io",
- "async-lock",
+ "async-lock 2.8.0",
"async-net",
"async-process",
"blocking",
@@ -17381,7 +17719,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "c0bb30cf57b7b5f6109ce17c3164445e2d6f270af2cb48f6e4d31c2967c9a9f5"
dependencies = [
"arrayvec 0.7.4",
- "async-lock",
+ "async-lock 2.8.0",
"atomic-take",
"base64 0.21.2",
"bip39",
@@ -17392,7 +17730,7 @@ dependencies = [
"derive_more",
"ed25519-zebra 4.0.3",
"either",
- "event-listener",
+ "event-listener 2.5.3",
"fnv",
"futures-lite",
"futures-util",
@@ -17401,13 +17739,13 @@ dependencies = [
"hmac 0.12.1",
"itertools 0.11.0",
"libsecp256k1",
- "merlin 3.0.0",
+ "merlin",
"no-std-net",
"nom",
"num-bigint",
"num-rational",
"num-traits",
- "pbkdf2 0.12.2",
+ "pbkdf2",
"pin-project",
"poly1305 0.8.0",
"rand",
@@ -17435,12 +17773,12 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "256b5bad1d6b49045e95fe87492ce73d5af81545d8b4d8318a872d2007024c33"
dependencies = [
"async-channel",
- "async-lock",
+ "async-lock 2.8.0",
"base64 0.21.2",
"blake2-rfc",
"derive_more",
"either",
- "event-listener",
+ "event-listener 2.5.3",
"fnv",
"futures-channel",
"futures-lite",
@@ -17476,15 +17814,15 @@ version = "0.9.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "0c9d1425eb528a21de2755c75af4c9b5d57f50a0d4c3b7f1828a4cd03f8ba155"
dependencies = [
- "aes-gcm 0.9.4",
+ "aes-gcm 0.9.2",
"blake2 0.10.6",
"chacha20poly1305",
- "curve25519-dalek 4.1.1",
+ "curve25519-dalek 4.1.2",
"rand_core 0.6.4",
"ring 0.16.20",
"rustc_version 0.4.0",
"sha2 0.10.7",
- "subtle 2.4.1",
+ "subtle 2.5.0",
]
[[package]]
@@ -17499,7 +17837,7 @@ dependencies = [
[[package]]
name = "snowbridge-beacon-primitives"
-version = "0.0.0"
+version = "0.2.0"
dependencies = [
"byte-slice-cast",
"frame-support",
@@ -17523,7 +17861,7 @@ dependencies = [
[[package]]
name = "snowbridge-core"
-version = "0.0.0"
+version = "0.2.0"
dependencies = [
"ethabi-decode",
"frame-support",
@@ -17546,7 +17884,7 @@ dependencies = [
[[package]]
name = "snowbridge-ethereum"
-version = "0.1.0"
+version = "0.3.0"
dependencies = [
"ethabi-decode",
"ethbloom",
@@ -17585,7 +17923,7 @@ dependencies = [
[[package]]
name = "snowbridge-outbound-queue-merkle-tree"
-version = "0.1.1"
+version = "0.3.0"
dependencies = [
"array-bytes 4.2.0",
"env_logger 0.9.3",
@@ -17600,7 +17938,7 @@ dependencies = [
[[package]]
name = "snowbridge-outbound-queue-runtime-api"
-version = "0.0.0"
+version = "0.2.0"
dependencies = [
"frame-support",
"parity-scale-codec",
@@ -17614,7 +17952,7 @@ dependencies = [
[[package]]
name = "snowbridge-pallet-ethereum-client"
-version = "0.0.0"
+version = "0.2.0"
dependencies = [
"bp-runtime",
"byte-slice-cast",
@@ -17660,7 +17998,7 @@ dependencies = [
[[package]]
name = "snowbridge-pallet-inbound-queue"
-version = "0.0.0"
+version = "0.2.0"
dependencies = [
"alloy-primitives",
"alloy-rlp",
@@ -17693,7 +18031,7 @@ dependencies = [
[[package]]
name = "snowbridge-pallet-inbound-queue-fixtures"
-version = "0.9.0"
+version = "0.10.0"
dependencies = [
"frame-benchmarking",
"frame-support",
@@ -17707,7 +18045,7 @@ dependencies = [
[[package]]
name = "snowbridge-pallet-outbound-queue"
-version = "0.0.0"
+version = "0.2.0"
dependencies = [
"bridge-hub-common",
"ethabi-decode",
@@ -17732,7 +18070,7 @@ dependencies = [
[[package]]
name = "snowbridge-pallet-system"
-version = "0.0.0"
+version = "0.2.0"
dependencies = [
"ethabi-decode",
"frame-benchmarking",
@@ -17760,7 +18098,7 @@ dependencies = [
[[package]]
name = "snowbridge-router-primitives"
-version = "0.0.0"
+version = "0.9.0"
dependencies = [
"ethabi-decode",
"frame-support",
@@ -17783,7 +18121,7 @@ dependencies = [
[[package]]
name = "snowbridge-runtime-common"
-version = "0.0.0"
+version = "0.2.0"
dependencies = [
"frame-support",
"frame-system",
@@ -17799,7 +18137,7 @@ dependencies = [
[[package]]
name = "snowbridge-runtime-test-common"
-version = "0.0.0"
+version = "0.2.0"
dependencies = [
"assets-common",
"bridge-hub-test-utils",
@@ -17876,7 +18214,7 @@ dependencies = [
[[package]]
name = "snowbridge-system-runtime-api"
-version = "0.0.0"
+version = "0.2.0"
dependencies = [
"parity-scale-codec",
"snowbridge-core",
@@ -17923,6 +18261,87 @@ dependencies = [
"sha-1 0.9.8",
]
+[[package]]
+name = "solochain-template-node"
+version = "0.0.0"
+dependencies = [
+ "clap 4.5.1",
+ "frame-benchmarking-cli",
+ "frame-system",
+ "futures",
+ "jsonrpsee",
+ "pallet-transaction-payment",
+ "pallet-transaction-payment-rpc",
+ "sc-basic-authorship",
+ "sc-cli",
+ "sc-client-api",
+ "sc-consensus",
+ "sc-consensus-aura",
+ "sc-consensus-grandpa",
+ "sc-executor",
+ "sc-network",
+ "sc-offchain",
+ "sc-rpc-api",
+ "sc-service",
+ "sc-telemetry",
+ "sc-transaction-pool",
+ "sc-transaction-pool-api",
+ "serde_json",
+ "solochain-template-runtime",
+ "sp-api",
+ "sp-block-builder",
+ "sp-blockchain",
+ "sp-consensus-aura",
+ "sp-consensus-grandpa",
+ "sp-core",
+ "sp-inherents",
+ "sp-io",
+ "sp-keyring",
+ "sp-runtime",
+ "sp-timestamp",
+ "substrate-build-script-utils",
+ "substrate-frame-rpc-system",
+ "try-runtime-cli",
+]
+
+[[package]]
+name = "solochain-template-runtime"
+version = "0.0.0"
+dependencies = [
+ "frame-benchmarking",
+ "frame-executive",
+ "frame-support",
+ "frame-system",
+ "frame-system-benchmarking",
+ "frame-system-rpc-runtime-api",
+ "frame-try-runtime",
+ "pallet-aura",
+ "pallet-balances",
+ "pallet-grandpa",
+ "pallet-sudo",
+ "pallet-template",
+ "pallet-timestamp",
+ "pallet-transaction-payment",
+ "pallet-transaction-payment-rpc-runtime-api",
+ "parity-scale-codec",
+ "scale-info",
+ "sp-api",
+ "sp-block-builder",
+ "sp-consensus-aura",
+ "sp-consensus-grandpa",
+ "sp-core",
+ "sp-genesis-builder",
+ "sp-inherents",
+ "sp-offchain",
+ "sp-runtime",
+ "sp-session",
+ "sp-std 14.0.0",
+ "sp-storage 19.0.0",
+ "sp-transaction-pool",
+ "sp-version",
+ "substrate-wasm-builder",
+]
+
[[package]]
name = "sp-api"
version = "26.0.0"
@@ -17936,6 +18355,7 @@ dependencies = [
"sp-externalities 0.25.0",
"sp-metadata-ir",
"sp-runtime",
+ "sp-runtime-interface 24.0.0",
"sp-state-machine",
"sp-std 14.0.0",
"sp-test-primitives",
@@ -17955,7 +18375,7 @@ dependencies = [
"proc-macro-crate 3.0.0",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -18152,6 +18572,7 @@ dependencies = [
"sp-core",
"sp-crypto-hashing",
"sp-io",
+ "sp-keystore",
"sp-mmr-primitives",
"sp-runtime",
"sp-std 14.0.0",
@@ -18219,7 +18640,6 @@ version = "28.0.0"
dependencies = [
"array-bytes 6.1.0",
"bandersnatch_vrfs",
- "bip39",
"bitflags 1.3.2",
"blake2 0.10.6",
"bounded-collections",
@@ -18232,10 +18652,12 @@ dependencies = [
"hash256-std-hasher",
"impl-serde",
"itertools 0.10.5",
+ "k256",
"lazy_static",
"libsecp256k1",
"log",
- "merlin 3.0.0",
+ "merlin",
+ "parity-bip39",
"parity-scale-codec",
"parking_lot 0.12.1",
"paste",
@@ -18347,7 +18769,7 @@ version = "0.0.0"
dependencies = [
"quote",
"sp-crypto-hashing",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -18365,7 +18787,7 @@ source = "git+https://github.com/paritytech/polkadot-sdk#82912acb33a9030c0ef3bf5
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -18374,7 +18796,7 @@ version = "14.0.0"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -18431,6 +18853,7 @@ dependencies = [
"libsecp256k1",
"log",
"parity-scale-codec",
+ "polkavm-derive 0.9.1",
"rustversion",
"secp256k1",
"sp-core",
@@ -18465,7 +18888,6 @@ dependencies = [
"rand_chacha 0.2.2",
"sp-core",
"sp-externalities 0.25.0",
- "thiserror",
]
[[package]]
@@ -18534,7 +18956,7 @@ dependencies = [
name = "sp-npos-elections-fuzzer"
version = "2.0.0-alpha.5"
dependencies = [
- "clap 4.4.18",
+ "clap 4.5.1",
"honggfuzz",
"rand",
"sp-npos-elections",
@@ -18573,7 +18995,7 @@ dependencies = [
name = "sp-runtime"
version = "31.0.1"
dependencies = [
- "docify",
+ "docify 0.2.7",
"either",
"hash256-std-hasher",
"impl-trait-for-tuples",
@@ -18623,6 +19045,7 @@ dependencies = [
"bytes",
"impl-trait-for-tuples",
"parity-scale-codec",
+ "polkavm-derive 0.9.1",
"primitive-types",
"rustversion",
"sp-core",
@@ -18648,7 +19071,7 @@ dependencies = [
"proc-macro-crate 1.3.1",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -18660,7 +19083,7 @@ dependencies = [
"proc-macro-crate 3.0.0",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -18757,7 +19180,7 @@ name = "sp-statement-store"
version = "10.0.0"
dependencies = [
"aes-gcm 0.10.3",
- "curve25519-dalek 4.1.1",
+ "curve25519-dalek 4.1.2",
"ed25519-dalek",
"hkdf",
"parity-scale-codec",
@@ -18884,7 +19307,7 @@ dependencies = [
name = "sp-trie"
version = "29.0.0"
dependencies = [
- "ahash 0.8.7",
+ "ahash 0.8.8",
"array-bytes 6.1.0",
"criterion 0.4.0",
"hash-db",
@@ -18932,7 +19355,7 @@ dependencies = [
"proc-macro2",
"quote",
"sp-version",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -19056,7 +19479,7 @@ checksum = "a8f112729512f8e442d81f95a8a7ddf2b7c6b8a1a6f509a95864142b30cab2d3"
name = "staging-chain-spec-builder"
version = "2.0.0"
dependencies = [
- "clap 4.4.18",
+ "clap 4.5.1",
"log",
"sc-chain-spec",
"serde_json",
@@ -19069,7 +19492,7 @@ version = "3.0.0-dev"
dependencies = [
"array-bytes 6.1.0",
"assert_cmd",
- "clap 4.4.18",
+ "clap 4.5.1",
"clap_complete",
"criterion 0.4.0",
"frame-benchmarking",
@@ -19179,7 +19602,7 @@ dependencies = [
name = "staging-node-inspect"
version = "0.12.0"
dependencies = [
- "clap 4.4.18",
+ "clap 4.5.1",
"parity-scale-codec",
"sc-cli",
"sc-client-api",
@@ -19323,7 +19746,7 @@ dependencies = [
"bitflags 1.3.2",
"byteorder",
"keccak",
- "subtle 2.4.1",
+ "subtle 2.5.0",
"zeroize",
]
@@ -19333,6 +19756,12 @@ version = "0.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "73473c0e59e6d5812c5dfe2a064a6444949f089e20eec9a2e5506596494e4623"
+[[package]]
+name = "strsim"
+version = "0.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5ee073c9e4cd00e28217186dbe12796d692868f432bf2e97ee73bed0c56dfa01"
+
[[package]]
name = "strum"
version = "0.24.1"
@@ -19371,27 +19800,27 @@ dependencies = [
"proc-macro2",
"quote",
"rustversion",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
name = "subkey"
version = "9.0.0"
dependencies = [
- "clap 4.4.18",
+ "clap 4.5.1",
"sc-cli",
]
[[package]]
name = "substrate-bip39"
-version = "0.4.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e620c7098893ba667438b47169c00aacdd9e7c10e042250ce2b60b087ec97328"
+version = "0.4.7"
dependencies = [
- "hmac 0.11.0",
- "pbkdf2 0.8.0",
- "schnorrkel 0.9.1",
- "sha2 0.9.9",
+ "bip39",
+ "hmac 0.12.1",
+ "pbkdf2",
+ "rustc-hex",
+ "schnorrkel 0.11.4",
+ "sha2 0.10.7",
"zeroize",
]
@@ -19420,7 +19849,7 @@ dependencies = [
name = "substrate-frame-cli"
version = "32.0.0"
dependencies = [
- "clap 4.4.18",
+ "clap 4.5.1",
"frame-support",
"frame-system",
"sc-cli",
@@ -19638,6 +20067,7 @@ dependencies = [
"console",
"filetime",
"parity-wasm",
+ "polkavm-linker 0.9.2",
"sp-maybe-compressed-blob",
"strum 0.24.1",
"tempfile",
@@ -19654,9 +20084,9 @@ checksum = "2d67a5a62ba6e01cb2192ff309324cb4875d0c451d55fe2319433abe7a05a8ee"
[[package]]
name = "subtle"
-version = "2.4.1"
+version = "2.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6bdef32e8150c2a081110b42772ffe7d7c9032b606bc226c8260fd97e0976601"
+checksum = "81cdd64d312baedb58e21336b31bc043b77e01cc99033ce76ef539f78e965ebc"
[[package]]
name = "subtle-ng"
@@ -19768,9 +20198,9 @@ dependencies = [
[[package]]
name = "syn"
-version = "2.0.48"
+version = "2.0.50"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0f3531638e407dfc0814761abb7c00a5b54992b849452a0646b7f65c9f770f3f"
+checksum = "74f1bdc9872430ce9b75da68329d1c1746faf50ffac5f19e02b71e37ff881ffb"
dependencies = [
"proc-macro2",
"quote",
@@ -19786,7 +20216,7 @@ dependencies = [
"paste",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -19900,7 +20330,7 @@ dependencies = [
name = "test-parachain-adder-collator"
version = "1.0.0"
dependencies = [
- "clap 4.4.18",
+ "clap 4.5.1",
"futures",
"futures-timer",
"log",
@@ -19948,7 +20378,7 @@ dependencies = [
name = "test-parachain-undying-collator"
version = "1.0.0"
dependencies = [
- "clap 4.4.18",
+ "clap 4.5.1",
"futures",
"futures-timer",
"log",
@@ -19998,6 +20428,7 @@ dependencies = [
name = "testnet-parachains-constants"
version = "1.0.0"
dependencies = [
+ "cumulus-primitives-core",
"frame-support",
"polkadot-core-primitives",
"rococo-runtime-constants",
@@ -20050,7 +20481,7 @@ checksum = "266b2e40bc00e5a6c09c3584011e08b06f123c00362c92b975ba9843aaaa14b8"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -20211,7 +20642,7 @@ checksum = "630bdcf245f78637c13ec01ffae6187cca34625e8c63150d424b59e55af2675e"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -20235,6 +20666,17 @@ dependencies = [
"tokio",
]
+[[package]]
+name = "tokio-rustls"
+version = "0.25.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "775e0c0f0adb3a2f22a00c4745d728b479985fc15ee7ca6a2608388c5569860f"
+dependencies = [
+ "rustls 0.22.2",
+ "rustls-pki-types",
+ "tokio",
+]
+
[[package]]
name = "tokio-stream"
version = "0.1.14"
@@ -20323,7 +20765,7 @@ version = "0.19.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "1b5bb770da30e5cbfde35a2d7b9b8a2c4b8ef89548a7a6aeab5c9a576e3e7421"
dependencies = [
- "indexmap 2.0.0",
+ "indexmap 2.2.3",
"toml_datetime",
"winnow",
]
@@ -20334,7 +20776,7 @@ version = "0.21.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "d34d383cd00a163b4a5b85053df514d45bc330f6de7737edfe0a93311d1eaa03"
dependencies = [
- "indexmap 2.0.0",
+ "indexmap 2.2.3",
"serde",
"serde_spanned",
"toml_datetime",
@@ -20407,7 +20849,7 @@ checksum = "5f4f31f56159e98206da9efd823404b79b6ef3143b4a7ab76e67b1751b25a4ab"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -20449,7 +20891,7 @@ dependencies = [
"proc-macro-crate 3.0.0",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -20631,7 +21073,7 @@ version = "0.38.0"
dependencies = [
"assert_cmd",
"async-trait",
- "clap 4.4.18",
+ "clap 4.5.1",
"frame-remote-externalities",
"frame-try-runtime",
"hex",
@@ -20784,12 +21226,12 @@ checksum = "f962df74c8c05a667b5ee8bcf162993134c104e96440b663c8daa176dc772d8c"
[[package]]
name = "universal-hash"
-version = "0.4.1"
+version = "0.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9f214e8f697e925001e66ec2c6e37a4ef93f0f78c2eed7814394e10c62025b05"
+checksum = "8326b2c654932e3e4f9196e69d08fdf7cfd718e1dc6f66b347e6024a0c961402"
dependencies = [
"generic-array 0.14.7",
- "subtle 2.4.1",
+ "subtle 2.5.0",
]
[[package]]
@@ -20799,7 +21241,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "fc1de2c688dc15305988b563c3854064043356019f97a4b46276fe734c4f07ea"
dependencies = [
"crypto-common",
- "subtle 2.4.1",
+ "subtle 2.5.0",
]
[[package]]
@@ -21014,7 +21456,7 @@ dependencies = [
"once_cell",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
"wasm-bindgen-shared",
]
@@ -21048,7 +21490,7 @@ checksum = "54681b18a46765f095758388f2d0cf16eb8d4169b639ab575a8f5693af210c7b"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
"wasm-bindgen-backend",
"wasm-bindgen-shared",
]
@@ -21158,9 +21600,9 @@ dependencies = [
[[package]]
name = "wasmi"
-version = "0.31.0"
+version = "0.31.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1f341edb80021141d4ae6468cbeefc50798716a347d4085c3811900049ea8945"
+checksum = "77a8281d1d660cdf54c76a3efa9ddd0c270cada1383a995db3ccb43d166456c7"
dependencies = [
"smallvec",
"spin 0.9.8",
@@ -21171,9 +21613,9 @@ dependencies = [
[[package]]
name = "wasmi_arena"
-version = "0.4.0"
+version = "0.4.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "401c1f35e413fac1846d4843745589d9ec678977ab35a384db8ae7830525d468"
+checksum = "104a7f73be44570cac297b3035d76b169d6599637631cf37a1703326a0727073"
[[package]]
name = "wasmi_core"
@@ -21967,7 +22409,7 @@ version = "2.0.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "fb66477291e7e8d2b0ff1bcb900bf29489a9692816d79874bea351e7a8b6de96"
dependencies = [
- "curve25519-dalek 4.1.1",
+ "curve25519-dalek 4.1.2",
"rand_core 0.6.4",
"serde",
"zeroize",
@@ -22043,10 +22485,12 @@ dependencies = [
"pallet-transaction-payment",
"pallet-xcm",
"parity-scale-codec",
+ "polkadot-service",
"polkadot-test-client",
"polkadot-test-runtime",
"polkadot-test-service",
"sp-consensus",
+ "sp-core",
"sp-keyring",
"sp-runtime",
"sp-state-machine",
@@ -22063,7 +22507,7 @@ dependencies = [
"proc-macro2",
"quote",
"staging-xcm",
- "syn 2.0.48",
+ "syn 2.0.50",
"trybuild",
]
@@ -22116,8 +22560,10 @@ name = "xcm-simulator-fuzzer"
version = "1.0.0"
dependencies = [
"arbitrary",
+ "frame-executive",
"frame-support",
"frame-system",
+ "frame-try-runtime",
"honggfuzz",
"pallet-balances",
"pallet-message-queue",
@@ -22183,14 +22629,14 @@ checksum = "9ce1b18ccd8e73a9321186f97e46f9f04b778851177567b1975109d26a08d2a6"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
name = "zeroize"
-version = "1.6.0"
+version = "1.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2a0956f1ba7c7909bfb66c2e9e4124ab6f6482560f6628b5aaeba39207c9aad9"
+checksum = "525b4ec142c6b68a2d10f01f7bbf6755599ca3f81ea53b8431b7dd348f5fdb2d"
dependencies = [
"zeroize_derive",
]
@@ -22203,7 +22649,7 @@ checksum = "ce36e65b0d2999d2aafac989fb249189a141aee1f53c612c1f37d72631959f69"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
diff --git a/pkgs/applications/blockchains/polkadot/default.nix b/pkgs/applications/blockchains/polkadot/default.nix
index 374817b6bb06..8f545fa8a166 100644
--- a/pkgs/applications/blockchains/polkadot/default.nix
+++ b/pkgs/applications/blockchains/polkadot/default.nix
@@ -11,13 +11,13 @@
}:
rustPlatform.buildRustPackage rec {
pname = "polkadot";
- version = "1.7.0";
+ version = "1.9.0";
src = fetchFromGitHub {
owner = "paritytech";
repo = "polkadot-sdk";
rev = "polkadot-v${version}";
- hash = "sha256-YjA69i1cnnMlH/3U40s/qUi+u1IKFvlGUjsDVJuBgBE=";
+ hash = "sha256-YLd1XKluL8QBbZPTb1FCvBDVD0YWjZfZTvl4p9jx28Y=";
# the build process of polkadot requires a .git folder in order to determine
# the git commit hash that is being built and add it to the version string.
@@ -44,7 +44,6 @@ rustPlatform.buildRustPackage rec {
"ark-secret-scalar-0.0.2" = "sha256-91sODxaj0psMw0WqigMCGO5a7+NenAsRj5ZmW6C7lvc=";
"common-0.1.0" = "sha256-LHz2dK1p8GwyMimlR7AxHLz1tjTYolPwdjP7pxork1o=";
"fflonk-0.1.0" = "sha256-+BvZ03AhYNP0D8Wq9EMsP+lSgPA6BBlnWkoxTffVLwo=";
- "simple-mermaid-0.1.0" = "sha256-IekTldxYq+uoXwGvbpkVTXv2xrcZ0TQfyyE2i2zH+6w=";
"sp-ark-bls12-381-0.4.2" = "sha256-nNr0amKhSvvI9BlsoP+8v6Xppx/s7zkf0l9Lm3DW8w8=";
"sp-crypto-ec-utils-0.4.1" = "sha256-/Sw1ZM/JcJBokFE4y2mv/P43ciTL5DEm0PDG0jZvMkI=";
};
diff --git a/pkgs/applications/blockchains/stellar-core/default.nix b/pkgs/applications/blockchains/stellar-core/default.nix
index 5a70cce93174..16865f7709f3 100644
--- a/pkgs/applications/blockchains/stellar-core/default.nix
+++ b/pkgs/applications/blockchains/stellar-core/default.nix
@@ -2,6 +2,7 @@
, automake
, bison
, fetchFromGitHub
+, fetchpatch
, flex
, git
, lib
@@ -25,6 +26,23 @@ stdenv.mkDerivation (finalAttrs: {
fetchSubmodules = true;
};
+ patches = [
+ # Fix gcc-13 build failure due to missing include
+ # https://github.com/stellar/medida/pull/34
+ (fetchpatch {
+ name = "gcc-13-p1.patch";
+ url = "https://github.com/stellar/medida/commit/f91354b0055de939779d392999975d611b1b1ad5.patch";
+ stripLen = 1;
+ extraPrefix = "lib/libmedida/";
+ hash = "sha256-iVeSUY5Rcy62apIKJdbcHGgxAxpQCkygf85oSjbTTXU=";
+ })
+ (fetchpatch {
+ name = "gcc-13-p2.patch";
+ url = "https://github.com/stellar/stellar-core/commit/477b3135281b629554cabaeacfcdbcdc170aa335.patch";
+ hash = "sha256-UVRcAIA5LEaCn16lWfhg19UU7b/apigzTsfPROLZtYg=";
+ })
+ ];
+
nativeBuildInputs = [
automake
autoconf
diff --git a/pkgs/applications/blockchains/ton/default.nix b/pkgs/applications/blockchains/ton/default.nix
index a65a1428df0e..888b6f8d2b97 100644
--- a/pkgs/applications/blockchains/ton/default.nix
+++ b/pkgs/applications/blockchains/ton/default.nix
@@ -6,21 +6,23 @@
, pkg-config
, gperf
, libmicrohttpd
+, libsodium
, openssl
, readline
+, secp256k1
, zlib
, nix-update-script
}:
stdenv.mkDerivation rec {
pname = "ton";
- version = "2023.10";
+ version = "2024.03";
src = fetchFromGitHub {
owner = "ton-blockchain";
repo = "ton";
rev = "v${version}";
- sha256 = "sha256-K1RhhW7EvwYV7/ng3NPjSGdHEQvJZ7K97YXd7s5wghc=";
+ hash = "sha256-AVg33aZAyedmNIFqQJm4Nn6luLxK4mKgnIRmNU7j2C0=";
fetchSubmodules = true;
};
@@ -35,14 +37,19 @@ stdenv.mkDerivation rec {
buildInputs = [
gperf
libmicrohttpd
+ libsodium
openssl
readline
+ secp256k1
zlib
];
passthru.updateScript = nix-update-script { };
meta = with lib; {
+ # The build fails on darwin as:
+ # error: aligned allocation function of type 'void *(std::size_t, std::align_val_t)' is only available on macOS 10.13 or newer
+ broken = stdenv.isDarwin;
description = "A fully decentralized layer-1 blockchain designed by Telegram";
homepage = "https://ton.org/";
changelog = "https://github.com/ton-blockchain/ton/blob/v${version}/Changelog.md";
diff --git a/pkgs/applications/blockchains/trezor-suite/default.nix b/pkgs/applications/blockchains/trezor-suite/default.nix
index d662de853647..13b29dbc200f 100644
--- a/pkgs/applications/blockchains/trezor-suite/default.nix
+++ b/pkgs/applications/blockchains/trezor-suite/default.nix
@@ -8,7 +8,7 @@
let
pname = "trezor-suite";
- version = "24.1.2";
+ version = "24.2.4";
name = "${pname}-${version}";
suffix = {
@@ -19,8 +19,8 @@ let
src = fetchurl {
url = "https://github.com/trezor/${pname}/releases/download/v${version}/Trezor-Suite-${version}-${suffix}.AppImage";
hash = { # curl -Lfs https://github.com/trezor/trezor-suite/releases/latest/download/latest-linux{-arm64,}.yml | grep ^sha512 | sed 's/: /-/'
- aarch64-linux = "sha512-/D3mwyF00YWgDVq0GNDyegc8mLF63cxCOe/vnpGyLz9/Oj5aBl3oG32cj+c8e11+eHYigkKb72nFz5zBoPx8Bw==";
- x86_64-linux = "sha512-ehIIOksVzKLGYs6GNZ8w5XvellFRb9sHVORS7MOXmwbbikjgkNX/nlfjwmUKOysxI4PwPzIbqtuX2GYyC9lXHw==";
+ aarch64-linux = "sha512-25nyubEf4Vkjz6jumoQwmqTppJdby0vBVztF2eGZmLA81qysx9cpHboVKqQM3dEPBlYO7EVNSeW9d7qEenweBA==";
+ x86_64-linux = "sha512-oI7D6eRSzUzMphgJByYFsQ1xcHTKj+SOuDG+8Pb7nX8HVb8tiRqKY+ZZ87LAJppM75eXvf3X1hRNRk5PlI2ELA==";
}.${stdenv.hostPlatform.system} or (throw "Unsupported system: ${stdenv.hostPlatform.system}");
};
diff --git a/pkgs/applications/blockchains/vertcoin/default.nix b/pkgs/applications/blockchains/vertcoin/default.nix
index 90e4dd14a685..097b5711da32 100644
--- a/pkgs/applications/blockchains/vertcoin/default.nix
+++ b/pkgs/applications/blockchains/vertcoin/default.nix
@@ -1,5 +1,6 @@
{ lib, stdenv
, fetchFromGitHub
+, fetchpatch
, openssl
, boost
, libevent
@@ -29,6 +30,20 @@ stdenv.mkDerivation rec {
sha256 = "ua9xXA+UQHGVpCZL0srX58DDUgpfNa+AAIKsxZbhvMk=";
};
+ patches = [
+ # Fix build on gcc-13 due to missing headers
+ (fetchpatch {
+ name = "gcc-13-p1.patch";
+ url = "https://github.com/vertcoin-project/vertcoin-core/commit/398768769f85cc1b6ff212ed931646b59fa1acd6.patch";
+ hash = "sha256-4nnE4W0Z5HzVaJ6tB8QmyohXmt6UHUGgDH+s9bQaxhg=";
+ })
+ (fetchpatch {
+ name = "gcc-13-p2.patch";
+ url = "https://github.com/vertcoin-project/vertcoin-core/commit/af862661654966d5de614755ab9bd1b5913e0959.patch";
+ hash = "sha256-4hcJIje3VAdEEpn2tetgvgZ8nVft+A64bfWLspQtbVw=";
+ })
+ ];
+
nativeBuildInputs = [
autoreconfHook
pkg-config
diff --git a/pkgs/applications/blockchains/wasabiwallet/default.nix b/pkgs/applications/blockchains/wasabiwallet/default.nix
index 18dac7501b79..fd2b0d245333 100644
--- a/pkgs/applications/blockchains/wasabiwallet/default.nix
+++ b/pkgs/applications/blockchains/wasabiwallet/default.nix
@@ -25,11 +25,11 @@ let
in
stdenv.mkDerivation rec {
pname = "wasabiwallet";
- version = "2.0.5";
+ version = "2.0.6";
src = fetchurl {
url = "https://github.com/zkSNACKs/WalletWasabi/releases/download/v${version}/Wasabi-${version}.tar.gz";
- sha256 = "sha256-1AgX+Klw/IsRRBV2M1OkLGE4DPqq6hX2h72RNzad2DM=";
+ sha256 = "sha256-VxtQZFsiUEeCMEWkdnmE9xXFoa7fWfOWC2UxnZZAia0=";
};
dontBuild = true;
diff --git a/pkgs/applications/blockchains/wownero/default.nix b/pkgs/applications/blockchains/wownero/default.nix
index 665607e2ee7d..82b6d1215361 100644
--- a/pkgs/applications/blockchains/wownero/default.nix
+++ b/pkgs/applications/blockchains/wownero/default.nix
@@ -1,6 +1,7 @@
{ lib
, stdenv
, fetchFromGitHub
+, fetchpatch
, cmake
, boost
, libsodium
@@ -45,6 +46,15 @@ stdenv.mkDerivation rec {
hash = "sha256-zmGsSbPpVwL0AhCQkdMKORruM5kYrrLe/BYfMphph8c=";
};
+ patches = [
+ # Fix gcc-13 build due to missing neaders
+ (fetchpatch {
+ name = "gcc-13.patch";
+ url = "https://git.wownero.com/wownero/wownero/commit/f983ac77805a494ea4a05a00398c553e1359aefd.patch";
+ hash = "sha256-9acQ4bHAKFR+lMgrpQyBmb+9YZYi1ywHoo1jBcIgmGs=";
+ })
+ ];
+
nativeBuildInputs = [
cmake
];
diff --git a/pkgs/applications/display-managers/emptty/default.nix b/pkgs/applications/display-managers/emptty/default.nix
index 37ef4ce8460a..2e4650f563ba 100644
--- a/pkgs/applications/display-managers/emptty/default.nix
+++ b/pkgs/applications/display-managers/emptty/default.nix
@@ -8,13 +8,13 @@
buildGoModule rec {
pname = "emptty";
- version = "0.11.0";
+ version = "0.12.0";
src = fetchFromGitHub {
owner = "tvrzna";
repo = pname;
rev = "v${version}";
- hash = "sha256-nReExxLbqlbzx1F1vk8qftWafG8umH988egsalSUals=";
+ hash = "sha256-64Ta0k4TzJcQC+RDybHzFUj96ZSRUOeYQ2U9KFjDXjk=";
};
buildInputs = [ pam libX11 ];
@@ -28,5 +28,6 @@ buildGoModule rec {
maintainers = with maintainers; [ urandom ];
# many undefined functions
broken = stdenv.isDarwin;
+ mainProgram = "emptty";
};
}
diff --git a/pkgs/applications/display-managers/greetd/dlm.nix b/pkgs/applications/display-managers/greetd/dlm.nix
index 3b6f4773a29c..02df9876bad1 100644
--- a/pkgs/applications/display-managers/greetd/dlm.nix
+++ b/pkgs/applications/display-managers/greetd/dlm.nix
@@ -18,6 +18,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "A stupid simple graphical login manager";
+ mainProgram = "dlm";
homepage = "https://git.sr.ht/~kennylevinsen/dlm";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ luc65r ];
diff --git a/pkgs/applications/display-managers/greetd/wlgreet.nix b/pkgs/applications/display-managers/greetd/wlgreet.nix
index cea23577cc45..803b61caa8be 100644
--- a/pkgs/applications/display-managers/greetd/wlgreet.nix
+++ b/pkgs/applications/display-managers/greetd/wlgreet.nix
@@ -18,6 +18,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "Raw wayland greeter for greetd, to be run under sway or similar";
+ mainProgram = "wlgreet";
homepage = "https://git.sr.ht/~kennylevinsen/wlgreet";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ luc65r ];
diff --git a/pkgs/applications/display-managers/lightdm-enso-os-greeter/default.nix b/pkgs/applications/display-managers/lightdm-enso-os-greeter/default.nix
index cd406686df86..a35b52bf8cd6 100644
--- a/pkgs/applications/display-managers/lightdm-enso-os-greeter/default.nix
+++ b/pkgs/applications/display-managers/lightdm-enso-os-greeter/default.nix
@@ -61,6 +61,7 @@ stdenv.mkDerivation {
A fork of pantheon greeter that positions elements in a central and
vertigal manner and adds a blur effect to the background
'';
+ mainProgram = "pantheon-greeter";
homepage = "https://github.com/nick92/Enso-OS";
platforms = platforms.linux;
license = licenses.gpl3;
diff --git a/pkgs/applications/display-managers/lightdm-mini-greeter/default.nix b/pkgs/applications/display-managers/lightdm-mini-greeter/default.nix
index 72f386798709..353e5150356f 100644
--- a/pkgs/applications/display-managers/lightdm-mini-greeter/default.nix
+++ b/pkgs/applications/display-managers/lightdm-mini-greeter/default.nix
@@ -29,6 +29,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A minimal, configurable, single-user GTK3 LightDM greeter";
+ mainProgram = "lightdm-mini-greeter";
homepage = "https://github.com/prikhi/lightdm-mini-greeter";
license = licenses.gpl3;
maintainers = with maintainers; [ mnacamura prikhi ];
diff --git a/pkgs/applications/display-managers/lightdm-mobile-greeter/default.nix b/pkgs/applications/display-managers/lightdm-mobile-greeter/default.nix
index b0d8ed515261..87a6560f6f64 100644
--- a/pkgs/applications/display-managers/lightdm-mobile-greeter/default.nix
+++ b/pkgs/applications/display-managers/lightdm-mobile-greeter/default.nix
@@ -54,5 +54,6 @@ rustPlatform.buildRustPackage rec {
maintainers = with maintainers; [ colinsane ];
platforms = platforms.linux;
license = licenses.mit;
+ mainProgram = "lightdm-mobile-greeter";
};
}
diff --git a/pkgs/applications/display-managers/lightdm-tiny-greeter/default.nix b/pkgs/applications/display-managers/lightdm-tiny-greeter/default.nix
index bb70fa299d10..c6c479505d12 100644
--- a/pkgs/applications/display-managers/lightdm-tiny-greeter/default.nix
+++ b/pkgs/applications/display-managers/lightdm-tiny-greeter/default.nix
@@ -38,6 +38,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A tiny multi user lightdm greeter";
+ mainProgram = "lightdm-tiny-greeter";
homepage = "https://github.com/off-world/lightdm-tiny-greeter";
license = licenses.bsd3;
maintainers = with maintainers; [ edwtjo ];
diff --git a/pkgs/applications/display-managers/lightdm/gtk-greeter.nix b/pkgs/applications/display-managers/lightdm/gtk-greeter.nix
index 1a949c4dc0a3..6d29d90d4b56 100644
--- a/pkgs/applications/display-managers/lightdm/gtk-greeter.nix
+++ b/pkgs/applications/display-managers/lightdm/gtk-greeter.nix
@@ -16,12 +16,12 @@
stdenv.mkDerivation rec {
pname = "lightdm-gtk-greeter";
- version = "2.0.8";
+ version = "2.0.9";
src = fetchurl {
# Release tarball differs from source tarball.
url = "https://github.com/Xubuntu/lightdm-gtk-greeter/releases/download/lightdm-gtk-greeter-${version}/lightdm-gtk-greeter-${version}.tar.gz";
- sha256 = "vvuzAMezT/IYZf28iBIB9zD8fFYOngHRfomelHcVBhM=";
+ hash = "sha256-yP3xmKqaP50NrQtI3+I8Ine3kQfo/PxillKQ8QgfZF0=";
};
nativeBuildInputs = [
@@ -56,7 +56,7 @@ stdenv.mkDerivation rec {
postInstall = ''
substituteInPlace "$out/share/xgreeters/lightdm-gtk-greeter.desktop" \
- --replace "Exec=lightdm-gtk-greeter" "Exec=$out/bin/lightdm-gtk-greeter"
+ --replace-fail "Exec=lightdm-gtk-greeter" "Exec=$out/bin/lightdm-gtk-greeter"
'';
passthru.xgreeters = linkFarm "lightdm-gtk-greeter-xgreeters" [{
@@ -67,6 +67,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/Xubuntu/lightdm-gtk-greeter";
description = "A GTK greeter for LightDM";
+ mainProgram = "lightdm-gtk-greeter";
platforms = platforms.linux;
license = licenses.gpl3Plus;
maintainers = with maintainers; [ bobby285271 ];
diff --git a/pkgs/applications/display-managers/ly/default.nix b/pkgs/applications/display-managers/ly/default.nix
index 8b387b36205b..9376dc476dec 100644
--- a/pkgs/applications/display-managers/ly/default.nix
+++ b/pkgs/applications/display-managers/ly/default.nix
@@ -27,5 +27,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/fairyglade/ly";
maintainers = [ maintainers.vidister ];
platforms = platforms.linux;
+ mainProgram = "ly";
};
}
diff --git a/pkgs/applications/display-managers/sddm/default.nix b/pkgs/applications/display-managers/sddm/default.nix
index 8c7e1745bcd3..2708ff060814 100644
--- a/pkgs/applications/display-managers/sddm/default.nix
+++ b/pkgs/applications/display-managers/sddm/default.nix
@@ -1,82 +1,37 @@
-{ stdenv, lib, fetchFromGitHub
-, cmake, pkg-config, qttools
-, libxcb, libXau, pam, qtbase, wrapQtAppsHook, qtdeclarative
-, qtquickcontrols2 ? null, systemd, xkeyboardconfig
+{
+ lib,
+ callPackage,
+ runCommand,
+ qtwayland,
+ wrapQtAppsHook,
+ unwrapped ? callPackage ./unwrapped.nix {},
+ withWayland ? false,
+ extraPackages ? [],
}:
-let
- isQt6 = lib.versions.major qtbase.version == "6";
-in stdenv.mkDerivation {
- pname = "sddm";
- version = "0.20.0-unstable-2023-12-29";
+runCommand "sddm-wrapped" {
+ inherit (unwrapped) version;
- src = fetchFromGitHub {
- owner = "sddm";
- repo = "sddm";
- rev = "501129294be1487f753482c29949fc1c19ef340e";
- hash = "sha256-mLm987Ah0X9s0tBK2a45iERwYoh5JzWb3TFlSoxi8CA=";
+ buildInputs = unwrapped.buildInputs ++ extraPackages ++ lib.optional withWayland qtwayland;
+ nativeBuildInputs = [ wrapQtAppsHook ];
+
+ passthru = {
+ inherit unwrapped;
};
- patches = [
- ./sddm-ignore-config-mtime.patch
- ./sddm-default-session.patch
- ];
+ meta = unwrapped.meta;
+} ''
+ mkdir -p $out/bin
- postPatch = ''
- substituteInPlace src/greeter/waylandkeyboardbackend.cpp \
- --replace "/usr/share/X11/xkb/rules/evdev.xml" "${xkeyboardconfig}/share/X11/xkb/rules/evdev.xml"
- '';
+ cd ${unwrapped}
- nativeBuildInputs = [ wrapQtAppsHook cmake pkg-config qttools ];
+ for i in *; do
+ if [ "$i" == "bin" ]; then
+ continue
+ fi
+ ln -s ${unwrapped}/$i $out/$i
+ done
- buildInputs = [
- libxcb
- libXau
- pam
- qtbase
- qtdeclarative
- qtquickcontrols2
- systemd
- ];
-
- cmakeFlags = [
- (lib.cmakeBool "BUILD_WITH_QT6" isQt6)
- "-DCONFIG_FILE=/etc/sddm.conf"
- "-DCONFIG_DIR=/etc/sddm.conf.d"
-
- # Set UID_MIN and UID_MAX so that the build script won't try
- # to read them from /etc/login.defs (fails in chroot).
- # The values come from NixOS; they may not be appropriate
- # for running SDDM outside NixOS, but that configuration is
- # not supported anyway.
- "-DUID_MIN=1000"
- "-DUID_MAX=29999"
-
- # we still want to run the DM on VT 7 for the time being, as 1-6 are
- # occupied by getties by default
- "-DSDDM_INITIAL_VT=7"
-
- "-DQT_IMPORTS_DIR=${placeholder "out"}/${qtbase.qtQmlPrefix}"
- "-DCMAKE_INSTALL_SYSCONFDIR=${placeholder "out"}/etc"
- "-DSYSTEMD_SYSTEM_UNIT_DIR=${placeholder "out"}/lib/systemd/system"
- "-DSYSTEMD_SYSUSERS_DIR=${placeholder "out"}/lib/sysusers.d"
- "-DSYSTEMD_TMPFILES_DIR=${placeholder "out"}/lib/tmpfiles.d"
- "-DDBUS_CONFIG_DIR=${placeholder "out"}/share/dbus-1/system.d"
- ];
-
- postInstall = ''
- # remove empty scripts
- rm "$out/share/sddm/scripts/Xsetup" "$out/share/sddm/scripts/Xstop"
- for f in $out/share/sddm/themes/**/theme.conf ; do
- substituteInPlace $f \
- --replace 'background=' "background=$(dirname $f)/"
- done
- '';
-
- meta = with lib; {
- description = "QML based X11 display manager";
- homepage = "https://github.com/sddm/sddm";
- maintainers = with maintainers; [ abbradar ttuegel ];
- platforms = platforms.linux;
- license = licenses.gpl2Plus;
- };
-}
+ for i in bin/*; do
+ makeQtWrapper ${unwrapped}/$i $out/$i --set SDDM_GREETER_DIR $out/bin
+ done
+''
diff --git a/pkgs/applications/display-managers/sddm/greeter-path.patch b/pkgs/applications/display-managers/sddm/greeter-path.patch
new file mode 100644
index 000000000000..b314108952a9
--- /dev/null
+++ b/pkgs/applications/display-managers/sddm/greeter-path.patch
@@ -0,0 +1,14 @@
+diff --git a/src/daemon/Greeter.cpp b/src/daemon/Greeter.cpp
+index 07fccde..dd22a07 100644
+--- a/src/daemon/Greeter.cpp
++++ b/src/daemon/Greeter.cpp
+@@ -83,7 +83,8 @@ namespace SDDM {
+ QString Greeter::greeterPathForQt(int qtVersion)
+ {
+ const QString suffix = qtVersion == 5 ? QString() : QStringLiteral("-qt%1").arg(qtVersion);
+- return QStringLiteral(BIN_INSTALL_DIR "/sddm-greeter%1").arg(suffix);
++ const QString greeterDir = qEnvironmentVariable("SDDM_GREETER_DIR", QStringLiteral(BIN_INSTALL_DIR));
++ return QStringLiteral("%1/sddm-greeter%2").arg(greeterDir).arg(suffix);
+ }
+
+ bool Greeter::start() {
diff --git a/pkgs/applications/display-managers/sddm/unwrapped.nix b/pkgs/applications/display-managers/sddm/unwrapped.nix
new file mode 100644
index 000000000000..430e62a5ef4a
--- /dev/null
+++ b/pkgs/applications/display-managers/sddm/unwrapped.nix
@@ -0,0 +1,86 @@
+{ stdenv, lib, fetchFromGitHub
+, cmake, pkg-config, qttools
+, libxcb, libXau, pam, qtbase, qtdeclarative
+, qtquickcontrols2 ? null, systemd, xkeyboardconfig
+}:
+let
+ isQt6 = lib.versions.major qtbase.version == "6";
+in stdenv.mkDerivation(finalAttrs: {
+ pname = "sddm-unwrapped";
+ version = "0.21.0";
+
+ src = fetchFromGitHub {
+ owner = "sddm";
+ repo = "sddm";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-r5mnEWham2WnoEqRh5tBj/6rn5mN62ENOCmsLv2Ht+w=";
+ };
+
+ patches = [
+ ./greeter-path.patch
+ ./sddm-ignore-config-mtime.patch
+ ./sddm-default-session.patch
+ ];
+
+ postPatch = ''
+ substituteInPlace src/greeter/waylandkeyboardbackend.cpp \
+ --replace "/usr/share/X11/xkb/rules/evdev.xml" "${xkeyboardconfig}/share/X11/xkb/rules/evdev.xml"
+ '';
+
+ nativeBuildInputs = [ cmake pkg-config qttools ];
+
+ buildInputs = [
+ libxcb
+ libXau
+ pam
+ qtbase
+ qtdeclarative
+ qtquickcontrols2
+ systemd
+ ];
+
+ # We will wrap manually later
+ dontWrapQtApps = true;
+
+ cmakeFlags = [
+ (lib.cmakeBool "BUILD_WITH_QT6" isQt6)
+ "-DCONFIG_FILE=/etc/sddm.conf"
+ "-DCONFIG_DIR=/etc/sddm.conf.d"
+
+ # Set UID_MIN and UID_MAX so that the build script won't try
+ # to read them from /etc/login.defs (fails in chroot).
+ # The values come from NixOS; they may not be appropriate
+ # for running SDDM outside NixOS, but that configuration is
+ # not supported anyway.
+ "-DUID_MIN=1000"
+ "-DUID_MAX=29999"
+
+ # we still want to run the DM on VT 7 for the time being, as 1-6 are
+ # occupied by getties by default
+ "-DSDDM_INITIAL_VT=7"
+
+ "-DQT_IMPORTS_DIR=${placeholder "out"}/${qtbase.qtQmlPrefix}"
+ "-DCMAKE_INSTALL_SYSCONFDIR=${placeholder "out"}/etc"
+ "-DSYSTEMD_SYSTEM_UNIT_DIR=${placeholder "out"}/lib/systemd/system"
+ "-DSYSTEMD_SYSUSERS_DIR=${placeholder "out"}/lib/sysusers.d"
+ "-DSYSTEMD_TMPFILES_DIR=${placeholder "out"}/lib/tmpfiles.d"
+ "-DDBUS_CONFIG_DIR=${placeholder "out"}/share/dbus-1/system.d"
+ ];
+
+ postInstall = ''
+ # remove empty scripts
+ rm "$out/share/sddm/scripts/Xsetup" "$out/share/sddm/scripts/Xstop"
+ for f in $out/share/sddm/themes/**/theme.conf ; do
+ substituteInPlace $f \
+ --replace 'background=' "background=$(dirname $f)/"
+ done
+ '';
+
+ meta = with lib; {
+ description = "QML based X11 display manager";
+ homepage = "https://github.com/sddm/sddm";
+ maintainers = with maintainers; [ abbradar ttuegel k900 ];
+ platforms = platforms.linux;
+ license = licenses.gpl2Plus;
+ };
+})
diff --git a/pkgs/applications/editors/android-studio/default.nix b/pkgs/applications/editors/android-studio/default.nix
index a41817405ca0..6f261ff51983 100644
--- a/pkgs/applications/editors/android-studio/default.nix
+++ b/pkgs/applications/editors/android-studio/default.nix
@@ -10,16 +10,16 @@ let
inherit tiling_wm;
};
stableVersion = {
- version = "2023.1.1.28"; # "Android Studio Hedgehog | 2023.1.1 Patch 2"
- sha256Hash = "sha256-E50Nu0kJNTto+/VcCbbTGjRRIESp1PAs4PGprMyhKPk=";
+ version = "2023.2.1.24"; # "Android Studio Iguana | 2023.2.1 Patch 1"
+ sha256Hash = "sha256-ACZCdXKEnJy7DJTW+XGOoIvDRdzP47NytUEAqV//mbU=";
};
betaVersion = {
- version = "2023.2.1.21"; # "Android Studio Iguana | 2023.2.1 RC 1"
- sha256Hash = "sha256-TgBrgwsjJKBi4DfTMbcgmtoYfzQ3KGwn1zdEfKO9Q8k=";
+ version = "2023.2.1.23"; # "Android Studio Iguana | 2023.2.1"
+ sha256Hash = "sha256-G2aPgMqBHNw1DetlaBQ9o3/VfX6QEh9VQqMZ5S/VoHM=";
};
latestVersion = {
- version = "2023.3.1.8"; # "Android Studio Jellyfish | 2023.3.1 Canary 8"
- sha256Hash = "sha256-wNduJ8AjLG+2gumFtLXYnzYiGN0sxL8WR2izWB87uMM=";
+ version = "2023.3.2.1"; # "Android Studio Jellyfish | 2023.3.2 Canary 1"
+ sha256Hash = "sha256-99EWGh3+3HV8yO29ANg1pwoo/1ktI2aCwKrdIqlcgVs=";
};
in {
# Attributes are named by their corresponding release channels
diff --git a/pkgs/applications/editors/bluefish/default.nix b/pkgs/applications/editors/bluefish/default.nix
index 0ec47ceb9566..afcc62a28999 100644
--- a/pkgs/applications/editors/bluefish/default.nix
+++ b/pkgs/applications/editors/bluefish/default.nix
@@ -13,11 +13,11 @@
stdenv.mkDerivation rec {
pname = "bluefish";
- version = "2.2.14";
+ version = "2.2.15";
src = fetchurl {
url = "mirror://sourceforge/bluefish/bluefish-${version}.tar.bz2";
- sha256 = "sha256-IszfnOTlyWIQY+bPfXOr+wc2q3pyvOCG0Gxc9e4SXt4=";
+ sha256 = "sha256-YUPlHGtVedWW86moXg8NhYDJ9Y+ChXWxGYgODKHZQbw=";
};
nativeBuildInputs = [ pkg-config wrapGAppsHook ];
diff --git a/pkgs/applications/editors/codux/default.nix b/pkgs/applications/editors/codux/default.nix
index c41b343665a2..f74d554a8c73 100644
--- a/pkgs/applications/editors/codux/default.nix
+++ b/pkgs/applications/editors/codux/default.nix
@@ -5,11 +5,11 @@
let
pname = "codux";
- version = "15.18.2";
+ version = "15.22.2";
src = fetchurl {
url = "https://github.com/wixplosives/codux-versions/releases/download/${version}/Codux-${version}.x86_64.AppImage";
- sha256 = "sha256-cOe6Yt4L3dFEFznqY3kHeHm9vhzoZBKM8MsrSyNK/aU=";
+ sha256 = "sha256-aYGZPoA2Tux6pmpZFShkZB+os34jZczXsfmYN/pu+Ic=";
};
appimageContents = appimageTools.extractType2 { inherit pname version src; };
diff --git a/pkgs/applications/editors/cpeditor/default.nix b/pkgs/applications/editors/cpeditor/default.nix
index c7d56cf50abe..9f8c14a37e03 100644
--- a/pkgs/applications/editors/cpeditor/default.nix
+++ b/pkgs/applications/editors/cpeditor/default.nix
@@ -5,6 +5,7 @@
, qtbase
, qttools
, wrapQtAppsHook
+, syntax-highlighting
, cmake
, ninja
, python3
@@ -13,25 +14,23 @@
stdenv.mkDerivation rec {
pname = "cpeditor";
- version = "6.11.1";
+ version = "7.0.1";
src = fetchFromGitHub {
owner = "cpeditor";
repo = "cpeditor";
rev = version;
- sha256 = "sha256-Uwo7ZE+9yrHV/+D6rvfew2d3ZJbpFOjgek38iYkPppw=";
+ hash = "sha256-t7nn3sO45dOQq5OMWhaseO9XHicQ/1fjukXal5yPMgY";
fetchSubmodules = true;
};
nativeBuildInputs = [ cmake ninja pkg-config wrapQtAppsHook python3 ];
- buildInputs = [ qtbase qttools ];
+ buildInputs = [ qtbase qttools syntax-highlighting ];
postPatch = ''
- substituteInPlace src/Core/Runner.cpp --replace "/bin/bash" "${runtimeShell}"
+ substituteInPlace src/Core/Runner.cpp --replace-fail "/bin/bash" "${runtimeShell}"
'';
- env.NIX_CFLAGS_COMPILE = "-std=c++14";
-
meta = with lib; {
description = "An IDE specially designed for competitive programming";
homepage = "https://cpeditor.org";
diff --git a/pkgs/applications/editors/eclipse/default.nix b/pkgs/applications/editors/eclipse/default.nix
index 230c5d36f1b5..732dc7695cc2 100644
--- a/pkgs/applications/editors/eclipse/default.nix
+++ b/pkgs/applications/editors/eclipse/default.nix
@@ -9,7 +9,7 @@
# use ./update.sh to help with updating for each quarterly release
#
# then, to test:
-# for e in cpp dsl modeling platform sdk java jee committers rcp; do for s in pkgs pkgsCross.aarch64-multiplatform; do echo; echo $s $e; nix build -f default.nix ${s}.eclipses.eclipse-${e} -o eclipse-${s}-${e}; done; done
+# for e in cpp dsl embedcpp modeling platform sdk java jee committers rcp; do for s in pkgs pkgsCross.aarch64-multiplatform; do echo; echo $s $e; nix-build -A ${s}.eclipses.eclipse-${e} -o eclipse-${s}-${e}; done; done
let
platform_major = "4";
@@ -64,6 +64,21 @@ in rec {
};
};
+ ### Eclipse IDE for Embedded C/C++ Developers
+
+ eclipse-embedcpp = buildEclipse {
+ name = "eclipse-embedcpp-${platform_major}.${platform_minor}";
+ description = "Eclipse IDE for Embedded C/C++ Developers";
+ src =
+ fetchurl {
+ url = "https://www.eclipse.org/downloads/download.php?r=1&nf=1&file=/technology/epp/downloads/release/${year}-${month}/R/eclipse-embedcpp-${year}-${month}-R-linux-gtk-${arch}.tar.gz";
+ hash = {
+ x86_64 = "sha256-c/dd/3PzTSnrtaa2gNw+crdNu/xA428hYr8YNeBSEyw=";
+ aarch64 = "sha256-tF6o3NpFNxXALf2UA8tLzFhqYe46cI2swvym8vDSxNI=";
+ }.${arch};
+ };
+ };
+
### Eclipse Modeling
eclipse-modeling = buildEclipse {
diff --git a/pkgs/applications/editors/ed/sources.nix b/pkgs/applications/editors/ed/sources.nix
index e78a6085ddda..77e13da9eb15 100644
--- a/pkgs/applications/editors/ed/sources.nix
+++ b/pkgs/applications/editors/ed/sources.nix
@@ -23,10 +23,10 @@ in
{
ed = let
pname = "ed";
- version = "1.20";
+ version = "1.20.1";
src = fetchurl {
url = "mirror://gnu/ed/ed-${version}.tar.lz";
- hash = "sha256-xgMN7+auFy8Wh5Btc1QFTHWmqRMK8xnU5zxQqRlZxaY=";
+ hash = "sha256-saRjspehQfmHbEsfzQFHf2Rc3tkhaAkOmjXbKvS6u8o=";
};
in import ./generic.nix {
inherit pname version src meta;
diff --git a/pkgs/applications/editors/emacs/elisp-packages/elpa-devel-generated.nix b/pkgs/applications/editors/emacs/elisp-packages/elpa-devel-generated.nix
index 8a8aa1119ead..09413f741158 100644
--- a/pkgs/applications/editors/emacs/elisp-packages/elpa-devel-generated.nix
+++ b/pkgs/applications/editors/emacs/elisp-packages/elpa-devel-generated.nix
@@ -33,6 +33,25 @@
license = lib.licenses.free;
};
}) {};
+ activities = callPackage ({ elpaBuild
+ , emacs
+ , fetchurl
+ , lib
+ , persist }:
+ elpaBuild {
+ pname = "activities";
+ ename = "activities";
+ version = "0.6pre0.20240218.181422";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/devel/activities-0.6pre0.20240218.181422.tar";
+ sha256 = "172rbxnhbdppkr4kc2a11i9588dfcz629d2flg2sfmx5j23995zn";
+ };
+ packageRequires = [ emacs persist ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/activities.html";
+ license = lib.licenses.free;
+ };
+ }) {};
ada-mode = callPackage ({ elpaBuild
, emacs
, fetchurl
@@ -72,34 +91,36 @@
};
}) {};
adaptive-wrap = callPackage ({ elpaBuild
+ , emacs
, fetchurl
, lib }:
elpaBuild {
pname = "adaptive-wrap";
ename = "adaptive-wrap";
- version = "0.8.0.20210602.91446";
+ version = "0.8.0.20240113.95028";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/adaptive-wrap-0.8.0.20210602.91446.tar";
- sha256 = "1fm3bx1qyv1ridj6inzr8qyw2fzj6fzcrzf57zs2lsfkvj7b5knd";
+ url = "https://elpa.gnu.org/devel/adaptive-wrap-0.8.0.20240113.95028.tar";
+ sha256 = "1s31ql23mrcarwcp1z4068qsyqcddss8ybr23jpplp3y0faaqmc8";
};
- packageRequires = [];
+ packageRequires = [ emacs ];
meta = {
homepage = "https://elpa.gnu.org/packages/adaptive-wrap.html";
license = lib.licenses.free;
};
}) {};
adjust-parens = callPackage ({ elpaBuild
+ , emacs
, fetchurl
, lib }:
elpaBuild {
pname = "adjust-parens";
ename = "adjust-parens";
- version = "3.1.0.20221221.73810";
+ version = "3.2.0.20240113.95404";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/adjust-parens-3.1.0.20221221.73810.tar";
- sha256 = "1p56b0pkyw6csl3wy1gi3ys2jzlm867bw3ca04ssm6l1lypirhg8";
+ url = "https://elpa.gnu.org/devel/adjust-parens-3.2.0.20240113.95404.tar";
+ sha256 = "1lnwpir9rnk7c8hhd8w88lk5bx01v757szi9p02hv0i5l3w494r1";
};
- packageRequires = [];
+ packageRequires = [ emacs ];
meta = {
homepage = "https://elpa.gnu.org/packages/adjust-parens.html";
license = lib.licenses.free;
@@ -163,10 +184,10 @@
elpaBuild {
pname = "agitate";
ename = "agitate";
- version = "0.0.20230101.152816";
+ version = "0.0.20240117.23316";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/agitate-0.0.20230101.152816.tar";
- sha256 = "0a8xgi19b5zc585mmr23dfif2zfbwgdhybrvk5nkc8lc2ifmnf60";
+ url = "https://elpa.gnu.org/devel/agitate-0.0.20240117.23316.tar";
+ sha256 = "0fa6yjakcws7rj83yyj5d8adq4jdmzp1l5ydz81yw5jyiw5zwrgi";
};
packageRequires = [ emacs ];
meta = {
@@ -210,32 +231,29 @@
license = lib.licenses.free;
};
}) {};
- all = callPackage ({ elpaBuild, fetchurl, lib }:
+ all = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
elpaBuild {
pname = "all";
ename = "all";
- version = "1.0.0.20221221.74133";
+ version = "1.0.0.20240113.95556";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/all-1.0.0.20221221.74133.tar";
- sha256 = "0mg5fhfw8n33whx6yg969jwcxlqjgmxvfrh00mq31hzwhppjy293";
+ url = "https://elpa.gnu.org/devel/all-1.0.0.20240113.95556.tar";
+ sha256 = "193hiqxh3hzrm928arcbzbrmja9qn0rs3ka8zb7fra9k2rd214dn";
};
- packageRequires = [];
+ packageRequires = [ emacs ];
meta = {
homepage = "https://elpa.gnu.org/packages/all.html";
license = lib.licenses.free;
};
}) {};
- altcaps = callPackage ({ elpaBuild
- , emacs
- , fetchurl
- , lib }:
+ altcaps = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
elpaBuild {
pname = "altcaps";
ename = "altcaps";
- version = "1.2.0.0.20230922.155347";
+ version = "1.2.0.0.20240117.23410";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/altcaps-1.2.0.0.20230922.155347.tar";
- sha256 = "1m6sihzjxv2cq3bz3j5a17fhbh8q1swvkpl99w53arhsfrmhphff";
+ url = "https://elpa.gnu.org/devel/altcaps-1.2.0.0.20240117.23410.tar";
+ sha256 = "1jgl15jyz1xz8541yi3dfwc1k6rb6w469nsnpwmdvl36vnk9f0hq";
};
packageRequires = [ emacs ];
meta = {
@@ -243,16 +261,16 @@
license = lib.licenses.free;
};
}) {};
- ampc = callPackage ({ elpaBuild, fetchurl, lib }:
+ ampc = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
elpaBuild {
pname = "ampc";
ename = "ampc";
- version = "0.2.0.20221214.153219";
+ version = "0.2.0.20240220.181558";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/ampc-0.2.0.20221214.153219.tar";
- sha256 = "1smb3217kz0dj495d0hy6zkin30xaba4a574d74va3hv0n5485lb";
+ url = "https://elpa.gnu.org/devel/ampc-0.2.0.20240220.181558.tar";
+ sha256 = "0mlf9lggf41rlx6zxjj2kjdy4k11s0kjmxg6qga45r38ynd3am5d";
};
- packageRequires = [];
+ packageRequires = [ emacs ];
meta = {
homepage = "https://elpa.gnu.org/packages/ampc.html";
license = lib.licenses.free;
@@ -297,10 +315,10 @@
elpaBuild {
pname = "async";
ename = "async";
- version = "1.9.7.0.20231105.171300";
+ version = "1.9.8.0.20240108.152601";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/async-1.9.7.0.20231105.171300.tar";
- sha256 = "1f3z679jlhv8xyc1kssmcgq9alwa06r64cpclf477fs6581nda2w";
+ url = "https://elpa.gnu.org/devel/async-1.9.8.0.20240108.152601.tar";
+ sha256 = "01sh3szrpjc2hpmrdrkiv34whw37c9xdybvipjn9xzi5b66m613a";
};
packageRequires = [ emacs ];
meta = {
@@ -308,14 +326,17 @@
license = lib.licenses.free;
};
}) {};
- auctex = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ auctex = callPackage ({ elpaBuild
+ , emacs
+ , fetchurl
+ , lib }:
elpaBuild {
pname = "auctex";
ename = "auctex";
- version = "13.2.2.0.20231011.93504";
+ version = "14.0.3.0.20240220.160410";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/auctex-13.2.2.0.20231011.93504.tar";
- sha256 = "0f13nfkzysp9l1ah74a00m2pr5fv5xx8jp82wqki5g9h60cwq5nk";
+ url = "https://elpa.gnu.org/devel/auctex-14.0.3.0.20240220.160410.tar";
+ sha256 = "1j4i9m9018s606wbrx4r439i479jqf9f5040m9b3qbqhgqwklw8z";
};
packageRequires = [ emacs ];
meta = {
@@ -457,10 +478,10 @@
elpaBuild {
pname = "beframe";
ename = "beframe";
- version = "0.3.0.0.20231027.55708";
+ version = "1.0.1.0.20240210.51926";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/beframe-0.3.0.0.20231027.55708.tar";
- sha256 = "0hmls2l6wy14hv3sghdha7h9gmqrany77cfiam5j2hqjhy0g6vns";
+ url = "https://elpa.gnu.org/devel/beframe-1.0.1.0.20240210.51926.tar";
+ sha256 = "13j35grsh452wwv69qkxckwa8ip27x10bnk5vs60higair15624k";
};
packageRequires = [ emacs ];
meta = {
@@ -468,6 +489,23 @@
license = lib.licenses.free;
};
}) {};
+ bicep-ts-mode = callPackage ({ elpaBuild
+ , fetchurl
+ , lib }:
+ elpaBuild {
+ pname = "bicep-ts-mode";
+ ename = "bicep-ts-mode";
+ version = "0.1.3.0.20240218.140135";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/devel/bicep-ts-mode-0.1.3.0.20240218.140135.tar";
+ sha256 = "0c6xg1dpz60akcr847x6ydl7riwy9bchww31l14wr1s54zrf76sg";
+ };
+ packageRequires = [];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/bicep-ts-mode.html";
+ license = lib.licenses.free;
+ };
+ }) {};
bind-key = callPackage ({ elpaBuild
, emacs
, fetchurl
@@ -475,10 +513,10 @@
elpaBuild {
pname = "bind-key";
ename = "bind-key";
- version = "2.4.1.0.20230930.220905";
+ version = "2.4.1.0.20240210.91008";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/bind-key-2.4.1.0.20230930.220905.tar";
- sha256 = "0mv8lmjsgklvh6g5m89qpzm3ncndf49di0fdjqg33y5vzdzfmiv2";
+ url = "https://elpa.gnu.org/devel/bind-key-2.4.1.0.20240210.91008.tar";
+ sha256 = "034r93cf4dkrzggfacmwg6ny05wxdzva6ia6ry7x2saf7fhlb6qh";
};
packageRequires = [ emacs ];
meta = {
@@ -490,10 +528,10 @@
elpaBuild {
pname = "blist";
ename = "blist";
- version = "0.2.0.20220913.173909";
+ version = "0.3.0.20231213.61103";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/blist-0.2.0.20220913.173909.tar";
- sha256 = "0sjw36rlz714l3v8wlzk6hjsxvy082dl6wvszbxd60a6avysazip";
+ url = "https://elpa.gnu.org/devel/blist-0.3.0.20231213.61103.tar";
+ sha256 = "06h1k2i43pxpa2c394aqznrhf2pj8c7pgjcgs56iz2faar6zri38";
};
packageRequires = [ emacs ilist ];
meta = {
@@ -582,10 +620,10 @@
elpaBuild {
pname = "breadcrumb";
ename = "breadcrumb";
- version = "1.0.1.0.20231107.53204";
+ version = "1.0.1.0.20231126.221621";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/breadcrumb-1.0.1.0.20231107.53204.tar";
- sha256 = "0ai8vw9mnlbsc6qmvapdw8mnkssxj1g3hg83acjc4vlcrdxr40vg";
+ url = "https://elpa.gnu.org/devel/breadcrumb-1.0.1.0.20231126.221621.tar";
+ sha256 = "0z111vmwdc3lvbnbim8233ck3vm6haq2zx9pjaiimipv36jxkzf3";
};
packageRequires = [ emacs project ];
meta = {
@@ -654,10 +692,10 @@
elpaBuild {
pname = "bufferlo";
ename = "bufferlo";
- version = "0.3.0.20231111.144610";
+ version = "0.6.0.20240105.200601";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/bufferlo-0.3.0.20231111.144610.tar";
- sha256 = "02vsgmfn7z4772dgfy9laraqrslzz7nqdaibzpj5qx2k0gxrh0nb";
+ url = "https://elpa.gnu.org/devel/bufferlo-0.6.0.20240105.200601.tar";
+ sha256 = "1m0740ljllwyyfmjl1jlxrfgj4ndq7zh9lbyi4j2c40xliikgs09";
};
packageRequires = [ emacs ];
meta = {
@@ -702,18 +740,14 @@
license = lib.licenses.free;
};
}) {};
- calibre = callPackage ({ compat
- , elpaBuild
- , emacs
- , fetchurl
- , lib }:
+ calibre = callPackage ({ compat, elpaBuild, emacs, fetchurl, lib }:
elpaBuild {
pname = "calibre";
ename = "calibre";
- version = "1.3.3.0.20230520.233506";
+ version = "1.4.1.0.20240208.85735";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/calibre-1.3.3.0.20230520.233506.tar";
- sha256 = "1r1vc446q1f90vzk74mdygnjfc9qrbc1fc6gwpq7kv8m56n96giw";
+ url = "https://elpa.gnu.org/devel/calibre-1.4.1.0.20240208.85735.tar";
+ sha256 = "0b69ymkcahx6bh9ss8zygwfwiffsql370i9pwriv9ypy17nbjxlc";
};
packageRequires = [ compat emacs ];
meta = {
@@ -725,10 +759,10 @@
elpaBuild {
pname = "cape";
ename = "cape";
- version = "0.17.0.20231029.100801";
+ version = "1.3.0.20240214.113952";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/cape-0.17.0.20231029.100801.tar";
- sha256 = "14898pkxh61sxhmapb87zafl5wjz5w2na0mqpj8c36hvr5h33jnj";
+ url = "https://elpa.gnu.org/devel/cape-1.3.0.20240214.113952.tar";
+ sha256 = "01q9hrzar17zrcm1ayakg6xamz5a434294d3xij9yalwrnnpvrd3";
};
packageRequires = [ compat emacs ];
meta = {
@@ -874,10 +908,10 @@
elpaBuild {
pname = "code-cells";
ename = "code-cells";
- version = "0.3.0.20231015.132845";
+ version = "0.4.0.20231119.213845";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/code-cells-0.3.0.20231015.132845.tar";
- sha256 = "07d0y8xv5fzwmfma0xfmsm98ypvkwchscq0893d131y0q7cfx1gi";
+ url = "https://elpa.gnu.org/devel/code-cells-0.4.0.20231119.213845.tar";
+ sha256 = "0wrsp2xrvfp8f20gjw4r6434i4f822hz794mhnz44sn2ggs2mrn2";
};
packageRequires = [ emacs ];
meta = {
@@ -927,10 +961,10 @@
elpaBuild {
pname = "company";
ename = "company";
- version = "0.10.2.0.20231115.182802";
+ version = "0.10.2.0.20240218.181202";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/company-0.10.2.0.20231115.182802.tar";
- sha256 = "0l18qi7m8anawl466xd7r3i3cjvhqprhwzclpw92x7hzgnjv73nl";
+ url = "https://elpa.gnu.org/devel/company-0.10.2.0.20240218.181202.tar";
+ sha256 = "0w170l9br7cxq8ili7jba89qx45wfkhqbz0yrvmmwa27qh2nz4ki";
};
packageRequires = [ emacs ];
meta = {
@@ -1003,10 +1037,10 @@
elpaBuild {
pname = "compat";
ename = "compat";
- version = "29.1.4.4.0.20231113.72021";
+ version = "29.1.4.4.0.20240213.64417";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/compat-29.1.4.4.0.20231113.72021.tar";
- sha256 = "0w6dy2356k1k0g4kbr81wv431fb9by03nc7rdgwnsyq4cs3dd46s";
+ url = "https://elpa.gnu.org/devel/compat-29.1.4.4.0.20240213.64417.tar";
+ sha256 = "1ihkqsa6akwk3p4z83iwbizcp0bg0gla5klavzrfppnnpyh83nrf";
};
packageRequires = [ emacs seq ];
meta = {
@@ -1018,10 +1052,10 @@
elpaBuild {
pname = "consult";
ename = "consult";
- version = "0.35.0.20231115.174657";
+ version = "1.3.0.20240223.131438";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/consult-0.35.0.20231115.174657.tar";
- sha256 = "0j8kj3d2svqns4z2pp18rc6x9blfz0w41r73934wdjxw2fri9wbd";
+ url = "https://elpa.gnu.org/devel/consult-1.3.0.20240223.131438.tar";
+ sha256 = "0pibhg8i7rcxbkzlkz37v5w9x566ffabx7jmhjzgjgq7v6cgqzv6";
};
packageRequires = [ compat emacs ];
meta = {
@@ -1029,6 +1063,26 @@
license = lib.licenses.free;
};
}) {};
+ consult-hoogle = callPackage ({ consult
+ , elpaBuild
+ , emacs
+ , fetchurl
+ , haskell-mode
+ , lib }:
+ elpaBuild {
+ pname = "consult-hoogle";
+ ename = "consult-hoogle";
+ version = "0.1.1.0.20240211.83945";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/devel/consult-hoogle-0.1.1.0.20240211.83945.tar";
+ sha256 = "1ka6k26k3zr86p4z7lxb7jsh9wrhkiz8mp552jbz3v6kyifcmaq1";
+ };
+ packageRequires = [ consult emacs haskell-mode ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/consult-hoogle.html";
+ license = lib.licenses.free;
+ };
+ }) {};
consult-recoll = callPackage ({ consult
, elpaBuild
, emacs
@@ -1037,10 +1091,10 @@
elpaBuild {
pname = "consult-recoll";
ename = "consult-recoll";
- version = "0.8.0.20221014.200255";
+ version = "0.8.1.0.20231211.122134";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/consult-recoll-0.8.0.20221014.200255.tar";
- sha256 = "063l4p54bjmk9x9ajcjpb1qc1lz9w7jfcd5vz95jv17imwy0pzzg";
+ url = "https://elpa.gnu.org/devel/consult-recoll-0.8.1.0.20231211.122134.tar";
+ sha256 = "1agx2pv6zp61wr0hbywlr1mqhgrrlkxr48pnbia3iah82s81hqxp";
};
packageRequires = [ consult emacs ];
meta = {
@@ -1070,10 +1124,10 @@
elpaBuild {
pname = "corfu";
ename = "corfu";
- version = "0.38.0.20231112.81933";
+ version = "1.2.0.20240212.223716";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/corfu-0.38.0.20231112.81933.tar";
- sha256 = "1zmd13qbdknw03l65fir3a4niq5lbacj28j5kqknka87f3lz4pd2";
+ url = "https://elpa.gnu.org/devel/corfu-1.2.0.20240212.223716.tar";
+ sha256 = "179sprpnfwda9ibgcn3q2g6czbxl40p0l1sfa0pbs5kzwjslg0i9";
};
packageRequires = [ compat emacs ];
meta = {
@@ -1105,10 +1159,10 @@
elpaBuild {
pname = "counsel";
ename = "counsel";
- version = "0.14.2.0.20231025.232958";
+ version = "0.14.2.0.20240214.214516";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/counsel-0.14.2.0.20231025.232958.tar";
- sha256 = "0y1lxhsmjazml41sg0if7y9jv1i90ad13grafil6pb4mg4m15v70";
+ url = "https://elpa.gnu.org/devel/counsel-0.14.2.0.20240214.214516.tar";
+ sha256 = "0fnx7m76zrspnrmcbj359724w6ih77pyl17w1968r621law6i37i";
};
packageRequires = [ emacs ivy swiper ];
meta = {
@@ -1207,10 +1261,10 @@
elpaBuild {
pname = "csv-mode";
ename = "csv-mode";
- version = "1.22.0.20230208.161318";
+ version = "1.23.0.20240114.203711";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/csv-mode-1.22.0.20230208.161318.tar";
- sha256 = "0jzna3i4dbz4lvpnvjm7lsggk71wiq0bdcgq4h2rcs6lqsr559dp";
+ url = "https://elpa.gnu.org/devel/csv-mode-1.23.0.20240114.203711.tar";
+ sha256 = "0y6zbqdh5gxhfbh2hfxx9k73h5aaknc041jr84s9ims67iavb17b";
};
packageRequires = [ cl-lib emacs ];
meta = {
@@ -1218,17 +1272,14 @@
license = lib.licenses.free;
};
}) {};
- cursory = callPackage ({ elpaBuild
- , emacs
- , fetchurl
- , lib }:
+ cursory = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
elpaBuild {
pname = "cursory";
ename = "cursory";
- version = "1.0.1.0.20230929.155749";
+ version = "1.0.1.0.20240117.23936";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/cursory-1.0.1.0.20230929.155749.tar";
- sha256 = "04kabcxz6rjiq43jz16af6aax93jl3pbsnaanmgqn16b3n89jsal";
+ url = "https://elpa.gnu.org/devel/cursory-1.0.1.0.20240117.23936.tar";
+ sha256 = "0izi2gz5pgxjgw7jcpgcy9q0gf0pvcvsszhjq9mg157w8sbkfx06";
};
packageRequires = [ emacs ];
meta = {
@@ -1253,6 +1304,21 @@
license = lib.licenses.free;
};
}) {};
+ dape = callPackage ({ elpaBuild, emacs, fetchurl, jsonrpc, lib }:
+ elpaBuild {
+ pname = "dape";
+ ename = "dape";
+ version = "0.7.0.0.20240223.130714";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/devel/dape-0.7.0.0.20240223.130714.tar";
+ sha256 = "1jm7r04bi22flwh83cfv6grla76s3c6qayw4iz6mbiwpqc4yx3qa";
+ };
+ packageRequires = [ emacs jsonrpc ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/dape.html";
+ license = lib.licenses.free;
+ };
+ }) {};
darkroom = callPackage ({ cl-lib ? null, elpaBuild, fetchurl, lib }:
elpaBuild {
pname = "darkroom";
@@ -1272,10 +1338,10 @@
elpaBuild {
pname = "dash";
ename = "dash";
- version = "2.19.1.0.20230801.124436";
+ version = "2.19.1.0.20240216.133446";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/dash-2.19.1.0.20230801.124436.tar";
- sha256 = "009067xiyvh647plqbp7rbsj071rar8609px3byh93649x1k0f2v";
+ url = "https://elpa.gnu.org/devel/dash-2.19.1.0.20240216.133446.tar";
+ sha256 = "0wjx6lxj5svvhifnqffmxqf1li57606v0hv1ixs90275r56294gh";
};
packageRequires = [ emacs ];
meta = {
@@ -1305,10 +1371,10 @@
elpaBuild {
pname = "debbugs";
ename = "debbugs";
- version = "0.37.0.20231029.152335";
+ version = "0.40.0.20240215.214858";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/debbugs-0.37.0.20231029.152335.tar";
- sha256 = "0i2jbns27cfrlkyq3rszqkg6vqbw9r2pq2w9yxcyj60v0hq0ww53";
+ url = "https://elpa.gnu.org/devel/debbugs-0.40.0.20240215.214858.tar";
+ sha256 = "0kf6f8qpshdx07zbpgglpz01daq2ix3sw006yn1qx07bxj1kihsd";
};
packageRequires = [ emacs soap-client ];
meta = {
@@ -1335,10 +1401,10 @@
elpaBuild {
pname = "denote";
ename = "denote";
- version = "2.1.0.0.20231115.111152";
+ version = "2.2.4.0.20240223.62237";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/denote-2.1.0.0.20231115.111152.tar";
- sha256 = "0mp57k3z1gyc21lj010yi9nb3qpqd6yirysf9ljcy9h5bxnqafmh";
+ url = "https://elpa.gnu.org/devel/denote-2.2.4.0.20240223.62237.tar";
+ sha256 = "0h4cs61mipfxb8hzs6d7mafw7y97r0k0l68mcglr0c2lxcxv6sd3";
};
packageRequires = [ emacs ];
meta = {
@@ -1387,10 +1453,10 @@
elpaBuild {
pname = "devdocs";
ename = "devdocs";
- version = "0.5.0.20230220.204256";
+ version = "0.5.0.20231127.190545";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/devdocs-0.5.0.20230220.204256.tar";
- sha256 = "19vjsvyikyli7f367gh6razvdwgsdvjxy6sfywk272q11wy1imcy";
+ url = "https://elpa.gnu.org/devel/devdocs-0.5.0.20231127.190545.tar";
+ sha256 = "19w6fdw6j3qpmm88nymym3h012yi6mc22bdzm6pr4m39d8m4qbqx";
};
packageRequires = [ emacs ];
meta = {
@@ -1398,6 +1464,24 @@
license = lib.licenses.free;
};
}) {};
+ devicetree-ts-mode = callPackage ({ elpaBuild
+ , emacs
+ , fetchurl
+ , lib }:
+ elpaBuild {
+ pname = "devicetree-ts-mode";
+ ename = "devicetree-ts-mode";
+ version = "0.3.0.20240117.132538";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/devel/devicetree-ts-mode-0.3.0.20240117.132538.tar";
+ sha256 = "0zn2fb2lbfizfas5silkahbmrcf508x10746amw79a7mfwap2x90";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/devicetree-ts-mode.html";
+ license = lib.licenses.free;
+ };
+ }) {};
dict-tree = callPackage ({ elpaBuild
, emacs
, fetchurl
@@ -1419,18 +1503,14 @@
license = lib.licenses.free;
};
}) {};
- diff-hl = callPackage ({ cl-lib ? null
- , elpaBuild
- , emacs
- , fetchurl
- , lib }:
+ diff-hl = callPackage ({ cl-lib ? null, elpaBuild, emacs, fetchurl, lib }:
elpaBuild {
pname = "diff-hl";
ename = "diff-hl";
- version = "1.9.2.0.20230807.151654";
+ version = "1.9.2.0.20240127.3432";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/diff-hl-1.9.2.0.20230807.151654.tar";
- sha256 = "0zzggv9h2943vm3xk1622fgksmczckmckxckgkh58l4wl9zdqm19";
+ url = "https://elpa.gnu.org/devel/diff-hl-1.9.2.0.20240127.3432.tar";
+ sha256 = "0i7dyl8x1mv0jk8pr5w133m0rvxqqk0dm8sfszg8azg9y0ihsigf";
};
packageRequires = [ cl-lib emacs ];
meta = {
@@ -1530,10 +1610,10 @@
elpaBuild {
pname = "dired-preview";
ename = "dired-preview";
- version = "0.1.1.0.20231005.130135";
+ version = "0.1.1.0.20240117.24241";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/dired-preview-0.1.1.0.20231005.130135.tar";
- sha256 = "1rlcd0sbvgblgkaf0mp5xyci1cwbnd3ch6vwldk0jgb303j7ny9p";
+ url = "https://elpa.gnu.org/devel/dired-preview-0.1.1.0.20240117.24241.tar";
+ sha256 = "1npf39wmkfknij89qphx9qmpycr59x4sm57575ys2b50ca2bk49w";
};
packageRequires = [ emacs ];
meta = {
@@ -1641,10 +1721,10 @@
elpaBuild {
pname = "drepl";
ename = "drepl";
- version = "0.1.0.20231112.180047";
+ version = "0.1.0.20231123.162851";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/drepl-0.1.0.20231112.180047.tar";
- sha256 = "09s55hfy11y7v1d2l6nggz8b27mrsvqabb5xwpipnnynkmif2q2q";
+ url = "https://elpa.gnu.org/devel/drepl-0.1.0.20231123.162851.tar";
+ sha256 = "10b5xk30vm5d7n3vzv0rafvbqwx4y5l9fhjrrgxh3j57z4v8lw0k";
};
packageRequires = [ comint-mime emacs ];
meta = {
@@ -1707,10 +1787,10 @@
elpaBuild {
pname = "ebdb";
ename = "ebdb";
- version = "0.8.18.0.20231023.175242";
+ version = "0.8.22.0.20240117.72338";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/ebdb-0.8.18.0.20231023.175242.tar";
- sha256 = "0lxb9isbg6whwcfi8gjmggi4aa4ri6b4mx4xiljzwkmrcv3y5q76";
+ url = "https://elpa.gnu.org/devel/ebdb-0.8.22.0.20240117.72338.tar";
+ sha256 = "0x7kccnwka9ajbc21mwli2x00ysh3gzadwrjf89aq7xy8ixkx56f";
};
packageRequires = [ emacs seq ];
meta = {
@@ -1775,10 +1855,10 @@
elpaBuild {
pname = "eev";
ename = "eev";
- version = "20230127.0.20231106.221153";
+ version = "20240205.0.20240220.34251";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/eev-20230127.0.20231106.221153.tar";
- sha256 = "0labf7shjyv5v5rakkgra8338k9y5ads82ziqracklgj5p39gakf";
+ url = "https://elpa.gnu.org/devel/eev-20240205.0.20240220.34251.tar";
+ sha256 = "01m609prd554rgwjs9igxwxair1pl6g1vgm2p890mddd0741ci7k";
};
packageRequires = [ emacs ];
meta = {
@@ -1793,10 +1873,10 @@
elpaBuild {
pname = "ef-themes";
ename = "ef-themes";
- version = "1.4.0.0.20231031.71401";
+ version = "1.5.1.0.20240223.55243";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/ef-themes-1.4.0.0.20231031.71401.tar";
- sha256 = "02yrb6cv0l6k30xyd8wz0jjr4cy66p9limcrmkm52q1k7l0dq3za";
+ url = "https://elpa.gnu.org/devel/ef-themes-1.5.1.0.20240223.55243.tar";
+ sha256 = "1i9rjlfnmq2in93q4j08w5hkhjf0mhd4sam329x2bzibq5rv4m24";
};
packageRequires = [ emacs ];
meta = {
@@ -1818,10 +1898,10 @@
elpaBuild {
pname = "eglot";
ename = "eglot";
- version = "1.15.0.20231115.41203";
+ version = "1.17.0.20240204.100650";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/eglot-1.15.0.20231115.41203.tar";
- sha256 = "0xybf9czzkdpv94qsbmq725scmjjkm4gwn74ffa8r99a0i1w2nki";
+ url = "https://elpa.gnu.org/devel/eglot-1.17.0.20240204.100650.tar";
+ sha256 = "0jcqjpw15gjgi2kbr9xjdjjy5g8gjry7q04a3cnr1ih43qa0hkhb";
};
packageRequires = [
eldoc
@@ -1862,10 +1942,10 @@
elpaBuild {
pname = "eldoc";
ename = "eldoc";
- version = "1.14.0.0.20231016.70239";
+ version = "1.15.0.0.20240223.120227";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/eldoc-1.14.0.0.20231016.70239.tar";
- sha256 = "0rh009rw5682a7mdzli7s8r434mwacpxi7lz2aacsm1wmnz2g0g2";
+ url = "https://elpa.gnu.org/devel/eldoc-1.15.0.0.20240223.120227.tar";
+ sha256 = "0v9y8qbcxs9dkb9sfgqsnqiz4b1w75hwq3hc24j9jkjkpny7fd4m";
};
packageRequires = [ emacs ];
meta = {
@@ -1907,6 +1987,27 @@
license = lib.licenses.free;
};
}) {};
+ ellama = callPackage ({ dash
+ , elpaBuild
+ , emacs
+ , fetchurl
+ , lib
+ , llm
+ , spinner }:
+ elpaBuild {
+ pname = "ellama";
+ ename = "ellama";
+ version = "0.8.7.0.20240223.201209";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/devel/ellama-0.8.7.0.20240223.201209.tar";
+ sha256 = "0b8b6qq9d14krxzrhwwh0g6xgpgxags8cr09b6bkpkrly4j3q08f";
+ };
+ packageRequires = [ dash emacs llm spinner ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/ellama.html";
+ license = lib.licenses.free;
+ };
+ }) {};
emacs-gc-stats = callPackage ({ elpaBuild
, emacs
, fetchurl
@@ -1914,10 +2015,10 @@
elpaBuild {
pname = "emacs-gc-stats";
ename = "emacs-gc-stats";
- version = "1.4.1.0.20230721.81431";
+ version = "1.4.2.0.20231206.152254";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/emacs-gc-stats-1.4.1.0.20230721.81431.tar";
- sha256 = "044q2xviir38m467fs22mfx5p0s42dp7758fikwfqawqcsggr7hp";
+ url = "https://elpa.gnu.org/devel/emacs-gc-stats-1.4.2.0.20231206.152254.tar";
+ sha256 = "047bvpm3sbikr31z3kv3z5fb02vpmrd98qv2zypxdx9rk5yid3pb";
};
packageRequires = [ emacs ];
meta = {
@@ -1929,10 +2030,10 @@
elpaBuild {
pname = "embark";
ename = "embark";
- version = "0.23.0.20231112.53804";
+ version = "1.0.0.20240221.104918";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/embark-0.23.0.20231112.53804.tar";
- sha256 = "056kgr14msd6fhzwpdazzaxzmn65wm6qp59z22l5ykpr8awl4jxi";
+ url = "https://elpa.gnu.org/devel/embark-1.0.0.20240221.104918.tar";
+ sha256 = "121vvf8j9hs1z6lxz1v5r7r663k6kvzxnfd3xfgmipjfvax3ql85";
};
packageRequires = [ compat emacs ];
meta = {
@@ -1940,7 +2041,8 @@
license = lib.licenses.free;
};
}) {};
- embark-consult = callPackage ({ consult
+ embark-consult = callPackage ({ compat
+ , consult
, elpaBuild
, emacs
, embark
@@ -1949,12 +2051,12 @@
elpaBuild {
pname = "embark-consult";
ename = "embark-consult";
- version = "0.8.0.20231112.53804";
+ version = "1.0.0.20240221.104918";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/embark-consult-0.8.0.20231112.53804.tar";
- sha256 = "1fxk8hfid2ii912can7b1gp8fzkq31y1cfi53n9mw6p0nj26c1fh";
+ url = "https://elpa.gnu.org/devel/embark-consult-1.0.0.20240221.104918.tar";
+ sha256 = "1ky6nsgzp73s94w7x1p00cly54gnmb763hrj7lx8k5ljc953zsd1";
};
- packageRequires = [ consult emacs embark ];
+ packageRequires = [ compat consult emacs embark ];
meta = {
homepage = "https://elpa.gnu.org/packages/embark-consult.html";
license = lib.licenses.free;
@@ -1974,10 +2076,10 @@
elpaBuild {
pname = "ement";
ename = "ement";
- version = "0.14pre0.20231111.212243";
+ version = "0.15pre0.20240216.193240";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/ement-0.14pre0.20231111.212243.tar";
- sha256 = "13xd7m5pigfvqnrxqr40dg9139djb0m9l3p7scvi0fi05247kf5l";
+ url = "https://elpa.gnu.org/devel/ement-0.15pre0.20240216.193240.tar";
+ sha256 = "0bqvdz8rq63ac1szlvnk4aq1kafshc4kgyb91q941ndmpkd18gnj";
};
packageRequires = [
emacs
@@ -2003,10 +2105,10 @@
elpaBuild {
pname = "emms";
ename = "emms";
- version = "16.0.20231110.185602";
+ version = "18.0.20240211.172953";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/emms-16.0.20231110.185602.tar";
- sha256 = "114dsyncfcgrxjypf475n5kabcmm08szq4sa2grqv5gcm9l63qwr";
+ url = "https://elpa.gnu.org/devel/emms-18.0.20240211.172953.tar";
+ sha256 = "1y70n5f0xw05z5ri4gj13z5fyibygcff019zazmy3wq4idn2ff2z";
};
packageRequires = [ cl-lib nadvice seq ];
meta = {
@@ -2072,10 +2174,10 @@
elpaBuild {
pname = "erc";
ename = "erc";
- version = "5.6snapshot0.20231112.203749";
+ version = "5.6snapshot0.20240216.195938";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/erc-5.6snapshot0.20231112.203749.tar";
- sha256 = "1zag35hnzc72gbjr00ljfz803z8rmz8qhyxxvcxaia769vhmh5j8";
+ url = "https://elpa.gnu.org/devel/erc-5.6snapshot0.20240216.195938.tar";
+ sha256 = "0ap7kibpas9fwfsyail09v2zx9jkfa7hlj7fi0dr67a6jkqmgvya";
};
packageRequires = [ compat emacs ];
meta = {
@@ -2092,10 +2194,10 @@
elpaBuild {
pname = "ergoemacs-mode";
ename = "ergoemacs-mode";
- version = "5.16.10.12.0.20230207.95118";
+ version = "5.16.10.12.0.20240129.80712";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/ergoemacs-mode-5.16.10.12.0.20230207.95118.tar";
- sha256 = "1gf8mn2g453kwgibpawj6gjmd707p33lfkx6dq9wba66zh4cgp4a";
+ url = "https://elpa.gnu.org/devel/ergoemacs-mode-5.16.10.12.0.20240129.80712.tar";
+ sha256 = "14ydcz8mhq9cy1ivxdyjslh83lxigqcqw8nb1f22g9ksxxxsz9fr";
};
packageRequires = [ cl-lib emacs nadvice ];
meta = {
@@ -2103,17 +2205,14 @@
license = lib.licenses.free;
};
}) {};
- ess = callPackage ({ elpaBuild
- , emacs
- , fetchurl
- , lib }:
+ ess = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
elpaBuild {
pname = "ess";
ename = "ess";
- version = "18.10.3snapshot0.20230807.142202";
+ version = "24.1.1.0.20240131.104105";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/ess-18.10.3snapshot0.20230807.142202.tar";
- sha256 = "0fcshc4smb3dj47rbnz21zdwxikhj1al3nism17sxchmfxx3x2sb";
+ url = "https://elpa.gnu.org/devel/ess-24.1.1.0.20240131.104105.tar";
+ sha256 = "0ky9n9kdqs4gsmwl3ah2lnw2qrmimrzfip1axrlbbk92n5dg6cvi";
};
packageRequires = [ emacs ];
meta = {
@@ -2134,10 +2233,10 @@
elpaBuild {
pname = "excorporate";
ename = "excorporate";
- version = "1.1.1.0.20230529.173200";
+ version = "1.1.2.0.20240219.90343";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/excorporate-1.1.1.0.20230529.173200.tar";
- sha256 = "1485cy2a8vzws2k796cj8a6dydjf8dagyid1ns04krzafvkfdnh4";
+ url = "https://elpa.gnu.org/devel/excorporate-1.1.2.0.20240219.90343.tar";
+ sha256 = "1cfpg7i4jvzfi4iak5g9cj7bsvb0zkqh64jkbfrchhk4wxpj0s01";
};
packageRequires = [
cl-lib
@@ -2160,10 +2259,10 @@
elpaBuild {
pname = "expand-region";
ename = "expand-region";
- version = "1.0.0.0.20231020.62055";
+ version = "1.0.0.0.20240119.103925";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/expand-region-1.0.0.0.20231020.62055.tar";
- sha256 = "15z23yil8jnpf8xgg9ham1r2sggvbshcxz9d380dd0ainp32n3ll";
+ url = "https://elpa.gnu.org/devel/expand-region-1.0.0.0.20240119.103925.tar";
+ sha256 = "147zh74zjzq8qwmrsdjikkiaky7lagjf4jim2mj1rf35lw5z1m5z";
};
packageRequires = [ emacs ];
meta = {
@@ -2192,10 +2291,10 @@
elpaBuild {
pname = "external-completion";
ename = "external-completion";
- version = "0.1.0.20230930.220905";
+ version = "0.1.0.20240102.22814";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/external-completion-0.1.0.20230930.220905.tar";
- sha256 = "1pipmg4j36cb7qp1jrw5hivwmsiic4pgvx9ahb9hyjwb110m3h52";
+ url = "https://elpa.gnu.org/devel/external-completion-0.1.0.20240102.22814.tar";
+ sha256 = "0azy67n2lb9lcmrcz1k0z8gpx32w8g5xcz9ly73yh5kdfb83227p";
};
packageRequires = [];
meta = {
@@ -2203,16 +2302,16 @@
license = lib.licenses.free;
};
}) {};
- exwm = callPackage ({ elpaBuild, fetchurl, lib, xelb }:
+ exwm = callPackage ({ elpaBuild, emacs, fetchurl, lib, xelb }:
elpaBuild {
pname = "exwm";
ename = "exwm";
- version = "0.28.0.20231006.0";
+ version = "0.28.0.20240212.225519";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/exwm-0.28.0.20231006.0.tar";
- sha256 = "1b7dpf6ahc76k22mdwvwdx72pm8z47l3bi050r12nd8vmbgmy0rh";
+ url = "https://elpa.gnu.org/devel/exwm-0.28.0.20240212.225519.tar";
+ sha256 = "1ikp7q4cdss5isqrnl1iwlqwqgswh7sraz983ixdq706k1zsbcgr";
};
- packageRequires = [ xelb ];
+ packageRequires = [ emacs xelb ];
meta = {
homepage = "https://elpa.gnu.org/packages/exwm.html";
license = lib.licenses.free;
@@ -2254,6 +2353,25 @@
license = lib.licenses.free;
};
}) {};
+ filechooser = callPackage ({ compat
+ , elpaBuild
+ , emacs
+ , fetchurl
+ , lib }:
+ elpaBuild {
+ pname = "filechooser";
+ ename = "filechooser";
+ version = "0.1.2.0.20240219.174103";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/devel/filechooser-0.1.2.0.20240219.174103.tar";
+ sha256 = "13rfqb0s3kw3llvgaxq27cdj8fihimihpaicsn5mq36yxbdcd0an";
+ };
+ packageRequires = [ compat emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/filechooser.html";
+ license = lib.licenses.free;
+ };
+ }) {};
filladapt = callPackage ({ elpaBuild
, emacs
, fetchurl
@@ -2314,10 +2432,10 @@
elpaBuild {
pname = "flymake";
ename = "flymake";
- version = "1.3.7.0.20231026.132104";
+ version = "1.3.7.0.20240223.154540";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/flymake-1.3.7.0.20231026.132104.tar";
- sha256 = "0xk42bz63156vnkwxk743ln1w0zjs7yjayy9l2a97mynnzwa0knh";
+ url = "https://elpa.gnu.org/devel/flymake-1.3.7.0.20240223.154540.tar";
+ sha256 = "1z9j0mrka8qznz3d81h1pzns2bn1sswqj2rd8m2drwjzzgaq4j0w";
};
packageRequires = [ eldoc emacs project ];
meta = {
@@ -2369,10 +2487,10 @@
elpaBuild {
pname = "fontaine";
ename = "fontaine";
- version = "1.0.0.0.20231026.83630";
+ version = "1.0.0.0.20240222.132833";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/fontaine-1.0.0.0.20231026.83630.tar";
- sha256 = "0y02wj5m1xj7ja57rj42jhdjvzy7rsdk3vkdmaay7y4bh4dd7vnl";
+ url = "https://elpa.gnu.org/devel/fontaine-1.0.0.0.20240222.132833.tar";
+ sha256 = "19smmj7fk5584fwh0yhf0h2h01xv5148rvwc4bcypdsm744rcvfj";
};
packageRequires = [ emacs ];
meta = {
@@ -2697,14 +2815,17 @@
license = lib.licenses.free;
};
}) {};
- greader = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ greader = callPackage ({ elpaBuild
+ , emacs
+ , fetchurl
+ , lib }:
elpaBuild {
pname = "greader";
ename = "greader";
- version = "0.6.0.0.20231113.71128";
+ version = "0.9.7.0.20240223.114345";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/greader-0.6.0.0.20231113.71128.tar";
- sha256 = "19aj5bp72ic2j9fv4lygnpj01bl89ifcw4s75lqasff60mlv0320";
+ url = "https://elpa.gnu.org/devel/greader-0.9.7.0.20240223.114345.tar";
+ sha256 = "11kkp3x93yka54a68jjg0wpk51d3snf21wvamylk016w9sh3lls0";
};
packageRequires = [ emacs ];
meta = {
@@ -2734,10 +2855,10 @@
elpaBuild {
pname = "gtags-mode";
ename = "gtags-mode";
- version = "1.0.0.20221205.52414";
+ version = "1.0.0.20240124.174821";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/gtags-mode-1.0.0.20221205.52414.tar";
- sha256 = "0y6dsyrm91yb63bmm6cpjzffq4314saqfryz790h8jm19x5nc4m2";
+ url = "https://elpa.gnu.org/devel/gtags-mode-1.0.0.20240124.174821.tar";
+ sha256 = "0j8i71z95y1crnfbcdpnrcwnfvpgcarvq1dqnmj7ic3fz40hd711";
};
packageRequires = [ emacs ];
meta = {
@@ -2887,10 +3008,10 @@
elpaBuild {
pname = "hyperbole";
ename = "hyperbole";
- version = "8.0.1pre0.20231106.194732";
+ version = "8.0.2pre0.20240222.73640";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/hyperbole-8.0.1pre0.20231106.194732.tar";
- sha256 = "02d4r3w6angwjw2wr192gkgwyzfb3vszdsb18baziqbwq2xh5cch";
+ url = "https://elpa.gnu.org/devel/hyperbole-8.0.2pre0.20240222.73640.tar";
+ sha256 = "0j94qx0gmfh3n43jmca7zvr3j0sjc4nd2bxz5impgajq19l94wmj";
};
packageRequires = [ emacs ];
meta = {
@@ -2902,10 +3023,10 @@
elpaBuild {
pname = "ilist";
ename = "ilist";
- version = "0.1.0.20220115.130125";
+ version = "0.3.0.20240219.40214";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/ilist-0.1.0.20220115.130125.tar";
- sha256 = "088g1ybcvphlgjyl7n7y81m0q2g77brabdbj479j2s8rbidw26va";
+ url = "https://elpa.gnu.org/devel/ilist-0.3.0.20240219.40214.tar";
+ sha256 = "0y8qziqq70qwxffx7fy8b6grbsxh22dmbrgxpx9a8q4zq9257j90";
};
packageRequires = [];
meta = {
@@ -2986,10 +3107,10 @@
elpaBuild {
pname = "ivy";
ename = "ivy";
- version = "0.14.2.0.20231025.231958";
+ version = "0.14.2.0.20240214.214034";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/ivy-0.14.2.0.20231025.231958.tar";
- sha256 = "0r6dyq350djn5vprk0cvj7vh3l0j2vadsxaiq35yv9gjqh20ca88";
+ url = "https://elpa.gnu.org/devel/ivy-0.14.2.0.20240214.214034.tar";
+ sha256 = "0y7fakcrzwghgg1pvlgbsp1ziidh7vyy22nm0ph4bsq4il16fm9m";
};
packageRequires = [ emacs ];
meta = {
@@ -3006,10 +3127,10 @@
elpaBuild {
pname = "ivy-avy";
ename = "ivy-avy";
- version = "0.14.2.0.20231025.232243";
+ version = "0.14.2.0.20240214.214218";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/ivy-avy-0.14.2.0.20231025.232243.tar";
- sha256 = "1y9v3iv7zj7zc526k336rjq04vlisx8giyax5h0as97r8zc4rpzc";
+ url = "https://elpa.gnu.org/devel/ivy-avy-0.14.2.0.20240214.214218.tar";
+ sha256 = "0qrrvkb6kl83lxikr3ags4mbxi015qy9l34dnjb5580zgcsjvqc8";
};
packageRequires = [ avy emacs ivy ];
meta = {
@@ -3045,10 +3166,10 @@
elpaBuild {
pname = "ivy-hydra";
ename = "ivy-hydra";
- version = "0.14.2.0.20231025.232457";
+ version = "0.14.2.0.20240214.214337";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/ivy-hydra-0.14.2.0.20231025.232457.tar";
- sha256 = "15az95s0bv0wc33kqh2h5n92hhn54mhy4lx9m2mm2x83jggdw4yy";
+ url = "https://elpa.gnu.org/devel/ivy-hydra-0.14.2.0.20240214.214337.tar";
+ sha256 = "1k719hy80hs0019qza0vcpvgxpfsmkkz6j8hs3bfbpmzg0pj54ph";
};
packageRequires = [ emacs hydra ivy ];
meta = {
@@ -3076,6 +3197,24 @@
license = lib.licenses.free;
};
}) {};
+ jami-bot = callPackage ({ elpaBuild
+ , emacs
+ , fetchurl
+ , lib }:
+ elpaBuild {
+ pname = "jami-bot";
+ ename = "jami-bot";
+ version = "0.0.4.0.20240204.184721";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/devel/jami-bot-0.0.4.0.20240204.184721.tar";
+ sha256 = "0klkzj83cqc1pp2q46067wq3gpxkmgcsb24s9mcccrwsrvqbgm9s";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/jami-bot.html";
+ license = lib.licenses.free;
+ };
+ }) {};
jarchive = callPackage ({ elpaBuild
, emacs
, fetchurl
@@ -3131,10 +3270,10 @@
elpaBuild {
pname = "jinx";
ename = "jinx";
- version = "0.9.0.20231111.85046";
+ version = "1.3.0.20240223.131200";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/jinx-0.9.0.20231111.85046.tar";
- sha256 = "1dp2sclzrr5918n2zjzyxhxcf3sd393a3a4xr4c8wdi2wdpmn1vs";
+ url = "https://elpa.gnu.org/devel/jinx-1.3.0.20240223.131200.tar";
+ sha256 = "1iyi3ayrjwp0xvsz4r03hwdlph0jw454xrlpy7j4spbwcq3s50yn";
};
packageRequires = [ compat emacs ];
meta = {
@@ -3169,10 +3308,10 @@
elpaBuild {
pname = "js2-mode";
ename = "js2-mode";
- version = "20230408.0.20230628.23819";
+ version = "20231224.0.20240221.35415";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/js2-mode-20230408.0.20230628.23819.tar";
- sha256 = "1yr3nyy28wwvmrbm3zl6hvwzja1qspvw92jzddydgjdws1xwwmfc";
+ url = "https://elpa.gnu.org/devel/js2-mode-20231224.0.20240221.35415.tar";
+ sha256 = "076jh8ldqmanb140cf4s381dwmlm0mr030ddqz7aaj790853vff2";
};
packageRequires = [ cl-lib emacs ];
meta = {
@@ -3202,10 +3341,10 @@
elpaBuild {
pname = "jsonrpc";
ename = "jsonrpc";
- version = "1.0.17.0.20230729.112319";
+ version = "1.0.24.0.20240121.142806";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/jsonrpc-1.0.17.0.20230729.112319.tar";
- sha256 = "0id8pih1svkp1ipnb92kh2avmb8cwrldxgj4b0kli4bi2q9i58x6";
+ url = "https://elpa.gnu.org/devel/jsonrpc-1.0.24.0.20240121.142806.tar";
+ sha256 = "0fx1g76igs1bwcj6v3yxalwf57ggzvv1ms4gqid6ari6r3jp6c25";
};
packageRequires = [ emacs ];
meta = {
@@ -3236,10 +3375,10 @@
elpaBuild {
pname = "kind-icon";
ename = "kind-icon";
- version = "0.2.0.0.20230926.75840";
+ version = "0.2.2.0.20240106.145829";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/kind-icon-0.2.0.0.20230926.75840.tar";
- sha256 = "1z6c0bgkyn9qrbz0piq8wwxrcynxhzrwmlbz01d685r9bk09rvh4";
+ url = "https://elpa.gnu.org/devel/kind-icon-0.2.2.0.20240106.145829.tar";
+ sha256 = "16b0ilf3hqqlr9blc6vl1yc1y83hmfnxm0isl2f8rff29hw0k6y5";
};
packageRequires = [ emacs svg-lib ];
meta = {
@@ -3334,10 +3473,10 @@
elpaBuild {
pname = "let-alist";
ename = "let-alist";
- version = "1.0.6.0.20230930.233523";
+ version = "1.0.6.0.20240102.14710";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/let-alist-1.0.6.0.20230930.233523.tar";
- sha256 = "1j802kkxf4rhwjnnldv4brgjj4mmwlfyqmz065gv6a72y38i5lab";
+ url = "https://elpa.gnu.org/devel/let-alist-1.0.6.0.20240102.14710.tar";
+ sha256 = "14iz361gkd307g6zv4syxmy5kfaimrha7a1jhd6cjbf1gzfrnff6";
};
packageRequires = [ emacs ];
meta = {
@@ -3349,10 +3488,10 @@
elpaBuild {
pname = "lex";
ename = "lex";
- version = "1.1.0.20221221.80437";
+ version = "1.2.0.20240216.82808";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/lex-1.1.0.20221221.80437.tar";
- sha256 = "1f13cijb1pgna364yp7kssnxka7n7wmswsi63pprljxh8mf8p2w5";
+ url = "https://elpa.gnu.org/devel/lex-1.2.0.20240216.82808.tar";
+ sha256 = "109sprgslx2gh6frqyhcsczi59bb6298lvrfbn0qjggxsrmc486z";
};
packageRequires = [];
meta = {
@@ -3364,10 +3503,10 @@
elpaBuild {
pname = "lin";
ename = "lin";
- version = "1.0.0.0.20230617.191618";
+ version = "1.0.0.0.20240117.24849";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/lin-1.0.0.0.20230617.191618.tar";
- sha256 = "1q3gz7i83v5v6y5plf8z1llq9r6bdjaj1ml6vl70z3jribrib8ga";
+ url = "https://elpa.gnu.org/devel/lin-1.0.0.0.20240117.24849.tar";
+ sha256 = "06ibnd4r5f6xw0qk8kk2a67dalb8a6yiy2fkvhi4pb59sw9gwrk0";
};
packageRequires = [ emacs ];
meta = {
@@ -3379,10 +3518,10 @@
elpaBuild {
pname = "llm";
ename = "llm";
- version = "0.5.2.0.20231110.3036";
+ version = "0.9.1.0.20240218.124933";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/llm-0.5.2.0.20231110.3036.tar";
- sha256 = "0ai405k9lx0rmlawfmldphjpwrrpahyawhzzam0500jp6x02ppkg";
+ url = "https://elpa.gnu.org/devel/llm-0.9.1.0.20240218.124933.tar";
+ sha256 = "1xdq5riyhxp5kff4v87mz5rr0df77ysmrc3vlarfhh12hg9bf3i4";
};
packageRequires = [ emacs ];
meta = {
@@ -3476,10 +3615,10 @@
elpaBuild {
pname = "logos";
ename = "logos";
- version = "1.1.1.0.20230915.41852";
+ version = "1.1.1.0.20240117.25050";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/logos-1.1.1.0.20230915.41852.tar";
- sha256 = "1p7bz3p0ccp74pi4wbkz813zgkxz1lr9hxxfrnipgh120a72g3y8";
+ url = "https://elpa.gnu.org/devel/logos-1.1.1.0.20240117.25050.tar";
+ sha256 = "10l1v429am4x65hk4f5sghql9vd5w1z163ld7za2sd9qv18dxf4z";
};
packageRequires = [ emacs ];
meta = {
@@ -3521,10 +3660,10 @@
elpaBuild {
pname = "map";
ename = "map";
- version = "3.3.1.0.20230930.220905";
+ version = "3.3.1.0.20240221.84915";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/map-3.3.1.0.20230930.220905.tar";
- sha256 = "0f9yyxb874qj66vwg63s8mah63pgg24ymz0japywbs9bhws892rj";
+ url = "https://elpa.gnu.org/devel/map-3.3.1.0.20240221.84915.tar";
+ sha256 = "04zywlimai9v6131ksh3vkp6zlrnx9wr36hr0cg0yh2vhsj09kkm";
};
packageRequires = [ emacs ];
meta = {
@@ -3540,10 +3679,10 @@
elpaBuild {
pname = "marginalia";
ename = "marginalia";
- version = "1.3.0.20231028.90751";
+ version = "1.5.0.20240105.70159";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/marginalia-1.3.0.20231028.90751.tar";
- sha256 = "05k37f3qjvm6kv7y6fa6g5z02irpifvl1as4allrxgn12408ydvh";
+ url = "https://elpa.gnu.org/devel/marginalia-1.5.0.20240105.70159.tar";
+ sha256 = "1x1n95scr1f6b3c6ymgi7hh7dl9z6ifiwgxyh29d657mn1sifxl9";
};
packageRequires = [ compat emacs ];
meta = {
@@ -3589,10 +3728,10 @@
elpaBuild {
pname = "mct";
ename = "mct";
- version = "1.0.0.0.20230925.50052";
+ version = "1.0.0.0.20240214.72309";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/mct-1.0.0.0.20230925.50052.tar";
- sha256 = "1splcr5aq3dc80i4rkqyxnadjrx7xg44hgiwi1sj353gf6q90q8h";
+ url = "https://elpa.gnu.org/devel/mct-1.0.0.0.20240214.72309.tar";
+ sha256 = "0iqv403ikrj4fpbbs01wcmm94klifr71mlx6kb5n1cxf4i12f8s9";
};
packageRequires = [ emacs ];
meta = {
@@ -3720,10 +3859,10 @@
elpaBuild {
pname = "mmm-mode";
ename = "mmm-mode";
- version = "0.5.10.0.20230917.2837";
+ version = "0.5.11.0.20240222.42825";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/mmm-mode-0.5.10.0.20230917.2837.tar";
- sha256 = "1md34a8bgkf54n6qwylknknzzhql4779jh0pjl3xgnl09wvvdb74";
+ url = "https://elpa.gnu.org/devel/mmm-mode-0.5.11.0.20240222.42825.tar";
+ sha256 = "1bsccf8i48r4zjzg7pqi47n2r09dj8baylw83ksdrzc57n1s90x7";
};
packageRequires = [ cl-lib emacs ];
meta = {
@@ -3738,10 +3877,10 @@
elpaBuild {
pname = "modus-themes";
ename = "modus-themes";
- version = "4.3.0.0.20231115.130235";
+ version = "4.3.0.0.20240223.71209";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/modus-themes-4.3.0.0.20231115.130235.tar";
- sha256 = "025iqd3c9kwrv1hwdr1szp1cl23bkf1vahad6nhx00x351rxv0r0";
+ url = "https://elpa.gnu.org/devel/modus-themes-4.3.0.0.20240223.71209.tar";
+ sha256 = "0gvzgq2h7nkh77kg82jflpnrn5ilp6gmsl1jsg4gkcrhs0v36i1s";
};
packageRequires = [ emacs ];
meta = {
@@ -3788,10 +3927,10 @@
elpaBuild {
pname = "muse";
ename = "muse";
- version = "3.20.2.0.20201128.92545";
+ version = "3.20.2.0.20240209.184001";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/muse-3.20.2.0.20201128.92545.tar";
- sha256 = "0n201dzka0r2fwjjfklzif8kgbkh102pw83irb0y93sjsj6kkm9l";
+ url = "https://elpa.gnu.org/devel/muse-3.20.2.0.20240209.184001.tar";
+ sha256 = "0jp0qmak49gnx121nkay70dbld26z6jvgc7d1ycgyfl49flqhjb4";
};
packageRequires = [];
meta = {
@@ -3892,10 +4031,10 @@
elpaBuild {
pname = "nano-modeline";
ename = "nano-modeline";
- version = "1.0.1.0.20230712.92019";
+ version = "1.0.1.0.20240120.114819";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/nano-modeline-1.0.1.0.20230712.92019.tar";
- sha256 = "10zq3zm8yv5gmi9kgw742zb52swzi09c4npvqjh31hmrzprvp4nn";
+ url = "https://elpa.gnu.org/devel/nano-modeline-1.0.1.0.20240120.114819.tar";
+ sha256 = "0m4zs3l3q077v0g1vp1z0kbddgb3cwvnd7i50f4ih9pjiymgjvkc";
};
packageRequires = [ emacs ];
meta = {
@@ -3996,10 +4135,10 @@
elpaBuild {
pname = "notmuch-indicator";
ename = "notmuch-indicator";
- version = "1.1.0.0.20231014.82644";
+ version = "1.1.0.0.20240117.25556";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/notmuch-indicator-1.1.0.0.20231014.82644.tar";
- sha256 = "0ami3zpjjq7q191cylw44q72yspxd6i8gximgm4kqb0mplk4dd1w";
+ url = "https://elpa.gnu.org/devel/notmuch-indicator-1.1.0.0.20240117.25556.tar";
+ sha256 = "0vcpak68jggjwlwx9qjfp72hidh95lvrivlws1l5mmdcnynqjxyp";
};
packageRequires = [ emacs ];
meta = {
@@ -4011,10 +4150,10 @@
elpaBuild {
pname = "ntlm";
ename = "ntlm";
- version = "2.1.0.0.20230930.220905";
+ version = "2.1.0.0.20240102.22814";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/ntlm-2.1.0.0.20230930.220905.tar";
- sha256 = "02599spadf9ddbs1krgygfyi0xzjrqxrk5kmyq5ghx3vi24ngkda";
+ url = "https://elpa.gnu.org/devel/ntlm-2.1.0.0.20240102.22814.tar";
+ sha256 = "0fxv4s5adajf9v8jg5i6w47xbm0v2qlvab8fa8jsnyi8ilxh1afc";
};
packageRequires = [];
meta = {
@@ -4157,10 +4296,10 @@
elpaBuild {
pname = "orderless";
ename = "orderless";
- version = "1.0.0.20231110.144817";
+ version = "1.0.0.20240221.100116";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/orderless-1.0.0.20231110.144817.tar";
- sha256 = "0cfspqc7livr0m3s021gp2cl74qnv1pvyxba83af0088nb9z0aqz";
+ url = "https://elpa.gnu.org/devel/orderless-1.0.0.20240221.100116.tar";
+ sha256 = "1qg94gy3f7f49xfnqxl5315gr55rm8crpp7l5jkw3ha6d4n7cjvl";
};
packageRequires = [ emacs ];
meta = {
@@ -4172,10 +4311,10 @@
elpaBuild {
pname = "org";
ename = "org";
- version = "9.7pre0.20231115.92033";
+ version = "9.7pre0.20240223.132445";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/org-9.7pre0.20231115.92033.tar";
- sha256 = "18sbwnw57xp9ss78f3xva3jysdvzk0lcppr2g4qgb696fkglp6w1";
+ url = "https://elpa.gnu.org/devel/org-9.7pre0.20240223.132445.tar";
+ sha256 = "1va7l4h4lbajwzvmvj5w9fx148ixbzbagbhjjlqmg0kccsamx8qj";
};
packageRequires = [ emacs ];
meta = {
@@ -4222,6 +4361,25 @@
license = lib.licenses.free;
};
}) {};
+ org-jami-bot = callPackage ({ elpaBuild
+ , emacs
+ , fetchurl
+ , jami-bot
+ , lib }:
+ elpaBuild {
+ pname = "org-jami-bot";
+ ename = "org-jami-bot";
+ version = "0.0.5.0.20240204.184749";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/devel/org-jami-bot-0.0.5.0.20240204.184749.tar";
+ sha256 = "0gs0f9xdgfylgrdgcxm13m4dw526ps47kqwggdz8y2x94p54p1ny";
+ };
+ packageRequires = [ emacs jami-bot ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/org-jami-bot.html";
+ license = lib.licenses.free;
+ };
+ }) {};
org-modern = callPackage ({ compat
, elpaBuild
, emacs
@@ -4230,10 +4388,10 @@
elpaBuild {
pname = "org-modern";
ename = "org-modern";
- version = "0.10.0.20231019.184309";
+ version = "1.1.0.20240102.32915";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/org-modern-0.10.0.20231019.184309.tar";
- sha256 = "08mxrmhpqwdb5a9mpff5ld3m28j390k68pam2aalv07asppj9mz0";
+ url = "https://elpa.gnu.org/devel/org-modern-1.1.0.20240102.32915.tar";
+ sha256 = "0vp610bjjz885bb16kc7lv7k4h2fir8mvcs3jhvwrddbhyi4hycq";
};
packageRequires = [ compat emacs ];
meta = {
@@ -4287,10 +4445,10 @@
elpaBuild {
pname = "org-remark";
ename = "org-remark";
- version = "1.2.1.0.20231007.205129";
+ version = "1.2.1.0.20240201.154838";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/org-remark-1.2.1.0.20231007.205129.tar";
- sha256 = "0k9pinnm26psr40pa1rib91kj6lrk0dnnsgbywlx0nmrfhs35yd2";
+ url = "https://elpa.gnu.org/devel/org-remark-1.2.1.0.20240201.154838.tar";
+ sha256 = "150zkg15y8zafjhwrwf2p5fng5287mh8n452a5gk47ikf0jsx7b0";
};
packageRequires = [ emacs org ];
meta = {
@@ -4306,10 +4464,10 @@
elpaBuild {
pname = "org-transclusion";
ename = "org-transclusion";
- version = "1.3.2.0.20230819.63913";
+ version = "1.3.2.0.20240222.204851";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/org-transclusion-1.3.2.0.20230819.63913.tar";
- sha256 = "06kyqaaa7lw7sv0nznq7ln14dcnl8j2f31xdxzv0dn4la0fgl6mn";
+ url = "https://elpa.gnu.org/devel/org-transclusion-1.3.2.0.20240222.204851.tar";
+ sha256 = "0gbdhgz0bg6a4zy60wn39f2x4xyag32yxrkcaixsgpcrc3358c0y";
};
packageRequires = [ emacs org ];
meta = {
@@ -4336,14 +4494,17 @@
license = lib.licenses.free;
};
}) {};
- orgalist = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ orgalist = callPackage ({ elpaBuild
+ , emacs
+ , fetchurl
+ , lib }:
elpaBuild {
pname = "orgalist";
ename = "orgalist";
- version = "1.13.0.20221221.81335";
+ version = "1.14.0.20240111.181530";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/orgalist-1.13.0.20221221.81335.tar";
- sha256 = "0blq29dbzxssrmxs31q51z5085z6ym2iyr5bjjp81ar3qpa0v86f";
+ url = "https://elpa.gnu.org/devel/orgalist-1.14.0.20240111.181530.tar";
+ sha256 = "10cn1p5cwgkivbydpgfrnna5f2qy8vs7j1wl0hky3iyfya1wi24g";
};
packageRequires = [ emacs ];
meta = {
@@ -4370,10 +4531,10 @@
elpaBuild {
pname = "osm";
ename = "osm";
- version = "0.14.0.20231029.105928";
+ version = "1.3.0.20240122.225511";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/osm-0.14.0.20231029.105928.tar";
- sha256 = "0hd2yg7yqnpdiy0icxz6fa128arrf2zl1sknj20ig52ba7z0wk2w";
+ url = "https://elpa.gnu.org/devel/osm-1.3.0.20240122.225511.tar";
+ sha256 = "0b0sdf7n9i4a7jl9xy51g50s04rvjqwvl02adc0cfalang9mk2la";
};
packageRequires = [ compat emacs ];
meta = {
@@ -4399,18 +4560,16 @@
license = lib.licenses.free;
};
}) {};
- pabbrev = callPackage ({ elpaBuild
- , fetchurl
- , lib }:
+ pabbrev = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
elpaBuild {
pname = "pabbrev";
ename = "pabbrev";
- version = "4.2.2.0.20230101.115226";
+ version = "4.3.0.0.20240216.43949";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/pabbrev-4.2.2.0.20230101.115226.tar";
- sha256 = "0wx9833z07riclppprjwf08s7kybwg1145rzxwxrk1gjv2glq4lj";
+ url = "https://elpa.gnu.org/devel/pabbrev-4.3.0.0.20240216.43949.tar";
+ sha256 = "08sbsr0dgw1yrfbsfcj9zslwiwvzafmdpflmj5g2bcmlx2jvck9h";
};
- packageRequires = [];
+ packageRequires = [ emacs ];
meta = {
homepage = "https://elpa.gnu.org/packages/pabbrev.html";
license = lib.licenses.free;
@@ -4453,10 +4612,10 @@
elpaBuild {
pname = "parser-generator";
ename = "parser-generator";
- version = "0.1.6.0.20220512.173154";
+ version = "0.2.1.0.20240220.204114";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/parser-generator-0.1.6.0.20220512.173154.tar";
- sha256 = "16kl8r8mgq17230gi2v61cqhxwawp1m6xjrbhc3qdlhy6plgidcy";
+ url = "https://elpa.gnu.org/devel/parser-generator-0.2.1.0.20240220.204114.tar";
+ sha256 = "08apzsgqxld3z2cpxhhygh2wj3mvs4pqxib6gfw4jliipkkrk1k6";
};
packageRequires = [ emacs ];
meta = {
@@ -4515,16 +4674,16 @@
license = lib.licenses.free;
};
}) {};
- persist = callPackage ({ elpaBuild, fetchurl, lib }:
+ persist = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
elpaBuild {
pname = "persist";
ename = "persist";
- version = "0.5.0.20230905.151959";
+ version = "0.6.0.20240114.52348";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/persist-0.5.0.20230905.151959.tar";
- sha256 = "116a33w3av2lxvabkw5lb183i6prhj6fb3pg34fqq0i9f6lzzfb6";
+ url = "https://elpa.gnu.org/devel/persist-0.6.0.20240114.52348.tar";
+ sha256 = "0jml2w7l44l9dkr0bxvfw90a57p3iyya24n0ic6083c10mgnjs89";
};
- packageRequires = [];
+ packageRequires = [ emacs ];
meta = {
homepage = "https://elpa.gnu.org/packages/persist.html";
license = lib.licenses.free;
@@ -4552,10 +4711,10 @@
elpaBuild {
pname = "phps-mode";
ename = "phps-mode";
- version = "0.4.46.0.20230414.164307";
+ version = "0.4.48.0.20240215.72135";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/phps-mode-0.4.46.0.20230414.164307.tar";
- sha256 = "14m5gl1scj9rbn83wp35460vn71nc6fjmmmqw3pz5lzjcwxarvpq";
+ url = "https://elpa.gnu.org/devel/phps-mode-0.4.48.0.20240215.72135.tar";
+ sha256 = "1rizb13l60d6mjg5fdpqgks2q2lihxhbhbhlcdvnc3l6gdgvzq0r";
};
packageRequires = [ emacs ];
meta = {
@@ -4567,10 +4726,10 @@
elpaBuild {
pname = "pinentry";
ename = "pinentry";
- version = "0.1.0.20180116.131526";
+ version = "0.1.0.20231126.141402";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/pinentry-0.1.0.20180116.131526.tar";
- sha256 = "152g6d7b084rzqpm7fw49rmgxx6baivhhjbb0q3ci0c3b4k01lbq";
+ url = "https://elpa.gnu.org/devel/pinentry-0.1.0.20231126.141402.tar";
+ sha256 = "1dc6sd80jrwv2sl679fycd4b3ss7n5c252vy61mv8alpn4vr4jdd";
};
packageRequires = [];
meta = {
@@ -4582,10 +4741,10 @@
elpaBuild {
pname = "plz";
ename = "plz";
- version = "0.8pre0.20230709.214633";
+ version = "0.8pre0.20240114.34705";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/plz-0.8pre0.20230709.214633.tar";
- sha256 = "1gzwzqjr0rkpcqbjfadn3rhj01ar6m66xws8cvlvjm8qfb5j4740";
+ url = "https://elpa.gnu.org/devel/plz-0.8pre0.20240114.34705.tar";
+ sha256 = "06wb543xci5h26cpazdwxgb6haf03yrmx7iwb5d2ja4rv50cirl3";
};
packageRequires = [ emacs ];
meta = {
@@ -4746,10 +4905,10 @@
elpaBuild {
pname = "project";
ename = "project";
- version = "0.10.0.0.20231108.75740";
+ version = "0.10.0.0.20240215.181437";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/project-0.10.0.0.20231108.75740.tar";
- sha256 = "02arwv35vcpspg2k8nasmaz2ggc32v7p3iq2q6z5sznmdanl1jm4";
+ url = "https://elpa.gnu.org/devel/project-0.10.0.0.20240215.181437.tar";
+ sha256 = "1pp3al6yydv4wpqc2bz2ccak8na38x35i0z1vi999ir232mpdaa5";
};
packageRequires = [ emacs xref ];
meta = {
@@ -4791,10 +4950,10 @@
elpaBuild {
pname = "pulsar";
ename = "pulsar";
- version = "1.0.1.0.20231115.55251";
+ version = "1.0.1.0.20240117.25720";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/pulsar-1.0.1.0.20231115.55251.tar";
- sha256 = "15pvf6f0g423w3vi86l8djxvzzrvziml7rlqp314xskp8kz7w6g6";
+ url = "https://elpa.gnu.org/devel/pulsar-1.0.1.0.20240117.25720.tar";
+ sha256 = "1cqm3ap285gfpbvlk6lckc09ypw2vzv0z1r157pqbaffqqca9vi5";
};
packageRequires = [ emacs ];
meta = {
@@ -4838,10 +4997,10 @@
elpaBuild {
pname = "python";
ename = "python";
- version = "0.28.0.20230930.220905";
+ version = "0.28.0.20240223.100931";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/python-0.28.0.20230930.220905.tar";
- sha256 = "1wx5r444rzbqhxj9gqhcxaliv7w8iqiscnbdnz2h8px1wdsfqxw9";
+ url = "https://elpa.gnu.org/devel/python-0.28.0.20240223.100931.tar";
+ sha256 = "1x97iqsg2jnhr23l81dlipz5xwf0g8mlw9yqqz02rjc8s112awsv";
};
packageRequires = [ compat emacs seq ];
meta = {
@@ -4887,10 +5046,10 @@
elpaBuild {
pname = "rainbow-mode";
ename = "rainbow-mode";
- version = "1.0.6.0.20230809.10050";
+ version = "1.0.6.0.20231215.171141";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/rainbow-mode-1.0.6.0.20230809.10050.tar";
- sha256 = "1621pnk71r33b858rjmkab97sn26iwj010g9fl1fzv456w3bca1c";
+ url = "https://elpa.gnu.org/devel/rainbow-mode-1.0.6.0.20231215.171141.tar";
+ sha256 = "0j74lyl90wddqn2hg2pgji48gvgh1kg56jksrhfr29ykdp6rfzf0";
};
packageRequires = [];
meta = {
@@ -4948,6 +5107,24 @@
license = lib.licenses.free;
};
}) {};
+ rcirc-sqlite = callPackage ({ elpaBuild
+ , emacs
+ , fetchurl
+ , lib }:
+ elpaBuild {
+ pname = "rcirc-sqlite";
+ ename = "rcirc-sqlite";
+ version = "0.1.3.0.20240215.94754";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/devel/rcirc-sqlite-0.1.3.0.20240215.94754.tar";
+ sha256 = "169d4pb204jns39p2xbh37psy9pyi6yhiywlm7ywn2c4fagwj2q2";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/rcirc-sqlite.html";
+ license = lib.licenses.free;
+ };
+ }) {};
realgud = callPackage ({ elpaBuild
, emacs
, fetchurl
@@ -4978,10 +5155,10 @@
elpaBuild {
pname = "realgud-ipdb";
ename = "realgud-ipdb";
- version = "1.0.0.0.20230320.62057";
+ version = "1.0.0.0.20231216.160636";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/realgud-ipdb-1.0.0.0.20230320.62057.tar";
- sha256 = "0h5j1n835mm4y8rg0j52gvbkdp5r722hk7sxphhci09smjwncg1l";
+ url = "https://elpa.gnu.org/devel/realgud-ipdb-1.0.0.0.20231216.160636.tar";
+ sha256 = "1ih8dg1fm9bwg33zg353zzsnb84qc5p16flnj1rx9wyvblzx0v0q";
};
packageRequires = [ emacs load-relative realgud ];
meta = {
@@ -5118,10 +5295,10 @@
elpaBuild {
pname = "rec-mode";
ename = "rec-mode";
- version = "1.9.1.0.20221220.80844";
+ version = "1.9.3.0.20231120.221944";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/rec-mode-1.9.1.0.20221220.80844.tar";
- sha256 = "0wzc76phg755q47qrin32i7a6d3g5qrsvlnl3kfzhncmjcb118lh";
+ url = "https://elpa.gnu.org/devel/rec-mode-1.9.3.0.20231120.221944.tar";
+ sha256 = "1k5ncn93vffa4yf84c1ri57lb1sfc9b5czvwvsiijlgci2795j91";
};
packageRequires = [ emacs ];
meta = {
@@ -5150,10 +5327,10 @@
elpaBuild {
pname = "relint";
ename = "relint";
- version = "1.24.0.20231026.84057";
+ version = "1.24.0.20240123.121000";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/relint-1.24.0.20231026.84057.tar";
- sha256 = "0s0gz6w6b04sif8yf83hb7y61jmjvksmslznmzlf8x3rq9p7kwyd";
+ url = "https://elpa.gnu.org/devel/relint-1.24.0.20240123.121000.tar";
+ sha256 = "11482r6h8b0n2ga74mi57nhf9zym2ignii6gfdivrlw8bgmnl1jx";
};
packageRequires = [ emacs xr ];
meta = {
@@ -5168,10 +5345,10 @@
elpaBuild {
pname = "repology";
ename = "repology";
- version = "1.2.3.0.20220320.111223";
+ version = "1.2.4.0.20240108.130348";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/repology-1.2.3.0.20220320.111223.tar";
- sha256 = "01gxmfr5v2zj0mj0i9ffk824qxdjfwc773vh4fyv67im2m17i8wc";
+ url = "https://elpa.gnu.org/devel/repology-1.2.4.0.20240108.130348.tar";
+ sha256 = "1w1aisspx5zvj1a2hmnnd93wra1kjizid7sr8kqkwsyva5c03wvd";
};
packageRequires = [ emacs ];
meta = {
@@ -5218,10 +5395,10 @@
elpaBuild {
pname = "rt-liberation";
ename = "rt-liberation";
- version = "5.0.20220503.141657";
+ version = "6.0.20231204.173138";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/rt-liberation-5.0.20220503.141657.tar";
- sha256 = "0bx4zh21pfl6df5hj3ny6p3b6askjkk8jkqajj8lldwd8x5fyz6c";
+ url = "https://elpa.gnu.org/devel/rt-liberation-6.0.20231204.173138.tar";
+ sha256 = "0z6988s3hnbh4l5crn3m1ilz94dvsi8d11khjb45qdkmdpzvdmh3";
};
packageRequires = [];
meta = {
@@ -5333,10 +5510,10 @@
elpaBuild {
pname = "seq";
ename = "seq";
- version = "2.24.0.20230904.183335";
+ version = "2.24.0.20240201.135317";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/seq-2.24.0.20230904.183335.tar";
- sha256 = "00xqabqcr2pxfsc6x7dj49nl0yxq2a9cy893hvalc07x4mz4jhp8";
+ url = "https://elpa.gnu.org/devel/seq-2.24.0.20240201.135317.tar";
+ sha256 = "15lmvz72pq94bf10rk7m327lrvk9680wjfx07289w7qcqq98k1y9";
};
packageRequires = [];
meta = {
@@ -5348,10 +5525,10 @@
elpaBuild {
pname = "setup";
ename = "setup";
- version = "1.3.2.0.20231031.80845";
+ version = "1.3.2.0.20240201.73543";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/setup-1.3.2.0.20231031.80845.tar";
- sha256 = "0bm5rbhhsl1wfrrf5ikvn368xv49fzxh375jhl9f5r5m4dj1l0s4";
+ url = "https://elpa.gnu.org/devel/setup-1.3.2.0.20240201.73543.tar";
+ sha256 = "1rrms6x9jrd4zbm2km7db86j0ak1cmdblrja1dcc089p9pff7l90";
};
packageRequires = [ emacs ];
meta = {
@@ -5450,10 +5627,10 @@
elpaBuild {
pname = "site-lisp";
ename = "site-lisp";
- version = "0.1.2.0.20231003.74326";
+ version = "0.1.2.0.20240221.82209";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/site-lisp-0.1.2.0.20231003.74326.tar";
- sha256 = "0a1l7cvibsrrhalr85vbg4g82y1z856krzia0h8pkv85mdjh1628";
+ url = "https://elpa.gnu.org/devel/site-lisp-0.1.2.0.20240221.82209.tar";
+ sha256 = "0jx7lqwrbvwk2cyznd2rfapnvs6k3kaz6kwyd9508kxv2y521gz2";
};
packageRequires = [ emacs ];
meta = {
@@ -5496,14 +5673,16 @@
license = lib.licenses.free;
};
}) {};
- sm-c-mode = callPackage ({ elpaBuild, fetchurl, lib }:
+ sm-c-mode = callPackage ({ elpaBuild
+ , fetchurl
+ , lib }:
elpaBuild {
pname = "sm-c-mode";
ename = "sm-c-mode";
- version = "1.1.0.20221221.82204";
+ version = "1.1.0.20240101.165603";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/sm-c-mode-1.1.0.20221221.82204.tar";
- sha256 = "0zdzncy64b2d2kp7bnlr6vk30ajbhmzzmvvdkbbacc3n03bpdv7d";
+ url = "https://elpa.gnu.org/devel/sm-c-mode-1.1.0.20240101.165603.tar";
+ sha256 = "00nh3fr57la0zj36c47rwjdkc7a3imvpc5jqh4pg98zn12mi1jdz";
};
packageRequires = [];
meta = {
@@ -5561,17 +5740,14 @@
license = lib.licenses.free;
};
}) {};
- so-long = callPackage ({ elpaBuild
- , emacs
- , fetchurl
- , lib }:
+ so-long = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
elpaBuild {
pname = "so-long";
ename = "so-long";
- version = "1.1.2.0.20231021.130558";
+ version = "1.1.2.0.20240102.22814";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/so-long-1.1.2.0.20231021.130558.tar";
- sha256 = "0mvmb3b7z66qziifqhiny00iih5l9znb6fyn33ga0rvvnsra32n0";
+ url = "https://elpa.gnu.org/devel/so-long-1.1.2.0.20240102.22814.tar";
+ sha256 = "0865xyx76pq2i0fgqm31vh79alrqqbp6pqzcmadlaxd8jhfck6yq";
};
packageRequires = [ emacs ];
meta = {
@@ -5587,10 +5763,10 @@
elpaBuild {
pname = "soap-client";
ename = "soap-client";
- version = "3.2.3.0.20230930.220905";
+ version = "3.2.3.0.20240102.22814";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/soap-client-3.2.3.0.20230930.220905.tar";
- sha256 = "1zj2935wfbr174pjvy5xb2h9szi9aaagr2967ri97qldbkgvjhq0";
+ url = "https://elpa.gnu.org/devel/soap-client-3.2.3.0.20240102.22814.tar";
+ sha256 = "1mjrb4nsphab8mf5cjjph4dy12v1mzr0x6kxkddp5dwdlpyprn7s";
};
packageRequires = [ cl-lib emacs ];
meta = {
@@ -5639,10 +5815,10 @@
elpaBuild {
pname = "spacious-padding";
ename = "spacious-padding";
- version = "0.1.0.0.20231115.114712";
+ version = "0.3.0.0.20240222.124225";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/spacious-padding-0.1.0.0.20231115.114712.tar";
- sha256 = "1why1wwbpasmag8czsgb65f8gkqjcg5hckgmk9106ml834krhhx5";
+ url = "https://elpa.gnu.org/devel/spacious-padding-0.3.0.0.20240222.124225.tar";
+ sha256 = "072biw4a146d7064j1zqi03nh7aiy465bna11sk102x90mhs9z25";
};
packageRequires = [ emacs ];
meta = {
@@ -5733,16 +5909,16 @@
license = lib.licenses.free;
};
}) {};
- srht = callPackage ({ elpaBuild, emacs, fetchurl, lib, plz }:
+ srht = callPackage ({ elpaBuild, emacs, fetchurl, lib, plz, transient }:
elpaBuild {
pname = "srht";
ename = "srht";
- version = "0.3.0.20231114.102408";
+ version = "0.4.0.20231117.115741";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/srht-0.3.0.20231114.102408.tar";
- sha256 = "0s5xa8vqb6wzxmv3vx8cc8lkpnnkfzdjljra7lz105m3v2adz1a0";
+ url = "https://elpa.gnu.org/devel/srht-0.4.0.20231117.115741.tar";
+ sha256 = "0az2gkxqhg8dlvjmcqjgz3p82mzc2j881j72pwzc79dyv3akd2aq";
};
- packageRequires = [ emacs plz ];
+ packageRequires = [ emacs plz transient ];
meta = {
homepage = "https://elpa.gnu.org/packages/srht.html";
license = lib.licenses.free;
@@ -5773,10 +5949,10 @@
elpaBuild {
pname = "standard-themes";
ename = "standard-themes";
- version = "1.2.0.0.20231031.71926";
+ version = "2.0.1.0.20240212.80249";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/standard-themes-1.2.0.0.20231031.71926.tar";
- sha256 = "10hj6w5wyr7kw4rargk9n1iiyl0i48cc9mbv2m0vg6bnb72237z5";
+ url = "https://elpa.gnu.org/devel/standard-themes-2.0.1.0.20240212.80249.tar";
+ sha256 = "1k4285xpdlhhbmn4516jv2kwn5x82mah23jwlr9qhb4hxvzjmkms";
};
packageRequires = [ emacs ];
meta = {
@@ -5806,10 +5982,10 @@
elpaBuild {
pname = "substitute";
ename = "substitute";
- version = "0.2.1.0.20230704.110210";
+ version = "0.2.1.0.20240117.30048";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/substitute-0.2.1.0.20230704.110210.tar";
- sha256 = "0r3fwndzgz1xl0r607mnvjjmw5g1cf7qg2gvwsyrzdn6hrs786zj";
+ url = "https://elpa.gnu.org/devel/substitute-0.2.1.0.20240117.30048.tar";
+ sha256 = "1sprpnbbar0nwx3nx5rh7pfaxs7x105370zwbiz1xp7gm8w11lwy";
};
packageRequires = [ emacs ];
meta = {
@@ -5821,10 +5997,10 @@
elpaBuild {
pname = "svg";
ename = "svg";
- version = "1.1.0.20230930.220905";
+ version = "1.1.0.20240102.22814";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/svg-1.1.0.20230930.220905.tar";
- sha256 = "017piiqyi0kwrllmywyalfdddmm4h06ipx6srq97l4rj8hm8zikd";
+ url = "https://elpa.gnu.org/devel/svg-1.1.0.20240102.22814.tar";
+ sha256 = "0yrh958hw3g0yc5gbqpnzqa37wkv0z6s824vg4mmbq5qqmzj4sqq";
};
packageRequires = [ emacs ];
meta = {
@@ -5847,17 +6023,14 @@
license = lib.licenses.free;
};
}) {};
- svg-lib = callPackage ({ elpaBuild
- , emacs
- , fetchurl
- , lib }:
+ svg-lib = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
elpaBuild {
pname = "svg-lib";
ename = "svg-lib";
- version = "0.2.7.0.20230619.143402";
+ version = "0.3.0.20240219.161327";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/svg-lib-0.2.7.0.20230619.143402.tar";
- sha256 = "1h4knhasimf496qhlvm132cghpam303vl9mbdg4p3ld5jcd6ghz5";
+ url = "https://elpa.gnu.org/devel/svg-lib-0.3.0.20240219.161327.tar";
+ sha256 = "1nc5ld90pklqwai4waimv41466ph2n5pr2myx1s2s5afq3m2fc0y";
};
packageRequires = [ emacs ];
meta = {
@@ -5873,10 +6046,10 @@
elpaBuild {
pname = "svg-tag-mode";
ename = "svg-tag-mode";
- version = "0.3.2.0.20230824.94303";
+ version = "0.3.2.0.20240122.120925";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/svg-tag-mode-0.3.2.0.20230824.94303.tar";
- sha256 = "18pdzq1k3qign1rjfasfv4wfhiacgn2afycpfw5cxpdazx6hycv3";
+ url = "https://elpa.gnu.org/devel/svg-tag-mode-0.3.2.0.20240122.120925.tar";
+ sha256 = "0p4vfrq3p32j4w4qksqll9wmcc4mgi42qdxwg9gny7jjbvpnvk62";
};
packageRequires = [ emacs svg-lib ];
meta = {
@@ -5892,10 +6065,10 @@
elpaBuild {
pname = "swiper";
ename = "swiper";
- version = "0.14.2.0.20231025.232825";
+ version = "0.14.2.0.20240214.214428";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/swiper-0.14.2.0.20231025.232825.tar";
- sha256 = "13jvr9xv1i44ky906m4awkakvhrmpxg7x5f9hzbwnfz52wcxx8ix";
+ url = "https://elpa.gnu.org/devel/swiper-0.14.2.0.20240214.214428.tar";
+ sha256 = "15hncb6g90k3zc2scvammfc8hnw2p38xcn6c0d3vzfiqg12jlp6x";
};
packageRequires = [ emacs ivy ];
meta = {
@@ -5929,10 +6102,10 @@
elpaBuild {
pname = "sxhkdrc-mode";
ename = "sxhkdrc-mode";
- version = "1.0.0.0.20230210.123052";
+ version = "1.0.0.0.20240117.30132";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/sxhkdrc-mode-1.0.0.0.20230210.123052.tar";
- sha256 = "00449dipkxpl4ddv5cjzvsahig23wl2f9p42zpqjfsvvg2gcklk8";
+ url = "https://elpa.gnu.org/devel/sxhkdrc-mode-1.0.0.0.20240117.30132.tar";
+ sha256 = "0ys8nchp6ic6wivsgkd97z6v17mdszpldbz4n2bzl3aphhvfy5nf";
};
packageRequires = [ emacs ];
meta = {
@@ -6027,10 +6200,10 @@
elpaBuild {
pname = "taxy-magit-section";
ename = "taxy-magit-section";
- version = "0.12.2.0.20230223.182024";
+ version = "0.13.0.20240124.3519";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/taxy-magit-section-0.12.2.0.20230223.182024.tar";
- sha256 = "1gd2z5rhns8d2bkz86h2j51xhxcpiqfmzllpz7mn3s7pfnfrva8w";
+ url = "https://elpa.gnu.org/devel/taxy-magit-section-0.13.0.20240124.3519.tar";
+ sha256 = "1zr1rbmfmhqq94rahas4gqk31ma0ym9p5p8f3i0h7z6ghby8y6xp";
};
packageRequires = [ emacs magit-section taxy ];
meta = {
@@ -6060,10 +6233,10 @@
elpaBuild {
pname = "tempel";
ename = "tempel";
- version = "0.8.0.20231111.112832";
+ version = "1.1.0.20240216.154335";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/tempel-0.8.0.20231111.112832.tar";
- sha256 = "1gd4dvill1vvdncibjfv7vl1rxlkhcq2nfppczyp2sr565fgcb0c";
+ url = "https://elpa.gnu.org/devel/tempel-1.1.0.20240216.154335.tar";
+ sha256 = "1zr32jc41shq73n9sl7hx8z3z49pwhvhwmnp6x4rjchvdn7xanyl";
};
packageRequires = [ compat emacs ];
meta = {
@@ -6089,6 +6262,24 @@
license = lib.licenses.free;
};
}) {};
+ theme-buffet = callPackage ({ elpaBuild
+ , emacs
+ , fetchurl
+ , lib }:
+ elpaBuild {
+ pname = "theme-buffet";
+ ename = "theme-buffet";
+ version = "0.1.2.0.20240105.165329";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/devel/theme-buffet-0.1.2.0.20240105.165329.tar";
+ sha256 = "1wd5nxypm2fy10lm8xy2ch9rmsrfmn1ang0br7yj5rjws2q833r6";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/theme-buffet.html";
+ license = lib.licenses.free;
+ };
+ }) {};
timerfunctions = callPackage ({ cl-lib ? null
, elpaBuild
, emacs
@@ -6127,10 +6318,10 @@
elpaBuild {
pname = "tmr";
ename = "tmr";
- version = "0.4.0.0.20230905.43251";
+ version = "0.4.0.0.20240117.30342";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/tmr-0.4.0.0.20230905.43251.tar";
- sha256 = "0w4ss2jn4vc2ad4hcf37192si1iqkxri11mz3nzcl4lyxnb19n9a";
+ url = "https://elpa.gnu.org/devel/tmr-0.4.0.0.20240117.30342.tar";
+ sha256 = "11n8xqah46j83b1bdghns6dm1wr9bfgi4hqab6as54rf6xiqlaiq";
};
packageRequires = [ compat emacs ];
meta = {
@@ -6171,14 +6362,17 @@
license = lib.licenses.free;
};
}) {};
- tramp = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ tramp = callPackage ({ elpaBuild
+ , emacs
+ , fetchurl
+ , lib }:
elpaBuild {
pname = "tramp";
ename = "tramp";
- version = "2.6.1.4.0.20231030.81039";
+ version = "2.6.2.1.0.20240130.101154";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/tramp-2.6.1.4.0.20231030.81039.tar";
- sha256 = "1m8ij5xrh5yp5n70yqlxdxgvk0ap6mqnymhasncm2vqfzlxkdd45";
+ url = "https://elpa.gnu.org/devel/tramp-2.6.2.1.0.20240130.101154.tar";
+ sha256 = "0rlzqwcmcifcg64sbabs8fnrbx4s5vvkqwdwf963pji5fi2n60di";
};
packageRequires = [ emacs ];
meta = {
@@ -6248,10 +6442,10 @@
elpaBuild {
pname = "transient";
ename = "transient";
- version = "0.4.3.0.20231112.92348";
+ version = "0.5.3.0.20240201.110006";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/transient-0.4.3.0.20231112.92348.tar";
- sha256 = "01yvwx8psllys34fry1vp2h7w3jll8kcrglsri8p2d3bps45pn14";
+ url = "https://elpa.gnu.org/devel/transient-0.5.3.0.20240201.110006.tar";
+ sha256 = "07hg1bas7zvs6hxq189wp5ngi71skydal2h6q4xsv28ac7mi268g";
};
packageRequires = [ compat emacs seq ];
meta = {
@@ -6319,10 +6513,10 @@
elpaBuild {
pname = "triples";
ename = "triples";
- version = "0.3.5.0.20230809.231343";
+ version = "0.3.5.0.20240201.233852";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/triples-0.3.5.0.20230809.231343.tar";
- sha256 = "0fhwwagwghygg64p05r5vzj6vd2n9inv8v53y2mfjxvsbbz463rc";
+ url = "https://elpa.gnu.org/devel/triples-0.3.5.0.20240201.233852.tar";
+ sha256 = "0fbm6f5xxixmmi1fywada5k1kgbh8ab0vsick8l0d1g5pm33c4x7";
};
packageRequires = [ emacs seq ];
meta = {
@@ -6426,10 +6620,10 @@
elpaBuild {
pname = "urgrep";
ename = "urgrep";
- version = "0.3.0snapshot0.20231110.152111";
+ version = "0.4.0snapshot0.20240124.145836";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/urgrep-0.3.0snapshot0.20231110.152111.tar";
- sha256 = "15vbi4vjqr9kz1q1525snl5pz35mgbzrjkysl7gm4zpj6s6qcbar";
+ url = "https://elpa.gnu.org/devel/urgrep-0.4.0snapshot0.20240124.145836.tar";
+ sha256 = "1bi6hvkpcdk3kjdzj14lndsyxhl2bcscx57ggl6wmix7ga0bc8za";
};
packageRequires = [ compat emacs project ];
meta = {
@@ -6478,10 +6672,10 @@
elpaBuild {
pname = "url-scgi";
ename = "url-scgi";
- version = "0.9.0.20231009.93301";
+ version = "0.9.0.20231222.161107";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/url-scgi-0.9.0.20231009.93301.tar";
- sha256 = "056ycnpx1s8ndsls0vl5gfv5z6fi8inp692jcn9dxw49dja7fn63";
+ url = "https://elpa.gnu.org/devel/url-scgi-0.9.0.20231222.161107.tar";
+ sha256 = "101z2rmfbl5g88lwbf3p3r5wrnfxl6vqw836rjnybfxpl0m89862";
};
packageRequires = [ emacs ];
meta = {
@@ -6497,10 +6691,10 @@
elpaBuild {
pname = "use-package";
ename = "use-package";
- version = "2.4.5.0.20231026.114632";
+ version = "2.4.5.0.20240113.53615";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/use-package-2.4.5.0.20231026.114632.tar";
- sha256 = "0sfs6030s6zngxgsv9wj181brsk6f8avfvl53vr0yspry53z2vpz";
+ url = "https://elpa.gnu.org/devel/use-package-2.4.5.0.20240113.53615.tar";
+ sha256 = "17c7q2y8lf37gvzxzqhbpr2szkkfnam5yagsqmrv8h0b5cnmnrlq";
};
packageRequires = [ bind-key emacs ];
meta = {
@@ -6646,10 +6840,10 @@
elpaBuild {
pname = "verilog-mode";
ename = "verilog-mode";
- version = "2023.6.6.141322628.0.20231013.132356";
+ version = "2023.6.6.141322628.0.20240102.22814";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/verilog-mode-2023.6.6.141322628.0.20231013.132356.tar";
- sha256 = "024gy1wjf1m6ip9pzs0373vrvci8dqxp6hyqv5j1s9imb1j5ps63";
+ url = "https://elpa.gnu.org/devel/verilog-mode-2023.6.6.141322628.0.20240102.22814.tar";
+ sha256 = "0zp9nasy8vd3b047bjpiyvl2c0l4k03nbba4yga5a77jkg7mzsh1";
};
packageRequires = [];
meta = {
@@ -6661,10 +6855,10 @@
elpaBuild {
pname = "vertico";
ename = "vertico";
- version = "1.4.0.20231115.164627";
+ version = "1.7.0.20240212.231900";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/vertico-1.4.0.20231115.164627.tar";
- sha256 = "1rb2lvk2h7qxddws53n0qp5mg71b6gy94rdqy6nz77f1p3rrxqwf";
+ url = "https://elpa.gnu.org/devel/vertico-1.7.0.20240212.231900.tar";
+ sha256 = "0rhl6brd5h0l0bq3izy4114gaj8qm88ldx6x9a9ixa0kjjx2pc6a";
};
packageRequires = [ compat emacs ];
meta = {
@@ -6681,10 +6875,10 @@
elpaBuild {
pname = "vertico-posframe";
ename = "vertico-posframe";
- version = "0.7.3.0.20231115.51213";
+ version = "0.7.7.0.20240202.84736";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/vertico-posframe-0.7.3.0.20231115.51213.tar";
- sha256 = "1ymjcby120181rfl353kdx1i4jpg5vb6vrag5775bknr3ijjqax9";
+ url = "https://elpa.gnu.org/devel/vertico-posframe-0.7.7.0.20240202.84736.tar";
+ sha256 = "0nx5lqkss2ya06c95apbmdii2rnidbhnywzyqs2mr2fyvlv1azwp";
};
packageRequires = [ emacs posframe vertico ];
meta = {
@@ -6761,10 +6955,10 @@
elpaBuild {
pname = "vundo";
ename = "vundo";
- version = "2.1.0.0.20230928.182756";
+ version = "2.2.0.0.20240219.110913";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/vundo-2.1.0.0.20230928.182756.tar";
- sha256 = "148c6c4bndj09lns44a85ja3r3q6frspvcqzx2iidb1ryyj79gx5";
+ url = "https://elpa.gnu.org/devel/vundo-2.2.0.0.20240219.110913.tar";
+ sha256 = "0y9b8029f9apx0nii968smr4dsiqr3ms3vs929par7h0ab6fcbb8";
};
packageRequires = [ emacs ];
meta = {
@@ -6866,10 +7060,10 @@
elpaBuild {
pname = "which-key";
ename = "which-key";
- version = "3.6.0.0.20230905.172829";
+ version = "3.6.0.0.20240220.203830";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/which-key-3.6.0.0.20230905.172829.tar";
- sha256 = "091pyj5kl02pcha63qyh6i2cwa8730fi3jmvdq1cksqr7q3s4xjc";
+ url = "https://elpa.gnu.org/devel/which-key-3.6.0.0.20240220.203830.tar";
+ sha256 = "1pp40cm6a46pdpljzfrx70vszmynr1flzlwzv2ky1zf5yv6wacq4";
};
packageRequires = [ emacs ];
meta = {
@@ -6884,10 +7078,10 @@
elpaBuild {
pname = "window-commander";
ename = "window-commander";
- version = "3.0.2.0.20230630.142949";
+ version = "3.0.2.0.20240212.12958";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/window-commander-3.0.2.0.20230630.142949.tar";
- sha256 = "0sr29bslv9b2avsb6s0ln7j19zbrfvk2xbxgylxi3r43nhm4lmy5";
+ url = "https://elpa.gnu.org/devel/window-commander-3.0.2.0.20240212.12958.tar";
+ sha256 = "02v3m4qrwzxdlsfdjh35bazcdfn92s6qjh8q7jqfnhv3i2b80jx6";
};
packageRequires = [ emacs ];
meta = {
@@ -7027,16 +7221,16 @@
license = lib.licenses.free;
};
}) {};
- xelb = callPackage ({ cl-generic, elpaBuild, emacs, fetchurl, lib }:
+ xelb = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
elpaBuild {
pname = "xelb";
ename = "xelb";
- version = "0.18.0.20200719.0";
+ version = "0.18.0.20240212.225455";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/xelb-0.18.0.20200719.0.tar";
- sha256 = "195zxjkrw9rmnzprvdr21pngfkwl7lcn0bnjqzywwaq19zb4c2rs";
+ url = "https://elpa.gnu.org/devel/xelb-0.18.0.20240212.225455.tar";
+ sha256 = "0mr6rrg7jbxrb60qzmngvs5nkx7kxk8cpw0sm9i4yrwypfihffgz";
};
- packageRequires = [ cl-generic emacs ];
+ packageRequires = [ emacs ];
meta = {
homepage = "https://elpa.gnu.org/packages/xelb.html";
license = lib.licenses.free;
@@ -7061,10 +7255,10 @@
elpaBuild {
pname = "xr";
ename = "xr";
- version = "1.25.0.20231026.84432";
+ version = "1.25.0.20240123.121048";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/xr-1.25.0.20231026.84432.tar";
- sha256 = "0kvkz24z0cb32igj1hv09j0cg2xhwrkafi7zhfb85vwj4kgcd6pj";
+ url = "https://elpa.gnu.org/devel/xr-1.25.0.20240123.121048.tar";
+ sha256 = "0ishimhmmkkdzrx9pqq9y9j9n1h1vms49kfksg42mq6fahbc1hyn";
};
packageRequires = [ emacs ];
meta = {
@@ -7076,10 +7270,10 @@
elpaBuild {
pname = "xref";
ename = "xref";
- version = "1.6.3.0.20231023.205120";
+ version = "1.6.3.0.20240102.22814";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/xref-1.6.3.0.20231023.205120.tar";
- sha256 = "1qszzbnn3pdpy7q7i9ir04dnp15rgkm7xnl73pp3wpvbqjwwgmd3";
+ url = "https://elpa.gnu.org/devel/xref-1.6.3.0.20240102.22814.tar";
+ sha256 = "1rnns4xhkcim2xa8fchf3bzydj7b4pksglivwikhmdwxkrsx0acx";
};
packageRequires = [ emacs ];
meta = {
@@ -7094,10 +7288,10 @@
elpaBuild {
pname = "xref-union";
ename = "xref-union";
- version = "0.1.1.0.20230325.142012";
+ version = "0.2.0.0.20231225.162837";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/xref-union-0.1.1.0.20230325.142012.tar";
- sha256 = "0y879hqq9l6siiyl84k12a943j3130cdfxw34m8hhgpmxn2qccky";
+ url = "https://elpa.gnu.org/devel/xref-union-0.2.0.0.20231225.162837.tar";
+ sha256 = "1gfvd23d0ysrvricvcpqgbji705ibwpz3jcnk8lxiz890y4rs2vw";
};
packageRequires = [ emacs ];
meta = {
@@ -7113,10 +7307,10 @@
elpaBuild {
pname = "yasnippet";
ename = "yasnippet";
- version = "0.14.0.0.20230914.100037";
+ version = "0.14.1.0.20240215.153445";
src = fetchurl {
- url = "https://elpa.gnu.org/devel/yasnippet-0.14.0.0.20230914.100037.tar";
- sha256 = "0kqv0scxkxxczxc1fxmpv0lgddp92j600s972xwb681a0vq2ssz6";
+ url = "https://elpa.gnu.org/devel/yasnippet-0.14.1.0.20240215.153445.tar";
+ sha256 = "121b54xh9jsqcb2x1a5r9nd1c3i5ayka932xd47z09ps46zlvpsr";
};
packageRequires = [ cl-lib emacs ];
meta = {
diff --git a/pkgs/applications/editors/emacs/elisp-packages/elpa-devel-packages.nix b/pkgs/applications/editors/emacs/elisp-packages/elpa-devel-packages.nix
index 3d0073bf8143..00f50233d50f 100644
--- a/pkgs/applications/editors/emacs/elisp-packages/elpa-devel-packages.nix
+++ b/pkgs/applications/editors/emacs/elisp-packages/elpa-devel-packages.nix
@@ -83,6 +83,18 @@ self: let
rm $outd/xapian-lite.cc $outd/emacs-module.h $outd/emacs-module-prelude.h $outd/demo.gif $outd/Makefile
'';
});
+
+ # native compilation for tests/seq-tests.el never ends
+ # delete tests/seq-tests.el to workaround this
+ seq = super.seq.overrideAttrs (old: {
+ dontUnpack = false;
+ postUnpack = (old.postUnpack or "") + "\n" + ''
+ local content_directory=$(echo seq-*)
+ rm --verbose $content_directory/tests/seq-tests.el
+ src=$PWD/$content_directory.tar
+ tar --create --verbose --file=$src $content_directory
+ '';
+ });
};
elpaDevelPackages = super // overrides;
diff --git a/pkgs/applications/editors/emacs/elisp-packages/elpa-generated.nix b/pkgs/applications/editors/emacs/elisp-packages/elpa-generated.nix
index c214731a2289..25831b16cc4a 100644
--- a/pkgs/applications/editors/emacs/elisp-packages/elpa-generated.nix
+++ b/pkgs/applications/editors/emacs/elisp-packages/elpa-generated.nix
@@ -30,6 +30,21 @@
license = lib.licenses.free;
};
}) {};
+ activities = callPackage ({ elpaBuild, emacs, fetchurl, lib, persist }:
+ elpaBuild {
+ pname = "activities";
+ ename = "activities";
+ version = "0.5.1";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/packages/activities-0.5.1.tar";
+ sha256 = "0ng9sgajcpal881s3kavkmz0fc38f2h207hpqj62cf14z7bsk0zk";
+ };
+ packageRequires = [ emacs persist ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/activities.html";
+ license = lib.licenses.free;
+ };
+ }) {};
ada-mode = callPackage ({ elpaBuild
, emacs
, fetchurl
@@ -81,16 +96,16 @@
license = lib.licenses.free;
};
}) {};
- adjust-parens = callPackage ({ elpaBuild, fetchurl, lib }:
+ adjust-parens = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
elpaBuild {
pname = "adjust-parens";
ename = "adjust-parens";
- version = "3.1";
+ version = "3.2";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/adjust-parens-3.1.tar";
- sha256 = "059v0njd52vxidr5xwv2jmknm2shnwpj3101069q6lsmz1wq242a";
+ url = "https://elpa.gnu.org/packages/adjust-parens-3.2.tar";
+ sha256 = "01l8bgfqgbkh5p9r8mc5pqizg0sgr7bl6x7x657gm0qjnwmfwai5";
};
- packageRequires = [];
+ packageRequires = [ emacs ];
meta = {
homepage = "https://elpa.gnu.org/packages/adjust-parens.html";
license = lib.licenses.free;
@@ -250,10 +265,10 @@
elpaBuild {
pname = "async";
ename = "async";
- version = "1.9.7";
+ version = "1.9.8";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/async-1.9.7.tar";
- sha256 = "0wwjgvj42irznwz6rjh8yiz4p9hswgi6ak57anjn256c4zx8xaz2";
+ url = "https://elpa.gnu.org/packages/async-1.9.8.tar";
+ sha256 = "00x22dh96vhyvgailxm3mv2jqhwlb6lisrjv10m8vpkmlk5mhnwb";
};
packageRequires = [ emacs ];
meta = {
@@ -265,10 +280,10 @@
elpaBuild {
pname = "auctex";
ename = "auctex";
- version = "13.2.2";
+ version = "14.0.3";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/auctex-13.2.2.tar";
- sha256 = "1k8ypxp2iwg7a0m5lyk1sy5chcnmas0gs6frk6xw6k0r974f193s";
+ url = "https://elpa.gnu.org/packages/auctex-14.0.3.tar";
+ sha256 = "1xk29nk3r7ilxk2vag3diacamqvlws7mbjk5a0iivz5y6fy7hmjc";
};
packageRequires = [ emacs ];
meta = {
@@ -400,10 +415,10 @@
elpaBuild {
pname = "beframe";
ename = "beframe";
- version = "0.3.0";
+ version = "1.0.1";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/beframe-0.3.0.tar";
- sha256 = "0naa3agr4h0z1fc3fwnsq4k57zpzvg7ganbr6dyp7c70ja8x32h0";
+ url = "https://elpa.gnu.org/packages/beframe-1.0.1.tar";
+ sha256 = "0j4ks5i67ck1cid6whvwq564s94xb0q5fchb006wzbniy1inwcna";
};
packageRequires = [ emacs ];
meta = {
@@ -411,6 +426,21 @@
license = lib.licenses.free;
};
}) {};
+ bicep-ts-mode = callPackage ({ elpaBuild, fetchurl, lib }:
+ elpaBuild {
+ pname = "bicep-ts-mode";
+ ename = "bicep-ts-mode";
+ version = "0.1.3";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/packages/bicep-ts-mode-0.1.3.tar";
+ sha256 = "1di4pkk682kl46acdq44d1xykzqnvayhd84rwf71rj3q252di5a6";
+ };
+ packageRequires = [];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/bicep-ts-mode.html";
+ license = lib.licenses.free;
+ };
+ }) {};
bind-key = callPackage ({ elpaBuild, fetchurl, lib }:
elpaBuild {
pname = "bind-key";
@@ -426,16 +456,16 @@
license = lib.licenses.free;
};
}) {};
- blist = callPackage ({ elpaBuild, fetchurl, lib }:
+ blist = callPackage ({ elpaBuild, emacs, fetchurl, ilist, lib }:
elpaBuild {
pname = "blist";
ename = "blist";
- version = "0.2";
+ version = "0.3";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/blist-0.2.tar";
- sha256 = "1gsrj6clsfw36i7pdayfip615r80543j3iph6zm93p88wgwqigrq";
+ url = "https://elpa.gnu.org/packages/blist-0.3.tar";
+ sha256 = "1cqvj6jjkch4xivdn2vyxz38amm6w403hnrfx0hhknbwxq5k8swp";
};
- packageRequires = [];
+ packageRequires = [ emacs ilist ];
meta = {
homepage = "https://elpa.gnu.org/packages/blist.html";
license = lib.licenses.free;
@@ -569,10 +599,10 @@
elpaBuild {
pname = "bufferlo";
ename = "bufferlo";
- version = "0.3";
+ version = "0.6";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/bufferlo-0.3.tar";
- sha256 = "16fj1wiqymyys0wjnbmmfwpvqxnm3mlqfrg7nrsryfgpv2mv9z17";
+ url = "https://elpa.gnu.org/packages/bufferlo-0.6.tar";
+ sha256 = "0q942zsxv27gvkx3b391yzshh58l2xd75y04rqyqk269q0cdhqff";
};
packageRequires = [ emacs ];
meta = {
@@ -614,10 +644,10 @@
elpaBuild {
pname = "calibre";
ename = "calibre";
- version = "1.3.3";
+ version = "1.4.1";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/calibre-1.3.3.tar";
- sha256 = "03vg3vym5v04jcvrbyh1m1vfh04a4maiyac89c052lj9zp7yzrx7";
+ url = "https://elpa.gnu.org/packages/calibre-1.4.1.tar";
+ sha256 = "1wjz4d2hrhwcd9ljngygacxm28ddgwndp9krz5cxhjz2dkhs1pgb";
};
packageRequires = [ compat emacs ];
meta = {
@@ -629,10 +659,10 @@
elpaBuild {
pname = "cape";
ename = "cape";
- version = "0.17";
+ version = "1.3";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/cape-0.17.tar";
- sha256 = "1kby5qbw2z5c6629vfx6dx4f1a8gx58ciif9b9589drc6fnnsnlr";
+ url = "https://elpa.gnu.org/packages/cape-1.3.tar";
+ sha256 = "1178f6js821zcmsc3zrlclnaf4sswgvzs2qazzi975dkcfqcn3vq";
};
packageRequires = [ compat emacs ];
meta = {
@@ -764,10 +794,10 @@
elpaBuild {
pname = "code-cells";
ename = "code-cells";
- version = "0.3";
+ version = "0.4";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/code-cells-0.3.tar";
- sha256 = "0i5n9xqpf0www553in3xibc93vw9x6659zaqnvr5rkad95gz456x";
+ url = "https://elpa.gnu.org/packages/code-cells-0.4.tar";
+ sha256 = "0a7m6d5slz2zarbk54900cihs5071i63qdz4yj8n7j3zfz28x5kg";
};
packageRequires = [ emacs ];
meta = {
@@ -892,10 +922,10 @@
elpaBuild {
pname = "consult";
ename = "consult";
- version = "0.35";
+ version = "1.3";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/consult-0.35.tar";
- sha256 = "00rw4d9k16wx55pk7fyj4z718vmqjq18jy0xiv7izzkdkkkk3l7p";
+ url = "https://elpa.gnu.org/packages/consult-1.3.tar";
+ sha256 = "1qyqvc4rp0287lidpzhvi669ygjnqmlw8wq0hc0nks2703p283c8";
};
packageRequires = [ compat emacs ];
meta = {
@@ -903,14 +933,34 @@
license = lib.licenses.free;
};
}) {};
+ consult-hoogle = callPackage ({ consult
+ , elpaBuild
+ , emacs
+ , fetchurl
+ , haskell-mode
+ , lib }:
+ elpaBuild {
+ pname = "consult-hoogle";
+ ename = "consult-hoogle";
+ version = "0.1.1";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/packages/consult-hoogle-0.1.1.tar";
+ sha256 = "1bcl7h5ykcgrsfj27wkv9l9jvbj2bbkh0w9d60663m1bkp0p3y2r";
+ };
+ packageRequires = [ consult emacs haskell-mode ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/consult-hoogle.html";
+ license = lib.licenses.free;
+ };
+ }) {};
consult-recoll = callPackage ({ consult, elpaBuild, emacs, fetchurl, lib }:
elpaBuild {
pname = "consult-recoll";
ename = "consult-recoll";
- version = "0.8";
+ version = "0.8.1";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/consult-recoll-0.8.tar";
- sha256 = "02vg1rr2fkcqrrivqgggdjdq0ywvlyzazwq1xd02yah3j4sbv4ag";
+ url = "https://elpa.gnu.org/packages/consult-recoll-0.8.1.tar";
+ sha256 = "0z2vlnv0q6hzvj6hk2a5flhp1gmm71c65j8nrpk4a18aq5gir213";
};
packageRequires = [ consult emacs ];
meta = {
@@ -937,10 +987,10 @@
elpaBuild {
pname = "corfu";
ename = "corfu";
- version = "0.38";
+ version = "1.2";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/corfu-0.38.tar";
- sha256 = "1pj7zdcqfk77fvfqgvp1gri4m11akn5hd87av28k745i7s0nq0i6";
+ url = "https://elpa.gnu.org/packages/corfu-1.2.tar";
+ sha256 = "1yy4lqbkj8hkvbzaw5wrjqxwp2afhgwjyvmqncils37hvyih4rwl";
};
packageRequires = [ compat emacs ];
meta = {
@@ -1057,10 +1107,10 @@
elpaBuild {
pname = "csv-mode";
ename = "csv-mode";
- version = "1.22";
+ version = "1.23";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/csv-mode-1.22.tar";
- sha256 = "1f9pny1hkhdfmkmfpsk6ayjmb9p5hdpxpnmcprf51nfbvmi7ssig";
+ url = "https://elpa.gnu.org/packages/csv-mode-1.23.tar";
+ sha256 = "0bdx56bn5aw0xjqgqhx2ccrrns3si04zy9ya755jz7n1djqn3mcz";
};
packageRequires = [ cl-lib emacs ];
meta = {
@@ -1098,6 +1148,21 @@
license = lib.licenses.free;
};
}) {};
+ dape = callPackage ({ elpaBuild, emacs, fetchurl, jsonrpc, lib }:
+ elpaBuild {
+ pname = "dape";
+ ename = "dape";
+ version = "0.7.0";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/packages/dape-0.7.0.tar";
+ sha256 = "0fbafwmrs9dlv875vcg1c9gh0hqs1zpnyqxgkdvbrazww7ffn60g";
+ };
+ packageRequires = [ emacs jsonrpc ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/dape.html";
+ license = lib.licenses.free;
+ };
+ }) {};
darkroom = callPackage ({ cl-lib ? null, elpaBuild, fetchurl, lib }:
elpaBuild {
pname = "darkroom";
@@ -1147,10 +1212,10 @@
elpaBuild {
pname = "debbugs";
ename = "debbugs";
- version = "0.37";
+ version = "0.40";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/debbugs-0.37.tar";
- sha256 = "0xj0sv5d3y88fsbm7yhm0v59mzj1srxayng1hr418v89ky43rxpr";
+ url = "https://elpa.gnu.org/packages/debbugs-0.40.tar";
+ sha256 = "0yfl9gd23xnfk3iwiq26brd7fg9ikhd201lw4awng0rdh0fddxwd";
};
packageRequires = [ emacs soap-client ];
meta = {
@@ -1177,10 +1242,10 @@
elpaBuild {
pname = "denote";
ename = "denote";
- version = "2.1.0";
+ version = "2.2.4";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/denote-2.1.0.tar";
- sha256 = "1igp9h327b9x3fxrp34bz0x5slk659r3asjdia3jm8amajm4bw6s";
+ url = "https://elpa.gnu.org/packages/denote-2.2.4.tar";
+ sha256 = "0a0f4s715nfb7kly82m1gb2ady69z089wlay5i53n9bxzi61x3j7";
};
packageRequires = [ emacs ];
meta = {
@@ -1233,6 +1298,21 @@
license = lib.licenses.free;
};
}) {};
+ devicetree-ts-mode = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "devicetree-ts-mode";
+ ename = "devicetree-ts-mode";
+ version = "0.3";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/packages/devicetree-ts-mode-0.3.tar";
+ sha256 = "1vhk9b0aa9w6yalv0d712dc9d7pmcgbg5b4baggc7dyaa5n6ilkn";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/devicetree-ts-mode.html";
+ license = lib.licenses.free;
+ };
+ }) {};
dict-tree = callPackage ({ elpaBuild
, emacs
, fetchurl
@@ -1513,10 +1593,10 @@
elpaBuild {
pname = "ebdb";
ename = "ebdb";
- version = "0.8.18";
+ version = "0.8.22";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/ebdb-0.8.18.tar";
- sha256 = "1mb1qsw3dfaa6x52vsg73by6w7x5i6w5l7b0d2jr667y006q2vvf";
+ url = "https://elpa.gnu.org/packages/ebdb-0.8.22.tar";
+ sha256 = "12sydb2lm571096gpb8r0jpg19wiqpl52rcnrhkvzlhzxgg18pg5";
};
packageRequires = [ emacs seq ];
meta = {
@@ -1573,10 +1653,10 @@
elpaBuild {
pname = "eev";
ename = "eev";
- version = "20230127";
+ version = "20240205";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/eev-20230127.tar";
- sha256 = "12f8r1mymd73gjbha6w9fk1ar38yxgbnrr6asvr8aa9rhcwwgxqm";
+ url = "https://elpa.gnu.org/packages/eev-20240205.tar";
+ sha256 = "06psmcf3yi7pincsbhjrcrml0wzwgmlv6xy2fbpg1sg8vlibbgi3";
};
packageRequires = [ emacs ];
meta = {
@@ -1588,10 +1668,10 @@
elpaBuild {
pname = "ef-themes";
ename = "ef-themes";
- version = "1.4.0";
+ version = "1.5.1";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/ef-themes-1.4.0.tar";
- sha256 = "0pp72bi9s7vyxyyy7dc0vql4k6hqzd1gg3a2i4wi09awdak85gi6";
+ url = "https://elpa.gnu.org/packages/ef-themes-1.5.1.tar";
+ sha256 = "00qh5b7kx0dlms7drnzj95mvgwfzg5h5m9prkbr8qi4ssx939gdw";
};
packageRequires = [ emacs ];
meta = {
@@ -1613,10 +1693,10 @@
elpaBuild {
pname = "eglot";
ename = "eglot";
- version = "1.15";
+ version = "1.17";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/eglot-1.15.tar";
- sha256 = "05brq76xbdkbhbn572n0hyz80lwc3ly5waaqsaan5l1apxgl6ww7";
+ url = "https://elpa.gnu.org/packages/eglot-1.17.tar";
+ sha256 = "1can988d7qdn0dj4wg8adjz1s9j5yin8bcp7ylhq1pr327d5flky";
};
packageRequires = [
eldoc
@@ -1657,10 +1737,10 @@
elpaBuild {
pname = "eldoc";
ename = "eldoc";
- version = "1.14.0";
+ version = "1.15.0";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/eldoc-1.14.0.tar";
- sha256 = "15bg61nbfb6l51frlsn430ga3vscns2651wvi6377vlyra7kgn39";
+ url = "https://elpa.gnu.org/packages/eldoc-1.15.0.tar";
+ sha256 = "1wn7q2f19lfdc3b639ffhbmsglnm3zc6rvgyc6amqwnpc2n2gkdl";
};
packageRequires = [ emacs ];
meta = {
@@ -1698,14 +1778,35 @@
license = lib.licenses.free;
};
}) {};
+ ellama = callPackage ({ dash
+ , elpaBuild
+ , emacs
+ , fetchurl
+ , lib
+ , llm
+ , spinner }:
+ elpaBuild {
+ pname = "ellama";
+ ename = "ellama";
+ version = "0.8.7";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/packages/ellama-0.8.7.tar";
+ sha256 = "0qmd7zrh026rjic26bdp9zinb7vkppdm14inwpwaashqxa5brwi5";
+ };
+ packageRequires = [ dash emacs llm spinner ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/ellama.html";
+ license = lib.licenses.free;
+ };
+ }) {};
emacs-gc-stats = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
elpaBuild {
pname = "emacs-gc-stats";
ename = "emacs-gc-stats";
- version = "1.4.1";
+ version = "1.4.2";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/emacs-gc-stats-1.4.1.tar";
- sha256 = "0k7vng4ikcgb3s9qwjxfyjaq4s45n9r2m9hhnvi953gh3q4xdyck";
+ url = "https://elpa.gnu.org/packages/emacs-gc-stats-1.4.2.tar";
+ sha256 = "19wb4s7bm9fhkbjw28fi5pkxzqnkc7wrx338vgrrzyaficblkcps";
};
packageRequires = [ emacs ];
meta = {
@@ -1717,10 +1818,10 @@
elpaBuild {
pname = "embark";
ename = "embark";
- version = "0.23";
+ version = "1.0";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/embark-0.23.tar";
- sha256 = "03qmhi5wlx4wpj8fnqx352cwnx0czrj6majr18hyavx5cih8i8v6";
+ url = "https://elpa.gnu.org/packages/embark-1.0.tar";
+ sha256 = "01kgln4hvy0hfga7syjvrdvwwalx93pq0s2na0qyvy44rsszlwwh";
};
packageRequires = [ compat emacs ];
meta = {
@@ -1728,7 +1829,8 @@
license = lib.licenses.free;
};
}) {};
- embark-consult = callPackage ({ consult
+ embark-consult = callPackage ({ compat
+ , consult
, elpaBuild
, emacs
, embark
@@ -1737,12 +1839,12 @@
elpaBuild {
pname = "embark-consult";
ename = "embark-consult";
- version = "0.8";
+ version = "1.0";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/embark-consult-0.8.tar";
- sha256 = "1l6fcrf9hx7ll6zrm3igh80nkcig6i7fqwicm3dy9rwsjyjlmy2f";
+ url = "https://elpa.gnu.org/packages/embark-consult-1.0.tar";
+ sha256 = "18zbaanza8vvrmk3gbj0glijyxgb4qd7yzc46lv9fr7xr4l58jqc";
};
- packageRequires = [ consult emacs embark ];
+ packageRequires = [ compat consult emacs embark ];
meta = {
homepage = "https://elpa.gnu.org/packages/embark-consult.html";
license = lib.licenses.free;
@@ -1762,10 +1864,10 @@
elpaBuild {
pname = "ement";
ename = "ement";
- version = "0.13";
+ version = "0.14";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/ement-0.13.tar";
- sha256 = "15pi9d5nxg3d7xnh36xpd0fa94fv3yra5imqpwbzz98d4wyacrv8";
+ url = "https://elpa.gnu.org/packages/ement-0.14.tar";
+ sha256 = "02ay594byrlwkcl85drxn82fgvlzf11l9rl4hbk9phz9axy2mgyw";
};
packageRequires = [
emacs
@@ -1791,10 +1893,10 @@
elpaBuild {
pname = "emms";
ename = "emms";
- version = "16";
+ version = "18";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/emms-16.tar";
- sha256 = "1c18lrrfg1n5vn1av9p7q3jys27pdmxq8pq5gqb6397jnv9xywby";
+ url = "https://elpa.gnu.org/packages/emms-18.tar";
+ sha256 = "1jslk37kx7yzvwy4hq1a6b71kp4a3bgfzzp8bpplv7z6vcmwrmgq";
};
packageRequires = [ cl-lib nadvice seq ];
meta = {
@@ -1882,6 +1984,21 @@
license = lib.licenses.free;
};
}) {};
+ ess = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "ess";
+ ename = "ess";
+ version = "24.1.1";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/packages/ess-24.1.1.tar";
+ sha256 = "1gy1yp6svr8agv38rikmfcji570n80c8jqssrcrrgrq3a2k9j34h";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/ess.html";
+ license = lib.licenses.free;
+ };
+ }) {};
excorporate = callPackage ({ cl-lib ? null
, elpaBuild
, emacs
@@ -1895,10 +2012,10 @@
elpaBuild {
pname = "excorporate";
ename = "excorporate";
- version = "1.1.1";
+ version = "1.1.2";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/excorporate-1.1.1.tar";
- sha256 = "06ilfkrlx6ca0qfqq3w1w07kdwak556i1wgf1875py2d5xkg4r90";
+ url = "https://elpa.gnu.org/packages/excorporate-1.1.2.tar";
+ sha256 = "11w53idm7m20jhmwnj9wiqiv6fzydjrgy2s3mp36barlj3xq0l0z";
};
packageRequires = [
cl-lib
@@ -2004,6 +2121,21 @@
license = lib.licenses.free;
};
}) {};
+ filechooser = callPackage ({ compat, elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "filechooser";
+ ename = "filechooser";
+ version = "0.1.2";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/packages/filechooser-0.1.2.tar";
+ sha256 = "0s0mdc851zd2hy8hfpbamiimbh7c788cyz8mxnwzkpmf6jlj6xdw";
+ };
+ packageRequires = [ compat emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/filechooser.html";
+ license = lib.licenses.free;
+ };
+ }) {};
filladapt = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
elpaBuild {
pname = "filladapt";
@@ -2422,10 +2554,10 @@
elpaBuild {
pname = "greader";
ename = "greader";
- version = "0.6.0";
+ version = "0.9.7";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/greader-0.6.0.tar";
- sha256 = "01sral1nrgkpbrajvg2pqhaj4rx48rryqfxckj3xprrs0yls3k8q";
+ url = "https://elpa.gnu.org/packages/greader-0.9.7.tar";
+ sha256 = "08q2qfcwyxrnmjbzblgk16xhshhn2314swjs0kr5jrdijdgpfghh";
};
packageRequires = [ emacs ];
meta = {
@@ -2594,10 +2726,10 @@
elpaBuild {
pname = "hyperbole";
ename = "hyperbole";
- version = "8.0.0";
+ version = "9.0.0";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/hyperbole-8.0.0.tar";
- sha256 = "171x7jad62xd0n3xgs32dksyhn5abxj1kna0qgm65mm0v73hrv8d";
+ url = "https://elpa.gnu.org/packages/hyperbole-9.0.0.tar";
+ sha256 = "07kpyp3ggf4knakn18niy819l184apx4d9vbcwv57j8zyqgn4c3l";
};
packageRequires = [ emacs ];
meta = {
@@ -2609,10 +2741,10 @@
elpaBuild {
pname = "ilist";
ename = "ilist";
- version = "0.1";
+ version = "0.3";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/ilist-0.1.tar";
- sha256 = "1ihh44276ivgykva805540nkkrqmc61lydv20l99si3amg07q9bh";
+ url = "https://elpa.gnu.org/packages/ilist-0.3.tar";
+ sha256 = "1gg77fnk2ky5z5153axszs43a9npb1xg56ik23rz45xl9hg7v8as";
};
packageRequires = [];
meta = {
@@ -2760,6 +2892,21 @@
license = lib.licenses.free;
};
}) {};
+ jami-bot = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "jami-bot";
+ ename = "jami-bot";
+ version = "0.0.4";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/packages/jami-bot-0.0.4.tar";
+ sha256 = "1gspzqxznqskc008bcix2fyg1brr3xzxzbqx18q4cy4mz0vm8h1b";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/jami-bot.html";
+ license = lib.licenses.free;
+ };
+ }) {};
jarchive = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
elpaBuild {
pname = "jarchive";
@@ -2809,10 +2956,10 @@
elpaBuild {
pname = "jinx";
ename = "jinx";
- version = "0.9";
+ version = "1.3";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/jinx-0.9.tar";
- sha256 = "0q9g3agql5gm95r64lpcwcs9scwhmwcjjnkiykzxdzpnkjn0mjgb";
+ url = "https://elpa.gnu.org/packages/jinx-1.3.tar";
+ sha256 = "0xlfw1sw92qf8bwpw9qnjhkz4ax6n7kcl72ypqm3swmj92jbgsg7";
};
packageRequires = [ compat emacs ];
meta = {
@@ -2839,10 +2986,10 @@
elpaBuild {
pname = "js2-mode";
ename = "js2-mode";
- version = "20230408";
+ version = "20231224";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/js2-mode-20230408.tar";
- sha256 = "1rzlbqddvaa51dz13did5ylj0ggwqnl0wii8735sylfcv6b82241";
+ url = "https://elpa.gnu.org/packages/js2-mode-20231224.tar";
+ sha256 = "1yc7rs748dwpbxy2bqxfspinfiay28qh8l0fly1k76fhk159rwj4";
};
packageRequires = [ cl-lib emacs ];
meta = {
@@ -2869,10 +3016,10 @@
elpaBuild {
pname = "jsonrpc";
ename = "jsonrpc";
- version = "1.0.17";
+ version = "1.0.24";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/jsonrpc-1.0.17.tar";
- sha256 = "0vfd1z78pyif3l6gapcq9vs6cjfxiyc420xzwn0krrb25jjzx1ab";
+ url = "https://elpa.gnu.org/packages/jsonrpc-1.0.24.tar";
+ sha256 = "05nwxfybczxx7pmflq762dq3wypm3pmcfljqs5kh81icxvdqvk8s";
};
packageRequires = [ emacs ];
meta = {
@@ -2899,10 +3046,10 @@
elpaBuild {
pname = "kind-icon";
ename = "kind-icon";
- version = "0.2.0";
+ version = "0.2.2";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/kind-icon-0.2.0.tar";
- sha256 = "1vgwbd99vx793iy04albkxl24c7vq598s7bg0raqwmgx84abww6r";
+ url = "https://elpa.gnu.org/packages/kind-icon-0.2.2.tar";
+ sha256 = "1jpc4izbi5ajqqrvvfp5yqfmljaw2mq06ym36d4p2cxkz95vcmi7";
};
packageRequires = [ emacs svg-lib ];
meta = {
@@ -3009,10 +3156,10 @@
elpaBuild {
pname = "lex";
ename = "lex";
- version = "1.1";
+ version = "1.2";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/lex-1.1.tar";
- sha256 = "1i6ri3k2b2nginhnmwy67mdpv5p75jkxjfwbf42wymza8fxzwbb7";
+ url = "https://elpa.gnu.org/packages/lex-1.2.tar";
+ sha256 = "03g5lm6gyh4k8l4iccdl9z0qinr46fkpqlwdw0gdfj9d0b782mbs";
};
packageRequires = [];
meta = {
@@ -3039,10 +3186,10 @@
elpaBuild {
pname = "llm";
ename = "llm";
- version = "0.5.2";
+ version = "0.9.1";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/llm-0.5.2.tar";
- sha256 = "1nbxdnxpbyvx5rww9mn0zr9hcnblrnk8790cih206y3p1kvs0pnq";
+ url = "https://elpa.gnu.org/packages/llm-0.9.1.tar";
+ sha256 = "0vib0zl41fsacc5d79f1l52j2vxnbqc37471b86cxw9rha0clr8m";
};
packageRequires = [ emacs ];
meta = {
@@ -3189,10 +3336,10 @@
elpaBuild {
pname = "marginalia";
ename = "marginalia";
- version = "1.3";
+ version = "1.5";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/marginalia-1.3.tar";
- sha256 = "14wk3ld9zaj05dmsyhq70kdl0h4bk4gl6sn7x5cckq3av78idh39";
+ url = "https://elpa.gnu.org/packages/marginalia-1.5.tar";
+ sha256 = "1hc4jjvrwfkqmhb1kvmjzzkmnqfx188ywy1cmxpns1wivnjic79h";
};
packageRequires = [ compat emacs ];
meta = {
@@ -3354,10 +3501,10 @@
elpaBuild {
pname = "mmm-mode";
ename = "mmm-mode";
- version = "0.5.10";
+ version = "0.5.11";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/mmm-mode-0.5.10.tar";
- sha256 = "1ny9gm87qah4qy0iphw2nlhz2pfc87hzzsv58lrxl18gr69qhndi";
+ url = "https://elpa.gnu.org/packages/mmm-mode-0.5.11.tar";
+ sha256 = "07pda4bvvcmdwkwh8dnfqgvhkdni2wjgps1094kn1j5c9j254741";
};
packageRequires = [ cl-lib emacs ];
meta = {
@@ -3768,10 +3915,10 @@
elpaBuild {
pname = "org";
ename = "org";
- version = "9.6.12";
+ version = "9.6.19";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/org-9.6.12.tar";
- sha256 = "0qkq7vx3kga18001clsac4rbg9bw5cp9k5qnixw7s39xajd4bcv3";
+ url = "https://elpa.gnu.org/packages/org-9.6.19.tar";
+ sha256 = "0ibgw0i7nsn589k0ynifwdp1f3ia6p8369myhjqgmwy392cwrcxg";
};
packageRequires = [ emacs ];
meta = {
@@ -3809,14 +3956,29 @@
license = lib.licenses.free;
};
}) {};
+ org-jami-bot = callPackage ({ elpaBuild, emacs, fetchurl, jami-bot, lib }:
+ elpaBuild {
+ pname = "org-jami-bot";
+ ename = "org-jami-bot";
+ version = "0.0.5";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/packages/org-jami-bot-0.0.5.tar";
+ sha256 = "0nh0sp1l8hn568n6j11nkl42rm6b3gbjwi3lsf6vanr0lzvrl58r";
+ };
+ packageRequires = [ emacs jami-bot ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/org-jami-bot.html";
+ license = lib.licenses.free;
+ };
+ }) {};
org-modern = callPackage ({ compat, elpaBuild, emacs, fetchurl, lib }:
elpaBuild {
pname = "org-modern";
ename = "org-modern";
- version = "0.10";
+ version = "1.1";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/org-modern-0.10.tar";
- sha256 = "0y17n6ac1b8rsa91qbisagp5mpmpnlni3j78x55z5dnpbi31yn1l";
+ url = "https://elpa.gnu.org/packages/org-modern-1.1.tar";
+ sha256 = "1aclips7y3dasjh6rjdcx1b6fkaripjam6120b7wm487qpjcrzc8";
};
packageRequires = [ compat emacs ];
meta = {
@@ -3903,10 +4065,10 @@
elpaBuild {
pname = "orgalist";
ename = "orgalist";
- version = "1.13";
+ version = "1.14";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/orgalist-1.13.el";
- sha256 = "1wkxc5kcy1g4lx6pd78pa8znncjyl9zyhsvz7wpp56qmhq4hlav3";
+ url = "https://elpa.gnu.org/packages/orgalist-1.14.tar";
+ sha256 = "08gx8aqi7ph75vhhss2xl1lfypzy8a3zmj7w8flcrvz1nk0z738s";
};
packageRequires = [ emacs ];
meta = {
@@ -3933,10 +4095,10 @@
elpaBuild {
pname = "osm";
ename = "osm";
- version = "0.14";
+ version = "1.3";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/osm-0.14.tar";
- sha256 = "03zzdz7l76006x5540121ckbyd5gm10x30k9r43dlj7myd359i90";
+ url = "https://elpa.gnu.org/packages/osm-1.3.tar";
+ sha256 = "09c6wgq470hi2kgk7s8pbcqaggw13hg3f2zz5qwi68hfh7nq7z7k";
};
packageRequires = [ compat emacs ];
meta = {
@@ -3959,16 +4121,16 @@
license = lib.licenses.free;
};
}) {};
- pabbrev = callPackage ({ elpaBuild, fetchurl, lib }:
+ pabbrev = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
elpaBuild {
pname = "pabbrev";
ename = "pabbrev";
- version = "4.2.2";
+ version = "4.3.0";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/pabbrev-4.2.2.tar";
- sha256 = "0iydz8yz866krxv1qv32k88w4464xpymh0wxgrxv6nvniwvhvd0s";
+ url = "https://elpa.gnu.org/packages/pabbrev-4.3.0.tar";
+ sha256 = "0a54ld80s0r9zrc2kd861p4ii3jzqhxykzcnvi64fhxxg3x2aggx";
};
- packageRequires = [];
+ packageRequires = [ emacs ];
meta = {
homepage = "https://elpa.gnu.org/packages/pabbrev.html";
license = lib.licenses.free;
@@ -4008,10 +4170,10 @@
elpaBuild {
pname = "parser-generator";
ename = "parser-generator";
- version = "0.1.6";
+ version = "0.2.1";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/parser-generator-0.1.6.tar";
- sha256 = "0qql5klnh8fbnbkb4mhv6axxvw4qv09cy1h556m0qzg30sckxas1";
+ url = "https://elpa.gnu.org/packages/parser-generator-0.2.1.tar";
+ sha256 = "17kqkqz3d29pmn8ydw5kxs2fdgwqh0q31f13hdf1bnw009j24rl9";
};
packageRequires = [ emacs ];
meta = {
@@ -4068,10 +4230,10 @@
elpaBuild {
pname = "persist";
ename = "persist";
- version = "0.5";
+ version = "0.6";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/persist-0.5.tar";
- sha256 = "090n4479zs82by7a3vb551gyjvv8lpfcylk43ywr2lfyssc9xiq0";
+ url = "https://elpa.gnu.org/packages/persist-0.6.tar";
+ sha256 = "0n68dshbbyrs713989bllmm1vypch8766hc8b4y989nmyclixjwp";
};
packageRequires = [];
meta = {
@@ -4083,10 +4245,10 @@
elpaBuild {
pname = "phps-mode";
ename = "phps-mode";
- version = "0.4.46";
+ version = "0.4.48";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/phps-mode-0.4.46.tar";
- sha256 = "128pbn2ndqwvaxxagwz23xa9adr3m5dac1cid7dichddsis849z8";
+ url = "https://elpa.gnu.org/packages/phps-mode-0.4.48.tar";
+ sha256 = "1nm1j0f77afmwhb5cavk60nn4ifnx5qaycdy0c7qj8w3vdhyn3da";
};
packageRequires = [ emacs ];
meta = {
@@ -4113,10 +4275,10 @@
elpaBuild {
pname = "plz";
ename = "plz";
- version = "0.7";
+ version = "0.7.2";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/plz-0.7.tar";
- sha256 = "00xm6hp51m4cmlw15qgqrspwgs3d9z1hw9pbpva9sa4v7vsbipd2";
+ url = "https://elpa.gnu.org/packages/plz-0.7.2.tar";
+ sha256 = "1qpr4j5rqpvr17arxrrix1z9fzbqy1mddakyylqbvm9lg5yg2wnk";
};
packageRequires = [ emacs ];
meta = {
@@ -4409,6 +4571,21 @@
license = lib.licenses.free;
};
}) {};
+ rcirc-sqlite = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "rcirc-sqlite";
+ ename = "rcirc-sqlite";
+ version = "0.1.3";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/packages/rcirc-sqlite-0.1.3.tar";
+ sha256 = "1pwxkw6dzwbg5g3rxilpp6iy3mzxgpn0mw59i3dcx25hdyizqhip";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/rcirc-sqlite.html";
+ license = lib.licenses.free;
+ };
+ }) {};
realgud = callPackage ({ elpaBuild
, emacs
, fetchurl
@@ -4578,10 +4755,10 @@
elpaBuild {
pname = "rec-mode";
ename = "rec-mode";
- version = "1.9.1";
+ version = "1.9.3";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/rec-mode-1.9.1.tar";
- sha256 = "0f60bw07l6kk1kkjjxsk30p6rxj9mpngaxqy8piyabnijfgjzd3s";
+ url = "https://elpa.gnu.org/packages/rec-mode-1.9.3.tar";
+ sha256 = "15m0h84fcrcxpx67mc9any4ap2dcqysfjm1d2a7sx4clx8h3mgk0";
};
packageRequires = [ emacs ];
meta = {
@@ -4623,10 +4800,10 @@
elpaBuild {
pname = "repology";
ename = "repology";
- version = "1.2.3";
+ version = "1.2.4";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/repology-1.2.3.tar";
- sha256 = "1ngx23b7dilyps20nznrrn867kbxyn6nryf4p1sy5m576hkw18kn";
+ url = "https://elpa.gnu.org/packages/repology-1.2.4.tar";
+ sha256 = "0l53wl0bk8rnqwsjdh1hwvkvbay080l10bslcj3scn42bgbi59j1";
};
packageRequires = [ emacs ];
meta = {
@@ -4668,10 +4845,10 @@
elpaBuild {
pname = "rt-liberation";
ename = "rt-liberation";
- version = "5";
+ version = "6";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/rt-liberation-5.tar";
- sha256 = "1gjj38rag3hh42xkf7qlvwn0qj45i8v30h5wgs3w2a2ccs46bpy4";
+ url = "https://elpa.gnu.org/packages/rt-liberation-6.tar";
+ sha256 = "1l87q2h1hqm5zpg1fz835gmbf5fgl9fvcqg42c17v6kim4has8zd";
};
packageRequires = [];
meta = {
@@ -5038,10 +5215,10 @@
elpaBuild {
pname = "spacious-padding";
ename = "spacious-padding";
- version = "0.1.0";
+ version = "0.3.0";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/spacious-padding-0.1.0.tar";
- sha256 = "0kc5f1p9y2gp2sb6l2vljjhi330f8zxfm7gjjvyymhf2grr61mxw";
+ url = "https://elpa.gnu.org/packages/spacious-padding-0.3.0.tar";
+ sha256 = "1iiaxgl18k8hkwwyz3qnargv6q8kwzyh39ai46k5xbpmyrsj4b16";
};
packageRequires = [ emacs ];
meta = {
@@ -5109,16 +5286,16 @@
license = lib.licenses.free;
};
}) {};
- srht = callPackage ({ elpaBuild, emacs, fetchurl, lib, plz }:
+ srht = callPackage ({ elpaBuild, emacs, fetchurl, lib, plz, transient }:
elpaBuild {
pname = "srht";
ename = "srht";
- version = "0.3";
+ version = "0.4";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/srht-0.3.tar";
- sha256 = "03am21w1gsc1af7slsxny9ga4vqd8rbabbp4rl6mkrq46y5ak375";
+ url = "https://elpa.gnu.org/packages/srht-0.4.tar";
+ sha256 = "1g3znmpi0lbl0f4rp1v8v5jl7gngclwmcngkzwdk2w4prsh6skb1";
};
- packageRequires = [ emacs plz ];
+ packageRequires = [ emacs plz transient ];
meta = {
homepage = "https://elpa.gnu.org/packages/srht.html";
license = lib.licenses.free;
@@ -5143,10 +5320,10 @@
elpaBuild {
pname = "standard-themes";
ename = "standard-themes";
- version = "1.2.0";
+ version = "2.0.1";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/standard-themes-1.2.0.tar";
- sha256 = "1prf89jk41cmd3bj51343jyz53k5bjbc871s54cqlhz3vvbgc4ww";
+ url = "https://elpa.gnu.org/packages/standard-themes-2.0.1.tar";
+ sha256 = "0vdl90xjqq20mw6cgmn6zd42ppr5y471g03a9ljzcpwmbd1wnr60";
};
packageRequires = [ emacs ];
meta = {
@@ -5218,10 +5395,10 @@
elpaBuild {
pname = "svg-lib";
ename = "svg-lib";
- version = "0.2.7";
+ version = "0.3";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/svg-lib-0.2.7.tar";
- sha256 = "0vq7a1hh6am5a1hqc1fay4cra7944zch5m5vadwhsnqgnrylm2gw";
+ url = "https://elpa.gnu.org/packages/svg-lib-0.3.tar";
+ sha256 = "0d0xkfmk351h8lx28dxgync47r780nyk4fk12sb4j0byfr0lsh8d";
};
packageRequires = [ emacs ];
meta = {
@@ -5358,10 +5535,10 @@
elpaBuild {
pname = "taxy-magit-section";
ename = "taxy-magit-section";
- version = "0.12.2";
+ version = "0.13";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/taxy-magit-section-0.12.2.tar";
- sha256 = "1pf83zz5ibhqqlqgcxig0dsl1rnkk5r6v16s5ngvbc37q40vkwn1";
+ url = "https://elpa.gnu.org/packages/taxy-magit-section-0.13.tar";
+ sha256 = "1712hbcna0ph9chaq28a6fanv4sccdiphd5z0hg34ig3g6pslgn9";
};
packageRequires = [ emacs magit-section taxy ];
meta = {
@@ -5388,10 +5565,10 @@
elpaBuild {
pname = "tempel";
ename = "tempel";
- version = "0.8";
+ version = "1.1";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/tempel-0.8.tar";
- sha256 = "1ppvkwy7c31p4ibshfralwz02xnxmssf6lgikahpimrg928zcd80";
+ url = "https://elpa.gnu.org/packages/tempel-1.1.tar";
+ sha256 = "1780dgyfj569vxzzg8gqky9953fzw8x5kzy2l05vl7my06nyk46i";
};
packageRequires = [ compat emacs ];
meta = {
@@ -5414,6 +5591,21 @@
license = lib.licenses.free;
};
}) {};
+ theme-buffet = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "theme-buffet";
+ ename = "theme-buffet";
+ version = "0.1.2";
+ src = fetchurl {
+ url = "https://elpa.gnu.org/packages/theme-buffet-0.1.2.tar";
+ sha256 = "1cb9kn07naz2dkl4cznnwi2hy5ccq9igl5jnm7qhaliaszpg2rf9";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/theme-buffet.html";
+ license = lib.licenses.free;
+ };
+ }) {};
timerfunctions = callPackage ({ cl-lib ? null
, elpaBuild
, emacs
@@ -5497,10 +5689,10 @@
elpaBuild {
pname = "tramp";
ename = "tramp";
- version = "2.6.1.4";
+ version = "2.6.2.1";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/tramp-2.6.1.4.tar";
- sha256 = "1ajlx0982hx6ypby9dvw1yh9zyl1h4j9xp4n9rfzxhfvvq3139bi";
+ url = "https://elpa.gnu.org/packages/tramp-2.6.2.1.tar";
+ sha256 = "145riknpdvw7rvpz20m766yci3w012f241mw38pbbb9cb8pn2rbf";
};
packageRequires = [ emacs ];
meta = {
@@ -5553,16 +5745,16 @@
license = lib.licenses.free;
};
}) {};
- transient = callPackage ({ compat, elpaBuild, emacs, fetchurl, lib }:
+ transient = callPackage ({ compat, elpaBuild, emacs, fetchurl, lib, seq }:
elpaBuild {
pname = "transient";
ename = "transient";
- version = "0.4.3";
+ version = "0.5.3";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/transient-0.4.3.tar";
- sha256 = "1aqw7fr5p2f1xs5pvfpmhhvh16491qvcbg40993siqkdi05w4i1j";
+ url = "https://elpa.gnu.org/packages/transient-0.5.3.tar";
+ sha256 = "12ak6rykg627rkwwiga5d9g9pzcrq2sliynqbr3lm8rvlwbdhkhx";
};
- packageRequires = [ compat emacs ];
+ packageRequires = [ compat emacs seq ];
meta = {
homepage = "https://elpa.gnu.org/packages/transient.html";
license = lib.licenses.free;
@@ -5707,10 +5899,10 @@
elpaBuild {
pname = "urgrep";
ename = "urgrep";
- version = "0.2.0";
+ version = "0.4.0";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/urgrep-0.2.0.tar";
- sha256 = "1d5wzj6ygfqr9yvi7lxm4j52q0g1vsiwyana3ww6k6yni1fi9y8f";
+ url = "https://elpa.gnu.org/packages/urgrep-0.4.0.tar";
+ sha256 = "0l0gswml6hkna48gfljj3hajhcx3bbc1rqwb2v01hvqab05an7zs";
};
packageRequires = [ compat emacs project ];
meta = {
@@ -5929,10 +6121,10 @@
elpaBuild {
pname = "vertico";
ename = "vertico";
- version = "1.4";
+ version = "1.7";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/vertico-1.4.tar";
- sha256 = "0jv4adwi18j14yjasqndsgyxgrd1jnjhwrw90hyplfii08f6gmdq";
+ url = "https://elpa.gnu.org/packages/vertico-1.7.tar";
+ sha256 = "1dglwscp29c5281spmrxv5k1pbvswcz5rcap6w5s97fqk5ziinsm";
};
packageRequires = [ compat emacs ];
meta = {
@@ -5949,10 +6141,10 @@
elpaBuild {
pname = "vertico-posframe";
ename = "vertico-posframe";
- version = "0.7.3";
+ version = "0.7.7";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/vertico-posframe-0.7.3.tar";
- sha256 = "1gfapchkj9jkzlyz3hzkb9kpifcak0fn4y5jw6f2cs6379sjwvzm";
+ url = "https://elpa.gnu.org/packages/vertico-posframe-0.7.7.tar";
+ sha256 = "0xqbbqkzd2v7kqgmiwlx9pmpck2mpfwxmvy66i7dpzysvargi70q";
};
packageRequires = [ emacs posframe vertico ];
meta = {
@@ -6027,10 +6219,10 @@
elpaBuild {
pname = "vundo";
ename = "vundo";
- version = "2.1.0";
+ version = "2.2.0";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/vundo-2.1.0.tar";
- sha256 = "1inm6kvh5j47nsrmq6wpf30dqmx0arzdpa6vdcn834g50i4fh8kc";
+ url = "https://elpa.gnu.org/packages/vundo-2.2.0.tar";
+ sha256 = "1vb5mq51krpcaw741dai3b9s12yicn73l1bqql41gylpanca83py";
};
packageRequires = [ emacs ];
meta = {
@@ -6332,10 +6524,10 @@
elpaBuild {
pname = "xref-union";
ename = "xref-union";
- version = "0.1.1";
+ version = "0.2.0";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/xref-union-0.1.1.tar";
- sha256 = "1v95l4j3w3zrjmii3pz319s4jmqnfdx6np120zhc6ccgj1fawy2c";
+ url = "https://elpa.gnu.org/packages/xref-union-0.2.0.tar";
+ sha256 = "0kcmg9fm9gcpdxfwx7c6zz29krf69j5nh6knv2x3df65sbzwdj0b";
};
packageRequires = [ emacs ];
meta = {
@@ -6343,16 +6535,16 @@
license = lib.licenses.free;
};
}) {};
- yasnippet = callPackage ({ cl-lib ? null, elpaBuild, fetchurl, lib }:
+ yasnippet = callPackage ({ cl-lib ? null, elpaBuild, emacs, fetchurl, lib }:
elpaBuild {
pname = "yasnippet";
ename = "yasnippet";
- version = "0.14.0";
+ version = "0.14.1";
src = fetchurl {
- url = "https://elpa.gnu.org/packages/yasnippet-0.14.0.tar";
- sha256 = "1lbil3dyz43nmr2lvx9vhpybqynpb7shg7m1xl1f7j4vm4dh0r08";
+ url = "https://elpa.gnu.org/packages/yasnippet-0.14.1.tar";
+ sha256 = "05nc76wzc9b1a34998xx6l1wc5ss682afllf6dnl6rdj8hza65wy";
};
- packageRequires = [ cl-lib ];
+ packageRequires = [ cl-lib emacs ];
meta = {
homepage = "https://elpa.gnu.org/packages/yasnippet.html";
license = lib.licenses.free;
diff --git a/pkgs/applications/editors/emacs/elisp-packages/elpa-packages.nix b/pkgs/applications/editors/emacs/elisp-packages/elpa-packages.nix
index 112445453abb..18a0a36fc06c 100644
--- a/pkgs/applications/editors/emacs/elisp-packages/elpa-packages.nix
+++ b/pkgs/applications/editors/emacs/elisp-packages/elpa-packages.nix
@@ -63,9 +63,6 @@ self: let
cl-print = null; # builtin
tle = null; # builtin
advice = null; # builtin
- seq = if lib.versionAtLeast self.emacs.version "27"
- then null
- else super.seq;
# Compilation instructions for the Ada executables:
# https://www.nongnu.org/ada-mode/
ada-mode = super.ada-mode.overrideAttrs (old: {
@@ -88,7 +85,7 @@ self: let
];
buildInputs = [
- pkgs.gnatcoll-xref
+ pkgs.gnatPackages.gnatcoll-xref
];
buildPhase = ''
@@ -174,6 +171,18 @@ self: let
'';
});
+ # native compilation for tests/seq-tests.el never ends
+ # delete tests/seq-tests.el to workaround this
+ seq = super.seq.overrideAttrs (old: {
+ dontUnpack = false;
+ postUnpack = (old.postUnpack or "") + "\n" + ''
+ local content_directory=$(echo seq-*)
+ rm --verbose $content_directory/tests/seq-tests.el
+ src=$PWD/$content_directory.tar
+ tar --create --verbose --file=$src $content_directory
+ '';
+ });
+
};
diff --git a/pkgs/applications/editors/emacs/elisp-packages/manual-packages.nix b/pkgs/applications/editors/emacs/elisp-packages/manual-packages.nix
index 1c698809791b..9a908039b8f5 100644
--- a/pkgs/applications/editors/emacs/elisp-packages/manual-packages.nix
+++ b/pkgs/applications/editors/emacs/elisp-packages/manual-packages.nix
@@ -5,6 +5,8 @@ let
inherit (self) callPackage;
in
{
+ inherit (pkgs) emacspeak;
+
acm = callPackage ./manual-packages/acm { };
acm-terminal = callPackage ./manual-packages/acm-terminal { };
@@ -31,8 +33,6 @@ in
elisp-ffi = callPackage ./manual-packages/elisp-ffi { };
- emacspeak = callPackage ./manual-packages/emacspeak { };
-
ess-R-object-popup = callPackage ./manual-packages/ess-R-object-popup { };
evil-markdown = callPackage ./manual-packages/evil-markdown { };
diff --git a/pkgs/applications/editors/emacs/elisp-packages/manual-packages/cask/default.nix b/pkgs/applications/editors/emacs/elisp-packages/manual-packages/cask/default.nix
index 692481cc7fbc..3ab796bcbd19 100644
--- a/pkgs/applications/editors/emacs/elisp-packages/manual-packages/cask/default.nix
+++ b/pkgs/applications/editors/emacs/elisp-packages/manual-packages/cask/default.nix
@@ -63,6 +63,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "Project management for Emacs";
+ mainProgram = "cask";
longDescription = ''
Cask is a project management tool for Emacs that helps automate the
package development cycle; development, dependencies, testing, building,
diff --git a/pkgs/applications/editors/emacs/elisp-packages/manual-packages/lspce/default.nix b/pkgs/applications/editors/emacs/elisp-packages/manual-packages/lspce/default.nix
index 67b1b600390e..34c077da7739 100644
--- a/pkgs/applications/editors/emacs/elisp-packages/manual-packages/lspce/default.nix
+++ b/pkgs/applications/editors/emacs/elisp-packages/manual-packages/lspce/default.nix
@@ -9,13 +9,13 @@
}:
let
- version = "unstable-2023-12-01";
+ version = "unstable-2024-02-03";
src = fetchFromGitHub {
owner = "zbelial";
repo = "lspce";
- rev = "1958b6fcdfb6288aa17fa42360315d6c4aa85991";
- hash = "sha256-HUIRm1z6xNJWgX7ykujzniBrOTh76D3dJHrm0LR3nuQ=";
+ rev = "543dcf0ea9e3ff5c142c4365d90b6ae8dc27bd15";
+ hash = "sha256-LZWRQOKkTjNo8jecBRholW9SHpiK0SWcV8yObojpvxo=";
};
meta = {
@@ -30,7 +30,7 @@ let
inherit version src meta;
pname = "lspce-module";
- cargoHash = "sha256-qMLwdZwqrK7bPXL1bIbOqM7xQPpeiO8FDoje0CEJeXQ=";
+ cargoHash = "sha256-W9rsi7o4KvyRoG/pqRKOBbJtUoSW549Sh8+OV9sLcxs=";
checkFlags = [
# flaky test
diff --git a/pkgs/applications/editors/emacs/elisp-packages/nongnu-generated.nix b/pkgs/applications/editors/emacs/elisp-packages/nongnu-generated.nix
index 37a9e04418c6..8f2e093ddf8d 100644
--- a/pkgs/applications/editors/emacs/elisp-packages/nongnu-generated.nix
+++ b/pkgs/applications/editors/emacs/elisp-packages/nongnu-generated.nix
@@ -182,6 +182,21 @@
license = lib.licenses.free;
};
}) {};
+ base32 = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "base32";
+ ename = "base32";
+ version = "1.0";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/base32-1.0.tar";
+ sha256 = "02n227xwg621zh4na5lx8xh5q6zldq0hwwfzc4wkgfg2jb83n4g8";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/base32.html";
+ license = lib.licenses.free;
+ };
+ }) {};
bash-completion = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
elpaBuild {
pname = "bash-completion";
@@ -291,10 +306,10 @@
elpaBuild {
pname = "buttercup";
ename = "buttercup";
- version = "1.32";
+ version = "1.33";
src = fetchurl {
- url = "https://elpa.nongnu.org/nongnu/buttercup-1.32.tar";
- sha256 = "07vxs1iq38m7ng9wia8h6q2sy4cdykv03jksqjqyqaab4cl7yl27";
+ url = "https://elpa.nongnu.org/nongnu/buttercup-1.33.tar";
+ sha256 = "0245fq4iny1jnm440dzkq0fadw10ncnhkj28mayqs9iyyzj9g5h4";
};
packageRequires = [ emacs ];
meta = {
@@ -336,10 +351,10 @@
elpaBuild {
pname = "cdlatex";
ename = "cdlatex";
- version = "4.18.3";
+ version = "4.18.4";
src = fetchurl {
- url = "https://elpa.nongnu.org/nongnu/cdlatex-4.18.3.tar";
- sha256 = "0zz73csyjagq4vfv1fdnzwg4jhscggg3vk75kjdl78dg1ly191iz";
+ url = "https://elpa.nongnu.org/nongnu/cdlatex-4.18.4.tar";
+ sha256 = "0qa4wp76jykykh244an84kmlvwnsqjnc8ii38mdnd5jjnhacg11v";
};
packageRequires = [];
meta = {
@@ -361,10 +376,10 @@
elpaBuild {
pname = "cider";
ename = "cider";
- version = "1.11.1";
+ version = "1.13.1";
src = fetchurl {
- url = "https://elpa.nongnu.org/nongnu/cider-1.11.1.tar";
- sha256 = "1zp24p67w9wcc26s0b95idvzy1ndk35a8rabj3ckg1sgddgzh0p6";
+ url = "https://elpa.nongnu.org/nongnu/cider-1.13.1.tar";
+ sha256 = "0ipmhm8ggh437m72b0vf9vfmwkcfwj3yy37wldz0yyiv59y2g50p";
};
packageRequires = [
clojure-mode
@@ -385,10 +400,10 @@
elpaBuild {
pname = "clojure-mode";
ename = "clojure-mode";
- version = "5.18.0";
+ version = "5.18.1";
src = fetchurl {
- url = "https://elpa.nongnu.org/nongnu/clojure-mode-5.18.0.tar";
- sha256 = "1wh1r3kdjya7aqnnm6ask9q93pxm7h6ff4b7p6lrhwg4iyn2njss";
+ url = "https://elpa.nongnu.org/nongnu/clojure-mode-5.18.1.tar";
+ sha256 = "1wnl10r79cc1p9a1zwyzhj9ddvc166xkmc7f04pibwxw9j4l3ppg";
};
packageRequires = [ emacs ];
meta = {
@@ -400,10 +415,10 @@
elpaBuild {
pname = "clojure-ts-mode";
ename = "clojure-ts-mode";
- version = "0.2.0";
+ version = "0.2.2";
src = fetchurl {
- url = "https://elpa.nongnu.org/nongnu/clojure-ts-mode-0.2.0.tar";
- sha256 = "1jb6n84pk2ybrihh1s472q77pmnn288p4bzvhga0sxxqg88ial2p";
+ url = "https://elpa.nongnu.org/nongnu/clojure-ts-mode-0.2.2.tar";
+ sha256 = "19dskc53dx183kcb7p5qx41qsjsy1mqi46zrdfc1znl7rdknhvl7";
};
packageRequires = [ emacs ];
meta = {
@@ -667,10 +682,10 @@
elpaBuild {
pname = "eat";
ename = "eat";
- version = "0.9.3";
+ version = "0.9.4";
src = fetchurl {
- url = "https://elpa.nongnu.org/nongnu/eat-0.9.3.tar";
- sha256 = "1dck6vvyn1y94z18p1b0kh3x4i587x8x5pk5bin56vwpkw05s40d";
+ url = "https://elpa.nongnu.org/nongnu/eat-0.9.4.tar";
+ sha256 = "0683rni1vgq1jmmp1kjvi0fx9m5k7hh5r9b432whwnkp9gcfrb80";
};
packageRequires = [ compat emacs ];
meta = {
@@ -682,10 +697,10 @@
elpaBuild {
pname = "edit-indirect";
ename = "edit-indirect";
- version = "0.1.10";
+ version = "0.1.13";
src = fetchurl {
- url = "https://elpa.nongnu.org/nongnu/edit-indirect-0.1.10.tar";
- sha256 = "0mk6s5hc8n9s5c434im6r06mfgmdf5s44zlr9j3hfkjaic1lf45b";
+ url = "https://elpa.nongnu.org/nongnu/edit-indirect-0.1.13.tar";
+ sha256 = "06x51lajykz6ny8q1762vhjxzvlqm2il47099hbb9wpj4vjx14q6";
};
packageRequires = [ emacs ];
meta = {
@@ -727,10 +742,10 @@
elpaBuild {
pname = "elpher";
ename = "elpher";
- version = "3.5.0";
+ version = "3.5.1";
src = fetchurl {
- url = "https://elpa.nongnu.org/nongnu/elpher-3.5.0.tar";
- sha256 = "10b4s3anbm4afs5i7rkv9qm5f5y9lzyj9dzajb1x654df4l0m4w4";
+ url = "https://elpa.nongnu.org/nongnu/elpher-3.5.1.tar";
+ sha256 = "0687npypihavghz9bjs8f6h10awjgjv5fdd11dmh43p1krhrga2w";
};
packageRequires = [ emacs ];
meta = {
@@ -798,6 +813,26 @@
license = lib.licenses.free;
};
}) {};
+ evil-escape = callPackage ({ cl-lib ? null
+ , elpaBuild
+ , emacs
+ , evil
+ , fetchurl
+ , lib }:
+ elpaBuild {
+ pname = "evil-escape";
+ ename = "evil-escape";
+ version = "3.16";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/evil-escape-3.16.tar";
+ sha256 = "14289axdn3splza66wdyyvfj1hqslmqxfr63zk480cqvrn0dkpvz";
+ };
+ packageRequires = [ cl-lib emacs evil ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/evil-escape.html";
+ license = lib.licenses.free;
+ };
+ }) {};
evil-exchange = callPackage ({ cl-lib ? null
, elpaBuild
, evil
@@ -890,10 +925,10 @@
elpaBuild {
pname = "evil-matchit";
ename = "evil-matchit";
- version = "3.0.2";
+ version = "3.0.4";
src = fetchurl {
- url = "https://elpa.nongnu.org/nongnu/evil-matchit-3.0.2.tar";
- sha256 = "02sim8hkclkp7lzj3hybjky75lyvf452wc7hmbkx1rjb3cx9j5m5";
+ url = "https://elpa.nongnu.org/nongnu/evil-matchit-3.0.4.tar";
+ sha256 = "1bc14r8cl0sd4ygj5didhzh74alzafc6rjk9fm4zgylkbcxal8nl";
};
packageRequires = [ emacs ];
meta = {
@@ -1029,6 +1064,21 @@
license = lib.licenses.free;
};
}) {};
+ flycheck = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "flycheck";
+ ename = "flycheck";
+ version = "34.1";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/flycheck-34.1.tar";
+ sha256 = "1yyvlhv45gvjmv1rja16j12gv2afiaf4r852mcw3l97h6f40h4x9";
+ };
+ packageRequires = [ emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/flycheck.html";
+ license = lib.licenses.free;
+ };
+ }) {};
flymake-guile = callPackage ({ elpaBuild
, emacs
, fetchurl
@@ -1148,10 +1198,10 @@
elpaBuild {
pname = "geiser";
ename = "geiser";
- version = "0.29.1";
+ version = "0.30";
src = fetchurl {
- url = "https://elpa.nongnu.org/nongnu/geiser-0.29.1.tar";
- sha256 = "0k9jvnaci23swyf3zy67f9msz205d9vdm90rgidnl81w7hg8gjm4";
+ url = "https://elpa.nongnu.org/nongnu/geiser-0.30.tar";
+ sha256 = "0bxrxgz49xqxzbipkpnilz9lxm1wmjzhf6imkz3hq49wfp3zdaw6";
};
packageRequires = [ emacs project ];
meta = {
@@ -1564,10 +1614,10 @@
elpaBuild {
pname = "helm";
ename = "helm";
- version = "3.9.5";
+ version = "3.9.7";
src = fetchurl {
- url = "https://elpa.nongnu.org/nongnu/helm-3.9.5.tar";
- sha256 = "1vsg1xflz5880h477s8hqrxinv4wdx12gdvs08zy7xbmypzwhrz1";
+ url = "https://elpa.nongnu.org/nongnu/helm-3.9.7.tar";
+ sha256 = "1xlaxxwv34a8lxijni4yi60g5g7mlpjq4d71p9hdcpqja7xq4igz";
};
packageRequires = [ helm-core popup wfnames ];
meta = {
@@ -1579,10 +1629,10 @@
elpaBuild {
pname = "helm-core";
ename = "helm-core";
- version = "3.9.5";
+ version = "3.9.7";
src = fetchurl {
- url = "https://elpa.nongnu.org/nongnu/helm-core-3.9.5.tar";
- sha256 = "1ixbbh0zcxnkl5ry5sswgh8nw8a2hrgkw9hqzznz9wiw1avs4g9c";
+ url = "https://elpa.nongnu.org/nongnu/helm-core-3.9.7.tar";
+ sha256 = "125fqnj2g61lsagiwwd8fpnrp2s7mxsdppj8cfp3m6c3984fmsx0";
};
packageRequires = [ async emacs ];
meta = {
@@ -1642,10 +1692,10 @@
elpaBuild {
pname = "htmlize";
ename = "htmlize";
- version = "1.57";
+ version = "1.56";
src = fetchurl {
- url = "https://elpa.nongnu.org/nongnu/htmlize-1.57.tar";
- sha256 = "1k4maqkcicvpl4yxkx6ha98x36ppcfdp2clcdg4fjx945yamx80s";
+ url = "https://elpa.nongnu.org/nongnu/htmlize-1.56.tar";
+ sha256 = "1xdy6lbqm75qlywbr08sbjfa20mphylswbjihk1iiblyj8gbp0p6";
};
packageRequires = [];
meta = {
@@ -1661,16 +1711,25 @@
, map
, persist
, plz
+ , taxy-magit-section
, transient }:
elpaBuild {
pname = "hyperdrive";
ename = "hyperdrive";
- version = "0.2";
+ version = "0.3";
src = fetchurl {
- url = "https://elpa.nongnu.org/nongnu/hyperdrive-0.2.tar";
- sha256 = "1cvsl7caprdms83mvhlv3crk4ylka1g0swg0klqld04hayzikq0z";
+ url = "https://elpa.nongnu.org/nongnu/hyperdrive-0.3.tar";
+ sha256 = "1q23xrq80wmh4l87rpzfp6gc671gkalvhidfichlapk507sll1y6";
};
- packageRequires = [ compat emacs map persist plz transient ];
+ packageRequires = [
+ compat
+ emacs
+ map
+ persist
+ plz
+ taxy-magit-section
+ transient
+ ];
meta = {
homepage = "https://elpa.gnu.org/packages/hyperdrive.html";
license = lib.licenses.free;
@@ -1749,10 +1808,10 @@
elpaBuild {
pname = "inf-ruby";
ename = "inf-ruby";
- version = "2.8.0";
+ version = "2.8.1";
src = fetchurl {
- url = "https://elpa.nongnu.org/nongnu/inf-ruby-2.8.0.tar";
- sha256 = "1ax2sab4rm5alg12n2k6bz1xwv0mi0jvxx5xmjzavjxl4x6qckxy";
+ url = "https://elpa.nongnu.org/nongnu/inf-ruby-2.8.1.tar";
+ sha256 = "0ghf6gaxyslkxfy8cyyglf8ldzyv9jqsyl6nz7iahfk930aiynpj";
};
packageRequires = [ emacs ];
meta = {
@@ -1854,10 +1913,10 @@
elpaBuild {
pname = "keycast";
ename = "keycast";
- version = "1.3.2";
+ version = "1.3.3";
src = fetchurl {
- url = "https://elpa.nongnu.org/nongnu/keycast-1.3.2.tar";
- sha256 = "0z8q1xxx02di0788kgc4xpvl43iyknkj57l9cwf6qq6hi032c9kj";
+ url = "https://elpa.nongnu.org/nongnu/keycast-1.3.3.tar";
+ sha256 = "0cvya8vbbmzly1hjf5jm3n9phml4wmwy2hn4igxp8f2pg1x46049";
};
packageRequires = [ compat emacs ];
meta = {
@@ -2046,10 +2105,10 @@
elpaBuild {
pname = "meow";
ename = "meow";
- version = "1.4.4";
+ version = "1.4.5";
src = fetchurl {
- url = "https://elpa.nongnu.org/nongnu/meow-1.4.4.tar";
- sha256 = "013nmc0jcvwfh6s1l59kld8393ld4sy5icbah9hzd0chj6l72mgh";
+ url = "https://elpa.nongnu.org/nongnu/meow-1.4.5.tar";
+ sha256 = "0r1rmhmwgxl7q2rvjf8byc0ass00k3m87sn6sw9chip5cgd5g6gm";
};
packageRequires = [ emacs ];
meta = {
@@ -2365,6 +2424,21 @@
license = lib.licenses.free;
};
}) {};
+ p4-16-mode = callPackage ({ elpaBuild, fetchurl, lib }:
+ elpaBuild {
+ pname = "p4-16-mode";
+ ename = "p4-16-mode";
+ version = "0.3";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/p4-16-mode-0.3.tar";
+ sha256 = "1jl8mcwpzry7lmfm486wjvvx76053pjp68p1abcrjvg4ppsmbj93";
+ };
+ packageRequires = [];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/p4-16-mode.html";
+ license = lib.licenses.free;
+ };
+ }) {};
package-lint = callPackage ({ cl-lib ? null
, compat
, elpaBuild
@@ -2435,10 +2509,10 @@
elpaBuild {
pname = "parseclj";
ename = "parseclj";
- version = "1.1.0";
+ version = "1.1.1";
src = fetchurl {
- url = "https://elpa.nongnu.org/nongnu/parseclj-1.1.0.tar";
- sha256 = "0h6fia59crqb1y83a04sjlhlpm6349s6c14zsiqsfi73m97dli6p";
+ url = "https://elpa.nongnu.org/nongnu/parseclj-1.1.1.tar";
+ sha256 = "14xczcd7vknsqkfwlk430kd6jz8fi51jwbjpzjqkymnixma32qd6";
};
packageRequires = [ emacs ];
meta = {
@@ -2450,10 +2524,10 @@
elpaBuild {
pname = "parseedn";
ename = "parseedn";
- version = "1.2.0";
+ version = "1.2.1";
src = fetchurl {
- url = "https://elpa.nongnu.org/nongnu/parseedn-1.2.0.tar";
- sha256 = "10y6mpjzxpmg029j0kysyxqqxdc82wwhkxh79sf8hz1ffks2ysmm";
+ url = "https://elpa.nongnu.org/nongnu/parseedn-1.2.1.tar";
+ sha256 = "039mmsmqbvvzqafhjx1grbg2620mjf6jvrj6g6mwxhy2ckll6bfg";
};
packageRequires = [ emacs map parseclj ];
meta = {
@@ -2515,10 +2589,10 @@
elpaBuild {
pname = "php-mode";
ename = "php-mode";
- version = "1.25.0";
+ version = "1.25.1";
src = fetchurl {
- url = "https://elpa.nongnu.org/nongnu/php-mode-1.25.0.tar";
- sha256 = "05n53risvyzgyqr0r7v7bgd267dh30wq266mmfhj2q37v1gkfjiv";
+ url = "https://elpa.nongnu.org/nongnu/php-mode-1.25.1.tar";
+ sha256 = "0b8svrjzc7lrbmwib1y1nbmn6pw3w289zqbfinjak9kvma2k7njv";
};
packageRequires = [ emacs ];
meta = {
@@ -2608,10 +2682,10 @@
elpaBuild {
pname = "racket-mode";
ename = "racket-mode";
- version = "1.0.20231115.104415";
+ version = "1.0.20240219.135847";
src = fetchurl {
- url = "https://elpa.nongnu.org/nongnu/racket-mode-1.0.20231115.104415.tar";
- sha256 = "01ihh66c20c2dv6apswgww8wxwn1ldqhpk70mfbgjipc9a7ykwws";
+ url = "https://elpa.nongnu.org/nongnu/racket-mode-1.0.20240219.135847.tar";
+ sha256 = "06g1ci7kq8fxjh65qwwnh530xvvh6pr9ha52f7xmbjf56iifn1da";
};
packageRequires = [ emacs ];
meta = {
@@ -2668,10 +2742,10 @@
elpaBuild {
pname = "reformatter";
ename = "reformatter";
- version = "0.7";
+ version = "0.8";
src = fetchurl {
- url = "https://elpa.nongnu.org/nongnu/reformatter-0.7.tar";
- sha256 = "17y61gqljc4r66dp3qbggvpgj8wacnhv7kq4pwkpbb1h5a5l5b6z";
+ url = "https://elpa.nongnu.org/nongnu/reformatter-0.8.tar";
+ sha256 = "0yr0h8f53gaxnfz0dvvs9b4jqwp6p0j8xvv01g76j0map0fxkcam";
};
packageRequires = [ emacs ];
meta = {
@@ -2758,16 +2832,16 @@
license = lib.licenses.free;
};
}) {};
- scad-mode = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
+ scad-mode = callPackage ({ compat, elpaBuild, emacs, fetchurl, lib }:
elpaBuild {
pname = "scad-mode";
ename = "scad-mode";
- version = "93.2";
+ version = "93.3";
src = fetchurl {
- url = "https://elpa.nongnu.org/nongnu/scad-mode-93.2.tar";
- sha256 = "0gp7ghmch5wkbby0avmlgj5kajiccbarjrx1szh9r3f3gi1ahawj";
+ url = "https://elpa.nongnu.org/nongnu/scad-mode-93.3.tar";
+ sha256 = "0gh2s0hv8i100xsq656vfxy3586162dv1bz9gcj4aha3kk4ar3vk";
};
- packageRequires = [ emacs ];
+ packageRequires = [ compat emacs ];
meta = {
homepage = "https://elpa.gnu.org/packages/scad-mode.html";
license = lib.licenses.free;
@@ -2848,16 +2922,16 @@
license = lib.licenses.free;
};
}) {};
- slime = callPackage ({ cl-lib ? null, elpaBuild, fetchurl, lib, macrostep }:
+ slime = callPackage ({ elpaBuild, emacs, fetchurl, lib, macrostep }:
elpaBuild {
pname = "slime";
ename = "slime";
- version = "2.26.1";
+ version = "2.29.1";
src = fetchurl {
- url = "https://elpa.nongnu.org/nongnu/slime-2.26.1.tar";
- sha256 = "0f7absmq0nnhhq0i8nfgn2862ydvwlqyzhcq4s6m91mn72d7dw5i";
+ url = "https://elpa.nongnu.org/nongnu/slime-2.29.1.tar";
+ sha256 = "1ad9m0a9rbn0gmpwdvnr1l7km833hqy43wp6q3cwv7azmj2sc1r2";
};
- packageRequires = [ cl-lib macrostep ];
+ packageRequires = [ emacs macrostep ];
meta = {
homepage = "https://elpa.gnu.org/packages/slime.html";
license = lib.licenses.free;
@@ -2987,10 +3061,10 @@
elpaBuild {
pname = "subed";
ename = "subed";
- version = "1.2.7";
+ version = "1.2.11";
src = fetchurl {
- url = "https://elpa.nongnu.org/nongnu/subed-1.2.7.tar";
- sha256 = "1rvc17pvig3ihc74d7i25kl3lnigp0h8lh634x0676hdx38h91ib";
+ url = "https://elpa.nongnu.org/nongnu/subed-1.2.11.tar";
+ sha256 = "1dlh7vd8kc16wr9sqd3v7kkxfvqadi56pa52h35b86krndh4vazp";
};
packageRequires = [ emacs ];
meta = {
@@ -3002,10 +3076,10 @@
elpaBuild {
pname = "sweeprolog";
ename = "sweeprolog";
- version = "0.27.0";
+ version = "0.27.4";
src = fetchurl {
- url = "https://elpa.nongnu.org/nongnu/sweeprolog-0.27.0.tar";
- sha256 = "1r0qspi9qdnsa4gm9bmxzsjyalqi14jhjx96jqw725pmhvjy9933";
+ url = "https://elpa.nongnu.org/nongnu/sweeprolog-0.27.4.tar";
+ sha256 = "05hky1103xays0jflyls4zxj3mbmq7ipkdhaasd868gjbky4kryf";
};
packageRequires = [ compat emacs ];
meta = {
@@ -3169,6 +3243,21 @@
license = lib.licenses.free;
};
}) {};
+ totp-auth = callPackage ({ base32, elpaBuild, emacs, fetchurl, lib }:
+ elpaBuild {
+ pname = "totp-auth";
+ ename = "totp-auth";
+ version = "1.0";
+ src = fetchurl {
+ url = "https://elpa.nongnu.org/nongnu/totp-auth-1.0.tar";
+ sha256 = "0j5rr026n57crizrw4q4yi7q6psdw5qzfcby4slkrlz4yg58mpk3";
+ };
+ packageRequires = [ base32 emacs ];
+ meta = {
+ homepage = "https://elpa.gnu.org/packages/totp-auth.html";
+ license = lib.licenses.free;
+ };
+ }) {};
treeview = callPackage ({ elpaBuild, emacs, fetchurl, lib }:
elpaBuild {
pname = "treeview";
@@ -3293,10 +3382,10 @@
elpaBuild {
pname = "visual-fill-column";
ename = "visual-fill-column";
- version = "2.5.1";
+ version = "2.6.0";
src = fetchurl {
- url = "https://elpa.nongnu.org/nongnu/visual-fill-column-2.5.1.tar";
- sha256 = "1q2cimrcr4knh716cdnhs8nspk08w8x7bsbhx69s9hpzgr7mjq58";
+ url = "https://elpa.nongnu.org/nongnu/visual-fill-column-2.6.0.tar";
+ sha256 = "1gpjby6g9wq8p25q1a35hr56nfb4sbcdrf0bjxidh1diw5g5saw4";
};
packageRequires = [ emacs ];
meta = {
@@ -3308,10 +3397,10 @@
elpaBuild {
pname = "web-mode";
ename = "web-mode";
- version = "17.3.15";
+ version = "17.3.18";
src = fetchurl {
- url = "https://elpa.nongnu.org/nongnu/web-mode-17.3.15.tar";
- sha256 = "028p034793pkkwgaqgc3zw23ji39ss5gma5g8fhml6v8pc4ri2w8";
+ url = "https://elpa.nongnu.org/nongnu/web-mode-17.3.18.tar";
+ sha256 = "18ylzq12gsayp3cmd8qjdqsnyiymjd95ffqs3xcyva6sl8d41hmy";
};
packageRequires = [ emacs ];
meta = {
@@ -3471,10 +3560,10 @@
elpaBuild {
pname = "xah-fly-keys";
ename = "xah-fly-keys";
- version = "24.18.20231115084756";
+ version = "24.21.20240220095736";
src = fetchurl {
- url = "https://elpa.nongnu.org/nongnu/xah-fly-keys-24.18.20231115084756.tar";
- sha256 = "1vj8l4g4hpdvs1yvgkcy79vbf2ibhwxfgcrg1mj26qj3f9naf25s";
+ url = "https://elpa.nongnu.org/nongnu/xah-fly-keys-24.21.20240220095736.tar";
+ sha256 = "04ra1m9mwhz3zh0776gbzfn4kn0yxgbfbh1hq78r2zxggvpjfikv";
};
packageRequires = [ emacs ];
meta = {
diff --git a/pkgs/applications/editors/emacs/elisp-packages/recipes-archive-melpa.json b/pkgs/applications/editors/emacs/elisp-packages/recipes-archive-melpa.json
index eb98b6176fea..016ccf1dfc43 100644
--- a/pkgs/applications/editors/emacs/elisp-packages/recipes-archive-melpa.json
+++ b/pkgs/applications/editors/emacs/elisp-packages/recipes-archive-melpa.json
@@ -135,11 +135,11 @@
"repo": "skeeto/at-el",
"unstable": {
"version": [
- 20181225,
- 1438
+ 20240121,
+ 1413
],
- "commit": "0a6189f8be42dbbc5d9358cbd447d471236135a2",
- "sha256": "11s46n3j6ij0ynxwl35wxbzg97pkmnhxh43l5vvaz9kizf6mhpbj"
+ "commit": "a6ba21d9eb9b311b441312057430d10e5c7bc661",
+ "sha256": "1k84r20i7yhrjdvv3rhj6fzl6zhffykkyrd7yhqy1rmmvl1a78yv"
}
},
{
@@ -1082,8 +1082,8 @@
"repo": "xcwen/ac-php",
"unstable": {
"version": [
- 20230925,
- 801
+ 20240222,
+ 320
],
"deps": [
"dash",
@@ -1093,8 +1093,8 @@
"s",
"xcscope"
],
- "commit": "586f4e8a46aac9236cb5af57c3f70a9878375702",
- "sha256": "0jwhg52z448f4f18k32arq80hbdj3j9vshp42b4sr9v1mixv6vfc"
+ "commit": "d4378a857aa1c60b34d86557aa10238785d1ac66",
+ "sha256": "1xz86cjsjm72b0rm50fr2z82rcllhczsd4jr5rnp2clbind5w697"
},
"stable": {
"version": [
@@ -1278,14 +1278,14 @@
"repo": "eliascotto/accent",
"unstable": {
"version": [
- 20220202,
- 1312
+ 20240130,
+ 1109
],
"deps": [
"popup"
],
- "commit": "fba79570247411804af34e8b4bedad73ee75fac0",
- "sha256": "12vwqx3d2gib2mz00i5ghmh76g55a9bcvrl6fmbjczm3cal68bp0"
+ "commit": "9b02a73f3a73cc4aef73c1f2c54a2b6168b0d301",
+ "sha256": "1hdyhrjgvmdzj4yiwz9bl37a2smrak40a5a1cmqlm9328lvhsam8"
}
},
{
@@ -1696,21 +1696,21 @@
"repo": "pauldub/activity-watch-mode",
"unstable": {
"version": [
- 20230731,
- 802
+ 20240223,
+ 803
],
"deps": [
"cl-lib",
"json",
"request"
],
- "commit": "63eb19a10e4262c529f1b205ef61d69055350f49",
- "sha256": "10p9mpnbq1xrwhxy54idiz4vjy2kflm0ymqpidnh0sr5dcnap867"
+ "commit": "0189963cb60a0efdbb1cfd17641c06d16a74a974",
+ "sha256": "0k7ksh1d7cx5x64fbjakhxz3ab35m33sxf1dzmgymvw0xzdp9hs8"
},
"stable": {
"version": [
1,
- 4,
+ 5,
0
],
"deps": [
@@ -1718,8 +1718,8 @@
"json",
"request"
],
- "commit": "89902927023781e23f09d033a780fbed546c53e1",
- "sha256": "1y0k282nsn6y18ai8vky3yy78ay2a6lgv5lhrmh0xl0r8hydv21g"
+ "commit": "0189963cb60a0efdbb1cfd17641c06d16a74a974",
+ "sha256": "0k7ksh1d7cx5x64fbjakhxz3ab35m33sxf1dzmgymvw0xzdp9hs8"
}
},
{
@@ -1730,11 +1730,11 @@
"repo": "brownts/ada-ts-mode",
"unstable": {
"version": [
- 20231015,
- 1449
+ 20240205,
+ 38
],
- "commit": "6aa772c4cbb08b84e1c6712c98517e4afa495e23",
- "sha256": "1ff08pkirkwxcgsw4kfca5h5x7mjz3z2j3nkkz5an36awi3v35is"
+ "commit": "f8df38fce4dba715f5e2252b047f9174e82f9cac",
+ "sha256": "0wyczjsszsn9yvchp5lihh1a7z3ax59syq1ckqg806nj1hvkfz31"
}
},
{
@@ -1852,11 +1852,11 @@
"repo": "louabill/ado-mode",
"unstable": {
"version": [
- 20221023,
- 1422
+ 20240103,
+ 1856
],
- "commit": "5610074e29ce08631c5210f1873938c3bcd9cbde",
- "sha256": "17dlhrb74gf4bwjwvzca454ljqxhmfschv7zbgya0r1vax9vbb2i"
+ "commit": "dc63bf47819b3e1f2656ec5c78b2fe57244e9c0d",
+ "sha256": "0j4l2izi0dgbafscsvs2hdpa008dcf0answmy6rdxjad4c0wrpd3"
},
"stable": {
"version": [
@@ -1876,11 +1876,11 @@
"repo": "bbatsov/adoc-mode",
"unstable": {
"version": [
- 20230905,
- 810
+ 20240218,
+ 1035
],
- "commit": "d2af113cea92e371f8265230b7f840a285a781a8",
- "sha256": "0qx7yzfw9mkslxm6l1jsaaaj76qli5rc9dskklba2ychjxc5viya"
+ "commit": "2c2eb8043623aa99d35aacbad2ee39188bf1bad3",
+ "sha256": "0ahvb703hgar9lm2zcikmjc5yqdg4lvw0f314isbww3479dlm5l2"
},
"stable": {
"version": [
@@ -1900,20 +1900,20 @@
"repo": "jessieh/adwaita-dark-theme",
"unstable": {
"version": [
- 20231107,
- 1726
+ 20231209,
+ 1033
],
- "commit": "a97d5d5f5657a52c3b4c4b146b4d307dfb1a7a74",
- "sha256": "0g8fjqmz805fhqspbzmpvb90kpzwigl4z56bkykywgy2cwb9ljzh"
+ "commit": "04fed0ef795bfe2482998c5b6f87c37c13fe8c50",
+ "sha256": "0klzdgicxfsfwg66a5lnlsfv4mpli6fikplk3qldpwz21652xsz9"
},
"stable": {
"version": [
1,
- 1,
+ 3,
0
],
- "commit": "40a375c8b86b58dddcd95e8dc654f127a6a3b910",
- "sha256": "1gasg7dqz7vg544bnfnfzdfq50xq7jqwcigkmg2a6n8cm9wrjlh0"
+ "commit": "0907961986a582ded514d54a8bfdf691f7bfaf86",
+ "sha256": "0klzdgicxfsfwg66a5lnlsfv4mpli6fikplk3qldpwz21652xsz9"
}
},
{
@@ -1947,33 +1947,33 @@
"repo": "minad/affe",
"unstable": {
"version": [
- 20230411,
- 441
+ 20240102,
+ 331
],
"deps": [
"consult"
],
- "commit": "ae3169ac4bbd64520d165b4ce4806b7a34b972dc",
- "sha256": "12h3ydjg294iqb255za703inix182qbjhf8vrky02fpry66ba000"
+ "commit": "1fd5732afa5d68b120fd2e949702b1abde0466d7",
+ "sha256": "16xi584ls9ll7x3y63hwqp2gv3j0b4dgy40xsnjkmzifp5xl0npr"
},
"stable": {
"version": [
0,
- 7
+ 8
],
"deps": [
"consult"
],
- "commit": "9e1524c1ad71c0f57b5a33ae0874dce70994b282",
- "sha256": "0qhvgb394ycm12h480f59a19d5l41b8md8npnbw3i6lybirnq7vr"
+ "commit": "caec8551df2ce09868af5147ef33c065f81ff4b5",
+ "sha256": "0pzx0az6nk1ws5kgnaxkmm270lyw6m10986gigp45fxic35fn8lz"
}
},
{
"ename": "afternoon-theme",
- "commit": "583256b7fa48501c8bfad305d76d2e16b6441539",
- "sha256": "13xgdw8px58sxpl7nyhkcdxwqdpp13i8wghvlb3l4471plw3vqgj",
+ "commit": "a5676fa2b3228cb56e38449c4573afdc37f3994b",
+ "sha256": "0lb7qia4fqdz9jbklx4jiy4820dmblmbg7qpnww0pkqrc0nychh3",
"fetcher": "github",
- "repo": "osener/emacs-afternoon-theme",
+ "repo": "ozanmakes/emacs-afternoon-theme",
"unstable": {
"version": [
20140104,
@@ -2091,14 +2091,14 @@
"repo": "davidshepherd7/aggressive-fill-paragraph-mode",
"unstable": {
"version": [
- 20180910,
- 816
+ 20240213,
+ 2320
],
"deps": [
"dash"
],
- "commit": "39eb7ac73976d4d4044ef3d750c3ade967d036e1",
- "sha256": "1ly79z9aqy3b2wq11ifvvkls9qqbpkbb8hj7nsvpq59vqa9fknli"
+ "commit": "60e4eb5c57d4408e811d12c6b6491b8c89dfa695",
+ "sha256": "1ypygk98qxnznvjp68vj0hg2546kzvznrbdshnvz57sxyxv47vyk"
}
},
{
@@ -2353,11 +2353,11 @@
"repo": "wlemuel/alarm-clock",
"unstable": {
"version": [
- 20230711,
- 2139
+ 20240114,
+ 344
],
- "commit": "93affc8a66e0b62e55635ac4a7373a1b6659825e",
- "sha256": "00xl3ny0dn7mw21shsx83fhmr667zrxvnhp3w0rg22vdj39lq5wp"
+ "commit": "f924d0e75eb7ce29055bdc1a1e644bb1dcabda09",
+ "sha256": "1bw9n7gc44aamy0vfivracpncalxrcxl3whgcsmq0iajbnpx0j12"
},
"stable": {
"version": [
@@ -2609,11 +2609,11 @@
"repo": "domtronn/all-the-icons.el",
"unstable": {
"version": [
- 20230909,
- 2053
+ 20240108,
+ 559
],
- "commit": "be9d5dcda9c892e8ca1535e288620eec075eb0be",
- "sha256": "0chj0lmbmi3sig30mif99zmvwpfwwsxlchp8kznwax4wc3rks7di"
+ "commit": "ee414384938ccf2ce93c77d717b85dc5538a257d",
+ "sha256": "18gk71fsh99m6hafc85nwg7rx416d5pnnjyk506i15nnwywsbbqd"
},
"stable": {
"version": [
@@ -2633,14 +2633,14 @@
"repo": "iyefrat/all-the-icons-completion",
"unstable": {
"version": [
- 20230617,
- 1206
+ 20240128,
+ 2048
],
"deps": [
"all-the-icons"
],
- "commit": "8eb3e410d63f5d0657b41829e7898793e81f31c0",
- "sha256": "1iyn7dyxil07gsa19hngpbapzmbhcwrplvf2cg1gkywafcdhjw6w"
+ "commit": "4c8bcad8033f5d0868ce82ea3807c6cd46c4a198",
+ "sha256": "1xvfk7j19mllk5jasfsvz9ra5ibiqicwc1cqqqng1imdbhq78x66"
},
"stable": {
"version": [
@@ -2662,14 +2662,14 @@
"repo": "wyuenho/all-the-icons-dired",
"unstable": {
"version": [
- 20231007,
- 2324
+ 20231207,
+ 1324
],
"deps": [
"all-the-icons"
],
- "commit": "b3dd838e93325145bb55cec69d8b0a2b6f1bc348",
- "sha256": "1igikh0nlzxh4lkcgmi9smvw2lqad2zxmbss6hd69m01h7mqg6k7"
+ "commit": "e157f0668f22ed586aebe0a2c0186ab07702986c",
+ "sha256": "11wkrfn4hcyparfimm6l1daysp1gnb0dj41f7j980axbpa3nzg6v"
},
"stable": {
"version": [
@@ -2804,15 +2804,15 @@
"repo": "mohkale/all-the-icons-nerd-fonts",
"unstable": {
"version": [
- 20231022,
- 1956
+ 20240210,
+ 1127
],
"deps": [
"all-the-icons",
"nerd-icons"
],
- "commit": "9ac476b1a82199cfa770f214b6d53776cd276bd9",
- "sha256": "0f76l7g1gwji3wy3gxir989b8p3lmfn9q5m5p76p0qfvi9c8gz62"
+ "commit": "67a9cc9de2d2d4516cbfb752879b1355234cb42a",
+ "sha256": "00klvdalj8051axxg50dq4wdbzzrfr7ayzq8a6zzpnbhzlq7j2f6"
}
},
{
@@ -2862,11 +2862,11 @@
"repo": "jcs-elpa/alt-codes",
"unstable": {
"version": [
- 20220704,
- 644
+ 20240101,
+ 927
],
- "commit": "36dbcbeb69525cd21caeb4c267421b69fa2fffcb",
- "sha256": "0428mg8wyz33p3c0jh9cvi11w44r0f5pqlj01r21pc1lcw85v5dz"
+ "commit": "47072beb416aa9d7d702230b1aff87436c81bd22",
+ "sha256": "1w8df7f0k6flmhk632qg7w0cxj5jn5kshmh66nw8z1sv31fd57pj"
},
"stable": {
"version": [
@@ -3016,11 +3016,11 @@
"repo": "franburstall/amsreftex",
"unstable": {
"version": [
- 20220115,
- 1838
+ 20240210,
+ 1109
],
- "commit": "facf47b82572e3f62bd8d9b8d4f4d5258f6c8a38",
- "sha256": "17g68m2vdvyqvf7rfyhpymafbpd91pc5m4vf5b7369qllngh6g8c"
+ "commit": "d64705a7a252be2554b717a38252d8999b0c1504",
+ "sha256": "1q9d93v9zxcs8yngl5liqq3gngl04lnjnka34x8iqbknrl55mzd0"
}
},
{
@@ -3060,8 +3060,8 @@
"repo": "pythonic-emacs/anaconda-mode",
"unstable": {
"version": [
- 20230821,
- 2131
+ 20231123,
+ 1806
],
"deps": [
"dash",
@@ -3069,14 +3069,14 @@
"pythonic",
"s"
],
- "commit": "9dbd65b034cef519c01f63703399ae59651f85ca",
- "sha256": "14h0437i46hacnqi82rvc8bq3lgchhznq9ab5l49mmizq5fv25wq"
+ "commit": "92a6295622df7fae563d6b599e2dc8640e940ddf",
+ "sha256": "0x5srah4w3vrn5wqzfy02dfxmxyi0hfvlk7nq3h2dshz6q6x7b9z"
},
"stable": {
"version": [
0,
1,
- 15
+ 16
],
"deps": [
"dash",
@@ -3084,8 +3084,8 @@
"pythonic",
"s"
],
- "commit": "cbea0fb3182321d34ff93981c5a59f8dd72d82a5",
- "sha256": "0ajmqa60avwmlx9c63rirfb5mjqhbcxf2x15mnxr6a1rlzcylxg6"
+ "commit": "92a6295622df7fae563d6b599e2dc8640e940ddf",
+ "sha256": "0x5srah4w3vrn5wqzfy02dfxmxyi0hfvlk7nq3h2dshz6q6x7b9z"
}
},
{
@@ -3122,11 +3122,11 @@
"repo": "rolandwalker/anaphora",
"unstable": {
"version": [
- 20180618,
- 2200
+ 20240120,
+ 1744
],
- "commit": "3b2da3f759b244975852e79721c4a2dbad3905cf",
- "sha256": "11fgiy029sqz7nvdm7dcal95lacryz9zql0x5h05z48nrrcl4bib"
+ "commit": "a755afa7db7f3fa515f8dd2c0518113be0b027f6",
+ "sha256": "1mmil5ckb623wxxmvw1cfi1fycxiz7aalfjm768h7wc73xfa7ks4"
},
"stable": {
"version": [
@@ -3448,10 +3448,11 @@
"version": [
2,
6,
- 4
+ 4,
+ 1
],
- "commit": "f42acb696e43d382639f04f869e9a99ab36a91c6",
- "sha256": "0n4avd58j45rdcmnwgrmz5s0ril0z4n2z711mwwbahl50f7359ky"
+ "commit": "4293e0a94d15acac915ab9088b2ec028f78d14a9",
+ "sha256": "00yfwwqv4dyka5yg4m9w5dfd9ajn5z51lg7dakj6d03lay76dhh7"
}
},
{
@@ -3520,15 +3521,15 @@
"repo": "k1LoW/emacs-ansible",
"unstable": {
"version": [
- 20220114,
- 45
+ 20240212,
+ 325
],
"deps": [
"f",
"s"
],
- "commit": "d89ac0ee57742cca0f0e0a3453d9dcc521575690",
- "sha256": "1n38cvdpp2d00vl7ky4qf820rylffkapa3d9s4pwjw6lj55f00ak"
+ "commit": "1d7de8d3ddac980715eebd87ee66859f8665b101",
+ "sha256": "1indmjrk3gwvxpigmn8lkdgnb841k2n8s2q4dsl8vppy4hfrl7aa"
},
"stable": {
"version": [
@@ -3716,11 +3717,11 @@
"repo": "emacsorphanage/anzu",
"unstable": {
"version": [
- 20211002,
- 2255
+ 20240202,
+ 447
],
- "commit": "14e4483a5e708097870b22ac56ea693ec1d893bf",
- "sha256": "0hs4j68vdzzfm22xqar5qp96l6fr6n9ym00kpvdgy7gjq37swcms"
+ "commit": "26fb50b429ee968eb944b0615dd0aed1dd66172c",
+ "sha256": "0jwi5lc7gai3cbyfidi1pq9w4vmbph9ynm643nsy7if4qx3l9byp"
},
"stable": {
"version": [
@@ -3817,19 +3818,19 @@
"repo": "radian-software/apheleia",
"unstable": {
"version": [
- 20231112,
- 32
+ 20240209,
+ 156
],
- "commit": "ddea9bea708ce029cea6126b5be8e9f8979c58b3",
- "sha256": "05lg9qych2x31cw8clfngrhzqgs858kcawnk07pyp45563pm0zjl"
+ "commit": "c07e90793c839d1973a0820d24e03a0a6b30b77e",
+ "sha256": "16jqm67yi776akshbyvflfdsis4c6zl18rmcza1s11wvfnd7z609"
},
"stable": {
"version": [
- 3,
- 2
+ 4,
+ 0
],
- "commit": "ffa8d5865118bb33299a429e9c25577a79410542",
- "sha256": "0rcga3nq1ly5xg61zv3jxgqi0krxk86c24wcrij4vzidhn0s9ncn"
+ "commit": "731edd2954ae213548ec4459c7db6d5db9e251b8",
+ "sha256": "0afv75w028v59qf777nrf57xj9yaz3jj2bixfmkgiqrn1wii9pm6"
}
},
{
@@ -3892,11 +3893,11 @@
"repo": "alexmurray/apparmor-mode",
"unstable": {
"version": [
- 20230912,
- 248
+ 20240211,
+ 2243
],
- "commit": "3cdf282889aed371a708afeb275cf522a41541eb",
- "sha256": "0lbpqyj9i5ghz83ari74pgs1nbsww8nrh7f7w79g0drpqj1cyx4s"
+ "commit": "05a67cba7508cf63bed22763a8949e55a7bf6786",
+ "sha256": "0644zfmrhlfrrgy5z21bp95885spcfxi93lv77gz070zzxk4ciq7"
}
},
{
@@ -4259,26 +4260,26 @@
"repo": "fizban007/arxiv-mode",
"unstable": {
"version": [
- 20230121,
- 842
+ 20240111,
+ 2203
],
"deps": [
"hydra"
],
- "commit": "06000ceb5a12b0f95bc7e89de03685f7ada4e8c3",
- "sha256": "0dwgcwm0k4pbzbi2ab9607yxn41riqjpixy8fm2yalqh9l0sbasv"
+ "commit": "f629ec64f8bbac0cadb472c6741f8f33d49e9160",
+ "sha256": "0dbsi5343zw7dn6qq8226iamb12nma8k9lqbvd9qlhq8k62pswas"
},
"stable": {
"version": [
0,
- 3,
- 1
+ 4,
+ 0
],
"deps": [
"hydra"
],
- "commit": "f550583d2da8bd9600bd26bb4028fe22a9744da2",
- "sha256": "1hrrpll7yhqdf4jin28dnbv2a1yccn0f1a4hmay7f8yxmp42r1ln"
+ "commit": "f629ec64f8bbac0cadb472c6741f8f33d49e9160",
+ "sha256": "0dbsi5343zw7dn6qq8226iamb12nma8k9lqbvd9qlhq8k62pswas"
}
},
{
@@ -4289,11 +4290,11 @@
"repo": "lassik/emacs-ascii-table",
"unstable": {
"version": [
- 20221230,
- 1244
+ 20231215,
+ 1527
],
- "commit": "c71f54b85edc6bd42abdc79dd82248958c8a24f9",
- "sha256": "1hifgyadzsp4xl0w5fpsfshnf5605i475nbgaf43f3j0mx5q1lgx"
+ "commit": "dc3c91feff6282303b66816bdcee9e031558ff77",
+ "sha256": "1bigikx3q3vgj8y8bqp19yxdmgwkn0rmccyx88sjl0azsg4il5zk"
}
},
{
@@ -4334,14 +4335,14 @@
"repo": "zkry/asm-blox",
"unstable": {
"version": [
- 20221220,
- 1716
+ 20240106,
+ 1930
],
"deps": [
"yaml"
],
- "commit": "5517efb1e186139197a2d348b7339a72dd379af8",
- "sha256": "0f4nymkxk2x3jvkxfbgp5v8ap38j9sz1g6vnw6lrpvbgrdzazx6g"
+ "commit": "6731d8e4f78d0b43ec9b90d8184c1d86d725ac7c",
+ "sha256": "1mfyipirzdx06jwb8a2xwdq0vf10cvqcspf2fxda24l8ih09lfc4"
},
"stable": {
"version": [
@@ -4382,14 +4383,14 @@
"repo": "phillord/assess",
"unstable": {
"version": [
- 20220719,
- 1904
+ 20231120,
+ 1701
],
"deps": [
"m-buffer"
],
- "commit": "44083d94feb45d3636f7ee6c55e0ef6bbb32b938",
- "sha256": "1mgx6sism6l1mwmz81fq6wcxkxlbyn4k6p8nl0v8xc1zsh2mn8m0"
+ "commit": "2399936d5b75094ed0e131b3f9d48041005383e2",
+ "sha256": "1a1c6f4d8mbygrl20kxlvrjcgvvbzv4zr7hhqkzywvnazf2kvj16"
},
"stable": {
"version": [
@@ -4468,20 +4469,20 @@
"repo": "jwiegley/emacs-async",
"unstable": {
"version": [
- 20231105,
- 1713
+ 20240108,
+ 1526
],
- "commit": "3bade0e92e1ee8e716c5db14bc8315b17299f138",
- "sha256": "13b88shazsi7crn8cpb3f0k4vzai45srymwriq14axmq48i8z33m"
+ "commit": "d040f72cb0be5265d50ac541ddb09ebbc68b7908",
+ "sha256": "10lvsnvvs56l8n5f40hmqk7nwpf7d39az82mf2q7phpph7icgry2"
},
"stable": {
"version": [
1,
9,
- 7
+ 8
],
- "commit": "53addd02fbad33765f508f8e6254ebd44e1f81e5",
- "sha256": "18pysi1pf6hbv6w0nq50j5xclvgd006iqqijh44wck9hxhdwyfr1"
+ "commit": "00e07e060218fbd1e16faaf10116c03b12e62580",
+ "sha256": "191bjmwg5bgih1322n4q4i2jxx7aa3cb9lx0ymkwc3r2bdhkn0lp"
}
},
{
@@ -4576,26 +4577,19 @@
"repo": "meedstrom/asyncloop",
"unstable": {
"version": [
- 20231115,
- 2156
+ 20240219,
+ 1742
],
- "deps": [
- "named-timer"
- ],
- "commit": "e988dac99390b2cfb889c8610c7f8f1f15708ecc",
- "sha256": "0zlaypbr9v02ivvcjqizg52wvapzhgbc0kb1amx270pwzfzhp3zq"
+ "commit": "8bc72f51c52c108ece0655625dedc10b9fe1cb8d",
+ "sha256": "05cyjjkwl9s3sqg9a3h9xcxhp4r30d5srz93knkwi48namb1nncg"
},
"stable": {
"version": [
- 0,
- 4,
- 5
+ 1,
+ 1
],
- "deps": [
- "named-timer"
- ],
- "commit": "e988dac99390b2cfb889c8610c7f8f1f15708ecc",
- "sha256": "0zlaypbr9v02ivvcjqizg52wvapzhgbc0kb1amx270pwzfzhp3zq"
+ "commit": "8bc72f51c52c108ece0655625dedc10b9fe1cb8d",
+ "sha256": "05cyjjkwl9s3sqg9a3h9xcxhp4r30d5srz93knkwi48namb1nncg"
}
},
{
@@ -4638,11 +4632,11 @@
"repo": "jcs-elpa/atl-long-lines",
"unstable": {
"version": [
- 20220704,
- 644
+ 20240101,
+ 929
],
- "commit": "4b4abd242e9a8203dc70130b4ca65f809bd41ab7",
- "sha256": "055rsg4p0hdl02i82qzxx90apzgbw42bsv75y8brn5g638bmcp8i"
+ "commit": "82cdd4edefba2d5b1d491bf3fcc487385819d713",
+ "sha256": "0qk0p4ns89xzgd1fmp09qij7m6d458skja0c9g2xpr683qynwqhs"
},
"stable": {
"version": [
@@ -4662,11 +4656,11 @@
"repo": "jcs-elpa/atl-markup",
"unstable": {
"version": [
- 20220704,
- 644
+ 20240101,
+ 933
],
- "commit": "8604cd6b0a2473595988d47f41623d2cd06d0a7b",
- "sha256": "09lyx4vxm7lyqrfclcb9qhlf5x8v1vy3ar4syrmpps5572gxqa6l"
+ "commit": "b616343ffe17060d521b214b8e90f5da1e880934",
+ "sha256": "08vvihah0c0fqa2iw5zjdcliqjzrhrgb24vqxhxkyslakjp7cl7y"
},
"stable": {
"version": [
@@ -4998,15 +4992,15 @@
"repo": "emacs-grammarly/auth-source-keytar",
"unstable": {
"version": [
- 20221231,
- 1654
+ 20240101,
+ 846
],
"deps": [
"keytar",
"s"
],
- "commit": "8fcd935ee131174a1ed7f132614257411ed441bc",
- "sha256": "1xlg9zm59dymnwqqs4rcn5xiwvvz8345gcnbr7c2x3zxc75vkn1x"
+ "commit": "6c3389a30a0d998857ff6861b4c05bce5a07be82",
+ "sha256": "0jj8fiqdh5cp2dsvirwq9ll50dmz8hx4m288n7rqmfhgv6hj0wnb"
},
"stable": {
"version": [
@@ -5111,11 +5105,11 @@
"repo": "emacscollective/auto-compile",
"unstable": {
"version": [
- 20230901,
- 1232
+ 20240101,
+ 2210
],
- "commit": "945f4ebf40e409688d58f003542b275eab6f83c5",
- "sha256": "0fldbkilsv0wmqzc1wk6xzyh3m9gd2vmql5arbd5a9l475xl0ayw"
+ "commit": "c4a267daf97dfc42472ea7e99fae3d7dbb6ac812",
+ "sha256": "0hvhvx48vhdpkzlj79l02ingshha4h1dkfxx5a2sl9gndybyfgxq"
},
"stable": {
"version": [
@@ -5135,15 +5129,15 @@
"repo": "auto-complete/auto-complete",
"unstable": {
"version": [
- 20230927,
- 1851
+ 20240101,
+ 831
],
"deps": [
"cl-lib",
"popup"
],
- "commit": "56c178b07185e37c699fd27393c447bca88076a7",
- "sha256": "0h0fqr3bi0v3wrd8wzhvi4rkdz44v2k976q0353l3x8yk34mcc2l"
+ "commit": "2afcea4bb7ba4e9573a7ad888dc6ab7bca5f7b03",
+ "sha256": "1i4nh340xx5waspgp5k5rcmjfcm55n2fjj6i6bxfidz7yj9sxmda"
},
"stable": {
"version": [
@@ -5161,10 +5155,10 @@
},
{
"ename": "auto-complete-auctex",
- "commit": "77167fb2e84bed32ace9490c1ed4148719e4cf8e",
- "sha256": "00npvryds5wd3d5a13r9prlvw6vvjlag8d32x5xf9bfmmvs0fgqh",
+ "commit": "adb5e5debfb2f700ba1747a21f3782db7bc0d8a6",
+ "sha256": "1gznsjkjkar2la5yhnq6sg8yaw8p1bw9n9jzxm1zgz9n09kng20c",
"fetcher": "github",
- "repo": "monsanto/auto-complete-auctex",
+ "repo": "emacsattic/auto-complete-auctex",
"unstable": {
"version": [
20140223,
@@ -5426,11 +5420,11 @@
"repo": "LionyxML/auto-dark-emacs",
"unstable": {
"version": [
- 20231014,
- 453
+ 20240212,
+ 1446
],
- "commit": "65cc6337de9030ea7cae4661389019b9443543a2",
- "sha256": "0rvl40xqlf1b2n5nfhzbd0b0r0gp41m5w98sx0wvhp68brxpvx0g"
+ "commit": "39f104ee67d6561bd8a9649f0f09dcc60db25627",
+ "sha256": "02ppd0zmw2lsjixq158kq55a1d3jvaya6amf1m7yglbsn48bxd9r"
}
},
{
@@ -5479,14 +5473,14 @@
"repo": "elp-revive/auto-highlight-symbol",
"unstable": {
"version": [
- 20231027,
- 715
+ 20240101,
+ 905
],
"deps": [
"ht"
],
- "commit": "1c79083b9b6af70b0eba3d4c00cafafeb6154845",
- "sha256": "1szb4namrl0415adji9042cxbh28kw8kxk756b3z45y18bscns14"
+ "commit": "6194af718b758e10a0401104760b9d9cf96735db",
+ "sha256": "17rnhmnwmb5lrm8z8gps8sh8ni3c94ixwks09pfqvsj0mlvgiswc"
},
"stable": {
"version": [
@@ -5626,17 +5620,17 @@
},
{
"ename": "auto-rename-tag",
- "commit": "cdd1f8002636bf02c7a3d3d0a075758972eaf228",
- "sha256": "05ln7dj57f3rjhmvbwy6fpn71ln4fw94qiw120qby199da1an28f",
+ "commit": "597ed5b551563774ea8850809fc4cc0bea88af40",
+ "sha256": "0gzdml0mcnyvb16a092h9m1gm7d08kkjdh01cls2y0ld0vv1cn03",
"fetcher": "github",
- "repo": "jcs-elpa/auto-rename-tag",
+ "repo": "emacs-vs/auto-rename-tag",
"unstable": {
"version": [
- 20220704,
- 639
+ 20240120,
+ 1011
],
- "commit": "58ef595757350f4d8c1cea30d6902b26afaf8743",
- "sha256": "0ks9nq6jhnrwywin90cr30pv2kjggx9h2vj59na0yj96km6jkmai"
+ "commit": "288c708e5c88113a5c8c5c44361f1d3c3e334a2e",
+ "sha256": "0ncq3m2za8i31kfvsjhaijbk0fp1ql2kzgdsg8mzlkihgcwx1cvy"
},
"stable": {
"version": [
@@ -5757,16 +5751,16 @@
"repo": "marcwebbie/auto-virtualenv",
"unstable": {
"version": [
- 20220712,
- 1612
+ 20240115,
+ 1548
],
"deps": [
"cl-lib",
"pyvenv",
"s"
],
- "commit": "1e58a878984dbd2c91b4f128ded03fd954336907",
- "sha256": "0jabvb248kximx25md2an0524zdq0r1kgyy36zdwmhln2npk1ycn"
+ "commit": "5771eb59fc2f589aa3066297ff3bbeeae474d846",
+ "sha256": "0vnl8k5dm9jjm5sr5gdp1405l20g2gx72nz26ha0g9r9qc6k4j8k"
}
},
{
@@ -6059,27 +6053,27 @@
"repo": "oantolin/embark",
"unstable": {
"version": [
- 20230919,
- 1907
+ 20231208,
+ 459
],
"deps": [
"avy",
"embark"
],
- "commit": "07af44c7de72efde79ac563cbecdfff0b48d8411",
- "sha256": "1dmsaw1m819kzmai45c4cqh5lyyha451f7dxzwwfz9crmzg2w5sf"
+ "commit": "47b0c75d4bf4f72a7af839667c877c80bd493cdb",
+ "sha256": "14alpanynww6z7yxxyiiy24c0p4pq1argsb0pmqavq25mdxgn4v2"
},
"stable": {
"version": [
- 0,
- 23
+ 1,
+ 0
],
"deps": [
"avy",
"embark"
],
- "commit": "07af44c7de72efde79ac563cbecdfff0b48d8411",
- "sha256": "1dmsaw1m819kzmai45c4cqh5lyyha451f7dxzwwfz9crmzg2w5sf"
+ "commit": "47b0c75d4bf4f72a7af839667c877c80bd493cdb",
+ "sha256": "14alpanynww6z7yxxyiiy24c0p4pq1argsb0pmqavq25mdxgn4v2"
}
},
{
@@ -6202,11 +6196,11 @@
"repo": "nverno/awk-ts-mode",
"unstable": {
"version": [
- 20231115,
- 1037
+ 20231130,
+ 12
],
- "commit": "7b3f26d24be4732e001ae3a0e0db35111e68c38f",
- "sha256": "00zgkg3biy3lkaj9jsmn7b4nmnlyj0bqsjfc4qabm2mk0yar0is6"
+ "commit": "9cd2b2ad05d85e05be9da7275077697223d79878",
+ "sha256": "0skx2sc575g4w2g4xy340j0i7a6rhxl18xxrg1i42mdiy1k5h3bc"
}
},
{
@@ -6461,15 +6455,15 @@
"repo": "tarsius/backline",
"unstable": {
"version": [
- 20230514,
- 1800
+ 20240101,
+ 2210
],
"deps": [
"compat",
"outline-minor-faces"
],
- "commit": "f1d9e1cd5cba6362b152b5f4227d1714918fba59",
- "sha256": "0f7rcbmaggj644z3j1pq2sjg4c12g9s2k4c5x3yrirjgazxfpr8x"
+ "commit": "f9e55c75bee689722d63875610511e8b39151fb1",
+ "sha256": "1mw2mfr95aaz1mnq1zym9mr9n96350l4kpywg1yv2m0rvkblqqkf"
},
"stable": {
"version": [
@@ -6695,11 +6689,11 @@
"repo": "tinted-theming/base16-emacs",
"unstable": {
"version": [
- 20231008,
- 59
+ 20240218,
+ 58
],
- "commit": "5993a1ab3cd1f22673f84dd35a2fae5493bed69e",
- "sha256": "0f2kj9q4wjhka5nsbn0kjqxdsnlmk45krylk2mmr9siprk15yfh9"
+ "commit": "c24d84b6c4794d1fc92429b6c70009434a137fe4",
+ "sha256": "17am6rx8xmkkfx6dlbchym3xaj341z1aaam6zk3nkjxyz8srbm1c"
},
"stable": {
"version": [
@@ -6710,6 +6704,29 @@
"sha256": "0mdnm6xam1md9yiy6y14yp59j2864brazqszm7vklgb40xpxaxsq"
}
},
+ {
+ "ename": "base32",
+ "commit": "716b2327c4940c05cc4fbcb3dcbca4d22b7455cc",
+ "sha256": "030f5zwq4n97r1g3x66cw380aw6iawiq5lhfsmylyy4pcnd1iywh",
+ "fetcher": "gitlab",
+ "repo": "fledermaus/totp.el",
+ "unstable": {
+ "version": [
+ 20240203,
+ 1945
+ ],
+ "commit": "da1498e54df8802efe2174c0e988c23fea49fc79",
+ "sha256": "05z6w1s4mxv8knnknq5lj6frp7j4wy6zrafg1hq1kv7q28nqv4qr"
+ },
+ "stable": {
+ "version": [
+ 1,
+ 0
+ ],
+ "commit": "da1498e54df8802efe2174c0e988c23fea49fc79",
+ "sha256": "05z6w1s4mxv8knnknq5lj6frp7j4wy6zrafg1hq1kv7q28nqv4qr"
+ }
+ },
{
"ename": "bash-completion",
"commit": "8b528544841995045fb1f8344aaaa38946bb3915",
@@ -6799,26 +6816,26 @@
"repo": "dykstrom/basic-mode",
"unstable": {
"version": [
- 20230909,
- 843
+ 20231125,
+ 1617
],
"deps": [
"seq"
],
- "commit": "5bcefd47c2b97b0482cb02d3d9012f334a1535b1",
- "sha256": "0s9grdh7m6v53mkn330azhff5rbci73b614ggap7dk54jldl8cy3"
+ "commit": "1dc1a635d6d80668c8a583b974205e49ff0fc3ce",
+ "sha256": "1z3d30vsn4hqrm56asqzal2d0wij6mrma110zx64jpmys8chlwfs"
},
"stable": {
"version": [
1,
2,
- 0
+ 1
],
"deps": [
"seq"
],
- "commit": "5bcefd47c2b97b0482cb02d3d9012f334a1535b1",
- "sha256": "0s9grdh7m6v53mkn330azhff5rbci73b614ggap7dk54jldl8cy3"
+ "commit": "1dc1a635d6d80668c8a583b974205e49ff0fc3ce",
+ "sha256": "1z3d30vsn4hqrm56asqzal2d0wij6mrma110zx64jpmys8chlwfs"
}
},
{
@@ -6928,14 +6945,14 @@
"repo": "lassik/emacs-bbcode-mode",
"unstable": {
"version": [
- 20190304,
- 2122
+ 20231215,
+ 1539
],
"deps": [
"cl-lib"
],
- "commit": "e16619c80ea21154b4a4ccc2e13d0077e97c9caf",
- "sha256": "0l7jygskxmbckf3xhbjzgwzkrj2m6z1n3i2l7qc9ii4i3mhpx0ai"
+ "commit": "109962f1070a5e6943c2e32c1eb84ce4debfb8f8",
+ "sha256": "045l73g04kzhjipnrlwq9c70hfv17m285581gi1rh3c4aar3bwqw"
},
"stable": {
"version": [
@@ -6958,14 +6975,14 @@
"url": "https://git.savannah.nongnu.org/git/bbdb.git",
"unstable": {
"version": [
- 20231023,
- 544
+ 20231122,
+ 1326
],
"deps": [
"cl-lib"
],
- "commit": "14ed4d1048c41c813f601bbf0f4c8d0d5b9489d8",
- "sha256": "00qjrzfn0lmj5dr54s6sm10kfjqs815ak2hhgi875rhv5p30smh7"
+ "commit": "53e8ba04c47b3542db75b68f9663941daf2e6ca4",
+ "sha256": "1njwsnyfl0b5pzra4l1s9qv5zmsq58c0hxic0w1jk6xillazd6f4"
},
"stable": {
"version": [
@@ -7215,7 +7232,7 @@
},
{
"ename": "beeminder",
- "commit": "b46e83f2ea2c4df1ef343c79c7e249605c9639b3",
+ "commit": "c1f6256e8ef106684b1b546003ec8b591fdea560",
"sha256": "19r1sbdb6c6ibpinzsyi7583gfm4g1q9bmdbbcy0cgrs350nh8h1",
"fetcher": "github",
"repo": "Sodaware/beeminder.el",
@@ -7292,11 +7309,11 @@
"repo": "dholm/benchmark-init-el",
"unstable": {
"version": [
- 20220414,
- 1612
+ 20240221,
+ 701
],
- "commit": "02435560415bbadbcf5051fb7042880549170e7e",
- "sha256": "19375vamhld4xm2qrdmhlp2nczfvalmz9x6ahl23zwkilr8n1nbw"
+ "commit": "80ba80eb62c9449b3920164d999d41b1dd7230eb",
+ "sha256": "0m43wsxldsv0xgliq8vgfc83yvrf19m9azw5f9s3b0mscb6ip79i"
},
"stable": {
"version": [
@@ -7429,11 +7446,11 @@
"repo": "jcs-elpa/better-scroll",
"unstable": {
"version": [
- 20221020,
- 430
+ 20240101,
+ 927
],
- "commit": "e7b3196f437f690028aeea414aaf71ca3779c175",
- "sha256": "00l2r24v99bx7ikh02bcxl3297xb9nmbvx0g2svcc08jijp47fq8"
+ "commit": "faded1bd681f3c48337e7165adcabde194c73b2b",
+ "sha256": "0074ffh07ywk6c50kj5w82cply3iclpqihs5fb0xnxavxxh79mhg"
},
"stable": {
"version": [
@@ -7612,6 +7629,27 @@
"sha256": "0iya5ybc54kia5vnb3bfr8yilykhbn2xvp157vya06cw4af2cw65"
}
},
+ {
+ "ename": "biblio-gbooks",
+ "commit": "1da7f56e080d81d965b98ee59ca14512442302da",
+ "sha256": "0g4nfz9b60m0hsff4rc92q7jinv5n4bndk6cgz5ra6ziaag9cmci",
+ "fetcher": "github",
+ "repo": "jrasband/biblio-gbooks",
+ "unstable": {
+ "version": [
+ 20240102,
+ 2034
+ ],
+ "deps": [
+ "biblio-core",
+ "compat",
+ "let-alist",
+ "seq"
+ ],
+ "commit": "991f214b8af23f168462a0006cf4d6216fbd7371",
+ "sha256": "0bsm51pz69q938wfbr9kc84mizwjbnclvs17ski1s30s6xkinfra"
+ }
+ },
{
"ename": "bibliothek",
"commit": "8b8308e72c4437237fded29db1f60b3eba0edd26",
@@ -7676,15 +7714,15 @@
"repo": "mclear-tools/bibtex-capf",
"unstable": {
"version": [
- 20230226,
- 2331
+ 20240122,
+ 1558
],
"deps": [
"org",
"parsebib"
],
- "commit": "7635fec3bc565acafbb6e1bff1cc711844f869ce",
- "sha256": "0g5wnl3qch2nc3i4yv915zmxfjgcdrr5z6izxnv60g05ma19i66p"
+ "commit": "31826efefcbbdebdb700a06b5070df0f06ce2291",
+ "sha256": "1l0qww9ipvfv7x90hq9dzibargz104gqvvwaz9k3a1mx36v5d36m"
}
},
{
@@ -7695,8 +7733,8 @@
"repo": "tmalsburg/helm-bibtex",
"unstable": {
"version": [
- 20230918,
- 953
+ 20240220,
+ 1216
],
"deps": [
"biblio",
@@ -7706,8 +7744,8 @@
"parsebib",
"s"
],
- "commit": "95551744de8210867e9d34feaf47ae639ea04114",
- "sha256": "0qgkws2dm55bvgrz2d4zamrdacm326141123q8bb45d1fjgwy0bc"
+ "commit": "8b71b4f5ce62eeaf18067f57faaddc06449fbe1c",
+ "sha256": "1zlg1bdjxjh1m3rb7i49il48ybj08wkbs17zcl0sxxmbldw3cvhp"
},
"stable": {
"version": [
@@ -7749,14 +7787,14 @@
"repo": "tarsius/bicycle",
"unstable": {
"version": [
- 20230901,
- 1232
+ 20240101,
+ 2211
],
"deps": [
"compat"
],
- "commit": "fed6a6320f41b62b79892e95cee76d00a2bbd620",
- "sha256": "12nkybijaqc22i22kn75pjjjxq8njyyr8dq6g2l3jz030qrv5359"
+ "commit": "998e39095481ced3844efaa8f8f0c5343641df9e",
+ "sha256": "0rh8rhmhc373h1zggs9xlfa4yidqq8b3ms45xfi4zax0dk38003j"
},
"stable": {
"version": [
@@ -7990,20 +8028,20 @@
"repo": "liuyinz/binky.el",
"unstable": {
"version": [
- 20231023,
- 2145
+ 20240107,
+ 2156
],
- "commit": "bf9bd87c44cd5ca5ede0f080fa510240d948a644",
- "sha256": "0m0xzcrb0yiddbr2vvnnv0vz4wb6smb3lmk4hij2hdhawf22vm1h"
+ "commit": "9c0d99b345dc310a31a187c52d092965a26de70a",
+ "sha256": "0dagrwmh364yaxbm4sxhscych77j8dksqw5034d27vc0q23nwh5w"
},
"stable": {
"version": [
1,
4,
- 1
+ 2
],
- "commit": "38e26cb3408a38d749645ee3f2ea9fc1a3cf2a3e",
- "sha256": "0d6wyvmbqvzsasldzfv9fwb7iwxdh214xjzq7jqy5xc5k25p0x60"
+ "commit": "d692f3a3551ae412b0b3fc71d14b7d3991255084",
+ "sha256": "0a5jjzbywgq6yh0489690mv6x5h6dsfc1dv7dqaq92h7mi8w1d3c"
}
},
{
@@ -8014,8 +8052,8 @@
"repo": "SqrtMinusOne/biome",
"unstable": {
"version": [
- 20231015,
- 1930
+ 20240128,
+ 1235
],
"deps": [
"compat",
@@ -8023,8 +8061,8 @@
"request",
"transient"
],
- "commit": "f931520566a31ebcc3392bb902f1c36e377bb2a2",
- "sha256": "162mnjq0pxxakcrrhr0bcm2rnvx1di0hg6xmrbljkcgjs20yzsfm"
+ "commit": "bc4f229c74c725a4981b4a5eab21674ac5097116",
+ "sha256": "0gd6wm97qhlrm8xxa1phc4484j7lwk1biry2h7hnkn4i0yyb04mz"
}
},
{
@@ -8094,25 +8132,6 @@
"sha256": "15p265zigxki80h3gfq61cmssqqwcnp0990zl8xmj8p377523l6m"
}
},
- {
- "ename": "bitbucket",
- "commit": "9cf87389e6a5d868850d27e077202e1e52eaf4aa",
- "sha256": "1d0v6hvmxky3k2m89b7xm1igx9fmzvhdpn1bi8zln61m4zgr3yz0",
- "fetcher": "github",
- "repo": "tjaartvdwalt/bitbucket.el",
- "unstable": {
- "version": [
- 20170405,
- 446
- ],
- "deps": [
- "request",
- "s"
- ],
- "commit": "db001a9d936e6b5c3cc5d5ec22794e234e0d162d",
- "sha256": "0iwmhnnscj3axxzgcb9ma7n5wn3zpjiwkh1dxrlk2kcclbzlbjha"
- }
- },
{
"ename": "bitlbee",
"commit": "7fabdb05de9b8ec18a3a566f99688b50443b6b44",
@@ -8201,11 +8220,11 @@
"repo": "pythonic-emacs/blacken",
"unstable": {
"version": [
- 20230821,
- 1738
+ 20231129,
+ 654
],
- "commit": "15084b386561cd3672e9fc8cdce4647b60693111",
- "sha256": "1a6is65q13jvzzlnvb8rmkss9akvrr8z8aa9sjixpqisia70xlz8"
+ "commit": "a43695f9cb412df93ac8d38b55ab1515e86e217e",
+ "sha256": "0kpjavnbmhq8adn65570646xy75czl462xd76xjh957rj2a6wpgl"
},
"stable": {
"version": [
@@ -8272,26 +8291,28 @@
"repo": "Artawower/blamer.el",
"unstable": {
"version": [
- 20230930,
- 1047
+ 20240130,
+ 1629
],
"deps": [
+ "async",
"posframe"
],
- "commit": "4b70b657f83542491b77a4dc512674f3fa5ce01c",
- "sha256": "0hf6f4zix567a65283cn3wvngqppcazsicq9icrkmjw59sgl1hix"
+ "commit": "f79a7422e5976eb3bee1c73bfcb33d701cc932a6",
+ "sha256": "14dvg15p18mpkp667jy0515py9alxfpjxv03y1d4p87firm9fffx"
},
"stable": {
"version": [
0,
- 7,
- 3
+ 8,
+ 0
],
"deps": [
+ "async",
"posframe"
],
- "commit": "4b70b657f83542491b77a4dc512674f3fa5ce01c",
- "sha256": "0hf6f4zix567a65283cn3wvngqppcazsicq9icrkmjw59sgl1hix"
+ "commit": "e249fa3ae23cfc013883bcc138ef9f46107acb0c",
+ "sha256": "03wvmhv4m7am4hhy0m454bn1c2cvpi33z2sxdwf9fnfd5drwhrgm"
}
},
{
@@ -8490,11 +8511,11 @@
"repo": "rwv/bluesound-el",
"unstable": {
"version": [
- 20230328,
- 1430
+ 20231124,
+ 1347
],
- "commit": "f6a43dbe8b5a3d4541170717571c793ae3313c98",
- "sha256": "1g5cxyg7qszk7bh6xrhrb9syjyb1p69pswfb5r8yp7lm97wy5vqd"
+ "commit": "92f6ebacfa20e89ccd10d27bdb84c74b6413cc68",
+ "sha256": "1ffhdh7jryzpbzn2bcgxn06pi431dg5n4zjg06qkshydj580gwck"
},
"stable": {
"version": [
@@ -8645,14 +8666,14 @@
"repo": "kyleam/bog",
"unstable": {
"version": [
- 20230521,
- 2122
+ 20240215,
+ 27
],
"deps": [
"cl-lib"
],
- "commit": "6bea27368b0010e04fb7c7894064251940eb21b0",
- "sha256": "0nl47wdkgs0mii779n0yrag7bk37gxzpj6b4vf70hma9290q955w"
+ "commit": "c8e7c8cb54b1787cc3d9383f0514eb76cadd4002",
+ "sha256": "0dhakwf7337yi2rwn2hahvvimzxgdzyp16yzd8sb6rgr931sib48"
},
"stable": {
"version": [
@@ -8748,6 +8769,21 @@
"sha256": "1gihjkxnq4mqlcgjhmfbf4i7v7zyqy01ls2ac10pa5k1db2g1nbc"
}
},
+ {
+ "ename": "bookmark-frecency",
+ "commit": "6565a1b5274a6fd171e818139dd8c7003335688f",
+ "sha256": "13kga9ra4w5526z884wfdaxhx6y2jyr9fp5cvz5nr5i5p6r4n51p",
+ "fetcher": "github",
+ "repo": "akirak/bookmark-frecency.el",
+ "unstable": {
+ "version": [
+ 20231126,
+ 1320
+ ],
+ "commit": "b969969865eae11b84bd3b0bc54cc5cacdcefaa8",
+ "sha256": "03wnbb5ibbr68iy0vlhl49078bj72vj9zx2q7l50b6yvkn5g1ymf"
+ }
+ },
{
"ename": "bookmark-in-project",
"commit": "962f7c87d0630399ea388f25ec5792fa2f2b4489",
@@ -8771,11 +8807,11 @@
"repo": "minad/bookmark-view",
"unstable": {
"version": [
- 20220403,
- 2204
+ 20240102,
+ 334
],
- "commit": "0d40ac67f53b7fa75fe65c38a5ef65701ce4c3da",
- "sha256": "0sa5lypdxgffzz22kq2jpcc02xmcmr77bsc0iggh7b2khnxjppxj"
+ "commit": "2d16b2f88a106e57c58ad2af1f7166a847996512",
+ "sha256": "0sh15mbs5j6nq4d2dh4xg1hh783r6sx4vf30jk07jw1392anxwp8"
},
"stable": {
"version": [
@@ -8818,29 +8854,29 @@
"repo": "jyp/boon",
"unstable": {
"version": [
- 20230815,
- 905
+ 20240109,
+ 1943
],
"deps": [
"dash",
"expand-region",
"multiple-cursors"
],
- "commit": "ea3b39b6920959d8cb87698087912ab1ab9f90b3",
- "sha256": "192zby67j34zfxbpgi7n5m6rkb8r2yzma5b46hy0pfm0sy3pyqyf"
+ "commit": "ef9650bb73ce901ad0fa3aef4685393a31aaa3d9",
+ "sha256": "12397nvbmzfnzw11dnv8xf1mapi1z9a6j9iapbx363cprsbina2b"
},
"stable": {
"version": [
1,
- 2
+ 3
],
"deps": [
"dash",
"expand-region",
"multiple-cursors"
],
- "commit": "d31550b3336d706b57df0e43bedf3e95a615ce0d",
- "sha256": "18il2licf8pgfcrpx2bk55gpf8f537kb9cxqz83jldkhiyry74il"
+ "commit": "ded55a290065e39856266e74fb6eb92795c0c214",
+ "sha256": "0jmmxav45sbch9prdxipd04rdmyh5ca1r9lpdlj61b5c4vlm4282"
}
},
{
@@ -8851,15 +8887,15 @@
"repo": "emacscollective/borg",
"unstable": {
"version": [
- 20231101,
- 2319
+ 20240205,
+ 1834
],
"deps": [
"epkg",
"magit"
],
- "commit": "d8aecb09193448de12d5a19ac7bf242f3c39e767",
- "sha256": "07lfdmfs2bfn9a0zq6jvh8mizj0sr02ngib6fil9w31rlp7p8gnn"
+ "commit": "94b9be770dd325ca5e6502822fd2f6efab220f63",
+ "sha256": "1m1n07m301imancmc3xcxcr6w10ixvg5m3smaa8ryw702nsnqssj"
},
"stable": {
"version": [
@@ -8913,20 +8949,20 @@
"repo": "ascii-boxes/boxes",
"unstable": {
"version": [
- 20230326,
- 1932
+ 20240217,
+ 1143
],
- "commit": "77d02890a749a30bc228c848ec1d4bc9b09ac6c0",
- "sha256": "0d3x1pp9ir0ljg0g7iq8n1sgxi6mvmpzk704wppzv6k3miv0zsrm"
+ "commit": "75dfd61801b3ec23ec30c88640ea31bbca5b36b9",
+ "sha256": "1gb3f42ayinfm8b0fbdbdnpp5j3i26yb86yl78q635nzy3k3y1zy"
},
"stable": {
"version": [
2,
- 2,
- 1
+ 3,
+ 0
],
- "commit": "537ffcb2e1be043ddc24706d04e711fa58648f89",
- "sha256": "1blni7kjskg6y9ycvhwq3srfvh891xhfnjbh5h2zl0pb5szpllqi"
+ "commit": "75dfd61801b3ec23ec30c88640ea31bbca5b36b9",
+ "sha256": "1gb3f42ayinfm8b0fbdbdnpp5j3i26yb86yl78q635nzy3k3y1zy"
}
},
{
@@ -8937,14 +8973,14 @@
"repo": "davep/boxquote.el",
"unstable": {
"version": [
- 20220919,
- 714
+ 20231216,
+ 852
],
"deps": [
"cl-lib"
],
- "commit": "fe676396fa7e4372e01bf2c3d9a62e8d53615d46",
- "sha256": "0d7m9kcwhbgv4pikaa2dzlg9zkmwdhyx2ksn68di6xzbh838892q"
+ "commit": "8d6c307ab3b783c5042065d0ae54961adb506484",
+ "sha256": "1i3i9v09y6f03w5i9n9n4k9v31bxnsy81ys35iapa918d74lcvf3"
},
"stable": {
"version": [
@@ -9011,11 +9047,11 @@
"repo": "museoa/bqn-mode",
"unstable": {
"version": [
- 20231008,
- 1932
+ 20231217,
+ 1203
],
- "commit": "1a42e1cf87ae8a899a45573dfa9eaee1ab436d9b",
- "sha256": "09jf445y01dh3lqz5hmzf9f1m6hpzwiprd4fgc3j33lbyi1wggqi"
+ "commit": "23fd9db096ab3a5c5fbd034e721b8a7d27d1d6b7",
+ "sha256": "0nlwhk353fdl3jwmi1c6mz5898mh717lvldv9jypj9jdipcw6icc"
}
},
{
@@ -9083,11 +9119,11 @@
"repo": "Michael-Allan/Breccia.Emacs",
"unstable": {
"version": [
- 20230406,
- 1547
+ 20240213,
+ 1406
],
- "commit": "a1d519bd592d34c05f3fbaa2c209249969823fb6",
- "sha256": "0rphi8dai5hdjbkdi0a0rhnlm6a2rw03mqvs8s3m50hssisl9mmm"
+ "commit": "5d857b56733ede08b06448f2392045bb1d89cbc6",
+ "sha256": "0fi3v35k56qyb8xakdnpfiphgll0f9xgzpyvxg121493rki6n5x4"
}
},
{
@@ -9254,11 +9290,11 @@
"url": "https://git.madhouse-project.org/algernon/brutalist-theme.el.git",
"unstable": {
"version": [
- 20231112,
- 1455
+ 20231120,
+ 721
],
- "commit": "dbe094221fd727b8d3a4bffa84fc754df83c0450",
- "sha256": "1m9z3sswm79rawivgz4fw51cp20qznsa9911dci3b2xpnnhpz89i"
+ "commit": "c387f3f0aaae147270c61dcd3140fb4eb20965ad",
+ "sha256": "1jbnm4wfz41ns51wl63qm2bkib7hfs437lx1bgdk9djbpiwik4d2"
}
},
{
@@ -9503,11 +9539,11 @@
"repo": "ideasman42/emacs-buffer-name-relative",
"unstable": {
"version": [
- 20230625,
- 347
+ 20240204,
+ 1219
],
- "commit": "6c1e98f761344b2d2d51f38d587161f71ca0e750",
- "sha256": "0cvmg84k54kpd4qkijnjcqcw5qnnb2ghwviv72z0jgird0c9h9b2"
+ "commit": "b1e878e97df0cd02348e1aed95738c0c3a32f148",
+ "sha256": "002xrfx0rkq3lwribpxgimxw813nwflvwl7g7ywc5xi9v41jv56j"
}
},
{
@@ -9636,11 +9672,11 @@
"repo": "jcs-elpa/buffer-wrap",
"unstable": {
"version": [
- 20220704,
- 646
+ 20240101,
+ 935
],
- "commit": "b6b2118f59b8152fa02bd0b09d65a1f8ade4141b",
- "sha256": "0wcn5g660qg0lzl8zky7n03y5kb9jpyrc4xqn44ddz2ziqzmdh7w"
+ "commit": "c2d12ef25ffac4827dc598d81fac75cb865663b6",
+ "sha256": "037crgbfyfzvd090nairqh1bnpyb3l5m9qy7bcsf7zsifwlj1wz6"
},
"stable": {
"version": [
@@ -9675,8 +9711,8 @@
"repo": "alphapapa/bufler.el",
"unstable": {
"version": [
- 20231108,
- 29
+ 20240216,
+ 805
],
"deps": [
"burly",
@@ -9686,8 +9722,8 @@
"map",
"pretty-hydra"
],
- "commit": "5b76a6c7bd53d0f2fe5993b7c316da15bb2cd3f5",
- "sha256": "1a67iykwb5m12famy04iw695kv5gnk8f944y6cnvjlj71dx7h9y4"
+ "commit": "d686f6a303ed250da2ee01d82afea26058a0a533",
+ "sha256": "0842w4h4v0gkmn6mlgrp9qywqyks4k5ibz0frwqiq6dxsy0wz7x9"
},
"stable": {
"version": [
@@ -9902,14 +9938,14 @@
"repo": "alphapapa/burly.el",
"unstable": {
"version": [
- 20230917,
- 1714
+ 20231218,
+ 1045
],
"deps": [
"map"
],
- "commit": "f503fdc3af2f4e4a2a9023c763f71582e09eee8c",
- "sha256": "01n7z6lgvsasid1ri2h58ycn0rsswr0y3ic0slvkwy3vlszwaa5k"
+ "commit": "6626df0c2054cb0662bf113ba4cbad641b588d0f",
+ "sha256": "10ggzbg04midvk932cj9vzg7ni5w97yn1gmx4mj0h5yr7h1lwzhp"
},
"stable": {
"version": [
@@ -10054,19 +10090,19 @@
"repo": "jorgenschaefer/emacs-buttercup",
"unstable": {
"version": [
- 20231005,
- 2120
+ 20231208,
+ 2346
],
- "commit": "3780eb081913d1aeef2bc5950891a3fbe3b3771d",
- "sha256": "1lmiyipwhy5fi6yajx85c68drn5dxxy47yc2dpch8y9y2b2mbyin"
+ "commit": "f2f7f81a83a1092f05c515f8f5212a5a82f180ff",
+ "sha256": "0467dmh8idcwm5qs70igls9wy6kx2kzcqig6yavcm47wjr3a373q"
},
"stable": {
"version": [
1,
- 32
+ 33
],
- "commit": "3780eb081913d1aeef2bc5950891a3fbe3b3771d",
- "sha256": "1lmiyipwhy5fi6yajx85c68drn5dxxy47yc2dpch8y9y2b2mbyin"
+ "commit": "e4fb7cd560d27d8879a2c7739ee96946adec2df8",
+ "sha256": "10q6zr837yaal1g3l7vmj08b3c301j99b290pylshb0si360a27h"
}
},
{
@@ -10763,25 +10799,25 @@
"repo": "minad/cape",
"unstable": {
"version": [
- 20231029,
- 1004
+ 20240214,
+ 1139
],
"deps": [
"compat"
],
- "commit": "085287d793ea2fd06b47ebcb6ab08e88533e5185",
- "sha256": "02achp9avmd7bhpvx70lxacs2h1lpl1aigj0ism4dww8vff9qv63"
+ "commit": "c56cd95f6df003f8928f11e8776d3a9a3eca6890",
+ "sha256": "1zz5sah8zdkvmbh2kdn4v5vf3sb09krdrxhzyzcg63zjya2z8prz"
},
"stable": {
"version": [
- 0,
- 17
+ 1,
+ 3
],
"deps": [
"compat"
],
- "commit": "7bec22b26be62bc0dab0c2a1ba6bc4b33fcabba1",
- "sha256": "1kzhiffzv20rwjcx0iywj39hxibw0wga9ck77yam9xv7ips2mav4"
+ "commit": "c56cd95f6df003f8928f11e8776d3a9a3eca6890",
+ "sha256": "1zz5sah8zdkvmbh2kdn4v5vf3sb09krdrxhzyzcg63zjya2z8prz"
}
},
{
@@ -10802,10 +10838,10 @@
"version": [
1,
0,
- 1
+ 2
],
- "commit": "c7f810a76ca88ff28613fa165927e0269255aab0",
- "sha256": "14fmiki4hlrxfah1j5qmlfpi2pjjn2ppzgnsk00yarhc8y58kfv6"
+ "commit": "1a0e12c0a3ba1f0dbbad45ddfef555166e0a14fc",
+ "sha256": "1vxqcjs9fxlms3nxhi0905bwbja5dm9pa72kcw4iyyjka2ln8mrd"
}
},
{
@@ -10895,14 +10931,14 @@
"repo": "kwrooijen/cargo.el",
"unstable": {
"version": [
- 20230125,
- 1253
+ 20231229,
+ 915
],
"deps": [
"markdown-mode"
],
- "commit": "225fdb846c702a193e58a98c1585bb3586a9aa86",
- "sha256": "03wm1wq6jax1a30ix5hn3n8d95nn0hkc6l9mipy77ayfcawkd31h"
+ "commit": "7f8466063381eed05d4e222ce822b1dd44e3bf17",
+ "sha256": "1hvxdmyppvx04jyn07dnynlgbwyasv22k8dd4qa68mrj8i9mz484"
},
"stable": {
"version": [
@@ -10925,11 +10961,11 @@
"repo": "ayrat555/cargo-mode",
"unstable": {
"version": [
- 20231106,
- 1948
+ 20240116,
+ 1949
],
- "commit": "3fecf5d78f3c23579f3b3379efc436005c475682",
- "sha256": "1iwg4zia4x1ydz2mvpmarzv0s7kih6zcxiq2ya8iqahr1xrqx48l"
+ "commit": "c2df42d18afe2fbc3fde0be3e8b2abeecb3b00c8",
+ "sha256": "157glxw622ijm53sljcqlqhpf5pj1jhd810sq49hmzxxd5pvyfjp"
}
},
{
@@ -11155,11 +11191,11 @@
"repo": "catppuccin/emacs",
"unstable": {
"version": [
- 20231106,
- 1241
+ 20231213,
+ 1318
],
- "commit": "b778bb48be7111e19f27dc99891b515074496f82",
- "sha256": "1nynlmwdzy1mgzx4jg3c7llffk19hixzk7bbsk6m1zhxgnsickqh"
+ "commit": "1e9bdb0c19bf13c27a32b1adeabcace45fcbfd15",
+ "sha256": "1cv46rqdd159plnqdkl74zwcgmbndq81d02g41a327hdwbpahp6v"
},
"stable": {
"version": [
@@ -11238,11 +11274,35 @@
"repo": "xuchunyang/cc-cedict.el",
"unstable": {
"version": [
- 20210814,
- 819
+ 20231209,
+ 1109
],
- "commit": "03fbe7d1589d36f627ef9fe7b86f9fe6f623cbb3",
- "sha256": "0lp5n3ar1k0scn8xzjylp7mgzf637ibbzai039n72z68nx2drilk"
+ "commit": "0c124beae160d5ff9be927bfb5e1a5fd8d50817a",
+ "sha256": "0cpmryg6haqlrfz6hwm10k7iw66hgwclm8lhdbikr97b6536bni5"
+ }
+ },
+ {
+ "ename": "cc-isearch-menu",
+ "commit": "63dbaed255d4c0f263d534077b33c9cffec50b1b",
+ "sha256": "00jb1m3fdlp3pn75c67phshb22shyhga31s3wf1s7wldandrbkwj",
+ "fetcher": "github",
+ "repo": "kickingvegas/cc-isearch-menu",
+ "unstable": {
+ "version": [
+ 20240221,
+ 742
+ ],
+ "commit": "9cf6d98b228df378e4fd428a6978c154011d130f",
+ "sha256": "0ca89b8z0y40b888f07rm6zmj6229mvbvwx31ffs6grpsdqn428s"
+ },
+ "stable": {
+ "version": [
+ 1,
+ 0,
+ 3
+ ],
+ "commit": "9cf6d98b228df378e4fd428a6978c154011d130f",
+ "sha256": "0ca89b8z0y40b888f07rm6zmj6229mvbvwx31ffs6grpsdqn428s"
}
},
{
@@ -11268,15 +11328,15 @@
"repo": "emacs-lsp/emacs-ccls",
"unstable": {
"version": [
- 20230815,
- 731
+ 20240124,
+ 1050
],
"deps": [
"dash",
"lsp-mode"
],
- "commit": "47f8caa374f38eba7d557f61aac000164387a33f",
- "sha256": "0l699zav5211ac1w0jbag1x6fb4dcfpwkrinlplzyqswjji1qv00"
+ "commit": "9b4a47e0418de8cc2fc93317e27cbdde75286df1",
+ "sha256": "0kj0naml21l5y5pyy1z9x1yqj76wm13ck1kkki9vp4g60w0ac0v7"
}
},
{
@@ -11317,11 +11377,11 @@
"repo": "cdominik/cdlatex",
"unstable": {
"version": [
- 20230920,
- 942
+ 20231118,
+ 645
],
- "commit": "7521fa1b39de735dcbd32bca92a792d5261e9ce2",
- "sha256": "1bdjcfk3xs85r2zkkl54dpk34x4dm9n8psw27ry69sa24nshqrps"
+ "commit": "33770dec73138909714711b05a63e79da5a19ccd",
+ "sha256": "05wxwc28wsndj2ks0ymdbfr2sz4ybr0py85p3dryps82vv2qzbpj"
},
"stable": {
"version": [
@@ -11668,7 +11728,7 @@
"repo": "worr/cfn-mode",
"unstable": {
"version": [
- 20231112,
+ 20240218,
904
],
"deps": [
@@ -11676,8 +11736,8 @@
"s",
"yaml-mode"
],
- "commit": "2640b72b7eed0b5f702377d3e0d723c23dda792f",
- "sha256": "1g31lyzl3fx3bqgd1yrjrpb7a8zbl3sr8jqsssn5f6yp4lm4bqzb"
+ "commit": "cd987267b379782fd623d23fca0fdae748166b35",
+ "sha256": "13g6b21mnpz2g4n6v9yv8asw1yhdxynqsyqhmmzfcvj9dbx6gn7n"
},
"stable": {
"version": [
@@ -11702,15 +11762,15 @@
"repo": "plandes/cframe",
"unstable": {
"version": [
- 20231101,
- 1742
+ 20240223,
+ 2335
],
"deps": [
"buffer-manage",
"dash"
],
- "commit": "d0f8b36cce8a9f2e1caa373e8c336c16d092c2ad",
- "sha256": "0649k683y8yd73s9za02cqy44rd71s7zcb9qgnx39ygky10sdf3x"
+ "commit": "580a20573ef413c269c032221de04abc1c97a6a8",
+ "sha256": "0s2n4b7g1b48j2mmfjmp1ir8bacbyiqffmjh6g62vxabbhnyc6fh"
},
"stable": {
"version": [
@@ -11791,11 +11851,11 @@
"repo": "challenger-deep-theme/emacs",
"unstable": {
"version": [
- 20210120,
- 941
+ 20231218,
+ 1042
],
- "commit": "2a799259406a8b96a688873093ffab6630a3ad3b",
- "sha256": "1rl3rkrbms96wv51mwxih9b4zg1dzh3jcmx4ylgamg77abd03sg3"
+ "commit": "1afd5787374c2bf3bfb46beb18e64d5677c07366",
+ "sha256": "09pcdwk24j1jjvvwy2x9qp1pya4kzs0ly0wniydlmkzzj4xjr4n4"
}
},
{
@@ -11806,14 +11866,14 @@
"repo": "positron-solutions/champagne",
"unstable": {
"version": [
- 20231111,
- 358
+ 20231229,
+ 947
],
"deps": [
"posframe"
],
- "commit": "a99e1584207bc03a0cb776f715bd47de1d651010",
- "sha256": "09ms3yx7h2ynqyf9mdjp90asahncq7k1mvnbkvj1g9f1l59zk6ns"
+ "commit": "2106273c47f898006a799f0c5e35922af4b41f54",
+ "sha256": "1cmbbnqjbg5sw3svvr99dk6lrmiir6yy8cvz69bl3r7ga6cpiacv"
},
"stable": {
"version": [
@@ -11833,14 +11893,14 @@
"repo": "magnars/change-inner.el",
"unstable": {
"version": [
- 20210126,
- 1456
+ 20231203,
+ 1021
],
"deps": [
"expand-region"
],
- "commit": "42cad58aed2caec260f8e8ff61f78a7d3db72d1b",
- "sha256": "0hs5hw36yagchpihx18059gi8b85hrccm82ynh89y7dkk1pw3wy1"
+ "commit": "1394f5c07a95a97e39d616a1d7054d7c9bc49ba3",
+ "sha256": "1pi5yik2x2vfg9d51hzymzmrcv5ngql5skwa0mz2jgyh7k6c6q3v"
}
},
{
@@ -11938,14 +11998,14 @@
"repo": "xenodium/chatgpt-shell",
"unstable": {
"version": [
- 20231113,
- 356
+ 20240220,
+ 1129
],
"deps": [
"shell-maker"
],
- "commit": "50984ba1e833be89c41b9779e8dca5d04cb16a29",
- "sha256": "1fvcd3gyipxq9x3yfl5q6i3yx2fq5vwqf6wx4c200awqc1crs89l"
+ "commit": "ca5a91fe803663a4849b1ccfe1a2be91409cb43d",
+ "sha256": "1frav21936dd8fc3k0pcwzq0njarpr8g0p5cag8cy0k87kipxd9q"
}
},
{
@@ -12283,11 +12343,11 @@
"repo": "gabrielelana/chip8.el",
"unstable": {
"version": [
- 20231028,
- 952
+ 20240210,
+ 1459
],
- "commit": "1b3ba334184efb39ff03877cdce705cbf08ca9e7",
- "sha256": "0a7jbb355vyyc2ihbiyaby9y6m3mp2cfaivicsmc8hb9qwadwx7x"
+ "commit": "69a764f5c1119508dd109a0ba64080f04b5fb702",
+ "sha256": "1rzn804yl59h75323cvav4fgqax9nj5anv9y3189xpcyhzn1d3ld"
}
},
{
@@ -12345,26 +12405,26 @@
"repo": "breatheoutbreathein/chordpro-mode.el",
"unstable": {
"version": [
- 20231003,
- 2349
+ 20231205,
+ 26
],
"deps": [
"compat"
],
- "commit": "c2e0d7e1b2d3b857678bc13cde9e2733cfb71e84",
- "sha256": "1scqa1kh3p20mvk7jrvj061b33nk32ajs74b683632zxdb3qh4rb"
+ "commit": "a63fc0b7181299befc4496cba04e0f84d5953000",
+ "sha256": "0b2psymnp5bvx1ags4dx0xpm852bygrslk2qj4c8br5g89xh3pil"
},
"stable": {
"version": [
2,
- 1,
+ 2,
0
],
"deps": [
"compat"
],
- "commit": "38bb541cf55b214862589ccc54f123271e4ff6ac",
- "sha256": "0jq93fxx0b4s961js1iaipqwjr2ips4jjswggc4m0w1qgpqdwdij"
+ "commit": "5dd6e08bda7caea872d56b01d83080e5465d3548",
+ "sha256": "0jvw1pkncr27x86iyh51hkhxsnxl37rj4zrpdis2vk7hghqxca1v"
}
},
{
@@ -12562,11 +12622,11 @@
"repo": "chyla/ChylaThemeForEmacs",
"unstable": {
"version": [
- 20230907,
- 1019
+ 20231220,
+ 1545
],
- "commit": "9ed08f18d8258755779ef2edc7964e3bd50f3a5c",
- "sha256": "0xx8kmvd8kp95jxx4yrb8923x75022dl62lnwa9c18xcrcwk1208"
+ "commit": "4d4b9dca3547e919ed5311cc7d04821f77860fbd",
+ "sha256": "1b41ar0ii5ikfi3hxss2maxgn2lx3kxzizpr9kf5218kmhjy3fh2"
}
},
{
@@ -12577,8 +12637,8 @@
"repo": "clojure-emacs/cider",
"unstable": {
"version": [
- 20231114,
- 1140
+ 20240220,
+ 720
],
"deps": [
"clojure-mode",
@@ -12589,13 +12649,13 @@
"spinner",
"transient"
],
- "commit": "5261886ad7c0a8828d022812c7c1c6b503ddd6ba",
- "sha256": "1m9bva5wi4mna80qrr401pvghxk54nh773544c5i11fanisyq9sc"
+ "commit": "1aad1ce6f0645c2efb35b5d0115b804415b2a2cf",
+ "sha256": "0f8zzvx5k09vjapkz48b93v6fg8bz66kybxk3jnvplpy3mmzlbxw"
},
"stable": {
"version": [
1,
- 11,
+ 13,
1
],
"deps": [
@@ -12607,8 +12667,8 @@
"spinner",
"transient"
],
- "commit": "9c605cd4938c0055c2766c55606f19ecbf664e8e",
- "sha256": "15s8nvzgz4ymayg0k3nia9h7kcrn5c8m4clspgz2pzz3ywh10cfw"
+ "commit": "06dc45844ad05fe23fccb7dec1609404e3cda88e",
+ "sha256": "0aswxqhdhxm2hx8cvpa47siah1d8n7kswdj1p7nplzqzzqzcy34h"
}
},
{
@@ -12811,14 +12871,14 @@
"repo": "emacs-circe/circe",
"unstable": {
"version": [
- 20230910,
- 1438
+ 20231119,
+ 1420
],
"deps": [
"cl-lib"
],
- "commit": "4df4e5c5e6220de6154393d7b6a9f437fb0ee006",
- "sha256": "0wgf6v26lq3jm1vww6sifrqlwi0mfjqdndyqhryyy17s6ipz6hyk"
+ "commit": "d374042741cfd0691135f215d311dca8b7a47d19",
+ "sha256": "0509nskxf2a4msidqq18pymn0szpxmn05dfmrp7x4lwarjgr4f37"
},
"stable": {
"version": [
@@ -12889,16 +12949,16 @@
"repo": "emacs-citar/citar",
"unstable": {
"version": [
- 20231112,
- 1605
+ 20231226,
+ 1453
],
"deps": [
"citeproc",
"org",
"parsebib"
],
- "commit": "8115bed9d4ce0b7a0765de6fbe6b4efdad23b89e",
- "sha256": "1mmlax5z437d96wn3v2gjck3cmx8k8r370rrymiisgjar8sj8bg9"
+ "commit": "885b86f6733fd70f42c32dd7791d3447f93db990",
+ "sha256": "1kzwllhcn77z6gsdxl6r1csv9nj64qbgznpy8r8kvnri3fl55w4h"
},
"stable": {
"version": [
@@ -12923,29 +12983,29 @@
"repo": "pprevos/citar-denote",
"unstable": {
"version": [
- 20231014,
- 421
+ 20240208,
+ 1246
],
"deps": [
"citar",
"dash",
"denote"
],
- "commit": "f093c37d28320a04b5e7ee87d5c442fefa749c35",
- "sha256": "1bnyqvdawyxrafz7c79f6xq5h90rhdakmv6g1jhplh881zmkq25w"
+ "commit": "5291f504902d403ef3f39dbc5b3e1cd1c9fe4d34",
+ "sha256": "1hn7jhvdfac8hxmvr97lilql6lpd3akx2hklwxarp7m4awm9b9fr"
},
"stable": {
"version": [
- 1,
- 8
+ 2,
+ 0
],
"deps": [
"citar",
"dash",
"denote"
],
- "commit": "f093c37d28320a04b5e7ee87d5c442fefa749c35",
- "sha256": "1bnyqvdawyxrafz7c79f6xq5h90rhdakmv6g1jhplh881zmkq25w"
+ "commit": "0c68a4f74f5dcfc23ef03b211658a2ccc5d9aa3b",
+ "sha256": "0g476awbrdws7k7smk1qasz15df20zilx3wqbi3wj0i1q2dbsi8z"
}
},
{
@@ -12956,15 +13016,15 @@
"repo": "emacs-citar/citar",
"unstable": {
"version": [
- 20231019,
- 2047
+ 20231122,
+ 1548
],
"deps": [
"citar",
"embark"
],
- "commit": "e21bf22b29d8ca40649517bb7dc503765f240282",
- "sha256": "07q94iplkx29lggrs5xfzj42rxfcn2cnbr90jgifk29jshcz30pv"
+ "commit": "572b7b6e569e9423dd948539fa48d3f53ceffe57",
+ "sha256": "17qfkiwy2mvyp6rwkxwxhlx2lxw5j2rb7n15c3dyzvfdphxjfikd"
},
"stable": {
"version": [
@@ -12988,15 +13048,15 @@
"repo": "emacs-citar/citar-org-roam",
"unstable": {
"version": [
- 20230404,
- 1225
+ 20240212,
+ 2159
],
"deps": [
"citar",
"org-roam"
],
- "commit": "761eed66782fdbb6d65749098caa42ba43e8441d",
- "sha256": "0iwhwfllbcd938qkvh5m5cn6s8pn01xb02yjbv1hl4jpiayianqa"
+ "commit": "999268c7a077aad6a8f4dfc88d0eeabdf4267fea",
+ "sha256": "0fhi42jg3h7iba9dpyd4lp2y4yvj6fb5vnrvxi62z1sd06h8qaqm"
},
"stable": {
"version": [
@@ -13020,10 +13080,11 @@
"repo": "andras-simonyi/citeproc-el",
"unstable": {
"version": [
- 20230228,
- 1414
+ 20231230,
+ 1309
],
"deps": [
+ "compat",
"dash",
"f",
"org",
@@ -13032,16 +13093,17 @@
"s",
"string-inflection"
],
- "commit": "290320fc579f886255f00d7268600df7fa5cc7e8",
- "sha256": "131b6jcyyry4qpv536n4llf8d5xc3a98qq49rvsp0sqwipqhx4qs"
+ "commit": "c61c98b9d230ea28b2ca49498134803e1f8ea526",
+ "sha256": "04h6mgfyhx8zkjbcfhaac88754w61592pjdj27ayxh0xj0gybxzz"
},
"stable": {
"version": [
0,
9,
- 3
+ 4
],
"deps": [
+ "compat",
"dash",
"f",
"org",
@@ -13050,8 +13112,8 @@
"s",
"string-inflection"
],
- "commit": "121efe540303ded2ebf486281e6fd472966e7cbe",
- "sha256": "0md8pfmd0v9ipnxj1q13vv81hl90wf4rm46czbk1fdzkyf9js08m"
+ "commit": "9fe5f28b274eda5212fe1936c1b58184b63cca6d",
+ "sha256": "1l74c2f2xjzsp3i331sw3db4fhbvdbwyd856j1ygldwrh4rli9ml"
}
},
{
@@ -13100,11 +13162,11 @@
"repo": "universal-ctags/citre",
"unstable": {
"version": [
- 20230630,
- 1536
+ 20240203,
+ 457
],
- "commit": "c617acef3dc2a88aaffa42a515ce7dbaba98228a",
- "sha256": "10lj6w67vsdxdzg0y69qzpccai4054xg8kl9jpavnwn5i12nlp7l"
+ "commit": "155251127bbb51588445ed8fa49368cff1fff2c2",
+ "sha256": "1r12haizv0bl69dl0myfng9sivblsq4njz1wc9r0i6nsfknravxr"
},
"stable": {
"version": [
@@ -13195,14 +13257,14 @@
"repo": "emacsmirror/clang-format",
"unstable": {
"version": [
- 20230809,
- 2200
+ 20240115,
+ 1750
],
"deps": [
"cl-lib"
],
- "commit": "bce0bb4ca17ecb6e6927074920945cef2a78f5b6",
- "sha256": "1v99876wi8kld5wc7nhmm7gmp36i29x21y9mjzi4mq5zq2kqlpr6"
+ "commit": "9f4358fcc8b04018cc1ed46fcc96fc7bfa361a47",
+ "sha256": "0cing1dk1zbb5pclf6zrndj26byssggh5fxlsly7cf9hyjwj2c1j"
}
},
{
@@ -13241,6 +13303,24 @@
"sha256": "0v5xf51f1imricf9rn9f3iwz37cljk3iwq50dad1wzm1pamggzw1"
}
},
+ {
+ "ename": "clay",
+ "commit": "051ff5bbbd7183f83b918aee96cb68c58f164bcd",
+ "sha256": "09fdy8f2gnzqnivwjbhlwv02ivj0d7pycv83rsdaiwp9mfa9jqs9",
+ "fetcher": "github",
+ "repo": "scicloj/clay.el",
+ "unstable": {
+ "version": [
+ 20240115,
+ 2125
+ ],
+ "deps": [
+ "cider"
+ ],
+ "commit": "26b65a107cf55e4955401d3dbc63d58479f29773",
+ "sha256": "0i3zf2q0jf0f18kqg287xmiywf44cgg90ym8y8c67fmvpz5c13sv"
+ }
+ },
{
"ename": "clean-aindent-mode",
"commit": "ee9dac7c10e652f026643620418dfea9237a0d23",
@@ -13530,8 +13610,8 @@
"repo": "clojure-emacs/clj-refactor.el",
"unstable": {
"version": [
- 20231116,
- 525
+ 20240116,
+ 1119
],
"deps": [
"cider",
@@ -13544,14 +13624,14 @@
"seq",
"yasnippet"
],
- "commit": "05e90bd9a0f24027011aab1bf041c16a24797e0c",
- "sha256": "0y91034nkvx9sz69k5wfynf0cj4rcwnav33n8bq72cknvv67zll8"
+ "commit": "fa3efe18e7150df5153a7d05c54e96d59398a0a8",
+ "sha256": "17c9lrykbfp0ab7lmi4jh34q6ir7i46acn313xw5342d2q5ql6sk"
},
"stable": {
"version": [
3,
- 10,
- 0
+ 11,
+ 3
],
"deps": [
"cider",
@@ -13564,8 +13644,8 @@
"seq",
"yasnippet"
],
- "commit": "b476345c580ae7cbc6b356ba0157db782684c47f",
- "sha256": "100ngpgvff0xvw1h5krvh40sa3ympl241imwskcv62yk29m9z411"
+ "commit": "fa3efe18e7150df5153a7d05c54e96d59398a0a8",
+ "sha256": "17c9lrykbfp0ab7lmi4jh34q6ir7i46acn313xw5342d2q5ql6sk"
}
},
{
@@ -13859,20 +13939,20 @@
"repo": "clojure-emacs/clojure-mode",
"unstable": {
"version": [
- 20231105,
- 1254
+ 20240218,
+ 756
],
- "commit": "481ca480e8b7b6c90881f8bd8434addab1d33778",
- "sha256": "09124cnvq7cnllsnbbshx1frbha6sydjgzn00k3rkyxclhbm8vhd"
+ "commit": "4836394af1459dc8d312b25b077218a900ebfe60",
+ "sha256": "0dy86n73qa5mzfm4mj0in2vbnyayddwa5r9r41mh9fyq2pz1wl38"
},
"stable": {
"version": [
5,
18,
- 0
+ 1
],
- "commit": "525fc1b131b1fc537aa82d83d9eb2ea833cface6",
- "sha256": "0g4x587fpzcj9y59k8sb1g7c6yvga9gjs8ximpmar7d8jq2cv5qa"
+ "commit": "25d713a67d8e0209ee74bfc0153fdf677697b43f",
+ "sha256": "1d5kkq2i8d04k2qfrb31zyjpij92ckbccnzvz01mls3xrvpr57m5"
}
},
{
@@ -13883,26 +13963,26 @@
"repo": "clojure-emacs/clojure-mode",
"unstable": {
"version": [
- 20231018,
- 922
+ 20231124,
+ 732
],
"deps": [
"clojure-mode"
],
- "commit": "525fc1b131b1fc537aa82d83d9eb2ea833cface6",
- "sha256": "0g4x587fpzcj9y59k8sb1g7c6yvga9gjs8ximpmar7d8jq2cv5qa"
+ "commit": "25d713a67d8e0209ee74bfc0153fdf677697b43f",
+ "sha256": "1d5kkq2i8d04k2qfrb31zyjpij92ckbccnzvz01mls3xrvpr57m5"
},
"stable": {
"version": [
5,
18,
- 0
+ 1
],
"deps": [
"clojure-mode"
],
- "commit": "525fc1b131b1fc537aa82d83d9eb2ea833cface6",
- "sha256": "0g4x587fpzcj9y59k8sb1g7c6yvga9gjs8ximpmar7d8jq2cv5qa"
+ "commit": "25d713a67d8e0209ee74bfc0153fdf677697b43f",
+ "sha256": "1d5kkq2i8d04k2qfrb31zyjpij92ckbccnzvz01mls3xrvpr57m5"
}
},
{
@@ -13975,20 +14055,20 @@
"repo": "clojure-emacs/clojure-ts-mode",
"unstable": {
"version": [
- 20230925,
- 320
+ 20240219,
+ 2005
],
- "commit": "8e61fe8ff4795975ec9e225af931d3a514c99445",
- "sha256": "101c1xwrmkb9rq713jij105117y2d0ffiplxsnb3z1h2pgcil0p8"
+ "commit": "f3d9e98dd018a3140efc9b8fb8a96ba829a7e644",
+ "sha256": "1hwjv62h3bjs0lk7pp22mc6qf186jamacvkb9f9jm906r43dbpq8"
},
"stable": {
"version": [
0,
2,
- 0
+ 2
],
- "commit": "8e61fe8ff4795975ec9e225af931d3a514c99445",
- "sha256": "101c1xwrmkb9rq713jij105117y2d0ffiplxsnb3z1h2pgcil0p8"
+ "commit": "a923aa83a61751a588ed3afc133d45898995762e",
+ "sha256": "15aisl4pzdgi5nqpjxllq053fj9011liz53ph9kqvl7mzspffgaj"
}
},
{
@@ -14034,15 +14114,15 @@
"repo": "magit/closql",
"unstable": {
"version": [
- 20230914,
- 1250
+ 20240216,
+ 1729
],
"deps": [
"compat",
"emacsql"
],
- "commit": "909752e2aa946bd91b27a1813982e2b20ae27dde",
- "sha256": "12jan3mwnkjdf06df2ah9pmy2ikrsi436ljk0xcfrns9q4cja6hs"
+ "commit": "81f04f60aab27c0d9161746384d4eeb0633202e2",
+ "sha256": "0a658y097jwk7cn2ra840qspkj7r71jq7yl75vycf93gx5763ywi"
},
"stable": {
"version": [
@@ -14227,20 +14307,20 @@
"url": "https://gitlab.kitware.com/cmake/cmake.git",
"unstable": {
"version": [
- 20231115,
- 1355
+ 20240126,
+ 1513
],
- "commit": "9cfff766eb26ab8b29aa509e42d6b367dd00c7b7",
- "sha256": "0z1f9hrrmgrpcigavadkzdz31m2fl2mm099gwqn550awwaqdp7y3"
+ "commit": "409ab01039dd3c8f5ae0df48a1ee1c356132a9bf",
+ "sha256": "015246558f89vsv8ai5a53nbr1cv3ia37dndqb67r3v1fbysymbi"
},
"stable": {
"version": [
3,
- 27,
- 8
+ 28,
+ 3
],
- "commit": "9cfff766eb26ab8b29aa509e42d6b367dd00c7b7",
- "sha256": "0z1f9hrrmgrpcigavadkzdz31m2fl2mm099gwqn550awwaqdp7y3"
+ "commit": "5e984bb35232116a54de7db39788cb162ca7c263",
+ "sha256": "1bkbszmlmzzj6xnq8xa4fqjd45zkbw4vhhmv99whzq3kmjsmnmca"
}
},
{
@@ -14392,11 +14472,11 @@
"repo": "astoff/code-cells.el",
"unstable": {
"version": [
- 20231015,
- 1328
+ 20231119,
+ 2138
],
- "commit": "8a1f0281f88239b956250a8c76bada8aa9debe2c",
- "sha256": "1yyfwxnq3wfaakij7yvlv8f6gs1y8r3q3vhyqic2q4z98kws7jm9"
+ "commit": "44546ca256f3da29e3ac884e3d699c8455acbd6e",
+ "sha256": "1fc5l87kzmnwxmrq2v7x4jzcplq375v9j0h2yz4grzaql3jcc419"
}
},
{
@@ -14599,6 +14679,30 @@
"sha256": "14jcxrs3b02pbppvdsabr7c74i3c6d1lmd6l1p9dj8gv413pghsz"
}
},
+ {
+ "ename": "coercion",
+ "commit": "71f9f41c54e88107a6340fceb19d40b777543dda",
+ "sha256": "1fx4grkbyycpxflhkhspwsyjplf5wlsrpyd6kz7xyxb83fmx80s9",
+ "fetcher": "github",
+ "repo": "liuyinz/coercion.el",
+ "unstable": {
+ "version": [
+ 20240107,
+ 2154
+ ],
+ "commit": "a96ecfa3a44f2e15349abf265905c6c607cf2c07",
+ "sha256": "0200bq5qv5dkf1mvyhnza0m08z5p7g5irbrmwxd65znr99d648lh"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 1,
+ 0
+ ],
+ "commit": "72c65d4586a31c8b8e8915efcd675f3d7326a212",
+ "sha256": "0hmh4wqxm0nhcqp2dd336mg0kmpfj8kzgd17j9fkv0n36kry35cw"
+ }
+ },
{
"ename": "coffee-fof",
"commit": "9024e5a71c992509a1dea5f673a31b806d5e175e",
@@ -14685,14 +14789,14 @@
"repo": "ankurdave/color-identifiers-mode",
"unstable": {
"version": [
- 20230405,
- 527
+ 20240218,
+ 1801
],
"deps": [
"dash"
],
- "commit": "c4060d1bca6fa2acfe449e086171d4efee62863f",
- "sha256": "1b5zn42gl08v39xcym09ihwfi7zfgzgjsv1ndvfqv0av1kb9xwrj"
+ "commit": "6cb50ae7e61189f55387e5350c20651e081d613c",
+ "sha256": "10miij6w40jki1ii9y05ks9wgzzmpiz3qd6mpicc5c9i83cm0d1j"
},
"stable": {
"version": [
@@ -14778,11 +14882,11 @@
"repo": "emacs-jp/replace-colorthemes",
"unstable": {
"version": [
- 20220506,
- 858
+ 20231116,
+ 2258
],
- "commit": "74ad69bbca6fcfff3c0960d888c7c9c1f9f3e2e8",
- "sha256": "13ff4s372wsl5x13vh4vywhi6qcc54gybhp6rxl0r1l4wxidanwn"
+ "commit": "5f790421b6eff5d2915819fa23cfcdb19827fa91",
+ "sha256": "19r9ymfj7b0m8w2ggmk2syydi57yh2ljg4mrvxi1rljplz4kgqy6"
},
"stable": {
"version": [
@@ -14828,11 +14932,11 @@
"repo": "purcell/color-theme-sanityinc-tomorrow",
"unstable": {
"version": [
- 20230806,
- 1552
+ 20240220,
+ 1651
],
- "commit": "d34e8db507ee0c7b465ff18a8f220c33ed77cd79",
- "sha256": "1mfildi7rav2j42avii7z4gp4ghl04cqv8wp1vyxzl8vkyj60nkb"
+ "commit": "1e6539f3a9b17e916b10b9423e5d617e3b756698",
+ "sha256": "13afznznzjfirldy1qhh42p0r91vaqj7gahcqfdflb8pvzjijdbv"
},
"stable": {
"version": [
@@ -14931,14 +15035,14 @@
"repo": "jcs-elpa/com-css-sort",
"unstable": {
"version": [
- 20220704,
- 647
+ 20240101,
+ 940
],
"deps": [
"s"
],
- "commit": "eba4ecbb52aeca7b7d0f6b80ad21e0d2c70d0685",
- "sha256": "1kmn4amdrn29903qm3b0ha5zmb9piy2i0p34zx4vzkwv7vd6b1jg"
+ "commit": "ad957f427dd6fe4af2f0690487fb5ebb28791741",
+ "sha256": "113pzvw97qd88f1y5rd4zakf6mfcq21s47gr5il3d9balkkgn0q2"
},
"stable": {
"version": [
@@ -15272,11 +15376,11 @@
"repo": "company-mode/company-mode",
"unstable": {
"version": [
- 20231115,
- 2254
+ 20240218,
+ 1812
],
- "commit": "e22230561ef559b032b4a2d79bef686d494b8ea6",
- "sha256": "02lhq2iaxyarvq0h194ivzvw8fncvv0a1hp9dxhd47jr83k0wj84"
+ "commit": "0c0186cca8e7e9a12a44ffe42ad7037ffa6bfac7",
+ "sha256": "0gdn5wbnn8sibyiakn55hz8krncdxlpgy409vvfa5w7jlijbv9cc"
},
"stable": {
"version": [
@@ -15334,14 +15438,14 @@
"repo": "krzysztof-magosa/company-ansible",
"unstable": {
"version": [
- 20200306,
- 1441
+ 20240221,
+ 2255
],
"deps": [
"company"
],
- "commit": "79dd421b161efa49fbdffad57fa40edb41f484a3",
- "sha256": "0b05n6m47vyhirxfqzapzl4gf179aks1296qsw1sw8v84kb5kl0x"
+ "commit": "338922601cf9e8ada863fe6f2dd9d5145d9983b0",
+ "sha256": "1clb5bp0jrimiic9a24sby4hczdqqqzrg2qi9m2az36rwh3mppi4"
},
"stable": {
"version": [
@@ -15584,8 +15688,8 @@
"repo": "tsukimizake/company-dcd",
"unstable": {
"version": [
- 20210307,
- 649
+ 20240218,
+ 1726
],
"deps": [
"cl-lib",
@@ -15595,8 +15699,8 @@
"popwin",
"yasnippet"
],
- "commit": "858500115d4f0285f963698ede9492f409a90e52",
- "sha256": "1b7xcqx297dc5z1rc96gd7y9cx8a7yhgmqh5cpnwfb45hm5s71hs"
+ "commit": "29dc3dc7fd0f7effe8f6a3dfbe7028a2019de48e",
+ "sha256": "1w9d5qa6zvsvf56q3flgw7xz1sq47c72iii0mqvdl6s7ribaz6mn"
}
},
{
@@ -15657,15 +15761,15 @@
"repo": "emacs-eask/company-eask",
"unstable": {
"version": [
- 20231008,
- 1908
+ 20240101,
+ 819
],
"deps": [
"company",
"eask"
],
- "commit": "27f0a46259427df6a29f352b9bf1079812c3f7bf",
- "sha256": "1736fzbcfm0f774459irxfmgdvjzj6a32ia4y70n3qpx6qwrx4x4"
+ "commit": "c1dd9411bed01cc79f8b34d900396e53198b918e",
+ "sha256": "0bm85524kb0dkjprs4y1lnxcpbslwrc2xq3fvajnl0rmy4d8iv5h"
},
"stable": {
"version": [
@@ -15754,16 +15858,16 @@
"repo": "jcs-elpa/company-emojify",
"unstable": {
"version": [
- 20221231,
- 1708
+ 20240101,
+ 926
],
"deps": [
"company",
"emojify",
"ht"
],
- "commit": "89652ee1a5488fbbe7b73c03ac316b895bb3fc19",
- "sha256": "1kfy1jqsh90393yj2wvp2ccr0mvr7idq39033058i90f7md7gsmi"
+ "commit": "f115e03b9d4369f9170f4328028dd9c8080edb3d",
+ "sha256": "0ir20aqs96pmrrd8pa7g7l0vljxgadc5prni87cyryx0x9sj6bhw"
},
"stable": {
"version": [
@@ -15858,16 +15962,16 @@
"repo": "jcs-elpa/company-fuzzy",
"unstable": {
"version": [
- 20230531,
- 743
+ 20240101,
+ 943
],
"deps": [
"company",
"ht",
"s"
],
- "commit": "85dd0a7852db70673d70387a834af01ed705c3f7",
- "sha256": "1r7gjaq2nxfw04m7wfq10pjyfas96rrbr4ygbl467gr57rb1dh91"
+ "commit": "059e3e0893a2b3c0ad4bf27b6963e7547b97b5d4",
+ "sha256": "02n4y3zj7hypqhlki1kh2iha794449p9jyhj1rmr3bqidg2f73ig"
},
"stable": {
"version": [
@@ -16389,16 +16493,16 @@
"repo": "xcwen/ac-php",
"unstable": {
"version": [
- 20230529,
- 1404
+ 20240201,
+ 843
],
"deps": [
"ac-php-core",
"cl-lib",
"company"
],
- "commit": "7b89fbf25360cc146aee9b2b2ca60f3462b21078",
- "sha256": "0fmp1595v06dgmx9skxphknaagf9ds9l7ygi7lzxas1s8d05dj10"
+ "commit": "38b991e1f2dcd05e7e00ed6c33b28fc877271eb3",
+ "sha256": "0rwz5w0djngacbxzidam7vpfgxk82c4l6lp9psw2siv5yr2yqxkx"
},
"stable": {
"version": [
@@ -16563,27 +16667,27 @@
"repo": "radian-software/prescient.el",
"unstable": {
"version": [
- 20221216,
- 112
+ 20231123,
+ 2208
],
"deps": [
"company",
"prescient"
],
- "commit": "72b023f5fc1ae2bbf2f51f1786cc012d40671bf4",
- "sha256": "1mc9pjb80bxcmzaylfwh0sgpvwbx3h35jalznwz464hw3vqfff83"
+ "commit": "c0eca3328313f1e93d24e686307516f43a484ba2",
+ "sha256": "1vj21kcqlsa02nvslmxgxsbv4pc93gakj4x2a6rbk87zl6ccw7pk"
},
"stable": {
"version": [
6,
- 1
+ 2
],
"deps": [
"company",
"prescient"
],
- "commit": "72b023f5fc1ae2bbf2f51f1786cc012d40671bf4",
- "sha256": "1mc9pjb80bxcmzaylfwh0sgpvwbx3h35jalznwz464hw3vqfff83"
+ "commit": "c0eca3328313f1e93d24e686307516f43a484ba2",
+ "sha256": "1vj21kcqlsa02nvslmxgxsbv4pc93gakj4x2a6rbk87zl6ccw7pk"
}
},
{
@@ -16645,15 +16749,15 @@
"repo": "jcs-legacy/company-quickhelp-terminal",
"unstable": {
"version": [
- 20220704,
- 647
+ 20240101,
+ 1005
],
"deps": [
"company-quickhelp",
"popup"
],
- "commit": "08925e9dfe97482795dfe2f14542cfa2fd8895dd",
- "sha256": "1yf0vyqz9hhx8bjlm2ffg6mnyrgb62x9mimy7vpbwjm6qs0dv2zf"
+ "commit": "1ea1dcc8696714f349df21e151bc66fb2cf396a8",
+ "sha256": "0w63yds24185fl6zv33w78hfbg8r2pn063lrrm11izmar25w66xi"
},
"stable": {
"version": [
@@ -17224,11 +17328,11 @@
"repo": "mohkale/compile-multi",
"unstable": {
"version": [
- 20230904,
- 1806
+ 20231226,
+ 2123
],
- "commit": "30edb0e86287101269debf20f43cead92310029a",
- "sha256": "0ppm9zw65f1a7b6qb5hx60i6fxkqarrhlz2kn6p2b48s089dykyp"
+ "commit": "5ca966d73fbc6ec3835ed2db5be8c9ca05fc4586",
+ "sha256": "0p8zxb1wjd67k47g25k4hva2q6wf13214437anqhnw53kps4yfqk"
},
"stable": {
"version": [
@@ -17247,14 +17351,14 @@
"repo": "mohkale/compile-multi",
"unstable": {
"version": [
- 20230904,
- 1806
+ 20231226,
+ 2123
],
"deps": [
"all-the-icons-completion"
],
- "commit": "30edb0e86287101269debf20f43cead92310029a",
- "sha256": "0ppm9zw65f1a7b6qb5hx60i6fxkqarrhlz2kn6p2b48s089dykyp"
+ "commit": "3d5b72478fc5fe0c0fd7431daec516a8ccf3ec76",
+ "sha256": "1hmby8a5sy3d2xvwh5mz65iykbnb2fci6dnwp8rangn8zh517yff"
},
"stable": {
"version": [
@@ -17395,14 +17499,14 @@
"repo": "daviderestivo/comware-router-mode",
"unstable": {
"version": [
- 20230107,
- 1624
+ 20240103,
+ 907
],
"deps": [
"dash"
],
- "commit": "cc0c1937e02f84ca8182b2de3ce5cc0982308ab8",
- "sha256": "0aig2bjfygk3zckfx361r0miz7v3gg0s9aln7m15vprc4xsryj39"
+ "commit": "e1671efe5e0ade2dcbea0c17697d460cd8f0ba67",
+ "sha256": "0f564f4sqdmq966zqjgvxvph2mxkvmkd58gp43x4f67v3b18k41x"
}
},
{
@@ -17626,25 +17730,25 @@
"repo": "minad/consult",
"unstable": {
"version": [
- 20231115,
- 1746
+ 20240223,
+ 1314
],
"deps": [
"compat"
],
- "commit": "d7a0415c4ff3a84b696e5ddbc2ef15d0d45c405d",
- "sha256": "15lvjb0hv3vb7xylavi2xsqdxq3b2ryi0zd866h5a3njhkk1sv76"
+ "commit": "66f7c20899976f23494c7016ce0cd25f1b4ff2e0",
+ "sha256": "1kby7wwl697xwgnzqfzclc1jxdp9wjvcjv86j6wdc2x2kxkpg4rj"
},
"stable": {
"version": [
- 0,
- 35
+ 1,
+ 3
],
"deps": [
"compat"
],
- "commit": "fe49dedd71802ff97be7b89f1ec4bd61b98c2b13",
- "sha256": "0a20rfqv2yfwqal1vx6zzg92qgr32p3rp7n6awnyb010jnykqszw"
+ "commit": "66f7c20899976f23494c7016ce0cd25f1b4ff2e0",
+ "sha256": "1kby7wwl697xwgnzqfzclc1jxdp9wjvcjv86j6wdc2x2kxkpg4rj"
}
},
{
@@ -17813,16 +17917,16 @@
"repo": "mohkale/consult-eglot",
"unstable": {
"version": [
- 20230423,
- 1505
+ 20231209,
+ 1137
],
"deps": [
"consult",
"eglot",
"project"
],
- "commit": "db9d41c9812a5a8a7b9a22fa7f3c314e37584d41",
- "sha256": "1xcv9lj4r9s584gfkbqi9dmi045a3phb2x63fzfd7vpdy15xg47n"
+ "commit": "049c6319b8a48ff66189d49592c7759f0b356596",
+ "sha256": "0q2c7nw88cp63wp5vn8b4n6799ibd76hb472g0mjnrk374h03pai"
},
"stable": {
"version": [
@@ -17847,27 +17951,27 @@
"repo": "minad/consult-flycheck",
"unstable": {
"version": [
- 20230702,
- 1115
+ 20240102,
+ 330
],
"deps": [
"consult",
"flycheck"
],
- "commit": "3f2a7c17cc2fe64e0c07e3bf90e33c885c0d7062",
- "sha256": "0cvxl6ynbns3wlpzilhg4ldakb91ikpibbr9wpb2wkzbgi5c766c"
+ "commit": "d83f87581af74f7a2739d8b1b90c37da5ae3d310",
+ "sha256": "1j1kh6imhryr973i42q41h5jwxclzx5mxvy21ac84pihvvspf71z"
},
"stable": {
"version": [
- 0,
- 9
+ 1,
+ 0
],
"deps": [
"consult",
"flycheck"
],
- "commit": "7a10be316d728d3384fa25574a30857c53fb3655",
- "sha256": "1xg46wynfabk4pd7z7lk7d8x8rwlqk8dxjg4aki5dv6il1swg4cs"
+ "commit": "0662839aa5db429130f5ffd15c14d4a980b2e694",
+ "sha256": "1yi2qa4gbxlyhwc4rj3iidgr1dpdij68gbkgkk55l53p3yl1p2ww"
}
},
{
@@ -18027,16 +18131,16 @@
"repo": "mclear-tools/consult-notes",
"unstable": {
"version": [
- 20231027,
- 1436
+ 20240216,
+ 311
],
"deps": [
"consult",
"dash",
"s"
],
- "commit": "eb4c59b8a43c5b74250f92cf8eb05c659efb04d0",
- "sha256": "01cs1w126r0czqszwrmfjx31drzq9rlmgfqi5swwvvsz1jcgp2pm"
+ "commit": "d6e340044cd1d263f51b7c1877e04566db485f34",
+ "sha256": "1j8rckv9ksizvzznbi2v6hg1jcy9dp02jjzz3pbrpy5mx7n830d9"
}
},
{
@@ -18047,15 +18151,15 @@
"repo": "jao/consult-notmuch",
"unstable": {
"version": [
- 20221216,
- 1701
+ 20240127,
+ 406
],
"deps": [
"consult",
"notmuch"
],
- "commit": "d0d4129d45ccceddaeeaa3631eb42d5dd09a758b",
- "sha256": "0gcd69i99prnskh1na7clydqgh1y9rbzkdc6dy9zmin9hfdrw1yd"
+ "commit": "d8022e2ddc67ed4e89cc6f5bbe664fdb04e1e815",
+ "sha256": "1gricpdzcw61gzw49dmgryi8y9rwh727273pszxpv1i4b25h3sy1"
},
"stable": {
"version": [
@@ -18079,15 +18183,15 @@
"repo": "jgru/consult-org-roam",
"unstable": {
"version": [
- 20230528,
- 855
+ 20240217,
+ 1442
],
"deps": [
"consult",
"org-roam"
],
- "commit": "2ca42a1c1641a29f1447d35be01bd1fda368a9e2",
- "sha256": "142fra7wap6dfwd4c82j7z3nk1yw78slrwhjx6vkiql8ylbiw5fi"
+ "commit": "a6dec09dcd06a3014409044399c4f8860ca45ef1",
+ "sha256": "0121kcxmkb6n880nrnv23amdx05kvnv1p0j27y4aypg12s0fppqr"
}
},
{
@@ -18098,15 +18202,15 @@
"repo": "Qkessler/consult-project-extra",
"unstable": {
"version": [
- 20221013,
- 1014
+ 20231221,
+ 1857
],
"deps": [
"consult",
"project"
],
- "commit": "9fdf45fa40471900b0b158d73c4b1521a13d47ef",
- "sha256": "02m5vslf46kc9qjdyjqg3kjgv7a8vs0vmmc9gjh62nxfnz1dl7gn"
+ "commit": "982e8008d69ea6733a2a7548e245d645c0fefb3f",
+ "sha256": "0zfmfypq781v4vw0zd5z9kqa1f47wiq2hhgmg5yljrgmgnl7j53y"
},
"stable": {
"version": [
@@ -18147,25 +18251,26 @@
"repo": "jao/consult-recoll",
"unstable": {
"version": [
- 20221014,
- 2002
+ 20231211,
+ 1221
],
"deps": [
"consult"
],
- "commit": "8d506e2d01f46fc6b0a0825efb90b062ad6fe3d4",
- "sha256": "11i6fp56mg69vp45avkg19vw2pdnxqsdhzww1vwha30y30q2pqw1"
+ "commit": "ba68d052d9479aeaa5dda15a57a2c070df7d9bca",
+ "sha256": "02igkdhqpl3zylh5v3aw0a93krr2rzdy5kb6azvf4s461jpmwgqv"
},
"stable": {
"version": [
0,
- 8
+ 8,
+ 1
],
"deps": [
"consult"
],
- "commit": "1480027006df774ac3e2f38a6750a369263ea409",
- "sha256": "1l2a7ikh2ii8yhssa2zhqnibrdr6h2gjn87biy7a0npksh8pp35j"
+ "commit": "ba68d052d9479aeaa5dda15a57a2c070df7d9bca",
+ "sha256": "02igkdhqpl3zylh5v3aw0a93krr2rzdy5kb6azvf4s461jpmwgqv"
}
},
{
@@ -18195,26 +18300,26 @@
"repo": "titus.pinta/consult-tex",
"unstable": {
"version": [
- 20231109,
- 1538
+ 20240130,
+ 1536
],
"deps": [
"consult"
],
- "commit": "e4cc88be7b66c45d90e5685344cda392f54fe5ae",
- "sha256": "0h1x29a242gsq36h4hf9wzjq2mxvbqxi0mwkxxi5g0356lk7jqhw"
+ "commit": "46a36ce0046137ba6a0f99112db4651284e87f53",
+ "sha256": "0y1fc768jnv1d1ij0mz0siagsz3yphb9acj0nwprsh5kgbl9zz0q"
},
"stable": {
"version": [
0,
1,
- 2
+ 3
],
"deps": [
"consult"
],
- "commit": "e4cc88be7b66c45d90e5685344cda392f54fe5ae",
- "sha256": "0h1x29a242gsq36h4hf9wzjq2mxvbqxi0mwkxxi5g0356lk7jqhw"
+ "commit": "46a36ce0046137ba6a0f99112db4651284e87f53",
+ "sha256": "0y1fc768jnv1d1ij0mz0siagsz3yphb9acj0nwprsh5kgbl9zz0q"
}
},
{
@@ -18552,25 +18657,25 @@
"repo": "minad/corfu",
"unstable": {
"version": [
- 20231112,
- 819
+ 20240122,
+ 2327
],
"deps": [
"compat"
],
- "commit": "41e35c23a3512df658cdea95b246977923af56f5",
- "sha256": "1gpzlrq631839jds991pzplqnz92yifsmvxbylh4rswpzcmrn46g"
+ "commit": "b48d3017a47706198e04440cc1b3483bdf646771",
+ "sha256": "0l6hpsw2srmad0mhp6x46d5dsg678lvgz5l3glp1845hkg8a0pgi"
},
"stable": {
"version": [
- 0,
- 38
+ 1,
+ 2
],
"deps": [
"compat"
],
- "commit": "d73dcb484e0bfb23fe4b73ad3fc8df3c0d94b096",
- "sha256": "0wh1lw96b2nghwk6lic4k01pfqj73ssw710lx3s8nj2lv5bzh94n"
+ "commit": "b48d3017a47706198e04440cc1b3483bdf646771",
+ "sha256": "0l6hpsw2srmad0mhp6x46d5dsg678lvgz5l3glp1845hkg8a0pgi"
}
},
{
@@ -18610,27 +18715,27 @@
"repo": "radian-software/prescient.el",
"unstable": {
"version": [
- 20221216,
- 112
+ 20240106,
+ 2017
],
"deps": [
"corfu",
"prescient"
],
- "commit": "72b023f5fc1ae2bbf2f51f1786cc012d40671bf4",
- "sha256": "1mc9pjb80bxcmzaylfwh0sgpvwbx3h35jalznwz464hw3vqfff83"
+ "commit": "4b875be52e75f7b81e68a16b62cfbb2f2584042c",
+ "sha256": "1ks7adpyzils4n4clyds7cncbcd0g41ymisyi1jqb0wn9r82i93h"
},
"stable": {
"version": [
6,
- 1
+ 2
],
"deps": [
"corfu",
"prescient"
],
- "commit": "72b023f5fc1ae2bbf2f51f1786cc012d40671bf4",
- "sha256": "1mc9pjb80bxcmzaylfwh0sgpvwbx3h35jalznwz464hw3vqfff83"
+ "commit": "c0eca3328313f1e93d24e686307516f43a484ba2",
+ "sha256": "1vj21kcqlsa02nvslmxgxsbv4pc93gakj4x2a6rbk87zl6ccw7pk"
}
},
{
@@ -18710,15 +18815,15 @@
"repo": "abo-abo/swiper",
"unstable": {
"version": [
- 20231025,
- 2311
+ 20240214,
+ 2118
],
"deps": [
"ivy",
"swiper"
],
- "commit": "8c30f4cab5948aa8d942a3b2bbf5fb6a94d9441d",
- "sha256": "1iqj27pc2iivmwfh329v0d9g0z1y0whlnamrl7g2bi374h41m368"
+ "commit": "749ac1235a7948011cb0caddd4c31037e3314614",
+ "sha256": "0d598jxdrxjlszaikh27v7j2zdndisfqzb384d94siw4rwzfj4zr"
},
"stable": {
"version": [
@@ -19604,26 +19709,26 @@
"repo": "emacsfodder/emacs-theme-creamsody",
"unstable": {
"version": [
- 20230826,
- 1651
+ 20240109,
+ 557
],
"deps": [
"autothemer"
],
- "commit": "5f1bd04241cae39845336a18ea5eab3c5046f6ec",
- "sha256": "0l6awpvrp1943vv5v7shpc50jijl146zp1syk5dis0qp8a698mz8"
+ "commit": "5f4fce9de6920cd2788bf6baf3a3da110f09bffd",
+ "sha256": "0qk76dk3g5whnx49qwahh32di7x9adw6d7gj4rr03d10zlvwsssb"
},
"stable": {
"version": [
1,
0,
- 6
+ 9
],
"deps": [
"autothemer"
],
- "commit": "ac27451f395d1718a05de636dde9a69a2f0cd558",
- "sha256": "1f0ii025crk44mbpfximma0kd6hl12gg6ilhfs7pifzygywkknl8"
+ "commit": "5f4fce9de6920cd2788bf6baf3a3da110f09bffd",
+ "sha256": "0qk76dk3g5whnx49qwahh32di7x9adw6d7gj4rr03d10zlvwsssb"
}
},
{
@@ -19831,14 +19936,11 @@
"repo": "bbatsov/crux",
"unstable": {
"version": [
- 20231013,
- 520
+ 20240223,
+ 1420
],
- "deps": [
- "seq"
- ],
- "commit": "3998b753d0eb4fc5a64ed9c9f05a1427ff4be22d",
- "sha256": "00l0y7alcsgmhiif9isjkw6i7lgq540414m9kzadjqnf49jq28zr"
+ "commit": "a8dbf91e88638aa76f6dcbbdd8621f2ff5673765",
+ "sha256": "1hmny162l2yakhlc76lvk71lqz7invkxfw8v69x31zng16pwdx67"
},
"stable": {
"version": [
@@ -19885,11 +19987,11 @@
"repo": "crystal-lang-tools/emacs-crystal-mode",
"unstable": {
"version": [
- 20230720,
- 2043
+ 20231205,
+ 1943
],
- "commit": "e5b54c3ad728d791772ceccca607d0434ddaf985",
- "sha256": "0jzhr92fbf01z5gr79h5ck696apy5rr7bjblxda1r1anpjayahm4"
+ "commit": "ea89b108fa4222df94ffb99e6e7eaec5d7aa4fea",
+ "sha256": "0h7nj0lwb90va0z1j3p2i14vggkn4j2hmnf3jknig5dfn39wwbpm"
},
"stable": {
"version": [
@@ -20084,11 +20186,11 @@
"repo": "u11/csv.el",
"unstable": {
"version": [
- 20161113,
- 1510
+ 20231216,
+ 1243
],
- "commit": "aa1dfa1263565d5fac3879c21d8ddf5f8915e411",
- "sha256": "1vmazjrfcsa9aa9aw8bq5sazdhqvhxyj837dyw5lmh8gk7z0xdaa"
+ "commit": "8ed083c171a5e8caf11ebfbec67af3119ab1fd90",
+ "sha256": "0dfxp1injjxsmwpffn5bw4zfrwgzgfjcihzifg7cc7ky1dwzmsn9"
},
"stable": {
"version": [
@@ -20435,11 +20537,11 @@
"repo": "lassik/emacs-currency-convert",
"unstable": {
"version": [
- 20210427,
- 2032
+ 20231215,
+ 1526
],
- "commit": "12805ea66aa8421de5eedda39d23f709de634460",
- "sha256": "1p304k3s0iawsrlpndc9vrjxm1vv4nlkv0fb51x8pmcqw5ivy1dg"
+ "commit": "125a718e73f826f461856aabd19bb2de9327531b",
+ "sha256": "1lgbhzhmmcfz27s5mldh7421yk9wgbf4sk0126a912hlb5f3zvr0"
}
},
{
@@ -20579,11 +20681,11 @@
"repo": "gboncoffee/cybercafe-emacs-theme",
"unstable": {
"version": [
- 20230923,
- 200
+ 20231122,
+ 1444
],
- "commit": "62346a69e59a9d19883e081c58cc4bce44dd0aef",
- "sha256": "07aw90xmhvpdf7imsxykvrb90sfxh00brp3y5b1v698wddng43qi"
+ "commit": "c241228914c9bd070733b1e97ea11a5cb6331e86",
+ "sha256": "0wb5dapc6xcga6ajyfdspnavnjrx9m6ww8c3xh783hs2dlk08whi"
}
},
{
@@ -20609,11 +20711,11 @@
"repo": "n3mo/cyberpunk-theme.el",
"unstable": {
"version": [
- 20200601,
- 1632
+ 20240112,
+ 1944
],
- "commit": "81004fc774d373777d426926fc11abcf1e7ab334",
- "sha256": "06nff38pcy5rgz13svkajsg0jjk73qy4a8m7p9f76yxcywxlgxlc"
+ "commit": "1fd5350ddfc53c30e6eef82af77c62d7c825df3c",
+ "sha256": "1zd96mg9366ik85qppkrds6r7axs3naxil66bw992xz1y1khb6pg"
},
"stable": {
"version": [
@@ -20767,11 +20869,11 @@
"repo": "Emacs-D-Mode-Maintainers/Emacs-D-Mode",
"unstable": {
"version": [
- 20230827,
- 2032
+ 20240218,
+ 1753
],
- "commit": "0c8c9e3a5ebb7bfd55bea138a8ad4390abf6b383",
- "sha256": "1n71rigcwhwidac4jrvb1kagqyk7zd9j9gwqmn56id0n75m3lggv"
+ "commit": "dc583981dd2d4097ce1c9a80a958a7a1bf225ad4",
+ "sha256": "1vrv2iwglrv6xnpcrdgy9wwsixz2bcimn3ylh10gyv3rajym69fk"
},
"stable": {
"version": [
@@ -20853,15 +20955,15 @@
"repo": "cbowdon/daemons.el",
"unstable": {
"version": [
- 20231030,
- 1710
+ 20231212,
+ 1324
],
"deps": [
"compat",
"s"
],
- "commit": "c329ca135e3e33a4bd6d898d2e803a6ca4e64b47",
- "sha256": "0b7pml68w9v0qzi492470awdzy670mblw4459xyyyzj9p978lj8b"
+ "commit": "6b6b97b7bac3040cfc58ea5ca7bd9dc9003068fb",
+ "sha256": "1ay1dchhnq1kjp8ygpdimylrnwwacdpxnfnllgwcps9w9cwslipx"
},
"stable": {
"version": [
@@ -20911,14 +21013,14 @@
"repo": "xenodium/chatgpt-shell",
"unstable": {
"version": [
- 20231112,
- 449
+ 20240112,
+ 1749
],
"deps": [
"shell-maker"
],
- "commit": "bca784daa620767b5e57a5020289cb547c40f8b3",
- "sha256": "1gzzfsw0bdyqbq6fgfsl0vwy0z639618sq74kdvk0hnssrbx1f01"
+ "commit": "39dd8e7415ebe6d836a1d721337019cfea89f5ad",
+ "sha256": "0xylvqjhdwmgbcm412jgyir8kwb16j1jzwp708z1p0yaw4j7c54c"
}
},
{
@@ -21025,8 +21127,8 @@
"repo": "emacs-lsp/dap-mode",
"unstable": {
"version": [
- 20231113,
- 706
+ 20240113,
+ 926
],
"deps": [
"bui",
@@ -21039,8 +21141,8 @@
"posframe",
"s"
],
- "commit": "755845ae053bbfdd3f7b3dca13efa4be480370b5",
- "sha256": "0rj0y85gkn50cly77dmy8g1z16czi1vg6qc9sh75x1vl7s1wr615"
+ "commit": "03bcfe90b6acb6811bfa877882ba72949503234d",
+ "sha256": "0yahj7i1h1j824d2ginzvdik4llckjvnhlxfj24dgsh6rl7qnr7g"
},
"stable": {
"version": [
@@ -21152,11 +21254,11 @@
"repo": "grtcdr/darkman.el",
"unstable": {
"version": [
- 20230901,
- 953
+ 20240203,
+ 1209
],
- "commit": "d4d0587a40c60b2f23b953f4702e55a335762a24",
- "sha256": "15ij4g0jg0gal3i4vjmy0kynkv9ji9h5kz6f2a6b0541nxhd8jpc"
+ "commit": "136eac628595c6777eb6b2246a014dfcb3b6c625",
+ "sha256": "0g8jwnx6cgsk02ncfa9bi6nnvw5s5k2fvji7qwz52m2vb2c2l1i6"
},
"stable": {
"version": [
@@ -21296,11 +21398,11 @@
"repo": "magnars/dash.el",
"unstable": {
"version": [
- 20230714,
- 723
+ 20240103,
+ 1301
],
- "commit": "f46268c75cb7c18361d3cee942cd4dc14a03aef4",
- "sha256": "0ck6xwgbkjq7svqgzj3wddr20aqffwcxlmvdg0nj2i9zbksz8rkp"
+ "commit": "e32a70ca636bad42232b6c79f1491dc86802a721",
+ "sha256": "0jkwjkm64ciz60b4bhm50f4c6vc51wi2a12kdd1ijvdnxscnlxx7"
},
"stable": {
"version": [
@@ -21399,11 +21501,11 @@
"repo": "emacs-dashboard/emacs-dashboard",
"unstable": {
"version": [
- 20231031,
- 359
+ 20240222,
+ 59
],
- "commit": "22786237e16cfeae33f07ae9c5eeaf061408579a",
- "sha256": "0la3vfz1qyv687j4n3kky99dla3dh89hh5zhc9v3rbh562jdgr0n"
+ "commit": "ed1a6a452e26e2042faec2e073f1441d73a69447",
+ "sha256": "15hnp1xwwq8mssdz5vi64v80cgv1zziinm11y3pmrhrxmlzm4321"
},
"stable": {
"version": [
@@ -21442,14 +21544,14 @@
"repo": "emacs-dashboard/dashboard-ls",
"unstable": {
"version": [
- 20221231,
- 1629
+ 20240101,
+ 841
],
"deps": [
"dashboard"
],
- "commit": "b24e0bcb87e20ffcc71efb83a97f9516255fa8e4",
- "sha256": "0rmdfm2smk4cji9dljnrxlmpvx2pv96lljdlwqblk5z3klm0wjkx"
+ "commit": "bc79640e8fcc625ff1af31d5e17b054b1f535f39",
+ "sha256": "07ygiy3i8vjvc6ga9dkxahvcznk1fzshy7m9w94jag5jm1vskrh1"
},
"stable": {
"version": [
@@ -21606,11 +21708,11 @@
"repo": "emacs-php/emacs-datetime",
"unstable": {
"version": [
- 20160612,
- 1715
+ 20240105,
+ 1901
],
- "commit": "e6427538b547cbe02e1bd6ed4b765c73620bdae8",
- "sha256": "1573z8wq5m8qzbzmnsz2fmbwrj9c0ava0jjfchzmwm2b3jyvqh5r"
+ "commit": "c4ee8ef11bc95c78c390497f1d1397ca57a96f97",
+ "sha256": "04flgf9qwl42dpbkszifqbya3q5w4qgvxf6scc429hy40dnm2gbd"
}
},
{
@@ -21794,16 +21896,16 @@
"repo": "Wilfred/deadgrep",
"unstable": {
"version": [
- 20231028,
- 506
+ 20231130,
+ 1628
],
"deps": [
"dash",
"s",
"spinner"
],
- "commit": "960b61f4d9bcf75fa0f19c3abb447c63c7d886cf",
- "sha256": "1791i7jxpmqvs3xmyk0c12dmx1cjni6gk6z6f0s4h0fhp6g99zas"
+ "commit": "38abe362997d2f18633a75d04c09da751bf8085e",
+ "sha256": "14brz73fz9zfd95libxajxwc3b4vvljj76fp3xdskxi34z4bs1f3"
},
"stable": {
"version": [
@@ -21827,11 +21929,11 @@
"url": "https://salsa.debian.org/emacsen-team/debian-el.git",
"unstable": {
"version": [
- 20231017,
- 1037
+ 20231207,
+ 2347
],
- "commit": "586300cb4747128b7f8397d9dd9f09fa1e27b118",
- "sha256": "11fwr66nm51n4kmy8y5zpa5lwy4qnnspsbrpbiv3r0p3ivqdga15"
+ "commit": "67dbe593b650b7748e8cbe93fdb8f0cf883563ad",
+ "sha256": "130k9fv057dlb5gkhr6ws0k8fdf6jnd5gxaxq0p2h9h6p9l6djzm"
},
"stable": {
"version": [
@@ -21956,17 +22058,26 @@
},
{
"ename": "decor",
- "commit": "6455cfe4559770fc53348eb3ddd8dbf6e0c3976b",
- "sha256": "0rz1n7qrddy7qh50x04n3bjxkbfdmiqa0v9055clbc2f16snknsg",
+ "commit": "57abce9f9faa4109672516a3a9beff684f8f5a3e",
+ "sha256": "0p6zjxsfjw871qkfifsiiiqvbyp6scp26ysh749a7v2jdcqr7pz5",
"fetcher": "github",
"repo": "KeyWeeUsr/decor",
"unstable": {
"version": [
- 20231101,
- 2354
+ 20231210,
+ 1247
],
- "commit": "aad4fa9f4e0d4140e2707f4cc678b1dc3c0672fa",
- "sha256": "0lxw55l0p5cgp1kgwh0yzh9bc3wvgnd932jmfy77nmiw6wl4achm"
+ "commit": "b0c8dfee69c9c5fe54c82cfcb2638377eb744464",
+ "sha256": "01c65ppj2ygi5vbpgxyk15dbkl8ls8b00l66vd9i2gmkyj0pca93"
+ },
+ "stable": {
+ "version": [
+ 1,
+ 3,
+ 0
+ ],
+ "commit": "b0c8dfee69c9c5fe54c82cfcb2638377eb744464",
+ "sha256": "01c65ppj2ygi5vbpgxyk15dbkl8ls8b00l66vd9i2gmkyj0pca93"
}
},
{
@@ -22096,8 +22207,8 @@
"repo": "jcs-elpa/define-it",
"unstable": {
"version": [
- 20220713,
- 750
+ 20240101,
+ 933
],
"deps": [
"define-word",
@@ -22108,8 +22219,8 @@
"s",
"wiki-summary"
],
- "commit": "de026f399d5b7fa9286f7733b2e3416c6f234372",
- "sha256": "1dhf64sb0ja5bs7zfbzkwk40ccqmb35kv3cdhshlmdf9d9fj9jv0"
+ "commit": "a12331b5f4098b3cb0b046398fc3a34de1651de6",
+ "sha256": "0qxlpzb0bxyqndgg6pcz4y8kn78vzspvx690n4lsp1m85xdzra92"
},
"stable": {
"version": [
@@ -22356,6 +22467,25 @@
"sha256": "0dazxjk3p53y8xpzd62557i9qz0r0hy1xcv7h2vc1mg2jdxlf2xm"
}
},
+ {
+ "ename": "denote-explore",
+ "commit": "f304db78b4bfeb4e1061b4ef221bf46e1bafe9d0",
+ "sha256": "0md432wh8yfsfhn87ncib04aziqj7mv3pfydj79d2k8dq95flyf5",
+ "fetcher": "github",
+ "repo": "pprevos/denote-explore",
+ "unstable": {
+ "version": [
+ 20240212,
+ 716
+ ],
+ "deps": [
+ "dash",
+ "denote"
+ ],
+ "commit": "f1dbb2d93919f7efc0e6067f794b229ef7659d1d",
+ "sha256": "0c891zivwfpfazy9zmvj42gcr9bignbky636xmngf4l7i1p9qcig"
+ }
+ },
{
"ename": "derl",
"commit": "f661504203b6990094307244a1c93cb62c1521d9",
@@ -22574,11 +22704,11 @@
"repo": "astoff/devdocs.el",
"unstable": {
"version": [
- 20230220,
- 2042
+ 20231127,
+ 1905
],
- "commit": "2988d4d201df16d72c3bea465d2b93b554dbddfc",
- "sha256": "04ghf38mdb6829b81fb0j2l8z8i5d14m72x1h3sx1m1fihr7nlxv"
+ "commit": "f0436bc0e2077683438e838acdfc425337898175",
+ "sha256": "04f7idkpbjkyrcaklh5fmchi9s4inixibrikkrrfjyrc85l2j31d"
}
},
{
@@ -22589,11 +22719,11 @@
"repo": "blahgeek/emacs-devdocs-browser",
"unstable": {
"version": [
- 20230423,
- 444
+ 20231231,
+ 1455
],
- "commit": "ef7686e4ff4ecab42e1b4a1a5d079bcf947a5b71",
- "sha256": "12f2z4khcag0mjvis8h20sj32iv3i2p8vvklh7zzc4yzga6pa8va"
+ "commit": "afc460e687bec4eb947ab85d207778fc3b9b3bbc",
+ "sha256": "1qghhswalj7x09hmcxhf9i27apyni2j8jy2pq6aj0499ib3fq89q"
}
},
{
@@ -22604,11 +22734,11 @@
"repo": "susam/devil",
"unstable": {
"version": [
- 20230925,
- 2240
+ 20240107,
+ 2149
],
- "commit": "ad8320c647ec0078caf5f0745520e5c340b191ca",
- "sha256": "1dicp7n2yas40n3vjyikmx3pzawl9b9y09agrvnz9fwas41x8axj"
+ "commit": "dd29681fe07f37c4acbff32a5767bddcbf3b5b80",
+ "sha256": "1597yjzhhnmymkfv9v6d2dhb23h8wy8k13ynpql23lig8fwvnw8d"
},
"stable": {
"version": [
@@ -22814,11 +22944,11 @@
"repo": "ideasman42/emacs-diff-ansi",
"unstable": {
"version": [
- 20230808,
- 1343
+ 20231221,
+ 57
],
- "commit": "c14d1f6c58ddf579cba86b95d160f71589c8cd04",
- "sha256": "11mwkwmvdz3lwwd03ck6nsjzwxg0i0sxk8p1w8952w2dv5kdwfg0"
+ "commit": "cdae72a4713704692aa4edf3433d6b6df1d84746",
+ "sha256": "1fkdc0ilvpgp2p5c654123r7rvjkg8v2a5q182npjw6rry1x652f"
}
},
{
@@ -22844,14 +22974,14 @@
"repo": "dgutov/diff-hl",
"unstable": {
"version": [
- 20230807,
- 1516
+ 20240127,
+ 34
],
"deps": [
"cl-lib"
],
- "commit": "b5651f1c57b42e0f38e01a8fc8c7df9bc76d5d38",
- "sha256": "0w7qhdkgqkk6z306n2c0cx188bbqqsg5vdbxbv1z7pgb3nwdc8ad"
+ "commit": "b8b2727a72fdf64ac98e6cfa136a43cb0cacf72f",
+ "sha256": "0g0gq8n5968qxbqb965kq4crm18n3k6miiiv90pdygykq1b2gww5"
},
"stable": {
"version": [
@@ -22967,15 +23097,15 @@
"repo": "pkryger/difftastic.el",
"unstable": {
"version": [
- 20231018,
- 1043
+ 20240223,
+ 1630
],
"deps": [
"compat",
"magit"
],
- "commit": "3e63dc1dd6eb7c2b7910d9b31a84fab7addaa7f3",
- "sha256": "14za0r446pw3yby6kx8rfkaixx6lcx3blq2nv6l5rly3bkidprjs"
+ "commit": "029baa91145f72ffa95b23fab86c6598de654cfc",
+ "sha256": "0lsf0bi7k1pf9kx9lrb1r1vdplr3a4ij2is46y08zm04dzqpqa1v"
}
},
{
@@ -23009,20 +23139,20 @@
"repo": "retroj/digistar-mode",
"unstable": {
"version": [
- 20230313,
- 1256
+ 20240220,
+ 1600
],
- "commit": "2bb1076778468d90a132c04f7b8455fb887b6bfe",
- "sha256": "0izv1xvsakkdh0bxf4j9a2qzzrrrkzh64gxk59as2jd4vp5pax9g"
+ "commit": "178cb58a3a52d22168f641a5436addf0904d5c45",
+ "sha256": "16mn9g5gxv6g3dcphiyxpwhkn042zkhi6w8941r7f0mc238msnp3"
},
"stable": {
"version": [
0,
9,
- 8
+ 9
],
- "commit": "2bb1076778468d90a132c04f7b8455fb887b6bfe",
- "sha256": "0izv1xvsakkdh0bxf4j9a2qzzrrrkzh64gxk59as2jd4vp5pax9g"
+ "commit": "178cb58a3a52d22168f641a5436addf0904d5c45",
+ "sha256": "16mn9g5gxv6g3dcphiyxpwhkn042zkhi6w8941r7f0mc238msnp3"
}
},
{
@@ -23142,14 +23272,14 @@
"repo": "tarsius/dim-autoload",
"unstable": {
"version": [
- 20230511,
- 2114
+ 20240101,
+ 2213
],
"deps": [
"compat"
],
- "commit": "9e7b4d47f2dc972ec16baa6bd56b016000790ff4",
- "sha256": "1f7syxknpqxvrng1sa9a7ypwg7c4r5gsc3c88rm1p5mrak2xpcg9"
+ "commit": "ace955cd85b3775a028cfda71f2665f33d85bf5d",
+ "sha256": "06wpvr763rsjfgi403n83jsbj7z2jfl1r0l7bb0rdfambhnn9wdh"
},
"stable": {
"version": [
@@ -23225,11 +23355,11 @@
"repo": "jcs-elpa/diminish-buffer",
"unstable": {
"version": [
- 20221028,
- 1921
+ 20240101,
+ 934
],
- "commit": "983854a90ae39ef5d4d7aecaea108dc64aa2a593",
- "sha256": "0zb5afa150cm0dv68ksl21x5k2f6dx8sd7isanad1kpz1kii7c0c"
+ "commit": "e26c299e1f538573d3badae701947005b4a01df7",
+ "sha256": "0y0japf94dw6qwgxlxnjv5vwi472f8gc3lvwfm1h4h0d40dhkd1s"
},
"stable": {
"version": [
@@ -23525,11 +23655,11 @@
"repo": "knu/dired-fdclone.el",
"unstable": {
"version": [
- 20230604,
- 1057
+ 20231128,
+ 1614
],
- "commit": "38555dc5a9427664b9b24af352de7550939625de",
- "sha256": "0n84wyzvr05kkyfzzdz7fm4n4mcxrznknm37l070qzww2rarq96f"
+ "commit": "82f161e4d0d9994d128c922170df54f966af182a",
+ "sha256": "0135pr0wqkfj60iq270nglkq111ljyqqqcsh2s1n293qmyr288b9"
},
"stable": {
"version": [
@@ -23735,17 +23865,17 @@
},
{
"ename": "dired-launch",
- "commit": "31c9a4945d65aa6afc371c447a572284d38d4d71",
- "sha256": "0vhf0iai60mp8sp7snishz6nrw0bcriq4cx64f41lk1adjb2mqaw",
- "fetcher": "github",
+ "commit": "0a09380535730a10340d078749c8ae45407dab14",
+ "sha256": "14f50b0sv0r1jas8rvgs0sa3k55w34hz8glrm6lcmmb19r7ph8xw",
+ "fetcher": "codeberg",
"repo": "thomp/dired-launch",
"unstable": {
"version": [
- 20231016,
- 2048
+ 20240123,
+ 611
],
- "commit": "d36bbed96ca8db8e18b005568f79c3728ce0171b",
- "sha256": "07f4dh3zm4p5pxa0wyjr5pdsd5ks66q9qv3rcbxnrjm6m96g2rqi"
+ "commit": "5bd3e4b8068bc5c345965f09d46709e08e15d666",
+ "sha256": "140z5qxvgm7dd4vl7mcmc641ma806nw6sjc0q1cmlaswyrl6793m"
}
},
{
@@ -24078,11 +24208,11 @@
"repo": "amano.kenji/dired-single",
"unstable": {
"version": [
- 20230306,
- 626
+ 20240131,
+ 1148
],
- "commit": "c781b7dcff6e7f9a5060b067d2cdb0acbc840c49",
- "sha256": "01p3m68pq2k2q3b94m2iy66mmra8zf9dfmn734ac5v6glrapx9gq"
+ "commit": "60fce6599326e12cc2033c28d50b8bf6c6ba164a",
+ "sha256": "125c8w9msr02qdxx9wykg7g1l8xd5zvy0s8rdhql0m71dvdmyj4n"
},
"stable": {
"version": [
@@ -24199,22 +24329,25 @@
"repo": "Boruch-Baum/emacs-diredc",
"unstable": {
"version": [
- 20220113,
- 332
+ 20240219,
+ 2237
],
"deps": [
"key-assist"
],
- "commit": "7ee68f6b1c87f8ab86cf23416472747e88860717",
- "sha256": "18yzqd2rzi6fx7xw2xs0fysc7h3lzlvad4wdg2qq8c6q9028cc25"
+ "commit": "91cdc351e1cf6680b838980121802b682ee2210d",
+ "sha256": "0bqirfvjvi37ysjyvpgnpwjw6pfpxbz9h0jxrhc1dd6cqdh40dr6"
},
"stable": {
"version": [
1,
- 0
+ 2
],
- "commit": "887434054c2cfc521ceb990266cc7bbc12c4a72a",
- "sha256": "16rwxv2mrn79m8hqg79kq7z6fz2l8amh17kny4y3qnsvakpi0hch"
+ "deps": [
+ "key-assist"
+ ],
+ "commit": "a56e26a288f977a46c567c3cfb8c2d2461727c08",
+ "sha256": "1qs3j2mnnd8qnphxdd2ljgc0i05hlycqwp5wwv7pk0px07pxdvfm"
}
},
{
@@ -24681,15 +24814,20 @@
"repo": "emacs-php/dist-file-mode.el",
"unstable": {
"version": [
- 20180830,
- 418
+ 20240107,
+ 2040
],
- "deps": [
- "cl-lib",
- "s"
+ "commit": "8bb2f05487164cd690cac9c9c442969f6f79b81f",
+ "sha256": "0hjvbzrfzf7y3lb48dfbyhcjva1an3bbm8wms2mvfr6sysmxaifr"
+ },
+ "stable": {
+ "version": [
+ 1,
+ 0,
+ 0
],
- "commit": "e1ce8f592bc5d4d86d2f09e334728ac0d524c761",
- "sha256": "09rp83d81y9mm81isrwvacl21vgah7nhi5r4j2xbp13kgdn7my1w"
+ "commit": "8bb2f05487164cd690cac9c9c442969f6f79b81f",
+ "sha256": "0hjvbzrfzf7y3lb48dfbyhcjva1an3bbm8wms2mvfr6sysmxaifr"
}
},
{
@@ -25275,19 +25413,19 @@
"repo": "spotify/dockerfile-mode",
"unstable": {
"version": [
- 20220822,
- 2021
+ 20240223,
+ 1357
],
- "commit": "52c6c00da1d31c0b6c29c74335b3af63ed6bf06c",
- "sha256": "0yj80bcrpckdbhfl64r78q0hmk4hd3ry42lx70ky4h8h7f7l1gra"
+ "commit": "35178a080fb3b61051437570f51fa0cdf2b7772b",
+ "sha256": "0lz6lfyab2f9nw0fwkvvn7zx361c3wm0aar9v2vhb437c0mxzndh"
},
"stable": {
"version": [
1,
- 8
+ 9
],
- "commit": "73a8bcc25547b874e1983a75901f6c31708cd104",
- "sha256": "0bv19mii4h47jllps72h69nwrlbfvwxgca1cl4cdxvpx0zkr6qx7"
+ "commit": "35178a080fb3b61051437570f51fa0cdf2b7772b",
+ "sha256": "0lz6lfyab2f9nw0fwkvvn7zx361c3wm0aar9v2vhb437c0mxzndh"
}
},
{
@@ -25354,14 +25492,14 @@
"repo": "emacs-vs/docstr",
"unstable": {
"version": [
- 20221231,
- 1701
+ 20240101,
+ 901
],
"deps": [
"s"
],
- "commit": "68a72e8a9abac28d8451769cab3846c342f657bc",
- "sha256": "1rdl0hqif6awkyv6wsmpdk08hx7g851n19rzqchcpksdfq8dk7nr"
+ "commit": "f780904cffd40e34d72bef04f73c7e007c5f98c0",
+ "sha256": "04wczm6xlgj0390sys3g6ja3lc01sgcknw2b9l0fmmlav1hzq6v9"
},
"stable": {
"version": [
@@ -25391,6 +25529,21 @@
"sha256": "0r3j7rah6sb5pscnr7p1jnbc6kmlvnpc3paswwxb4byd18dq7jvj"
}
},
+ {
+ "ename": "doctest",
+ "commit": "c318865c6e7c90689bc0e064087a75893cda6317",
+ "sha256": "0ywqwhs919xhdqhizipkqj9hlnzchl61dlda7f0hi6d76wygz20s",
+ "fetcher": "github",
+ "repo": "ag91/doctest",
+ "unstable": {
+ "version": [
+ 20240212,
+ 1801
+ ],
+ "commit": "0a621020e671ccf75de1582b78da5a6ff31e0d69",
+ "sha256": "1yg2hs08kgrz4kyp5z04ldlzhpy0lqsqmx2r4if6qcxyqvm89wva"
+ }
+ },
{
"ename": "dogears",
"commit": "570bde6b4b89eb74eaf47dda64004cd575f9d953",
@@ -25517,30 +25670,30 @@
"repo": "seagle0128/doom-modeline",
"unstable": {
"version": [
- 20231028,
- 1505
+ 20240221,
+ 817
],
"deps": [
"compat",
"nerd-icons",
"shrink-path"
],
- "commit": "dc15e932d8be149c9417a00898db229d9a2f8e6f",
- "sha256": "0j2brh0crzfd5nbwk1ss14jsjfi7b7ynqwg0ml7wc5srwlqmwiqi"
+ "commit": "f67f627574e02b4a474b2dc5e8a68dbdf6cfd913",
+ "sha256": "13rdgb4j62d4aszwdklym17dxghvqabbdg2dv5vzf957nn0kwhkx"
},
"stable": {
"version": [
4,
- 0,
- 1
+ 1,
+ 0
],
"deps": [
"compat",
"nerd-icons",
"shrink-path"
],
- "commit": "87a10ad1a082996eb049d0a6236e936098172ce3",
- "sha256": "0pwfmj01cqij84dig5qj86n7f4cva5mdjqahr0061byrlv9xrpzb"
+ "commit": "bf880ae56f3f6aab7bd334de9bd9b455c63a24c0",
+ "sha256": "0l7yyn8yxyxbsjbs52bp9wh66wdj828scb1gjbi6pk1hrx5x8g9v"
}
},
{
@@ -25570,14 +25723,14 @@
"repo": "doomemacs/themes",
"unstable": {
"version": [
- 20230916,
- 2032
+ 20231208,
+ 2011
],
"deps": [
"cl-lib"
],
- "commit": "4aee1f5a0e54552669f747aa7c25e6027e73d76d",
- "sha256": "019phmlxllzg51c6kxyaixmby1vxd1i512kv5bjs0wklj8p2pcr5"
+ "commit": "ff26f26ea3d761375f5fc4070438fbd0f3473d33",
+ "sha256": "0ag8va9xwr61ra3nn53alggmdnw42x2z7820jyjqff39f5ziqszs"
},
"stable": {
"version": [
@@ -25797,14 +25950,11 @@
"url": "https://salsa.debian.org/emacsen-team/dpkg-dev-el.git",
"unstable": {
"version": [
- 20230220,
- 1635
+ 20231220,
+ 35
],
- "deps": [
- "debian-el"
- ],
- "commit": "af9aad721cb263e495e2f77df458e9496549c04b",
- "sha256": "1vqmi32n3yz4chrbi0473hhh4klxsh63qbydcl44xa91g3ly3vp5"
+ "commit": "767ae6e9c2222e689272089a96f9545f1eb5552c",
+ "sha256": "1dhq1vdwggl8wz812v2r9ipmz35yry3ylkm851p11wizv0z91whm"
},
"stable": {
"version": [
@@ -26085,11 +26235,26 @@
}
},
{
- "ename": "dtk",
- "commit": "39333468fb6e9493deb86511f0032610a412ec8a",
- "sha256": "005x3j5q8dhphhh4c48l6qx7qi3jz9k02m86ww1bzwfzji55p9sp",
+ "ename": "dtext-mode",
+ "commit": "cbc4f8c3451ef2572b494b16bca2105b94e0ff70",
+ "sha256": "085jsr1z785ba506c0538zs4s2mmyf1ar5i1a4kdzb013f2wkmwq",
"fetcher": "github",
- "repo": "dtk01/dtk",
+ "repo": "JohnDevlopment/dtext-mode.el",
+ "unstable": {
+ "version": [
+ 20231120,
+ 1606
+ ],
+ "commit": "5c68d1c05c4606f68384569d9baaef4f6e72fc73",
+ "sha256": "1zsa7pm36fgd3bbjqfi5yfgr27z0wjwjzy9wyaqcn3wlvkd251vy"
+ }
+ },
+ {
+ "ename": "dtk",
+ "commit": "aecc838106590b89dbf3136e7a2b076a82ba9e4b",
+ "sha256": "14l885xghasxb6z40s26jih6dks757na0c031i79p7q0vgwwd8iv",
+ "fetcher": "codeberg",
+ "repo": "thomp/dtk",
"unstable": {
"version": [
20230924,
@@ -26128,19 +26293,19 @@
"repo": "jscheid/dtrt-indent",
"unstable": {
"version": [
- 20230910,
- 1004
+ 20240211,
+ 1111
],
- "commit": "e0630f74f915c6cded05f76f66d66e540fcc37c3",
- "sha256": "1vddrpplc7887gcfg7d52fv1nxv6sgn3w310gr06c6nfk3lwas9y"
+ "commit": "5d1b44f9a1a484ca229cc14f8062609a10ef4891",
+ "sha256": "1k8d4a7hq21ahiad0mlpyi637r0b2mzjpxhz09c69h1nk38nkzjn"
},
"stable": {
"version": [
1,
- 15
+ 17
],
- "commit": "e0630f74f915c6cded05f76f66d66e540fcc37c3",
- "sha256": "1vddrpplc7887gcfg7d52fv1nxv6sgn3w310gr06c6nfk3lwas9y"
+ "commit": "e45fa760eecf74edfa9e8419f9f9773d8a7abadd",
+ "sha256": "0mjdqzl5mbasix8awav2qp9367waycmbcjy07s53nhv2xpqam9la"
}
},
{
@@ -26210,16 +26375,16 @@
"repo": "jacktasia/dumb-jump",
"unstable": {
"version": [
- 20230716,
- 1717
+ 20240114,
+ 354
],
"deps": [
"dash",
"popup",
"s"
],
- "commit": "d9503c157ab88f0ed2fa1301aeb57e95ac564760",
- "sha256": "1v19valcwxn987bq698kd78hxyq4ri0m7s2phzbw3fm4nl65q3i8"
+ "commit": "ede6a04187e79a29ef31d14760ac0d8d4c5f4cc5",
+ "sha256": "1ly7xsfliyw38hqh862p6m37mxl460k4zq1fy3xs0jz9q3ak84iq"
},
"stable": {
"version": [
@@ -26268,11 +26433,11 @@
"stable": {
"version": [
3,
- 11,
- 1
+ 14,
+ 0
],
- "commit": "7cbb0e7277c6cacd1ccf7941cac5a03c25fc63cf",
- "sha256": "1lzhm12sd51m39a0r442afbb102ypvfmac79ngkmz9knn1w2ng50"
+ "commit": "73250f00372d3f28a25963ded6138728f4202663",
+ "sha256": "1c97838q4lfp26z12qwhp2js036cb5l5q8yr76a8msisx22cnncz"
}
},
{
@@ -26405,11 +26570,11 @@
"repo": "xenodium/dwim-shell-command",
"unstable": {
"version": [
- 20231113,
- 759
+ 20240108,
+ 1754
],
- "commit": "e4a139fe181ed1b576302fb36f3761fbf9914580",
- "sha256": "03rincnyz895d0g49gifbjn8b7gr5b37c8fbpk7anrrp29gfr6n1"
+ "commit": "7a2c298424466d2bff7c050e01fb85b5f882dbc3",
+ "sha256": "0ac32n212g9jk76zns6z92syx2himhxll6qr8drvvz98ybjh46pm"
}
},
{
@@ -26511,20 +26676,20 @@
"repo": "rocher/dynamic-ruler",
"unstable": {
"version": [
- 20160602,
- 808
+ 20231126,
+ 1915
],
- "commit": "c9c0de6fe5721f06b50e01d9b4684b519c71b367",
- "sha256": "09skp2d5likqjlrsfis3biqw59sjkgid5249fld9ahqm5f1wq296"
+ "commit": "984877f3ad8dd4e4bdec2fcacb82a11b4f3b5d75",
+ "sha256": "0r495zyl6jgxv6vpg91ls48sdzgwq2c280qw1vflcj29b05kgglz"
},
"stable": {
"version": [
0,
1,
- 6
+ 7
],
- "commit": "c9c0de6fe5721f06b50e01d9b4684b519c71b367",
- "sha256": "09skp2d5likqjlrsfis3biqw59sjkgid5249fld9ahqm5f1wq296"
+ "commit": "984877f3ad8dd4e4bdec2fcacb82a11b4f3b5d75",
+ "sha256": "0r495zyl6jgxv6vpg91ls48sdzgwq2c280qw1vflcj29b05kgglz"
}
},
{
@@ -26800,30 +26965,30 @@
"repo": "aki2o/e2wm-term",
"unstable": {
"version": [
- 20200322,
- 729
+ 20240107,
+ 850
],
"deps": [
"e2wm",
"log4e",
"yaxception"
],
- "commit": "74362d6271e736272df32ea807c5a22e4df54a50",
- "sha256": "1cr2mp1visx4fnxc73sk6gw7wnl1mxfb624rm1sxz7wwry8b8fx9"
+ "commit": "4542e52138484933dd99a497ff1b048ea42f9246",
+ "sha256": "0qjfmzw6af0f00ak2a8p8fdvyjy69nrix3jvaw2j3p4ajw620zpy"
},
"stable": {
"version": [
0,
- 0,
- 5
+ 1,
+ 0
],
"deps": [
"e2wm",
"log4e",
"yaxception"
],
- "commit": "65b5ac88043d5c4048920a048f3599904ca55981",
- "sha256": "0qv3kh6q3q7vgfsd8x25x8agi3fp96dkpjnxdidkwk6k8h9n0jzw"
+ "commit": "4542e52138484933dd99a497ff1b048ea42f9246",
+ "sha256": "0qjfmzw6af0f00ak2a8p8fdvyjy69nrix3jvaw2j3p4ajw620zpy"
}
},
{
@@ -26873,26 +27038,20 @@
"repo": "emacs-eask/eask",
"unstable": {
"version": [
- 20231113,
- 908
+ 20240223,
+ 921
],
- "deps": [
- "dash"
- ],
- "commit": "98c53882a6b6703c62d7a59e0dcdf5e29ca2ca95",
- "sha256": "17isgyg85fdhhhzn9jh6d0cba19ag7zwkppc1n34i2knm91411hm"
+ "commit": "6c672569c6d6e9ad6387b3cef14beca6ba45ae85",
+ "sha256": "0h11iw1b16vd87hg2a6jlrqg3gmxad14mi5vkwc3vc9n4wzrivpv"
},
"stable": {
"version": [
0,
- 8,
- 6
+ 9,
+ 5
],
- "deps": [
- "dash"
- ],
- "commit": "224798a5660717fd4325f13d66ba275a4d2031dc",
- "sha256": "0ixm0dkzdxn35il16ff2r2xjqw7sbfqirkf3w664lrdwa56wzm1b"
+ "commit": "a0361201d5d0c4552b3075cec8ffaf11a867054d",
+ "sha256": "1irwqampchd2n70pnlhamnjnlaaj4qiqhwnmvhjgk5bi51bp8kb9"
}
},
{
@@ -26903,14 +27062,14 @@
"repo": "emacs-eask/eask-mode",
"unstable": {
"version": [
- 20231001,
- 1914
+ 20240101,
+ 819
],
"deps": [
"eask"
],
- "commit": "2fb19f30812c5c0db2bcc7078fdd43958731bfef",
- "sha256": "1ncja693h4xdn96vvb5mzxj900xj29xgv3rynfckkcsfakkpp3r9"
+ "commit": "774bf05f2d778a107f27f8fa47034ad15f16395c",
+ "sha256": "00m1ha91clcjwnxyqszbdw7shgjy602x0f89jqmn1jqasf3wp1kb"
},
"stable": {
"version": [
@@ -26922,6 +27081,44 @@
"sha256": "0l3s5x97kzn8qdix5xlvwnsirgn392pcydnklaj7lhn5y0mrxfd1"
}
},
+ {
+ "ename": "easky",
+ "commit": "f914ce1ae6793f45d27e9d3ba6651d4ed253462c",
+ "sha256": "0aa056dn3360z8dxxd2lxg5iggx0fj3q9vanrbaclzqkybv7bgnw",
+ "fetcher": "github",
+ "repo": "emacs-eask/easky",
+ "unstable": {
+ "version": [
+ 20240223,
+ 743
+ ],
+ "deps": [
+ "ansi",
+ "eask",
+ "eask-mode",
+ "lv",
+ "marquee-header"
+ ],
+ "commit": "f6ee724eef0a289e84a6b00a1b973e92acef4a4b",
+ "sha256": "0vbi892qp82s02cqb2bjfcrr5i4570mxwi2gikg5csg2pnq7gfsg"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 1,
+ 0
+ ],
+ "deps": [
+ "ansi",
+ "eask",
+ "eask-mode",
+ "lv",
+ "marquee-header"
+ ],
+ "commit": "ad528fd56ff4e25deec747dcfc815f4edf56ee68",
+ "sha256": "1mi1xxg26s7sxkwb06yzaq0r270cdlhchlwf0aq678n2h11xzhc5"
+ }
+ },
{
"ename": "easy-after-load",
"commit": "384ffc463cc6edb4806f8da68bd251e662718e65",
@@ -26960,30 +27157,30 @@
"repo": "masasam/emacs-easy-hugo",
"unstable": {
"version": [
- 20230928,
- 134
+ 20240129,
+ 1534
],
"deps": [
"popup",
"request",
"transient"
],
- "commit": "1441922d26da1edad0e9020826c4ea26d63e22e1",
- "sha256": "04qk4njcdl32cjnskg3cj64d0i4f3nqcpqay0faycnkkp2zfswa2"
+ "commit": "ecae28ef6bd70f3b7492592008bfa8776d81d2e7",
+ "sha256": "1712dnzdpfm8b217vjp76mkmlvcpc8ml6db116c4g4yb9531bish"
},
"stable": {
"version": [
3,
10,
- 59
+ 60
],
"deps": [
"popup",
"request",
"transient"
],
- "commit": "1441922d26da1edad0e9020826c4ea26d63e22e1",
- "sha256": "04qk4njcdl32cjnskg3cj64d0i4f3nqcpqay0faycnkkp2zfswa2"
+ "commit": "ecae28ef6bd70f3b7492592008bfa8776d81d2e7",
+ "sha256": "1712dnzdpfm8b217vjp76mkmlvcpc8ml6db116c4g4yb9531bish"
}
},
{
@@ -27054,26 +27251,26 @@
"repo": "knu/easy-kill-extras.el",
"unstable": {
"version": [
- 20230829,
- 526
+ 20240122,
+ 1649
],
"deps": [
"easy-kill"
],
- "commit": "677435739c698ed81c3732188c29aa98bd9ffb08",
- "sha256": "0dk7jvf69mi8p8r98i1hf884s6vrrlg1av1qbxl2bj9d736h9c5l"
+ "commit": "6ec0a1ff47aee681f7aa7af4250ede75815385f2",
+ "sha256": "05lgmy3fqyp6kb0756f36ajpij5lnz29b2wvq7jkbdl0k8c3f6wk"
},
"stable": {
"version": [
0,
9,
- 11
+ 14
],
"deps": [
"easy-kill"
],
- "commit": "677435739c698ed81c3732188c29aa98bd9ffb08",
- "sha256": "0dk7jvf69mi8p8r98i1hf884s6vrrlg1av1qbxl2bj9d736h9c5l"
+ "commit": "ff9de0c9186f8e43cb29611c78756b14e728dbb3",
+ "sha256": "0a3zlp6vsz47rnj8cr2az71cdinkm951jp67yl1cbk2z0v1szjy7"
}
},
{
@@ -27099,6 +27296,38 @@
"sha256": "18bm5ns1qrxq0rrz9sylshr62wkymh1m6b7ch2y74f8rcwdwjgnq"
}
},
+ {
+ "ename": "ebdb-mua-sidecar",
+ "commit": "14ce9b9c023ca8d3b42485253212c65e50a5e352",
+ "sha256": "0zgf4ps0pid58md63l95kryhvi50pjpv4p4vhm0c6d47nwmysw6h",
+ "fetcher": "sourcehut",
+ "repo": "swflint/emacs-universal-sidecar",
+ "unstable": {
+ "version": [
+ 20240115,
+ 1727
+ ],
+ "deps": [
+ "ebdb",
+ "universal-sidecar"
+ ],
+ "commit": "9050eaea7946e613a4b9ecd9dd1462614699edb1",
+ "sha256": "169n0d4hsx9azch1w14wlmilm411hb7v0564xrcwww5w145jrs9m"
+ },
+ "stable": {
+ "version": [
+ 1,
+ 5,
+ 2
+ ],
+ "deps": [
+ "ebdb",
+ "universal-sidecar"
+ ],
+ "commit": "9050eaea7946e613a4b9ecd9dd1462614699edb1",
+ "sha256": "169n0d4hsx9azch1w14wlmilm411hb7v0564xrcwww5w145jrs9m"
+ }
+ },
{
"ename": "ebf",
"commit": "22e2f6383f2a7a01778c0524af19a68af57796ae",
@@ -27140,26 +27369,63 @@
"repo": "joostkremers/ebib",
"unstable": {
"version": [
- 20231103,
- 2
+ 20240205,
+ 1511
],
"deps": [
+ "compat",
"parsebib"
],
- "commit": "6381458947bc6fb6c0605469bceb92784a9fbd67",
- "sha256": "08j6z4rpnvz7vhdrm7y3prf2jpxclqicid6as4qljysq3czzfhay"
+ "commit": "2c5a2c42708302c40d19c36b35422df9e8fc6c73",
+ "sha256": "0b60k4yqmnim9hx25dhyvn6g646mq10pym8k6h176jr06br8kc2y"
},
"stable": {
"version": [
2,
- 39,
+ 40,
4
],
"deps": [
+ "compat",
"parsebib"
],
- "commit": "6381458947bc6fb6c0605469bceb92784a9fbd67",
- "sha256": "08j6z4rpnvz7vhdrm7y3prf2jpxclqicid6as4qljysq3czzfhay"
+ "commit": "2c5a2c42708302c40d19c36b35422df9e8fc6c73",
+ "sha256": "0b60k4yqmnim9hx25dhyvn6g646mq10pym8k6h176jr06br8kc2y"
+ }
+ },
+ {
+ "ename": "ebib-sidecar",
+ "commit": "9ec1923351be3dd7f37d168f931cc040b3697e13",
+ "sha256": "1myd0inr19x65jk52vjrb45fk9fz2640vcdnazdza43spx16ngx3",
+ "fetcher": "sourcehut",
+ "repo": "swflint/emacs-universal-sidecar",
+ "unstable": {
+ "version": [
+ 20240203,
+ 1945
+ ],
+ "deps": [
+ "citeproc",
+ "ebib",
+ "universal-sidecar",
+ "universal-sidecar-citeproc"
+ ],
+ "commit": "fbeaba52260f5ee7323839cbe15c4125532a9240",
+ "sha256": "13gc1m5ayzb1z1npfc3nvmw9md2nspzrrskqif71633rbgik7h5h"
+ },
+ "stable": {
+ "version": [
+ 1,
+ 5,
+ 2
+ ],
+ "deps": [
+ "citeproc",
+ "universal-sidecar",
+ "universal-sidecar-citeproc"
+ ],
+ "commit": "9050eaea7946e613a4b9ecd9dd1462614699edb1",
+ "sha256": "169n0d4hsx9azch1w14wlmilm411hb7v0564xrcwww5w145jrs9m"
}
},
{
@@ -27215,11 +27481,11 @@
"repo": "benzanol/echo-bar.el",
"unstable": {
"version": [
- 20230209,
- 1350
+ 20231121,
+ 1720
],
- "commit": "03cae6d045636948d8b47979d85774e39556f9e1",
- "sha256": "1431xpklnb5894mq3gxc7kvp2q5qa04bnlx8qcxv5l9g2rs0jiih"
+ "commit": "1138151e55fdfd43126c79caf13169a644b47c00",
+ "sha256": "01ydg9a2b4617cqz2w3jb6dk9wkrl559qssa2z1y6gz3awy6fwd6"
}
},
{
@@ -27570,20 +27836,20 @@
"repo": "Fanael/edit-indirect",
"unstable": {
"version": [
- 20220511,
- 1124
+ 20240128,
+ 119
],
- "commit": "f80f63822ffae78de38dbe72cacaeb1aaa96c732",
- "sha256": "13v0fvzh3nv3bm4c3ld7pknw2g76cjkx81hsrmbrd1irlv4s4b00"
+ "commit": "82a28d8a85277cfe453af464603ea330eae41c05",
+ "sha256": "1qjnhhf8c00hnn0b8icq71l73fa1blxfx9q0ahnvpd32wh6i0bql"
},
"stable": {
"version": [
0,
1,
- 10
+ 13
],
- "commit": "f80f63822ffae78de38dbe72cacaeb1aaa96c732",
- "sha256": "13v0fvzh3nv3bm4c3ld7pknw2g76cjkx81hsrmbrd1irlv4s4b00"
+ "commit": "82a28d8a85277cfe453af464603ea330eae41c05",
+ "sha256": "1qjnhhf8c00hnn0b8icq71l73fa1blxfx9q0ahnvpd32wh6i0bql"
}
},
{
@@ -27677,14 +27943,14 @@
"repo": "editorconfig/editorconfig-emacs",
"unstable": {
"version": [
- 20230919,
- 2101
+ 20240129,
+ 257
],
"deps": [
"nadvice"
],
- "commit": "4bf871b7a2f2433d1032ef2b251bfb08c23347f4",
- "sha256": "0an4krh3i2xg156hlc6vmgcjk9chzgicq9jdnx5mkcrqzpfry6yr"
+ "commit": "4b81a5992858cbf03bcd7ed6ef31e4be0b55a7c1",
+ "sha256": "1chhc0jffk7zrll737h2wpr0ccakvgskblfvw5jsprscp00asm11"
},
"stable": {
"version": [
@@ -27823,11 +28089,11 @@
"repo": "sinic/ednc",
"unstable": {
"version": [
- 20230604,
- 1643
+ 20240209,
+ 2028
],
- "commit": "6fdb2da558049ad7978ffac40012ff1e1b2249a6",
- "sha256": "1jdlwngxipq5pzs3kgmzwc99aqk6mi3cf1wv228hhmb8nsm1dqgd"
+ "commit": "2580ada68ecc93aa693c61f997c9cf581698242e",
+ "sha256": "0fr36z0fgz4k9mdv1297dyp2rpdxv8pzx3sklx1nayq4raavnmx3"
},
"stable": {
"version": [
@@ -27935,11 +28201,19 @@
"repo": "oxidase/eff",
"unstable": {
"version": [
- 20230613,
- 958
+ 20240108,
+ 658
],
- "commit": "3ad6a3f3886c532149f9a1c8c740ab95940ab63b",
- "sha256": "0fp4qgxw6nx90bp2mxll3qxg35sd3f4yl76fgc3bv2zj8q8349p1"
+ "commit": "b8ce5d82dc2ef4df912b2b0cbe79e20b455ebd84",
+ "sha256": "0y7hz4cmhr6n91wv5q82ly2fjj4k0ihvc7pgr7wmbj63pvzbdys8"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 2
+ ],
+ "commit": "b8ce5d82dc2ef4df912b2b0cbe79e20b455ebd84",
+ "sha256": "0y7hz4cmhr6n91wv5q82ly2fjj4k0ihvc7pgr7wmbj63pvzbdys8"
}
},
{
@@ -28120,15 +28394,15 @@
"repo": "yveszoundi/eglot-java",
"unstable": {
"version": [
- 20231013,
- 153
+ 20240223,
+ 1709
],
"deps": [
"eglot",
"jsonrpc"
],
- "commit": "ee319cf87a7caaed52acbeb1b3ea00aa44d116b7",
- "sha256": "0s0202adv19krb2qkk5dql49i76sgm5a1vls473qvdmd9f8pl38w"
+ "commit": "f7b1ff5132308a110aeb8fa2364868f8e9fea557",
+ "sha256": "0573l48lchrrzcgy8mj7i5rj3a7jx6sjj8ixd4jd2fjmfssq86x8"
}
},
{
@@ -28165,6 +28439,40 @@
"sha256": "0n9cf3cpf55f4n4nmfl4m2pai1b8hznyivr0vzvf9p56iyfnx98g"
}
},
+ {
+ "ename": "eglot-signature-eldoc-talkative",
+ "commit": "1639318f0f1730ab5b0e575256d93882d2fd063f",
+ "sha256": "03nz0xnzwf1db0b3whz7s8bykp2m9jnlssa9g4mpslaq1bwvfkry",
+ "fetcher": "codeberg",
+ "repo": "mekeor/emacs-eglot-signature-eldoc-talkative",
+ "unstable": {
+ "version": [
+ 20240209,
+ 2034
+ ],
+ "deps": [
+ "eglot",
+ "eldoc",
+ "jsonrpc"
+ ],
+ "commit": "b6604648a2ea5d260fa315ffbaebebbf3872343a",
+ "sha256": "1fs868ddrb0fbgrk4wqk9jc1fyg3jcr6mfzrp65ypi5vdcx9y0kl"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 0,
+ 6
+ ],
+ "deps": [
+ "eglot",
+ "eldoc",
+ "jsonrpc"
+ ],
+ "commit": "b6604648a2ea5d260fa315ffbaebebbf3872343a",
+ "sha256": "1fs868ddrb0fbgrk4wqk9jc1fyg3jcr6mfzrp65ypi5vdcx9y0kl"
+ }
+ },
{
"ename": "eglot-tempel",
"commit": "fb8f1eefbeed8fadfaeb287cb12fe34cfad415b9",
@@ -28214,11 +28522,11 @@
"url": "https://forge.tedomum.net/hjuvi/eide.git",
"unstable": {
"version": [
- 20231112,
- 2210
+ 20240122,
+ 1953
],
- "commit": "1979bec36dba95168c9e6c68bd7d9248502de68e",
- "sha256": "0dizx7clclwlgg858kbhg6ds4aj4949anwk0hf72dn08dxadwk81"
+ "commit": "d497539f00c33e3bee85d0f4b8ca367672fa2219",
+ "sha256": "0gsfmjm71xcwhrznalm49ic47d4x7l6rizmyqr8mb4x8sbdbjhgn"
},
"stable": {
"version": [
@@ -28295,22 +28603,22 @@
},
{
"ename": "ejc-sql",
- "commit": "e01655679087504db1206b22435ba8eb7050aa23",
- "sha256": "13i55l6hwsxbmdxmvh6aajayivgskw4iagmj9in1qkd9rnrykhn9",
- "fetcher": "github",
+ "commit": "add3089ff62ba64bff96523768d18b79653bd491",
+ "sha256": "0i3gcbx6zi9v8v95201rdvq55shsj8bbsv4j8aphcdhz9j4nbaxn",
+ "fetcher": "gitlab",
"repo": "kostafey/ejc-sql",
"unstable": {
"version": [
- 20230822,
- 1534
+ 20240106,
+ 1848
],
"deps": [
"clomacs",
"dash",
"spinner"
],
- "commit": "c99a257c18dbcca02a63172b4f1c2a947c908d8e",
- "sha256": "14vkq7lnrgm7ypa6sx73jlm1k2i8y01347gd4nw7g19vdfdgx016"
+ "commit": "b80b773238719fa7160e598219f300dfbc4db06d",
+ "sha256": "1w2xh207rm4a242iykhzsp3r3s8gv1cw8qr3cvwfbkxqrzmki7z4"
},
"stable": {
"version": [
@@ -28351,28 +28659,28 @@
"repo": "ahyatt/ekg",
"unstable": {
"version": [
- 20231111,
- 513
+ 20240204,
+ 2244
],
"deps": [
"llm",
"triples"
],
- "commit": "adbe9bfb6faf603fcd4958d4b4d6473829d4e7f2",
- "sha256": "10c33xmzay4lm3hi84gsy5vq3sff8ys0r0nn6f1k3kjvwfpyjcr8"
+ "commit": "7d1e426daacd5d5f1e1e8c0e9f2c71e6a557219c",
+ "sha256": "04iz6dy7mnl3mdnach43j5qpfzlyd1lp9np1zjw7y878qdjypalv"
},
"stable": {
"version": [
0,
- 4,
- 2
+ 5,
+ 0
],
"deps": [
"llm",
"triples"
],
- "commit": "adbe9bfb6faf603fcd4958d4b4d6473829d4e7f2",
- "sha256": "10c33xmzay4lm3hi84gsy5vq3sff8ys0r0nn6f1k3kjvwfpyjcr8"
+ "commit": "7d1e426daacd5d5f1e1e8c0e9f2c71e6a557219c",
+ "sha256": "04iz6dy7mnl3mdnach43j5qpfzlyd1lp9np1zjw7y878qdjypalv"
}
},
{
@@ -28562,19 +28870,19 @@
"repo": "radian-software/el-patch",
"unstable": {
"version": [
- 20230409,
- 2156
+ 20231123,
+ 2216
],
- "commit": "7dfbd374f9de256de03516d777146e7f8aba1cef",
- "sha256": "06zzl4m4mszyxv7xcyvlv6h5iyz7yg041m324faxyyml10jyfbj4"
+ "commit": "92803e7ea6e07cd56667ed7ea0dfacfc1f37f6d9",
+ "sha256": "0x2x3ci5i428wgagbwjh9qp2zlflkzlrkbpi6qa4fv7dq3vgkrv2"
},
"stable": {
"version": [
3,
- 0
+ 1
],
- "commit": "d4f4574bcf4005f4fbafde8874cb19b907783956",
- "sha256": "0qkx7f19kl85n56bp3q40200a6ynpkhimcnb3k6x4n6idn6ff2pa"
+ "commit": "92803e7ea6e07cd56667ed7ea0dfacfc1f37f6d9",
+ "sha256": "0x2x3ci5i428wgagbwjh9qp2zlflkzlrkbpi6qa4fv7dq3vgkrv2"
}
},
{
@@ -28893,19 +29201,20 @@
"repo": "emacs-eldev/eldev",
"unstable": {
"version": [
- 20231115,
- 1824
+ 20240219,
+ 1855
],
- "commit": "2aefc4d2820d922073917c7f87ea83c80c930ca6",
- "sha256": "0z98cwzfj71xnq5wk5hgvdf2ar150cr6xs8mxwdi2204mqzzdsnd"
+ "commit": "be713ddddcaa7a569494ea5d7e43c9681a2a8aea",
+ "sha256": "1r1g8j3ph537wir3q3syl9bh96dnb7nnfikg5hvahsv6qcjkx17f"
},
"stable": {
"version": [
1,
- 8
+ 8,
+ 2
],
- "commit": "c207e9537255d9167f9c578b2effc864f7e87743",
- "sha256": "1jzknbdcvq4dp36f7p5abksd524lrlnmh6wycf0h3513wqnrprf8"
+ "commit": "220f54cbd2398843c91065bc3a2375fe8934dcdf",
+ "sha256": "108px7lnf39ngvb8rcqb9qh2amcbs1h22dbwd1q7js2np2nd132y"
}
},
{
@@ -28955,14 +29264,14 @@
"repo": "emacs-eask/eldoc-eask",
"unstable": {
"version": [
- 20230906,
- 611
+ 20240101,
+ 819
],
"deps": [
"eask"
],
- "commit": "c82dedc41772f6a84f02b2fe24bea7ba9512e246",
- "sha256": "100s4vafs6y4pr6v4dchv9m7cca33w5qr1wzya0c1ipljakvp67s"
+ "commit": "ade0f239814f3b8bc77229e903d2c4b806ded90a",
+ "sha256": "1d1zzmsvgp2ww9a53j08v9pk10p2bjzf2937sd1szlhryssgvrmm"
},
"stable": {
"version": [
@@ -29141,20 +29450,20 @@
"repo": "swflint/electric-ospl-mode",
"unstable": {
"version": [
- 20230719,
- 1531
+ 20240221,
+ 2202
],
- "commit": "8bf02159c2658a08198b2765ee979e930378956c",
- "sha256": "08ph91dglwf3i0jrj7aw83kxvqa2q4m7qiwfvsb86h1ilrrnqmwp"
+ "commit": "af8a681966dcf6a51a33188f1483c2a68af94ff7",
+ "sha256": "0pp6j2anzbm3ziv6rb16h9gqiqqcym52b5lfigjr5i33v9a5xdza"
},
"stable": {
"version": [
- 2,
+ 3,
1,
0
],
- "commit": "55fa59592d0d3e929bd8646ea691a592965a167a",
- "sha256": "0lnyxjkqankqij23bpql5lwgz0iyn03xyjl7nps0as6qsabbfldr"
+ "commit": "af8a681966dcf6a51a33188f1483c2a68af94ff7",
+ "sha256": "0pp6j2anzbm3ziv6rb16h9gqiqqcym52b5lfigjr5i33v9a5xdza"
}
},
{
@@ -29329,26 +29638,26 @@
"repo": "rnadler/elfeed-curate",
"unstable": {
"version": [
- 20231110,
- 1646
+ 20231119,
+ 32
],
"deps": [
"elfeed"
],
- "commit": "8d3628c81fc7dd9fefb9c5beca9fe4eab3575238",
- "sha256": "16577gvlxs3dw8i02jgghlnnvq5r8b9d0b833j0d1fy67wj4dj1h"
+ "commit": "195ee944a1dd95380c680d886e15a8aadab50b8e",
+ "sha256": "052wz0aw9mwfzs34pa6wf1kh5fwp155pnp4b4f159nj0w6ih9mk6"
},
"stable": {
"version": [
0,
2,
- 0
+ 1
],
"deps": [
"elfeed"
],
- "commit": "8d3628c81fc7dd9fefb9c5beca9fe4eab3575238",
- "sha256": "16577gvlxs3dw8i02jgghlnnvq5r8b9d0b833j0d1fy67wj4dj1h"
+ "commit": "195ee944a1dd95380c680d886e15a8aadab50b8e",
+ "sha256": "052wz0aw9mwfzs34pa6wf1kh5fwp155pnp4b4f159nj0w6ih9mk6"
}
},
{
@@ -29481,15 +29790,15 @@
"repo": "SqrtMinusOne/elfeed-summary",
"unstable": {
"version": [
- 20230823,
- 925
+ 20231231,
+ 1456
],
"deps": [
"elfeed",
"magit-section"
],
- "commit": "6bad19a7d4b2375233cd3ff571e2e6ed55d457b2",
- "sha256": "0h5x8w5v8a6gs0vlmp1xs9pfnv4bg0sxa719m9q3jdrzarafqmzl"
+ "commit": "7e308adaa351f8c7f6ba839cbcfd4e3cd145401c",
+ "sha256": "1wdycmr7yl4qn921fwnbkwjpl5ys38yikc3y8b2mjp7bzjp38w1w"
},
"stable": {
"version": [
@@ -29513,15 +29822,15 @@
"repo": "karthink/elfeed-tube",
"unstable": {
"version": [
- 20231022,
- 1733
+ 20240123,
+ 1825
],
"deps": [
"aio",
"elfeed"
],
- "commit": "0291038a00c17d780aded05b1e90860a2d586546",
- "sha256": "0pza83vfih4gmqmf3mvpp9nxvhd9rsvszh71r9vfmdafzwqkc31p"
+ "commit": "92c66d6adcebe0588ccf811616decf7ef8a8ac65",
+ "sha256": "1mz2mk9vzdpj0x6dv1grkz03algrsf62kfqrlhnww60vnzqi6i3r"
},
"stable": {
"version": [
@@ -29685,11 +29994,11 @@
"repo": "ideasman42/emacs-elisp-autofmt",
"unstable": {
"version": [
- 20231112,
- 552
+ 20231207,
+ 1018
],
- "commit": "ac9f2cc1482a7ef4b84d87bd990208030bfc5f57",
- "sha256": "1nr5x9mq24w5dib39zyb95c7ksdp3k7scgsb1r5ldywjss4z44da"
+ "commit": "6b280c854f964c303de2f9316f8f955e52893b8d",
+ "sha256": "0dnvyhfw906x234nzdprxlg9jx1wpj4wbfd65ack10zcvl6lwjxq"
}
},
{
@@ -29733,20 +30042,20 @@
"repo": "xuchunyang/elisp-demos",
"unstable": {
"version": [
- 20230726,
- 2059
+ 20240128,
+ 810
],
- "commit": "8d0cd806b109076e6c4383edf59dbab9435dc5dc",
- "sha256": "1yy9gsm16zss7z9prd21ag2dij186r9jpwza463vqd93s2rivzkx"
+ "commit": "1a108d1c5011f9ced58be2ca98bea1fbd4130a2f",
+ "sha256": "1rw7v8xks6rpjk10kw0lkb3f158jsqk6167f3dpmxm3q4000k8nz"
},
"stable": {
"version": [
- 2020,
- 2,
- 19
+ 2024,
+ 1,
+ 16
],
- "commit": "7cc5ae4eac5bd8f38ade9ba1c28ad6faaba82160",
- "sha256": "0mckgaz92v3y2vlkggx9kd51fd1mahylw39c42l51dyv8wscm7sc"
+ "commit": "bf22eddd42c8fcc52648d2cafd842f120d4dc591",
+ "sha256": "1pgg5z3z2pf0vps86lrkxfr36v4c24mpgvj551gixdlrm2s55p0l"
}
},
{
@@ -29951,14 +30260,14 @@
"repo": "wkirschbaum/elixir-ts-mode",
"unstable": {
"version": [
- 20231115,
- 1851
+ 20240116,
+ 645
],
"deps": [
"heex-ts-mode"
],
- "commit": "d56d5915d4540a4f55f495e27407637d0359c774",
- "sha256": "02jys0wnp2s601mgqa6fi3jamjy1dprima1q594wdjf82av2n8sv"
+ "commit": "6db05baed9a34d01edf0bfdd804d951dedc6dccb",
+ "sha256": "1036gh81fhk3q0lfz0k10cxgxvkwq17148l2jcv2czcsmzqiaviq"
}
},
{
@@ -29999,15 +30308,30 @@
"repo": "s-kostyaev/ellama",
"unstable": {
"version": [
- 20231105,
- 1147
+ 20240223,
+ 2012
],
"deps": [
+ "dash",
"llm",
"spinner"
],
- "commit": "702042c0bf79a4e81133fb2acb9031f5eae817b0",
- "sha256": "182i95q0n6r2i774f66jkkmd172d4r8ybhqvayxfmgxr2ryfqwjg"
+ "commit": "5acc209c299b9540265141b466900b0c36dc0ff4",
+ "sha256": "15xaqjcqks6w63107r8w6329876jvj5h1zbwgx9rc40z5qq9vamg"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 8,
+ 7
+ ],
+ "deps": [
+ "dash",
+ "llm",
+ "spinner"
+ ],
+ "commit": "5acc209c299b9540265141b466900b0c36dc0ff4",
+ "sha256": "15xaqjcqks6w63107r8w6329876jvj5h1zbwgx9rc40z5qq9vamg"
}
},
{
@@ -30164,20 +30488,20 @@
"repo": "sp1ff/elmpd",
"unstable": {
"version": [
- 20210904,
- 1340
+ 20240208,
+ 1457
],
- "commit": "4b42a90610fdb05ac1a2811da5acd55493715795",
- "sha256": "1nj310mnckf5mpqici67jzdydbby50la6g6vq7qdaji0c98c335b"
+ "commit": "c6c8c3fa52951c9570041fe78674f267ca8001a5",
+ "sha256": "0kh1zxvzys88f8cl7d27rhyikrykqfdcq9q1p7qw7224f8gzv7ch"
},
"stable": {
"version": [
0,
2,
- 3
+ 4
],
- "commit": "4b42a90610fdb05ac1a2811da5acd55493715795",
- "sha256": "1nj310mnckf5mpqici67jzdydbby50la6g6vq7qdaji0c98c335b"
+ "commit": "c6c8c3fa52951c9570041fe78674f267ca8001a5",
+ "sha256": "0kh1zxvzys88f8cl7d27rhyikrykqfdcq9q1p7qw7224f8gzv7ch"
}
},
{
@@ -30367,20 +30691,20 @@
"url": "https://thelambdalab.xyz/git/elpher.git",
"unstable": {
"version": [
- 20230505,
- 817
+ 20240214,
+ 952
],
- "commit": "81f2883614c303184116449ec3583ef5c136ec2f",
- "sha256": "0cxzv9nx5vshf45r7msaqnq8h7xwi4kd8pb3na3dljlrhwvgdrk6"
+ "commit": "3f53ed5b6550d25a66bc83f6f26d8cfb06b1dcce",
+ "sha256": "1qiqjkbqjv6gw4lyf697jsb081sy2r46i3q6gdssfrhsjjmb8jag"
},
"stable": {
"version": [
3,
5,
- 0
+ 1
],
- "commit": "81f2883614c303184116449ec3583ef5c136ec2f",
- "sha256": "0cxzv9nx5vshf45r7msaqnq8h7xwi4kd8pb3na3dljlrhwvgdrk6"
+ "commit": "3f53ed5b6550d25a66bc83f6f26d8cfb06b1dcce",
+ "sha256": "1qiqjkbqjv6gw4lyf697jsb081sy2r46i3q6gdssfrhsjjmb8jag"
}
},
{
@@ -30406,8 +30730,8 @@
"repo": "jorgenschaefer/elpy",
"unstable": {
"version": [
- 20230803,
- 1455
+ 20240109,
+ 1445
],
"deps": [
"company",
@@ -30416,8 +30740,8 @@
"s",
"yasnippet"
],
- "commit": "7ff8ffa918411887d165764f7a5a12bc46646e73",
- "sha256": "0lh8w5a1abxaa7lf1c4yzgr0sh9szcwdnwd8w2004hv6mrcmyqc6"
+ "commit": "777e9909c8f1c11f1cfb8dbf5fe4a66d2ab95e1e",
+ "sha256": "0acnlp60f2c9fmjac51xjg9r8qr39al2v5j6yd2mb6hi95sxbz3c"
},
"stable": {
"version": [
@@ -30749,15 +31073,15 @@
"repo": "emacscollective/elx",
"unstable": {
"version": [
- 20230511,
- 2113
+ 20240101,
+ 2213
],
"deps": [
"compat",
"llama"
],
- "commit": "f3dfcb41c6471b8150cc19cea5ff96fe1321f5a1",
- "sha256": "1m01fmhxgqrk22llwwafyg3a29h5bhrbdv9mpf6yyqdm2f37h3yb"
+ "commit": "2936dee72b2ef085c1c0a40817e67e868d44612c",
+ "sha256": "1md0kn4cwclv0i6fkrrinf2wjmr08cagxgv1sh6yja0hcvm37ygh"
},
"stable": {
"version": [
@@ -30781,11 +31105,11 @@
"repo": "tecosaur/emacs-everywhere",
"unstable": {
"version": [
- 20230706,
- 1152
+ 20240128,
+ 921
],
- "commit": "44775589ad050134adf433885be0a94398055630",
- "sha256": "08r91hq82j44lksv23bdm0gxmb5xq4j70dr8z0lzbzvwwfgph8hy"
+ "commit": "fbeff19825336777dccaefedf3f376dd622cd294",
+ "sha256": "1lf1nzjr2vpnpb0aix4dq0wn1ixxwill8ii3p7i2aa14mh1p8bw1"
}
},
{
@@ -30796,20 +31120,20 @@
"repo": "knu/emacsc",
"unstable": {
"version": [
- 20230326,
- 1852
+ 20240104,
+ 634
],
- "commit": "b4afd616c4ef160c58fc9a9682d3431b30a9d434",
- "sha256": "0sl7kxdh4bh9irdq1x0w06g0wcn0d4j72dksrl8criq4q2srj4wv"
+ "commit": "9bec453a4170dbcb409b884e3e8d30f388de7b8d",
+ "sha256": "0w24vkxdl7z9yfijc1r02siz48sixdm3mygm5dig0b30kc76agz1"
},
"stable": {
"version": [
1,
- 4,
- 20220420
+ 5,
+ 20230104
],
- "commit": "199c08147ebe98da1004c478c92ba8866950b637",
- "sha256": "0jmbvpqbywp25dgyq0pxxpggvcjkq8lpff37374if1rhiyj0qac2"
+ "commit": "9bec453a4170dbcb409b884e3e8d30f388de7b8d",
+ "sha256": "0w24vkxdl7z9yfijc1r02siz48sixdm3mygm5dig0b30kc76agz1"
}
},
{
@@ -30835,11 +31159,11 @@
"repo": "magit/emacsql",
"unstable": {
"version": [
- 20230417,
- 1448
+ 20240124,
+ 1601
],
- "commit": "64012261f65fcdd7ea137d1973ef051af1dced42",
- "sha256": "1x9r0pg2dv6n8dn1lwrvs9xfkxskr5pgw0sigspfqj3ycbpyz1ks"
+ "commit": "5aba772e562f0b22e36e34c9b4c256ffe439654c",
+ "sha256": "124x32dlpxfg1qb9ssvlnakakkzaz4wx9k5cv65fnhr9spl8d7j3"
},
"stable": {
"version": [
@@ -30859,14 +31183,14 @@
"repo": "magit/emacsql",
"unstable": {
"version": [
- 20230225,
- 2205
+ 20240119,
+ 2314
],
"deps": [
"emacsql"
],
- "commit": "b436adf09ebe058c28e0f473bed90ccd7084f6aa",
- "sha256": "1wc3j33cjshsckwk2s7xnfill6l5j5hnn0w03hqw2k81dfqvb8hc"
+ "commit": "1b8297b671a6e9df9554df5f62d7e0748a2200dd",
+ "sha256": "13mp0iqbr0sn8z119jmlhdgwl19s9rp0xlgsmdjfq3ssz04g8kyg"
},
"stable": {
"version": [
@@ -30921,14 +31245,14 @@
"repo": "magit/emacsql",
"unstable": {
"version": [
- 20230225,
- 2205
+ 20240119,
+ 2314
],
"deps": [
"emacsql"
],
- "commit": "b436adf09ebe058c28e0f473bed90ccd7084f6aa",
- "sha256": "1wc3j33cjshsckwk2s7xnfill6l5j5hnn0w03hqw2k81dfqvb8hc"
+ "commit": "ffd501a588e4ca884f949119e7c79d214dfd2ffa",
+ "sha256": "090ark8r2z5iw3gdk8xjh83nq4vwkbfyjm267l4q05ywlfb92dbl"
},
"stable": {
"version": [
@@ -30951,14 +31275,14 @@
"repo": "magit/emacsql",
"unstable": {
"version": [
- 20230225,
- 2205
+ 20240119,
+ 2314
],
"deps": [
"emacsql"
],
- "commit": "b436adf09ebe058c28e0f473bed90ccd7084f6aa",
- "sha256": "1wc3j33cjshsckwk2s7xnfill6l5j5hnn0w03hqw2k81dfqvb8hc"
+ "commit": "777abb9e9ed47245636cdc7ea814ce12ad11e2ac",
+ "sha256": "0x1cv4m36nsgyks3r5lyap521cayv302d568myw3s6h0licbdr6f"
},
"stable": {
"version": [
@@ -30981,14 +31305,14 @@
"repo": "magit/emacsql",
"unstable": {
"version": [
- 20230409,
- 1847
+ 20240119,
+ 2314
],
"deps": [
"emacsql"
],
- "commit": "f25de357fee74aae7a538e8eae3d9be5eb55c20e",
- "sha256": "1ff2qmgaxg3pfnb7avbbnqsmy3bl7spvx0g4dcdbmnlxvc2f0d5n"
+ "commit": "777abb9e9ed47245636cdc7ea814ce12ad11e2ac",
+ "sha256": "0x1cv4m36nsgyks3r5lyap521cayv302d568myw3s6h0licbdr6f"
}
},
{
@@ -30999,15 +31323,15 @@
"repo": "magit/emacsql",
"unstable": {
"version": [
- 20230409,
- 1847
+ 20240119,
+ 2314
],
"deps": [
"emacsql",
"sqlite3"
],
- "commit": "f25de357fee74aae7a538e8eae3d9be5eb55c20e",
- "sha256": "1ff2qmgaxg3pfnb7avbbnqsmy3bl7spvx0g4dcdbmnlxvc2f0d5n"
+ "commit": "777abb9e9ed47245636cdc7ea814ce12ad11e2ac",
+ "sha256": "0x1cv4m36nsgyks3r5lyap521cayv302d568myw3s6h0licbdr6f"
}
},
{
@@ -31114,25 +31438,25 @@
"repo": "oantolin/embark",
"unstable": {
"version": [
- 20231112,
- 1138
+ 20240221,
+ 1649
],
"deps": [
"compat"
],
- "commit": "3f08fc8ede9dddad3752223e01643058594781b2",
- "sha256": "1a08mpfq79mc1n4g9d07wjf7cm0bgi9a3gxkfdsyw52sy82fdr1b"
+ "commit": "c93abadc8220c0caa6fea805f7a736c346d47e7e",
+ "sha256": "0pmg58anfgsp1v9dg56kbihv86k88pjicid41mzqq9jpwx4wh8x0"
},
"stable": {
"version": [
- 0,
- 23
+ 1,
+ 0
],
"deps": [
"compat"
],
- "commit": "07af44c7de72efde79ac563cbecdfff0b48d8411",
- "sha256": "1dmsaw1m819kzmai45c4cqh5lyyha451f7dxzwwfz9crmzg2w5sf"
+ "commit": "47b0c75d4bf4f72a7af839667c877c80bd493cdb",
+ "sha256": "14alpanynww6z7yxxyiiy24c0p4pq1argsb0pmqavq25mdxgn4v2"
}
},
{
@@ -31143,27 +31467,29 @@
"repo": "oantolin/embark",
"unstable": {
"version": [
- 20230919,
- 1907
+ 20240205,
+ 2122
],
"deps": [
+ "compat",
"consult",
"embark"
],
- "commit": "07af44c7de72efde79ac563cbecdfff0b48d8411",
- "sha256": "1dmsaw1m819kzmai45c4cqh5lyyha451f7dxzwwfz9crmzg2w5sf"
+ "commit": "60139db8794f7e4a08076d9f7597d08f6c8083d1",
+ "sha256": "0ndf59zxi002jqlzfx64in5179xhygd86fhwrhygn8b2mdx7nq2d"
},
"stable": {
"version": [
- 0,
- 23
+ 1,
+ 0
],
"deps": [
+ "compat",
"consult",
"embark"
],
- "commit": "07af44c7de72efde79ac563cbecdfff0b48d8411",
- "sha256": "1dmsaw1m819kzmai45c4cqh5lyyha451f7dxzwwfz9crmzg2w5sf"
+ "commit": "47b0c75d4bf4f72a7af839667c877c80bd493cdb",
+ "sha256": "14alpanynww6z7yxxyiiy24c0p4pq1argsb0pmqavq25mdxgn4v2"
}
},
{
@@ -31319,28 +31645,28 @@
"url": "https://git.savannah.gnu.org/git/emms.git",
"unstable": {
"version": [
- 20231110,
- 2356
+ 20240211,
+ 2229
],
"deps": [
"cl-lib",
"nadvice",
"seq"
],
- "commit": "e4bba7abbcebdc76d9b709846867d50f8bc98513",
- "sha256": "05c63pq3wjmg3ahndsnk67mvv6hv38p5wfd2v7awbngf3la9rrcv"
+ "commit": "9fec86dbb768b3b5714036d08129a20664f57657",
+ "sha256": "1ig6jaw1cg9pchgi864vp812pj66pp2q9crk74b3pfgi2viqg3bz"
},
"stable": {
"version": [
- 16
+ 18
],
"deps": [
"cl-lib",
"nadvice",
"seq"
],
- "commit": "68fb73cbf7ca030506c585cbbe03efbb798f27e9",
- "sha256": "0mx4qzdkz8sss61fl6vimsm4fd8h7jmcrhflibm1dbfaxalbp9rs"
+ "commit": "174a9f1e6a67830cf24c05eb6b99ab3fb11a579e",
+ "sha256": "0awdjsk5ihd52qp3878w732z2infwh5h32n54gz6g23bzm0pdjn6"
}
},
{
@@ -31463,10 +31789,10 @@
},
{
"ename": "emms-soundcloud",
- "commit": "19e423525255371cf479842885eca07e801f6d00",
- "sha256": "0nf1f719m4pvxn0mf4qyx8mzwhrhv6kchnrpiy9clx520y8x3dqi",
+ "commit": "952c7a383d39825805127bd709fa60ac77ef724d",
+ "sha256": "13vpcgqhhxhvgf22jpqidb9a1q4l1x9m8kfdv9ba9h009xf2a1pi",
"fetcher": "github",
- "repo": "osener/emms-soundcloud",
+ "repo": "ozanmakes/emms-soundcloud",
"unstable": {
"version": [
20131221,
@@ -31586,15 +31912,15 @@
"repo": "jcs-elpa/emoji-github",
"unstable": {
"version": [
- 20220704,
- 648
+ 20240101,
+ 935
],
"deps": [
"emojify",
"request"
],
- "commit": "a25c5e4e41c32ee7b3f7707b3d1281929f810d92",
- "sha256": "16fila51fzirfbps7466fsajhzdqaximsgh5y5bklb4q3livz02i"
+ "commit": "0f42d10854239b751a2ae06caa43bcf387f43d4d",
+ "sha256": "0pbm7yfp0swyrn8dnyf0fn6yih32wmjwji2qn9v27lkxmsm9v6vy"
},
"stable": {
"version": [
@@ -31697,14 +32023,15 @@
"repo": "isamert/empv.el",
"unstable": {
"version": [
- 20231007,
- 1911
+ 20240210,
+ 2233
],
"deps": [
+ "compat",
"s"
],
- "commit": "4af3b3624a4714558081d693c91602b9304e8fcb",
- "sha256": "07xwxqjzah7dg62q0sz6ii8gi1aianqzp51aqql7mg8hyssjzpyj"
+ "commit": "6cca36835f150754a5590227d956fc245f10eb5d",
+ "sha256": "1wypvqs135dfb12mc954k53rvq48ljcc1l01fzkq7rwk543i182p"
},
"stable": {
"version": [
@@ -32144,8 +32471,8 @@
"repo": "emacscollective/epkg",
"unstable": {
"version": [
- 20231009,
- 2058
+ 20240212,
+ 1731
],
"deps": [
"closql",
@@ -32153,8 +32480,8 @@
"emacsql",
"llama"
],
- "commit": "2a002fbded9fdc51e45e4d4eb47a30becda3266c",
- "sha256": "0c1ikfpkgkmlv5jgxp950b5bk3bkzdhny5mn18gl5qbpzl59igfi"
+ "commit": "4a245349047f48507fc28f7077061bca3dfcedea",
+ "sha256": "1sk0vnb1prlqb6qpg8w59liqnj9hn2mpcw1mb58jvfc5xb2f19vw"
},
"stable": {
"version": [
@@ -32177,8 +32504,8 @@
"repo": "emacscollective/epkg-marginalia",
"unstable": {
"version": [
- 20230603,
- 1401
+ 20240101,
+ 2215
],
"deps": [
"compat",
@@ -32186,8 +32513,8 @@
"llama",
"marginalia"
],
- "commit": "f5eda857a99d02aad9e89bd80c327930e815fc8a",
- "sha256": "04z5xgcrl2q37fgr9bb1fwnspnn7zq5svmfkfslfmzbb3klvdkcw"
+ "commit": "b8c9fb165ec832b2e930092ce8abe039d415a117",
+ "sha256": "12bf6ly08lhjssl8p257v9dz5w9inv8wq1fb4phprnpcggswxlhc"
},
"stable": {
"version": [
@@ -32750,15 +33077,15 @@
"repo": "ergoemacs/ergoemacs-mode",
"unstable": {
"version": [
- 20230207,
- 1551
+ 20240129,
+ 1407
],
"deps": [
"cl-lib",
"nadvice"
],
- "commit": "c2a918c6ab13e29dba2caf2bd06496babd0dfca6",
- "sha256": "0lbdxc1gwn7lgphkvwfr6jqzs1nwf60wly3n4xiyzjlw6v0ilxd4"
+ "commit": "095129648e9846fbda1f585806de39c12e82f37e",
+ "sha256": "0bwvfgrn44hwswnmkhb3qsvgmaphh4c9vxwdy1rrj9x11n0c54z1"
},
"stable": {
"version": [
@@ -32801,20 +33128,21 @@
"repo": "agda/agda",
"unstable": {
"version": [
- 20200914,
- 644
+ 20240220,
+ 2129
],
- "commit": "aa5e3a127bf17a8c80d947f3c286758a36dadc36",
- "sha256": "0nwriahnkyg1p0xn50c4h2bxg9idm6d9n8mfl7ddzm98j8gv1vwi"
+ "commit": "d4ac6d038e25bb8d4912da7a4c5df91a856e1c9c",
+ "sha256": "0sfd2y2h606m5800a978sm2ram4sx1cbx2ng1d2hf37qqa950j85"
},
"stable": {
"version": [
2,
6,
- 4
+ 4,
+ 1
],
- "commit": "f42acb696e43d382639f04f869e9a99ab36a91c6",
- "sha256": "0n4avd58j45rdcmnwgrmz5s0ril0z4n2z711mwwbahl50f7359ky"
+ "commit": "4293e0a94d15acac915ab9088b2ec028f78d14a9",
+ "sha256": "00yfwwqv4dyka5yg4m9w5dfd9ajn5z51lg7dakj6d03lay76dhh7"
}
},
{
@@ -32825,16 +33153,16 @@
"repo": "positron-solutions/elisp-repo-kit",
"unstable": {
"version": [
- 20231106,
- 404
+ 20231227,
+ 1449
],
"deps": [
"auto-compile",
"dash",
"license-templates"
],
- "commit": "49611de3ed000b5872c63270dd66efa0e6bf76c9",
- "sha256": "1fq1fsgs0dklal5d3gxbb8anaw9kgixpcjzyvvia7lxzlfc7chqv"
+ "commit": "0d9906415a649caff2df7b4b1b3f8f6cc337032a",
+ "sha256": "01cwc63zh7ma4ar793mpzmai1jylgds3zhdp1fc3q2ff8w3h8rzf"
},
"stable": {
"version": [
@@ -32859,20 +33187,21 @@
"repo": "erlang/otp",
"unstable": {
"version": [
- 20231110,
- 1018
+ 20240214,
+ 900
],
- "commit": "bd55bb110c2ae8e51e14f4a26c9116e1db3b3cc3",
- "sha256": "0md6bd463lz806463g9wch3xmmdqaddbbya2mnxx88ncfijx86n3"
+ "commit": "b74bd21d5cb52e0fdc5ea321439c428783feea23",
+ "sha256": "1vjgmda8m2c2shdyk7dy4bspykrbsgvcs5s98fzxsqwf99l2xh20"
},
"stable": {
"version": [
- 26,
- 1,
- 2
+ 27,
+ 0,
+ -1,
+ 1
],
- "commit": "c41d424db42ba84b72f3e25167470c3555723d87",
- "sha256": "0h7v9py78c66nn56b7xrs7lcah21vixxyw6d4f4p5z7k1rvcn4kv"
+ "commit": "b74bd21d5cb52e0fdc5ea321439c428783feea23",
+ "sha256": "1vjgmda8m2c2shdyk7dy4bspykrbsgvcs5s98fzxsqwf99l2xh20"
}
},
{
@@ -33009,6 +33338,30 @@
"sha256": "08gk47fwd4hvl6gby3nyg3f9wq2l6phkkmq6yl04ff1qbjmvnx0p"
}
},
+ {
+ "ename": "ert-results",
+ "commit": "f9efdf1e970398a0a686a587e4678a650534b171",
+ "sha256": "1wm43jyxxyjq83cicidf4xs5rgxsp3954hjsm6q6vx4wbn2x40qv",
+ "fetcher": "github",
+ "repo": "rswgnu/ert-results",
+ "unstable": {
+ "version": [
+ 20240108,
+ 1358
+ ],
+ "commit": "32200a195f68c25a013497329d85ae0703ab475d",
+ "sha256": "1jpvk5dk0jvjz7r9vscbiwh2k7s0x3njnmhwcfz29rcmja82hz2j"
+ },
+ "stable": {
+ "version": [
+ 1,
+ 0,
+ 6
+ ],
+ "commit": "b89ffb3d719e24bb29a9d57cf189f5962caafdd4",
+ "sha256": "1h12hjw2kj0xnbqkqmpxshq887zp892vbpqgfk6k0nvv27m2jhks"
+ }
+ },
{
"ename": "ert-runner",
"commit": "0a1acc68f296e80b6ed99a1783e9f67be54ffac9",
@@ -33515,11 +33868,11 @@
"repo": "peterwvj/eshell-up",
"unstable": {
"version": [
- 20170425,
- 1737
+ 20240217,
+ 2020
],
- "commit": "b00e447ad7941ab31bcbb6bc0205fd492e887e7d",
- "sha256": "1802887ad7y6m40azfvzz6aapdzkp655jpiryimqd11kwbsinmvv"
+ "commit": "160e48a7e0047a2947923d1fc43a9727e51fb25f",
+ "sha256": "0xbcskq2ykwz0hpfjbgzh3gpjldx9y2jxwwdaibhv4j0cf9fyiyq"
},
"stable": {
"version": [
@@ -33832,23 +34185,20 @@
"repo": "emacs-ess/ESS",
"unstable": {
"version": [
- 20230807,
- 1422
+ 20240131,
+ 1041
],
- "commit": "d8914196ceb2061d850cc899aed79342519972ff",
- "sha256": "11pkachbn0lj4qx8xmaaknrx8223hrmq55vqs6apl1a9s9dg62bs"
+ "commit": "ab2faeca1ba6c456333312c58f58ef9e5ef4aa8b",
+ "sha256": "0jfdfqpa3x1zm65cllkzhqir057xd3hxi4z2ddii1i26zy56iikf"
},
"stable": {
"version": [
- 18,
- 10,
- 2
+ 24,
+ 1,
+ 1
],
- "deps": [
- "julia-mode"
- ],
- "commit": "0728c6fdf8e13f10ee50b27fb3f39e7c229f05b1",
- "sha256": "1yq41l2bicwjrc0b731iic20cpcnz6ppigri1jn621qv2qv22vy3"
+ "commit": "ab2faeca1ba6c456333312c58f58ef9e5ef4aa8b",
+ "sha256": "0jfdfqpa3x1zm65cllkzhqir057xd3hxi4z2ddii1i26zy56iikf"
}
},
{
@@ -34000,15 +34350,15 @@
"repo": "ShuguangSun/ess-view-data",
"unstable": {
"version": [
- 20230503,
- 643
+ 20240127,
+ 1701
],
"deps": [
"csv-mode",
"ess"
],
- "commit": "c0b20e503c772eebbef6bbc6c89a707ba6ec42ec",
- "sha256": "1ig2bsl0hqq21rza7ydcw15zdz5jfg076fyhnnk57gkp9ihna7mz"
+ "commit": "c077741bc3386a469635ca7438db4cf58b7541b9",
+ "sha256": "0gbim8hkflj5cxg84wnv32zfwacri3v26azmcfzh6w3mkflfp1bq"
},
"stable": {
"version": [
@@ -34474,15 +34824,15 @@
"repo": "emacs-evil/evil",
"unstable": {
"version": [
- 20231106,
- 1213
+ 20240222,
+ 652
],
"deps": [
"cl-lib",
"goto-chg"
],
- "commit": "c4f95fd9ec284a8284405f84102bfdb74f0ee22f",
- "sha256": "07frgwzj6jfrcln6vc4c0pahgfrbrky50gz3mzck781xpmvkhwj9"
+ "commit": "a7ffa73bbdc523c0e473d79c0ded7c6457bcb65c",
+ "sha256": "16npmdas70myr0nhy9fn7rl7nz2gcyqwnhbcky27a75j22vvfj9h"
},
"stable": {
"version": [
@@ -34537,14 +34887,14 @@
"repo": "wcsmith/evil-args",
"unstable": {
"version": [
- 20220125,
- 1626
+ 20240210,
+ 504
],
"deps": [
"evil"
],
- "commit": "2671071a4a57eaee7cc8c27b9e4b6fc60fd2ccd3",
- "sha256": "13avgl0whfp1xdsmp0j7qr5p2nh59swb2lyfpr7fz6bkgifrn212"
+ "commit": "a8151556f63c9d45d0c44c8a7ef9e5a542f3cdc7",
+ "sha256": "05xxgchkybbixxq2k1wdg5b4f636cc5nna83gfqvbflnza7fl5h7"
},
"stable": {
"version": [
@@ -34604,8 +34954,8 @@
"repo": "emacs-evil/evil-cleverparens",
"unstable": {
"version": [
- 20231001,
- 1423
+ 20240103,
+ 926
],
"deps": [
"dash",
@@ -34613,8 +34963,8 @@
"paredit",
"smartparens"
],
- "commit": "134fe3396f975fb1ad261f52cd113f42ac1da4fc",
- "sha256": "1jaakqfrhb0xr1ffph9qnpl0hlgggskz0lz9d880v6dlkg6w7z85"
+ "commit": "e8ccec32867e12d70a7cff5c70e4ac91f58dbd20",
+ "sha256": "1pyzn3knh9rbyzmk7jsb9z1vjsgvc020k2mjnsdl5szib5bvjm6m"
}
},
{
@@ -34675,15 +35025,15 @@
"repo": "emacs-evil/evil-collection",
"unstable": {
"version": [
- 20231019,
- 1228
+ 20240223,
+ 1627
],
"deps": [
"annalist",
"evil"
],
- "commit": "18304d9d9e4243687a7bf915dc7e591a216873c6",
- "sha256": "1qcnxs22ghan5jsla05cprvgl6mswyaymby2z2yrhykrch4zak7g"
+ "commit": "54e1eb02d918fb38b4e71c87ea9a8fe8f499dc5f",
+ "sha256": "18v3bnz7k5b2gnyp36dmmdxpmr7d1pnim31wpz91rmj5hs58qn8x"
},
"stable": {
"version": [
@@ -34806,15 +35156,15 @@
"repo": "emacsorphanage/evil-escape",
"unstable": {
"version": [
- 20221010,
- 2116
+ 20231122,
+ 2114
],
"deps": [
"cl-lib",
"evil"
],
- "commit": "3c335a5709b1abb08687960038746b36add465f9",
- "sha256": "1z30v59igndqzxg9gcx4bsbf5jkh11wp936il8azkrz3qdryaj4a"
+ "commit": "bdb1e69971520cbd65fe61830a1cdea5734d743c",
+ "sha256": "0vdmzp4hxqvng5pw3iz7flc36ndaphnia8s1cg229i8vm27lrmqy"
},
"stable": {
"version": [
@@ -35223,20 +35573,20 @@
"repo": "redguardtoo/evil-matchit",
"unstable": {
"version": [
- 20231011,
- 1119
+ 20240216,
+ 1304
],
- "commit": "fe4cc5243a23ba7aa283803b200993f23b5dafef",
- "sha256": "0zjlnl40yx1n5d275m5kd46hx758g301akr2jbnbrrifv18p3j7h"
+ "commit": "1c4fefa9bb11cc4b1d7f10614d2021c12ed12e89",
+ "sha256": "1m5y5n38w0ijzx2kl9d0nnw70ykx2prmnvv4ip9siad71k7wpwjg"
},
"stable": {
"version": [
3,
0,
- 2
+ 4
],
- "commit": "f27b2a87bab75e3b8a87c277049a4363cdfcbf61",
- "sha256": "0igz4kcfb01f9h49n5x9p1idzap54nkw62i1xrql1zlj54s8rv6b"
+ "commit": "1c4fefa9bb11cc4b1d7f10614d2021c12ed12e89",
+ "sha256": "1m5y5n38w0ijzx2kl9d0nnw70ykx2prmnvv4ip9siad71k7wpwjg"
}
},
{
@@ -36158,15 +36508,14 @@
"repo": "laishulu/evil-textobj-syntax",
"unstable": {
"version": [
- 20231016,
- 1544
+ 20231119,
+ 1633
],
"deps": [
- "evil",
- "names"
+ "evil"
],
- "commit": "c1a7afe81bca3da6a2fdc7ea9376fdc2e1e9249c",
- "sha256": "1xlav0ii17b4j3d7q6mkn48q3cxnmq0kyipxrayqn5njn6rg31ap"
+ "commit": "64252ded690a2e65b71a1c84aa3acd24e704d02f",
+ "sha256": "1p85qq8zag3cvpsx4pgh0hd3a6gj5p802zb28077fhqbzmywnjmg"
}
},
{
@@ -36177,11 +36526,11 @@
"repo": "meain/evil-textobj-tree-sitter",
"unstable": {
"version": [
- 20231115,
- 1445
+ 20240213,
+ 522
],
- "commit": "9a9edd42a2dca9dfd0bc6026d47f689fa117b90f",
- "sha256": "0j2zrhdffr3i9bwnaam5n908xmaq9z230sx6flid94cp9pvsxmxb"
+ "commit": "856773515072bdce88c8420f44bc84fbcbf96af4",
+ "sha256": "10shjlp6dihhnriw8mg7mkwb2b5xnagkikzq5nknf9xpn7pjp3rr"
}
},
{
@@ -36210,8 +36559,8 @@
"repo": "ethan-leba/tree-edit",
"unstable": {
"version": [
- 20231108,
- 1749
+ 20231206,
+ 1836
],
"deps": [
"avy",
@@ -36220,8 +36569,8 @@
"tree-edit",
"tree-sitter"
],
- "commit": "f5e2b467f14a2980dbe1a862ce0b27e768b2e980",
- "sha256": "1xf4aygk4vc0pi590ca9nm1j9s64bv018xz5w8g4ln7rgpwdk408"
+ "commit": "9e3635e3fd0449bf259d42ea29c93e46ef623fe7",
+ "sha256": "1m386ybbai27la2aqkran5srrc98braibqzfdzrs8i0906gp8lk7"
}
},
{
@@ -36280,27 +36629,27 @@
"repo": "clsty/evil-tutor-sc",
"unstable": {
"version": [
- 20230705,
- 656
+ 20240215,
+ 5
],
"deps": [
"evil",
"evil-tutor"
],
- "commit": "1a1bc93eeb432159591b6dbe6684068311c89a46",
- "sha256": "1l0lcxjf5fn3r9xwcjnql95fbqx23a4d6a3wnyrw3jzm3hiyckgk"
+ "commit": "9ae3cff4b1d44d74989e832cfa566c20bbe6bb1a",
+ "sha256": "1dqb1g6q8szknajr4rb9l2nra507k1qfmiwwx1mdhbvvfp3c6qjz"
},
"stable": {
"version": [
2,
- 1
+ 2
],
"deps": [
"evil",
"evil-tutor"
],
- "commit": "9a4a58d4d98c1118383df6ebd352413b95c68457",
- "sha256": "1b0pv11793kmrcbb3gj52qnsrcak2dxwxfkqpj3sjss8zpd68bdj"
+ "commit": "9ae3cff4b1d44d74989e832cfa566c20bbe6bb1a",
+ "sha256": "1dqb1g6q8szknajr4rb9l2nra507k1qfmiwwx1mdhbvvfp3c6qjz"
}
},
{
@@ -36664,14 +37013,14 @@
"repo": "purcell/exec-path-from-shell",
"unstable": {
"version": [
- 20230804,
- 645
+ 20240216,
+ 1606
],
"deps": [
"cl-lib"
],
- "commit": "03fc0a38af9e396c98f5a30c392cf757b3a34feb",
- "sha256": "1wmr1z603wmcv8hxzxn84qxzdwmzmdm8x6f3n0x7klviq4jw6v2x"
+ "commit": "d95677ad608c214647b87bc20df1642763e3b400",
+ "sha256": "1r32id7fkqhvr6whfx71v4svf70bav5k8b6dlp77gv6p1zyckm22"
},
"stable": {
"version": [
@@ -36801,10 +37150,10 @@
"repo": "magnars/expand-region.el",
"unstable": {
"version": [
- 20231020,
- 620
+ 20240119,
+ 1039
],
- "commit": "9e3f86c02c5e2ab6f0d95da8a34045b54f6166d1",
+ "commit": "e8f4e0fe9c9a80a6a26e2b438502aba9a799d580",
"sha256": "0mw1jlgdp17k56rq4g801z7y35h4infm9cy3mh8jw5wqqar5ifa6"
},
"stable": {
@@ -36955,11 +37304,11 @@
"url": "https://repo.or.cz/external-dict.el.git",
"unstable": {
"version": [
- 20230926,
- 748
+ 20231129,
+ 1043
],
- "commit": "5ff41c9151647ef68bf61eff318fc76a3fe558f2",
- "sha256": "1rwhkxxs5hzpc80qza7rfxh18q3hs02azlcal468zl3q67vhwnaw"
+ "commit": "17807ac7e671b44da495e7e3fac5b8cb72a25feb",
+ "sha256": "1vb6xpwpvr0ly36rzbk7hvxxmr33yb0sif0x0sc5ld4zigr23xl6"
}
},
{
@@ -37013,11 +37362,11 @@
"repo": "agzam/exwm-edit",
"unstable": {
"version": [
- 20220414,
- 106
+ 20240221,
+ 124
],
- "commit": "b5b7e950f57e30befd68d51df34540b70e6ac28f",
- "sha256": "11yjvry950xiq91rzbx9jsa8hx3lnabhkqzgiqlcgx36q6diw6fh"
+ "commit": "19ce910a9183aac78365d674cbeb630464ff1836",
+ "sha256": "06p17hbbv3dk0rsd3yw9f7bqnsar4qphppc9vm870qzmy51np47p"
}
},
{
@@ -37110,14 +37459,14 @@
"repo": "SqrtMinusOne/exwm-modeline",
"unstable": {
"version": [
- 20230331,
- 1747
+ 20231225,
+ 2340
],
"deps": [
"exwm"
],
- "commit": "852a88a58714e3e59675b79b185f036f7b0300e8",
- "sha256": "1al02ikfjc2nxlfd0gwn9a92rs3v8g3590130nqghmmpvagl9vj9"
+ "commit": "f72e65818f90c754edb8d0dcff47e8248a7f0a56",
+ "sha256": "1qwxhkp5phbnzfijxlg7ldr68wpny4y07khhlbik3s6bn6829afh"
},
"stable": {
"version": [
@@ -37303,15 +37652,15 @@
"repo": "rejeep/f.el",
"unstable": {
"version": [
- 20230823,
- 1159
+ 20231219,
+ 750
],
"deps": [
"dash",
"s"
],
- "commit": "ab3ee3811c53f9c2144ff45130361f3da242ffa2",
- "sha256": "07jsgq8jx1dxwlij61pbzsmlb047jsbk1ssr1d8301kssaa9qls8"
+ "commit": "634b0ecdeaa192ef0dd10db312a67ebe5c2d5852",
+ "sha256": "1ziaagd1j076c89w8kw09slmixhxhczi51a5m8wwmhhn4jks3f6r"
},
"stable": {
"version": [
@@ -37513,11 +37862,11 @@
"repo": "ideasman42/emacs-fancy-compilation",
"unstable": {
"version": [
- 20230824,
- 22
+ 20231228,
+ 2247
],
- "commit": "32dc801a921428e4abaeb826449c86fc3c96c0f8",
- "sha256": "1hk4dkddrgdy1l03dscmnsgghp1y92mkngkacr7vv8wx3lsixm8w"
+ "commit": "74833d618024cee47c24aabdc6e9daa4009d0690",
+ "sha256": "1c8rfhab3bi8aw0kyrcb7dz6zcknv3r5dcnrq4z784pqs1gjdq2l"
}
},
{
@@ -37824,11 +38173,11 @@
"repo": "cute-jumper/fcitx.el",
"unstable": {
"version": [
- 20190806,
- 1923
+ 20240121,
+ 1829
],
- "commit": "12dc2638ddd15c8f6cfaecb20e1f428ab2bb5624",
- "sha256": "0ahw2pi6i693s4mdjdkisy94yvg0wgmd3c6zi0z4yi60b6irskdn"
+ "commit": "b399482ed8db5893db2701df01db4c38cccda495",
+ "sha256": "0hpkyjil50b4wgl8wvlfg8g76m2mjl45wa316wdqyiwnq2mvif13"
},
"stable": {
"version": [
@@ -37879,36 +38228,6 @@
"sha256": "1fas0fpvym2lyzybwm44gly9jz6a2fpp72yxnwfbqqjkfgk9910y"
}
},
- {
- "ename": "feather",
- "commit": "ffb7d037679110473a8c3f9e98f737ecaba37c40",
- "sha256": "1k3sxwpibk5sdim4pzfi83pzsm4vnq0xl006dy76pv363r9mvs21",
- "fetcher": "github",
- "repo": "conao3/feather.el",
- "unstable": {
- "version": [
- 20200321,
- 1237
- ],
- "deps": [
- "async",
- "async-await",
- "page-break-lines",
- "ppp"
- ],
- "commit": "529b7ec69f1694d7dc8aacb5066cf4ddcf24cc58",
- "sha256": "0flph6yv5fj5ladksjqfpj9j8p2jcc102kbc833bvx1cnmjx7qk4"
- },
- "stable": {
- "version": [
- 0,
- 0,
- 1
- ],
- "commit": "4cb69055cfc42841bad1de072f69dd6923899766",
- "sha256": "1fq5ysxwiaah56rizkc47vjqi8906af3ga1n1frvrvap8m9vdz4m"
- }
- },
{
"ename": "feature-mode",
"commit": "0a70991695f9ff305f12cfa45e0a597f4a782ba3",
@@ -37940,14 +38259,25 @@
"repo": "martianh/fedi.el",
"unstable": {
"version": [
- 20231112,
- 911
+ 20240220,
+ 1434
],
"deps": [
"markdown-mode"
],
- "commit": "e606fd40de36ad2131d027dec4f254e59a120dd5",
- "sha256": "1chzzpk19z0siylh3z9sc05pjhibj9ld37iakc2v082dhd3f3sxk"
+ "commit": "ffcb84bb132a72c9d787b4f6d8481d27da623d41",
+ "sha256": "0a5zq7axxh3khx6465s7ym9s7v2iw7ky9z486d0zg41k7926bm9d"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 2
+ ],
+ "deps": [
+ "markdown-mode"
+ ],
+ "commit": "ffcb84bb132a72c9d787b4f6d8481d27da623d41",
+ "sha256": "0a5zq7axxh3khx6465s7ym9s7v2iw7ky9z486d0zg41k7926bm9d"
}
},
{
@@ -38026,11 +38356,11 @@
"repo": "technomancy/fennel-mode",
"unstable": {
"version": [
- 20231022,
- 1926
+ 20240217,
+ 1848
],
- "commit": "5965c8fc693a49e65237a087e693690cf8c9fcb3",
- "sha256": "1qxrhrnnxjmw6a1q0v12h2ix5l3d5rp2knf4ppg808mx8b8dcm5w"
+ "commit": "0997a3853e56bfcd29167687f8ababcf8fd89c36",
+ "sha256": "0l5a7xgrdcrflv33f4l8sszjn0qnhmnljad5r7iwgsymz7jvav8d"
}
},
{
@@ -38056,15 +38386,15 @@
"repo": "jcs-elpa/ffmpeg-player",
"unstable": {
"version": [
- 20220704,
- 641
+ 20240101,
+ 926
],
"deps": [
"f",
"s"
],
- "commit": "2c41d715b012f399e661a816376824a8dea0b941",
- "sha256": "1s41kxjdj70a3mf1mldmgv0gd4hqd0bx9nmb3kn5f3z4wjnhns6x"
+ "commit": "c3808dc1c39499a81e1b9463526fda924fd1f062",
+ "sha256": "0j0l5239wd14bf0qjr51d0vsx5cbbsfc02qzxzs163lkp9kklzr9"
},
"stable": {
"version": [
@@ -38152,15 +38482,15 @@
"repo": "Artawower/file-info.el",
"unstable": {
"version": [
- 20230929,
- 924
+ 20231207,
+ 2041
],
"deps": [
"browse-at-remote",
"hydra"
],
- "commit": "21fb5d779be88364e464e54c8bb94d04518f6474",
- "sha256": "1gj41r4fyiq876bg57a3kvxbf53x2dww4vhs551mqn8sak3lwmlv"
+ "commit": "158524c92f37eeccf55e096f3090db2baa12fbae",
+ "sha256": "0l17mmail2gcz71rmk2p1p4lf0lc10mhj5pdq1c1dpppri42cbwm"
},
"stable": {
"version": [
@@ -38262,11 +38592,11 @@
"repo": "davidshepherd7/fill-function-arguments",
"unstable": {
"version": [
- 20210617,
- 1538
+ 20240213,
+ 2249
],
- "commit": "60a5a3c3004cffa111202b42217d604f63d72e70",
- "sha256": "0z2a1h3lrkywlgccd66gi076bj1l52szp2bl337hrvdrla2hb3lx"
+ "commit": "9def8ced5241b10067ae85c89ae34359c2e4847a",
+ "sha256": "16iikjpi8r2gx6hd25nc50ajjqkn9jaavpxry3ma2i57jm59dr5w"
},
"stable": {
"version": [
@@ -38285,11 +38615,11 @@
"repo": "jcs-elpa/fill-page",
"unstable": {
"version": [
- 20220704,
- 650
+ 20240101,
+ 930
],
- "commit": "916f4ee74d4290575795042ed09a1c81eb66ba8f",
- "sha256": "0biw3p4jznc8zhm1yi8rdj42j4phxkq3nksnm2vp340vsl4xb4ln"
+ "commit": "c2bf4d4661c7f618e3c3334dbfd9a142371806d6",
+ "sha256": "1rgb4jj0fz37h09f9zyn8915mfc8x6dhhqbizq6vsq66cdcb1gac"
},
"stable": {
"version": [
@@ -38557,8 +38887,8 @@
"repo": "LaurenceWarne/finito.el",
"unstable": {
"version": [
- 20230921,
- 1805
+ 20240219,
+ 2059
],
"deps": [
"async",
@@ -38569,8 +38899,8 @@
"s",
"transient"
],
- "commit": "8ae51b38a496f01b379b1535c6935d36d786b13a",
- "sha256": "1agpg6d8y6ib6w05dvpidigx5nc9r42asl38s3aav2sj440sr8lh"
+ "commit": "b4f1c776e3a6cda2f51dd71e55f72de6fd3c1dcf",
+ "sha256": "1qrr86xdf9dh7nwlsri5s2i27ynrwj2b3pqcyab3g49qdd2gwnx6"
},
"stable": {
"version": [
@@ -38699,26 +39029,26 @@
"repo": "IBM/firrtl-mode",
"unstable": {
"version": [
- 20230815,
- 1312
+ 20231127,
+ 1237
],
- "commit": "45ec466d2945c2893ca4d6b24ff3816fceb8f1b2",
- "sha256": "0k6n9js7af5asj1cxpplc7blw92mrdp1s3p2pdcdbxkrk9qx020r"
+ "commit": "0c7d971899f93367b78e13d70d64cfb89d80b45c",
+ "sha256": "10xhyfs91c2mwlb1d4x72wq5nf2yc5701slissiflp965igfw6d0"
}
},
{
"ename": "fish-completion",
- "commit": "6d17ca0213ba5ef9dce92002e281e6f08c3492be",
- "sha256": "1y7vwh7w0shnrnp8x1m1sa0p7kdyz5mg1mfs263gm38in2biym9i",
- "fetcher": "gitlab",
- "repo": "Ambrevar/emacs-fish-completion",
+ "commit": "cbf0664a035ab6419cd78634ca583339c3bd1599",
+ "sha256": "0hnji71fpp91ppy2pvm78r8q1zx5php1gjclhvglcc1hyz47kawq",
+ "fetcher": "github",
+ "repo": "LemonBreezes/emacs-fish-completion",
"unstable": {
"version": [
- 20191103,
- 1210
+ 20231028,
+ 2123
],
- "commit": "10384881817b5ae38cf6197a077a663420090d2c",
- "sha256": "17lqip1i1rrsvxzz4bx9rqf1fvwd3hriwg3sj6qxmfc8pylnp37q"
+ "commit": "99f0672c20ea61d21f106183e80f32c0c6525faa",
+ "sha256": "037cp4scia8pimn32j70vkf8c6rzipv1nm5r18z5v4lfdbiyyw0v"
},
"stable": {
"version": [
@@ -38737,11 +39067,11 @@
"repo": "wwwjfy/emacs-fish",
"unstable": {
"version": [
- 20230326,
- 1604
+ 20240129,
+ 1213
],
- "commit": "6869efbc05cdb628209338bf57fe52742e53d956",
- "sha256": "0ancsmnliqhc7fn82pa6flp02rczg0gwh17gpfp60jpi3mv1niws"
+ "commit": "2526b1803b58cf145bc70ff6ce2adb3f6c246f89",
+ "sha256": "1n2f4kklz5vlb1h1p604ww7vgyvi2hfsnkyhrlw5d9pp4dh4lh46"
},
"stable": {
"version": [
@@ -39114,15 +39444,15 @@
"repo": "plandes/flex-compile",
"unstable": {
"version": [
- 20230808,
- 1453
+ 20231219,
+ 2113
],
"deps": [
"buffer-manage",
"dash"
],
- "commit": "17b2b16f8867312a868f338a49ba8615d2d23461",
- "sha256": "0c37vzfd5c4k502bbx6qnc6046dsqy75g6nmaxx756f6p6nng5vq"
+ "commit": "817dd32411748682c13f752786b901041a470504",
+ "sha256": "0c2ysf7asb840xdf5x255r18c2v3j93bgjxsf5wsbgvykx21za00"
},
"stable": {
"version": [
@@ -39160,11 +39490,11 @@
"repo": "crmsnbleyd/flexoki-emacs-theme",
"unstable": {
"version": [
- 20231114,
- 1714
+ 20240109,
+ 607
],
- "commit": "5305cc3deaf361f57c67c57d10ade2bd448603e9",
- "sha256": "1pg8mp3nghpmif2f94blrbv50xb9xp7pibnyfm0kpgh5gnciz3ab"
+ "commit": "9339a2a1a8052159916e9913b14ec062c0a3f506",
+ "sha256": "071if59ikrfyc17vw1fbzpqsg16zd7zpnfgxhi02sz8ng7q4jmx7"
}
},
{
@@ -39175,15 +39505,15 @@
"repo": "wanderlust/flim",
"unstable": {
"version": [
- 20230808,
- 1153
+ 20231214,
+ 2336
],
"deps": [
"apel",
"oauth2"
],
- "commit": "80b8121f05a5a0d7fcfe3e54085467a646dd2028",
- "sha256": "02shd2mp9ywncn0wxgrijn0i8fa69kfx1y6nh5jjd64dyiczmskk"
+ "commit": "e9f4c56537704bceb2696c35b98466bc413d8d34",
+ "sha256": "0xpj3wmsi2jhq442r8s3c0laiyknsnbpysp0amjrk7h6lp87s4rj"
}
},
{
@@ -39343,11 +39673,11 @@
"repo": "amake/flutter.el",
"unstable": {
"version": [
- 20230811,
- 458
+ 20240202,
+ 1241
],
- "commit": "64b3f50a2008357359f1c4eea0e97c93070df7d4",
- "sha256": "0vhxszc3km670aslcfmi56xvvv130g8bknh6pyqnic4x3zsvm9jz"
+ "commit": "004c91e070a9b4a2a5042f5bb20015ec65453acf",
+ "sha256": "0waav7n1n4k5jz49wnkgq4s28pcizkn7qykwxjwzpma46w84c4dy"
}
},
{
@@ -39395,14 +39725,14 @@
"repo": "lewang/flx",
"unstable": {
"version": [
- 20221213,
- 542
+ 20240205,
+ 356
],
"deps": [
"cl-lib"
],
- "commit": "7b44a5abb254bbfbeca7a29336f7f4ebd8aabbf2",
- "sha256": "18vzjsscm9hsxxnacqfaskwayxhlg258rj8m7m8kim12nndgkzcy"
+ "commit": "4b1346eb9a8a76ee9c9dede69738c63ad97ac5b6",
+ "sha256": "0q49p1y3kpx140h0f97kfw4kfx8mlzzxbninbarvygmlg2fkfi1n"
},
"stable": {
"version": [
@@ -39425,15 +39755,15 @@
"repo": "lewang/flx",
"unstable": {
"version": [
- 20221213,
- 542
+ 20240205,
+ 356
],
"deps": [
"cl-lib",
"flx"
],
- "commit": "7b44a5abb254bbfbeca7a29336f7f4ebd8aabbf2",
- "sha256": "18vzjsscm9hsxxnacqfaskwayxhlg258rj8m7m8kim12nndgkzcy"
+ "commit": "4b1346eb9a8a76ee9c9dede69738c63ad97ac5b6",
+ "sha256": "0q49p1y3kpx140h0f97kfw4kfx8mlzzxbninbarvygmlg2fkfi1n"
},
"stable": {
"version": [
@@ -39476,30 +39806,19 @@
"repo": "flycheck/flycheck",
"unstable": {
"version": [
- 20230813,
- 620
+ 20240224,
+ 703
],
- "deps": [
- "dash",
- "let-alist",
- "pkg-info",
- "seq"
- ],
- "commit": "773c3eb31ebeb6bb2f9f57d28177882ca7073df0",
- "sha256": "0x01d41gqvgi7r96snswrik6l2nb1wzf6nd1wzm47a1qj0l46061"
+ "commit": "ed010752df6ca0092107aeb22ff110306462102b",
+ "sha256": "09bncjxppn1snciznxm1g11anv40a031rg20ppqms0cxkwjn5acd"
},
"stable": {
"version": [
- 32
+ 34,
+ 1
],
- "deps": [
- "dash",
- "let-alist",
- "pkg-info",
- "seq"
- ],
- "commit": "3b5b4248074f016922c2674789d4a242528cf4c7",
- "sha256": "0dx6wqxz1yfp4shas4yn6abqc8bz21ks3glcyzznm3xspjdaq21s"
+ "commit": "5a9ff918f91e230ae08a6bdce7ec1f107864a5e2",
+ "sha256": "1rhsrbbg3y50qc4drbdgwa1z0hw7w84blgr0xl6zi9mqnjnak495"
}
},
{
@@ -39600,14 +39919,14 @@
"repo": "leotaku/flycheck-aspell",
"unstable": {
"version": [
- 20230408,
- 957
+ 20240101,
+ 1945
],
"deps": [
"flycheck"
],
- "commit": "45cd22c52b676abbdf405d9fe16b7073b954ed77",
- "sha256": "0b4758l06sy9lp9knvcgz9gy283rsf0g2m3qgpg3aya8bnja14dr"
+ "commit": "aa73874abc60a43fcf615af9bdd85d3008bfe687",
+ "sha256": "1lnkkj4p8mnghrdcdfb66n6b6h974ik68l2d460njc4yxryjk6di"
}
},
{
@@ -39673,15 +39992,15 @@
"repo": "flycheck/flycheck-cask",
"unstable": {
"version": [
- 20200926,
- 1502
+ 20240205,
+ 1721
],
"deps": [
"dash",
"flycheck"
],
- "commit": "4b2ede6362ded4a45678dfbef1876faa42edbd58",
- "sha256": "0fzcknz2gicpx5rqf8qdxiy1g2kppy2qzsgszxm28mbahf0913bb"
+ "commit": "0eeec5197e9d31bfcfc39380b262d65259a87d91",
+ "sha256": "0f7h1i2a0jk31fdlcg1c9k4bl65jfzjywyznn7yj59pdq6pyrxix"
},
"stable": {
"version": [
@@ -39860,14 +40179,14 @@
"repo": "borkdude/flycheck-clj-kondo",
"unstable": {
"version": [
- 20211227,
- 2226
+ 20240218,
+ 2215
],
"deps": [
"flycheck"
],
- "commit": "d8a6ee9a16aa24b5be01f1edf9843d41bdc75555",
- "sha256": "010gzxwvr2p2wv358r76ajkn48ilgmkmv7z6bckqbap0cjhrqq43"
+ "commit": "e38c67ba9db1ea1cbe1b61ab39b506c05efdcdbf",
+ "sha256": "1pxlb8axgmc8cw4id40z576kd041qb1irq8rkjn6xbda585ix58f"
},
"stable": {
"version": [
@@ -39991,14 +40310,14 @@
"repo": "aaronjensen/flycheck-credo",
"unstable": {
"version": [
- 20170526,
- 1545
+ 20240105,
+ 1655
],
"deps": [
"flycheck"
],
- "commit": "e88f11ead53805c361ec7706e44c3dfee1daa19f",
- "sha256": "04i7fbqpkjpsfa8vjpkdhg1sj5isczxwncdp4vr9x3vll3svblm7"
+ "commit": "e285bd042a535d0f13e0b4c5226df404cdda4033",
+ "sha256": "1n41liayykjmh1xwfnhdvw9bdxjca7d274gkpjfzhvhmbv7dig19"
}
},
{
@@ -40131,14 +40450,14 @@
"repo": "flycheck/flycheck-deno",
"unstable": {
"version": [
- 20230212,
- 1754
+ 20240101,
+ 833
],
"deps": [
"flycheck"
],
- "commit": "e7cd15a6a05be73d1f83a16d2efa6b49a105a9cd",
- "sha256": "12xy90xlzgrcb4kwh9xlnav7c8kdszf9i4qrk0klkj3p0xbpj0qd"
+ "commit": "5e4d66865d7d91e7af3b1b69b02dd540c9ea5aca",
+ "sha256": "0xqp9cycpsliizl7dknpxcvnlx3mcbrqdkp8a2imjxr4ss042pmd"
},
"stable": {
"version": [
@@ -40283,14 +40602,14 @@
"repo": "flycheck/flycheck-eask",
"unstable": {
"version": [
- 20230212,
- 1748
+ 20240223,
+ 1023
],
"deps": [
"flycheck"
],
- "commit": "93cf80d60a8d7080f380e16443e0882ac4656ff1",
- "sha256": "0q45h7yyqldj8kxzqb51x7zq98v4f9izwcj1hhgifk8ni1xzr1x5"
+ "commit": "c1c82b359bb94cbca29f2f0fb29b7f5132691d04",
+ "sha256": "05p04454sd3phd4w7i7rjdz861akd8ml55pjhxsnwpacgw05w8zn"
},
"stable": {
"version": [
@@ -40307,21 +40626,21 @@
},
{
"ename": "flycheck-eglot",
- "commit": "ead6dfff6183385b6792bae4637bcaec76d87428",
- "sha256": "0awm312r8my2fy7b2zchhfsf12mv7ad24d4wx85f9p5dalgi2340",
+ "commit": "0a9e5719881a28fdcb7dd0fda4e9d124ff0da912",
+ "sha256": "1inyc5mi6ym5gfrq4qk8j72z9mdbwybd7q0242zk7fcn7i2j6571",
"fetcher": "github",
- "repo": "intramurz/flycheck-eglot",
+ "repo": "flycheck/flycheck-eglot",
"unstable": {
"version": [
- 20230630,
- 1803
+ 20240214,
+ 1937
],
"deps": [
"eglot",
"flycheck"
],
- "commit": "9ff8d0068be59b1450964b390349d75a68af21ed",
- "sha256": "1ab4vp8qyhmg0ckr2h0bw1nm3lwh8zwckgjvzmr3lwcv6drcq67h"
+ "commit": "a38101634c55ef6cfcfc74b4fd9a50b541b42457",
+ "sha256": "0r4h4c0pzfgp22iscgszvzv1sf3b286wrgmds0ijlha4r99ln88s"
}
},
{
@@ -40556,14 +40875,14 @@
"repo": "flycheck/flycheck-google-cpplint",
"unstable": {
"version": [
- 20230816,
- 734
+ 20240101,
+ 833
],
"deps": [
"flycheck"
],
- "commit": "59feb38c47cf95176636afc65325741a2d9ae6f3",
- "sha256": "0d8ncrklyb6sslp8p64wjc92qfxrb3d1mx7ja2yf3g6jhs5r9p9n"
+ "commit": "2330e8ed99d89010b652dcb8e9a9a546a9e6da3a",
+ "sha256": "1vqrxdprflz6zx01k1rcblzsamak708ny2hgik7nf63kbibpnnzz"
},
"stable": {
"version": [
@@ -40633,16 +40952,16 @@
"repo": "emacs-grammarly/flycheck-grammarly",
"unstable": {
"version": [
- 20221231,
- 1654
+ 20240101,
+ 847
],
"deps": [
"flycheck",
"grammarly",
"s"
],
- "commit": "d4a788acc3875a1ffdd7460ab3377a887413c582",
- "sha256": "1mg6x85dmawfjmxc5vcq546ykz3m3qby2l3hnaagh7srwvvz09cy"
+ "commit": "cb011efcc05b111bb4638cc42c24c5b11fc5f378",
+ "sha256": "12xrcwixfx6w5rcavgmxrr1nxlay2f6057g0clxfzyp5mk4aw342"
},
"stable": {
"version": [
@@ -41080,14 +41399,14 @@
"repo": "emacs-languagetool/flycheck-languagetool",
"unstable": {
"version": [
- 20230329,
- 1246
+ 20240101,
+ 851
],
"deps": [
"flycheck"
],
- "commit": "04195838e21da84ec46d2940c2b3ee82d8beda70",
- "sha256": "0k3b1swnp601ibdcylm3lhipsxjsgsrpg79s9375712wn1ihybsz"
+ "commit": "e80a23bcdc91df09f6013b553d60a813481086ff",
+ "sha256": "1gvhmaq9ka28hvm8gv2rd8v3sk0a9w9rd1zsz2xkv1hhw5ch4hf1"
},
"stable": {
"version": [
@@ -41751,14 +42070,14 @@
"repo": "Wilfred/flycheck-pyflakes",
"unstable": {
"version": [
- 20170330,
- 2311
+ 20240124,
+ 101
],
"deps": [
"flycheck"
],
- "commit": "61b045939e3743b2162b7e4e73249c66fc2b8f65",
- "sha256": "03p0666vpprp6ijkvx9ypaw58bdq42gh533270plv2k5l8r22cl1"
+ "commit": "60db5908747faf3831f055eddc6d3b5deafa7384",
+ "sha256": "1yf2zq0cd6yanky1d7x63kpl98mi53f6cr30csw27lxi491zpfb5"
}
},
{
@@ -41868,8 +42187,8 @@
"repo": "flycheck/flycheck-rust",
"unstable": {
"version": [
- 20190319,
- 1546
+ 20240205,
+ 1018
],
"deps": [
"dash",
@@ -41877,8 +42196,8 @@
"let-alist",
"seq"
],
- "commit": "a139cd53c5062697e9ed94ad80b803c37d999600",
- "sha256": "1fh6j5w2387nh2fwwjphkhq17cgj5m2q5k0fhidvgc2w65lzbr1r"
+ "commit": "4d365ed1c9e8b8ac43561eb365d37ab555a6e617",
+ "sha256": "0qdbmy7g8pmaml7sdi9bfpadlb69cyavicwi0w3zb9fbhwqjzbpv"
},
"stable": {
"version": [
@@ -42326,11 +42645,11 @@
"repo": "leotaku/flycheck-aspell",
"unstable": {
"version": [
- 20230408,
- 957
+ 20240101,
+ 1945
],
- "commit": "45cd22c52b676abbdf405d9fe16b7073b954ed77",
- "sha256": "0b4758l06sy9lp9knvcgz9gy283rsf0g2m3qgpg3aya8bnja14dr"
+ "commit": "aa73874abc60a43fcf615af9bdd85d3008bfe687",
+ "sha256": "1lnkkj4p8mnghrdcdfb66n6b6h974ik68l2d460njc4yxryjk6di"
}
},
{
@@ -42385,15 +42704,15 @@
"repo": "mohkale/flymake-collection",
"unstable": {
"version": [
- 20230606,
- 2002
+ 20231231,
+ 1509
],
"deps": [
"flymake",
"let-alist"
],
- "commit": "845c52a1aead7485450c62b48a92096160d99a25",
- "sha256": "1dpqlcxnrbba10zqx3nwv3pf0qxkdgksk0lavcyhj238fzc9yvrd"
+ "commit": "852d47f7b4cac7345d40bd16067842e095aee13b",
+ "sha256": "1r9cif3hpmf8lx4w9ac3lccgq1swwfgzh7slaa7ld0h5mmq9w23c"
},
"stable": {
"version": [
@@ -42521,14 +42840,14 @@
"repo": "flymake/flymake-eask",
"unstable": {
"version": [
- 20231022,
- 1740
+ 20240223,
+ 1022
],
"deps": [
"flymake-easy"
],
- "commit": "82204f96fd4168a7641df81fd90cb6d8561914f3",
- "sha256": "1ipc337bgrb6z59pr1dxwhxbky7c7x4jq7xv0n0fqyvnwbvgj8q1"
+ "commit": "0e83cec77aab54365ef8d604151888bb1f61049c",
+ "sha256": "08939vsg1mqs3syngr70vakabrvrjbbna7im6b1gjal8qjz22cxn"
},
"stable": {
"version": [
@@ -42566,6 +42885,35 @@
"sha256": "1j35k52na02b59yglfb48w6m5qzydvzqfsylb8ax5ks0f287yf0c"
}
},
+ {
+ "ename": "flymake-eldev",
+ "commit": "7f31507865be2e21625ade7e72dbd1bb2022bb07",
+ "sha256": "1mbwa257ggz3is17g6a1xay9h83wrjzj4311diqjjssabn3nzavf",
+ "fetcher": "github",
+ "repo": "emacs-eldev/flymake-eldev",
+ "unstable": {
+ "version": [
+ 20240115,
+ 1927
+ ],
+ "deps": [
+ "dash"
+ ],
+ "commit": "901b2837c7d575f929f87aa83b8e725841927584",
+ "sha256": "0m0zkg85f65dbhrfsvfb2fircgm3wfxg8x4l9iahagamxmxxwhq8"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 9
+ ],
+ "deps": [
+ "dash"
+ ],
+ "commit": "64fc128293040753eb874b8ade59803cf1c23a46",
+ "sha256": "00giw9fc86facrhdas5ylz7hmblaaj10i3qzxjcfnwal5c5byfwj"
+ }
+ },
{
"ename": "flymake-elisp-config",
"commit": "11cf3b5e38a99673f65a9bc410cd6faaa2889396",
@@ -42613,11 +42961,11 @@
"repo": "orzechowskid/flymake-eslint",
"unstable": {
"version": [
- 20231018,
- 1900
+ 20240202,
+ 1548
],
- "commit": "e30c9a14e79c7151d92773fcc8c5bf3bcf4a3a6a",
- "sha256": "0xr455qcnzjbgbnxzy0pk56nwgv48i552ygj00b2i0maifny70ya"
+ "commit": "c783788fc1b6fa9703c623f651f4a72c11923d30",
+ "sha256": "09isnk70lxbgcfmq8v07fz7ml4pmqdj7g1i3a7k8mpnr1zixrbbh"
},
"stable": {
"version": [
@@ -42629,6 +42977,21 @@
"sha256": "1pkigdarkjk2gpqjrfavg68bwihaazjzf1rr9fmrqkgis5hz3zf7"
}
},
+ {
+ "ename": "flymake-fennel",
+ "commit": "9e090e0bf0894ff5b32b020c2b570646cd28a0e3",
+ "sha256": "1ajzb4wacg2qgqd6jchnxjlpqmp07cams1j5s1my6qyl5m3sgrvc",
+ "fetcher": "sourcehut",
+ "repo": "mgmarlow/flymake-fennel",
+ "unstable": {
+ "version": [
+ 20231118,
+ 1858
+ ],
+ "commit": "f62be1505152d0adec0aa7927e674db8cef58b28",
+ "sha256": "0jdf3krq0i59lckwmsdkqm0nyjvb9bk054g1q3ygahbkd96mz64h"
+ }
+ },
{
"ename": "flymake-flycheck",
"commit": "034eb68ae6c115ec0ad156df0ef174d45630f322",
@@ -42753,15 +43116,15 @@
"repo": "emacs-grammarly/flymake-grammarly",
"unstable": {
"version": [
- 20221231,
- 1655
+ 20240101,
+ 846
],
"deps": [
"grammarly",
"s"
],
- "commit": "078edd6e083067f2ad3b3beaa0098c16cebe3994",
- "sha256": "1496hmwbp2jyy7mn4yrjrxsr3r662s8815vh6w6dj730d9kqr2mq"
+ "commit": "b0041adb03ba1e9a3f20656a475042451868aa19",
+ "sha256": "175l2r6abayin9xnhbyff7kywygiqkfaynrak0wzx0hgzmgsq1qc"
},
"stable": {
"version": [
@@ -43040,11 +43403,11 @@
"repo": "emacs-languagetool/flymake-languagetool",
"unstable": {
"version": [
- 20230122,
- 1609
+ 20240101,
+ 851
],
- "commit": "ad8c724147d5a3a9f6ee59e0c7581e68fba4a2f8",
- "sha256": "1h1c0anbch18i66qr6vjb0lf1ygx7y06inqy9r6wss51261l2cly"
+ "commit": "bd2d2c05949e9d892ca1313d1012aff39528218e",
+ "sha256": "0yz6c028dykvcp8ajnjds63fnlf58c5m5p3w1y9hx3l1hy9qmgx4"
},
"stable": {
"version": [
@@ -43467,14 +43830,14 @@
"repo": "erickgnavar/flymake-ruff",
"unstable": {
"version": [
- 20231105,
- 2043
+ 20240113,
+ 1518
],
"deps": [
"project"
],
- "commit": "8b2392c45538a377fc3c8cfcda73165652b660ca",
- "sha256": "0sagfcmbqw5q21d4wy9cs2yhpy53qa53amwwk3kih9ka64fnyhzy"
+ "commit": "165ee1fe7b9d154a316c332bf73f341177126d8c",
+ "sha256": "016322zv6bp97jama1lsh9rrrgv0h30h8rrslq9lmf5y85bqikh4"
}
},
{
@@ -44381,8 +44744,8 @@
"repo": "magit/forge",
"unstable": {
"version": [
- 20231107,
- 2046
+ 20240219,
+ 1113
],
"deps": [
"closql",
@@ -44397,8 +44760,8 @@
"transient",
"yaml"
],
- "commit": "5dcca0e0c0378499b086543be2ec292ddd8beb5b",
- "sha256": "1zfr4ci3vw7y6nq9j75smdga02nzqyrj08v35qd1i7j7kdpppp3l"
+ "commit": "4ec54211b2cb695ad8eb5b395bbc9b522f600797",
+ "sha256": "1yjbz8d2jq90yn2scgiwad3ycz3jcyprnxgz59rp0j11a26d08rv"
},
"stable": {
"version": [
@@ -44468,28 +44831,28 @@
"repo": "lassik/emacs-format-all-the-code",
"unstable": {
"version": [
- 20231107,
- 1932
+ 20240205,
+ 2153
],
"deps": [
"inheritenv",
"language-id"
],
- "commit": "6adf79ec74d52862e9afb910303bfa04ac9db604",
- "sha256": "1x65gycrfw5m2ak7fdnqk0ysv4s65z64a0dc1nda7l5qfy425pll"
+ "commit": "1f4a69811b4b6a00c74fa2566ef731b17b9a2ed1",
+ "sha256": "1p8bh96yksbpajx2pqxjc4vf7nfncwc3mvh1fcj8afw53g72is8m"
},
"stable": {
"version": [
0,
- 5,
+ 6,
0
],
"deps": [
"inheritenv",
"language-id"
],
- "commit": "b18ed67a81b4d81d77f3bd7cf6e004e4e412b630",
- "sha256": "1qy4qdvxsagzp8pav2jx29bz37xiwvm5lbwyfp8jlg59715z4cxq"
+ "commit": "1f4a69811b4b6a00c74fa2566ef731b17b9a2ed1",
+ "sha256": "1p8bh96yksbpajx2pqxjc4vf7nfncwc3mvh1fcj8afw53g72is8m"
}
},
{
@@ -44553,14 +44916,14 @@
"repo": "larsbrinkhoff/forth-mode",
"unstable": {
"version": [
- 20231016,
- 640
+ 20231206,
+ 1127
],
"deps": [
"cl-lib"
],
- "commit": "aaacce775b910afe06a02a56ecfceb3288c84b64",
- "sha256": "0pj7fr7lh6s6kanz86zhyxf2s56dl7dffc1ifh3zjwwk85dhrr9m"
+ "commit": "59c5ea89ca7593bd49cdde6caefa0893a8780105",
+ "sha256": "1l82hsrg7n7xvi6bd6sdcwvxc9g5qnh84lcmb274myldjzlvyy93"
}
},
{
@@ -44616,14 +44979,14 @@
"repo": "rnkn/fountain-mode",
"unstable": {
"version": [
- 20231104,
- 453
+ 20240210,
+ 1105
],
"deps": [
"seq"
],
- "commit": "e2c0b952b297d99dd16f2d55f883eec8bfdfa896",
- "sha256": "1m6xvda2bx5pxzklc0nlzfc9a9fbnvysld2zha59qdjlr9zzi298"
+ "commit": "68fa4e62d86e0d636f0aaccd101ba1b887597424",
+ "sha256": "0skqm9gjf0sjqx8pl2s789rpyady17zwvnda10lxzqv1cg4vn74n"
},
"stable": {
"version": [
@@ -44646,11 +45009,11 @@
"repo": "gmlarumbe/fpga",
"unstable": {
"version": [
- 20230913,
- 2241
+ 20240211,
+ 213
],
- "commit": "9cf7b25ab7364f4e690e86b364cc3279cdffc25e",
- "sha256": "04cxmh455vxfswxk8h460g7dcfr3ww1gnijxlbrkn7m0j1cysz5f"
+ "commit": "bbd663f29e796ab010a5569f1cdbd706ff0f564a",
+ "sha256": "10mxqmaq1n2691qyydyblwdzyyv5dghm9rc62awfgcjkqd02hmr8"
},
"stable": {
"version": [
@@ -44769,15 +45132,15 @@
"repo": "davidshepherd7/frames-only-mode",
"unstable": {
"version": [
- 20210107,
- 918
+ 20240213,
+ 2142
],
"deps": [
"dash",
"s"
],
- "commit": "27a5116f36af14df39b92ee2ea1f8583f22484cf",
- "sha256": "1gng87dfkd1zi2gnnymh44496an1wwacf7dcm525n3k00clx58if"
+ "commit": "53b17d712e363991f070d790493cd3cbf1d8a9be",
+ "sha256": "091ppnizhfvrc9clrq9bd7fcbd7hgg39b8ym3ibbhh890b7a4p56"
},
"stable": {
"version": [
@@ -44800,14 +45163,14 @@
"repo": "tarsius/frameshot",
"unstable": {
"version": [
- 20230515,
- 944
+ 20240101,
+ 2216
],
"deps": [
"compat"
],
- "commit": "f74d99be64dc7afa4bdea106c0597c1fa59b9a3d",
- "sha256": "0qwffkpjh5zsj487yi5m5j5y7vxqc67pga5nj127nbg4rdmjs3ng"
+ "commit": "77e4f3ad1fd495d983e62a201f6c1f708b616648",
+ "sha256": "08f2l190f37qfidlismy3jylwlk4r2bpgr40cglw5wbid1ln54sy"
},
"stable": {
"version": [
@@ -44848,15 +45211,27 @@
"repo": "alphapapa/frecency.el",
"unstable": {
"version": [
- 20170909,
- 631
+ 20240111,
+ 628
],
"deps": [
"a",
"dash"
],
- "commit": "132130088ef5695cffed6fcacfa219cb0c389026",
- "sha256": "17s34gaq6jvwr6f4l500xyhv33ykwxiwzsq2rrasgs7l301wqsw0"
+ "commit": "4293bf4c8d571b0914e16a5aa05a6d657fdff551",
+ "sha256": "1n1ghl3jr4wmzc4f5xhkx5mfg3vfqs0kjzbra6k5drcnyh2iixkc"
+ },
+ "stable": {
+ "version": [
+ 1,
+ 0
+ ],
+ "deps": [
+ "a",
+ "dash"
+ ],
+ "commit": "4293bf4c8d571b0914e16a5aa05a6d657fdff551",
+ "sha256": "1n1ghl3jr4wmzc4f5xhkx5mfg3vfqs0kjzbra6k5drcnyh2iixkc"
}
},
{
@@ -44867,16 +45242,16 @@
"url": "https://git.launchpad.net/frecentf.el",
"unstable": {
"version": [
- 20210330,
- 1521
+ 20231125,
+ 201
],
"deps": [
"async",
"frecency",
"persist"
],
- "commit": "19e2c48a8b8c8ee8cae5c93b58b57a0aa81a8c46",
- "sha256": "1gjzis2jshsq5sdi5rv3a29cmk4iv7y6k2awxlddq3biyf80ialb"
+ "commit": "ef788b2af412311fbc6f52d639810746e5c0fa93",
+ "sha256": "0ypm0ax1wvqd86a3qfspqqb0xvybxa4jpmssmrrk5lv2a3ashzx3"
}
},
{
@@ -45452,14 +45827,14 @@
"repo": "jojojames/fussy",
"unstable": {
"version": [
- 20230921,
- 1850
+ 20240103,
+ 159
],
"deps": [
"flx"
],
- "commit": "2ff8317c403b15d3c898f7dcd8511b6d16f92c1d",
- "sha256": "10xf40xsl3x91d2b4c4vqg4cb99izv8n0gcic5nybdxcm7f8sfpb"
+ "commit": "4080b373f3361126d4de1f063bb08718b0c08eed",
+ "sha256": "1x0vmbxd0ggslkxcam5da586plsjar9v5nka0dbxdkwx6k6n23r1"
},
"stable": {
"version": [
@@ -45523,11 +45898,11 @@
"repo": "auto-complete/fuzzy-el",
"unstable": {
"version": [
- 20221231,
- 1634
+ 20240101,
+ 830
],
- "commit": "fc7ca5bc81f1798596bc1d254d74a5ea95edc432",
- "sha256": "0i0wpsbr3d2bv9pjkdx6a5188csw2vi53rprk1j05bv0wcm81ark"
+ "commit": "295140da741ac02c1bd3dec69ccf7f6268d60ec5",
+ "sha256": "03ryz1793bbab7c6nmya2n1xzjsliidhy5kzrcmch8vlidrgd12d"
},
"stable": {
"version": [
@@ -45594,14 +45969,14 @@
"repo": "tarsius/fwb-cmds",
"unstable": {
"version": [
- 20230213,
- 1143
+ 20240101,
+ 2216
],
"deps": [
"compat"
],
- "commit": "1b375c6890de61eaccc97c92f2b46de6f9205417",
- "sha256": "0wqkd8p04hklp1hysppp83rx3d32qv62ln5baf725f3xz0lilv21"
+ "commit": "88e823809067983acfaeafa57d0bb6e889429ad2",
+ "sha256": "1bipyxd5zfrhddspkaybfd9gsdlih17il84gkzaqk624k0k07a7p"
},
"stable": {
"version": [
@@ -45725,11 +46100,11 @@
"repo": "ShiroTakeda/gams-mode",
"unstable": {
"version": [
- 20231005,
- 1425
+ 20231202,
+ 1410
],
- "commit": "0e7382bf9613329d2e411ced73536205326a910b",
- "sha256": "01hbkylql40p4yczckc22gm3g5qsh4aw1xcp3vmj8bc9n63k77zj"
+ "commit": "03c24b19c270feca0d3fc9494698cf6d4c7f8152",
+ "sha256": "1g1w0z04qc6k61p9y7mfplqk83yi3pcabv3mr4kfq1pmny8l46sp"
},
"stable": {
"version": [
@@ -45763,11 +46138,11 @@
"repo": "gvol/gap-mode",
"unstable": {
"version": [
- 20230802,
- 108
+ 20240126,
+ 359
],
- "commit": "643f8a26ea1fe6aa3390c95e257718ed6b0b2149",
- "sha256": "1yrdgm72wii9i9sa6hdmg7im0yg0jagyf9jg5x56csiz3dh81ywz"
+ "commit": "b816fcf70840887461764ebdbb34c8b37dd42ba2",
+ "sha256": "0w5g7hfcbi32bs42rqbzskb1a3pwr4qv02c948xdik7bs0nnjgyd"
}
},
{
@@ -45933,26 +46308,25 @@
"repo": "emacs-geiser/geiser",
"unstable": {
"version": [
- 20231111,
- 1149
+ 20240208,
+ 26
],
"deps": [
"project"
],
- "commit": "49d12a14482ce9a193c049a5ccdd2fed90b0bdbe",
- "sha256": "05kk8pa8dgm2bv9k2rfxi0m1kdpzz40csn7jgl5a38w1l1yjcffl"
+ "commit": "4e64934bd2ae7c6f0e4acb9f2ed017844c187223",
+ "sha256": "098xbywraa8a8qcg07iy2svvsqr10s9wdk2ixwcxdh0cskfq40m4"
},
"stable": {
"version": [
0,
- 29,
- 1
+ 30
],
"deps": [
"project"
],
- "commit": "d743a8cd1df4101a6dc2226ccfc3b4883ec761c5",
- "sha256": "1jbjhljjbwz2inh8x0ivsx6l1amm550cji6q2rdaay2jl8a8db0q"
+ "commit": "f343592a52da0f947989d07c208a51ad6c972a5e",
+ "sha256": "1y9k9v7ll816rs20krchrk080b3a5q4hikskaamvr5hrmi0jw938"
}
},
{
@@ -46106,15 +46480,15 @@
"repo": "emacs-geiser/guile",
"unstable": {
"version": [
- 20230425,
- 1523
+ 20231227,
+ 1512
],
"deps": [
"geiser",
"transient"
],
- "commit": "ee33af6b4ef8fdaccbdf93c62b50fe17c07a1ade",
- "sha256": "00jfv96rrjb4jw6yyqpy6dhwv4zrfsm27q2xhl246fbbpi6y8nds"
+ "commit": "6e0811c75d13c3c8488e7a11a018e1ea2ae3288a",
+ "sha256": "162hfssivp36m58siqszli8sjkfxg9b0dsdpn54alj80sjalkx46"
},
"stable": {
"version": [
@@ -46303,14 +46677,14 @@
"repo": "noctuid/general.el",
"unstable": {
"version": [
- 20230311,
- 1229
+ 20240218,
+ 1029
],
"deps": [
"cl-lib"
],
- "commit": "7ce8db297e3de258ec43802269438ac7f1918707",
- "sha256": "1qphbw42w7nvs5vf8a9vyj96jcd9br1v86c1a0cqjwyngfjlawa8"
+ "commit": "ced143c30de8e20f5a3761a465e684a1dc48471e",
+ "sha256": "0c13kax2h14b06zjs8wj950y7ykzmabfwdmb8imwmpgfcaasycf2"
}
},
{
@@ -46443,16 +46817,16 @@
"repo": "thisch/gerrit.el",
"unstable": {
"version": [
- 20230102,
- 1714
+ 20240221,
+ 2200
],
"deps": [
"dash",
"magit",
"s"
],
- "commit": "76cc426d62f1b4964159706eafa8f5b50b8427ea",
- "sha256": "0wzb4z4hqx7n5nn7jsgzvjqxd65w9s6fnasz9mpkh9vfvv3zngjg"
+ "commit": "f327a5c4ec9f508e7caac622438168ff3bc29fd7",
+ "sha256": "0pj8g15firy6pqm7plry63i79xp0l9n3c6bx17sln0dv4dyj8z8x"
}
},
{
@@ -46751,16 +47125,16 @@
"repo": "magit/ghub",
"unstable": {
"version": [
- 20231026,
- 1306
+ 20240218,
+ 2101
],
"deps": [
"compat",
"let-alist",
"treepy"
],
- "commit": "0d7c81eee3ba0c6e029605e545173721c39947d7",
- "sha256": "1mz4kkrs8b3n7gra3j5gy74awbwnk91nph33r2wql7a7zpz3qizc"
+ "commit": "c59de91717c2343ccba3adb9047d846edc35bc36",
+ "sha256": "02cs2min8kg1rnmjm2kvn2xvbmr7dsl0jgsxknqikc44d73a0ipy"
},
"stable": {
"version": [
@@ -47086,26 +47460,26 @@
"repo": "liuyinz/git-cliff.el",
"unstable": {
"version": [
- 20231101,
- 1839
+ 20240220,
+ 1913
],
"deps": [
"transient"
],
- "commit": "d353c2882fa0bec59e6541a2171e9816244eb73d",
- "sha256": "0hm1pf239clp80s4abzdnlgw3vp8qwlkglaa1qzap8932p3dlscx"
+ "commit": "0bc7b66d78058f5d41e9adb891d6fb29085153ee",
+ "sha256": "1szbfxy3lc1y8ax3gbxs5spkdjshrpq537yaxd85n9mrv19s3xv0"
},
"stable": {
"version": [
0,
- 4,
- 3
+ 5,
+ 0
],
"deps": [
"transient"
],
- "commit": "06a57cd964013cfde6a21f8dee32626034310a84",
- "sha256": "0jnhxfwjmi1cza4dlk19955h81dizs966a52liacw4ipjwkbrknm"
+ "commit": "0bc7b66d78058f5d41e9adb891d6fb29085153ee",
+ "sha256": "1szbfxy3lc1y8ax3gbxs5spkdjshrpq537yaxd85n9mrv19s3xv0"
}
},
{
@@ -47149,16 +47523,16 @@
"repo": "magit/magit",
"unstable": {
"version": [
- 20231030,
- 2243
+ 20240221,
+ 1445
],
"deps": [
"compat",
"transient",
"with-editor"
],
- "commit": "5cf664cd32010d3a5207c09da60e0c8f0c37b496",
- "sha256": "198dqqbxa62dlqbf28fxxmqlbvv854l0wmi0ha8qx0vslq5pys4x"
+ "commit": "09961f67a403c53b62669f40a088d5720dc77270",
+ "sha256": "0sw5lwk04yi0840yqyga7v82mjkqvflwi3pgsq02mrdbc5w4wx1m"
},
"stable": {
"version": [
@@ -47495,14 +47869,14 @@
"repo": "magit/git-modes",
"unstable": {
"version": [
- 20231101,
- 2323
+ 20240101,
+ 2217
],
"deps": [
"compat"
],
- "commit": "399b1b446644afce8d0949ff85a882bfdbbfb7bf",
- "sha256": "0npwjcm04gg1cy19gsha5m6my9xa0din860gv3h32cx8q3nizjjc"
+ "commit": "4a61a9b86df9c824a99c522f42d55e68faf85f91",
+ "sha256": "0k92ivrknyn0h6vv3mq7y9g6hsymbwk45fdcy7dx8da2qsqaxiif"
},
"stable": {
"version": [
@@ -47583,14 +47957,14 @@
"repo": "pidu/git-timemachine",
"unstable": {
"version": [
- 20230630,
- 1214
+ 20240219,
+ 2108
],
"deps": [
"transient"
],
- "commit": "ac933e5cd29583c131401f3bd991d98129c316df",
- "sha256": "152vq34dn76m21ab97wwm31hgp2wl9y437vwmd4kcd5pvwjhx9c6"
+ "commit": "69474bfc653bb076f94d97d22903e2299a3d8a8b",
+ "sha256": "02grsmgslvbm67g85iv2xq9jq3wj9xi16gn0dqrgrc96hh63mpjh"
},
"stable": {
"version": [
@@ -47691,10 +48065,10 @@
},
{
"ename": "github-browse-file",
- "commit": "f8bca60348fc5e2ad55663e69b8690093cf861ca",
- "sha256": "03xvgxlw7wmfby898din7dfcg87ihahkhlav1n7qklw6qi7skjcr",
+ "commit": "4a4e45ad670f6ffb567c4465844ecea7f698e027",
+ "sha256": "1lvbiv6nrpx4k0j8zldx4n52srkagkj1dfyns9bvnk58x8cizxp9",
"fetcher": "github",
- "repo": "osener/github-browse-file",
+ "repo": "ozanmakes/github-browse-file",
"unstable": {
"version": [
20160205,
@@ -47782,16 +48156,16 @@
"repo": "10sr/github-elpa",
"unstable": {
"version": [
- 20200129,
- 417
+ 20231201,
+ 804
],
"deps": [
"commander",
"git",
"package-build"
],
- "commit": "0e0fdbdd774b0866beccff6be7f302e5c8ee71da",
- "sha256": "1wq2cq51lqw6wx38rvxddw2yvg7yx7iw5bvf5akkc3b4wxyl8vaf"
+ "commit": "c818883d9dc8d34eaee03691574e0408f18db28a",
+ "sha256": "0yhcfrpwpp0lai4q610chap41yypm47swc0vp4q6zs0z18h79mmg"
},
"stable": {
"version": [
@@ -48507,11 +48881,11 @@
"repo": "matsievskiysv/gmsh-mode",
"unstable": {
"version": [
- 20211204,
- 826
+ 20240223,
+ 558
],
- "commit": "2b7c573f378f7e9210400115d4d9dfd879f8a4ad",
- "sha256": "0yipszmblbz2zz784ys78zqzcm44blnvlm79gch2prl56gi0hl3r"
+ "commit": "324d09e6ef51ff9473cbfaf560979ed313df416b",
+ "sha256": "15sp8j28kh50dlmjlrwrhxhiaxrgn6gc1ybr4rv9w5009w0dhfhv"
}
},
{
@@ -48602,6 +48976,38 @@
"sha256": "1svnvm9fqqx4mrk9jjn11pzqwk71w8kyyd9wwxam8gz22ykw5jb2"
}
},
+ {
+ "ename": "gnosis",
+ "commit": "90b5307cf4b65da92ccd761fa0063bd553ff3513",
+ "sha256": "0g3fp9185d56srf9h7axknb2zcf3vz72ld9spg5dvwqzvpzwpjqf",
+ "fetcher": "git",
+ "url": "https://git.thanosapollo.org/gnosis",
+ "unstable": {
+ "version": [
+ 20240222,
+ 1347
+ ],
+ "deps": [
+ "compat",
+ "emacsql"
+ ],
+ "commit": "6ee271486b9a2c1467434bf6c23cb804f905a0f2",
+ "sha256": "1d9limkb3ydfbhxdcycqv7nh1qk3kwp35c85c1xlhqh761rcl516"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 1,
+ 9
+ ],
+ "deps": [
+ "compat",
+ "emacsql"
+ ],
+ "commit": "6ee271486b9a2c1467434bf6c23cb804f905a0f2",
+ "sha256": "1d9limkb3ydfbhxdcycqv7nh1qk3kwp35c85c1xlhqh761rcl516"
+ }
+ },
{
"ename": "gntp",
"commit": "c69a148d3b72d1be6ea10100a8e0cbbd918baa9c",
@@ -49164,15 +49570,15 @@
"repo": "dominikh/go-mode.el",
"unstable": {
"version": [
- 20220114,
- 2239
+ 20240210,
+ 10
],
"deps": [
"cl-lib",
"go-mode"
],
- "commit": "3273fcece5d9ab7edd4f15b2d6bce61f4e5a0666",
- "sha256": "00qzn136d8cl3szbi44xf3iiv75r6n1m7wwgldmzn4i5mpz8dbq7"
+ "commit": "6f4ff9ef874d151ed8d297a80f1bf27db5d9dbf0",
+ "sha256": "1pbnpj8qqdk4871m1nj39jilcqnz0l5sn9w34s9y2j526rz5l83z"
},
"stable": {
"version": [
@@ -49591,20 +49997,20 @@
"repo": "M1ndo/gofmt-tag",
"unstable": {
"version": [
- 20231024,
- 2333
+ 20240111,
+ 2031
],
- "commit": "93d746bda753f892c547d4c366d175c5b972fdd2",
- "sha256": "1q0whcp1r3lrkim6dnllxdw59qlqz47rkgsmvwh9dq2jaqiid0pd"
+ "commit": "b7cc315ac45342fc9c17dde779cc9c37aa309841",
+ "sha256": "0hz03ndiqi4yjhylf3s52vdc9lh11in8m844bm53qv09dqjbs9fp"
},
"stable": {
"version": [
1,
0,
- 1
+ 2
],
- "commit": "17db364ebf76548b37222b3a0e38c7cb4b5c95fb",
- "sha256": "1rkr3bwwsv35bm65j468pf7dmdz0n8glz83wly8q3rpxliyrw4v7"
+ "commit": "b7cc315ac45342fc9c17dde779cc9c37aa309841",
+ "sha256": "0hz03ndiqi4yjhylf3s52vdc9lh11in8m844bm53qv09dqjbs9fp"
}
},
{
@@ -49615,19 +50021,19 @@
"repo": "minad/goggles",
"unstable": {
"version": [
- 20230428,
- 1547
+ 20240216,
+ 1542
],
- "commit": "4a22853a4ff18c1c1a2149945de9a5ed0a23a2c9",
- "sha256": "13nm2c39p88wzlgacqby80rnrarscjgpladciy4naaf3lnbf3zsb"
+ "commit": "41d3669d7ae7b73bd39d298e5373ece48b656ce3",
+ "sha256": "1fczxygg1blfmlwswck49rllww77rc7qn91wqw1kvjwfz31sk8z4"
},
"stable": {
"version": [
0,
- 3
+ 4
],
- "commit": "b715ff51e4b80c3c5487e7be6deadb1bb1497af6",
- "sha256": "0crll7r1qzpipimrahbfgk31f6rys58gzsbiwi65l0gqw49699sx"
+ "commit": "41d3669d7ae7b73bd39d298e5373ece48b656ce3",
+ "sha256": "1fczxygg1blfmlwswck49rllww77rc7qn91wqw1kvjwfz31sk8z4"
}
},
{
@@ -50007,11 +50413,11 @@
"repo": "emacs-vs/goto-char-preview",
"unstable": {
"version": [
- 20230111,
- 1525
+ 20240206,
+ 139
],
- "commit": "feee79f83194155e67f5b16ca57b51b2d941b2ee",
- "sha256": "19qnf1x0kysxjfx4dkl13f7cfv6s1cqmcjbnmmjvk7x8mah88qx2"
+ "commit": "d40eb8c1e8844ab7d265197191a759f62bf1099c",
+ "sha256": "0z4fd6y3cikxgvc86bx2fy04kd136bkh8qymiwsx24i3pa4zwr4b"
},
"stable": {
"version": [
@@ -50094,11 +50500,11 @@
"repo": "emacs-vs/goto-line-preview",
"unstable": {
"version": [
- 20230111,
- 1531
+ 20240206,
+ 138
],
- "commit": "c6db484cf401351f7f2f57496b0466b774435947",
- "sha256": "0wzc5vaw461lzwhs1d9b479ns8wgil528np6xs5rqr3czsm61k44"
+ "commit": "4e712da4e5e90b02440bd1f435a89ad02ff5a894",
+ "sha256": "0khcc8qgc9x77wr4lpxjjahcimxk015ikp3lin02lm1pp28a5wa5"
},
"stable": {
"version": [
@@ -50118,8 +50524,8 @@
"repo": "vmware/govmomi",
"unstable": {
"version": [
- 20230927,
- 1905
+ 20240208,
+ 2356
],
"deps": [
"dash",
@@ -50127,14 +50533,14 @@
"magit-popup",
"s"
],
- "commit": "23cd3186674c69cbe9a29e32a9176640bccc14fa",
- "sha256": "0hzafgkcp2psgciry3q84xwkbd69kd85mnv474zqjym4jh8v6197"
+ "commit": "5d7849f71f7080873f4c7d75c999a5bf55d8486d",
+ "sha256": "1a6xx17v5cnz93kkpi4r5f9xzq0pq43iikz7k5smnyl13m3lrm4y"
},
"stable": {
"version": [
0,
- 33,
- 1
+ 35,
+ 0
],
"deps": [
"dash",
@@ -50142,8 +50548,8 @@
"magit-popup",
"s"
],
- "commit": "6de69ad0cd33a85545b15c7d201745f2fd807dd1",
- "sha256": "0bmd2akj3dp6xp8rr69w183ha3wj2xz9b3pp8bzh5ifmcwwp4cg7"
+ "commit": "d7c0094aa2d4dd3cb0da572106793d11078c5c47",
+ "sha256": "03ffg4ypfrnj8qmip0cdkgifrxasb1wi05zpvg1mmdyx64sr1f90"
}
},
{
@@ -50297,26 +50703,28 @@
"repo": "karthink/gptel",
"unstable": {
"version": [
- 20231113,
- 211
+ 20240221,
+ 811
],
"deps": [
+ "compat",
"transient"
],
- "commit": "17a58d38e7299f254d02c29bbcc9211146394758",
- "sha256": "1cy1kkbg477dnf8lz137h7bc7hvm3apvxdq3ycqifwwhfks4cyk4"
+ "commit": "8ba07d042c11cb65ebce69fc1dc069905ec00e5e",
+ "sha256": "0wfqwp5rz77xzsxb56g4w9h047zb0y06ajcr724b5sjykbbznhzw"
},
"stable": {
"version": [
0,
- 4,
+ 7,
0
],
"deps": [
+ "compat",
"transient"
],
- "commit": "0f161a466b880cde864d8aa709ec04e1a86f445a",
- "sha256": "1aac0jds8hzyfvav06mhqm32v81swrnvyv0ldrkd7qxc2b1x4q7n"
+ "commit": "8ba07d042c11cb65ebce69fc1dc069905ec00e5e",
+ "sha256": "0wfqwp5rz77xzsxb56g4w9h047zb0y06ajcr724b5sjykbbznhzw"
}
},
{
@@ -50456,16 +50864,16 @@
"repo": "emacs-grammarly/grammarly",
"unstable": {
"version": [
- 20221231,
- 1655
+ 20240101,
+ 846
],
"deps": [
"request",
"s",
"websocket"
],
- "commit": "0d9fbee337cb8dd316e5dcf0bff91e44c115dd0d",
- "sha256": "1ljlfnvlp7lpr6sc89xnp8jy5m0n5sfrcs43y60b0wnvxxj4w1k9"
+ "commit": "813944714a04ae2a3cdaca845c1c9d70ced462ca",
+ "sha256": "1v80482vcx9p7fm1crg9vwwrjvb2q1xpkkpxl4fpycd64wimgdk2"
},
"stable": {
"version": [
@@ -50643,11 +51051,11 @@
"repo": "davazp/graphql-mode",
"unstable": {
"version": [
- 20230923,
- 1315
+ 20240218,
+ 1603
],
- "commit": "49a391b5290e3354b07f7b77ded97a154c084f46",
- "sha256": "15hzpcyc73ixlqm4bnl60n07lvci76wgj7d3fc0wanvmfwqhp6py"
+ "commit": "2183895ea7932359586c4bb99e8562c4aef3aaf7",
+ "sha256": "1qn45sscx2jdwd3yyw344d225911q314ghfj4d8c4w5vvxw726hb"
}
},
{
@@ -50658,11 +51066,11 @@
"repo": "joram/graphql-ts-mode",
"unstable": {
"version": [
- 20230911,
- 2036
+ 20240105,
+ 1236
],
- "commit": "dc88c4bb1702b910cdc68dd7b361a0fc5bf96486",
- "sha256": "1z68y8nkxal6p32qxpvpy7q8wigq3m5j8klrq0vjdr69dbk8c3mq"
+ "commit": "e933f235408ea195762700fd07c2d828e8f09aac",
+ "sha256": "1wyhrj5rm28m16ajp5js6nvq3p5a1igm95bmn346d3mvd1gv196n"
}
},
{
@@ -51041,11 +51449,11 @@
"repo": "ROCKTAKEY/grugru",
"unstable": {
"version": [
- 20230121,
- 1825
+ 20231202,
+ 250
],
- "commit": "088f26330be161cfad4835e155595ec60dcb335a",
- "sha256": "094kwvm136nw3p1l2bxkbp97qnsg46g0b3mgskm323bcdggj1g12"
+ "commit": "3f1bc431f4dc919a7b04e519f1c8add9fb2949f3",
+ "sha256": "1wzxn62wx0jvj7556afgwif904dq6iljss950xbgrsvjfiygyraj"
},
"stable": {
"version": [
@@ -51368,8 +51776,8 @@
"url": "https://git.savannah.gnu.org/git/guix/emacs-guix.git",
"unstable": {
"version": [
- 20231005,
- 1419
+ 20231206,
+ 2147
],
"deps": [
"bui",
@@ -51378,8 +51786,8 @@
"geiser",
"magit-popup"
],
- "commit": "9ce92d95d0246f9fe3d767eb718b24e1ee20d11c",
- "sha256": "02vdbrz4v71pzm25w1ba1j1ks4rdlfrb1k7i1jdq0i42am2161q7"
+ "commit": "455272c5cc72ed4ba5bad13c669f024f51479a58",
+ "sha256": "1ihrd7f92p9xidh1mbjk1piykzg46xypnzf1rlxxsymmddlq4jpn"
}
},
{
@@ -51405,11 +51813,11 @@
"repo": "Overdr0ne/gumshoe",
"unstable": {
"version": [
- 20230302,
- 457
+ 20231224,
+ 1844
],
- "commit": "3b65ee2496d6de3c7c47a821b38a5a19e0b64c2a",
- "sha256": "1xs25f7di94fb32ahh6h5rkv37bn9vrdahkp0hp4c7s9jpvxf5im"
+ "commit": "2d91bf3810ee93fad57772983720f77e9f534b61",
+ "sha256": "0l6hk7q1in9zcclpxd1nsjj7sggg3gzqhj2piffg31xfl7f62r5r"
}
},
{
@@ -51612,20 +52020,20 @@
"repo": "clarete/hackernews.el",
"unstable": {
"version": [
- 20230127,
- 1918
+ 20240214,
+ 1452
],
- "commit": "dcb312194b60e9841a63455ba893d60856099c79",
- "sha256": "1ga427kv77m5wr5pyjzag1mjqcyk2qh9avzn7qfmpdmfjrlrqhyb"
+ "commit": "34423948fd37e9f6904e4e3f6d103e311017ed09",
+ "sha256": "1b1s5bkrcsr7kzzm2ck5gwr21gn7r78grhis3zdrjnnxcny7pr5z"
},
"stable": {
"version": [
0,
- 6,
- 1
+ 7,
+ 0
],
- "commit": "c9c2bb0f13f5bd64c74dbdf945d9613192c0e454",
- "sha256": "17lkjcka6ydd6khhz5jbjlybfpx05153z0d8s1rxaxcwl7z2y6cf"
+ "commit": "38ad768e95ca651d836ee2fa2d795ac2e84e8e03",
+ "sha256": "100aa0vs1gjwpkfdc7avwv5v3sicj2npqfr1y3dsib3pimp21l6w"
}
},
{
@@ -51636,11 +52044,11 @@
"repo": "idlip/haki",
"unstable": {
"version": [
- 20231103,
- 1440
+ 20240125,
+ 557
],
- "commit": "790686fd26681c9602b534075efa09a522a5847d",
- "sha256": "0h2bgrqi49x4s3znyxiaxa4is5rl4cab94dysycardlhw1gn9cld"
+ "commit": "73fd0027b3ef4ab1b9f368ae5f60676177471900",
+ "sha256": "0yh8ph27c5rmgxrr91kl02w397h7hf7ldjkjr3p21r75w03hymmq"
}
},
{
@@ -51817,15 +52225,15 @@
"repo": "alphapapa/hammy.el",
"unstable": {
"version": [
- 20231108,
- 23
+ 20231123,
+ 552
],
"deps": [
"svg-lib",
"ts"
],
- "commit": "c28a4a704f57f0e889110063edaf0f186feace0f",
- "sha256": "04dwvi0mzp8c1kxyswifr65q05yfc4f0dxd6f8jnqy7n9vhmqalq"
+ "commit": "61188c66897fcab359ee555cd30c384a968af64c",
+ "sha256": "08b1minjvdd3h2smav5lcpvz8b6qdi73bd8a7n5i8wnzxcqhx2s8"
},
"stable": {
"version": [
@@ -51903,6 +52311,29 @@
"sha256": "0whn8rc98dhncgizzrb22nx6b6cm655q1cf2fpn6g3knq1c2471r"
}
},
+ {
+ "ename": "haproxy-mode",
+ "commit": "cda0e4b350611e60eb2ef5bdb3e660f9e707e503",
+ "sha256": "1psvnyia3mcwndqap45356f9lr4iza2kn0snpc06rkhb16410bqh",
+ "fetcher": "github",
+ "repo": "port19x/haproxy-mode",
+ "unstable": {
+ "version": [
+ 20240207,
+ 2010
+ ],
+ "commit": "eafb1144751493c33dc005a317236ec3e84aeb07",
+ "sha256": "17xsyvi8myrcyxrv07ckb6dr3mpkihva7y3daw13a3jnm2kzjsp4"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 9
+ ],
+ "commit": "eafb1144751493c33dc005a317236ec3e84aeb07",
+ "sha256": "17xsyvi8myrcyxrv07ckb6dr3mpkihva7y3daw13a3jnm2kzjsp4"
+ }
+ },
{
"ename": "hardcore-mode",
"commit": "b929b3343cd5925944665e4e09b4524bca873c95",
@@ -51965,16 +52396,16 @@
"repo": "otavioschwanck/harpoon.el",
"unstable": {
"version": [
- 20230521,
- 1907
+ 20231219,
+ 1609
],
"deps": [
"f",
"hydra",
"project"
],
- "commit": "7b64b701e46b9117217c8b01e49e00db78463985",
- "sha256": "0g6klm12hkwsc5l4ilpysh7wsadghm2y0576zqrrgp451597baci"
+ "commit": "2c384accad8df20bcf91c13ea2d21546a2facfe1",
+ "sha256": "18hd465lwzkrzp0m2kbz4v168g30pjkdfi8n477pfcwnaf0mbw2b"
}
},
{
@@ -52019,11 +52450,11 @@
"repo": "knupfer/haskell-emacs",
"unstable": {
"version": [
- 20160904,
- 2026
+ 20240205,
+ 2225
],
- "commit": "a2c6a079175904689eed7c6c200754bfa85d1ed9",
- "sha256": "1xpaqcj33vyzs5yv2w4dahw8a2vb6zcb3z7y2aqc5jdg3fx9ypam"
+ "commit": "777d5209f70229b53e4a60a09d1b714d233b0a7c",
+ "sha256": "0rw21v7qsyl4ygqrh4wsyiz8a8p2q1fpjl8lsvfwmmam1mgm2ph1"
},
"stable": {
"version": [
@@ -52103,11 +52534,11 @@
"repo": "haskell/haskell-mode",
"unstable": {
"version": [
- 20231115,
- 1812
+ 20240116,
+ 1718
],
- "commit": "8d0f44bfe2a9ab6b0969c9bafb75089f315ff5ae",
- "sha256": "0ndi986rxq9gz61ss2vazadn7rn0niv1gnpk9nfq9sw3m336glsf"
+ "commit": "43b4036bf02b02de75643a1a2a31e28efac1c50b",
+ "sha256": "09lz6w8rwfvp57byzalz8l3622kb06inqf5p6ijngkrildfgzgcr"
},
"stable": {
"version": [
@@ -52180,11 +52611,11 @@
"repo": "minad/hasklig-mode",
"unstable": {
"version": [
- 20211017,
- 1730
+ 20240102,
+ 333
],
- "commit": "4b73d61f4ef1c73733f7201fbf0b49ba9e3395b6",
- "sha256": "12a5hgaf2z6prqx45n6y0xyknz2sivpzwxjnzbsdx9sw6rniqm57"
+ "commit": "9933e55765f006322c7db4ff41c1c5789295768f",
+ "sha256": "0h6wk7y96h803n5215shb88vszpqahsr54af2zgw1h5s22x32xv3"
},
"stable": {
"version": [
@@ -52353,11 +52784,11 @@
"repo": "hcl-emacs/hcl-mode",
"unstable": {
"version": [
- 20230302,
- 1029
+ 20240220,
+ 1534
],
- "commit": "35784854efd29fa8c9fe827654d747a2ace5cb19",
- "sha256": "1glz8p89c6mfrh92wycinqr1ffk5b6skjjn9qpqw6n510ccpzhwg"
+ "commit": "b2a03a446c1fe324ff494c28b9321486fa6fc672",
+ "sha256": "1ykwwzypy6kna3462zpdnmq3dwidnkjhhyqnyz2fi98hkfcfwah8"
},
"stable": {
"version": [
@@ -52415,11 +52846,11 @@
"repo": "wkirschbaum/heex-ts-mode",
"unstable": {
"version": [
- 20231007,
- 725
+ 20240113,
+ 1104
],
- "commit": "80f35c61eb99393179b58a75c0fdd9b546d25136",
- "sha256": "19ivdadhxxdgc34ghwb3nlp02l81r083l6w6c7zz384y08amal3p"
+ "commit": "90142df2929956536dc1eaae3bb5ca04dc4232ab",
+ "sha256": "0yi3z59sc2ah2173ffpw2l033pmwg5km3id53mpry36pgki02ajn"
}
},
{
@@ -52430,30 +52861,30 @@
"repo": "emacs-helm/helm",
"unstable": {
"version": [
- 20231114,
- 1504
+ 20240221,
+ 1816
],
"deps": [
"helm-core",
"popup",
"wfnames"
],
- "commit": "74887ba26071fba4c66ac3b4dc11d7d632832394",
- "sha256": "1gyd03dln19cjwgrh3m72qik77hdc2waiv61knzk30pq3dds965j"
+ "commit": "0b355b3cd447df2cf96ad32577b0910770d20c25",
+ "sha256": "14jyzgz300mx0724agb1jnaixykqrvyblqkik17why0v28jqj2sx"
},
"stable": {
"version": [
3,
9,
- 5
+ 7
],
"deps": [
"helm-core",
"popup",
"wfnames"
],
- "commit": "ffff39b542196565dcec5641e287265aaa5517ed",
- "sha256": "067nc728dfbwzfs07z26cwcqjj00l4lvw3n9bl1zw094v0x6hxxm"
+ "commit": "b04272a53e5b2db1fc7724d4ba84e51fd20e9c4f",
+ "sha256": "0f7vwrvxg83gril2y5qm4469nzhy51dg49zn643pni6cmhz7r9mx"
}
},
{
@@ -53323,26 +53754,26 @@
"repo": "emacs-helm/helm",
"unstable": {
"version": [
- 20231108,
- 1729
+ 20240224,
+ 604
],
"deps": [
"async"
],
- "commit": "2534c228ef6699cb2588349c44627835fc444278",
- "sha256": "1iq9cpf4nywfiw1f3ki5jcxpb2mlyqm6pgqfa6alfkkpvgrap9l3"
+ "commit": "56ff07ea90284f5303be5fcaddaaa144e0c3396c",
+ "sha256": "1dkbrlrnn2lij1fbxbsxaaj29zyf504pv7bjdy1v0pmf1yhxdglp"
},
"stable": {
"version": [
3,
9,
- 5
+ 7
],
"deps": [
"async"
],
- "commit": "ffff39b542196565dcec5641e287265aaa5517ed",
- "sha256": "067nc728dfbwzfs07z26cwcqjj00l4lvw3n9bl1zw094v0x6hxxm"
+ "commit": "b04272a53e5b2db1fc7724d4ba84e51fd20e9c4f",
+ "sha256": "0f7vwrvxg83gril2y5qm4469nzhy51dg49zn643pni6cmhz7r9mx"
}
},
{
@@ -53458,14 +53889,14 @@
"repo": "emacs-helm/helm-descbinds",
"unstable": {
"version": [
- 20190501,
- 935
+ 20240216,
+ 1154
],
"deps": [
"helm"
],
- "commit": "b72515982396b6e336ad7beb6767e95a80fca192",
- "sha256": "1bp2n32lvb1fhnwzk8s782i928m8mklv77jz5rq0ag1q7y740r31"
+ "commit": "ca03f02da4e54a1d0a2d5498b86e1639aa808d8c",
+ "sha256": "0rl0935pni1vi5v9wd7w19i214iiysxixcjkdvqk1plsaqnb3dag"
},
"stable": {
"version": [
@@ -53872,14 +54303,14 @@
"repo": "jcs-legacy/helm-file-preview",
"unstable": {
"version": [
- 20220720,
- 531
+ 20240101,
+ 1005
],
"deps": [
"helm"
],
- "commit": "bebd4e9c42543c9003984442701db2c384c21f3e",
- "sha256": "03yp6qfx1qnn97pj0kbydh9qx8zb2cpy0g4l33caasa67128wk5a"
+ "commit": "7cf9264bfd106975e90d92a94fe7f150f7d31b8c",
+ "sha256": "017ar1ahlll3h595bawgyilbnzgjz8bw9k5x921y578h245b3f41"
},
"stable": {
"version": [
@@ -54136,15 +54567,15 @@
"repo": "jcs-legacy/helm-fuzzy",
"unstable": {
"version": [
- 20220704,
- 651
+ 20240101,
+ 1005
],
"deps": [
"flx",
"helm"
],
- "commit": "f04b0023534e41c1399b74de393e6915a5f95ba5",
- "sha256": "1g3s7qa8hia48rbdl7z4v0vpc5bgfdcrb31vxbnfh0ljxsyhbv1w"
+ "commit": "6ae944c04464bf5984e4180c8353c7fdcf17f672",
+ "sha256": "0sx22my6clfzwm7v5vrvmkv0najhiwnlx3bhln9gk14sk82gz8pw"
},
"stable": {
"version": [
@@ -55408,8 +55839,8 @@
"repo": "alphapapa/org-ql",
"unstable": {
"version": [
- 20231023,
- 2352
+ 20240221,
+ 1146
],
"deps": [
"dash",
@@ -55417,14 +55848,14 @@
"org-ql",
"s"
],
- "commit": "28c4215704031e05190c17932b5e683bb462d9e5",
- "sha256": "1jdkk837z8fw2dff5v8fh2dhx7rz348sf5jqpj2aja5ji48p0fs9"
+ "commit": "bfff0b5517d55d01bf12de27e10a73c9a077767b",
+ "sha256": "1wnvrjx9r9f1ykfdafj9pz1b0n669bqmixb5q35kmahyjis8rswq"
},
"stable": {
"version": [
0,
- 7,
- 3
+ 8,
+ 5
],
"deps": [
"dash",
@@ -55432,8 +55863,8 @@
"org-ql",
"s"
],
- "commit": "28c4215704031e05190c17932b5e683bb462d9e5",
- "sha256": "1jdkk837z8fw2dff5v8fh2dhx7rz348sf5jqpj2aja5ji48p0fs9"
+ "commit": "bfff0b5517d55d01bf12de27e10a73c9a077767b",
+ "sha256": "1wnvrjx9r9f1ykfdafj9pz1b0n669bqmixb5q35kmahyjis8rswq"
}
},
{
@@ -55969,16 +56400,16 @@
"repo": "bomgar/helm-rage",
"unstable": {
"version": [
- 20180118,
- 1532
+ 20240202,
+ 822
],
"deps": [
"dash",
"helm",
"s"
],
- "commit": "5d0aefb53d859186181d4bdcfeff7d315339c7b8",
- "sha256": "0msj3rrv9bwhhwz7r1ayr6qvnxjsq7374j0xfhqbrx49pix4qf3q"
+ "commit": "5b5316b92fd2b5319f7296c7ccaa93d471935076",
+ "sha256": "1j59c0r2v2lyqqsl9fpsif4v71d6bm1yajh4ydhw3wnghavpyrr2"
}
},
{
@@ -56301,8 +56732,8 @@
"repo": "emacs-helm/helm-searcher",
"unstable": {
"version": [
- 20221231,
- 1632
+ 20240101,
+ 827
],
"deps": [
"f",
@@ -56310,8 +56741,8 @@
"s",
"searcher"
],
- "commit": "225480fa89d73eb53478576610f48d035b77cda9",
- "sha256": "0xmjccgym52fdryggr8lpamxy5d39jxr5mrl76r11n30dr46r1qi"
+ "commit": "893ef3864596412093876657e590f61d4449b487",
+ "sha256": "1hxmy6vlxjngkj8v1vw16jhladln0b3c0hrykm30060grr3w5dss"
},
"stable": {
"version": [
@@ -56656,14 +57087,14 @@
"repo": "emacsorphanage/helm-swoop",
"unstable": {
"version": [
- 20210426,
- 547
+ 20240104,
+ 2356
],
"deps": [
"helm"
],
- "commit": "1b3285791f1dc1fde548fe67aec07214d698fd57",
- "sha256": "0wgi7pk2s4syi3fc8l60zcnz34f8ik9y558la0d5ryci4fssrl7i"
+ "commit": "df90efd4476dec61186d80cace69276a95b834d2",
+ "sha256": "01nrak72inmic9n30dval6608cfzsbv5izwzykbim46ifjhcipag"
},
"stable": {
"version": [
@@ -57663,11 +58094,19 @@
"repo": "alphapapa/highlight-function-calls",
"unstable": {
"version": [
- 20230416,
- 445
+ 20240112,
+ 731
],
- "commit": "e2ed2da188aea5879b59ffffefdc5eca10e7ba83",
- "sha256": "11i7ni7m6r08ziq9mk6r9wr5l1ky1yw30hpid6yk31sviik06jkz"
+ "commit": "dd9d78bff973bbf2dbc6bdbc3a18d5d6ebcee737",
+ "sha256": "0q3sdyd5x106555c6alswy2pv1hp59b894fk4ziscidppbb0nw9q"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 1
+ ],
+ "commit": "b56956c2b6ed1e87d0f8f49088ead3221244b53d",
+ "sha256": "09frfhiidbxjjix15vzd7f25fjyjfb3ixml357gl01rcb60qgsza"
}
},
{
@@ -57928,11 +58367,11 @@
"repo": "meain/hima-theme",
"unstable": {
"version": [
- 20230421,
- 314
+ 20240116,
+ 404
],
- "commit": "553541f1b66e0f00bada8273d1126d50de13bcd1",
- "sha256": "1x787kqwa34sjwssbafmsdxzd4c8fjpbz2gr9xinrmn06vxmairw"
+ "commit": "e928d62558ffaa9b2cca6d891069858d33535d59",
+ "sha256": "1d5qjc8hppannv6xymmqnmi98w3jhga8hb06shbq7wcl29bcipn0"
}
},
{
@@ -57943,11 +58382,11 @@
"repo": "dantecatalfamo/himalaya-emacs",
"unstable": {
"version": [
- 20230711,
- 925
+ 20240101,
+ 2203
],
- "commit": "087c66964182221250c746f65584f332c97a4c86",
- "sha256": "1990s0risfhvg30hh9xg75nyl9qd15j0dkn0gf77lsh5fnmhlymh"
+ "commit": "108e275f25ea35f2adeedbeb4af28c4a99c07fa7",
+ "sha256": "0i8rg5dinjkypyxgcqzaxrxs52j5x1nyalns23g20kvmfg3aivan"
},
"stable": {
"version": [
@@ -58241,11 +58680,11 @@
"repo": "ideasman42/emacs-hl-prog-extra",
"unstable": {
"version": [
- 20230820,
- 39
+ 20240209,
+ 218
],
- "commit": "b9a6a5d982b000d26ab365f16b4d5fdced2a3736",
- "sha256": "0hl0bh1gnjianlx9kb451432afj9126kcqd9p03x6bicymfb67h2"
+ "commit": "f658eff775fea05fa6deabf59a5fecf99660fa26",
+ "sha256": "07fm45vjm0aqa67gk4acip6k3p1n5wcjvv60s0m830awipkw4w6v"
}
},
{
@@ -58278,14 +58717,14 @@
"repo": "tarsius/hl-todo",
"unstable": {
"version": [
- 20230829,
- 1854
+ 20240101,
+ 2217
],
"deps": [
"compat"
],
- "commit": "70ce48470c85f1441de2c9428a240c3287995846",
- "sha256": "1rq16zrdmq9j2jrsg136r5qbqvsgd2bkgpb2wmxca91kfx86r2qq"
+ "commit": "7146bbcab5248f3fb9d09acb981b8e63f0c73413",
+ "sha256": "07q4piqxbwig12w4sm332v5apw2ph2frvm25jyxpfqsi59ri5vcz"
},
"stable": {
"version": [
@@ -58592,11 +59031,11 @@
"repo": "axelf4/hotfuzz",
"unstable": {
"version": [
- 20231108,
- 1101
+ 20240114,
+ 1729
],
- "commit": "a7563c9d08ae23abc05f8a184911ff803e52a54b",
- "sha256": "052yjj75fwic6n32qc5cyaq73vag7y6c68qk6868y526wwp3lxlj"
+ "commit": "0d89041ca494432d79e85b0454f21a75c6e21925",
+ "sha256": "1dax67xvi5pd1m5xp8f77ay3rnr28dyn1is8fj5dsn9cqxbqyzpx"
}
},
{
@@ -58704,26 +59143,26 @@
"repo": "kaorahi/howm",
"unstable": {
"version": [
- 20230730,
- 534
+ 20231231,
+ 854
],
"deps": [
"cl-lib"
],
- "commit": "4b68c83cd2398dabec7c10ea73526659e0d27231",
- "sha256": "1mmc2nndwmsicc3lrji99cwl7y4bjp984pbhc2fqsbcn046skdqy"
+ "commit": "fc61c312be7cd23c654a02f1f81355d562cd627e",
+ "sha256": "111l502krbrpzm63kbiblchiav8wv38z11snvcx428xjzpl28q1l"
},
"stable": {
"version": [
1,
5,
- 0
+ 1
],
"deps": [
"cl-lib"
],
- "commit": "6523a06a8d4fa3e82801d2752e927a972c9084d3",
- "sha256": "0g3cvjxkckw0pk8xmccg5gd8hmssdl82m4awarqndp8xqp444fjn"
+ "commit": "fc61c312be7cd23c654a02f1f81355d562cd627e",
+ "sha256": "111l502krbrpzm63kbiblchiav8wv38z11snvcx428xjzpl28q1l"
}
},
{
@@ -58880,11 +59319,11 @@
"repo": "hniksic/emacs-htmlize",
"unstable": {
"version": [
- 20210825,
- 2150
+ 20240212,
+ 1001
],
- "commit": "dd27bc3f26efd728f2b1f01f9e4ac4f61f2ffbf9",
- "sha256": "0kzkspq9cqdz9z6vibx39kpci07fpwm399nl9bpykc1vbj8cm44f"
+ "commit": "09d43dfa44899f194095bb3500a45ec5b34d59c6",
+ "sha256": "062ipq90mmjflp7syx5fpy0zykmdv1cjsd2baidbrydv9jzp2qzl"
},
"stable": {
"version": [
@@ -58897,17 +59336,17 @@
},
{
"ename": "htmltagwrap",
- "commit": "6c2287c7b4c543e92ccfab120388b2c05174d2db",
- "sha256": "1jac5ri96wqwss933z2m3q7yrrz8s3mwz39fahfspwqbycbhx8sx",
+ "commit": "cf62cd71df88e5024a891e1e438b1d7b15a0edf6",
+ "sha256": "0ylhwcahxj7njc1r5cihb27xr1c4shjc0zg14syldx1wxsl1pi8s",
"fetcher": "github",
- "repo": "jcs-elpa/htmltagwrap",
+ "repo": "emacs-vs/htmltagwrap",
"unstable": {
"version": [
- 20220704,
- 652
+ 20240120,
+ 1010
],
- "commit": "477d44d1ee38dd2033883bfe9e03f6f9acdfa49d",
- "sha256": "0hnnph79i0kipi7a26sbdiqlz67fgc7zdxzmyc2jiciwa9f89lkh"
+ "commit": "96f89ec74e39903d8ed1f87f261032778c19694a",
+ "sha256": "1nc58w73q8l2g1g8f6vpbaxfjyw4vbkd96hzd5lm7fb7mbwl6h3k"
},
"stable": {
"version": [
@@ -59088,19 +59527,19 @@
"repo": "humanoid-colors/emacs-humanoid-themes",
"unstable": {
"version": [
- 20230308,
- 2129
+ 20231222,
+ 1052
],
- "commit": "d6d41b365501650c18939c3394762bc163c87e40",
- "sha256": "0ns0i5jr0fbk51v7jxldkjr1ahsck8ikz013lvrxn9ssrgrwkn6x"
+ "commit": "7dd4fe1211e0af187ae9ad4db6d5bea9e3e944f9",
+ "sha256": "13wibzz7dvsrkzimwjrnkc93j27jw5jwg4bg3dal712cvpfj4avw"
},
"stable": {
"version": [
0,
- 3
+ 4
],
- "commit": "33c7f8dd55e30c255c2535647fee4126268f8dd8",
- "sha256": "1wpvk3w4aj8x91xjyplg864j9c4kz43r3831kadcnkp0d1p3k9hm"
+ "commit": "7dd4fe1211e0af187ae9ad4db6d5bea9e3e944f9",
+ "sha256": "13wibzz7dvsrkzimwjrnkc93j27jw5jwg4bg3dal712cvpfj4avw"
}
},
{
@@ -59331,8 +59770,8 @@
"repo": "ushin/hyperdrive.el",
"unstable": {
"version": [
- 20231112,
- 920
+ 20240207,
+ 1949
],
"deps": [
"compat",
@@ -59342,23 +59781,25 @@
"taxy-magit-section",
"transient"
],
- "commit": "8aff760d4a8d3a172a6694e1816f309bac1eac95",
- "sha256": "0zml0m0y5k1bs6d627f0la9ax9ag74rmxpxzwky5v8r8zqclynfa"
+ "commit": "8c41b805a522deb86e8b496090769c7251345115",
+ "sha256": "1p3pf0fybxv5v5sqhrr1yypjba4sl284px575s87ihcrkfjc9bwj"
},
"stable": {
"version": [
0,
- 2
+ 3,
+ 0
],
"deps": [
"compat",
"map",
"persist",
"plz",
+ "taxy-magit-section",
"transient"
],
- "commit": "262f4bf033fe10f7593ebbc156cfa83d04d5d5ba",
- "sha256": "00d6m2bvpi1xgrqnfh2plv38dm96izcz99ywbb6mycxbm376wylp"
+ "commit": "38d9b17dc0eefab1c31124d8aae3728372535e70",
+ "sha256": "1bddakb13lb4w1ifg9ljkiwcziyb1wavxapd67774bhx1q61zsnm"
}
},
{
@@ -59893,11 +60334,11 @@
"repo": "ignacy/idle-highlight-in-visible-buffers-mode",
"unstable": {
"version": [
- 20181027,
- 1531
+ 20240107,
+ 1344
],
- "commit": "8d8de309d5bd4b035c01bf7f0cfc6e079c79d898",
- "sha256": "194r7f4ngwx03n74rs26hqn9wypn9idjizvmffpsjpxfr7wr9z7l"
+ "commit": "f1f7ed3148439398adc6c0fe8ecf100d976886e6",
+ "sha256": "093x7ksv0qqp9xj4px7ibckl49kfmm0frqxqqc0zc7x77cfhvr7k"
},
"stable": {
"version": [
@@ -59917,11 +60358,11 @@
"repo": "ideasman42/emacs-idle-highlight-mode",
"unstable": {
"version": [
- 20230319,
- 810
+ 20240222,
+ 2257
],
- "commit": "f9091c907d41e7b12d99d108a194229b8dbfc5ae",
- "sha256": "0757x4iy7q0mj1rshlxr00hbc78g5hzijgzyqs36nrw6bn65fb93"
+ "commit": "cfb4efba3bbe1f697f6545bee98c479c3f1081b0",
+ "sha256": "1z14xbvmc87dxs9l8igsrd8y70rhmgw4cljmw6q8znhl2733mbj1"
}
},
{
@@ -60009,15 +60450,15 @@
"repo": "DarwinAwardWinner/ido-completing-read-plus",
"unstable": {
"version": [
- 20210529,
- 1318
+ 20240130,
+ 30
],
"deps": [
"memoize",
"seq"
],
- "commit": "00674721e4fce283c918f7316f1158da1d469910",
- "sha256": "0swsd1cn9xjfr0xkycazkf9l6ik2bqbxw5935nlwbpkvq150hbb7"
+ "commit": "1609049c0a9b3f674ffff3083adc8f5359746fa9",
+ "sha256": "0bfcjg05zk3s6q9c9pxq3cil5kzyv8x7k1nrsnnl5r2npp8f1j9f"
},
"stable": {
"version": [
@@ -60445,15 +60886,15 @@
"repo": "KarimAziev/igist",
"unstable": {
"version": [
- 20231114,
- 928
+ 20240204,
+ 1321
],
"deps": [
"ghub",
"transient"
],
- "commit": "7a72a4ea40668a7c4f0f8d60990a5ac298429936",
- "sha256": "0v02cjjabm1f14bvhqp559xfv14my9ibjyx2gh3kzb67lhsrlcsr"
+ "commit": "f83141db9a962cfe039431fa440f9c09ec8034ef",
+ "sha256": "0kj8kjz9324x4hw52rfn71h6pmb2svvbyzi1mkxzyrhhgwjmzbp4"
},
"stable": {
"version": [
@@ -60621,14 +61062,14 @@
"repo": "tarsius/imake",
"unstable": {
"version": [
- 20230511,
- 2108
+ 20240101,
+ 2217
],
"deps": [
"compat"
],
- "commit": "96ac809dbe9cae0e620bb5b1d5d1fb391f3f4741",
- "sha256": "0xxh1jci3gvbiv0kcif1b39gfakgwaqd7a8pfwpw7f7prv44ifp6"
+ "commit": "769e13579ed19fba1fcb6de7036f5cc9357eb1a7",
+ "sha256": "0pfmrfndx1mwk21grb3kn50yxszm0yifyg3284xy4b60nk4gma6b"
},
"stable": {
"version": [
@@ -60675,11 +61116,11 @@
"repo": "QiangF/imbot",
"unstable": {
"version": [
- 20210423,
- 731
+ 20240120,
+ 1331
],
- "commit": "0e772b52f07e2a5a6601aff1ea2b9fe704696a62",
- "sha256": "08w76awwg1g7n67b2rvbwg0i366zshqnhvlp6zyfagjg2bz5gdmf"
+ "commit": "32be46c7a8bd759945ae34f19c0c6f09935a7e64",
+ "sha256": "0d5141f1cw6x0110zi5z7b1m4dzbrlr5905mi3dh0xkvc6qq1yid"
}
},
{
@@ -60927,14 +61368,14 @@
"repo": "jcs-elpa/impatient-showdown",
"unstable": {
"version": [
- 20220730,
- 1259
+ 20240101,
+ 929
],
"deps": [
"impatient-mode"
],
- "commit": "42855c318c5b6ff83dc2d748224b12cbfd487e93",
- "sha256": "0bfykvnjmkiad7iy5h16f4syyrny9fba40cdb06ysfwkvz3m75c3"
+ "commit": "f24ebb103cd8cba36943f6a41a0fed303bd7ba5c",
+ "sha256": "1hxxygwbs1wc080gs8lm2dij6m5qkzp0f88ifi9309b2gd0grg7n"
},
"stable": {
"version": [
@@ -61071,11 +61512,11 @@
"repo": "jcs-elpa/indent-control",
"unstable": {
"version": [
- 20231031,
- 116
+ 20240101,
+ 940
],
- "commit": "944030cf4137caaf5356519aaf44159073bad50e",
- "sha256": "0mliv9p3ywyz877qa3r7cws18mkr9n68j9v9ivhg53b6zl15yrkd"
+ "commit": "27a545181d629e286009d313c60ce10abc407610",
+ "sha256": "0i9ny5pfxcjf1bp9jxgsy2k88xgvllc46pbg3niyw4hrvzk9nwbx"
},
"stable": {
"version": [
@@ -61380,20 +61821,20 @@
"repo": "nonsequitur/inf-ruby",
"unstable": {
"version": [
- 20231002,
- 1229
+ 20240127,
+ 1832
],
- "commit": "991fe74b1c1b83412b42832a39aafc2d88ae625c",
- "sha256": "0dxjcr34xsa0m25yw8pp4iwjq8cqdgs6r8ls4lwfb69rnii7jmn5"
+ "commit": "dac615c7fdbb57587465b1c497f8be4c979439e1",
+ "sha256": "0hw8n62cnxms88nrcyj6rfp3nil7r3l3kjykw7nv366y77mczvir"
},
"stable": {
"version": [
2,
8,
- 0
+ 1
],
- "commit": "991fe74b1c1b83412b42832a39aafc2d88ae625c",
- "sha256": "0dxjcr34xsa0m25yw8pp4iwjq8cqdgs6r8ls4lwfb69rnii7jmn5"
+ "commit": "8116b3b8336819a9838dd73e6926b5ba6d57c05e",
+ "sha256": "043ml560z69rlgw60w7m03r6cdwp8gfi1zs38qykg2yi98l6gg3x"
}
},
{
@@ -61681,6 +62122,32 @@
"sha256": "1k2xscd9dhxd4znsxn3ryvds8g9yrd82bz4jdx5p2km9czpjrj88"
}
},
+ {
+ "ename": "init-dir",
+ "commit": "dadc5266a5606bf7bed0dde20af97f2bbe8a536f",
+ "sha256": "19iggl33r6dmnvydpbr1zjjsk03c3sixcm2bfg75jfpla0c5dp3i",
+ "fetcher": "github",
+ "repo": "chaosemer/init-dir",
+ "unstable": {
+ "version": [
+ 20240216,
+ 1758
+ ],
+ "deps": [
+ "benchmark-init"
+ ],
+ "commit": "3b2320a62e0b2c39ae001381ef3e9ee0d538f146",
+ "sha256": "1irki93sz709rkp8ma3s6b9hmz99l67mcimqw316vqc583kkj2l9"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 1
+ ],
+ "commit": "93e8ae3a83d4b90b84076a26ad7d34de4595b686",
+ "sha256": "06yydk6w8sg0hbwi4l6h5hvq39vb44ya4kx820cgp5y9zk6khsa3"
+ }
+ },
{
"ename": "init-loader",
"commit": "e46e6ec79ff4c76fc85e13321e6dabd5797c5f45",
@@ -61847,6 +62314,21 @@
"sha256": "05by3mzz8gw13c42m2z3cr13zng62mbany0hvixx3jmn1q4hj9r0"
}
},
+ {
+ "ename": "inputrc-mode",
+ "commit": "6587a445e951c1d99b21953dcb3da9c1119862f4",
+ "sha256": "08apw99s190d2bhyn57fx664n2gsyg1ippxh90mf55g7hs6fy508",
+ "fetcher": "github",
+ "repo": "nverno/inputrc-mode",
+ "unstable": {
+ "version": [
+ 20231203,
+ 2307
+ ],
+ "commit": "c1d5984fb30165ec72636123fc2e36389314eb62",
+ "sha256": "11wyicjfj281j21gnc0f0rpkfimncv8gmq656dqbn9qdn4zdahwg"
+ }
+ },
{
"ename": "insecure-lock",
"commit": "170ba8b01dbb8385260ef546ecb4e5239c6cc686",
@@ -62457,14 +62939,14 @@
"repo": "jcs-elpa/isearch-project",
"unstable": {
"version": [
- 20231104,
- 855
+ 20240101,
+ 940
],
"deps": [
"f"
],
- "commit": "b5d5d6ddbc5f636d9c1d2fb68a7950b1b3738074",
- "sha256": "04iq210llgzw5hds3926dc9xbi8f4igj7r4pxj6gwgyriyg5sjaf"
+ "commit": "07f26dee4636b8e17179dcf57622d40f8d6fee38",
+ "sha256": "0bbqcn37nngw6dz5k0x9s1h281mp5sdb5c9fd0jalj7v1clxvjy6"
},
"stable": {
"version": [
@@ -62556,6 +63038,36 @@
"sha256": "174zjlgcikaydgx5npsbwqblzc61pxnnpw50nia8jhh8175j2sbl"
}
},
+ {
+ "ename": "iso-639",
+ "commit": "00248ba809ef61d5f233ee47db785b7d4702c52d",
+ "sha256": "1qg2z7sp7h5g648i29bgnnal0byjh3jrzgslp59vsw4br6y9lsnr",
+ "fetcher": "codeberg",
+ "repo": "WammKD/emacs-iso-639",
+ "unstable": {
+ "version": [
+ 20240218,
+ 1008
+ ],
+ "deps": [
+ "levenshtein"
+ ],
+ "commit": "c217a36102a566bbaf6f0aec81511fc5a9cfc247",
+ "sha256": "0hhxp36k1nlvz6bd8g2y0xj0m5sb7zz3yq8pr5dqql6fh78rq2hm"
+ },
+ "stable": {
+ "version": [
+ 1,
+ 0,
+ 0
+ ],
+ "deps": [
+ "levenshtein"
+ ],
+ "commit": "c217a36102a566bbaf6f0aec81511fc5a9cfc247",
+ "sha256": "0hhxp36k1nlvz6bd8g2y0xj0m5sb7zz3yq8pr5dqql6fh78rq2hm"
+ }
+ },
{
"ename": "isortify",
"commit": "c756ccbae044bc23131060355532261aa9a12409",
@@ -62720,11 +63232,11 @@
"repo": "abo-abo/swiper",
"unstable": {
"version": [
- 20231025,
- 2311
+ 20240214,
+ 2118
],
- "commit": "8c30f4cab5948aa8d942a3b2bbf5fb6a94d9441d",
- "sha256": "1iqj27pc2iivmwfh329v0d9g0z1y0whlnamrl7g2bi374h41m368"
+ "commit": "749ac1235a7948011cb0caddd4c31037e3314614",
+ "sha256": "0d598jxdrxjlszaikh27v7j2zdndisfqzb384d94siw4rwzfj4zr"
},
"stable": {
"version": [
@@ -62744,15 +63256,15 @@
"repo": "abo-abo/swiper",
"unstable": {
"version": [
- 20231025,
- 2311
+ 20240214,
+ 2118
],
"deps": [
"avy",
"ivy"
],
- "commit": "8c30f4cab5948aa8d942a3b2bbf5fb6a94d9441d",
- "sha256": "1iqj27pc2iivmwfh329v0d9g0z1y0whlnamrl7g2bi374h41m368"
+ "commit": "749ac1235a7948011cb0caddd4c31037e3314614",
+ "sha256": "0d598jxdrxjlszaikh27v7j2zdndisfqzb384d94siw4rwzfj4zr"
},
"stable": {
"version": [
@@ -62887,15 +63399,15 @@
"repo": "franburstall/ivy-emms",
"unstable": {
"version": [
- 20210817,
- 1300
+ 20231112,
+ 1621
],
"deps": [
"emms",
"ivy"
],
- "commit": "dfde98c3bdad8136709eac8382ba048fafdcc6ac",
- "sha256": "0h7f9yj2dx36pjqdf6n803xhv7m96xgj11hi59kh1rvr8d28pgm0"
+ "commit": "3b1bda7be64ba5555672b6375c205e0f7d831bc0",
+ "sha256": "1wzrlyb9sy72zmmfh3cc2zm38w6v3nnybm3pn6gqmgcga78zpcm4"
}
},
{
@@ -62990,16 +63502,16 @@
"repo": "jcs-legacy/ivy-file-preview",
"unstable": {
"version": [
- 20220704,
- 653
+ 20240101,
+ 1004
],
"deps": [
"f",
"ivy",
"s"
],
- "commit": "716415a12db2c0166c6fb229e41623587b212719",
- "sha256": "0vqcgip4fn6d8k1vgdzcpj51acykx6prglpixv08h5ys4r09vin8"
+ "commit": "c1ea280cffa52710fffb14b2285a9a9474d18fa5",
+ "sha256": "0j8yi0k6bzl1zk9jhamm542qf4x2rnx55nnj371ywaw9wjzxxsaa"
},
"stable": {
"version": [
@@ -63104,6 +63616,38 @@
"sha256": "031624grhvv5ix3gvnd8lzpws80lb5r272yggl1k57rsayyv55ix"
}
},
+ {
+ "ename": "ivy-hoogle",
+ "commit": "d6e796026ef5abb0f55c40af84bc5b3ff352b47b",
+ "sha256": "1q0pwan495nkd509yp03ymzvjp7mi7iax01i045jy59ya4qvx0ik",
+ "fetcher": "github",
+ "repo": "aartamonau/ivy-hoogle",
+ "unstable": {
+ "version": [
+ 20240102,
+ 908
+ ],
+ "deps": [
+ "async",
+ "ivy"
+ ],
+ "commit": "4b080018175b5770fd3571265bc846a4a845cdca",
+ "sha256": "16p3rs3nva8xq5h91j3wgvxrvzhffh1i9a6is31pah3w4gy3sscm"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 1,
+ 1
+ ],
+ "deps": [
+ "async",
+ "ivy"
+ ],
+ "commit": "4b080018175b5770fd3571265bc846a4a845cdca",
+ "sha256": "16p3rs3nva8xq5h91j3wgvxrvzhffh1i9a6is31pah3w4gy3sscm"
+ }
+ },
{
"ename": "ivy-hydra",
"commit": "06c24112a5e17c423a4d92607356b25eb90a9a7b",
@@ -63112,15 +63656,15 @@
"repo": "abo-abo/swiper",
"unstable": {
"version": [
- 20231025,
- 2311
+ 20240214,
+ 2118
],
"deps": [
"hydra",
"ivy"
],
- "commit": "8c30f4cab5948aa8d942a3b2bbf5fb6a94d9441d",
- "sha256": "1iqj27pc2iivmwfh329v0d9g0z1y0whlnamrl7g2bi374h41m368"
+ "commit": "749ac1235a7948011cb0caddd4c31037e3314614",
+ "sha256": "0d598jxdrxjlszaikh27v7j2zdndisfqzb384d94siw4rwzfj4zr"
},
"stable": {
"version": [
@@ -63301,27 +63845,27 @@
"repo": "radian-software/prescient.el",
"unstable": {
"version": [
- 20221216,
- 112
+ 20231123,
+ 2208
],
"deps": [
"ivy",
"prescient"
],
- "commit": "72b023f5fc1ae2bbf2f51f1786cc012d40671bf4",
- "sha256": "1mc9pjb80bxcmzaylfwh0sgpvwbx3h35jalznwz464hw3vqfff83"
+ "commit": "c0eca3328313f1e93d24e686307516f43a484ba2",
+ "sha256": "1vj21kcqlsa02nvslmxgxsbv4pc93gakj4x2a6rbk87zl6ccw7pk"
},
"stable": {
"version": [
6,
- 1
+ 2
],
"deps": [
"ivy",
"prescient"
],
- "commit": "72b023f5fc1ae2bbf2f51f1786cc012d40671bf4",
- "sha256": "1mc9pjb80bxcmzaylfwh0sgpvwbx3h35jalznwz464hw3vqfff83"
+ "commit": "c0eca3328313f1e93d24e686307516f43a484ba2",
+ "sha256": "1vj21kcqlsa02nvslmxgxsbv4pc93gakj4x2a6rbk87zl6ccw7pk"
}
},
{
@@ -63424,8 +63968,8 @@
"repo": "jcs-legacy/ivy-searcher",
"unstable": {
"version": [
- 20220704,
- 653
+ 20240101,
+ 1004
],
"deps": [
"f",
@@ -63433,8 +63977,8 @@
"s",
"searcher"
],
- "commit": "5ed00a1e273cdb33ce356f373427b65fb131021a",
- "sha256": "1x7hraaihd1rmvxwkx1fb53xlzsx7j441ws4qlkv2pvfzr4wd1a0"
+ "commit": "1b6f6aed1b371c45b5d8be8aaf6d6e89eba5e0f1",
+ "sha256": "08sv8viy092rylypyypvc793dn8s4mrgybm1qmx7j6aff1afml9h"
},
"stable": {
"version": [
@@ -63757,11 +64301,20 @@
"repo": "hperrey/jami-bot",
"unstable": {
"version": [
- 20230416,
- 2005
+ 20240203,
+ 1017
],
- "commit": "21673c5844f9a1ea9ba49f1a68c72b1fe7cb9f91",
- "sha256": "0l3vcki9d2hl2md2iwgiwq0487v416ffk6y24r2nf2yx07bvrqys"
+ "commit": "c2ad37e2ada14b5551a83211cc4692b39be4e5fb",
+ "sha256": "18ibnf995yymgxv7xz7xql6lnix3sxn6pn659b1vp00g5d5yl2jf"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 0,
+ 2
+ ],
+ "commit": "1da4d471587f55599686f97e143dfdb80d080fd5",
+ "sha256": "16yz2ccchf7jysxfqb0cwdjq30mhnslbfy147i1w5ly0rb7s2and"
}
},
{
@@ -64277,10 +64830,10 @@
},
{
"ename": "jest",
- "commit": "a3c6e6adb1a63534275f9d3d3d0fe0f5e85c549b",
- "sha256": "1x9dqyrpri2giqzzlcg0nnq6wjfdfmy9fqqrq159qvw8rshvfzcz",
+ "commit": "313a500ae727ff1497c03803b12920baefeccd99",
+ "sha256": "04y9xndxh4bbkcszxhv3gg9a5hzhmbjvf3v7115jmdi00v3m5psi",
"fetcher": "github",
- "repo": "Emiller88/emacs-jest",
+ "repo": "edmundmiller/emacs-jest",
"unstable": {
"version": [
20220807,
@@ -64306,11 +64859,11 @@
"repo": "rymndhng/jest-test-mode",
"unstable": {
"version": [
- 20220722,
- 1947
+ 20231209,
+ 1754
],
- "commit": "3126c5c5c5632da639ea34867a7342d4410d78aa",
- "sha256": "16cfikwzysng8wm1ihs5dhv59dx5rz85fx9d57dba87gcs0bsvdf"
+ "commit": "a397507d8bb41e4aa6b97994f1d7512e78d3dee3",
+ "sha256": "0m68sjvdjx0ky0x35rs4x8makd7mvnpc6kz4kmxxn28nj19pk899"
}
},
{
@@ -64449,25 +65002,25 @@
"repo": "minad/jinx",
"unstable": {
"version": [
- 20231111,
- 850
+ 20240223,
+ 1312
],
"deps": [
"compat"
],
- "commit": "25279e36701ad0bea1331ccfa009c07fda2f85d0",
- "sha256": "0z05znl1cb26j67h7g0wlfazn9sr7p5j59mwdayy3l9157ic6b4i"
+ "commit": "cd63c27767d655319f89432ed1feae1cae12cdab",
+ "sha256": "02nc3aha4alhw3qm119hciy3xmrk829vgq4a1dxn04m8qf01fpy8"
},
"stable": {
"version": [
- 0,
- 9
+ 1,
+ 3
],
"deps": [
"compat"
],
- "commit": "9a10a621c505c65acfb6222240263e29ad546f68",
- "sha256": "0xayrqnsws2n2p0qbdl291fva4ljp3kqrr591xbq1wr6n95hfyn5"
+ "commit": "cd63c27767d655319f89432ed1feae1cae12cdab",
+ "sha256": "02nc3aha4alhw3qm119hciy3xmrk829vgq4a1dxn04m8qf01fpy8"
}
},
{
@@ -64504,6 +65057,30 @@
"sha256": "0yrcc9yfz9gxkhizy03bpysl1wcdbk0m6fj9hkqw3kbgnsk25h4p"
}
},
+ {
+ "ename": "jirascope",
+ "commit": "ab71ae1299dad22a6c9e460d25a6d6383d7e2e95",
+ "sha256": "03q0sq6ffc8hxr94b3k2dyhpy3kawlm4ifc9vr5swb05jp07wapr",
+ "fetcher": "github",
+ "repo": "Duckonaut/jirascope",
+ "unstable": {
+ "version": [
+ 20240122,
+ 2130
+ ],
+ "commit": "61acd8d6adbd6b25ebcc5436b4dce6d5c6d2981c",
+ "sha256": "00vaysw40mmv88352wcsw13wyy837m41xi4bliwqm3r1w9vlp03n"
+ },
+ "stable": {
+ "version": [
+ 1,
+ 0,
+ 0
+ ],
+ "commit": "61acd8d6adbd6b25ebcc5436b4dce6d5c6d2981c",
+ "sha256": "00vaysw40mmv88352wcsw13wyy837m41xi4bliwqm3r1w9vlp03n"
+ }
+ },
{
"ename": "jist",
"commit": "898bfa0b315240ef9335fde24ff0386a4c6c6595",
@@ -64556,6 +65133,21 @@
"sha256": "1idby2rjkslw85593qd4zy6an9zz71yzwqc6rck57r54xyfs8mij"
}
},
+ {
+ "ename": "jmespath",
+ "commit": "3efe55a893788893d8318b01db50246f072e05c0",
+ "sha256": "1k6zrpzw0i9qrrbwncqv63jhamls9gpaglzcqkfxl5n9jgcppjwk",
+ "fetcher": "github",
+ "repo": "UnresolvedCold/jmespath",
+ "unstable": {
+ "version": [
+ 20240115,
+ 1310
+ ],
+ "commit": "d3a4a4abdd6804d3aef5e0d0c538abd27667b4c3",
+ "sha256": "1nnf8ynsmf8l83cjjsfh9h0zj6dim4lgqsrl4fiy6m7sfi3x33q2"
+ }
+ },
{
"ename": "jmt-mode",
"commit": "387b4908dba66caf154103b678ae2d49d530a5d5",
@@ -64564,11 +65156,11 @@
"repo": "Michael-Allan/Java_Mode_Tamed",
"unstable": {
"version": [
- 20230519,
- 1523
+ 20240213,
+ 1405
],
- "commit": "b5b969f23d4cda1f70107db79a112e802951ce30",
- "sha256": "13dgahlpb19f3d24vrn51s2kccpjbwrfnfmlgpkfvvh3g6gk80qs"
+ "commit": "a307c5c1ddc2f32199b52f8183b72a0648d4a4e1",
+ "sha256": "15x9brm62zg9k1y69xp3hana27xrzrw099rnfzkvi2palgi7s6w5"
}
},
{
@@ -64598,11 +65190,11 @@
"repo": "SebastianMeisel/journalctl-mode",
"unstable": {
"version": [
- 20231113,
- 809
+ 20240219,
+ 2115
],
- "commit": "d9ecbd2f1ca15c90a05f11441886ab2e66b9a51f",
- "sha256": "1mpqr8ls3xd8iirnjsk2rg3ibr13n853dj3y0hr6baddka1hydfx"
+ "commit": "631d10a5c8f466c94c38c3cd7410a27026f5f822",
+ "sha256": "1p3mgza73yls8f7v063jb49z0ylmvni4v812abqvvvrn5q396286"
},
"stable": {
"version": [
@@ -64682,11 +65274,11 @@
"repo": "ljos/jq-mode",
"unstable": {
"version": [
- 20230823,
- 1003
+ 20240115,
+ 1512
],
- "commit": "4d9e98e21642007bc32ba75a5c259760f1fcf451",
- "sha256": "1jifbpa1wgljrzxyg93dhzcxrfmlnxnwlrgfs6627wl4sijh0msi"
+ "commit": "37028e120041a489eff352b79202361cb232377e",
+ "sha256": "1dhrcdjc8g830zdx35xv55j1jnrxb9kb6ai76xfg32icr1fyaf4p"
},
"stable": {
"version": [
@@ -64794,11 +65386,11 @@
"repo": "redguardtoo/js-comint",
"unstable": {
"version": [
- 20230311,
+ 20231126,
230
],
- "commit": "b788bf5d57ad6b902c4096b666c6d78ceff7c116",
- "sha256": "0si03p6xw0ljxc6rkl2v789z4syqpnswaw6ql29g4f3qykav5xy2"
+ "commit": "ef2ccccad5740f3d8b5295f52a35df4f62471480",
+ "sha256": "0ll9yyj3p4yyvc00jvx72r06xhxyl7zrky77l750kx151mv1aixc"
},
"stable": {
"version": [
@@ -64949,24 +65541,24 @@
"repo": "mooz/js2-mode",
"unstable": {
"version": [
- 20230628,
- 238
+ 20240221,
+ 351
],
"deps": [
"cl-lib"
],
- "commit": "79bc78d77db0d2e8e43dc0f9e0504ad90bcbc26d",
- "sha256": "1mgqxpsaa5ks9nrsljybw97kcb5rgh1a5si3h98h1firns1vapwp"
+ "commit": "e7373b0e0891b2521d8f9b9862b77607317b2f39",
+ "sha256": "012yc87dv73w9fsccyfdm7ihrc1n39axs4kgw2zj31w48sv1vh1w"
},
"stable": {
"version": [
- 20230408
+ 20231224
],
"deps": [
"cl-lib"
],
- "commit": "7d928272bc311b1dd6f38d3f6365c18153e28636",
- "sha256": "1vwykla43315wlky52807pn2nm508dx6593alk7hnrl2qkl7852s"
+ "commit": "010a536da6df345a0b9a55bbd892f5f4d0d9fdfc",
+ "sha256": "11ppp1m7hl4ii79zjw62bqvksyzh5xmp3q1qw21wlj2s47mkpm73"
}
},
{
@@ -65130,26 +65722,26 @@
"repo": "json-emacs/json-mode",
"unstable": {
"version": [
- 20230805,
- 507
+ 20240203,
+ 751
],
"deps": [
"json-snatcher"
],
- "commit": "481d5d9f4003fc14d116bf31d1bf71d14960d7d9",
- "sha256": "0k4sfw3dq1wlfz2hyw72h6wgf1sss8xjcc1bnwwn7ip4balwi1yf"
+ "commit": "bfd1557aaa20b7518b808fdc869f094b52205234",
+ "sha256": "008dlgqm9l9lk12njig2hbsfqafw42169qdh0z8qq0flqmnm1vqj"
},
"stable": {
"version": [
1,
- 8,
+ 9,
0
],
"deps": [
"json-snatcher"
],
- "commit": "eedb4560034f795a7950fa07016bd4347c368873",
- "sha256": "0r0k56q58kb133l9x9nbisz9p2kbphfgw1l4g2xp0pjqsc9wvq8z"
+ "commit": "a93a0c76784376fbb9105719f25c7489991056a1",
+ "sha256": "0irz9gpw43wkhiq8828wm9nsc3baqg299dgly9iv7jiygk2lp14s"
}
},
{
@@ -65190,14 +65782,14 @@
"repo": "taku0/json-par",
"unstable": {
"version": [
- 20230917,
- 624
+ 20240217,
+ 550
],
"deps": [
"json-mode"
],
- "commit": "bbafcc911fce4ebfb30d9f9a0bc226b1983cf7b1",
- "sha256": "0mphal0j5hn5hi9i8gpb95csqbw06b7msszggpkxl6lhp3d5sbbm"
+ "commit": "52f69108d4eca328f7eb74c2dfb841db2f0ffa8a",
+ "sha256": "0ifsy0016yrh9dkw9zlfd4l7m30fncli954zm3n1qknk6dmyd19r"
},
"stable": {
"version": [
@@ -65346,11 +65938,11 @@
"repo": "iwahbe/jsonian",
"unstable": {
"version": [
- 20230914,
- 116
+ 20231229,
+ 1444
],
- "commit": "22bd5e20a653595b901ccfdc8780a0038755984d",
- "sha256": "1v4wd0xc1xr4zibbs9pq2kaxqf86jxcmdwxinhkhkh450c6rfcwh"
+ "commit": "f200035b847d6dd10bd7987f4540cff9edd3b881",
+ "sha256": "0zr49wnvr7r8d5a4przxsfg9gjqkpijnngq9kzjhakhqdmqbwf8q"
},
"stable": {
"version": [
@@ -65462,6 +66054,30 @@
"sha256": "029arf0m39rrb3x81hpd3ljwd4ki37hwa4n38hynn8lfmwrrdy2x"
}
},
+ {
+ "ename": "jtsx",
+ "commit": "1ae0aa7ecdf82a3717e7a883c65f032ef4d7475d",
+ "sha256": "0pb40zfg6rawcakkm8ly9970kygcy41z5n1kf6y1qkz840xj10ry",
+ "fetcher": "github",
+ "repo": "llemaitre19/jtsx",
+ "unstable": {
+ "version": [
+ 20240214,
+ 1136
+ ],
+ "commit": "f2bca05283222e4622b54d1a42b704966b363331",
+ "sha256": "0hz4h7b1wnq1l7yrcy078yd2qjnsnras1lr2llrangf9gfzrif4p"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 3,
+ 5
+ ],
+ "commit": "781d140a8d3115a9567d797ed73ec32e21db4679",
+ "sha256": "1yci9jhrdlmfi51qvwv2v6p1vl1zaz3xa5h4rx94gv2vi3fvg4v2"
+ }
+ },
{
"ename": "julia-formatter",
"commit": "962f7c87d0630399ea388f25ec5792fa2f2b4489",
@@ -65470,14 +66086,14 @@
"repo": "FelipeLema/julia-formatter.el",
"unstable": {
"version": [
- 20230525,
- 1742
+ 20231130,
+ 1512
],
"deps": [
"session-async"
],
- "commit": "783df6cf8ef0db7adb4e81b86aa1e17992642493",
- "sha256": "0yj9hhbwfwalqh4g9rxz4jqbc35y1w94lnr1bhrj1d842j2an9v6"
+ "commit": "4b40481cc9c0dcb3c9704436e00d613067d44bf5",
+ "sha256": "06rrkpzrmfc67aiz4wcn5l4s4hvjs7fxvxd97d80afaqc4pzrj6d"
}
},
{
@@ -65559,8 +66175,8 @@
"repo": "gcv/julia-snail",
"unstable": {
"version": [
- 20231113,
- 2330
+ 20240205,
+ 2228
],
"deps": [
"dash",
@@ -65569,25 +66185,24 @@
"s",
"spinner"
],
- "commit": "16cf8ffafe5ea0925beb97e37a64eab58b2ad95d",
- "sha256": "1231rkk629dqi15011bjslwvspvlgnx74xm2q9j1y37ql4zxjx2n"
+ "commit": "ce6994a548c3da051eb9104cad2c1056aa3cf4c1",
+ "sha256": "0p3wffd2013nd9322k543ql2z9w8826c4basm3w7wq2c1ckf8q2f"
},
"stable": {
"version": [
1,
- 2,
- 3
+ 3,
+ 1
],
"deps": [
"dash",
"julia-mode",
"popup",
"s",
- "spinner",
- "vterm"
+ "spinner"
],
- "commit": "c33663abf081a06e0fdbf5818255f082070d2160",
- "sha256": "07dj788hdfskn2k400q2fcfh18w7gflfrc5zqg8bqflhv755m30k"
+ "commit": "cd08e6edcd8bf5e3b44cb4deb7117e37d7e2061a",
+ "sha256": "0h5lwc2hsk4rc82idhf9qj9553v7x76wmy9x2z1h60pdd93ilcyr"
}
},
{
@@ -65781,8 +66396,8 @@
"repo": "emacs-jupyter/jupyter",
"unstable": {
"version": [
- 20231020,
- 1901
+ 20231204,
+ 529
],
"deps": [
"cl-lib",
@@ -65791,8 +66406,8 @@
"websocket",
"zmq"
],
- "commit": "3a31920d48dc5e0d1028fb676cf20d13ea9f78ad",
- "sha256": "17fblp4pwgm3vf415rp6l510nhrl4s3ssiimnwjmghyc2v2988j4"
+ "commit": "da306a6dbda6f1e285281765a311938a1d9db022",
+ "sha256": "10inpbqnaqv1f8w2k9cv9dnczb547p3yw9dlndx3d8fwrryql40q"
},
"stable": {
"version": [
@@ -65842,8 +66457,8 @@
"repo": "psibi/justl.el",
"unstable": {
"version": [
- 20230913,
- 232
+ 20240224,
+ 428
],
"deps": [
"f",
@@ -65851,21 +66466,22 @@
"s",
"transient"
],
- "commit": "1364da34e2afa951e74ff40037cabc86b7525b58",
- "sha256": "0bf54v5lpgm87p0l7srjj2yx7yi6p351flgbjiz2qy55hl2ahks2"
+ "commit": "1b16ca44b227dc761a2c8631690140f62e024f98",
+ "sha256": "1ciwiamby7w8snkrn6c3s7y5fyfpv6982rihfx6gmbsg0r6vgmwl"
},
"stable": {
"version": [
0,
- 13
+ 14
],
"deps": [
"f",
+ "inheritenv",
"s",
"transient"
],
- "commit": "d8fae037a873b51e0b14b137f1c2b813dc89b853",
- "sha256": "18br70n3jv6pxm3hrvlj5g01ja7lhkqw9661fz28v63liidnpbkh"
+ "commit": "1b16ca44b227dc761a2c8631690140f62e024f98",
+ "sha256": "1ciwiamby7w8snkrn6c3s7y5fyfpv6982rihfx6gmbsg0r6vgmwl"
}
},
{
@@ -66042,6 +66658,36 @@
"sha256": "0bxmzh2my94jph2ydfx4p777xihzisvkgk9vwsnrdmfng5hfs8vv"
}
},
+ {
+ "ename": "kagi",
+ "commit": "e5ce95a4e9468c201e3dd7d02fe43245c36b64cd",
+ "sha256": "0cd63jvlkn8kd4n5v6jhzp9lllsxicqlxlgkqpiid45dlj8786h3",
+ "fetcher": "codeberg",
+ "repo": "bram85/kagi.el",
+ "unstable": {
+ "version": [
+ 20240214,
+ 749
+ ],
+ "deps": [
+ "shell-maker"
+ ],
+ "commit": "c32eb03aaf033a23214507d7f4edd31cf0a3f182",
+ "sha256": "1y6mb8mr5rv8hf11jwdbh89nwpi2y2ibvd7jfrpfbb4dbngyblq9"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 3,
+ 1
+ ],
+ "deps": [
+ "shell-maker"
+ ],
+ "commit": "6627fc20d297afd80392488651fd46e4df051a3e",
+ "sha256": "0w1nkk3j3hl2177f4gw4z62wkmq17gdrybsh30i5q6rmq97ilhr6"
+ }
+ },
{
"ename": "kakapo-mode",
"commit": "a43f0f1f6a0773240a51d379ec786c20a9389e7b",
@@ -66149,20 +66795,17 @@
},
{
"ename": "kanagawa-theme",
- "commit": "7f48763ca253881f454a999c6bebe12325066e59",
- "sha256": "046va3ik8h19lm7s3308i1379d35i3jzjw9p05ml85srfxmpmchr",
+ "commit": "e4033364b3a2898858cecee0d6deff5779195f29",
+ "sha256": "00zx1zjvshvld6f1q9lk8j08nng1ra0isyhbyhnic6mhljb20mk3",
"fetcher": "github",
- "repo": "Meritamen/kanagawa-theme",
+ "repo": "meritamen/emacs-kanagawa-theme",
"unstable": {
"version": [
- 20231112,
- 414
+ 20240120,
+ 647
],
- "deps": [
- "autothemer"
- ],
- "commit": "692cc627ccdd0595de3bbc67e313a0363002e444",
- "sha256": "0abckxxifh5aw55wk7ykfxi6cm4rd6ya0m8pql9591lyxfi5whxw"
+ "commit": "7277c9230c27f927fcde3dc5303a8b6704062ecf",
+ "sha256": "0w3vi358gda0p0ir7yxwxn7j397nqq3rc4v9qwcr3xs1ddj9f678"
}
},
{
@@ -66237,28 +66880,28 @@
"repo": "ogdenwebb/emacs-kaolin-themes",
"unstable": {
"version": [
- 20231004,
- 243
+ 20240217,
+ 2054
],
"deps": [
"autothemer",
"cl-lib"
],
- "commit": "8f2cd166b3e5d19d83ab7de73a62069929238306",
- "sha256": "0f5y1ri1pdgy088lxp9h8h5cvnfyi5dkvyvyxzjh00kaj10d3va5"
+ "commit": "b03749c914b1319caccce4cf96630e3fba5335f5",
+ "sha256": "15246nsiwdfy5zl5iml4qxslz8p7k9lrzdr7p6bn71afk721vz5y"
},
"stable": {
"version": [
1,
- 6,
- 9
+ 7,
+ 0
],
"deps": [
"autothemer",
"cl-lib"
],
- "commit": "8f2cd166b3e5d19d83ab7de73a62069929238306",
- "sha256": "0f5y1ri1pdgy088lxp9h8h5cvnfyi5dkvyvyxzjh00kaj10d3va5"
+ "commit": "b03749c914b1319caccce4cf96630e3fba5335f5",
+ "sha256": "15246nsiwdfy5zl5iml4qxslz8p7k9lrzdr7p6bn71afk721vz5y"
}
},
{
@@ -66493,11 +67136,11 @@
"repo": "Boruch-Baum/emacs-key-assist",
"unstable": {
"version": [
- 20230122,
- 718
+ 20231208,
+ 446
],
- "commit": "41a958ca6b038586bfdcf01045bb8b9d379248fe",
- "sha256": "099g9y3ky8hmgrq68idcjwa3452jdkggblgz397824kj13d7z02b"
+ "commit": "87d2378db3d997b6b5a7b2c04281c18378e70bbb",
+ "sha256": "1fjnnvja0r3abf2973y3c704y436krfhifyas7p6rmc8d0k4358v"
},
"stable": {
"version": [
@@ -66516,19 +67159,19 @@
"repo": "emacsorphanage/key-chord",
"unstable": {
"version": [
- 20230522,
- 223
+ 20240109,
+ 1430
],
- "commit": "e724def60fdf6473858f2962ae276cf4413473eb",
- "sha256": "0hg7bf35m3r02xv4wnmgx37cllvp74wxvh00593xi5i99dr7bl03"
+ "commit": "dbf91fefdad58b1c2f07c92e658ce81490837c60",
+ "sha256": "0r3zvq1z79csgcq0mgifdakx0z0li3haxk4wxvijwxllfb9kn22g"
},
"stable": {
"version": [
0,
- 6
+ 7
],
- "commit": "8468998946367157830df19a1e92785d22a34178",
- "sha256": "10ldhwp9a21r9g72hzaig1h5yh2zblny0r36nf5nz6gzikfcq0cd"
+ "commit": "dbf91fefdad58b1c2f07c92e658ce81490837c60",
+ "sha256": "0r3zvq1z79csgcq0mgifdakx0z0li3haxk4wxvijwxllfb9kn22g"
}
},
{
@@ -66637,26 +67280,26 @@
"repo": "tarsius/keycast",
"unstable": {
"version": [
- 20231105,
- 1206
+ 20240117,
+ 1559
],
"deps": [
"compat"
],
- "commit": "6a6f9106270f65b0bae3afb2ccdc52a3b5fbe340",
- "sha256": "0n7pxrd7n56500xnn3w9m9c73zqaan1v9cqbcyd7nrhyb4dnbc10"
+ "commit": "5ed2e122ee6fb324336ce12f7f260c404db1290e",
+ "sha256": "0jzxm6h47wn405ra7kk2rjhadngj3jb27xb5j8xri4xxdmdn0mhh"
},
"stable": {
"version": [
1,
3,
- 2
+ 3
],
"deps": [
"compat"
],
- "commit": "0b16d6aa3ae965bcd6b4d1fd0173cfde9dc8e275",
- "sha256": "0nqx53a1hjgibqrmkdic6syqb2fb5fkna0k5dbbg6igb5k775c8r"
+ "commit": "c47fa154c756abd044da4a1353c30b978782f7dc",
+ "sha256": "0hwmjy90ngnbvhxiyf4l3lb7212i5bsqdz73qnfg1iwa7vgkv1q7"
}
},
{
@@ -66740,14 +67383,14 @@
"repo": "tarsius/keymap-utils",
"unstable": {
"version": [
- 20230213,
- 1152
+ 20240101,
+ 2218
],
"deps": [
"compat"
],
- "commit": "1806ff73b0a68e84234d65c7d08a18cf3f0d29e5",
- "sha256": "1amcipkd4k8kfrlnq5sqzgwwhd42kx6aw5fnallidahz8pw79s7g"
+ "commit": "868c2b37abebc78c7dff72e1cb2ca85b93d07537",
+ "sha256": "0xrfmi4fcliag59cbrsxwdy9fblswn9iyjdfrp973jbkb5dkzwr6"
},
"stable": {
"version": [
@@ -66793,11 +67436,11 @@
"repo": "chuntaro/emacs-keypression",
"unstable": {
"version": [
- 20200819,
- 534
+ 20240111,
+ 440
],
- "commit": "9427241f3fa539e4b5ad7581a05eb7e49f2cf518",
- "sha256": "0p2pag2hzxswsf5hzjfm0nf4wpp40lsmxf1x6n9vfwfrpfk5b9ar"
+ "commit": "e85e3fd9ce216a370be221cf9de1503777ef0088",
+ "sha256": "0kzcsn7ak6jm2ymjqyj18mw69whzwynlgpyjq0jzfrgi1qbqjc1b"
}
},
{
@@ -66875,11 +67518,11 @@
"repo": "emacs-grammarly/keytar",
"unstable": {
"version": [
- 20221231,
- 1655
+ 20240101,
+ 846
],
- "commit": "51c8f2f1f207cc67af4e67731ee056860e107811",
- "sha256": "067gmril73ri1zsakh284vxaw8sqmyr9vflmsh7a3033p4gabw4w"
+ "commit": "b4fdde53ec884c931db8465b334af6057b30daa1",
+ "sha256": "1q39dwzs9hx9ay57j1vqqqaw2fj9xzshldzniaw8rqpakqa751q3"
},
"stable": {
"version": [
@@ -66956,26 +67599,26 @@
"repo": "DamienCassou/khardel",
"unstable": {
"version": [
- 20230903,
- 917
+ 20231126,
+ 1502
],
"deps": [
"yaml-mode"
],
- "commit": "1c0a0e7c817250c1d0ffc8cff9cc1998e746ea96",
- "sha256": "11xqgfssjkd1pcwgky2gsij2d4nykgmq0gnal8bazz78pwsgnwrk"
+ "commit": "205e374b36252183a146a7a8f857bcf95a77edc3",
+ "sha256": "0gqijnmj24phryi6n74iq410k0637j0li1ncdymxhk3bdmp4mb40"
},
"stable": {
"version": [
- 1,
+ 2,
0,
0
],
"deps": [
"yaml-mode"
],
- "commit": "1436ec5ef1b5b26104a4735ee64c0afe148700de",
- "sha256": "1pa7kl3d0hmgybbvsffhinn10qmqrkkzccprqcmwhc246yb4abqa"
+ "commit": "205e374b36252183a146a7a8f857bcf95a77edc3",
+ "sha256": "0gqijnmj24phryi6n74iq410k0637j0li1ncdymxhk3bdmp4mb40"
}
},
{
@@ -66986,28 +67629,28 @@
"repo": "khoj-ai/khoj",
"unstable": {
"version": [
- 20231110,
- 828
+ 20240220,
+ 2212
],
"deps": [
"dash",
"transient"
],
- "commit": "39ad1c6ce6c70c22c5d7a3a686a289ef0465a675",
- "sha256": "17qyv28apbwa2id4mhmdwji2bmcpq8r1fnbd9q8dmqxzblbxhx85"
+ "commit": "b1c86fee3b5d14f099d2d4b47f411aaa8318d650",
+ "sha256": "1pyz4k9zky1j3l6bvf0cjw8am093ss5vwpmynvcdgpbgzj49d0s2"
},
"stable": {
"version": [
- 0,
- 14,
+ 1,
+ 6,
0
],
"deps": [
"dash",
"transient"
],
- "commit": "39ad1c6ce6c70c22c5d7a3a686a289ef0465a675",
- "sha256": "17qyv28apbwa2id4mhmdwji2bmcpq8r1fnbd9q8dmqxzblbxhx85"
+ "commit": "b1c86fee3b5d14f099d2d4b47f411aaa8318d650",
+ "sha256": "1pyz4k9zky1j3l6bvf0cjw8am093ss5vwpmynvcdgpbgzj49d0s2"
}
},
{
@@ -67175,20 +67818,20 @@
"repo": "kivy/kivy",
"unstable": {
"version": [
- 20210318,
- 2106
+ 20240105,
+ 940
],
- "commit": "db86b06b9b72e514c122e3f54a0bce74adad44c5",
- "sha256": "1v14gsk1fal8xqpy8myk02n7s0f0yzpcmgf8a0mizh858y1sbxxv"
+ "commit": "9ef218027178115a66b417ae34b21f488bdd3617",
+ "sha256": "17h5nk4h013ja3hm8xn5ljwsnh56qcmwskjg934ky4p9z393v7j0"
},
"stable": {
"version": [
2,
- 2,
- 1
+ 3,
+ 0
],
- "commit": "344768bfefd2e8f5302cc9dfb8ca41991ce6f7e7",
- "sha256": "1bqqpywry23hg7vc3rh0m9svmkfk40vgaji8sy0w7m15gzw9zc42"
+ "commit": "9ef218027178115a66b417ae34b21f488bdd3617",
+ "sha256": "17h5nk4h013ja3hm8xn5ljwsnh56qcmwskjg934ky4p9z393v7j0"
}
},
{
@@ -67232,14 +67875,14 @@
"repo": "benjaminor/kkp",
"unstable": {
"version": [
- 20230906,
- 939
+ 20231227,
+ 2145
],
"deps": [
"compat"
],
- "commit": "fc42a998d77e50c93b66493d7e6e34126e060f3b",
- "sha256": "10jjhzpxh6kas3kr6488v4b4yg2ynxxar7m233qifjpxdq2inz5j"
+ "commit": "cd4b9eb31fcd0393ea5614608e18e20dc3dc95e8",
+ "sha256": "10q7420n7gs9vl6i55ss1mdl76brl7fj0rrfrcfdc79xfai1qk17"
}
},
{
@@ -67250,11 +67893,11 @@
"repo": "WammKD/emacs-klere-theme",
"unstable": {
"version": [
- 20230822,
- 144
+ 20240123,
+ 124
],
- "commit": "fa83ea76c92705bc3c1b881b615a666ae3acae28",
- "sha256": "1p5hig507vdrs9fwdhsydq00vysjyb1b4yscjfq1rfc637qqgcxl"
+ "commit": "e73a01e69bf80f753a1603337fdc4b89e685219a",
+ "sha256": "1kyx1r0hwb1d16mw6y39gl7a3x32wsl0z3riki87c139z66zy8hn"
}
},
{
@@ -67265,20 +67908,20 @@
"repo": "WammKD/Emacs-Klondike",
"unstable": {
"version": [
- 20230606,
- 704
+ 20240131,
+ 453
],
- "commit": "244278348e913433450329c6c863da06167a3f20",
- "sha256": "1a1r8qi21hbx8fr8ihvg6bkg4sg4fmpw9vy8vq5dx106136s4y7z"
+ "commit": "1cf14d7b6c14ebde741c36f6aa871dcd41e37cff",
+ "sha256": "0vgf03zqbd6nfxrz90x0favm6d15gba86hp8vg6wcbckc7j2gn1g"
},
"stable": {
"version": [
1,
- 0,
+ 2,
0
],
- "commit": "0b14436e3ca08e1efbcccd330386e5418cbab126",
- "sha256": "0qqfaxv8kfh4yxlpq21kmssa1yvdzhhs2p7wrkp4326pbdkqzjnn"
+ "commit": "99f7aad1221a76402746a06b57e89622fd9cf33a",
+ "sha256": "07xcc5gryzabxk7czghkwq1v8r09mg9yh8rwy1v2gs8qm9lwypgv"
}
},
{
@@ -67443,11 +68086,11 @@
"repo": "bricka/emacs-kotlin-ts-mode",
"unstable": {
"version": [
- 20231114,
- 1243
+ 20231222,
+ 1345
],
- "commit": "9688741a59a5ffd71850660dd320b0309a2ba119",
- "sha256": "1gxj3fys3py0ldz31f8frmj12f9jyyqj9g8valsy2c87qvg70ag3"
+ "commit": "c884b3442806a21cbcf28ac24853f05da8ac6658",
+ "sha256": "139a201kd8hg21jrkww86xdjwx4r586cwv9i1ypclb4mswjahgay"
}
},
{
@@ -67565,11 +68208,11 @@
"repo": "r0bobo/kubedoc.el",
"unstable": {
"version": [
- 20231018,
- 1913
+ 20240108,
+ 1404
],
- "commit": "e169cb7e7254d0c0f1e7a7eda5e27a0092366e1d",
- "sha256": "0jw5v17yl06whnms25zfcxcabjfm99khqszbpjpjxkv8lg6kdl38"
+ "commit": "aac02b096c98b83b4eaf129e6d767cf7150a6d43",
+ "sha256": "0rng2fqh5qyjdzx906hcmqa9zzij7a9wn65ghacxvrzkzf2kxrsl"
}
},
{
@@ -67580,8 +68223,8 @@
"repo": "abrochard/kubel",
"unstable": {
"version": [
- 20231114,
- 1528
+ 20240201,
+ 2021
],
"deps": [
"dash",
@@ -67589,8 +68232,8 @@
"transient",
"yaml-mode"
],
- "commit": "4e3d362b2df2307f7b13140810a3142b835bc9ff",
- "sha256": "0lq0c5dd63d19ziw16cfhhzk4z3vjqal1vqjflvdrd2xhdzjk0xn"
+ "commit": "7affc4269e2a2925f68f073863af0929854002f2",
+ "sha256": "1956rr9wpdq9zfqks76r21j6hpq5fyvnxia1dqi4hfngyqkfkn2p"
},
"stable": {
"version": [
@@ -67615,15 +68258,15 @@
"repo": "abrochard/kubel",
"unstable": {
"version": [
- 20220909,
- 2028
+ 20231224,
+ 1343
],
"deps": [
"evil",
"kubel"
],
- "commit": "1b405d8756ffc7c8f1e11450d6f07ffde38fe351",
- "sha256": "1a724p9xcl1x50bxrhyyriza3wmcm1q9ljflf9ba5fgl2vrvcw5h"
+ "commit": "3d2f86fccdf81ab890f5d46dde93f241b718a436",
+ "sha256": "11i4ln508kbmmyyrfndsw6vm4ypz9pdk9n5s9ymnz2wwf3fvmp72"
},
"stable": {
"version": [
@@ -67786,20 +68429,19 @@
"repo": "inj0h/kuronami",
"unstable": {
"version": [
- 20220602,
- 339
+ 20240104,
+ 2022
],
- "commit": "a51d5ff3883bd94d0a181bb5d60f747190eda4f6",
- "sha256": "0mrk8d0z09cbvqisl44ln50bbmfim0j876v91x73428vr7k46z0d"
+ "commit": "4d0a9e5f789e5768a0c2ea7dec31f98ea95c7372",
+ "sha256": "14n6mg9ph8a3gmglj9gnapwh0zc6mn400a0nh961d5y8i8qqc1y6"
},
"stable": {
"version": [
- 1,
- 0,
+ 2,
0
],
- "commit": "910e8fa56a0cfe89dae888522f9fec4045d017fb",
- "sha256": "0pghi50ffzlp7igvq81dmrbrwyj9ivl5kkxm47hff7qgb9rvx8n1"
+ "commit": "4d0a9e5f789e5768a0c2ea7dec31f98ea95c7372",
+ "sha256": "14n6mg9ph8a3gmglj9gnapwh0zc6mn400a0nh961d5y8i8qqc1y6"
}
},
{
@@ -67872,17 +68514,18 @@
"repo": "isamert/lab.el",
"unstable": {
"version": [
- 20231112,
- 2208
+ 20240126,
+ 841
],
"deps": [
+ "compat",
"f",
"memoize",
"request",
"s"
],
- "commit": "9f0f738c46712f5365d9d75c37ce6d1f8b6e9ba7",
- "sha256": "0k54x2znxwq3ji8cvxc37h9fnr0krpyyx954f106fa7gylp86kz4"
+ "commit": "db4c0ec1fb11670ff8218ed088d191e3121a7696",
+ "sha256": "09n3hsn6w68k7krh7b01rhx084qg998fsxh6n8vsqmpi4mmn4si5"
}
},
{
@@ -67971,30 +68614,30 @@
"repo": "Deducteam/lambdapi",
"unstable": {
"version": [
- 20230728,
- 1221
+ 20240130,
+ 1533
],
"deps": [
"eglot",
"highlight",
"math-symbol-lists"
],
- "commit": "e16893661355d35db3a48f0821981b72486d4841",
- "sha256": "1nz3jm68qk6gsfv5g7yz5f690vdva382c47djplswfky3kkyq9rm"
+ "commit": "bc447c9ab7f9a6ff6b30cabab467f445e30ae86c",
+ "sha256": "13qnkhb68v62q6v4ydzn15qrdkz7whxpa7birnh0rqha39shxb6d"
},
"stable": {
"version": [
2,
4,
- 0
+ 1
],
"deps": [
"eglot",
"highlight",
"math-symbol-lists"
],
- "commit": "193d86ca621a5a920b91b67ff09818bab29204ff",
- "sha256": "04mi5awvxlqv0izbsbxi5hxx90dwcnxkw2j3iiiqsf3i6a2389zs"
+ "commit": "5898e7c56ec58a24586d1f48bce02516cd44b0bc",
+ "sha256": "1b79vc1bk64cda4x6i7f5qih0xajf78wqmvyanjf1v2jqpwbj8aq"
}
},
{
@@ -68144,19 +68787,19 @@
"repo": "lassik/emacs-language-id",
"unstable": {
"version": [
- 20231018,
- 1049
+ 20240205,
+ 2150
],
- "commit": "84d7b997a78b78b64fb4b348008a9aaa67467d27",
- "sha256": "1bpkny62i8w25743ziqj4cv9vblfa0hbgq22zlmgifsihx21h5fd"
+ "commit": "1ad782d7e448c1e8d8652861f01f4a58315826c3",
+ "sha256": "153810jq4rbgpzvbhz2i1dyrj8bmdf4zxsrhfg0b70iq4bh78bz6"
},
"stable": {
"version": [
0,
- 19
+ 20
],
- "commit": "9efb210e5f746dd83bb3f132b46fb0b1f035def4",
- "sha256": "0p1kx67fdg8r8fp479170b4hl5j9r3n2x41bi189aq29b02qh94m"
+ "commit": "1ad782d7e448c1e8d8652861f01f4a58315826c3",
+ "sha256": "153810jq4rbgpzvbhz2i1dyrj8bmdf4zxsrhfg0b70iq4bh78bz6"
}
},
{
@@ -68191,11 +68834,11 @@
"repo": "taquangtrung/lark-mode",
"unstable": {
"version": [
- 20230327,
- 1003
+ 20231221,
+ 340
],
- "commit": "9e19b40df29d273cf3aec9ddd0e739d3b3d9b3a8",
- "sha256": "1q0hfln8xa78q2r4zw0vm66by8nr9n7pvrn096ys5ggi5835cgah"
+ "commit": "0a0724b0f64d433d81f90ba8f86e618f8c33522a",
+ "sha256": "0p59zhrdiap46zdhp40qg0hfc563629dvbz2r96xq2dav5r0l7qs"
}
},
{
@@ -68311,20 +68954,20 @@
"repo": "X9hRRDys/latex-labeler",
"unstable": {
"version": [
- 20231014,
- 2110
+ 20231231,
+ 1909
],
- "commit": "d45dedbc74887c59f15c5a3dcd7546d2c29c30a4",
- "sha256": "1qss7fw2b9rnl6fzb230z5jgs1m8glpaq1qhjkxa7fpm52zc49s5"
+ "commit": "c2df4c9d0a41a36de893925a91445ea65d504222",
+ "sha256": "0ar6yr7z4vans1hmhwsz1n19sx90kpnydhr3v0bjh6d8xzv31q9r"
},
"stable": {
"version": [
+ 2,
1,
- 0,
0
],
- "commit": "d45dedbc74887c59f15c5a3dcd7546d2c29c30a4",
- "sha256": "1qss7fw2b9rnl6fzb230z5jgs1m8glpaq1qhjkxa7fpm52zc49s5"
+ "commit": "c2df4c9d0a41a36de893925a91445ea65d504222",
+ "sha256": "0ar6yr7z4vans1hmhwsz1n19sx90kpnydhr3v0bjh6d8xzv31q9r"
}
},
{
@@ -68421,20 +69064,20 @@
"repo": "Christoph-D/latex-unicode-math-mode",
"unstable": {
"version": [
- 20170123,
- 1816
+ 20231210,
+ 2234
],
- "commit": "e8931e68214ca94e6a04080ebc629693d5881884",
- "sha256": "049lpqnyjz0x2dp7rzk9gwbf5s28s33vxxk5lfhax6kaizlxkaq8"
+ "commit": "af6a28c3c7e8652f1e9c124beeccaa81133b1d88",
+ "sha256": "0g3qnixpabw6yhf54ibkgrn2m8kflxslb6nj2ga4136m5dg0fw01"
},
"stable": {
"version": [
0,
3,
- 1
+ 2
],
- "commit": "3b82347291edcb32e4062b0048c367a3079b3e8c",
- "sha256": "1xylfg8xpyb2m0qnysf58cl05ibbg4drhgq7msiiql2qrdzvpx9f"
+ "commit": "af6a28c3c7e8652f1e9c124beeccaa81133b1d88",
+ "sha256": "0g3qnixpabw6yhf54ibkgrn2m8kflxslb6nj2ga4136m5dg0fw01"
}
},
{
@@ -68907,11 +69550,11 @@
"repo": "ledger/ledger-mode",
"unstable": {
"version": [
- 20230925,
- 1013
+ 20240117,
+ 1230
],
- "commit": "105d67626e91acb4550ab860e0dc4247c8a4c79e",
- "sha256": "03g4w8n5zdbpzx2rbjqa95ybybpnxgshznnrhlfa5191afgci7a6"
+ "commit": "11e748d4838d51772f531a75849349ed8cd939ed",
+ "sha256": "1k64n4iickm40v6h89kqqz579b8idsgqzhmmg8da6ahxk1kgghf1"
},
"stable": {
"version": [
@@ -68999,27 +69642,27 @@
"repo": "martianh/lem.el",
"unstable": {
"version": [
- 20231022,
- 1416
+ 20240223,
+ 949
],
"deps": [
"fedi",
"markdown-mode"
],
- "commit": "7f4184f51001c6df218d318b2f938cbb631541e9",
- "sha256": "0ggm8y8a0gn5cj6m34fvkymfxc0agfr7cvr7wnysfzwdc0iwgwdz"
+ "commit": "97db37fcb62d44a6bcab4fa8411a32cd902c2076",
+ "sha256": "1fm6bk69b9nhyvxdsm9d7zcbnwg5n659bl35ywvz8xlh87ymjz23"
},
"stable": {
"version": [
0,
- 3
+ 17
],
"deps": [
"fedi",
"markdown-mode"
],
- "commit": "7f4184f51001c6df218d318b2f938cbb631541e9",
- "sha256": "0ggm8y8a0gn5cj6m34fvkymfxc0agfr7cvr7wnysfzwdc0iwgwdz"
+ "commit": "97db37fcb62d44a6bcab4fa8411a32cd902c2076",
+ "sha256": "1fm6bk69b9nhyvxdsm9d7zcbnwg5n659bl35ywvz8xlh87ymjz23"
}
},
{
@@ -69276,20 +69919,20 @@
"repo": "rvirding/lfe",
"unstable": {
"version": [
- 20220822,
- 911
+ 20230102,
+ 1428
],
- "commit": "42fc3dc57d4229800f44a0d6907185ebdf7175e2",
- "sha256": "06ls8knibiw1xg2g6sm43mspps8yz7ppp431wv20ssvbplwdl624"
+ "commit": "68c9c7ec8ef441eb79e68772ec4956fd2671b2e7",
+ "sha256": "1lx3szdlhvidys9vk8d0pznnsni31wjm7afks3hhmdjj6hcp3cxi"
},
"stable": {
"version": [
2,
1,
- 2
+ 4
],
- "commit": "6cbfdffe95caa65a659074c1c9d389fd4a6c1350",
- "sha256": "180hz1p2v3vb6yyzcfwircmljlnd86ln8z80lzy3mwlyrcxblvxy"
+ "commit": "f3c0f02d2776b125bad33b3935e2118504bed0a4",
+ "sha256": "0yyh8jmdi7c4y6vjrk3zw4iy7iyqcs5h88hx96ml9dx2im2aydlq"
}
},
{
@@ -69521,14 +70164,14 @@
"repo": "jcs-elpa/license-templates",
"unstable": {
"version": [
- 20230311,
- 11
+ 20240101,
+ 932
],
"deps": [
"request"
],
- "commit": "09f1b017c93067c2970a0a63b69026bfc172d2b7",
- "sha256": "10w84hfcwxjfqi38v43vj12mx6vjya6fq7z8kanxg12hrl3d7kcl"
+ "commit": "62adc47eb36a6f2eabe63c3e9dc8ce7f94007f19",
+ "sha256": "1r9z81xivk4d9gjyr01d55l4wrmvwzj2jli63qmq939h6jk2vvnx"
},
"stable": {
"version": [
@@ -69599,16 +70242,16 @@
"repo": "emacs-vs/line-reminder",
"unstable": {
"version": [
- 20231030,
- 406
+ 20240101,
+ 857
],
"deps": [
"fringe-helper",
"ht",
"ov"
],
- "commit": "1835ea7dbd4943a165c9786d19cebaceff170a71",
- "sha256": "1606s3ql0wchi6svv4g688nb562n0s4vlxsaspmp78lx51h93955"
+ "commit": "91d8f57f11f5ddbb5e94cb23877c67a8f59d4d8c",
+ "sha256": "0pvyfnf7qy6m0gwbmr74lvmrki6cpm9k836bbrsjdh7rip4k6xrq"
},
"stable": {
"version": [
@@ -69757,14 +70400,14 @@
"repo": "noctuid/link-hint.el",
"unstable": {
"version": [
- 20230405,
- 1801
+ 20231225,
+ 1354
],
"deps": [
"avy"
],
- "commit": "36ce929331f2838213bcaa1145ece4b73ce84afe",
- "sha256": "0154dls98zxpdjy8kzcrk9zp5i0aa180rsfr3hsmgq4ayq6v19yv"
+ "commit": "9153eafc776549376bb85d9ff555fef83aca8285",
+ "sha256": "0bdmd8df8qhk48imk22sgwccyiw1xg9x6hmiwh4d8xiazj0fhaym"
}
},
{
@@ -69860,17 +70503,17 @@
},
{
"ename": "liquidmetal",
- "commit": "9aa2004e04ef2f1fbfdd722b271c7cdcbdc8ad10",
- "sha256": "0f7xp490nd4ff43pi5g911hsbcvlxzj5wx26b6lflhhnljkh5fsv",
+ "commit": "6aa37a96aac63a93bea6171a8b4aa732f2df7ab8",
+ "sha256": "0qkfjw0mmcw7s1bf3ranvkl5nz5sg2lbqlbs1w42534279xf541a",
"fetcher": "github",
- "repo": "jcs-elpa/liquidmetal",
+ "repo": "jcs-legacy/liquidmetal",
"unstable": {
"version": [
- 20220704,
- 654
+ 20240101,
+ 1004
],
- "commit": "323c38edd4572d7d58cdec729dcaac7a615d000d",
- "sha256": "0dli0zid2myf4b0l8d9nrhhs34dwyqrza1hyba4m9fsa29znp41p"
+ "commit": "5d100f4371e0d10656a2bd23c0461781c3c1884b",
+ "sha256": "1410248xhadhx1898gdzcqkpp4g8y9kv773mk3v66403j845nbl0"
},
"stable": {
"version": [
@@ -70160,20 +70803,20 @@
"repo": "publicimageltd/lister",
"unstable": {
"version": [
- 20230204,
- 1357
+ 20240102,
+ 1500
],
- "commit": "b256c254f670ebaf50134655fbe430025fff41ab",
- "sha256": "151wn6g49w15bjnqbnq12ig7f9zdi5d1j21yadp0jvb9jjzk6jg1"
+ "commit": "84fbba7450ac02cbb844727a28b6f245f553df7b",
+ "sha256": "1jwyp7k8lnjbi4aa8av50547qkhh76xqhjqibc6qzv0jxxx2kfwa"
},
"stable": {
"version": [
0,
9,
- 4
+ 6
],
- "commit": "35d485f53907d75e5135b177a2e1ab4de2a20a48",
- "sha256": "1w9kay6mx58g82gs90i0df10p2hxc3nv748nah53wbp2s2lwchgp"
+ "commit": "84fbba7450ac02cbb844727a28b6f245f553df7b",
+ "sha256": "1jwyp7k8lnjbi4aa8av50547qkhh76xqhjqibc6qzv0jxxx2kfwa"
}
},
{
@@ -70304,15 +70947,15 @@
"repo": "sulami/literate-calc-mode.el",
"unstable": {
"version": [
- 20231021,
- 804
+ 20231125,
+ 29
],
"deps": [
"dash",
"s"
],
- "commit": "2579d7b28994bb16c3e02577953e7d9669121288",
- "sha256": "03hzahbjv19krp9jfrh1haqicm9n8ldb05swvbwzy6cq1paslhj9"
+ "commit": "a1a78f26dea70aac1c4df7f5767c8f5c1643d7d1",
+ "sha256": "1asy8g4jsivgqjjlbp8h3q0ksjjwdfvd0sp09ha8cm0n9f991201"
}
},
{
@@ -70440,11 +71083,11 @@
"repo": "lassik/emacs-live-preview",
"unstable": {
"version": [
- 20201010,
- 1948
+ 20231215,
+ 1612
],
- "commit": "603a4a1759fbec92e7a1cabc249517c78e59ce7e",
- "sha256": "1kbfv6qs1wpj0ydg9mc139ifn29rnk6c9p6y5jnvzj15qbd0iih4"
+ "commit": "135f2b9a8ecf81d00cf92175d144a33561e36f4c",
+ "sha256": "0a276lcajs3dvd6yz86iikx30g8l1w75yj9s301fci5cmggpd3k9"
},
"stable": {
"version": [
@@ -70464,20 +71107,20 @@
"repo": "donkirkby/live-py-plugin",
"unstable": {
"version": [
- 20231004,
- 537
+ 20231219,
+ 314
],
- "commit": "5a94b98a15fb8c4c539b37d8583db1e427308b44",
- "sha256": "0y23dfncncfpddhxqf449d46qrc10bnhdb516yydkqqa6q5jlysb"
+ "commit": "a7529311e9a60b26b562a98f00feeb09ef640afe",
+ "sha256": "0qvzky3bihgimym84k14gd8fa57cgvqq8y7wsic6kjdhqq3qchhf"
},
"stable": {
"version": [
4,
11,
- 0
+ 2
],
- "commit": "5a94b98a15fb8c4c539b37d8583db1e427308b44",
- "sha256": "0y23dfncncfpddhxqf449d46qrc10bnhdb516yydkqqa6q5jlysb"
+ "commit": "ae29b9dfca83a83037d780424a85d617cddd2e47",
+ "sha256": "0pj4hxi3cl1nhvbmqsh2vh654b20zvqychxr2i70nwhjax8yq6kd"
}
},
{
@@ -70578,14 +71221,14 @@
"repo": "tarsius/llama",
"unstable": {
"version": [
- 20230831,
- 2227
+ 20240101,
+ 2218
],
"deps": [
"seq"
],
- "commit": "a938ac0ae7890cfaf3281beb13f5c2fa75b337fa",
- "sha256": "1srpvf6jdwcm64r7pw62xzngzfr09pscvhfkqinq0dpkfbgwgzcx"
+ "commit": "05c14ca9bcc63f4afa27fc18df1c32ab63b8ed7b",
+ "sha256": "1a4b2whjv1lnnz1wmqj9g0l2hk41rgi9njqmjssgwlf3484bcq79"
},
"stable": {
"version": [
@@ -70608,14 +71251,29 @@
"repo": "kurnevsky/llama-cpp.el",
"unstable": {
"version": [
- 20231015,
- 717
+ 20231228,
+ 2043
],
"deps": [
"dash"
],
- "commit": "3e406c53cb82ec1be056dcef40ac37d474852524",
- "sha256": "01jy3va6d02z2y9a5abzc3jajmwzcbfkz8p9q4hi8zp0b66ji35r"
+ "commit": "e876c05eca8ffadc39d3c5013c2581f1e9f8f94f",
+ "sha256": "0pxhz02k7kswv37j45rrcssc29xnk3x738p9ysvybldbix5pbq9h"
+ }
+ },
+ {
+ "ename": "llvm-ts-mode",
+ "commit": "7ba6724bdc73f5bf89ee1ba2ea1ab608ef58e9fd",
+ "sha256": "0c03nlqh5zqh97a5y8ixajq9nh2pcdaixa9lpv29kpnx06rmkgla",
+ "fetcher": "github",
+ "repo": "nverno/llvm-ts-mode",
+ "unstable": {
+ "version": [
+ 20231120,
+ 1251
+ ],
+ "commit": "9974601dcddbeffc4ad47598d63d3c1a83bb6fb9",
+ "sha256": "0fc7rkcy9xn6hzlrnjmjyvghrgwip7vmpkwhc2xzncckmxm0ypvh"
}
},
{
@@ -70649,14 +71307,14 @@
"repo": "daviderestivo/load-bash-alias",
"unstable": {
"version": [
- 20230310,
- 1657
+ 20240103,
+ 916
],
"deps": [
"seq"
],
- "commit": "5daab364e65bdd7abe531b8557d88342b446f0c0",
- "sha256": "1ri3plim7zk5k01fnvc82xy8p4nrzq17k63dgqgfrw615wid2sfk"
+ "commit": "7e7b6773f99e6aafe819596388a3a7fd09dd91a9",
+ "sha256": "1aj7v9hb01789lvf4hkn01x9x7vivwlrh2lwwrblxbww4csg9rrg"
}
},
{
@@ -70789,20 +71447,20 @@
"repo": "aki2o/log4e",
"unstable": {
"version": [
- 20211019,
- 948
+ 20240123,
+ 1313
],
- "commit": "737d275eac28dbdfb0b26d28e99da148bfce9d16",
- "sha256": "1yagzwai4l9cf8am6a3fixv7n6yv81vx2yc4lcwdavclk81ghfrz"
+ "commit": "6d71462df9bf595d3861bfb328377346aceed422",
+ "sha256": "1x08dz18zhifkhrwdbh57izzidaf6vy1vb865f4xrqs6blgfiwj4"
},
"stable": {
"version": [
0,
- 3,
- 3
+ 4,
+ 1
],
- "commit": "7df0c1ff4656f8f993b87064b1567618eadb5546",
- "sha256": "0dbnks76v05allzkhx9zmyqpn6sk5376n8k2rbpnaw6gmz1ib2fv"
+ "commit": "6d71462df9bf595d3861bfb328377346aceed422",
+ "sha256": "1x08dz18zhifkhrwdbh57izzidaf6vy1vb865f4xrqs6blgfiwj4"
}
},
{
@@ -70890,16 +71548,16 @@
"repo": "jcs-elpa/logms",
"unstable": {
"version": [
- 20220704,
- 654
+ 20240101,
+ 942
],
"deps": [
"f",
"ht",
"s"
],
- "commit": "186478e47265b7d40b87d4b4277275a0031f09f7",
- "sha256": "1dmz4qhlcv6qd8gv1diq36k5dqjjaj84a770mszv8q0sj3wbjcxy"
+ "commit": "ef571d7ab8b2809363197e6867bfc1fbff5d14b7",
+ "sha256": "19cq2sshk7ag76a28q2l039a6d126jiws06mfi1b7kkg1n5fsksm"
},
"stable": {
"version": [
@@ -70918,17 +71576,17 @@
},
{
"ename": "lognav-mode",
- "commit": "a5b0dadc609d13737d56657c17a945f10e840222",
- "sha256": "1fg2j63f6yaf4011vla36p1p0pjixzisff4wj80vh634yqvwp4ys",
- "fetcher": "hg",
- "url": "https://hg.osdn.net/view/lognav-mode/lognav-mode",
+ "commit": "c9cffcacb8af80318020e02d0e38c653673dcb14",
+ "sha256": "0jz526nzx0cswclk068vwpwayh2ias25mpdwdx047gdnbnicl9jq",
+ "fetcher": "github",
+ "repo": "ellisvelo/lognav-mode",
"unstable": {
"version": [
- 20220410,
- 1344
+ 20240115,
+ 1637
],
- "commit": "100541ec31468b771073a7d2ad4512c1dcb1eb07",
- "sha256": "11kk4irx6mgq4frfbwinx9bw1lg5nyk4js137j50rq3wfgkbjsbs"
+ "commit": "139da9eb356b4432f416d1db49fdbfa46fb1bf8d",
+ "sha256": "02nswq9fa8dg3sv128814qak9bp7cxxx041jjlawmia1qc5grhb8"
},
"stable": {
"version": [
@@ -71143,16 +71801,16 @@
"repo": "okamsn/loopy",
"unstable": {
"version": [
- 20231110,
- 238
+ 20240220,
+ 114
],
"deps": [
"compat",
"map",
"seq"
],
- "commit": "5af0db0c9235387baa1917359d8b1583732adda8",
- "sha256": "18abpmbc90vzp3jwz4jw07yliiipii3l0i47wdwalplfpr6cafxj"
+ "commit": "fc0296ca155c32ad3455f36602b7b34f2163bfd7",
+ "sha256": "0pk9vp28mdcwbycj2bdqagzjadl3xavsvl86xmil8g15hkym4m1r"
},
"stable": {
"version": [
@@ -71176,15 +71834,15 @@
"repo": "okamsn/loopy",
"unstable": {
"version": [
- 20230501,
- 225
+ 20240220,
+ 114
],
"deps": [
"dash",
"loopy"
],
- "commit": "d04f4b8a6918ffaf745b8ccdba5ff9fee66ea8bf",
- "sha256": "1igsfm6i5kldn8sxy09y1wmjh3vd7zbz6g441lvgkd0f23v540yc"
+ "commit": "fc0296ca155c32ad3455f36602b7b34f2163bfd7",
+ "sha256": "0pk9vp28mdcwbycj2bdqagzjadl3xavsvl86xmil8g15hkym4m1r"
},
"stable": {
"version": [
@@ -71264,15 +71922,15 @@
"repo": "LaurenceWarne/lsp-cfn.el",
"unstable": {
"version": [
- 20230701,
- 1533
+ 20240112,
+ 921
],
"deps": [
"lsp-mode",
"yaml-mode"
],
- "commit": "afef283ae27dc7dfbec997982ba5dc0e062f0a03",
- "sha256": "1nfq1x6xi345hyk92gac3kpp1jkn1gfml810di3m2pp54j75wiv7"
+ "commit": "2297533003118ebd9db0116b4d3486a987e98ca9",
+ "sha256": "1sh6gggfxl6yy3rg389xsqg00gbh87a6pihl05sbrq1am98yqc8g"
}
},
{
@@ -71283,8 +71941,8 @@
"repo": "emacs-lsp/lsp-dart",
"unstable": {
"version": [
- 20231028,
- 2340
+ 20240215,
+ 14
],
"deps": [
"dap-mode",
@@ -71296,14 +71954,14 @@
"lsp-mode",
"lsp-treemacs"
],
- "commit": "78ab137e05c4c2be406a121407c8e71e11ee3339",
- "sha256": "0vc2dj9lag770bbz8h45rz9h38qyvkzq2mn7ijkqiyfa35nyw3kj"
+ "commit": "f51c80f5458d8ba4db9dd3781d190c6c32213250",
+ "sha256": "0spc9wmqllb4kcn4cvyj2f7k6lzaz2gd86msf49raqddf023665f"
},
"stable": {
"version": [
1,
24,
- 2
+ 3
],
"deps": [
"dap-mode",
@@ -71315,8 +71973,8 @@
"lsp-mode",
"lsp-treemacs"
],
- "commit": "5356af174813ccad4f59006acd918d7303b3af0a",
- "sha256": "1jxlgmn9sqwcr0czkf68vr9yhj6in4n6gl4468dbh7gkhyvsd28z"
+ "commit": "f51c80f5458d8ba4db9dd3781d190c6c32213250",
+ "sha256": "0spc9wmqllb4kcn4cvyj2f7k6lzaz2gd86msf49raqddf023665f"
}
},
{
@@ -71327,8 +71985,8 @@
"repo": "emacs-lsp/lsp-docker",
"unstable": {
"version": [
- 20230824,
- 947
+ 20231121,
+ 1644
],
"deps": [
"dash",
@@ -71338,8 +71996,8 @@
"s",
"yaml"
],
- "commit": "6b7701917417e4e74961185051c147e1bf003750",
- "sha256": "0xnc9lbjflwdhslp8z44dk0dqsq4x9zirwbzgfg95ai2z76ldmny"
+ "commit": "60e1103ac7c8e30d036ea65fad489210682d6259",
+ "sha256": "1y3x2p0f7c2igg9cckk2g5x5cpypa9f2iyfi9ai0hdx60n7gkmrm"
}
},
{
@@ -71382,8 +72040,8 @@
"repo": "emacs-grammarly/lsp-grammarly",
"unstable": {
"version": [
- 20230814,
- 1827
+ 20240101,
+ 847
],
"deps": [
"grammarly",
@@ -71392,8 +72050,8 @@
"request",
"s"
],
- "commit": "ac651a717af5e1effcd853846957fa0e85d82061",
- "sha256": "0rzl1qv1q2sjizxwxx8i1v4wjr0h04xz96aklvg8z810gi3vf92g"
+ "commit": "7b3597e19c50452124f532c3c47f40c0e33f6e91",
+ "sha256": "1xxyyfpn8dnqciypl826k6nimsjng1cyy68i8fypcvay88001qdp"
},
"stable": {
"version": [
@@ -71615,28 +72273,28 @@
"repo": "ROCKTAKEY/lsp-latex",
"unstable": {
"version": [
- 20231104,
- 313
+ 20240215,
+ 1159
],
"deps": [
"consult",
"lsp-mode"
],
- "commit": "9a59f2634163ea8a0033adf482b57c67c68629d9",
- "sha256": "0jdwar9x2dlv9ldlshfj0m0n2bmiz7g9c6xqx3izgi132qh3f4lb"
+ "commit": "11935eb414b1ef81af2dd34d11e79c5490cd67f3",
+ "sha256": "0gr5v9cbhiqwp8hs8di5dlc6gq2ka26bi9fljldl1421yxsxyl0m"
},
"stable": {
"version": [
3,
6,
- 0
+ 1
],
"deps": [
"consult",
"lsp-mode"
],
- "commit": "b7193633509fe4a58bb70ebae2c8e970f5a843c8",
- "sha256": "027xhrxjwh6d78yidwfpqp2cbgv0qlafm6z8nqkf6lh8fxnr8ig8"
+ "commit": "11935eb414b1ef81af2dd34d11e79c5490cd67f3",
+ "sha256": "0gr5v9cbhiqwp8hs8di5dlc6gq2ka26bi9fljldl1421yxsxyl0m"
}
},
{
@@ -71647,14 +72305,14 @@
"repo": "emacs-languagetool/lsp-ltex",
"unstable": {
"version": [
- 20230416,
- 1826
+ 20240101,
+ 851
],
"deps": [
"lsp-mode"
],
- "commit": "c2faddc1197a360548aee7927b6512365e8c0d3a",
- "sha256": "1mc5gsgw5m2sysi73jvrnnyyhpqdvwmhw843rwfh2djmiy6smwl9"
+ "commit": "ef649bfaeea2931ef41d7c27b819ea9c41b6fba6",
+ "sha256": "0841jbz2aiwzi5agwrj819wx2v5ml1fgbwgsdnn8z9c9l5gcrvk2"
},
"stable": {
"version": [
@@ -71724,8 +72382,8 @@
"repo": "emacs-lsp/lsp-mode",
"unstable": {
"version": [
- 20231115,
- 1221
+ 20240222,
+ 1726
],
"deps": [
"dash",
@@ -71736,8 +72394,8 @@
"markdown-mode",
"spinner"
],
- "commit": "91dbd0240afb5223b2ddfca8326d1c2fb3e8cf7f",
- "sha256": "1anibzm7w9arzqpfm6p01v0522j022g2mwa01w0p1idwg6jjiivf"
+ "commit": "9d3ff6faaf4c0273640df1e989abd6613736870d",
+ "sha256": "1p1vvpp9zqxqhrw2iidxw1r5pzjbm8c550yjnb0syyppkvx4rj7s"
},
"stable": {
"version": [
@@ -71991,6 +72649,36 @@
"sha256": "1h46bqpmnig64yhamniyi8wia256jm0diqqql0wxwi1pw25dcwa6"
}
},
+ {
+ "ename": "lsp-shader",
+ "commit": "acaf68d2f9e687283189193393fd74d2528c092b",
+ "sha256": "1py58xayvxc7pdmxhj2hjas3gjawvypv5s7xb45rfymv424xms9b",
+ "fetcher": "github",
+ "repo": "shader-ls/lsp-shader",
+ "unstable": {
+ "version": [
+ 20240101,
+ 952
+ ],
+ "deps": [
+ "lsp-mode"
+ ],
+ "commit": "65b90c66222043dfed07e193670eb8e9e9a396b5",
+ "sha256": "1kvp5bbq8xs4mqs991zqrrbn7hilnmy6fbykzh6ck9smrw80r77r"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 0,
+ 1
+ ],
+ "deps": [
+ "lsp-mode"
+ ],
+ "commit": "ac0de807b52d32b0e7ed3b216ab6d539bbb328de",
+ "sha256": "1jqdik1mhmws4pfxwz85xinf6ad9cpz8x6qp5vb49ibhy577i23d"
+ }
+ },
{
"ename": "lsp-sonarlint",
"commit": "b46e83f2ea2c4df1ef343c79c7e249605c9639b3",
@@ -72366,16 +73054,16 @@
"repo": "SqrtMinusOne/lyrics-fetcher.el",
"unstable": {
"version": [
- 20220717,
- 1716
+ 20231225,
+ 2350
],
"deps": [
"emms",
"f",
"request"
],
- "commit": "a3be34b0153c2c056dc4b55bbc5fbdc2d9f87549",
- "sha256": "1nyajjxidp2acsbpkbv4whcph6bmwjn31nii2y87xsj8cdv0wrx7"
+ "commit": "bcde34a7ae8db84170bfe76260eefed64686ddf0",
+ "sha256": "1ldim9sj9i8mdvsbwv2zs095zbr71z4rksjk8288rkbddhsg72xy"
},
"stable": {
"version": [
@@ -72429,14 +73117,14 @@
"repo": "DarwinAwardWinner/mac-pseudo-daemon",
"unstable": {
"version": [
- 20200215,
- 513
+ 20211208,
+ 138
],
"deps": [
"cl-lib"
],
- "commit": "564b006835facc4a8df247d8a47ab1030d7e7beb",
- "sha256": "1ilqxl1851rg9v6ixi924xhzykc3c34f4nq1x0vyyq5b9m2fpb27"
+ "commit": "462031a53255185ae25eb10ae1f4272e49ad70f7",
+ "sha256": "0d0w2qh998rfxbi45hxs4vgj0n0lk1xqzbd7hgz97rh6bl9b7l2z"
},
"stable": {
"version": [
@@ -72477,14 +73165,14 @@
"repo": "amake/macports.el",
"unstable": {
"version": [
- 20231104,
- 139
+ 20240113,
+ 1224
],
"deps": [
"transient"
],
- "commit": "e70bdc860a047cdd22f0b6f3edea06adc94d0d7f",
- "sha256": "0izgvmz5xz65s6g7zpllma03hjcgzwc7icbcvfljvsn8mk01zp8b"
+ "commit": "75604bb57040be06eabf41669e6e2553afa8f7ba",
+ "sha256": "1vkis7ahl08n9xfdisf2w2p0aih3jmb1apcccsaqy5b7bn9dc381"
}
},
{
@@ -72600,14 +73288,14 @@
"repo": "emacs-php/magic-filetype.el",
"unstable": {
"version": [
- 20230916,
- 441
+ 20240130,
+ 1805
],
"deps": [
"s"
],
- "commit": "fce1eaade148eb0dc4ce1d27a4763e280fe48466",
- "sha256": "0z5xh9bkrf3b4yh0d56jaca62abjr4g0gg72ns2mmy1n653jmz8z"
+ "commit": "3979ddbd8066d7390e31bde2b35f997c5f5f4516",
+ "sha256": "1av77kjpd303m62rskhrl7075sc8wjz9znqz2gz958pfsq9mhs7g"
},
"stable": {
"version": [
@@ -72648,23 +73336,26 @@
"repo": "roadrunner1776/magik",
"unstable": {
"version": [
- 20230817,
- 1258
+ 20240216,
+ 921
],
"deps": [
"compat"
],
- "commit": "327be988d4c9938ca5d04b6e37bc922525b6de93",
- "sha256": "0jp3fkgd6jdg1zqpfycd7ry94n7cl1pp8m0mxii6rx52pnrw520c"
+ "commit": "6ac83927a6c294619bb99cb1ceb2ecf352498599",
+ "sha256": "0x70dd12w0p44c7b5273fwi51sr2giqcl230170v8vk9rn73p12i"
},
"stable": {
"version": [
0,
- 2,
- 1
+ 3,
+ 0
],
- "commit": "545232bde1f8f9c6b74a7a31d9e1620d23979a9a",
- "sha256": "1csjnda32xwn8yhf58n4b7j5p8si3wilds1vby5nb5j7aq8baaxr"
+ "deps": [
+ "compat"
+ ],
+ "commit": "688451a7616032a6c1a98ec627860e1d4257814b",
+ "sha256": "0bcp4vlhw2nvqfb4rl4iq05hghqwandkbvyp36992jnm9r7l149a"
}
},
{
@@ -72675,8 +73366,8 @@
"repo": "magit/magit",
"unstable": {
"version": [
- 20231112,
- 914
+ 20240218,
+ 530
],
"deps": [
"compat",
@@ -72687,8 +73378,8 @@
"transient",
"with-editor"
],
- "commit": "f4ff817cb2a48f0f7887050c3be469c03a059567",
- "sha256": "0y0sfxky27yx0wsxfbqydigm2x4596dyf1x1psn66gfgjm9yjcff"
+ "commit": "f5ddce8c8459ffcbb2bd3ae759259d6b627c69cd",
+ "sha256": "0r3x70rhnacs4yd8kh58bqgs6mha294j22vmwk9jzwhfxqwy63v8"
},
"stable": {
"version": [
@@ -72715,15 +73406,15 @@
"repo": "magit/magit-annex",
"unstable": {
"version": [
- 20231010,
- 425
+ 20231210,
+ 2140
],
"deps": [
"cl-lib",
"magit"
],
- "commit": "7c308decfc56270a0cf3cc8292c3bf7122103331",
- "sha256": "0z2h6lc42whjh7wmf1cajzqy4f1nqy4m2h51pm01b7ldk0kz5j5z"
+ "commit": "056f0d4462cdccbd7bb7589994da7fef9de766da",
+ "sha256": "1fwy7q03d8q1hnwnd86pygpf9l4lgfgslp6claxsyp840ibrxq5z"
},
"stable": {
"version": [
@@ -72747,14 +73438,14 @@
"repo": "ideasman42/emacs-magit-commit-mark",
"unstable": {
"version": [
- 20230420,
- 304
+ 20240220,
+ 2101
],
"deps": [
"magit"
],
- "commit": "8c0ea6c2e25ae0d47f15ae9067874a0605c00704",
- "sha256": "09dh1jk54rpqyf10jxh9vcj4si18f3nsq7x32zsgm7lwngsgx6sy"
+ "commit": "e5aa6a69af963fd25779f5211a7c3d91a65c6b0f",
+ "sha256": "01drp4rlmafzmcqw67nca845ya6532arzddngf6fa4i4q04n8142"
}
},
{
@@ -73178,15 +73869,15 @@
"repo": "magit/magit",
"unstable": {
"version": [
- 20231014,
- 1405
+ 20240221,
+ 1835
],
"deps": [
"compat",
"dash"
],
- "commit": "22c99839c9fad89461412f153a290779cf3af82c",
- "sha256": "1qxr3nfs7nnnn41gxsc1lmr6pyvc5yyrzsh9snvgwa8n9d2593kr"
+ "commit": "039a1801efd2c75412de65d7b6a36e39361938af",
+ "sha256": "1cmb6glxzvvngycvxlp0vxbdxprxa5kh3f0yk4ydx9pzbbwdkspa"
},
"stable": {
"version": [
@@ -73224,15 +73915,15 @@
"repo": "stacked-git/magit-stgit",
"unstable": {
"version": [
- 20220914,
- 1349
+ 20231226,
+ 1514
],
"deps": [
"magit",
"magit-popup"
],
- "commit": "bf96fa0f40c087329ad7e6a3b1946de7df03559c",
- "sha256": "03342kkk9lmi0i59slzyl0h8flj90z3nvnmg5p2b9zgvclylscp8"
+ "commit": "59d1eb355caf4adbbdf1e351f5861de61b0b5efa",
+ "sha256": "0inv7xcm9nf3q5cf2l0vmi3kgwak4xbp3nk8ksna0nk8rxqwpy5v"
},
"stable": {
"version": [
@@ -73318,8 +74009,8 @@
"repo": "alphapapa/magit-todos",
"unstable": {
"version": [
- 20231027,
- 1452
+ 20240213,
+ 1759
],
"deps": [
"async",
@@ -73331,13 +74022,14 @@
"s",
"transient"
],
- "commit": "a197a04da1620ee7d41f3aa4f846a479760e2273",
- "sha256": "16xdf60mw6lwklw2p2cv081c728d4qfrazrb36nq8pxxzz56bxas"
+ "commit": "1e9acc0ba63fbc297001bf334d63cb4326be80df",
+ "sha256": "0jh4ii4hbyq5rhkrq17y2wddl20d8xzixwix1p3pigvby4qv30ij"
},
"stable": {
"version": [
1,
- 7
+ 7,
+ 1
],
"deps": [
"async",
@@ -73349,8 +74041,8 @@
"s",
"transient"
],
- "commit": "d85518d45d329cc0b465cc3b84910b7c66b3fc42",
- "sha256": "1zjlf3bhz0a7r9sa5ic22vwr2w1zxbfk9z545pdd1fvp00c2kzd0"
+ "commit": "a2396aaead5bc7712278260f245c740195c4afd2",
+ "sha256": "1y5l36ig0q5dqgijw916zgaz68kphg7k6kxs560vrfwkfn0cy59d"
}
},
{
@@ -73822,14 +74514,14 @@
"repo": "jcs-elpa/manage-minor-mode-table",
"unstable": {
"version": [
- 20220616,
- 1932
+ 20240101,
+ 931
],
"deps": [
"manage-minor-mode"
],
- "commit": "e47d0e1856f0a9eb9935abdaf6e14e67ef2ab4cc",
- "sha256": "1aqmm5r0wqdfvac3nqwpali2b8lk7vwjs9fww5dhcwmsna8mr9vk"
+ "commit": "ed492f13f71f7897b50da9acd49a7540b0f81a3f",
+ "sha256": "1bjvxj9wrvxpcgqsivfivgxqpxx4y9n39gvck1ffj0vfrvc6ghj2"
},
"stable": {
"version": [
@@ -73852,11 +74544,11 @@
"repo": "choppsv1/emacs-mandm-theme",
"unstable": {
"version": [
- 20231102,
- 1929
+ 20231203,
+ 334
],
- "commit": "b173b41743d3e9668df2ec59df0378d8295d9902",
- "sha256": "1fg86pl4v023rpaaapgzbg2gskc6vp2ha2rkgbmq9c2f5ca9y7sl"
+ "commit": "a410c2b66f514bdeb546b7fe8e2d9fee675096ac",
+ "sha256": "0j164b2dg7m815anvli1y5k7zgf5k6qm8gssr4bjp8xrpxbcn989"
}
},
{
@@ -74005,25 +74697,25 @@
"repo": "minad/marginalia",
"unstable": {
"version": [
- 20231028,
- 907
+ 20240105,
+ 701
],
"deps": [
"compat"
],
- "commit": "e4ff0838da33bf5102ee009ff28d541f0b51c9a3",
- "sha256": "0q83ia4dh0jj17m8s66ps7nfzib8656w2ig6w2jnmv63qfzfyx3d"
+ "commit": "ea356ebb1ddb8d6da78574b517155475cf52d46f",
+ "sha256": "15zq9071f1dd6a26x4y51yk9l5rkwb3vnm6qa7z8gvhhj15n8glb"
},
"stable": {
"version": [
1,
- 3
+ 5
],
"deps": [
"compat"
],
- "commit": "8cffd7dcdb411f8796258183a4224653d407ae3f",
- "sha256": "0fjbif2l5fj4xjb9drqfc8zxla8y7mha0imdd1nm4x83i0y4fa6l"
+ "commit": "98f6e58c12d57283bd7c1cb241664c966dc38ac3",
+ "sha256": "12ncif2lv6d7r2g87lyjr7idbqa283ijb3qgd5a61i3760czs7d6"
}
},
{
@@ -74147,11 +74839,11 @@
"repo": "jrblevin/markdown-mode",
"unstable": {
"version": [
- 20231028,
- 853
+ 20240107,
+ 831
],
- "commit": "b1a862f0165b7bafe0f874738a55be1b1720dd7d",
- "sha256": "0r9z4vlan1255118kdand9mr9rkdr8kmvrxr9q8bclyz8dk6fr54"
+ "commit": "e096bb97a91fcd4dc2b46d8b6e093194b03b7364",
+ "sha256": "1bzinzr94637kl8aqk2jxmhj57jabq5xjs8fqnmi39an2nv98bh0"
},
"stable": {
"version": [
@@ -74221,16 +74913,16 @@
"repo": "jasonm23/markdown-soma",
"unstable": {
"version": [
- 20230819,
- 340
+ 20240215,
+ 228
],
"deps": [
"dash",
"f",
"s"
],
- "commit": "a80ed319a835efeaf7d71f49df0be143939bcc13",
- "sha256": "11p486r79rklfqad7ar9cbyg4czla59fl0zcc0asa5zgdav17mww"
+ "commit": "ba30e609108d32fe6e1998490548b4631e3e48c3",
+ "sha256": "0fd7giz9ax8kvvnn86n53sxhs6wx0fgnlv8d38jkwh2dlk9qmyj0"
},
"stable": {
"version": [
@@ -74304,11 +74996,11 @@
"repo": "Shirakumo/markless.el",
"unstable": {
"version": [
- 20220702,
- 1811
+ 20240218,
+ 1639
],
- "commit": "048eec3278ab82c1040d063d4f677f01090d4dab",
- "sha256": "096i1w9d39093qldr4dsdcrsx0khzqjwh69v2valh9sdv3x82mf5"
+ "commit": "686dcf2592a042ebb4e01cbf60d4d8840dd74eaa",
+ "sha256": "07fg80zpyblp35m0i6bph372abzjfrh8vxqpyc0ik49vrwh0za1p"
}
},
{
@@ -74393,11 +75085,11 @@
"repo": "jcs-elpa/marquee-header",
"unstable": {
"version": [
- 20221230,
- 1008
+ 20240101,
+ 930
],
- "commit": "1fee5bbec486d0755954f5cafda67f342dc7daa1",
- "sha256": "1i5ysf6sh8ziaqwg3bk80psig6gi4psl6xqjna59hdi0xnb4nly8"
+ "commit": "cb5c17be49fcf96614364eb12079351bf4d749a4",
+ "sha256": "1mcxfzfmlhzj1r77l82d4q9baidcmkb087rmf1ia1f2vz0xjmz5n"
},
"stable": {
"version": [
@@ -74477,28 +75169,28 @@
"repo": "martianh/mastodon.el",
"unstable": {
"version": [
- 20231030,
- 1922
+ 20240223,
+ 1703
],
"deps": [
"persist",
"request"
],
- "commit": "a8c80d25b7790746a439ae6c2deea3dc6bcac710",
- "sha256": "143wmg9jhdi79y1gdi0y9xxpp8vyn7qbhvaysq1sf7g1h0jskxc2"
+ "commit": "25bae1042ff59efa516d6443a729453dc023dd11",
+ "sha256": "03x7r2hcd4m6xq21yignm04c9g4l732bs9cbig46k5rhvqsk7nnj"
},
"stable": {
"version": [
1,
0,
- 13
+ 16
],
"deps": [
"persist",
"request"
],
- "commit": "a8c80d25b7790746a439ae6c2deea3dc6bcac710",
- "sha256": "143wmg9jhdi79y1gdi0y9xxpp8vyn7qbhvaysq1sf7g1h0jskxc2"
+ "commit": "25bae1042ff59efa516d6443a729453dc023dd11",
+ "sha256": "03x7r2hcd4m6xq21yignm04c9g4l732bs9cbig46k5rhvqsk7nnj"
}
},
{
@@ -74852,26 +75544,26 @@
"repo": "knu/mc-extras.el",
"unstable": {
"version": [
- 20231113,
- 1359
+ 20231206,
+ 1655
],
"deps": [
"multiple-cursors"
],
- "commit": "abd98a7c92e71d38494a6bf20853f3ff20e1f013",
- "sha256": "0zv8cxhyqkxc3gyyzd18zygjy0xd3fk1x3v5h24yr998wg0fb050"
+ "commit": "8718cbdaa7bf3dd5c0f30c66a36a6bfbdf7f07c1",
+ "sha256": "1xrlp192wi51qpzgpkn9ph5zlpj08ifd8r3444llskyv0bay6g14"
},
"stable": {
"version": [
1,
- 2,
- 4
+ 3,
+ 0
],
"deps": [
"multiple-cursors"
],
- "commit": "f0ba639e9b18cc56e80ae45bbb2b694dbad9171a",
- "sha256": "0d6ncj6zd0lfsdpffbh3l25ycjw5hn0rwi5znp5hpl06b1ycyk4s"
+ "commit": "8718cbdaa7bf3dd5c0f30c66a36a6bfbdf7f07c1",
+ "sha256": "1xrlp192wi51qpzgpkn9ph5zlpj08ifd8r3444llskyv0bay6g14"
}
},
{
@@ -75115,20 +75807,17 @@
},
{
"ename": "memento-mori",
- "commit": "a2561f33ee4f19fe191b9ac2662ac931a5b91395",
- "sha256": "0k7gird1v7vgjbbldpjkdvgs55v7kb8bpr2kkvawm3kswh1sw11v",
+ "commit": "705564010a3af784c0fd00fe1b1cc5a20d73172b",
+ "sha256": "03jzg1dvw4vxxb5xmi75rizzz9xn5q906bjxydqsv9jp1njng4ri",
"fetcher": "github",
- "repo": "lassik/emacs-memento-mori",
+ "repo": "gvol/emacs-memento-mori",
"unstable": {
"version": [
- 20190628,
- 2147
+ 20231220,
+ 155
],
- "deps": [
- "cl-lib"
- ],
- "commit": "52f95bd4cf6617b60dc204c9bccb8d8fa17d4f9e",
- "sha256": "1z5zfyywjaalqpfdj2mxbgwnhp15swr05f1p1vy908lcc9ig0pnk"
+ "commit": "880df15f3b8ab49deb77586549a2afdec95778b5",
+ "sha256": "153iyz0pxsah1sn2c3x01m2ssclz9jzp2q9ynybr9ly0xl11qnzf"
}
},
{
@@ -75216,20 +75905,20 @@
"repo": "meow-edit/meow",
"unstable": {
"version": [
- 20231005,
- 1938
+ 20240210,
+ 1644
],
- "commit": "f885c02e1c72da722dfc681af30476096528dc5a",
- "sha256": "0di4pwyz8mbj1x5pbyhrnmi1idyxqb05w1msays1ayv6qc2xjjhv"
+ "commit": "54d4e933039827c158a4f593a94681a64e0d8042",
+ "sha256": "0xv6wg4lyi5bv68h5hk5hfxdwxa2g3ybxd8z0l420az4rnhr6zhq"
},
"stable": {
"version": [
1,
4,
- 4
+ 5
],
- "commit": "f553db183a47b23d037b1a7f1cab48b0b4798a37",
- "sha256": "1xd9v2ac44kxkbd1va70v7mfccmpnchgl7ipnhikq9y8jha02brr"
+ "commit": "54d4e933039827c158a4f593a94681a64e0d8042",
+ "sha256": "0xv6wg4lyi5bv68h5hk5hfxdwxa2g3ybxd8z0l420az4rnhr6zhq"
}
},
{
@@ -75240,11 +75929,11 @@
"repo": "ocaml/merlin",
"unstable": {
"version": [
- 20231115,
- 1306
+ 20231201,
+ 918
],
- "commit": "53eaad5df01bbdabc9e655dbadebc302d0475f31",
- "sha256": "1a2snly1iraxmyal8f61jj0zhcywmyzq6gglf1fqmmcx3xpv8xra"
+ "commit": "ad9955c76b1cb031e847e139c5cf7b7cc5cb4696",
+ "sha256": "08p6zx4swycc4wi5rhynyip24skwhg0y1zlz4krrs9ar6m2lmfwm"
},
"stable": {
"version": [
@@ -75365,6 +76054,36 @@
"sha256": "1lsrn6739736gr72c83hnxdynqmvjbs8pq3spb74v39k7xixmh99"
}
},
+ {
+ "ename": "mermaid-docker-mode",
+ "commit": "b7025dacc079cfceeaf0588dc7957ee8a86e2bde",
+ "sha256": "09wa3v4kqr67b7kw8ak4g66nm6hv22dgra5w78j95981dcw5fix0",
+ "fetcher": "github",
+ "repo": "KeyWeeUsr/mermaid-docker-mode",
+ "unstable": {
+ "version": [
+ 20231126,
+ 1943
+ ],
+ "deps": [
+ "mermaid-mode"
+ ],
+ "commit": "9d3421e02704f50e2e695d8dbe6fbb7eb5f2371f",
+ "sha256": "0lxbb2qfkfg9rmf2x9xy08zmfc934rprx809lai83593vakyf9pp"
+ },
+ "stable": {
+ "version": [
+ 1,
+ 2,
+ 0
+ ],
+ "deps": [
+ "mermaid-mode"
+ ],
+ "commit": "9d3421e02704f50e2e695d8dbe6fbb7eb5f2371f",
+ "sha256": "0lxbb2qfkfg9rmf2x9xy08zmfc934rprx809lai83593vakyf9pp"
+ }
+ },
{
"ename": "mermaid-mode",
"commit": "8729575400003db47638b4c45c425608e15c333d",
@@ -75373,11 +76092,11 @@
"repo": "abrochard/mermaid-mode",
"unstable": {
"version": [
- 20230905,
- 1916
+ 20240123,
+ 1729
],
- "commit": "4cdc76e459d184fc241b607e9da131ebce9f4ce2",
- "sha256": "1kad50hgj4xgiy9pi51y4rnqvvbw4glwb3afxv7rql54bvrzzfbm"
+ "commit": "d8bfb8c819cda9ead19c871842f6b0b8d56c56c0",
+ "sha256": "0vsnyn2g8525k9vgmifzix9gd1g3149h54ni6rrc1y05h91883fv"
}
},
{
@@ -75403,11 +76122,11 @@
"repo": "wentasah/meson-mode",
"unstable": {
"version": [
- 20210820,
- 905
+ 20240211,
+ 823
],
- "commit": "1a2e2abb098c9288c2cdb3affbad76edd98abf59",
- "sha256": "1bpy0iw5h7vrd3vwzk12bq6b134812dwbxxwsbsmbsk0ji4xyyq3"
+ "commit": "ed7d3071059429d816a878f879cb5cfabfa34485",
+ "sha256": "1lx52n6i057s6nin7bmv0sg0craiw2rjmb464cp893hdhb3a576c"
},
"stable": {
"version": [
@@ -75536,8 +76255,8 @@
"repo": "seblemaguer/metal-archives.el",
"unstable": {
"version": [
- 20230919,
- 851
+ 20240217,
+ 736
],
"deps": [
"alert",
@@ -75545,8 +76264,8 @@
"metal-archives",
"org-ml"
],
- "commit": "15ea07747bd223f111f7fb6ca7b28c9dd0bcc4dc",
- "sha256": "1dabjg2lhh7bs98fg41w0006v52z49m1i4a9118jfzrnv9p2d2zf"
+ "commit": "9425dc00f2f73089a3eada06fe87eba3802d090b",
+ "sha256": "1v74ql963mjsgpfh1f75f1a7cb9szzi5720y6p377q8njkprycjp"
}
},
{
@@ -75706,8 +76425,8 @@
"repo": "danielsz/meyvn-el",
"unstable": {
"version": [
- 20221206,
- 2219
+ 20231213,
+ 230
],
"deps": [
"cider",
@@ -75718,8 +76437,8 @@
"projectile",
"s"
],
- "commit": "493e652b8fffcbed226f69a2ea82e6f9fc51ab08",
- "sha256": "1k5r4k2l31vxn7cmfl2ijzlay4klyr66ljzp3c779mz967f0sf5h"
+ "commit": "3afb0a4283afd4f63ef7fdd48eb5da972421fd7a",
+ "sha256": "1flxvaj8j5kg4r0cvns8z1xixgvsavq1i27z28j97m8dmndihihf"
},
"stable": {
"version": [
@@ -75738,11 +76457,11 @@
"repo": "purpleidea/mgmt",
"unstable": {
"version": [
- 20230925,
- 2253
+ 20240122,
+ 2052
],
- "commit": "53f9f352335857736f625a019fe14c6750f78434",
- "sha256": "13jx2ndbjqa5rgslaplx551nnm240pdzcw7bx63wihk85c617lyg"
+ "commit": "a8f194259bd36e63410f972b210542fa0cfb67ce",
+ "sha256": "1g9mm5i0z8q0abpw1ji9bsjydylxrws39qy5ncbqhqjdvbards7j"
},
"stable": {
"version": [
@@ -75762,14 +76481,14 @@
"repo": "yoshinari-nomura/mhc",
"unstable": {
"version": [
- 20231006,
- 1305
+ 20240206,
+ 1129
],
"deps": [
"calfw"
],
- "commit": "186d17bece0419c114db2474bc82e3eeeb1dbb9d",
- "sha256": "0mwsyk6k9hrcabbkg8f9147fpf3x4dqld20qrc5n4lfk8510dqpy"
+ "commit": "244cc9089a95b83200c4121b235448dc6d3730cd",
+ "sha256": "0rd5dxqhzyki60vlnf10mhf3nqhq9inh56m4k92lp4865xsds7pp"
},
"stable": {
"version": [
@@ -75849,14 +76568,14 @@
"repo": "SqrtMinusOne/micromamba.el",
"unstable": {
"version": [
- 20230708,
- 926
+ 20231225,
+ 2320
],
"deps": [
"pythonic"
],
- "commit": "ce97237986668c31d9b023348f1ef16199276794",
- "sha256": "182iz522899cc7qm5dc79vnph5jmcpm2hqi259xxs2hdl6mrx8y1"
+ "commit": "cd3ce4b7142790f25f20e5cfd6ed5ebbf4498c6c",
+ "sha256": "1bsz301jrlwpcsyyjsvyasbpfm6midn628a5f39xrxi69w0mzww7"
}
},
{
@@ -75867,14 +76586,14 @@
"repo": "emacs-jp/migemo",
"unstable": {
"version": [
- 20200913,
- 12
+ 20231120,
+ 842
],
"deps": [
"cl-lib"
],
- "commit": "f756cba3d5268968da361463c2e29b3a659a3de7",
- "sha256": "0q2mljzkpci6p8svz17xz48kv4yhh86irg2dpypk0k2dlyr8gykx"
+ "commit": "7d78901773da3b503e5c0d5fa14a53ad6060c97f",
+ "sha256": "1098lf50fcm25wb41g1b27wg8hc3g2w6cgjq02sc8pq6qnrr0ql2"
},
"stable": {
"version": [
@@ -75983,20 +76702,20 @@
"repo": "liuyinz/mini-echo.el",
"unstable": {
"version": [
- 20231115,
- 13
+ 20240220,
+ 1934
],
- "commit": "5dfd1608d384be3176c4f06fef908933e2fd4a02",
- "sha256": "0pknwad9mm0szb4a0ar7wrc8lnwn45vf3vfq0wbflh6071mdnmkv"
+ "commit": "f25a2f543b3e9fa5043ef37c81c17fc15aceb534",
+ "sha256": "1nbwwf087v1mp5vbmasxqnmbrsgzgm87yd5ajq1hnfxd412w3vhx"
},
"stable": {
"version": [
0,
- 5,
- 4
+ 7,
+ 2
],
- "commit": "58b7c6129f941d4eb6cb5c04525970f8e409623a",
- "sha256": "146nlsflzfbsdkwni15vhassq7kgf4xjn7vlwn05v1sny2wpscg2"
+ "commit": "f25a2f543b3e9fa5043ef37c81c17fc15aceb534",
+ "sha256": "1nbwwf087v1mp5vbmasxqnmbrsgzgm87yd5ajq1hnfxd412w3vhx"
}
},
{
@@ -76138,7 +76857,7 @@
},
{
"ename": "miniedit",
- "commit": "5f2a50f62475639af011c99c6cc38928b74b3b0a",
+ "commit": "c1f6256e8ef106684b1b546003ec8b591fdea560",
"sha256": "10s407q7igdi2hsaaahbw8vckalrl7z3s6l9cflf51q16xh2ih87",
"fetcher": "github",
"repo": "emacsorphanage/miniedit",
@@ -76206,14 +76925,14 @@
"repo": "tarsius/minions",
"unstable": {
"version": [
- 20230514,
- 1805
+ 20240101,
+ 2220
],
"deps": [
"compat"
],
- "commit": "62948a4a2951dab0716977421bfe0a87ea2583c5",
- "sha256": "0ralw9znj749ii046l0dfa3aacm05g1ix59rfsjafhky8fiwz37m"
+ "commit": "07caa8c30b12c35f3fe563a036f9823c4a6a5f01",
+ "sha256": "07419zfsi871i6lg5g0f99d8ai2znifcfidywly3mb1hrizzylb1"
},
"stable": {
"version": [
@@ -76236,14 +76955,14 @@
"repo": "arthurnn/minitest-emacs",
"unstable": {
"version": [
- 20200506,
- 308
+ 20231222,
+ 1521
],
"deps": [
"dash"
],
- "commit": "ddd152c990a528ad09a696bfad23afa4330ea4d7",
- "sha256": "0mdbn8v6m4902krnagsp74r4501d4yfxc33i55l4l14gvjgx1239"
+ "commit": "5999c45c047212cee15a2be67e78787776a79c35",
+ "sha256": "0xzc95r9j36jmgvwqb5l8jf6390w4nji423jrzg7xv0a6l47y4br"
},
"stable": {
"version": [
@@ -76374,19 +77093,19 @@
"repo": "szermatt/mistty",
"unstable": {
"version": [
- 20231106,
- 1627
+ 20231122,
+ 2029
],
- "commit": "7f9d259db5e50bbb13876b5927ee78b3d7d127ea",
- "sha256": "1zgw59cpnhnb7jxck2bdkb27fzh60l3cl2g4zx86xzm8nv2zrv09"
+ "commit": "d51d6d995db42377d225169ec8ad200cbbfca401",
+ "sha256": "0534s8d565y9sgqxf531xnj4lc67wsixpgg5x630rxaf5b8dwzcy"
},
"stable": {
"version": [
- 0,
- 9
+ 1,
+ 0
],
- "commit": "c1f73167f010e0961acfd919ead5b6a211518ecc",
- "sha256": "06sh1hijgyh7ixy1ryz2mx49mhzik52f0v4j1di7vn8cv8plw1j7"
+ "commit": "71a027bba1763b9d58a3af30b9651bc463890911",
+ "sha256": "0nw6fvsl2bsqdmzdcdmq2nk3j8a3k6cyjb06b5907dmirzql41x3"
}
},
{
@@ -76397,11 +77116,11 @@
"repo": "ayrat555/mix.el",
"unstable": {
"version": [
- 20231106,
- 1926
+ 20240122,
+ 720
],
- "commit": "811ff399f43425fc6e04866a521063d53938b6a2",
- "sha256": "0lxacg1m8fq5qkdbp55zmhaxq9wwvhgdw4nax997l6nnb7ys0q8d"
+ "commit": "16cc69cbf919769c191b1c49c1cab324fd0682a9",
+ "sha256": "14qdii5k4caqy0m7nwgrqr6mb30yrl0xpn507xd8z9wg97k3dvn4"
}
},
{
@@ -76454,20 +77173,20 @@
"repo": "jdtsmith/mlscroll",
"unstable": {
"version": [
- 20221204,
- 1608
+ 20240119,
+ 2149
],
- "commit": "de9f84531ca4db6e54a2ca7201638decc0ccadd4",
- "sha256": "04gqvlqb00jmkxj4wv4yr3hyvyal4vp2i2x0r338144bhwcxmi71"
+ "commit": "47182e0afb966994e4ea26842652fb4da9735103",
+ "sha256": "0h52m1gc0ynajfkxl1xhi4p7hz0kfs1fp6i1wy0qpp7x53w4aig8"
},
"stable": {
"version": [
0,
1,
- 6
+ 8
],
- "commit": "aca5dc9b1be2e38d051c098cf5bc3412cec1ccee",
- "sha256": "0k8v6wnscwm5bn6ksr0sbd9cj559ddcbqj7vhh0w67sfy9lgvriz"
+ "commit": "d4661c2bfd20da79185b904d8a28357e3c4d9c7d",
+ "sha256": "160lwh0sqragpm10i6yp1pvqjz0y16zqfg0fgkwzks10s8lcq6aq"
}
},
{
@@ -76507,26 +77226,26 @@
"repo": "dgutov/mmm-mode",
"unstable": {
"version": [
- 20230917,
- 28
+ 20240222,
+ 428
],
"deps": [
"cl-lib"
],
- "commit": "96906747e862449b722b6e2c741b61871894ac3a",
- "sha256": "1cj5cam607a4imfpicjm3k60lz7r1g06kbia11hdzqgrvy63sy57"
+ "commit": "b1f5c7dbdc405e6e10d9ddd99a43a6b2ad61b176",
+ "sha256": "0iwbmgsspiyvkwb59ihqqh0hdfszwm2qk1ghf1923d3ssall72ks"
},
"stable": {
"version": [
0,
5,
- 10
+ 11
],
"deps": [
"cl-lib"
],
- "commit": "96906747e862449b722b6e2c741b61871894ac3a",
- "sha256": "1cj5cam607a4imfpicjm3k60lz7r1g06kbia11hdzqgrvy63sy57"
+ "commit": "b1f5c7dbdc405e6e10d9ddd99a43a6b2ad61b176",
+ "sha256": "0iwbmgsspiyvkwb59ihqqh0hdfszwm2qk1ghf1923d3ssall72ks"
}
},
{
@@ -76797,14 +77516,14 @@
"repo": "tarsius/mode-line-debug",
"unstable": {
"version": [
- 20230901,
- 1235
+ 20240101,
+ 2221
],
"deps": [
"compat"
],
- "commit": "fbf15be5d9debde1bdf6d9e3759c705347ae6870",
- "sha256": "18lxpiqs98mqk0kh9nl0qfg4gz7qqfhscv28xgcxk67cr31pkp9i"
+ "commit": "b5fbea38d6d92b50be14be99065b44b0ef832983",
+ "sha256": "02d9q9bfak5dpv2v4q2qicrwf82gn8fj0srhalcnlzkrgfv9z0jz"
},
"stable": {
"version": [
@@ -76965,17 +77684,17 @@
},
{
"ename": "modus-themes",
- "commit": "a3645b08cb46e3d91081da7baa982b5283918447",
- "sha256": "0rwf0vy6ic9b2qkb5a2xc3snfnnp31sr8hq3lzk4sx9j9cacvfly",
- "fetcher": "sourcehut",
+ "commit": "aa92d00cfd7b5a62902a04c167679963e059b5d6",
+ "sha256": "0wd2jx42ya0fvjzyrpq9ahf3naff1zrssb39mqcark570bafdwy7",
+ "fetcher": "github",
"repo": "protesilaos/modus-themes",
"unstable": {
"version": [
- 20231115,
- 1302
+ 20240223,
+ 712
],
- "commit": "bdb18b2ee27344e44e2a17c78524205d02d5174c",
- "sha256": "08m6mzh87q05dx7xvfnyqpj0jsg59g626qq8y5nrnmscf7pf0rkz"
+ "commit": "5d57c7354bec2d62e4c962ab47101bd604aea438",
+ "sha256": "00km2b9zab5l109w0qf3qak4r5a8fr3wych7wkfk2d2ljs8brz4c"
},
"stable": {
"version": [
@@ -77207,11 +77926,11 @@
"repo": "belak/emacs-monokai-pro-theme",
"unstable": {
"version": [
- 20210206,
- 1820
+ 20231120,
+ 1622
],
- "commit": "d0489741a80d818713c290a1a4bdd985877228bb",
- "sha256": "1nmnmijqfxdxz0cxyfq9fn34cy5bbf6cdg0qvg5mjxrjcfsl57dc"
+ "commit": "d56fa38a9ed3b1d8e4f8401cb4c3f08073f3ba26",
+ "sha256": "10c6rq9jfjdgz8wnnbwhya6s2jjxf4jw6jlah75kzqyw16y9ckd9"
}
},
{
@@ -77285,20 +78004,20 @@
"repo": "jessieh/mood-line",
"unstable": {
"version": [
- 20231016,
- 1735
+ 20231210,
+ 1309
],
- "commit": "bcd8725b21a64df4952cb0c52ece953075cbee66",
- "sha256": "1d5zx3wjcdnbqp6xpmb80ank40yyrbv4i2w7xyg3rwal4l6yq3lv"
+ "commit": "d1c024fdf9543fbc0101cd2c6e8b248378f591cd",
+ "sha256": "1xvx26xbd0ylih6xyvwylzjl7z5dbw9sv828p5zykr6fg2kz9nb3"
},
"stable": {
"version": [
- 2,
- 2,
- 0
+ 3,
+ 1,
+ 1
],
- "commit": "d6369af8228921b5ffa328e671c7446a2733fe43",
- "sha256": "0davxbpw2a15n1wcza3qszdvmyzypffz8rdkizlq6xhccdyk0rvd"
+ "commit": "d5b6b5b3552a5b84f4f887e2f805d9e72747fab2",
+ "sha256": "1xvx26xbd0ylih6xyvwylzjl7z5dbw9sv828p5zykr6fg2kz9nb3"
}
},
{
@@ -77333,14 +78052,14 @@
"repo": "tarsius/moody",
"unstable": {
"version": [
- 20230901,
- 1235
+ 20240102,
+ 1542
],
"deps": [
"compat"
],
- "commit": "ebc3522406517aa791da3c4f312609707b5ead9e",
- "sha256": "080v3aj16dpy6l62k9ja4z7k1rwhh0mc750niwzk5k7vz6x4gc1y"
+ "commit": "622a5316cdced84dbd0559443bb86bb635692a0a",
+ "sha256": "11hxzz1yc63yx7pfcn18b7fffajfc494ci2x4dcxnzw84qzssds2"
},
"stable": {
"version": [
@@ -77460,14 +78179,14 @@
"repo": "tarsius/morlock",
"unstable": {
"version": [
- 20230511,
- 2105
+ 20240125,
+ 1741
],
"deps": [
"compat"
],
- "commit": "b7e2066a69c059c5d4c72e829b615a6b15cef4dc",
- "sha256": "03q388lkbs1fx0x0wb0n06f9bfl4k67qvbhkxkw59kdlhxi7qwqm"
+ "commit": "2a62e20a7648747e74313ebcf3932fa8a858739b",
+ "sha256": "0pg5pz6k51kvipdyq80w4sishfns53kfqjqz4qhnbiwjb6h1c3pb"
},
"stable": {
"version": [
@@ -77656,11 +78375,11 @@
"repo": "emacsfodder/move-text",
"unstable": {
"version": [
- 20221006,
- 1634
+ 20231204,
+ 1514
],
- "commit": "b17f0f4cbe9d8a72e6d9765daf2e6cdc12b3f4ba",
- "sha256": "1s9cjk20f4vbaffpv0yv7irk7azgmv8l54v9cd1nwfjrzybqq1xx"
+ "commit": "90ef0b078dbcb2dee47a15b0c6c6f417101e0c43",
+ "sha256": "16x8p9brj5nrjk2rmhwf6hb8sj0rjr4j2827z0g679zyns3cbyrb"
},
"stable": {
"version": [
@@ -77743,7 +78462,7 @@
},
{
"ename": "mozc-im",
- "commit": "4b651b7f1c15b44577b3c2b7493264ed802cf073",
+ "commit": "c1f6256e8ef106684b1b546003ec8b591fdea560",
"sha256": "1gqzmm712npj36qfi506zgl0ycd6k7l5m46c7zz2z2lb6jpssw10",
"fetcher": "github",
"repo": "d5884/mozc-im",
@@ -77761,7 +78480,7 @@
},
{
"ename": "mozc-popup",
- "commit": "49bdcf035b9f885a689b9dc21817aecdcd09768b",
+ "commit": "c1f6256e8ef106684b1b546003ec8b591fdea560",
"sha256": "1n43lwflxzzyskxgzg19rg3hiqqkf5l7vfgaydryf4sk8480x687",
"fetcher": "github",
"repo": "d5884/mozc-popup",
@@ -77899,26 +78618,26 @@
"repo": "sp1ff/mpdmacs",
"unstable": {
"version": [
- 20210904,
- 2048
+ 20240210,
+ 1526
],
"deps": [
"elmpd"
],
- "commit": "66031a8993a2a704bdfaa9c63ec590dd0c5a2eea",
- "sha256": "0n4b1klaf1jwd8bj9gqjy5p9yabgkgj9zai1cmsbnb4174h0719q"
+ "commit": "a401e2331300f79f9c4edc6fae46982d560c74fb",
+ "sha256": "16nsci7dfak6yzwiv28ld17m1f1gx14wzq3jvmxbirm97bv21pwg"
},
"stable": {
"version": [
0,
- 2,
- 2
+ 3,
+ 0
],
"deps": [
"elmpd"
],
- "commit": "66031a8993a2a704bdfaa9c63ec590dd0c5a2eea",
- "sha256": "0n4b1klaf1jwd8bj9gqjy5p9yabgkgj9zai1cmsbnb4174h0719q"
+ "commit": "a401e2331300f79f9c4edc6fae46982d560c74fb",
+ "sha256": "16nsci7dfak6yzwiv28ld17m1f1gx14wzq3jvmxbirm97bv21pwg"
}
},
{
@@ -78060,7 +78779,7 @@
},
{
"ename": "msvc",
- "commit": "a6ff6bbfa11f08647bf17afe75bfb4dcafd86683",
+ "commit": "c1f6256e8ef106684b1b546003ec8b591fdea560",
"sha256": "1j88a2nnrqjhq5avs665486l3xp20fc9789zh2izmf3msrxqimns",
"fetcher": "github",
"repo": "yaruopooner/msvc",
@@ -78100,11 +78819,11 @@
"repo": "mattiasb/mtg-deck-mode",
"unstable": {
"version": [
- 20180613,
- 2010
+ 20231202,
+ 1546
],
- "commit": "8265b8ed17fcd4406760c19aa6ee9c76068b1ab0",
- "sha256": "04qdcqpkic2nhqy6nf15j3zp5hmrfzs2kndvmg5v4vjac2vfmzfb"
+ "commit": "3cb3866951feae40531c0a2e4fa72c0f2989c36c",
+ "sha256": "1j2ps7xsypi4n78jkz25xg7kv1795jjccydhrmw6z6v313hjwx2f"
},
"stable": {
"version": [
@@ -78220,6 +78939,21 @@
"sha256": "1ysj9x9m1lxg1gy0z7y07qsi3g26qfqdwwa8kjkf40pchb2wxg0s"
}
},
+ {
+ "ename": "mu4e-crypto",
+ "commit": "64a39c1ab11c4bfee737bda22b39eea47e07ed74",
+ "sha256": "0zcyrm9027h7vm6fnldb331yvxwnjsm5bw3a21ynxvirh7dw9lf5",
+ "fetcher": "github",
+ "repo": "meritamen/mu4e-crypto",
+ "unstable": {
+ "version": [
+ 20240120,
+ 646
+ ],
+ "commit": "8eb4ce811044aa93f3527adf35984aa502ac0d4b",
+ "sha256": "0231q37vj960f6czr2l5fqsgsdiyndlls35dvqzlmzrqpzjcj81m"
+ }
+ },
{
"ename": "mu4e-jump-to-list",
"commit": "c1cf98dff029d494007fe25d29bd8bcfecc5b8e6",
@@ -78264,11 +78998,11 @@
"repo": "mkcms/mu4e-overview",
"unstable": {
"version": [
- 20231027,
- 1038
+ 20240219,
+ 2302
],
- "commit": "0e711f47f9bab8bea9fe4f8e857920b879e70dcd",
- "sha256": "0zh4pi4d74cs9p8ll7fzq8chxk84safvb7svxcss692jjsqvj89y"
+ "commit": "7843cb64c861729bfd57ec3e8ad7faf6edb2e543",
+ "sha256": "1sq5lfhs00dr3mc25wjm2y18ac78rh7dkj4f67kndhrrr99z6zcg"
},
"stable": {
"version": [
@@ -78492,17 +79226,17 @@
},
{
"ename": "multi-project",
- "commit": "a5b0dadc609d13737d56657c17a945f10e840222",
- "sha256": "0zq2lwrllj4icksq6y7hz61ah39k60v1jc5qr16zvwyfqxhk8hpb",
- "fetcher": "hg",
- "url": "https://hg.osdn.net/view/multi-project/multi-project",
+ "commit": "c9cffcacb8af80318020e02d0e38c653673dcb14",
+ "sha256": "1d62z277y55ksimkvh03czaizjhwy8a62c972m7r128r2ya82y3w",
+ "fetcher": "github",
+ "repo": "ellisvelo/multi-project",
"unstable": {
"version": [
- 20230729,
- 1543
+ 20240115,
+ 1635
],
- "commit": "0ba7d337d8764b4f83788bc6c1f17080aad2b6c7",
- "sha256": "1qzx6f8zi36z34nz2ds0yxvfj2m2l9zh0rqpv62l0rv87mpg00yk"
+ "commit": "3bc67ba8adf10a0844fa2f9cce9d78f130307645",
+ "sha256": "0f4p3ndp2qlphad46qhyfmjcd0kmazkz6yr7278ca4zminchfrvc"
},
"stable": {
"version": [
@@ -78638,14 +79372,14 @@
"repo": "magnars/multiple-cursors.el",
"unstable": {
"version": [
- 20230728,
- 518
+ 20240223,
+ 1134
],
"deps": [
"cl-lib"
],
- "commit": "234806c832994cadedb42596fe235e91bbd59e8c",
- "sha256": "1q8325ij1f1y1779f5p00kj3hp3ndqzl5f88f0b238mmz4g4yayi"
+ "commit": "c870c18462461df19382ecd2f9374c8b902cd804",
+ "sha256": "1703ca0k0mlvjh7l0jv2nzgzah8ixb3n9av725cs2c07cih6vhsa"
},
"stable": {
"version": [
@@ -78686,14 +79420,14 @@
"repo": "zevlg/multitran.el",
"unstable": {
"version": [
- 20230920,
- 2009
+ 20240206,
+ 1617
],
"deps": [
"cl-lib"
],
- "commit": "285b625b5e94f5dd960cb4a3292407aa7568073e",
- "sha256": "0br5gy1jxanj7sjvrhqgni6bfins6nr79pccyjibqc8ca29zvgsz"
+ "commit": "680f31d15b78876daf484bd926e5c172ab061595",
+ "sha256": "1x49n73faqmw5gkyrfyb4gxvnd6ql6a2xlxsvb4q4v0yl3jsxrn4"
},
"stable": {
"version": [
@@ -79165,11 +79899,11 @@
"repo": "kenranunderscore/emacs-naga-theme",
"unstable": {
"version": [
- 20230904,
- 801
+ 20240218,
+ 715
],
- "commit": "a1b12bd7b00b286c3f37f50136dcf5602fc178ec",
- "sha256": "1g99rifsmn8c2frmvymmspbd7v7i7x4m798533sz8ak4vnys4w1d"
+ "commit": "a4c1d5bef93d9382ecf967a9897796e7a8937484",
+ "sha256": "1xkhqmfpb4sc1glx5ly9hwjpicdiq94cfbi9qsb43fx5xqc38d3a"
}
},
{
@@ -79478,10 +80212,10 @@
},
{
"ename": "narumi",
- "commit": "961a81f77cebaf9361699dec65b733bf33bc92b4",
- "sha256": "1d81z6zxxlv2sih28ar6s93ic49z9qy4q03r115k0559bqy47gjh",
+ "commit": "407fe8b80df931460335c2f4ae1db11cab7ae508",
+ "sha256": "1zz4k42idan68j0b5sk7fspmdy1y1ynmhh73mdi3sp5adnqq8n0s",
"fetcher": "github",
- "repo": "nryotaro/narumi",
+ "repo": "ryotaro612/narumi",
"unstable": {
"version": [
20220228,
@@ -79538,11 +80272,11 @@
"repo": "CeleritasCelery/emacs-native-shell-complete",
"unstable": {
"version": [
- 20230505,
- 1803
+ 20231218,
+ 316
],
- "commit": "7b5e7d86c39ce9833118db278034789a6c0ecfd6",
- "sha256": "0khlr0g6b5ml3n0s49mzhlwfca7gcxf07sb2h6014vnhf1i7qy78"
+ "commit": "56b20b414a9298f45b0923edf633c7153b97892b",
+ "sha256": "0d4h36ns13fra744nhk9azxqmxw14fwn908i3f1h2f4ll3hqbpfa"
}
},
{
@@ -79698,11 +80432,11 @@
"repo": "nickav/naysayer-theme.el",
"unstable": {
"version": [
- 20230720,
- 2056
+ 20240220,
+ 2159
],
- "commit": "eafbc760e32728d2fe2b347621da222537372500",
- "sha256": "0a2gznz4054ibwlmis3zb5z63nl663azdn4dml6xks77i6ys73dn"
+ "commit": "5e0bfaffb5162f3f35690ef3397a5ab63006042f",
+ "sha256": "1vzl06dgsczmnjl84sc2wzl5icn55c99czfij8mw589vqhzx5fjs"
}
},
{
@@ -79766,11 +80500,11 @@
"repo": "babashka/neil",
"unstable": {
"version": [
- 20231113,
- 1036
+ 20231214,
+ 736
],
- "commit": "076fb8339e4ea935c1a530a740b6eb6257c55962",
- "sha256": "1vz50fkf1nw1md8p239pisvpba9q1y3ygvs6yfb37jvrxh6a1k4r"
+ "commit": "abfe86474986a36c6c0daa56f8c4b6e1fb883743",
+ "sha256": "06bh762as20mlbydixbnfmn4i03gh4sz3iwv38chb5ma26w59m13"
},
"stable": {
"version": [
@@ -79867,11 +80601,11 @@
"repo": "rainstormstudio/nerd-icons.el",
"unstable": {
"version": [
- 20231115,
- 1110
+ 20240122,
+ 644
],
- "commit": "cfda588dc1e865a8a121b9071f3720fd4937492b",
- "sha256": "0cnhcyh7s50k60hx572zz2cq83qjjp72qs6ad9gc6abhzzrzr6bj"
+ "commit": "c6a4acf19454b415cba1c43daf4bfca8fccdd9ba",
+ "sha256": "1pnlp54f0c2wgc65p932xyk71lyw361x17w71fnxgp72j1a3y6dz"
},
"stable": {
"version": [
@@ -79939,14 +80673,14 @@
"repo": "rainstormstudio/nerd-icons-dired",
"unstable": {
"version": [
- 20230917,
- 210
+ 20231214,
+ 2155
],
"deps": [
"nerd-icons"
],
- "commit": "4a068884bf86647d242c3adc8320cd603e15dac3",
- "sha256": "1nn9xa0vhn0szl0xaz7hrkpj1xpq3yr5ym4043j3qh1h75048isf"
+ "commit": "c1c73488630cc1d19ce1677359f614122ae4c1b9",
+ "sha256": "1ln73ii7c3chl4lvarwiwrdmx49q528wc0h6a7xbl68pc2pyyvq2"
}
},
{
@@ -80034,14 +80768,14 @@
"repo": "SpringHan/netease-cloud-music.el",
"unstable": {
"version": [
- 20220305,
- 1224
+ 20231226,
+ 1525
],
"deps": [
"request"
],
- "commit": "f238d1d45bbeee32e0a8d169f39de4360be908f4",
- "sha256": "0i460vn7plvxrzbzlnfldx36aymy0x666r5w3mak3a5ksyqpbi1c"
+ "commit": "f5d622e2d376d995f412aef93d031836d8c9997c",
+ "sha256": "1dwgxx8d519m1ci4wg3qkfl8ad34dy9gr3m8f0d0ckwffn00ppwl"
},
"stable": {
"version": [
@@ -80427,8 +81161,8 @@
"repo": "nim-lang/nim-mode",
"unstable": {
"version": [
- 20231101,
- 1342
+ 20240220,
+ 1033
],
"deps": [
"commenter",
@@ -80436,8 +81170,8 @@
"flycheck-nimsuggest",
"let-alist"
],
- "commit": "1338e5b0d5e111ad932efb77d3cad680cc3b86c9",
- "sha256": "1c7nx730c7fbdkjnb3ym9k3bfxjgfs3ki69ld0qam2jf5xjhl398"
+ "commit": "625cc023bd75a741b7d4e629e5bec3a52f45b4be",
+ "sha256": "0jasg5qiha0y3zqh1q1knlhipnk11kqd1jxzgmpcsyc3ikq01brs"
},
"stable": {
"version": [
@@ -80463,11 +81197,11 @@
"repo": "mrcnski/nimbus-theme",
"unstable": {
"version": [
- 20230611,
- 1619
+ 20231227,
+ 1644
],
- "commit": "ae88d2997da80efaaece33ab7f554184ad72d962",
- "sha256": "18gya6qkxqxx18rzph5rgkij5asfq88cma6z4yxdbqdgvzf7g9mf"
+ "commit": "09e6dcdd491c7aa5aa355e441a03492991830ad1",
+ "sha256": "1zi90ixnmgiwzclgji5l1w4qh9ib3lv2qkmjh2p2xrkivlq553b3"
},
"stable": {
"version": [
@@ -80899,14 +81633,14 @@
"repo": "ranmaru22/no-clown-fiesta-theme.el",
"unstable": {
"version": [
- 20230220,
- 1019
+ 20231214,
+ 2115
],
"deps": [
"autothemer"
],
- "commit": "e143cdfa7cecac6383328eca88586105f308bca9",
- "sha256": "1j986mbr49rlfxx3dsq5fjipif1gpkwjhx6f7sm9zppnf51r875h"
+ "commit": "0cd04a72aa5dcf61e82e2a613670334816326b02",
+ "sha256": "0ly95561skwfkn3kpv3269ffk7sd6p92dm1q6p4cq2fbm3v0w6xd"
}
},
{
@@ -80932,14 +81666,14 @@
"repo": "emacscollective/no-littering",
"unstable": {
"version": [
- 20231115,
- 2126
+ 20240217,
+ 1926
],
"deps": [
"compat"
],
- "commit": "722c44210a5f2697f449bd6cb2cdcff638b8c0bd",
- "sha256": "109z231gz4afg4z0dzyccsx69znvzwy3z4c5z48441brssvna6nj"
+ "commit": "6de0be803206334bfff5b74cdccab5ed51b37280",
+ "sha256": "0frxyyq7rr13m3jdzczr185wphrfxylgfriqagchpqnby386bzpr"
},
"stable": {
"version": [
@@ -80971,9 +81705,9 @@
},
{
"ename": "noaa",
- "commit": "1272203f85375e50d951451bd5fd3baffd57bbfa",
- "sha256": "11hzpmgapmf6dc5imvj5jvzcy7hfddyz74lqmrq8128i72q1sj0v",
- "fetcher": "github",
+ "commit": "e6f1a5f49b0f69179996708b10b591bb6bcb1f9c",
+ "sha256": "1k81clg23lmf22x8hvqjyzjbl5phwh7f05wj40nh55v2zl9y3kha",
+ "fetcher": "codeberg",
"repo": "thomp/noaa",
"unstable": {
"version": [
@@ -81068,20 +81802,20 @@
"repo": "abicky/nodejs-repl.el",
"unstable": {
"version": [
- 20200802,
- 1310
+ 20240218,
+ 2357
],
- "commit": "3b841055cad00f442e4a9159b1056f59411b6646",
- "sha256": "0371lz9378x3vjj2kqir89sjy0l5d5j6ha5yz1381nkh15dcww15"
+ "commit": "77a864ca72a6c30217085f1c4db5de72e47eb4da",
+ "sha256": "06in0nnynrj6ciwbch4x8s65n6d750ikarvrdcjypi0cb0vscwsk"
},
"stable": {
"version": [
0,
2,
- 4
+ 5
],
- "commit": "f5ce3d5b7b4e0d06f6e9d4930d9ecc417633586b",
- "sha256": "0a5mmg3cmdi73giblp07ksl06xzl9nb2m3f96ny4r3rv0ar3v1bx"
+ "commit": "cc74729c8494a4d3555fac6d3a3fd0e0ff4ac50a",
+ "sha256": "06in0nnynrj6ciwbch4x8s65n6d750ikarvrdcjypi0cb0vscwsk"
}
},
{
@@ -81257,11 +81991,11 @@
"url": "https://git.sr.ht/~ashton314/nordic-night",
"unstable": {
"version": [
- 20230831,
- 1732
+ 20231205,
+ 1744
],
- "commit": "38020ebac496992a4b41bc721db9c28962e5b7df",
- "sha256": "0h07sflfvxkgc9w7jdfmvarrgdgr95sbki4az7b6n0kpzzps1s1f"
+ "commit": "d5d481a6b514001b22d1a184c1214d220fe3869d",
+ "sha256": "1qvka1ybwc3jyxjk0ljzmbf6l56lmfqi4l7dasmmc31w7all3l53"
},
"stable": {
"version": [
@@ -81388,10 +82122,10 @@
"version": [
0,
38,
- 1
+ 2
],
- "commit": "356ad392716d2a775e58d766c1fec9047cc84163",
- "sha256": "01h8handfqhps79gyx579b70sfigyxdlk3qgqv413ahvinw5h47b"
+ "commit": "c769658360e10a6d01a4134e680e2f498741bc5c",
+ "sha256": "07n4cp8zql9vkc5s18d687mwhb96cad629d3mgvxbf36v3v1s1xx"
}
},
{
@@ -81402,15 +82136,15 @@
"repo": "tarsius/notmuch-addr",
"unstable": {
"version": [
- 20230511,
- 2057
+ 20240101,
+ 2221
],
"deps": [
"compat",
"notmuch"
],
- "commit": "89ced49cf3fb4d62bd4fea8bf9bd53ec8e4c7176",
- "sha256": "0wggx4cqh1zgmax99bx2g90h51f2jxahqr5f35d0k1770zsds7f5"
+ "commit": "1253c6ff1359acef42f04c021c6204b8e726b071",
+ "sha256": "1wvap0vg0b1daccw1523f5baf9sp7qivrrpk2bg0sdaq37pzkbm7"
},
"stable": {
"version": [
@@ -81494,15 +82228,15 @@
"repo": "tarsius/notmuch-maildir",
"unstable": {
"version": [
- 20230511,
- 2056
+ 20240101,
+ 2221
],
"deps": [
"compat",
"notmuch"
],
- "commit": "4686e8e7b6d88439d4b0c39c7b17502b102a6f58",
- "sha256": "09f5g2xll2wh9jkd8crgngnbhxxkiy1rqsjg5g4c0i3hdyk4c3s5"
+ "commit": "2b6a49c8b1f35338bb231f39155b6da0a0b639d5",
+ "sha256": "0r3fjq0b1zssjbhq9075cihqn0a255lrqkl9vcb3ypy0gwr7hy9r"
},
"stable": {
"version": [
@@ -81526,16 +82260,16 @@
"repo": "tarsius/notmuch-transient",
"unstable": {
"version": [
- 20230917,
- 1004
+ 20240106,
+ 1322
],
"deps": [
"compat",
"notmuch",
"transient"
],
- "commit": "b2a318be18ad2fc7553601f564132fa6882a4a0d",
- "sha256": "0p90mdcwhfqpw6jzs8l4iw5072ziq82hm4xc18qyjj32h8lbs9p3"
+ "commit": "0dc26288276e43a2e362acae850444a47b7db652",
+ "sha256": "05kwrnmj3d4rhx463iilfs6qwqy7f1rg752ghcmjlx2l1vjqwsc1"
},
"stable": {
"version": [
@@ -81949,11 +82683,11 @@
"repo": "mrkkrp/nushell-mode",
"unstable": {
"version": [
- 20230612,
- 726
+ 20231204,
+ 1233
],
- "commit": "ff8f8013af3076c041bd5235a54776890f4c4d0e",
- "sha256": "1m06flbry3yi3n6ad3lllvwj8w03ahpbkj5nv1k1y88cgbp13j85"
+ "commit": "e92791e06ea13b93be38874111b83172d6de67c1",
+ "sha256": "11n9iqhncb2y0mf0a63v9p64fpidgvv8h1cbh1mk39ixl9hxbb7v"
}
},
{
@@ -82206,6 +82940,30 @@
"sha256": "03cxb6zdqmzgjp8r6hcirf8xl772j7xqk2nw17gjkn4xqbwfyn62"
}
},
+ {
+ "ename": "ob-base64",
+ "commit": "d82c7daa606c1c0c2ed4abe7601c16e8b261de12",
+ "sha256": "0ki8hkf4b34w1x597vx9mjf7jwzwlsk8z2i8zljip47jibg01913",
+ "fetcher": "github",
+ "repo": "KeyWeeUsr/ob-base64",
+ "unstable": {
+ "version": [
+ 20240211,
+ 1201
+ ],
+ "commit": "28a8f448fd706a071b351634482e5c46f80e7d46",
+ "sha256": "1ar12skshi2x2hfrlpy4b2029gqv7wz0cj4a8gjr0gs1hvaj2faz"
+ },
+ "stable": {
+ "version": [
+ 1,
+ 1,
+ 5
+ ],
+ "commit": "28a8f448fd706a071b351634482e5c46f80e7d46",
+ "sha256": "1ar12skshi2x2hfrlpy4b2029gqv7wz0cj4a8gjr0gs1hvaj2faz"
+ }
+ },
{
"ename": "ob-bitfield",
"commit": "4032df23d0f2fdab9655a386bf5645d30acf5f53",
@@ -82285,14 +83043,14 @@
"repo": "xenodium/chatgpt-shell",
"unstable": {
"version": [
- 20231111,
- 2324
+ 20240213,
+ 1729
],
"deps": [
"chatgpt-shell"
],
- "commit": "03f8edabb95c6ffc64050d79fdb3091532711b59",
- "sha256": "0h94zdx1nn98pg3mdlj6zvlswmj6i1yldnm1hb9pj2hccsdfj3bj"
+ "commit": "2657079cb4d83d4fdcc0de4d5d225ed7e960ac9b",
+ "sha256": "0rm4mvd08vijw61ambbs9k0gc334iy5n2iaw886zpkyj5g4gngp5"
}
},
{
@@ -82446,14 +83204,14 @@
"repo": "xenodium/chatgpt-shell",
"unstable": {
"version": [
- 20231109,
- 913
+ 20231129,
+ 130
],
"deps": [
"dall-e-shell"
],
- "commit": "ad7caa8bde9d9e3e4f09458e6542ae1318949b2b",
- "sha256": "1hd4df6ykifkkrl4ywczmiv0np2ai0q2xs7wabh24wi6cs3nzapw"
+ "commit": "f27fc3ff5d01db4bae3e5c22c4ec208e387b7af1",
+ "sha256": "17jra66ffa8slzm0y6n64nxxns6a1m3sbd4azrzrlyqx81qh26dz"
}
},
{
@@ -83207,14 +83965,14 @@
"repo": "alf/ob-restclient.el",
"unstable": {
"version": [
- 20231027,
- 518
+ 20231228,
+ 1403
],
"deps": [
"restclient"
],
- "commit": "1a127eb0165f10bb9d33606aa8529051118805e7",
- "sha256": "0fk0ly8hyhlq4vyndkmv22cx0p7cknf56j6djika1c9d4hl75ff2"
+ "commit": "8183f8af08838854cf145ca4855b373f3e7c44b0",
+ "sha256": "1llgr2sw2466y4ihrnrrdv68hkvhgdyd17gsl5rlzjv41x5iqmc7"
}
},
{
@@ -83523,14 +84281,14 @@
"repo": "lurdan/ob-typescript",
"unstable": {
"version": [
- 20230523,
- 131
+ 20231227,
+ 311
],
"deps": [
"org"
],
- "commit": "abf36f1f3b2476e71c63721dc907d7e6914aef3d",
- "sha256": "0ninckmri06gcn1bk50phqrm35csslk5imx374jy7xjfapvajyls"
+ "commit": "5fe1762f8d8692dd5b6f1697bedbbf4cae9ef036",
+ "sha256": "1zgnls92pyy950xcly5y7jkr72xcpxq94lqa39gbhad93b7bfffc"
}
},
{
@@ -83669,8 +84427,8 @@
"repo": "licht1stein/obsidian.el",
"unstable": {
"version": [
- 20231105,
- 1800
+ 20231218,
+ 1043
],
"deps": [
"dash",
@@ -83680,14 +84438,14 @@
"s",
"yaml"
],
- "commit": "f83c5d32eba8237dedeec66c44f464019840b264",
- "sha256": "1fpqc5xwqdqg4l4aifqvsr5p00997z5xdvnlnrrc1p981ifxa67c"
+ "commit": "24ff6ef051ba1f1a1e80a2132fdada37e77eaad6",
+ "sha256": "12va9svwgsjwakqw17ik8xzpda1w9fjplfj5i7aq10ic8yq6fkyx"
},
"stable": {
"version": [
1,
4,
- 0
+ 4
],
"deps": [
"dash",
@@ -83697,8 +84455,8 @@
"s",
"yaml"
],
- "commit": "f83c5d32eba8237dedeec66c44f464019840b264",
- "sha256": "1fpqc5xwqdqg4l4aifqvsr5p00997z5xdvnlnrrc1p981ifxa67c"
+ "commit": "3814b08fb43d633c4a31b17deef1c2b71e5b5420",
+ "sha256": "0hkwiha8xrw3iila3wny287lwbmwqmraar8xfp53xvhpg8fxkl7c"
}
},
{
@@ -83954,26 +84712,26 @@
"repo": "oer/oer-reveal",
"unstable": {
"version": [
- 20230925,
- 1039
+ 20240104,
+ 1513
],
"deps": [
"org-re-reveal"
],
- "commit": "008a4433d64389d00ae86e00a391041e12a9cba7",
- "sha256": "0wxzbgxc0yh5hl5z3c3cpzwdqhzczcvsz14yb1fbg7qr7365kyah"
+ "commit": "3552f038f4c39e70aa1cc9bf59ad448a76bf5524",
+ "sha256": "1vn8iz7891ml9z989d8k68i01rldnyrgg5vzbf6k7kyf3vnslf8c"
},
"stable": {
"version": [
4,
- 12,
- 0
+ 19,
+ 1
],
"deps": [
"org-re-reveal"
],
- "commit": "008a4433d64389d00ae86e00a391041e12a9cba7",
- "sha256": "0wxzbgxc0yh5hl5z3c3cpzwdqhzczcvsz14yb1fbg7qr7365kyah"
+ "commit": "3552f038f4c39e70aa1cc9bf59ad448a76bf5524",
+ "sha256": "1vn8iz7891ml9z989d8k68i01rldnyrgg5vzbf6k7kyf3vnslf8c"
}
},
{
@@ -84024,16 +84782,16 @@
"repo": "tarsius/ol-notmuch",
"unstable": {
"version": [
- 20230511,
- 2048
+ 20240101,
+ 2222
],
"deps": [
"compat",
"notmuch",
"org"
],
- "commit": "781c3518a537da2a8b5e8a4424f9441df463a147",
- "sha256": "1rlpl3kb709q5brkjrrpirdnhvyh447q93li9lgfz67yya29rfqd"
+ "commit": "881991d94a1ad750633fcf1f2d8a9e0616979be3",
+ "sha256": "1hc4bkkg42pj1i3vy6jm0rqvviiizn61nph74nvms5v08fb0yn09"
},
"stable": {
"version": [
@@ -84429,6 +85187,37 @@
"sha256": "1rrby3mbh24qd43nsb3ymcrjxh1cz6iasf1gv0a8fmivmb4f7dyz"
}
},
+ {
+ "ename": "one",
+ "commit": "be5e0550ba1eb789cad64ba18528c832128fe47c",
+ "sha256": "17plv1b2zwamjdwmazii5sxcfx6svhk9j9frr20yzg6hb9vg94wp",
+ "fetcher": "github",
+ "repo": "tonyaldon/one.el",
+ "unstable": {
+ "version": [
+ 20231205,
+ 1423
+ ],
+ "deps": [
+ "htmlize",
+ "jack"
+ ],
+ "commit": "fa52cf0144f89eabee06f598b021a37087c69670",
+ "sha256": "1qk7xcca7inkwmdwcai4j43pb2nr8s89c4s0hjmc1bp3grryqrqj"
+ },
+ "stable": {
+ "version": [
+ 1,
+ 1
+ ],
+ "deps": [
+ "htmlize",
+ "jack"
+ ],
+ "commit": "fa52cf0144f89eabee06f598b021a37087c69670",
+ "sha256": "1qk7xcca7inkwmdwcai4j43pb2nr8s89c4s0hjmc1bp3grryqrqj"
+ }
+ },
{
"ename": "one-themes",
"commit": "504fb2fa2fe17eb008f7e9b8f7fb394f4a3ebd28",
@@ -84505,6 +85294,30 @@
"sha256": "1d7rl0v8vp7wxxrlmkrs360jr36yivjmcvawqxkfrj20zpjy88h2"
}
},
+ {
+ "ename": "open-color",
+ "commit": "06befa95a4b80506a40e1cd10c83abe63afc8539",
+ "sha256": "0xq9nr2kdc05bgaij00r81a86npzy6kw5r0gggdskiycqsh3ck6w",
+ "fetcher": "github",
+ "repo": "a13/open-color.el",
+ "unstable": {
+ "version": [
+ 20231220,
+ 1921
+ ],
+ "commit": "4db381311d4b659922566236697a424f5f3fde6f",
+ "sha256": "1nmg6y4b7vqlr036q6jmzw02ql4g00rand87j3flbdd5wbgx02si"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 0,
+ 1
+ ],
+ "commit": "74cee7acc6a054d2fbdf847dd7ddccfbe8f81db1",
+ "sha256": "01vlw5vbrj0s09flkziz9n2vbj3ac3ycvvy6w9yqh4z03vgrjwrd"
+ }
+ },
{
"ename": "open-in-msvs",
"commit": "a6ff6bbfa11f08647bf17afe75bfb4dcafd86683",
@@ -84798,11 +85611,11 @@
"repo": "oantolin/orderless",
"unstable": {
"version": [
- 20231110,
- 1448
+ 20240221,
+ 1601
],
- "commit": "b24748093b00b37c3a572c4909f61c08fa27504f",
- "sha256": "155ciczwvn7xs3zdaf43q8bryvhd9lsbr85vvj8gs85zjqb407y4"
+ "commit": "91df48c8e1331e434ce6ce3bab709de2035e3ec4",
+ "sha256": "1frpidiv3pl5l5nh0n1k7yhkbhk2hqsbnpdv63jdn767w8s3pwa2"
},
"stable": {
"version": [
@@ -84871,6 +85684,57 @@
"sha256": "1xckin2d6s40kgr2293g72ipc57f8gp6y63303kmqcv3qm8q13ca"
}
},
+ {
+ "ename": "org-agenda-files-track",
+ "commit": "95fceae0482e54054c93d3b5e16c2f928b69b9d1",
+ "sha256": "07i3if1g383i0hhz6m04iwqrymb59gcvm1h5r4az8j9kixc8l41s",
+ "fetcher": "sourcehut",
+ "repo": "ngraves/org-agenda-files-track",
+ "unstable": {
+ "version": [
+ 20231209,
+ 1529
+ ],
+ "commit": "c0f5f7746ec023a32ba106ec24812eca5cbe15df",
+ "sha256": "0d7fhsinm2cj8vd7s54x5r6jhq3l36b7x6s8cy5r6y005h8kac50"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 4,
+ 0
+ ],
+ "commit": "c0f5f7746ec023a32ba106ec24812eca5cbe15df",
+ "sha256": "0d7fhsinm2cj8vd7s54x5r6jhq3l36b7x6s8cy5r6y005h8kac50"
+ }
+ },
+ {
+ "ename": "org-agenda-files-track-ql",
+ "commit": "95fceae0482e54054c93d3b5e16c2f928b69b9d1",
+ "sha256": "1hsbjlr0icyyr0m815bi32v37hh2rwsq9ix7r5mw3kz5nj8v548d",
+ "fetcher": "sourcehut",
+ "repo": "ngraves/org-agenda-files-track",
+ "unstable": {
+ "version": [
+ 20231218,
+ 627
+ ],
+ "deps": [
+ "org-ql"
+ ],
+ "commit": "832cffe62c35f32850afb800e9a3b8a20a05ad7b",
+ "sha256": "17a62rxqahxrpaffwjz81schmyr78q2d9vvxf0b89y8smv8bdprc"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 4,
+ 0
+ ],
+ "commit": "c0f5f7746ec023a32ba106ec24812eca5cbe15df",
+ "sha256": "0d7fhsinm2cj8vd7s54x5r6jhq3l36b7x6s8cy5r6y005h8kac50"
+ }
+ },
{
"ename": "org-agenda-property",
"commit": "1e6aed365c42987d64d0cd9a8a6178339b1b39e8",
@@ -84903,26 +85767,26 @@
"repo": "rksm/org-ai",
"unstable": {
"version": [
- 20230910,
- 1314
+ 20240103,
+ 235
],
"deps": [
"websocket"
],
- "commit": "170c0496898ddbe30ac47904cc2587c00d3e3366",
- "sha256": "014icfsgjr5rhjn0ns3a2dnqaa64cf34cb115rff61952ifjibim"
+ "commit": "329bebaf90f013a54449806e6e65f2fab04cee1f",
+ "sha256": "104w41syib8dyysf4k551yrrw0s3cfn1w9dk9336zf2cz9n33svx"
},
"stable": {
"version": [
0,
4,
- 1
+ 3
],
"deps": [
"websocket"
],
- "commit": "785db5f009244814efa81afc803cce30b43d5546",
- "sha256": "180zmnayw6k8g8wdklv16wrbj0d92ywndf7agb3vbai7s18djx3h"
+ "commit": "329bebaf90f013a54449806e6e65f2fab04cee1f",
+ "sha256": "104w41syib8dyysf4k551yrrw0s3cfn1w9dk9336zf2cz9n33svx"
}
},
{
@@ -84933,15 +85797,15 @@
"repo": "spegoraro/org-alert",
"unstable": {
"version": [
- 20231104,
- 1236
+ 20240122,
+ 1728
],
"deps": [
"alert",
"org"
],
- "commit": "c4bcea435b96db470ac2c173a378354714429739",
- "sha256": "0jvf1dv8z8fl4y4hrv5afgn5s974pyw5swvxf4k3ha2aaarhpn80"
+ "commit": "b4bfd4cead89215cc9a46162234f7a4836da4dad",
+ "sha256": "0l4h5hi37s0b28v2ds8m01li0z80adlnhnvqhd6jszdsxv44fw5f"
},
"stable": {
"version": [
@@ -84989,30 +85853,30 @@
"repo": "eyeinsky/org-anki",
"unstable": {
"version": [
- 20230804,
- 1244
+ 20240105,
+ 1423
],
"deps": [
"dash",
"promise",
"request"
],
- "commit": "1bc1bc1a6f06d0d9fe3ac3b8a86e061b80a32462",
- "sha256": "1jh11mbjswfzqhx7vff0lq07ggdfv8yjzqapkkbj8zfvp8p3v406"
+ "commit": "e842ab4ed817b95e98a3cb6fa39b5ffd3488c342",
+ "sha256": "1y1ik3c2cs8zgvrdd5655lyxm3ld17r5nh152dv1ah5agy54k6rf"
},
"stable": {
"version": [
3,
- 1,
- 2
+ 2,
+ 4
],
"deps": [
"dash",
"promise",
"request"
],
- "commit": "1bc1bc1a6f06d0d9fe3ac3b8a86e061b80a32462",
- "sha256": "1jh11mbjswfzqhx7vff0lq07ggdfv8yjzqapkkbj8zfvp8p3v406"
+ "commit": "e842ab4ed817b95e98a3cb6fa39b5ffd3488c342",
+ "sha256": "1y1ik3c2cs8zgvrdd5655lyxm3ld17r5nh152dv1ah5agy54k6rf"
}
},
{
@@ -85023,14 +85887,14 @@
"repo": "awth13/org-appear",
"unstable": {
"version": [
- 20220617,
- 2355
+ 20231127,
+ 1052
],
"deps": [
"org"
],
- "commit": "60ba267c5da336e75e603f8c7ab3f44e6f4e4dac",
- "sha256": "03ph0mg9ny8gc8rl1r87ghs3c25wdix5yv70p0854xhkmp5fz5m5"
+ "commit": "81eba5d7a5b74cdb1bad091d85667e836f16b997",
+ "sha256": "1jh2rdp7rx1hnsfky5di1amz8rc5jf0qlc5ykr09m5f9fpz9m9x6"
},
"stable": {
"version": [
@@ -85278,19 +86142,20 @@
"repo": "alphapapa/org-bookmark-heading",
"unstable": {
"version": [
- 20230517,
- 1141
+ 20231216,
+ 1234
],
- "commit": "4e97fab8cf0307fc338df50efac103ed966c7914",
- "sha256": "1fkwzwyim0icnfh5gbrahx50m7zmcw42g407064p87gazd1rir6c"
+ "commit": "ed8b7fe2a08b06a1d750d1e1230e6728815e0bcd",
+ "sha256": "19fayjglgr3vk365a2f0c2mdnww30cxpzmi1sl2p2n3mic21av37"
},
"stable": {
"version": [
1,
- 3
+ 3,
+ 1
],
- "commit": "4e97fab8cf0307fc338df50efac103ed966c7914",
- "sha256": "1fkwzwyim0icnfh5gbrahx50m7zmcw42g407064p87gazd1rir6c"
+ "commit": "3e95313837898ec8660c7a8b0f601ec7edda7bfc",
+ "sha256": "0dixym930mxsl954rbjay2wk4p0r5d90jgz2cyv5hs3pa40msgdl"
}
},
{
@@ -85421,14 +86286,14 @@
"repo": "dengste/org-caldav",
"unstable": {
"version": [
- 20230928,
- 1922
+ 20240221,
+ 704
],
"deps": [
"org"
],
- "commit": "8610bd4a1c5726d85d999c9abab2b2d6044ab895",
- "sha256": "1pixliwsxgybvyhjmjspxhyynrzvb1x9ms9wpdnlq2zsahh2x3v5"
+ "commit": "9a3062cea3d1081450abbbef6a0d2b83014f31fb",
+ "sha256": "09vhpbbyxnip0rb3467an227w9xacg6amcfv75bqlyyj7c8lh675"
}
},
{
@@ -85517,14 +86382,86 @@
"repo": "Chobbes/org-chef",
"unstable": {
"version": [
- 20230814,
- 1312
+ 20231127,
+ 1601
],
"deps": [
"org"
],
- "commit": "66d434b52a306222fe7e13ce4c0cec4a0408fbac",
- "sha256": "1ccd6y5323mjsfga79lii4kx3lg183g8vj796yz076ggbfi4nm6s"
+ "commit": "1710b54441ed744dcdfb125d08fb88cfaf452f10",
+ "sha256": "0adkfcci8scgv8d9a3f9sa3wfb2c03xp3znsd1lfa6g881xmq8d8"
+ }
+ },
+ {
+ "ename": "org-cite-overlay",
+ "commit": "671bcd3d460e023f2c522956b01307ab24f6d13a",
+ "sha256": "03w58307i7qpa9ha4j460ql6svvlkyjx9i8wmh2y2y96x17ybpss",
+ "fetcher": "sourcehut",
+ "repo": "swflint/org-cite-overlay",
+ "unstable": {
+ "version": [
+ 20240207,
+ 1611
+ ],
+ "deps": [
+ "citeproc"
+ ],
+ "commit": "cb401787b4569f43815cac55be8a319c489de3de",
+ "sha256": "1f4n67hlfaaxz12cyjj759kjkr1vxh8dfbaq987c5dzh5afjjzsj"
+ }
+ },
+ {
+ "ename": "org-cite-overlay-sidecar",
+ "commit": "26b6a1ab7170cbc581cc37b42769470c4526d8a7",
+ "sha256": "0b53qksn8h0qajb9b8jkgyrs7f90h01vsyqcsv99hj69lbirch8b",
+ "fetcher": "sourcehut",
+ "repo": "swflint/org-cite-overlay",
+ "unstable": {
+ "version": [
+ 20240213,
+ 1802
+ ],
+ "deps": [
+ "citeproc",
+ "org-cite-overlay",
+ "universal-sidecar",
+ "universal-sidecar-citeproc"
+ ],
+ "commit": "bb23142f5d0d390196839fa9b3ce27ce4d149b59",
+ "sha256": "1vjmygl9gm1syikf06iarri1yb8hrp3zvk0c9sm46h2wj476v4dy"
+ }
+ },
+ {
+ "ename": "org-cite-sidecar",
+ "commit": "78a825b6589e3d1264a2134c11fdf836ea75e348",
+ "sha256": "0ifdlfk6zqv6ajl0hsc0vhll5pf496n7za65vnvpfrmbkz1g9j6y",
+ "fetcher": "sourcehut",
+ "repo": "swflint/emacs-universal-sidecar",
+ "unstable": {
+ "version": [
+ 20240218,
+ 1917
+ ],
+ "deps": [
+ "citeproc",
+ "universal-sidecar",
+ "universal-sidecar-citeproc"
+ ],
+ "commit": "94e349534e049c9bcf08eb70ef4ebfc9b9f80d0d",
+ "sha256": "0yh682yv8236458070v56g7p1c7ana87whyp9kz1k7nwjr6z9vil"
+ },
+ "stable": {
+ "version": [
+ 1,
+ 5,
+ 2
+ ],
+ "deps": [
+ "citeproc",
+ "universal-sidecar"
+ ],
+ "commit": "9050eaea7946e613a4b9ecd9dd1462614699edb1",
+ "sha256": "169n0d4hsx9azch1w14wlmilm411hb7v0564xrcwww5w145jrs9m"
}
},
{
@@ -85676,14 +86613,14 @@
"url": "https://repo.or.cz/org-contacts.git",
"unstable": {
"version": [
- 20230721,
- 151
+ 20240220,
+ 536
],
"deps": [
"org"
],
- "commit": "7f03eafaad2e5746949c0bebb98353e939c51ade",
- "sha256": "1s29h8chfskls13jb13j56cvzfyc4xgg4ckv97a6wy902lw83z8b"
+ "commit": "fe2ca7d35c439f64742234beba35ed4a3e196f70",
+ "sha256": "0jd12dcj3rirkgnmfz69pdijwwihdlv9441zb0yvrz4vi4z2n9ac"
}
},
{
@@ -85877,6 +86814,24 @@
"sha256": "0cxccxz17pj67wgmyxr74n381mknqgqkyav3jkxs4ghg59g5nygl"
}
},
+ {
+ "ename": "org-drawio",
+ "commit": "a92d65756e6dc1f4d6a8898e2330aa89672fc34e",
+ "sha256": "1kyn9lfkqbywzdc6y2brkj55jcc8h7j43gb8ka77kr6zxdxqxh1k",
+ "fetcher": "github",
+ "repo": "kimim/org-drawio",
+ "unstable": {
+ "version": [
+ 20240213,
+ 38
+ ],
+ "deps": [
+ "org"
+ ],
+ "commit": "6b25d0ecf7de364da96c96da30a995df8a4cb835",
+ "sha256": "0ywxhryabqfrlakrnksa9p95vgm5mf2xdw8lpxmgznghdxcgdnk2"
+ }
+ },
{
"ename": "org-drill",
"commit": "c26e11c52c8bb5c88df348cc15df6e0386bf8e1b",
@@ -86107,6 +87062,30 @@
"sha256": "0aff2a65crc2w9gsah9wv2i28ryx1dvzxkcfhwj18psnanba90xi"
}
},
+ {
+ "ename": "org-epa-gpg",
+ "commit": "86a86906a953bcd33c7d0cdc8c4f97f29aaa1389",
+ "sha256": "1hnxcyrpzdss0byhczwm6wbm4bnaxrv2vvxv33pkml6nq30sz3yk",
+ "fetcher": "github",
+ "repo": "KeyWeeUsr/org-epa-gpg",
+ "unstable": {
+ "version": [
+ 20240208,
+ 20
+ ],
+ "commit": "817b8e732c72bacddd8574f2c8f64fb26a1cfcc9",
+ "sha256": "1mpl10np6smap70icw1hyrayfy0sbmz5zmgvaf74zvyf3g3y0f3l"
+ },
+ "stable": {
+ "version": [
+ 2,
+ 1,
+ 0
+ ],
+ "commit": "47357e0dcfb8860d5d5aa9f22c12e547a0eb50e9",
+ "sha256": "0g4v73mvc743a0pdhyk72bjia4n3n7v49jw2bmpp12m0pqvznjrx"
+ }
+ },
{
"ename": "org-evil",
"commit": "17a4772d409aa5dbda5fb84d86c237fd2653c70b",
@@ -86334,8 +87313,8 @@
"repo": "Trevoke/org-gtd.el",
"unstable": {
"version": [
- 20230718,
- 54
+ 20231224,
+ 1639
],
"deps": [
"f",
@@ -86344,8 +87323,8 @@
"org-edna",
"transient"
],
- "commit": "4f50c27d1afe90cf764cd10cecf91a085694c8bd",
- "sha256": "1i6m7fzrjmd33hhcnjcqw0h8acjijndgy3qb9yz3gfybpv6nhzfl"
+ "commit": "f82eb971db0008b773a57c207120751f913bde6b",
+ "sha256": "0ffwc6zv0y3kwj4a3nzd2dj7jq51lck3kqzgl0il54hyg9fpm7ll"
},
"stable": {
"version": [
@@ -86372,11 +87351,11 @@
"repo": "ml729/org-habit-stats",
"unstable": {
"version": [
- 20230412,
- 515
+ 20240208,
+ 323
],
- "commit": "9cb389f1c409d1f7aaea28378b4d7c7e975aefd4",
- "sha256": "182xj29gsdl726rj1npgwyi7jycd2vzllm16nl111h0h8zkdcq26"
+ "commit": "d500f3a1b269b26097dd2f4cd414c3cb7c68ca23",
+ "sha256": "0ms7bi4hb13z8srz0a8zfx258846y227dvs884jbxycrgnxzxsi0"
}
},
{
@@ -86507,30 +87486,30 @@
"repo": "marcIhm/org-index",
"unstable": {
"version": [
- 20230830,
- 1535
+ 20240202,
+ 1157
],
"deps": [
"dash",
"org",
"s"
],
- "commit": "e7e77bc33a1bf50a337f74bf36f4b9912ce5a6f9",
- "sha256": "0v105rfbh4v9dwf8493lcdz34zp3kgmsjf3k8sxfkk9jn10jj6mf"
+ "commit": "dca10e5e90a06c20515208f2d9f3a90455507fa6",
+ "sha256": "03y4kzn7v0cnp4mqzxmgbzcyyzp1nr70p6fv15725536vxj956fm"
},
"stable": {
"version": [
7,
4,
- 4
+ 5
],
"deps": [
"dash",
"org",
"s"
],
- "commit": "d919b9e2ef8c0f814455b82ffea080e872b89c72",
- "sha256": "0191fph92aizhvydx3viswqmq6b2cc7x5wwnwifkgmw05lra5z6s"
+ "commit": "dca10e5e90a06c20515208f2d9f3a90455507fa6",
+ "sha256": "03y4kzn7v0cnp4mqzxmgbzcyyzp1nr70p6fv15725536vxj956fm"
}
},
{
@@ -86619,16 +87598,16 @@
"repo": "beacoder/org-ivy-search",
"unstable": {
"version": [
- 20230222,
- 514
+ 20231213,
+ 857
],
"deps": [
"beacon",
"ivy",
"org"
],
- "commit": "7f2afd8c196e3723ae6ac4dd229367ece9acd3bf",
- "sha256": "1k3l2zhwmnbxbslxrp07zsvg5xrzawiklskw90bpfvwakrbnsh88"
+ "commit": "aeff31203c4c407d3f921124b6798ffd04772a11",
+ "sha256": "0rdbka4c0vfnpcvy1s08pk7qk4g9q651wlfhqqv5fmzm7gm9ylrq"
}
},
{
@@ -86639,14 +87618,26 @@
"repo": "hperrey/org-jami-bot",
"unstable": {
"version": [
- 20230605,
- 950
+ 20240203,
+ 1016
],
"deps": [
"jami-bot"
],
- "commit": "6d80549e9aaacd04cff410b22e7f265373f4d73b",
- "sha256": "037i664c99f05q03yvdz16jk2agdh7gr83n8ffp8kqlxx2ri0v9p"
+ "commit": "020b03f299dad438f65d7bcbf93553b273fd7c33",
+ "sha256": "0fj166qawhnjbc14237fj8ph4f4xdjka7p8r2gxkfq6h0z101nr2"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 0,
+ 4
+ ],
+ "deps": [
+ "jami-bot"
+ ],
+ "commit": "a9ac8f6628978d60d8171fff45997c3e25dd35b5",
+ "sha256": "0blwbdclxg9sv6jg0ny69q9821isbj2k6lc3lisqh8kxf0pn53si"
}
},
{
@@ -86691,14 +87682,14 @@
"repo": "bastibe/org-journal",
"unstable": {
"version": [
- 20231022,
- 829
+ 20240218,
+ 1645
],
"deps": [
"org"
],
- "commit": "a306f76ee2b0292946a20530bd9114aefc85a263",
- "sha256": "0bym8v8hwwhshk65hpfg7dnyzyym0g0hz6h692jpiqrp0mcvnilc"
+ "commit": "859dc19168dc6b10eba3843f24980a7db79f6869",
+ "sha256": "0flp1nl4pb30g4r86xj627wavv9pdqqi5rjwwr3734z5x870srf1"
},
"stable": {
"version": [
@@ -86736,16 +87727,16 @@
"repo": "SqrtMinusOne/org-journal-tags",
"unstable": {
"version": [
- 20230704,
- 2026
+ 20240101,
+ 4
],
"deps": [
"magit-section",
"org-journal",
"transient"
],
- "commit": "f6433d0810f87a80847f4f3674db4ac89a59c69c",
- "sha256": "1x9pa6n67sba8wjgcysg5w4fkszr9h3wyj0a8w3zx3icfs675r6a"
+ "commit": "a68e40a8473ff18bef58a171245a9cdef6eee622",
+ "sha256": "1ks7zzvv45i9pblnd5w3845xzg18sn85a5w50gvflrx71dn3z8xs"
},
"stable": {
"version": [
@@ -86844,15 +87835,15 @@
"url": "https://repo.or.cz/org-link-beautify.git",
"unstable": {
"version": [
- 20231030,
- 1400
+ 20240223,
+ 1448
],
"deps": [
"fb2-reader",
"nerd-icons"
],
- "commit": "670bd54601cdcc129016bbbe6334f3277e88590b",
- "sha256": "1lgys9d8qvw7jry50qfh1xq5znp23n8yi1rz6w7zq57b40na1rck"
+ "commit": "988f4b19f85340c6a7ba2a8c2c928833843957bc",
+ "sha256": "1b5dgjlg4w4x2b9j6zr1m0swxvngw0bn7n9wfwxq76vd6s52lr1m"
}
},
{
@@ -86964,16 +87955,17 @@
"repo": "alphapapa/org-make-toc",
"unstable": {
"version": [
- 20231025,
- 2326
+ 20231206,
+ 101
],
"deps": [
+ "compat",
"dash",
"org",
"s"
],
- "commit": "df29826107ad12fd1d5f173a9a8e070b84f21a68",
- "sha256": "1bsn8z7nc2qngjdkd7sq14f53i8pgjchka1s3l6cqxbjv9gvm0q5"
+ "commit": "412f211e8477c37f2e775e02341c43d42fe92bb9",
+ "sha256": "1f6l4g6dl4r9ylmrsnr2wja8bdr3635j8mlpih0lx5d8h5l11s8k"
},
"stable": {
"version": [
@@ -86997,11 +87989,11 @@
"repo": "org-mime/org-mime",
"unstable": {
"version": [
- 20230321,
- 2341
+ 20240129,
+ 2327
],
- "commit": "d368bd4119bfcf2997a6a23bbf5f41e043164d29",
- "sha256": "04vdppy4phdpc03l2z1pz49gczb4rwazd4yvin4qnq7v8v4ia018"
+ "commit": "9d4584651d89806b79d5993b286d32d6f70499a9",
+ "sha256": "0zvzb6gbls3zv0qkm5x1vjx0mp4fpg0qy049lq35gqvdw1haxlsp"
},
"stable": {
"version": [
@@ -87092,25 +88084,25 @@
"repo": "minad/org-modern",
"unstable": {
"version": [
- 20230905,
- 806
+ 20240102,
+ 329
],
"deps": [
"compat"
],
- "commit": "afa7d44282d62dbba84afec2a1a6c2a3ee41e7b9",
- "sha256": "09jdsh0x5v9sd8sj0f1dcn69rixmlj3w1znflk6gjy249y1l0mb4"
+ "commit": "5f056aad428a0e4207012a0efa447aacb74c75b9",
+ "sha256": "1h3j58csbrzvz6fkabp97yrv0gpjrv7c6idlxplfb7qibjhg2lvp"
},
"stable": {
"version": [
- 0,
- 10
+ 1,
+ 1
],
"deps": [
"compat"
],
- "commit": "63372bda43a9d0dd9940c1ec3c53f752b642ac41",
- "sha256": "0fpc6pf1chjs9bb4m9hzacny3cdxvnpvwsf0vrbbz3vy9sf1a30c"
+ "commit": "d812a192f040a9e7785a53f144de1800d52b9f0d",
+ "sha256": "1nanv3rnrjldr2gd55hn2w8j4zcm6b9jq1qica3m7iyq187ygbdg"
}
},
{
@@ -87152,14 +88144,11 @@
"repo": "bpanthi977/org-mpv-notes",
"unstable": {
"version": [
- 20230907,
- 530
+ 20231210,
+ 628
],
- "deps": [
- "mpv"
- ],
- "commit": "072cecf7097829c463fc38f4bb5026b2311036b6",
- "sha256": "09siywwlks32rzr95aigb7lmhiq4b39jcbb5b16wik9z9vzjiq30"
+ "commit": "01d6d52a1f964e7bb61f0f65ac4fb2ccfa986f34",
+ "sha256": "1g33yhk0fa4wm4r5xzxasz4cd45anba9pks3cjl2zzdy8yf9xib2"
}
},
{
@@ -87194,14 +88183,14 @@
"repo": "jeremy-compostella/org-msg",
"unstable": {
"version": [
- 20230530,
- 2006
+ 20240116,
+ 2218
],
"deps": [
"htmlize"
],
- "commit": "055de4abf611c5d5e12c770fe149c1861b402817",
- "sha256": "045x922wag8wqa0hsvcira3wiycjvvxbfgawny379x2bwixikpf0"
+ "commit": "0b65f0f77a7a71881ddfce19a8cdc60465bda057",
+ "sha256": "0gv864k31fg2cf0ldl9js9f82h21pa6kjzvxn4kgnnbgpnwzin82"
}
},
{
@@ -87267,28 +88256,58 @@
"repo": "Zweihander-Main/org-newtab",
"unstable": {
"version": [
- 20231007,
- 2103
+ 20240222,
+ 413
],
"deps": [
"async",
"websocket"
],
- "commit": "2b1f057f67838c9ea58292afa8bedb7f9eadd9e9",
- "sha256": "0acv1f0f3hb1c7grd7gfblj7xv8b8hi4c8s6d841h6jgd5x1lwcl"
+ "commit": "892a35cd853e8a83fc9b7645e7bb7c25838d220a",
+ "sha256": "07vf1lsh75jh9x483agrk69mbja7v0qyi1wi2q5xj3p3hi89j0iq"
},
"stable": {
"version": [
0,
- 0,
- 1
+ 1,
+ 0
],
"deps": [
"async",
"websocket"
],
- "commit": "2b1f057f67838c9ea58292afa8bedb7f9eadd9e9",
- "sha256": "0acv1f0f3hb1c7grd7gfblj7xv8b8hi4c8s6d841h6jgd5x1lwcl"
+ "commit": "892a35cd853e8a83fc9b7645e7bb7c25838d220a",
+ "sha256": "07vf1lsh75jh9x483agrk69mbja7v0qyi1wi2q5xj3p3hi89j0iq"
+ }
+ },
+ {
+ "ename": "org-nix-shell",
+ "commit": "0ec18d369e023382fb9e3a43556678f4d94fd014",
+ "sha256": "1fycjf0vfaxhzkc3n37j6l93pjpqc5c5zbwsnbl2xaab5racq56h",
+ "fetcher": "github",
+ "repo": "AntonHakansson/org-nix-shell",
+ "unstable": {
+ "version": [
+ 20240205,
+ 1642
+ ],
+ "deps": [
+ "org"
+ ],
+ "commit": "d9843aa0f62a39b9938a89388e25129ecb39a4cc",
+ "sha256": "1ci8galz7cc8y2fdq1nl1wbpbid2ixvz77744yxxk43977gbpn1c"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 3,
+ 2
+ ],
+ "deps": [
+ "org"
+ ],
+ "commit": "d9843aa0f62a39b9938a89388e25129ecb39a4cc",
+ "sha256": "1ci8galz7cc8y2fdq1nl1wbpbid2ixvz77744yxxk43977gbpn1c"
}
},
{
@@ -87318,15 +88337,15 @@
"repo": "org-noter/org-noter",
"unstable": {
"version": [
- 20231104,
- 2212
+ 20240207,
+ 2329
],
"deps": [
"cl-lib",
"org"
],
- "commit": "a4296d8338d46b5c863d3d339b50e201172f218c",
- "sha256": "1y1qsl6444jxqcs0c3aigr9qk6m3a8kjynmn31zk64nlgnybsndc"
+ "commit": "9e4f57957b8f54db20a4e13bf8d6b32e004ab3e8",
+ "sha256": "13i6xq1rq2dz9c41h97c69fziqvvjjw8nzh45qc35fyc53zqdphl"
}
},
{
@@ -87817,10 +88836,11 @@
"repo": "alphapapa/org-ql",
"unstable": {
"version": [
- 20231106,
- 2230
+ 20240222,
+ 200
],
"deps": [
+ "compat",
"dash",
"f",
"map",
@@ -87832,16 +88852,17 @@
"transient",
"ts"
],
- "commit": "4f62ba3bd6d639b021ee9f159357b2a80d7a2f92",
- "sha256": "1b4qq5y296v7kx458pj4f1ikx1a6xsg6zndnrjzjbdmkgazpaz5m"
+ "commit": "e41fe9018a4699532ec875bedddc9746f8e362aa",
+ "sha256": "1rvnxlnpb6yk06frdfs1szz98823hqjmi646kwb00b39qhyynzfg"
},
"stable": {
"version": [
0,
- 7,
- 3
+ 8,
+ 5
],
"deps": [
+ "compat",
"dash",
"f",
"map",
@@ -87853,8 +88874,8 @@
"transient",
"ts"
],
- "commit": "28c4215704031e05190c17932b5e683bb462d9e5",
- "sha256": "1jdkk837z8fw2dff5v8fh2dhx7rz348sf5jqpj2aja5ji48p0fs9"
+ "commit": "bfff0b5517d55d01bf12de27e10a73c9a077767b",
+ "sha256": "1wnvrjx9r9f1ykfdafj9pz1b0n669bqmixb5q35kmahyjis8rswq"
}
},
{
@@ -87948,28 +88969,28 @@
"repo": "oer/org-re-reveal",
"unstable": {
"version": [
- 20230925,
- 1309
+ 20240103,
+ 847
],
"deps": [
"htmlize",
"org"
],
- "commit": "d5c40e2c05ef9b45dc28e7dad2b50330b51dc515",
- "sha256": "1ss71iy1xnhr3p4mmfbnbgvp7kjqxpqag49f851wgmmwwg8gajvd"
+ "commit": "7c39d15b841c7a8d197a24c89e5fef5d54e271aa",
+ "sha256": "0ayiaqci969d8q3nc0aq0a9djl84lckj2sc5nndbb1bvvhz49xq1"
},
"stable": {
"version": [
3,
- 23,
- 0
+ 25,
+ 1
],
"deps": [
"htmlize",
"org"
],
- "commit": "d5c40e2c05ef9b45dc28e7dad2b50330b51dc515",
- "sha256": "1ss71iy1xnhr3p4mmfbnbgvp7kjqxpqag49f851wgmmwwg8gajvd"
+ "commit": "7c39d15b841c7a8d197a24c89e5fef5d54e271aa",
+ "sha256": "0ayiaqci969d8q3nc0aq0a9djl84lckj2sc5nndbb1bvvhz49xq1"
}
},
{
@@ -88127,8 +89148,8 @@
"repo": "jkitchin/org-ref",
"unstable": {
"version": [
- 20231101,
- 2355
+ 20240220,
+ 1951
],
"deps": [
"avy",
@@ -88141,10 +89162,11 @@
"org",
"ox-pandoc",
"parsebib",
+ "request",
"s"
],
- "commit": "195b8d3209aff956ecdd755422700e8517a34d11",
- "sha256": "1bd6g33brn77x67iad02ncjh841q8lcfhqgynapvfxwf1k24c1y4"
+ "commit": "fc6a933b64e127596d0dd61227f287ba545f6317",
+ "sha256": "0xiaa89y88dy3mw16zbnwgilx173nh3zpkrjrxinvl001qdvcbda"
},
"stable": {
"version": [
@@ -88267,11 +89289,11 @@
"repo": "unhammer/org-rich-yank",
"unstable": {
"version": [
- 20230605,
- 824
+ 20240201,
+ 921
],
- "commit": "9d840c04cba45d245d0dbb4147d6a2b865f45cb5",
- "sha256": "152ajjjhzm578flxbxwxmaf24y2mdvlwh7pby6sxxcg5gvs9g50j"
+ "commit": "b623daf5c5a600d00057ac6351bad6ec8a1efc09",
+ "sha256": "10iwzl85yvkxfhf0l7shpb91rlkf41ha20sa99n2bsjxwyf9f8kn"
},
"stable": {
"version": [
@@ -88291,8 +89313,8 @@
"repo": "org-roam/org-roam",
"unstable": {
"version": [
- 20230307,
- 1721
+ 20240114,
+ 1941
],
"deps": [
"dash",
@@ -88300,8 +89322,8 @@
"magit-section",
"org"
],
- "commit": "5c06471c3a11348342719fd9011486455adeb701",
- "sha256": "1bd52f3pdj5hq0vr5y53g870s35ir3n5ms2d9y6q74xhbi1lj871"
+ "commit": "2e94f55cc58f6dce2772a6f33521eb5afcf67265",
+ "sha256": "0hhq2qfdhp2nlnz3ldx9y36s95n6nmywmbfjrqgn2viwzap23xgn"
},
"stable": {
"version": [
@@ -88360,8 +89382,8 @@
"repo": "ahmed-shariff/org-roam-ql",
"unstable": {
"version": [
- 20231105,
- 2028
+ 20240212,
+ 202
],
"deps": [
"magit-section",
@@ -88370,8 +89392,8 @@
"s",
"transient"
],
- "commit": "6c8b03638fd9f423104093fb2e4128435528a72e",
- "sha256": "120jin5lzjg0mcj9bp197hxhwy2ghycsk00zlax1ymi3pmlcrsmy"
+ "commit": "9f5f1234a69b1df9d65ab947a003060fb5a28b60",
+ "sha256": "0jmdjf1mhbgnfncsgh3qiwss3hyn3yydc7gd0g0d42a8ac24svbh"
},
"stable": {
"version": [
@@ -88590,11 +89612,11 @@
"repo": "localauthor/org-side-tree",
"unstable": {
"version": [
- 20231003,
- 2014
+ 20240130,
+ 656
],
- "commit": "1a7b9629023e41d11fb13de395e78084b374a1a6",
- "sha256": "1mhl5iv0i2kfzvfq8klz23s7mi1y1ysi8j63mg1lp0kz974vd7kg"
+ "commit": "62169a26edfafacd681ecaf84289dd6b58be6c32",
+ "sha256": "1cjypg5fvvdd8flcbi4jyaql2bpd5xq283lxhgp9kr51x2ibzdnb"
}
},
{
@@ -88605,35 +89627,34 @@
"repo": "alphapapa/org-sidebar",
"unstable": {
"version": [
- 20210912,
- 1321
+ 20240102,
+ 9
],
"deps": [
+ "compat",
"dash",
"org",
"org-ql",
"org-super-agenda",
"s"
],
- "commit": "288703b897449f5110c9c76e78eb9a928ffc0dcd",
- "sha256": "0ama42nkc90mzwik516kfsh5rdx47yhaarcsqsknxh7xcrm2v0r1"
+ "commit": "1e06d1b4ab5f0d09301712cdecb757c9437a7179",
+ "sha256": "0i8w2sbz4hmvz587a45xi3a1rnnyinpj8g7vwskki35qk76h3n3v"
},
"stable": {
"version": [
0,
- 3,
- 2
+ 4
],
"deps": [
"dash",
- "dash-functional",
"org",
"org-ql",
"org-super-agenda",
"s"
],
- "commit": "cb14edce5c99f199f28fc611d805ae9fa0d0307e",
- "sha256": "1r8kp954ciin4j7j7j8ihdkryf5x09s3ryl7bi9h2j6xqc1ij4wq"
+ "commit": "324987d71b0f2842ac7cae74e534b490603cce67",
+ "sha256": "1gccih9wgi31m59flljw4cphfyhlfcqbjih91gkcnldq5z7n83nj"
}
},
{
@@ -88803,11 +89824,11 @@
"repo": "bastibe/org-static-blog",
"unstable": {
"version": [
- 20231006,
- 1534
+ 20240124,
+ 1550
],
- "commit": "8ef0d792d5afbca1d6ffc6469d829e15a8406b93",
- "sha256": "0m8jw2w3hy0jxmyiw38jajfd6a3c3hxyrp8as4zcxcjdf1jxy9yy"
+ "commit": "6459a46bd40abbfe21095504d229db0f66494e86",
+ "sha256": "061xnx36j1bapq60vsvi47a1619ndg0kg0yvfqm9d8g4a1mvay1p"
},
"stable": {
"version": [
@@ -88856,8 +89877,8 @@
"repo": "alphapapa/org-super-agenda",
"unstable": {
"version": [
- 20230924,
- 5
+ 20231121,
+ 344
],
"deps": [
"compat",
@@ -88867,8 +89888,8 @@
"s",
"ts"
],
- "commit": "51c0022e4a194ba050c278b442f3592147a5607d",
- "sha256": "0dr37f5dg5rjxs9k424582hxp7cd936f23biczwmi67q0sqffmjm"
+ "commit": "ee3379ae92b90c084717fb2a7614060ce12283cb",
+ "sha256": "1z8pwi03shgjcy7a31h758a25ikar0b3f8lscil4w9kii2v9222d"
},
"stable": {
"version": [
@@ -89045,14 +90066,14 @@
"url": "https://repo.or.cz/org-tag-beautify.git",
"unstable": {
"version": [
- 20231106,
- 43
+ 20240216,
+ 939
],
"deps": [
"nerd-icons"
],
- "commit": "81bcb84e39587d99b3946e9b32f962975787f49e",
- "sha256": "0wnd4qyfibcjf5m7gq54jskfij2b77z4qzvl98pkbdy9gxlqgwbi"
+ "commit": "6ec9f65e98df1e7f8a55c98bd2a3c0433fbfc5db",
+ "sha256": "15glg771jh3xp1fyyyvrqbxfv7vxy91vg54cg204h04gl3z1bwdd"
}
},
{
@@ -89174,14 +90195,14 @@
"repo": "jxq0/org-tidy",
"unstable": {
"version": [
- 20231011,
- 37
+ 20240110,
+ 114
],
"deps": [
"dash"
],
- "commit": "789795a032b121825938e74e4bcbdede31de864f",
- "sha256": "0zc1wy2qq4dgii7zjjk2vgp8d3qp1dyqrpwvdva5zcnailf15cwf"
+ "commit": "26d9636f0c43f1ee3f0528880111a4c1dd2bed32",
+ "sha256": "195wl2y38g76n0kcp47inq1jm5v1kxprh99xgp05bfgv6wf4i1hf"
}
},
{
@@ -89224,33 +90245,29 @@
"repo": "ichernyshovvv/org-timeblock",
"unstable": {
"version": [
- 20231112,
- 1748
+ 20240212,
+ 649
],
"deps": [
"compat",
"org",
- "org-ql",
- "persist",
"svg"
],
- "commit": "72a72bad4644f7b6045403e93e99d7a362b03b9c",
- "sha256": "045vrp9d8d6wnmi82vi337w5w7i3cs0jprwiyhkf033bwvvdywwb"
+ "commit": "b423b01712b9c25dff3e4203c7cde736225f62ef",
+ "sha256": "1q0271nli4yw01rwybkzdlqcj8ivqwh5r70yv9x0qqwxa955c9k9"
},
"stable": {
"version": [
0,
- 1
+ 2
],
"deps": [
"compat",
"org",
- "org-ql",
- "persist",
"svg"
],
- "commit": "f8acd4f5c511082633d75a32997dfb3fdc65a32f",
- "sha256": "1gdmlrf87nxc1vsmczj12h9xasifcn82y733f0sidw5qhay8jczg"
+ "commit": "830479285fef28e57d5024c4475a77e7ef1b73a7",
+ "sha256": "1vmxjbp6ngbqp32wd8hjhgnnh4d3xrr1x7d4l6p39j46b4s324hy"
}
},
{
@@ -89541,15 +90558,15 @@
"repo": "unhammer/org-upcoming-modeline",
"unstable": {
"version": [
- 20231019,
- 735
+ 20231124,
+ 1726
],
"deps": [
"org-ql",
"ts"
],
- "commit": "136a6a76d770d351559091c8d9ad5a189f1f2622",
- "sha256": "0p12jg3pwa2r3ilw6ln6x7cxg0kqhrdk27i85dhaw4i84w0019r3"
+ "commit": "37634ddeeda85a0036987b056ac71199ac3bd03e",
+ "sha256": "1p1lphf50c71n0rp5k26kl37a02ahqxklpk1z133bwdmmnqi1ckm"
},
"stable": {
"version": [
@@ -89612,11 +90629,11 @@
"repo": "amno1/org-view-mode",
"unstable": {
"version": [
- 20221208,
- 327
+ 20240218,
+ 1930
],
- "commit": "014f96acb7093ffae93c62aabff750e63c3babc5",
- "sha256": "1m9jv6nssf2nqkav8nx1748wwc8i5338bc2brxlqajcy4dcz3fbg"
+ "commit": "0d48e9c7e81d973f33e97d364e4ea77576d53f46",
+ "sha256": "1nrdq9x0xgv581dddg5crfyy7znzfk9n63x97favr7nqiz5cnnli"
}
},
{
@@ -89666,8 +90683,8 @@
"repo": "alphapapa/org-web-tools",
"unstable": {
"version": [
- 20231029,
- 453
+ 20231220,
+ 1515
],
"deps": [
"compat",
@@ -89678,24 +90695,25 @@
"request",
"s"
],
- "commit": "821e6f032f5823e5e3344ea4d1a36870e236aba1",
- "sha256": "19q79mjlx0iawnlh2imvs0cnqgkj90am1yclnbmvll1cjz0rzdx4"
+ "commit": "7a6498f442fc7f29504745649948635c7165d847",
+ "sha256": "0x1j1y2pl6a8f97cw04nm0w6g4jh449cjfsr2aryn316ms4nj1a0"
},
"stable": {
"version": [
1,
- 2
+ 3
],
"deps": [
"compat",
"dash",
"esxml",
"org",
+ "plz",
"request",
"s"
],
- "commit": "067e6a2dc972f6ffb757c7fd204cd1dcb604edf7",
- "sha256": "1w24d1cxzgjqycqz894kg3707n3ckwpv5cmbywfaffsz1v5i2p3a"
+ "commit": "7a6498f442fc7f29504745649948635c7165d847",
+ "sha256": "0x1j1y2pl6a8f97cw04nm0w6g4jh449cjfsr2aryn316ms4nj1a0"
}
},
{
@@ -89706,16 +90724,16 @@
"repo": "akhramov/org-wild-notifier.el",
"unstable": {
"version": [
- 20230831,
- 2035
+ 20240223,
+ 1211
],
"deps": [
"alert",
"async",
"dash"
],
- "commit": "aa0f2d8ea282dea714ae67f3f5a0471488e6e396",
- "sha256": "00xssqg0mvh5ma2053719bcchbrl7mmj8wzhbrjk34cl4lx9p9fb"
+ "commit": "1b04c4104ad72bbb3ced161ba99e1055ff766138",
+ "sha256": "0d3rmli39sxdjp2rv0xlwjilgf9xsys20j66cb44a58mb5x61y2w"
},
"stable": {
"version": [
@@ -90003,17 +91021,17 @@
},
{
"ename": "organic-green-theme",
- "commit": "9383ef5f0372724b34f4bb9173ef8ccbb773e19e",
- "sha256": "1fdj3dpcdqx0db5q8dlxag6pr2qn4yiz1hmg3c7dkmh51n85ssw2",
- "fetcher": "github",
+ "commit": "add3089ff62ba64bff96523768d18b79653bd491",
+ "sha256": "0z4qi67c0y43p1nq9j3ym6919x9nqkk2wkv3djdxbn3p665xfqhv",
+ "fetcher": "gitlab",
"repo": "kostafey/organic-green-theme",
"unstable": {
"version": [
- 20230121,
- 1815
+ 20231225,
+ 149
],
- "commit": "7ca93a1c42bba1bcced181bd8cc34cb57be39537",
- "sha256": "1fa27522nb3jqswbii9qsi1csjqw5p6a7lsrylhw2vll8w9v4mma"
+ "commit": "9b669465c875edd86289d017731880172dc08b9d",
+ "sha256": "0s0bdghcqddgi3a4cldldgw8rqqsax6smpsk198g5gmfnyaj1yfb"
}
},
{
@@ -90024,8 +91042,8 @@
"repo": "jcs-elpa/organize-imports-java",
"unstable": {
"version": [
- 20220704,
- 657
+ 20240101,
+ 929
],
"deps": [
"dash",
@@ -90033,8 +91051,8 @@
"ht",
"s"
],
- "commit": "5965228882c6b72a9e38ad61a047e599c8488d20",
- "sha256": "0s8lf5ffrrhznbcc4blirch20y775856ffblhm9phinwss6ky1n6"
+ "commit": "93b1d10a82103d38d8cba09d90b9faf219205df3",
+ "sha256": "1jzzd0qndd9rlds451ww9lwjdbfhlayqbhn9rmsivsiv71gncfm7"
},
"stable": {
"version": [
@@ -90092,16 +91110,16 @@
"repo": "magit/orgit",
"unstable": {
"version": [
- 20230917,
- 1001
+ 20240101,
+ 2222
],
"deps": [
"compat",
"magit",
"org"
],
- "commit": "b60efabc4a1b15d7eacaabc5bdfe6f3c20fee161",
- "sha256": "0q1l7kgicsr1dab1dn33h6icpxcjd7wvhpfy1l6gsfaxc5gda3q1"
+ "commit": "84bcb5c318f01b9ffc8d5aa18a7c393fe9c714b2",
+ "sha256": "0apwwjdndhkghax5dnp4v9xh4018i4gngz5bannzvkhp26izaf3y"
},
"stable": {
"version": [
@@ -90126,8 +91144,8 @@
"repo": "magit/orgit-forge",
"unstable": {
"version": [
- 20231009,
- 1937
+ 20240101,
+ 2222
],
"deps": [
"compat",
@@ -90136,8 +91154,8 @@
"org",
"orgit"
],
- "commit": "f595a30aa75af55522b1203cd29198fb9aa3a0a5",
- "sha256": "034shn5x2ar0a2sy90bkxrppada30i0nxsxwpv3sc173i2vppni9"
+ "commit": "f2ff9e5ad68b3e860379a1d368ad6d8a9696b719",
+ "sha256": "1pm03d65jw6jvfypl6syl5z2jl1vn0gp67xwjx7934q1fq4gm0nx"
},
"stable": {
"version": [
@@ -90164,16 +91182,16 @@
"repo": "tarsius/orglink",
"unstable": {
"version": [
- 20230531,
- 1610
+ 20240101,
+ 2222
],
"deps": [
"compat",
"org",
"seq"
],
- "commit": "afbeffdfa15a9fc532bba2e03626b9e82768ba2c",
- "sha256": "0jkk6jiqmsns1pb0almaihyz6c3lim0r6l4x75qp7448p46q2him"
+ "commit": "f62d2804026c10a1da0b7c4a4cb0d647bb2e4829",
+ "sha256": "0id5ms2zjjanih2isdq0043ry1ygj22cci3cpjc6h3si6m4jrj89"
},
"stable": {
"version": [
@@ -90249,6 +91267,30 @@
"sha256": "0764dg3dcsdy4i6syv9aqqmr47civn9dl3638g4lsqdikghw7lvv"
}
},
+ {
+ "ename": "orgnote",
+ "commit": "70241b159170f649f410d73e533f87d947b89646",
+ "sha256": "01mjza6xilkwbqzpfzmhsahxjgyn17ys63vmmrf72f3i2v1017wn",
+ "fetcher": "github",
+ "repo": "Artawower/orgnote.el",
+ "unstable": {
+ "version": [
+ 20231211,
+ 2104
+ ],
+ "commit": "0919f9fd05b83870b8a7073eb94f77199e681241",
+ "sha256": "1p23qas9kdycp0l634a74a6h039ccg6f6maqqdi49kp9mwlsd1p9"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 10,
+ 3
+ ],
+ "commit": "0919f9fd05b83870b8a7073eb94f77199e681241",
+ "sha256": "1p23qas9kdycp0l634a74a6h039ccg6f6maqqdi49kp9mwlsd1p9"
+ }
+ },
{
"ename": "orgstrap",
"commit": "35f53a7b64b1a5c14a6d8b951c809a8696cfcd99",
@@ -90489,25 +91531,25 @@
"repo": "minad/osm",
"unstable": {
"version": [
- 20231014,
- 1337
+ 20240122,
+ 2255
],
"deps": [
"compat"
],
- "commit": "cccaf20de935b265832a6fc5c6a25eceb175d23c",
- "sha256": "0bva1n1ij1xw8wdwhqs1258ihk7lw8f6ppbadw9s1ixq8fqja75l"
+ "commit": "5dffbc00e4acfcddc13677f3b76a65dc3b6aee30",
+ "sha256": "1dr0415xr7a2h4y4zpjkh0hrrcfw4sa55y8y98131h2n5fzhd5sx"
},
"stable": {
"version": [
- 0,
- 14
+ 1,
+ 3
],
"deps": [
"compat"
],
- "commit": "1957cf75127471bce0ebe91b5afdf566d5bec033",
- "sha256": "1y0zkfc27pnhz5hqpapsqin2kc6al1zjgd6cd4nhzqmh49h81bsb"
+ "commit": "5dffbc00e4acfcddc13677f3b76a65dc3b6aee30",
+ "sha256": "1dr0415xr7a2h4y4zpjkh0hrrcfw4sa55y8y98131h2n5fzhd5sx"
}
},
{
@@ -90573,14 +91615,14 @@
"repo": "xuchunyang/osx-dictionary.el",
"unstable": {
"version": [
- 20220801,
- 1542
+ 20240111,
+ 148
],
"deps": [
"cl-lib"
],
- "commit": "0715e5a3ac659df32a0f0fabfbbeef0228fbd9a9",
- "sha256": "0q4swp25bp0q2aqr8d8wszhcwy738m1brbv52r6hj787pg4wjhvl"
+ "commit": "1f5a74f3e5d7f3c443f07433951512cd99e820a6",
+ "sha256": "1nldsxm9m5m8kji9x8c0kjxknbw5y8y865cxbz9vpnxhlx7dj0l5"
},
"stable": {
"version": [
@@ -90679,11 +91721,11 @@
"repo": "DarwinAwardWinner/mac-pseudo-daemon",
"unstable": {
"version": [
- 20200215,
- 513
+ 20211208,
+ 137
],
- "commit": "564b006835facc4a8df247d8a47ab1030d7e7beb",
- "sha256": "1ilqxl1851rg9v6ixi924xhzykc3c34f4nq1x0vyyq5b9m2fpb27"
+ "commit": "b95260ff605f67bce40fce6b3e35811859ce12bb",
+ "sha256": "00l66z1bszaw4v9203ax177frrbzzc099zvkjzhjj3q1rkfqq0bf"
},
"stable": {
"version": [
@@ -90794,14 +91836,14 @@
"repo": "tarsius/outline-minor-faces",
"unstable": {
"version": [
- 20230514,
- 1801
+ 20240101,
+ 2223
],
"deps": [
"compat"
],
- "commit": "57c4a0fac36a26cfa8d1296e76afbcf7a5b87a57",
- "sha256": "1pavzlb48a7062mrv9ldfwh1fl7pbhwy1i4j24lgmynbnv1kk96n"
+ "commit": "77dd2a24f3db3641980cca515fbc4cfafdf03630",
+ "sha256": "1w4n9zwd2ipc8pw6vvxx0xah9zxv0avv075j77k0is5j51iwn635"
},
"stable": {
"version": [
@@ -90980,16 +92022,16 @@
"repo": "tonini/overseer.el",
"unstable": {
"version": [
- 20180226,
- 619
+ 20240109,
+ 800
],
"deps": [
"dash",
"f",
"pkg-info"
],
- "commit": "02d49f582e80e36b4334c9187801c5ecfb027789",
- "sha256": "0q4ai7ividy8xv09s342y49s97ismhfdfsjk70zif60fp0ajfzfn"
+ "commit": "7fdcf1a6fba6b1569a09c1666b4e51bcde266ed9",
+ "sha256": "1f0nm253n0k2rcx0zydj8c4nn5gmvhabzraajxdqycb2ak77nbif"
},
"stable": {
"version": [
@@ -91046,30 +92088,28 @@
"repo": "aki2o/owdriver",
"unstable": {
"version": [
- 20200410,
- 1901
+ 20240211,
+ 457
],
"deps": [
"log4e",
- "smartrep",
"yaxception"
],
- "commit": "3c52a7b11c8275fdb2e4cf98f68f2a48ad09a3ae",
- "sha256": "1lxvcbpzpzs3vkgg4pif3k89ddmj5mamk2q18wc3gx0czs6v301d"
+ "commit": "ae96f3ff7aca560a872c77d40999f1527f7f84eb",
+ "sha256": "0spxxw9mqgicaq3h5qjx0cbi7nw9nzfwy9av3jprplvp5z7m0nva"
},
"stable": {
"version": [
0,
- 2,
+ 4,
0
],
"deps": [
"log4e",
- "smartrep",
"yaxception"
],
- "commit": "3c52a7b11c8275fdb2e4cf98f68f2a48ad09a3ae",
- "sha256": "1lxvcbpzpzs3vkgg4pif3k89ddmj5mamk2q18wc3gx0czs6v301d"
+ "commit": "ae96f3ff7aca560a872c77d40999f1527f7f84eb",
+ "sha256": "0spxxw9mqgicaq3h5qjx0cbi7nw9nzfwy9av3jprplvp5z7m0nva"
}
},
{
@@ -91209,11 +92249,11 @@
"repo": "abrahms/ox-gemini",
"unstable": {
"version": [
- 20230517,
- 1559
+ 20240221,
+ 2127
],
- "commit": "799eb6aa0ee9ead7642836962d28e650eccd0ba3",
- "sha256": "0hy5gizjghgzis5vh56jvf06jgif2azm76021a5az6rl2y7arfmm"
+ "commit": "50818de823b7929f2d3207833e7c581280a60289",
+ "sha256": "1kaf3p6g46rvbiyrkfmpflhdvzz9bdqsq1m02496py92399x3knz"
}
},
{
@@ -91224,11 +92264,11 @@
"repo": "larstvei/ox-gfm",
"unstable": {
"version": [
- 20220910,
- 1321
+ 20231215,
+ 1901
],
- "commit": "46faa67dbb3fb0cd7a76c3fe518f16e4195c22c7",
- "sha256": "0xiqxahxwaicxdi6apmdsrhvlyg63i9zr57l050f339p8x7id367"
+ "commit": "4f774f13d34b3db9ea4ddb0b1edc070b1526ccbb",
+ "sha256": "0hcjxq4ix86kvhk2alc57kkn8i92mxniii20mx0n919zrh97v3vg"
},
"stable": {
"version": [
@@ -91334,10 +92374,10 @@
},
{
"ename": "ox-impress-js",
- "commit": "a3c6e6adb1a63534275f9d3d3d0fe0f5e85c549b",
- "sha256": "1n7g5ykn95565z7kp83pqvm4y8r4p1jmwh0g7pl3kbw48y1521vc",
+ "commit": "ec8cb06e00e79a36f614fb7d041ce1f8af3530ff",
+ "sha256": "116m86af5730k7c2lzxw3cz585n4zj09hcgr0g9r9shbhqd64xl7",
"fetcher": "github",
- "repo": "nullpofy/org-impress-js.el",
+ "repo": "takumikinjo/org-impress-js.el",
"unstable": {
"version": [
20150412,
@@ -91425,28 +92465,28 @@
"repo": "jlumpe/ox-json",
"unstable": {
"version": [
- 20210928,
- 347
+ 20240106,
+ 2116
],
"deps": [
"org",
"s"
],
- "commit": "4d2e0aa7f92d07e16cea2dd5e1d250a3f243c3cf",
- "sha256": "1h5930953nnddg7ysr87m5r6gm517zbfi7jbc77hmrywgibqvpik"
+ "commit": "57a43e3b3e400d219b80008c51373796b844c6b8",
+ "sha256": "12fxflyh92awjwfj5gwp8frrbjc63kj7ajlwbsmzgpnp9rr43fpx"
},
"stable": {
"version": [
0,
- 2,
+ 3,
0
],
"deps": [
"org",
"s"
],
- "commit": "aba3face2786d53380ee29459c04d16c999e72ac",
- "sha256": "1y1l7in0fxlyrbd6fz4ixydc6kihfx42n7yh5glpjxahhbzqg9b3"
+ "commit": "57a43e3b3e400d219b80008c51373796b844c6b8",
+ "sha256": "12fxflyh92awjwfj5gwp8frrbjc63kj7ajlwbsmzgpnp9rr43fpx"
}
},
{
@@ -91599,16 +92639,16 @@
"repo": "emacsorphanage/ox-pandoc",
"unstable": {
"version": [
- 20230627,
- 643
+ 20231222,
+ 1103
],
"deps": [
"dash",
"ht",
"org"
],
- "commit": "0f758517f512e375825679541b5d905be40342eb",
- "sha256": "0snq2mkxw7inkrny5dnqknq2i8kz6cyr73w2fnl46r9zxj59ykz0"
+ "commit": "399d787b6e2124bd782615338b845c3724a47718",
+ "sha256": "0267m5n08w3h0zpniiwp4ngpmxkjwzndl9kg88k0cknkbqhg27ps"
},
"stable": {
"version": [
@@ -91669,14 +92709,14 @@
"repo": "DarkBuffalo/ox-report",
"unstable": {
"version": [
- 20230712,
- 1639
+ 20231220,
+ 1625
],
"deps": [
"org-msg"
],
- "commit": "91e047968c4730dfe10d1e94836e0747de8c7361",
- "sha256": "1fqmkfdgigfjq9f1i80lpdkidafwa5rk5j687j0kkqwaig9lch39"
+ "commit": "36e7f5e6e8cd836bbfcb0e85be01faab21f725fd",
+ "sha256": "0lz6nj42yprddmjd1zhcirg1ila4kvrjirip89nby96zxnswqr72"
},
"stable": {
"version": [
@@ -91930,26 +92970,26 @@
"repo": "ox-tufte/ox-tufte",
"unstable": {
"version": [
- 20231022,
- 2117
+ 20240201,
+ 2132
],
"deps": [
"org"
],
- "commit": "58422fb109f2b2a997f9c773b5436e7b62182e12",
- "sha256": "14i1pliifj5p0i1bgsdgph32ilj7snrh8gnhk59f1f4ngh3kw3zg"
+ "commit": "7bd86582afb7d8d504322dcba9848c478579990b",
+ "sha256": "0pyhbwsqsby52h740jvkrip1d78dkssymiyr31dnqzv3yg7qzj0k"
},
"stable": {
"version": [
- 3,
+ 4,
0,
- 3
+ 4
],
"deps": [
"org"
],
- "commit": "58422fb109f2b2a997f9c773b5436e7b62182e12",
- "sha256": "14i1pliifj5p0i1bgsdgph32ilj7snrh8gnhk59f1f4ngh3kw3zg"
+ "commit": "a94de43da30e2a893b5d033747d79ff63bab743f",
+ "sha256": "15jfwrdawj8flgyfqhsfhdlnam6n5gzw5minnixwxyp69q5vxnpw"
}
},
{
@@ -92098,11 +93138,11 @@
"repo": "phillord/pabbrev",
"unstable": {
"version": [
- 20160320,
- 2101
+ 20240216,
+ 439
],
- "commit": "56400d5d256b42ffe45c229ea9827f026b650cf5",
- "sha256": "09bn19ydyz1hncmvyyh87gczp3lmlczpm352p0107z1gw6xmpjil"
+ "commit": "bf8a498f2ddd268981d43fb71cf98aa2e4be3942",
+ "sha256": "1ilj6zm85hq95xlnx6b7sbzxdrkk5pdbcnd26igmy6z0z3br2kl3"
},
"stable": {
"version": [
@@ -92198,11 +93238,14 @@
"repo": "melpa/package-build",
"unstable": {
"version": [
- 20231002,
- 1121
+ 20240204,
+ 2043
],
- "commit": "03f878a18c15432d084402e6ca5309c3ba51c78e",
- "sha256": "0pf3prlv0l63qgxrkp5cgixykmqwjny4rqwdlqadca6jlg4gb71f"
+ "deps": [
+ "compat"
+ ],
+ "commit": "6ae02eddae6846d17ad6b13f036de35ee7d7f60b",
+ "sha256": "0k2z197f5q9iracmax4mrzw5x06clc8x615l0l9204xmd6bsms2k"
},
"stable": {
"version": [
@@ -92237,16 +93280,14 @@
"repo": "purcell/package-lint",
"unstable": {
"version": [
- 20231113,
- 1518
+ 20240220,
+ 2303
],
"deps": [
- "cl-lib",
- "compat",
"let-alist"
],
- "commit": "dd81a5b9224cc6e6dc4bbb6b4a2928df89c01317",
- "sha256": "1y0h8rrmvi3j5maig6i69kxxr1igb96075vpzbycaqln3xn11g36"
+ "commit": "eeb585eaceeba483d9bc7ab543e7422c82920e35",
+ "sha256": "0m257k7zl1fq56xpwq9pwl3ihahyd4235hmjq4p5k0b0f3bfbgdx"
},
"stable": {
"version": [
@@ -92306,36 +93347,6 @@
"sha256": "0jwchlkij4fiw3c5xfn04frhpc35ax11ch64mv03rkx5dzqkblqc"
}
},
- {
- "ename": "package-safe-delete",
- "commit": "61b961211276bd95655b6a0967eda5037a3d240b",
- "sha256": "12ss5yjhnyxsif4vlbgxamn5jfa0wxkkphffxnv6drhvmpq226jw",
- "fetcher": "github",
- "repo": "Fanael/package-safe-delete",
- "unstable": {
- "version": [
- 20150116,
- 1607
- ],
- "deps": [
- "epl"
- ],
- "commit": "138171e4fc03c0ef05a8260cbb5cd2e114c1c194",
- "sha256": "1pdv6d6bm5jmpgjqf9ycvzasxz1205zdi0zjrmkr33c03azwz7rd"
- },
- "stable": {
- "version": [
- 0,
- 1,
- 7
- ],
- "deps": [
- "epl"
- ],
- "commit": "138171e4fc03c0ef05a8260cbb5cd2e114c1c194",
- "sha256": "1pdv6d6bm5jmpgjqf9ycvzasxz1205zdi0zjrmkr33c03azwz7rd"
- }
- },
{
"ename": "package-utils",
"commit": "a1bb884a0299408daa716eba42cb39f79622766c",
@@ -92463,11 +93474,11 @@
"repo": "purcell/page-break-lines",
"unstable": {
"version": [
- 20230804,
- 658
+ 20240206,
+ 1156
],
- "commit": "c4283f580fa4feeb9abcaebb99709007db0a3159",
- "sha256": "11b9mxxly3jsiha1znprkbfsgcxppjr2a6qza1xb3pysk3k8szha"
+ "commit": "1b85352b0b16328d5c9e6a25baf93da3edaa6512",
+ "sha256": "1899dc4x9n07j98ciqf8rq0sh9791bnsf719b5fc3vqql93x57i5"
},
"stable": {
"version": [
@@ -92614,11 +93625,11 @@
"repo": "sebasmonia/panda",
"unstable": {
"version": [
- 20230930,
- 2224
+ 20240102,
+ 348
],
- "commit": "3c0e3e4e91c5a7e75eacc07e9efa6e6631aa9b90",
- "sha256": "0ws0xjapp3zliba6si2b7fkagpxp5ckb818138wdpx1ai0sxbzgb"
+ "commit": "286785687d4ffe29fd1d95c699d378743d32ac00",
+ "sha256": "1y2scn0h1n4za9cg9yb065xz7yg8hk8y09miil02shii2vhax7d0"
}
},
{
@@ -92745,16 +93756,30 @@
"repo": "atgreen/paperless",
"unstable": {
"version": [
- 20230204,
- 1815
+ 20240130,
+ 1048
],
"deps": [
"cl-lib",
"f",
"s"
],
- "commit": "e524e31350d74f13319968d24b7326a4a412e105",
- "sha256": "1dba7n6ws6f8y5j6qvy8ildjrlz5ahzzpfqcjnvynpycxj54vjs1"
+ "commit": "ef2e7ef5aeaffa997794f5d6e27be6631ba05d34",
+ "sha256": "0956hirbmgdnnxsbhwhsw3zsabgmmi7mjxqp4h2jnd0yi8mmm7dr"
+ },
+ "stable": {
+ "version": [
+ 1,
+ 3,
+ 2
+ ],
+ "deps": [
+ "cl-lib",
+ "f",
+ "s"
+ ],
+ "commit": "ef2e7ef5aeaffa997794f5d6e27be6631ba05d34",
+ "sha256": "0956hirbmgdnnxsbhwhsw3zsabgmmi7mjxqp4h2jnd0yi8mmm7dr"
}
},
{
@@ -92915,14 +93940,14 @@
"repo": "tarsius/paren-face",
"unstable": {
"version": [
- 20230901,
- 1236
+ 20240101,
+ 2224
],
"deps": [
"compat"
],
- "commit": "0755d46be6cd0448fd9cdc1c8143885f6e03e115",
- "sha256": "0lmyxqm6l0ynmyawalqg3x5hlg9g6zqg2l6fpq9bgafbzxdb0xn7"
+ "commit": "d36fab3529aa3e046493ec373f2c080874cc184f",
+ "sha256": "1kliaybdcgfs6wadxggb8r82vdnhfa39aar3f8i9vq6w21ljdr4r"
},
"stable": {
"version": [
@@ -92945,19 +93970,20 @@
"repo": "Fanael/parent-mode",
"unstable": {
"version": [
- 20150824,
- 2300
+ 20240210,
+ 1906
],
- "commit": "db692cf08deff2f0e973e6e86e26662b44813d1b",
- "sha256": "0i5bc7lyyrx6swqlrp9l5x72yzwi53qn6ldrfs99gh08b3yvsnni"
+ "commit": "9fe5363b2a190619641c79b3a40d874d8c8f9f40",
+ "sha256": "1ki22rkbyglr3wppjph6gy23lcx2nwj9w0ff9h3ryd197j4yvvgi"
},
"stable": {
"version": [
2,
- 3
+ 3,
+ 1
],
- "commit": "db692cf08deff2f0e973e6e86e26662b44813d1b",
- "sha256": "0i5bc7lyyrx6swqlrp9l5x72yzwi53qn6ldrfs99gh08b3yvsnni"
+ "commit": "9fe5363b2a190619641c79b3a40d874d8c8f9f40",
+ "sha256": "1ki22rkbyglr3wppjph6gy23lcx2nwj9w0ff9h3ryd197j4yvvgi"
}
},
{
@@ -93031,14 +94057,14 @@
"repo": "jcs-elpa/parse-it",
"unstable": {
"version": [
- 20220704,
- 640
+ 20240101,
+ 946
],
"deps": [
"s"
],
- "commit": "c1b5ce15a5bcb80595f45e2fc3bda828f525859f",
- "sha256": "1f8218m3jmhjhbh98farkvv2cha3b1np58ncfv6zx5xfy050cdm2"
+ "commit": "cdc4386ef8e94ccdeff3700021d4a944034ae559",
+ "sha256": "0nya7x9lhphnall1przkpircai9p5r8vc0jg6msrvr02azv2yky9"
},
"stable": {
"version": [
@@ -93114,20 +94140,20 @@
"repo": "clojure-emacs/parseclj",
"unstable": {
"version": [
- 20230911,
- 1621
+ 20231203,
+ 1905
],
- "commit": "74ff7d63fed92a3c859e474ae85f011e794b751a",
- "sha256": "0aal49w2m979m1m4h1d15hgwx6z020cc1c1s8prd1zn9nzlknlhz"
+ "commit": "6af22372e0fe14df882dd300b22b12ba2d7e00b0",
+ "sha256": "1iz7qbsq4whmb3iqy777jlm47chjp62313hc6nfcp0lfqsanmcmv"
},
"stable": {
"version": [
1,
1,
- 0
+ 1
],
- "commit": "90595049634549e6d8872f719b13e9555897d17b",
- "sha256": "0ifc9gyp7hr97ssnsqxiwrzmldqysz874crlg6jm4iy5l9fyls22"
+ "commit": "6af22372e0fe14df882dd300b22b12ba2d7e00b0",
+ "sha256": "1iz7qbsq4whmb3iqy777jlm47chjp62313hc6nfcp0lfqsanmcmv"
}
},
{
@@ -93138,28 +94164,28 @@
"repo": "clojure-emacs/parseedn",
"unstable": {
"version": [
- 20230929,
- 1500
+ 20231203,
+ 1909
],
"deps": [
"map",
"parseclj"
],
- "commit": "c8f07926a688bfe995fde4460103915d401a1aff",
- "sha256": "1pxm50i74id3c4c0j2ifac0wx5zkdq431dmcqbyb6w6k0s05l23c"
+ "commit": "3407e4530a367b6c2b857dae261cdbb67a440aaa",
+ "sha256": "0b2jralm5lm4z4lpkn8ygzfga67xsalaszc8gqqv36khmz2mrckc"
},
"stable": {
"version": [
1,
2,
- 0
+ 1
],
"deps": [
"map",
"parseclj"
],
- "commit": "c8f07926a688bfe995fde4460103915d401a1aff",
- "sha256": "1pxm50i74id3c4c0j2ifac0wx5zkdq431dmcqbyb6w6k0s05l23c"
+ "commit": "3407e4530a367b6c2b857dae261cdbb67a440aaa",
+ "sha256": "0b2jralm5lm4z4lpkn8ygzfga67xsalaszc8gqqv36khmz2mrckc"
}
},
{
@@ -93185,16 +94211,16 @@
"repo": "NicolasPetton/pass",
"unstable": {
"version": [
- 20230903,
- 914
+ 20231206,
+ 736
],
"deps": [
"f",
"password-store",
"password-store-otp"
],
- "commit": "c721604b3bd0c7ce7870f1a9fa0aa71f352a1009",
- "sha256": "1cli3j24wrvghfx49zqjphhpzcnzpm4clac24q7h5xs29l2ab192"
+ "commit": "ed7031c5c33a384d07da2d15c9d5f854027a26a2",
+ "sha256": "1c58vanwflc4immfkbb17ckanqviqr3x9g8hcszzmm7kz2xh24n5"
},
"stable": {
"version": [
@@ -93278,14 +94304,14 @@
"repo": "zx2c4/password-store",
"unstable": {
"version": [
- 20230503,
- 619
+ 20231201,
+ 954
],
"deps": [
"with-editor"
],
- "commit": "28cec11f1dbe6c4273d30370af45b69c9f408386",
- "sha256": "1h51dp0ycq0h1sai4hb01cs4zr631zp2w2kq11wivd1zc9i1cn1n"
+ "commit": "b5e965a838bb68c1227caa2cdd874ba496f10149",
+ "sha256": "0hb5zm7hdp7vmqk39a9s1iyncx4swmwfq30dnnzkjk2y08lnb7ac"
},
"stable": {
"version": [
@@ -93735,11 +94761,11 @@
"repo": "joddie/pcre2el",
"unstable": {
"version": [
- 20230828,
- 1544
+ 20240220,
+ 1530
],
- "commit": "018531ba0cf8e2b28d1108136a0e031b6a45f1c1",
- "sha256": "1bfvmpswvc1rvhhf879j8qnwfpxdap6nr7l1g5fpxpz88s3d441r"
+ "commit": "380723b2701cceb75c266440fb8db918f3340d50",
+ "sha256": "0h73d9f1zj74vjir2kiq4s2g5rai7b59z7da20kh862xnldfcxsx"
},
"stable": {
"version": [
@@ -93758,20 +94784,20 @@
"repo": "mhayashi1120/Emacs-pcsv",
"unstable": {
"version": [
- 20230207,
- 757
+ 20240112,
+ 1431
],
- "commit": "4b5e801d213a460a6cb3cb2997a0a6cd33c7bc58",
- "sha256": "160lnkslvmm6dzlb5fxdy7zsjz0pcg6x6lmx43xz95sq99kz679v"
+ "commit": "aa421d12c0da0adb9bc74a050a591dcbabf934ae",
+ "sha256": "15pwxzr8k98k82p9ni49hh84fh4mrcdcd7nx7xgv3lpm5nj0ix9z"
},
"stable": {
"version": [
1,
- 3,
- 6
+ 4,
+ 0
],
- "commit": "91599aaba70a8e8593fa2f36165af82cbd35e41e",
- "sha256": "03k3xhrim4s3yvbnl8g8ci5g7chlffycdw7d6a1pz3077mxf1f1z"
+ "commit": "aa421d12c0da0adb9bc74a050a591dcbabf934ae",
+ "sha256": "15pwxzr8k98k82p9ni49hh84fh4mrcdcd7nx7xgv3lpm5nj0ix9z"
}
},
{
@@ -94031,14 +95057,14 @@
"repo": "sebasmonia/pepita",
"unstable": {
"version": [
- 20200228,
- 2257
+ 20240102,
+ 401
],
"deps": [
"csv"
],
- "commit": "263d6d940d7e4203bbecda46dbaa89b7af1db54f",
- "sha256": "1jw27asnfd48mx3mi9qiihvj2hgzpmk53xdq87ajq9nsx8m6x110"
+ "commit": "02ac00ad23b9a3e19797fc76ac569c2d46da54b9",
+ "sha256": "13izh0l980pkh3z859ddgc4plf1649sfxc6ha4nfhx2iws85kgd6"
}
},
{
@@ -94072,14 +95098,11 @@
"repo": "mpwang/perfect-margin",
"unstable": {
"version": [
- 20220426,
- 1701
+ 20240111,
+ 1158
],
- "deps": [
- "cl-lib"
- ],
- "commit": "e811665951cd48819a63e477e7206c43232a78bd",
- "sha256": "1vnh76vp2fdjd2lhc44cw6j0g9gyid23yxk9343i74vb1dnn2xh1"
+ "commit": "bd15823219c93261536966b4dc65c1ae45a404cf",
+ "sha256": "1z1f9fkzaryqfrgszjd2s16xi2s9mlzdpl9nsb7c049n8xh0611i"
}
},
{
@@ -94346,14 +95369,14 @@
"repo": "nex3/perspective-el",
"unstable": {
"version": [
- 20220921,
- 346
+ 20240218,
+ 437
],
"deps": [
"cl-lib"
],
- "commit": "8a69512639ae915c32c5055d1308ebf4b278266c",
- "sha256": "1r026cw6p2ss5wg8mxgzf6iv1lb9pdnqyf6yrqb914aibkrvp9b6"
+ "commit": "460311b6e76f33de947908da74b6cbf66118d487",
+ "sha256": "13h83c4xn1xq29w24bgpkycacxswn34mdyx2lav5czwkmyi16ri8"
},
"stable": {
"version": [
@@ -94375,16 +95398,16 @@
"repo": "SqrtMinusOne/perspective-exwm.el",
"unstable": {
"version": [
- 20230218,
- 1358
+ 20231225,
+ 2313
],
"deps": [
"burly",
"exwm",
"perspective"
],
- "commit": "01d51f5c92a30b65e8346582ed1ce6513570bb0a",
- "sha256": "06lnmbimjj5kyr0mixjagcv903fbn00wvp63wlni2g8c3bhx1p48"
+ "commit": "68fb0ca2d482e0f4a92c4ceb19bf2262ea937e95",
+ "sha256": "1sq00ifmdf61m3vpj59b2fc14djy1sxqnwk5wjg4zbkvml9hf7d2"
},
"stable": {
"version": [
@@ -94535,19 +95558,19 @@
"repo": "emarsden/pg-el",
"unstable": {
"version": [
- 20231001,
- 1501
+ 20240221,
+ 1722
],
- "commit": "842c3b88abaa4bbe7300db84d3c70e1719f5659d",
- "sha256": "0228yig42g3x79gj1yakgmsj119v0agzvyn888nq6qgcd04i1w0d"
+ "commit": "3b4cef1a1fe57bd8ee32a0c58667d1fc8f802180",
+ "sha256": "00sdvlb9ybyzncjijibw81mf3lhw5p40v7086cg18xvpa2qvzdfz"
},
"stable": {
"version": [
0,
- 24
+ 28
],
- "commit": "842c3b88abaa4bbe7300db84d3c70e1719f5659d",
- "sha256": "0228yig42g3x79gj1yakgmsj119v0agzvyn888nq6qgcd04i1w0d"
+ "commit": "3b4cef1a1fe57bd8ee32a0c58667d1fc8f802180",
+ "sha256": "00sdvlb9ybyzncjijibw81mf3lhw5p40v7086cg18xvpa2qvzdfz"
}
},
{
@@ -94741,15 +95764,15 @@
"repo": "knu/phi-search-mc.el",
"unstable": {
"version": [
- 20160324,
- 1503
+ 20231213,
+ 841
],
"deps": [
"multiple-cursors",
"phi-search"
],
- "commit": "7aa671910f766437089aec26c3aa7814222d1356",
- "sha256": "0wr86ad0yl52im6b9z0b9pzmhcn39qg5m9878yfv1nbxliw40lcd"
+ "commit": "8670eb007604555baa7ef017684a46fc97d254dc",
+ "sha256": "1f3756w01x1p5jfcxwszdifgixm9cmxk5dzw9nzfhh6r7rzdaawl"
},
"stable": {
"version": [
@@ -94901,20 +95924,20 @@
"repo": "emacs-php/php-mode",
"unstable": {
"version": [
- 20230929,
- 123
+ 20240118,
+ 1458
],
- "commit": "4a29636243ba7f4afba476348587713531d994bc",
- "sha256": "1gavi8gr1hwj1hrnciwc7ckcycl32l42bp2ablw66h1nr12qm698"
+ "commit": "f0a14387427244251883889ba616c7f042323f6d",
+ "sha256": "1r3vgzfrq87ffp7g0xx44iwjpakcp23fhd5cxbbnwvia0y49vv8l"
},
"stable": {
"version": [
1,
25,
- 0
+ 1
],
- "commit": "168fc4ec28dc54fc4366b64bc9c431ee53f25984",
- "sha256": "1vwbxaxjvz2hhb6pli1bh1qlrc2r991zl4i18wiwk78ffanqx6q0"
+ "commit": "0c5524073c35c954a25424c914cf249a5fe05d76",
+ "sha256": "1pxv4c63dma1il6w8vl2485yddp0ngm3gvfdqwjjszanfdxa4fg1"
}
},
{
@@ -95410,6 +96433,30 @@
"sha256": "1cbs4mhf9bxhz8552dfdfr09v8abi6ppvm9arykwp7s5pnj7c705"
}
},
+ {
+ "ename": "pinyin-isearch",
+ "commit": "7aa5de17093792f4cc7e89ecfe2eeb11c2d17c6e",
+ "sha256": "1brkd0cml4ljznh2h1ydaaz7pfhiblgkhhnwnsvr5ry25k50l8qx",
+ "fetcher": "github",
+ "repo": "Anoncheg1/pinyin-isearch",
+ "unstable": {
+ "version": [
+ 20240224,
+ 709
+ ],
+ "commit": "bb956213c6ccd3067d5e3c33d7695bdc5ba58133",
+ "sha256": "1yphxa4f7q2rlrgk3l9dr6yx2fha474phiz04cz863aqylwcxfw4"
+ },
+ "stable": {
+ "version": [
+ 1,
+ 6,
+ 9
+ ],
+ "commit": "1ed4218cb50b4de614c11dc41ab6053255271ae7",
+ "sha256": "0m9j819q7mm5q418g160c0bickjij37w5j7c0lzhwvpilhmh2cny"
+ }
+ },
{
"ename": "pinyin-search",
"commit": "03da6f02778f7fae77a00cdc420cfbafead6dec4",
@@ -95707,10 +96754,10 @@
},
{
"ename": "plain-theme",
- "commit": "5a936aa9bf89c584c1449bf141c10c85d2aa4d6e",
- "sha256": "04nhrq7b60vwqyblnp422yg3sbrf9p02njpbxfmh818n1fzjcx99",
+ "commit": "daedc521088b9e4b81ef9ce1e54e8520a5b54a56",
+ "sha256": "0sahhixy74j063hj16ws5d9h226fn6jz2whwj23bb2n28hhgph1y",
"fetcher": "github",
- "repo": "yanalunaterra/plain-theme",
+ "repo": "yanateras/plain-theme",
"unstable": {
"version": [
20171124,
@@ -95879,7 +96926,7 @@
},
{
"ename": "play-routes-mode",
- "commit": "740cef8687232eb0e2186e8df956c2d4f39575cf",
+ "commit": "c1f6256e8ef106684b1b546003ec8b591fdea560",
"sha256": "17phqil2zf5rfvhs5v743dh4lix4v2azbf33z9n97ahs7j66y2gz",
"fetcher": "github",
"repo": "brocode/play-routes-mode",
@@ -96337,15 +97384,15 @@
"repo": "cybniv/poetry.el",
"unstable": {
"version": [
- 20230304,
- 1540
+ 20240103,
+ 947
],
"deps": [
"pyvenv",
"transient"
],
- "commit": "5ca52b221e57bb9dce7c89f62e7b01da1346a273",
- "sha256": "1622lb747ihk24saiz9kl7k55iwa1cp4bifgg2shchhcdn7mj8vg"
+ "commit": "ca2cffb0b174e9d814ad95178af84b525dd2b64d",
+ "sha256": "18vl4fzgf97grz8r6sj1m3brr2cd6jcf5y60v4jhnllwkjfnx52i"
},
"stable": {
"version": [
@@ -96808,16 +97855,16 @@
"repo": "SqrtMinusOne/pomm.el",
"unstable": {
"version": [
- 20230602,
- 2045
+ 20240130,
+ 2126
],
"deps": [
"alert",
"seq",
"transient"
],
- "commit": "d05d9cb3339ce7fdaf535065b235a5e9bba681f9",
- "sha256": "0mf87s020mi33lfkn7bh87jdzlnyskzx6w5bap45j519a8j07zpa"
+ "commit": "a95343f643b5674ad7714dc62f8436ba87ad8335",
+ "sha256": "08g28lx8rqvcff7dhcgrxavx59hx507gn6flsx39v0b865i52bmv"
},
"stable": {
"version": [
@@ -96946,28 +97993,28 @@
"repo": "aki2o/emacs-pophint",
"unstable": {
"version": [
- 20231112,
- 658
+ 20240107,
+ 1043
],
"deps": [
"log4e",
"yaxception"
],
- "commit": "e17fb22d34f904b4473f6bad0d445e3ca1521669",
- "sha256": "1k18qc7s75bfv5z3mrs5snsjh16kcz1r5kxrw7p5rbfk002bgqw7"
+ "commit": "92854bb12c275980c89c9f6f33eaa36b633db6d7",
+ "sha256": "110lgic3r04q1xlw35vgmdi1ncafm8cp0a3zl5v9z3r5hmxghjv4"
},
"stable": {
"version": [
1,
- 3,
- 1
+ 4,
+ 0
],
"deps": [
"log4e",
"yaxception"
],
- "commit": "e17fb22d34f904b4473f6bad0d445e3ca1521669",
- "sha256": "1k18qc7s75bfv5z3mrs5snsjh16kcz1r5kxrw7p5rbfk002bgqw7"
+ "commit": "92854bb12c275980c89c9f6f33eaa36b633db6d7",
+ "sha256": "110lgic3r04q1xlw35vgmdi1ncafm8cp0a3zl5v9z3r5hmxghjv4"
}
},
{
@@ -97017,11 +98064,11 @@
"repo": "auto-complete/popup-el",
"unstable": {
"version": [
- 20230819,
- 2306
+ 20240101,
+ 830
],
- "commit": "769318d0391e6d9a718e227678234f27f7c13a47",
- "sha256": "166v7h5ysark4d953yar0lvds14xjdr1199f6hi5xm16h5h5n56r"
+ "commit": "6fa7c440879ade009dd0ea37eccc771ced0ef86d",
+ "sha256": "0q3kpqip0mj8y8ws290v55z3krzlnrrynvj04qdfpkjxndh8ya3z"
},
"stable": {
"version": [
@@ -97132,22 +98179,21 @@
},
{
"ename": "popup-switcher",
- "commit": "ef7d1897c4c4a6f4b4527279e6dad976219d7b78",
- "sha256": "1888xiqhrn7fcpjnr3smchmmqwfayfbbyvdkdb79c6drzjcvidp1",
- "fetcher": "github",
+ "commit": "add3089ff62ba64bff96523768d18b79653bd491",
+ "sha256": "1440zymfm908r5c8jf3fj8chrh8d4q2cg32hr877bfafi7gay9rc",
+ "fetcher": "gitlab",
"repo": "kostafey/popup-switcher",
"unstable": {
"version": [
- 20210402,
- 1208
+ 20201216,
+ 2229
],
"deps": [
"cl-lib",
- "dash",
"popup"
],
- "commit": "94e01b9ea7970e86ed0f2fbeaa8cd320b60ae821",
- "sha256": "14a43iv6sr52dh1qqcz58qinx20dxkrfznb7lvh35bihjj819m51"
+ "commit": "166a90c13310b829bd392235bf7cc1e45188faff",
+ "sha256": "1sgsryc5g2sxsr0mz8r8gs5i4kfh2mnxqs0vpkbmlsbcl40inm0k"
}
},
{
@@ -97235,11 +98281,11 @@
"repo": "pitkali/pos-tip",
"unstable": {
"version": [
- 20230721,
- 834
+ 20240209,
+ 837
],
- "commit": "90a9babc829b298af36be695009894fc00a97935",
- "sha256": "1k6r59jhbyiknhsl7df0zafyc4d9r3vk953x6sdxgz92kx6hxpfy"
+ "commit": "4889e08cf9077c8589ea6fea4e2ce558614dfcde",
+ "sha256": "0wnmh9a9ks0pmcjy9df5higfbz9gy1ydj4n8s84hkhd4wq4fndvv"
},
"stable": {
"version": [
@@ -97283,11 +98329,11 @@
"repo": "lassik/emacs-posix-manual",
"unstable": {
"version": [
- 20200301,
- 1103
+ 20231215,
+ 1604
],
- "commit": "ebaacd7266ae7a66605317f57b9f42e9cfb2ce1e",
- "sha256": "0kdcpd59jd1gasqk5gx4ggbyp492b53dy6n3nkv9j2rj8618yzs6"
+ "commit": "428b10d011082a57db0ce310fad6cd092267e139",
+ "sha256": "01yln2wav6q1gab59bnsganbv0r53ralpkwvpyqcc12sym3g2r8f"
},
"stable": {
"version": [
@@ -97328,24 +98374,6 @@
"sha256": "1399fxivy15y2k4vp7vqqgsi8l1mzxc8aa2mf2x1hksgiyq60acp"
}
},
- {
- "ename": "pow",
- "commit": "855ea20024b606314f8590129259747cac0bcc97",
- "sha256": "13f3pk52f9lkkl3zi6448j9b39kn6ny9vmnlsvhwa6s0vaz8f220",
- "fetcher": "github",
- "repo": "yukihr/emacs-pow",
- "unstable": {
- "version": [
- 20140420,
- 806
- ],
- "deps": [
- "cl-lib"
- ],
- "commit": "782532d5d3582fe8fd67014507b20077f3f2d292",
- "sha256": "0d87h67qk7jw4fpq3kzzsh5v1k2nhrz6yfl1hzi7hqm5mdvnbfc9"
- }
- },
{
"ename": "power-mode",
"commit": "bc58af06aa1973d1a3fdad0c8a150b26f82bd35b",
@@ -97544,8 +98572,8 @@
"repo": "blahgeek/emacs-pr-review",
"unstable": {
"version": [
- 20230723,
- 220
+ 20240206,
+ 414
],
"deps": [
"ghub",
@@ -97553,8 +98581,8 @@
"magit-section",
"markdown-mode"
],
- "commit": "1296c81681509044865643ed92474a7d31ead9f3",
- "sha256": "07hw222gk0kklv84q6hnzcmbh2gds3098mqrjh1f5nmrqvxg6d68"
+ "commit": "5294434883aa61f24ca6d2768a83ca924645a186",
+ "sha256": "1jbq0z5vz8isc41144iy7i72hk6njnxz068cmpb7gxcs9jdxvg6n"
}
},
{
@@ -97635,19 +98663,19 @@
"repo": "radian-software/prescient.el",
"unstable": {
"version": [
- 20230909,
- 2336
+ 20231205,
+ 137
],
- "commit": "37d356e105fe29d5d3c686dcae2585981299d30b",
- "sha256": "0p1sipp0bbkq77far4vp2dhvhrj69izb4v5d6rhy45qv2j2d54qj"
+ "commit": "b701032968d6ab6f4d37b45fec282cf9c6e479c6",
+ "sha256": "18k8mki0b904qi4xl50w7bb65xhzl4d6d23qyngw33sbd83m8vq0"
},
"stable": {
"version": [
6,
- 1
+ 2
],
- "commit": "72b023f5fc1ae2bbf2f51f1786cc012d40671bf4",
- "sha256": "1mc9pjb80bxcmzaylfwh0sgpvwbx3h35jalznwz464hw3vqfff83"
+ "commit": "c0eca3328313f1e93d24e686307516f43a484ba2",
+ "sha256": "1vj21kcqlsa02nvslmxgxsbv4pc93gakj4x2a6rbk87zl6ccw7pk"
}
},
{
@@ -97796,16 +98824,16 @@
"repo": "shaqtsui/prettify-math",
"unstable": {
"version": [
- 20220101,
- 549
+ 20231215,
+ 204
],
"deps": [
"dash",
"jsonrpc",
"s"
],
- "commit": "5bdb9a8af7593d3a38492a618aedc545278fe8a1",
- "sha256": "1aid6z5hwrnqx2gidfwpgy6kx2v29kw2q29cnq1rbhh3w49l6m7z"
+ "commit": "3e659cc446379fb78926db33ac74d296c818b22a",
+ "sha256": "19pnz13jshr5nj26fz49b7k3ihvs66b57h1bsj87ngpm4kd0qphv"
}
},
{
@@ -98254,11 +99282,11 @@
"repo": "jcs-elpa/project-abbrev",
"unstable": {
"version": [
- 20220704,
- 658
+ 20240101,
+ 932
],
- "commit": "3eb8000e7a07f16e6c8323c1b7e7b45777dbb5cd",
- "sha256": "096a3zii443blm3hj2f3jr256hva0qfd3kgvg86n95gagpivs25l"
+ "commit": "71bceb21c9d9df3ee00c9fbd420fd0c2733941a1",
+ "sha256": "0kd0li894vr9741fmg9cfxcb8lqchwy61v2idbr4d0ilap8l9xbq"
},
"stable": {
"version": [
@@ -98312,11 +99340,11 @@
"repo": "fritzgrabo/project-mode-line-tag",
"unstable": {
"version": [
- 20220720,
- 2110
+ 20231215,
+ 807
],
- "commit": "509ac9a01cd344ee9bfa1bfebed6565dd4cfedd7",
- "sha256": "0a256hcjyl7qlx08bfqcsacl3kpwgzdf458p4r1sxrwd0b1lz1g9"
+ "commit": "c63f254e006ddf6ad12c7dc15eed0484d57a8cb5",
+ "sha256": "0y4paqy4v08wv8m1c4jgyx424d3lsba4bywis7n69qv0dvwid2mb"
}
},
{
@@ -98414,11 +99442,11 @@
"repo": "fritzgrabo/project-tab-groups",
"unstable": {
"version": [
- 20220720,
- 2109
+ 20231215,
+ 755
],
- "commit": "2d348279876f3073176048d903f9672f3c933ca5",
- "sha256": "05in97rym6nc91nyshbdk4i1g013gsgzgs5r5nhsshpa9glwpv55"
+ "commit": "2658405d5f3c539fbd9ccf95297a016a2c91816a",
+ "sha256": "1zg9kxyjz942ib9lii0in67hwqil2xlz78vjm1qqypw3zaivhh7p"
}
},
{
@@ -98471,11 +99499,11 @@
"repo": "bbatsov/projectile",
"unstable": {
"version": [
- 20231013,
- 1509
+ 20240212,
+ 1100
],
- "commit": "e6889d7f4bc0d2c48ceac56dfe6f4a3d742a3b69",
- "sha256": "022ca1185ywmw8pjpkrxkd6d2wp4vbq67x2w724iiw2asy69j7wb"
+ "commit": "0163b335a18af0f077a474d4dc6b36e22b5e3274",
+ "sha256": "0sy187ryln3jjnkwjxphdnfa20isv570js8ch3r3zrjl6c6d9xl8"
},
"stable": {
"version": [
@@ -98736,8 +99764,8 @@
"repo": "mohkale/projection",
"unstable": {
"version": [
- 20231016,
- 1934
+ 20240211,
+ 1526
],
"deps": [
"compat",
@@ -98745,8 +99773,8 @@
"project",
"s"
],
- "commit": "e3bd95ee6f729b578f5ee9e15a3dd77e6836c7b6",
- "sha256": "1bi5dz5d4r7y8nh3mf08fvk4w9h7628qnyijn6pyfxmzyi9k6n8i"
+ "commit": "596b20a5f8690867336f4cb29368d0ac3af1a0fe",
+ "sha256": "1i4zjkhjsws8ppbknw4fc1m2355bda6h59lhjrwvccl2fakrbv3i"
}
},
{
@@ -98757,15 +99785,15 @@
"repo": "mohkale/projection",
"unstable": {
"version": [
- 20231016,
- 2008
+ 20240211,
+ 1526
],
"deps": [
"compile-multi",
"projection"
],
- "commit": "89020b23ae607944b1e1071ffbd123a6003fa2cb",
- "sha256": "1x71w70316j8apflfxz91gjz0364hd4551gj99813b51f9ww9zly"
+ "commit": "596b20a5f8690867336f4cb29368d0ac3af1a0fe",
+ "sha256": "1i4zjkhjsws8ppbknw4fc1m2355bda6h59lhjrwvccl2fakrbv3i"
}
},
{
@@ -98951,11 +99979,11 @@
"repo": "ProofGeneral/PG",
"unstable": {
"version": [
- 20231005,
- 1645
+ 20240223,
+ 1405
],
- "commit": "bd3615b442974f1e1c3fca0252e081a05525d26b",
- "sha256": "0vmp2kqf5g5a8h79110r4mw8xkag0kwsi50dbpxbifpaabk4drzh"
+ "commit": "1f0724813a4eacb59b7a8d8905619c893d12f03b",
+ "sha256": "1yb7ddzz3gsh4d7dgk3x6xgx3pgdqyrmqr6f4lxs9vhp102n7b1x"
},
"stable": {
"version": [
@@ -99055,19 +100083,19 @@
"repo": "protocolbuffers/protobuf",
"unstable": {
"version": [
- 20230506,
- 330
+ 20240222,
+ 1652
],
- "commit": "0d3b0c61f4f44c3d8770b89edffe481aaf56f4f1",
- "sha256": "06xl81cm55g0dcpfh8b8za8mrs5cgjv656z23q5q0ph3war6ifbn"
+ "commit": "b9483e03c7cfa854c250ad6415b4d9e6f7a9709e",
+ "sha256": "12gld58kyzjfrsfjp7mkh92zj5lrps029vy8211win3b0q8cjwgw"
},
"stable": {
"version": [
25,
- 1
+ 3
],
- "commit": "7f94235e552599141950d7a4a3eaf93bc87d1b22",
- "sha256": "0apvjl43f751iy3472cc17wqmnmzlcgn9sw2wxsmddaz9km7kbn3"
+ "commit": "4a2aef570deb2bfb8927426558701e8bfc26f2a4",
+ "sha256": "1fgvviv2zfnq4ap4qkndgryf8mkcbznzwdqnqc32vj4dmvsqxy9p"
}
},
{
@@ -99176,19 +100204,20 @@
"repo": "purescript-emacs/psc-ide-emacs",
"unstable": {
"version": [
- 20210219,
- 2247
+ 20240113,
+ 1224
],
"deps": [
"company",
"dash",
"flycheck",
+ "inheritenv",
"let-alist",
"s",
"seq"
],
- "commit": "ce97d719458ea099b40c02f05b6609601c727e66",
- "sha256": "0xfw93pdf744h2yswc53qwyawfzkc31rv8dmha3irq7k1nklhq6y"
+ "commit": "4e614df553fb315d32ee9dac085109ee7786a3cf",
+ "sha256": "1qj50nfjqjm16h56g8basapa5fkxayrib1wzlxx2h8d1y1zn4nmv"
}
},
{
@@ -99199,15 +100228,16 @@
"repo": "purescript-emacs/emacs-psci",
"unstable": {
"version": [
- 20230313,
- 1333
+ 20231219,
+ 52
],
"deps": [
"dash",
+ "inheritenv",
"purescript-mode"
],
- "commit": "9bb6a325325480be704130c9c69731631d2cf3a6",
- "sha256": "07snrszm4lzdpv8k3mxsx3s9xz3n52g9ixxxwnhfa60hisb0i0x7"
+ "commit": "ef31045295f29485fc697892fba53390fe193595",
+ "sha256": "08bz7k42p9a66hgfhirf40wn3371md39w2w5gxyzw9alzdbi6hm6"
},
"stable": {
"version": [
@@ -99234,15 +100264,15 @@
"repo": "thierryvolpiatto/psession",
"unstable": {
"version": [
- 20231107,
- 735
+ 20231117,
+ 1648
],
"deps": [
"async",
"cl-lib"
],
- "commit": "76101f8a5afe705e4a815ab51b87aff03a64cb91",
- "sha256": "1ylcqf882n2mzixs5r7r4fzyngic6spff9yc8mz5rvg4l4633qdl"
+ "commit": "5fdca68ca4bf4fafaf3aa438401ec611bc430782",
+ "sha256": "1696cqk9p06n9b8jqn37qb5y15slvfljsp5yxvahmscfcfnjfqs3"
},
"stable": {
"version": [
@@ -99553,11 +100583,11 @@
"repo": "AmaiKinono/puni",
"unstable": {
"version": [
- 20231111,
- 1538
+ 20231231,
+ 1415
],
- "commit": "518a5362870b6ef01d2d4a158bd38c7280687256",
- "sha256": "1m7gvizfmvf1f6s4x72fszja90zq7sslmywgihzs7585107wy8s3"
+ "commit": "72e091ef30e0c9299dbcd0bc4669ab9bb8fb6e47",
+ "sha256": "1ns2r6nwakdnzjiq84qqzn85wwahc0k738awx9kxn9p0q2prpx5j"
}
},
{
@@ -99930,16 +100960,16 @@
"repo": "andcarnivorous/pyconf",
"unstable": {
"version": [
- 20230308,
- 2000
+ 20240207,
+ 2203
],
"deps": [
"pyenv-mode",
"pyvenv",
"transient"
],
- "commit": "e145e895e265474cb1ef5764d37eb47c6566e97f",
- "sha256": "0ixdy08mmgrvipnn86zfy2571dqz7q2782ddxxd8syg2i03h061w"
+ "commit": "f1587f20463496193625526ba805c3cf084db966",
+ "sha256": "1dvx0fkl8v0shaw59snahzxs459x7asqjvyd3yjy195r0qjfl52p"
}
},
{
@@ -100196,16 +101226,16 @@
"repo": "Wilfred/pyimport",
"unstable": {
"version": [
- 20220908,
- 1610
+ 20240207,
+ 719
],
"deps": [
"dash",
"s",
"shut-up"
],
- "commit": "c006a5fd0e5c9e297aa2ad71b2f02f463286b5e3",
- "sha256": "0afkdcbr91wmkf72rj2kxyl3w6fnff0mgf855pk9ic1gz5sxvn24"
+ "commit": "4398ce8dd64fa0f685f4bf8683a35087649346d3",
+ "sha256": "1n34lgq3nrnzl90qj0nbsmwm1xavsw16p00x4s6p9i0y3d7shb2l"
},
"stable": {
"version": [
@@ -100421,11 +101451,11 @@
"repo": "thisch/python-cell.el",
"unstable": {
"version": [
- 20230911,
- 1630
+ 20240126,
+ 841
],
- "commit": "9f2a735e08362bf270ea74c27771ee3cd1bfefbf",
- "sha256": "1viik15cb9jxbdkdfyb460ahf917qs34319f6gfs205kislrc1m0"
+ "commit": "ea469071adc72f371698934c3709ee370ac6be6f",
+ "sha256": "1y2nddvxjlg1p8nh38pahvacfpw688876a9x6v568vlyg1scilmr"
}
},
{
@@ -100471,11 +101501,11 @@
"repo": "glyph/python-docstring-mode",
"unstable": {
"version": [
- 20230326,
- 1929
+ 20231203,
+ 2036
],
- "commit": "40f0ca57831a71a9e6437088149784f37704ef6c",
- "sha256": "0mbmajl4j33wm7qyffqzaan35rzmj7w644fz67q3brr1rn3xbrk5"
+ "commit": "48e6489ec2db8b4959a9f591910941c2a5f132a3",
+ "sha256": "1rihjkqx0hhkh713gbin5r8xag95w4ip8bp9hgbkzha3crkfn0ji"
}
},
{
@@ -100599,11 +101629,11 @@
"repo": "python-mode-devs/python-mode",
"unstable": {
"version": [
- 20230702,
- 625
+ 20231218,
+ 645
],
- "commit": "c60a675aac83505f1f664410dce3b4e64eef37a8",
- "sha256": "1dbb1rg3r30yfllxvpnximy1rqgbyb6m4saf8gql9s7hyidbri6q"
+ "commit": "9678f77d0d0ab3d672374c4457b5a02ce49cd206",
+ "sha256": "10k1n6pmhy7ia60h1i2c4mzavlam8hqymq22w6r372pasfdp0x89"
},
"stable": {
"version": [
@@ -100789,10 +101819,10 @@
},
{
"ename": "pyvenv-auto",
- "commit": "ddf36d8974cc527db81d02c4f3792e16d1e5d80a",
- "sha256": "141fjkrncmyls064w6kjxgj45zxip71p50scnrf74fizdbw2kn6d",
+ "commit": "3b6a9eb263ae8e509c658028b9b3d78e283837a2",
+ "sha256": "1rgh2nl3yah2y8v67f7hc2jib91wh3cd9nkgbx9xkbpgbdf4176j",
"fetcher": "github",
- "repo": "nryotaro/pyvenv-auto",
+ "repo": "ryotaro612/pyvenv-auto",
"unstable": {
"version": [
20230106,
@@ -100851,11 +101881,11 @@
"repo": "ruediger/qrencode-el",
"unstable": {
"version": [
- 20230324,
- 2335
+ 20231127,
+ 21
],
- "commit": "d7896e9594d45d7b2622d4617ff9cb7037378167",
- "sha256": "0yrshahci319lnjdpsksdy11a69k1n91qk9r2zfyhqmng09s6i0y"
+ "commit": "0ab1819ee39d15994a864db4d2dfa32e7a527f82",
+ "sha256": "09arkhdvbcl1g17909cpwc9cwfy2dsg6isijgm7k5qx7vj9xjmrf"
},
"stable": {
"version": [
@@ -101018,15 +102048,15 @@
"repo": "quelpa/quelpa-leaf",
"unstable": {
"version": [
- 20221231,
- 1618
+ 20240101,
+ 835
],
"deps": [
"leaf",
"quelpa"
],
- "commit": "296ef97c29d7540316441715b92f6d15430f3579",
- "sha256": "1mcl6ziqqw1dh4kdz1ha8llk4yzljg0r5zrspyw6jw9c4dw4dwxg"
+ "commit": "162ae6bc91cfe26f0b013c1b6ce83c3fe3fb8463",
+ "sha256": "1wlpgwvszvn1rbh8v33djin9j213mryjm752hk3khp0k2pmsvnlb"
},
"stable": {
"version": [
@@ -101161,14 +102191,14 @@
"repo": "emacsorphanage/quickrun",
"unstable": {
"version": [
- 20221215,
- 828
+ 20240201,
+ 2014
],
"deps": [
"ht"
],
- "commit": "7a89313c07a21eae9cd69a1a98e2a134d559e04f",
- "sha256": "15z8ix2wi452zkh5qz1qlsvq8bnwjw8014k51i6rvw8aczm344lr"
+ "commit": "248149b0261051bd9eec8bdbc21c22d18d7b1b11",
+ "sha256": "02qayvia6mx3lwps5x573srag4zf2wbxm0xk0mzfpdki3ffjwhhi"
},
"stable": {
"version": [
@@ -101326,11 +102356,11 @@
"repo": "greghendershott/racket-mode",
"unstable": {
"version": [
- 20231115,
- 1544
+ 20240219,
+ 1858
],
- "commit": "296de3c15580805f2d84dd30e01eb9b12f18bc3f",
- "sha256": "1w6pxgmm7s769g7falshpvnb0klql3pz3h9461rvgmvxmrraas93"
+ "commit": "9e8e60531ca90c5fd0f42c0e931f0c7f12103567",
+ "sha256": "1yxdgx6cdj7xq7zw85f6fp4h56qsavv57a7p88bnfp8lm1cz5r13"
}
},
{
@@ -101731,11 +102761,11 @@
"repo": "senny/rbenv.el",
"unstable": {
"version": [
- 20141120,
- 749
+ 20240120,
+ 6
],
- "commit": "2ea1a5bdc1266caef1dd77700f2c8f42429b03f1",
- "sha256": "0yd0rs6fnc6lsfi7pivw5sivh698055r8ifj9vrxb82dcx2y6v2h"
+ "commit": "588b817d510737b9d6afd6d1ecddd517d96b78e5",
+ "sha256": "05hd8zqrx2b8f4k0jflb16f1kmhnag0ybwa8ri0bxggpx7d7mzpx"
},
"stable": {
"version": [
@@ -102665,25 +103695,25 @@
"repo": "minad/recursion-indicator",
"unstable": {
"version": [
- 20230924,
- 818
+ 20240122,
+ 2254
],
"deps": [
"compat"
],
- "commit": "52b40acd95d1be370cad90805acd6f1a9a02f596",
- "sha256": "029a0nf8rh6kc4kjr2l344r3q1ysk2ayhhmim0181r0zgyrfs51b"
+ "commit": "548838df2ef15fdd8e9d904d0a74182297e3383f",
+ "sha256": "1m9fxl405yprz0id18g7192h8sp51j07n8lc41lb3yn8vwl13g0l"
},
"stable": {
"version": [
- 0,
- 4
+ 1,
+ 1
],
"deps": [
"compat"
],
- "commit": "31b67c17467fd8808efdc9875c9e8115f7b4b534",
- "sha256": "1j3q99hlzr6is7w0062mfryqkw3nld3md0qwqgbklzhxngy3vpfi"
+ "commit": "548838df2ef15fdd8e9d904d0a74182297e3383f",
+ "sha256": "1m9fxl405yprz0id18g7192h8sp51j07n8lc41lb3yn8vwl13g0l"
}
},
{
@@ -102724,8 +103754,8 @@
"repo": "thanhvg/emacs-reddigg",
"unstable": {
"version": [
- 20230810,
- 2059
+ 20240107,
+ 235
],
"deps": [
"ht",
@@ -102733,8 +103763,8 @@
"promise",
"request"
],
- "commit": "37f048ec03d02acaf6f5c73802677f10b2dfe031",
- "sha256": "11w1p3z4mr8wly96a0jianf7qfzb0la2jnv6iwhqdx4752h0zq2v"
+ "commit": "633c628d4544616cd023ca540819b784a6986426",
+ "sha256": "1lrb8fn31wc51yf8znaf51r2771jsv89qbjankwpnsf78yfb57b7"
}
},
{
@@ -102910,11 +103940,11 @@
"repo": "purcell/emacs-reformatter",
"unstable": {
"version": [
- 20230804,
- 648
+ 20231122,
+ 838
],
- "commit": "bfe3f1c6ece952d39921db16f601123bdd1748ab",
- "sha256": "1vm030lz489vjx1dz1jrnj7zd2b43y98rffdxyshfvxbfv1cbmyk"
+ "commit": "ebea79504b40fecb150caf8c39621146164f997e",
+ "sha256": "1n8qxrs8103bibzxjs21mzjdmjsnb44hsb2cy7fnx2rax19r9dja"
},
"stable": {
"version": [
@@ -103002,11 +104032,11 @@
"repo": "alvarogonzalezsotillo/region-occurrences-highlighter",
"unstable": {
"version": [
- 20230408,
- 1404
+ 20231122,
+ 1110
],
- "commit": "3fbac20154035d75238facbc3b881ab3b47ab711",
- "sha256": "13l2kjkxmmsq8ad07pkdrfwzfr0wp2cx4vz6j4ac0z3nfhng11b1"
+ "commit": "f9ad995553be1e6dd70540d7ab1ca0be3077438e",
+ "sha256": "0nqg4mr9218avv6yfpgzcr8ah2y9sq9bfpkdavsiaj3ispa0fhhd"
}
},
{
@@ -103260,8 +104290,8 @@
"repo": "alhassy/repl-driven-development",
"unstable": {
"version": [
- 20231023,
- 1120
+ 20231123,
+ 1917
],
"deps": [
"bind-key",
@@ -103276,8 +104306,8 @@
"pulsar",
"s"
],
- "commit": "8877f692112459095649735ac4d023248b3905ae",
- "sha256": "19zj743ayv322cz13kilkkl4djpzs9q22z6qhk0ipasy1kdijh57"
+ "commit": "05bd1cee8f298173010ed17a98ba2b94cb08d830",
+ "sha256": "1ladm2gmvmhhccly1l2m0c1389xy50dacqbjzk1rw6mdbscgjqlm"
}
},
{
@@ -103318,11 +104348,11 @@
"repo": "rubikitch/replace-from-region",
"unstable": {
"version": [
- 20170227,
- 2316
+ 20240224,
+ 52
],
- "commit": "dc9318b9b2822da7b00ecc34d1dc965c8f96c9bb",
- "sha256": "05l0wn1gqw2sbl65s1m7afmg3b1ps2qgqqrjkl9r2i26p95kqlq3"
+ "commit": "7b5b5ce5488ad5314acaa301d6482bf781db4ebd",
+ "sha256": "0r3mpbxadn3ll1pzi85pz9c4diyj7scj4a0qgx2v8iiaf1r038dg"
}
},
{
@@ -103371,30 +104401,30 @@
"repo": "knu/replace-with-inflections.el",
"unstable": {
"version": [
- 20180831,
- 635
+ 20240122,
+ 927
],
"deps": [
"cl-lib",
"inflections",
"string-inflection"
],
- "commit": "d9201e047856492f282da65459b28aba25998dbb",
- "sha256": "09yvn489z33hww7mi1flh344faxrpbkzqhm0i6xb2rridcj7acqh"
+ "commit": "c57cfb06752bb17389465890ff0ef58a7dd465d2",
+ "sha256": "1sybgr4rlhgbpzljn82dp5jxqyvcmbxqlc4xcqsdsci3bxjs1999"
},
"stable": {
"version": [
0,
3,
- 1
+ 2
],
"deps": [
"cl-lib",
"inflections",
"string-inflection"
],
- "commit": "d9201e047856492f282da65459b28aba25998dbb",
- "sha256": "09yvn489z33hww7mi1flh344faxrpbkzqhm0i6xb2rridcj7acqh"
+ "commit": "c57cfb06752bb17389465890ff0ef58a7dd465d2",
+ "sha256": "1sybgr4rlhgbpzljn82dp5jxqyvcmbxqlc4xcqsdsci3bxjs1999"
}
},
{
@@ -103405,11 +104435,11 @@
"repo": "canatella/repo-el",
"unstable": {
"version": [
- 20230821,
- 1032
+ 20240119,
+ 859
],
- "commit": "529623db7c38917709e84d89d518873231139c10",
- "sha256": "19ms55dd5j2jm0ln5ba1w63q1g348xjwiykng82n5cblvnvpdidp"
+ "commit": "a257b3f8f47fbb4fc7d5dea967dc543e01c58fee",
+ "sha256": "084d968fgf79vas4jjmm0rmvaf2d4zqxd6c63s2xzcxql1byybzn"
},
"stable": {
"version": [
@@ -103692,25 +104722,25 @@
"repo": "simenheg/restclient-test.el",
"unstable": {
"version": [
- 20210422,
- 1815
+ 20240207,
+ 1415
],
"deps": [
"restclient"
],
- "commit": "3c6661d087526510a04ea9de421c5869a1a1d061",
- "sha256": "0bpvxv8bc671pa0sm4v8pqyla3i99y05mgpbgcjd8pdsfhiwjw7j"
+ "commit": "5a364b93779eb3b4566dd6d843d7637983fcc949",
+ "sha256": "06ml74xks6kv694qfmiw3wckm75xjrpy32r2lby7hbbpf7f3ds6p"
},
"stable": {
"version": [
- 0,
- 3
+ 1,
+ 0
],
"deps": [
"restclient"
],
- "commit": "3c6661d087526510a04ea9de421c5869a1a1d061",
- "sha256": "0bpvxv8bc671pa0sm4v8pqyla3i99y05mgpbgcjd8pdsfhiwjw7j"
+ "commit": "5a364b93779eb3b4566dd6d843d7637983fcc949",
+ "sha256": "06ml74xks6kv694qfmiw3wckm75xjrpy32r2lby7hbbpf7f3ds6p"
}
},
{
@@ -103759,15 +104789,15 @@
"repo": "jcs-elpa/reveal-in-folder",
"unstable": {
"version": [
- 20220704,
- 659
+ 20240118,
+ 611
],
"deps": [
"f",
"s"
],
- "commit": "7b2b02356b75e224a0414995af95d45786ee6f7d",
- "sha256": "1njn98psl211s5hmf8v7hf09g70qzy7ylyfd2qrqhblrbl5gyya5"
+ "commit": "c774564e3a35d8112ec3f399f76941cb63317a4e",
+ "sha256": "074r3v33k82s4xksihq52kl3hpxk98np7x7mqjiqvldmaqc3y6ym"
},
"stable": {
"version": [
@@ -103952,15 +104982,15 @@
"repo": "dajva/rg.el",
"unstable": {
"version": [
- 20230430,
- 721
+ 20231202,
+ 1023
],
"deps": [
"transient",
"wgrep"
],
- "commit": "e9dc4ed342e0212d08fb82554dfd3c57fdfa5b1a",
- "sha256": "17kjmw9pfxbzznpv26g1528mcmyv7afsk9ppsjmv0fx95zmr64z0"
+ "commit": "4885473a6d248a6ee508b7b7ef3705762af631d9",
+ "sha256": "16ahhwa24g8wgmf8p000jqmfz541dw2b39vx7zv8s9dlzlcbm9xj"
},
"stable": {
"version": [
@@ -104180,8 +105210,8 @@
"repo": "DogLooksGood/emacs-rime",
"unstable": {
"version": [
- 20231108,
- 959
+ 20240130,
+ 1427
],
"deps": [
"cl-lib",
@@ -104189,8 +105219,8 @@
"popup",
"posframe"
],
- "commit": "fd434071ce95c41e5d580e303ccf2a65f189e7ec",
- "sha256": "1kzksqz71jnqn10841fasyydj0bqfs9hjd0yvpj7wk0knhjz2g11"
+ "commit": "d8c0a99b0282d3e0aca53146789f6864181228e7",
+ "sha256": "0bj26a989x9yav0ryijxzg4wl53gf6w6r2jvnwavsm4cify547xg"
},
"stable": {
"version": [
@@ -104395,11 +105425,11 @@
"repo": "jgkamat/rmsbolt",
"unstable": {
"version": [
- 20231024,
- 221
+ 20240105,
+ 614
],
- "commit": "86c6e12a85db472e6660ef7fef12a4e719ef3c66",
- "sha256": "1kvl8syz700vl2dbva4k1vdzxd67sjby4w4zsl62njvbvwzvcj0r"
+ "commit": "2643d71b34f53eccb6188f548f5d1349adef07fb",
+ "sha256": "0xnbp55nrs5wxhrfvym3sfqamf499mlzj6zsw9bhp6r1sjidl21i"
}
},
{
@@ -104410,14 +105440,14 @@
"repo": "dgutov/robe",
"unstable": {
"version": [
- 20231023,
- 2046
+ 20240118,
+ 348
],
"deps": [
"inf-ruby"
],
- "commit": "021a7bc34848ef77eaeaa41d7899c6cab873cb0e",
- "sha256": "0s0cmj1vmfa9gwn388ll3fpsv25q3v6vvj6k4xlcn75kdfzsbx59"
+ "commit": "0438ae818e2551637ee3ec8baf5bbf471eef9359",
+ "sha256": "0knawwja1dba172kjw8hypzvnngcsd9xspy2j42n66npi12yyky8"
},
"stable": {
"version": [
@@ -104464,20 +105494,20 @@
"repo": "kopoli/robot-mode",
"unstable": {
"version": [
- 20221109,
- 1630
+ 20231118,
+ 826
],
- "commit": "fb9be47a1d4e57a80ae2c0d4dff3eba2fe29ebdc",
- "sha256": "10i4lwjf60ms3dj8s7k9c0vb24rhszskhciz9lijphcdh8k622hz"
+ "commit": "cb12e5adcba3379a67483e268229c152e0a8405f",
+ "sha256": "1a377lws4f0az2y1r3z2i3mghvg7a4094wkchvjvsl1nd6yxdxwa"
},
"stable": {
"version": [
0,
- 7,
+ 8,
0
],
- "commit": "fb9be47a1d4e57a80ae2c0d4dff3eba2fe29ebdc",
- "sha256": "10i4lwjf60ms3dj8s7k9c0vb24rhszskhciz9lijphcdh8k622hz"
+ "commit": "cb12e5adcba3379a67483e268229c152e0a8405f",
+ "sha256": "1a377lws4f0az2y1r3z2i3mghvg7a4094wkchvjvsl1nd6yxdxwa"
}
},
{
@@ -104534,6 +105564,48 @@
"sha256": "0rgv4y9aa5cc2ddz3y5z8d22xmr8kf5c60h0r3g8h91jmcw3rb4z"
}
},
+ {
+ "ename": "rom-party",
+ "commit": "d512cbf4962988eb163416f2a05432ba07cb0cac",
+ "sha256": "1z3k8nsrzzzxl1m17aj59y4zrki5czlcdsrxyfa66m6m7d133d79",
+ "fetcher": "github",
+ "repo": "LaurenceWarne/rom-party.el",
+ "unstable": {
+ "version": [
+ 20240218,
+ 2044
+ ],
+ "deps": [
+ "async",
+ "compat",
+ "dash",
+ "extmap",
+ "f",
+ "ht",
+ "s"
+ ],
+ "commit": "0af8c03de584c91ea1a43c4c94fa4e7bb8c98fa4",
+ "sha256": "0y0rayvibf77508nv3p8k240m0agzfar5hr216fqask2rg0l9cfd"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 1,
+ 0
+ ],
+ "deps": [
+ "async",
+ "compat",
+ "dash",
+ "extmap",
+ "f",
+ "ht",
+ "s"
+ ],
+ "commit": "ba72981a8e6a07896da45e5ac31ee943f881fc7f",
+ "sha256": "03dkccds1pyv3irdjng2abrsi7lzqnyhzh0vnc8xv74nz6rzcvhr"
+ }
+ },
{
"ename": "ron-mode",
"commit": "962f7c87d0630399ea388f25ec5792fa2f2b4489",
@@ -104599,8 +105671,8 @@
"repo": "mbeutelspacher/ros.el",
"unstable": {
"version": [
- 20231016,
- 1402
+ 20231212,
+ 729
],
"deps": [
"cl-lib",
@@ -104613,8 +105685,8 @@
"transient",
"with-shell-interpreter"
],
- "commit": "aaf32cde6835826551f2b73e4dc8b63d0ff26eb0",
- "sha256": "1fy4rbz9101vj8jla0l0f5dqsxn8bx2b0ccmrvsw13sd5rf63akv"
+ "commit": "66e0cc154dc7d8ae105f2c95ed9f6a16445945d0",
+ "sha256": "1c5c8678vclr7z9jgfyr67cz77ny810vybimx3bgxflanh9w102a"
}
},
{
@@ -105108,6 +106180,24 @@
"sha256": "1zvhq9l717rjgkm7bxz5gqkmh5i49cshwzlimb3h78kpjw3hxl2k"
}
},
+ {
+ "ename": "ruff-format",
+ "commit": "e0b516fcfb447d0cadcf90cd748b6cedba57000e",
+ "sha256": "077inhas0v7bcla08hsq7v08fmsgrfrz4cg1ii8n0h48nrq6anfk",
+ "fetcher": "github",
+ "repo": "scop/emacs-ruff-format",
+ "unstable": {
+ "version": [
+ 20231117,
+ 2220
+ ],
+ "deps": [
+ "reformatter"
+ ],
+ "commit": "af6bd49b48cd1583b05268b7d2efc1c7e1c6a113",
+ "sha256": "1d7p22rgrmi88jrw3g7ry6h3xdabkcfnchk8x5af12pgqpfh2r2x"
+ }
+ },
{
"ename": "rufo",
"commit": "123b89e06a44ef45150ca7243afc41302dfb6c6e",
@@ -105203,16 +106293,16 @@
"repo": "semenInRussia/emacs-run-command-recipes",
"unstable": {
"version": [
- 20231003,
- 1823
+ 20231219,
+ 1331
],
"deps": [
"dash",
"f",
"run-command"
],
- "commit": "3d4c05225d54c97a4aef375113e6f4de9ea3aaef",
- "sha256": "1kgk12p6gv8bgn9chcxpfazzgmw7rsadhii37j62llvx7bnyj13w"
+ "commit": "63405333f23b33ecda08e716eca8588c8950952d",
+ "sha256": "0wlg7qx7r94cnnrhp99wfiwna1zwccshg93r32zj2ah9j4mba500"
}
},
{
@@ -105338,11 +106428,11 @@
"repo": "rust-lang/rust-mode",
"unstable": {
"version": [
- 20230805,
- 1558
+ 20240217,
+ 338
],
- "commit": "fac7d284d24080d0886c47d20bbddbf60ec51511",
- "sha256": "0xws2s65r67n9djn3cnydlq4bnjhcak5kfnbcp7d1a2cj6bdwj08"
+ "commit": "8bbe70b72fde8046e12e6a41ffbee6c9f6b4ddd7",
+ "sha256": "0zza5d7ys062qss0lj7w28l496k2j0cykzlp7qmpchd4v5vzq9ky"
},
"stable": {
"version": [
@@ -105618,10 +106708,10 @@
},
{
"ename": "sailfish-scratchbox",
- "commit": "e76261e7dffcb607839440843b085709c2c90b26",
- "sha256": "1s0glsi4fm6is7fv9vy1h14frq8a4bgahkc8w08vqfnpiin2r567",
+ "commit": "961347dfc1340e32892bb8eb54e3f484c1a72577",
+ "sha256": "1fl7jffvfy29p2kcwgix5hnrj39yyqash9nd4v3ycxrwqbkzlc2z",
"fetcher": "github",
- "repo": "vityafx/sailfish-scratchbox.el",
+ "repo": "iddm/sailfish-scratchbox.el",
"unstable": {
"version": [
20171202,
@@ -105860,11 +106950,11 @@
"repo": "nicolaisingh/saveplace-pdf-view",
"unstable": {
"version": [
- 20230805,
- 1359
+ 20240209,
+ 505
],
- "commit": "abfb5e1f463cffc18218a0f7f2fa141a271b1813",
- "sha256": "1ijzsizxbq33wv84hz4km3x6nsaqxn8v9qh2835vnrfxk6n6cr4p"
+ "commit": "ee95460cd934080338f03a16f95b549577425216",
+ "sha256": "00cqk1qvmdw07vmmyxc843m2qgx1n9ivwzp73r27v4jxx1i38vx2"
}
},
{
@@ -105928,11 +107018,11 @@
"repo": "hvesalai/emacs-sbt-mode",
"unstable": {
"version": [
- 20211203,
- 1148
+ 20240208,
+ 626
],
- "commit": "9fe1e8807c22cc1dc56a6233e000969518907f4d",
- "sha256": "1mii46nr4ykkwnbpvsdp46j6n7k38h0jbm49vbm0w7n1az09yg1a"
+ "commit": "bcf8d6040021013430b39d6f6766ce1aab0b691a",
+ "sha256": "0mfa8qlv4hgqsfxci7ikmfjy9kns67v21k9laa9kbphzxm82qp21"
},
"stable": {
"version": [
@@ -105952,22 +107042,25 @@
"repo": "openscad/emacs-scad-mode",
"unstable": {
"version": [
- 20230315,
- 1950
+ 20240216,
+ 1536
],
"deps": [
"compat"
],
- "commit": "e1af74735ad6113448c99b3ab128a665e6adaaca",
- "sha256": "1wqpxwi6kyw83mmlpkall7as31115jffd6s7fkyi3y73arz6xg3h"
+ "commit": "8d5650c5cfee96c42d64427bfcadb89f6bda66be",
+ "sha256": "08yia0q18b0w3lkr4wh1bd1v606cksi6hpz72nqjvv59qyjfmg01"
},
"stable": {
"version": [
93,
- 2
+ 3
],
- "commit": "749b11df1d3041aa0a90542cba0fe5d9563c3a1f",
- "sha256": "0m87rjmr4990vhakb7jg4nkkn7lp7kiva446j2z5pq7mmhlyxdbc"
+ "deps": [
+ "compat"
+ ],
+ "commit": "8d5650c5cfee96c42d64427bfcadb89f6bda66be",
+ "sha256": "08yia0q18b0w3lkr4wh1bd1v606cksi6hpz72nqjvv59qyjfmg01"
}
},
{
@@ -105996,11 +107089,11 @@
"repo": "hvesalai/emacs-scala-mode",
"unstable": {
"version": [
- 20221025,
- 1502
+ 20240113,
+ 1743
],
- "commit": "5d7cf21c37e345c49f921fe5111a49fd54efd1e0",
- "sha256": "1dygncmjizlg33g2yghihmkf5hjwhrd8b63qvl4dndxwbq995n6r"
+ "commit": "4c6d636b86e3bb1d95de819dc48dda92abdfbcf4",
+ "sha256": "0d3x0fk09a2bl2f7sy6k28164r8s2hvshh20p5kp1rg75y0syksa"
},
"stable": {
"version": [
@@ -106012,6 +107105,21 @@
"sha256": "1072lsin7dxadc0xyhy42wd0cw549axbbd4dy95wfmfcc1xbzjwv"
}
},
+ {
+ "ename": "scala-ts-mode",
+ "commit": "0dce6a06d842251ca42c515bcdd5c8e4b63424b6",
+ "sha256": "0x3ip9x72jbn56ddvjxd0qggq5bcp1bdvyy36nx51czlvz8vw4gf",
+ "fetcher": "github",
+ "repo": "KaranAhlawat/scala-ts-mode",
+ "unstable": {
+ "version": [
+ 20240213,
+ 1734
+ ],
+ "commit": "88f9ad9d8800515f47609829df9b2a15dc475eff",
+ "sha256": "04cm7my5kp8fv5d8an3h4a2z037y2kqvrarr20jj5a7b3fdxq0my"
+ }
+ },
{
"ename": "scf-mode",
"commit": "376be7f8903dbea69643600ae14e934ee5e2a11b",
@@ -106367,11 +107475,11 @@
"repo": "ideasman42/emacs-scroll-on-jump",
"unstable": {
"version": [
- 20230201,
- 141
+ 20240204,
+ 1217
],
- "commit": "220e4a8f21cc0b430c693a3ecac08156da2602c1",
- "sha256": "1dr41gbk4rg5fa288bp5vnyzmyxrjvvlvn1syp5sjh31ypbw6jkz"
+ "commit": "c079d9321646bf4fd65b684fcd130cc4833c2e43",
+ "sha256": "0isisg0pkl8hl0xd310jbmflyga1sy1a5sdd673py9jmz5nbiz00"
}
},
{
@@ -106551,15 +107659,15 @@
"repo": "jcs-elpa/searcher",
"unstable": {
"version": [
- 20220704,
- 758
+ 20240101,
+ 938
],
"deps": [
"dash",
"f"
],
- "commit": "6debf99431a5cb4d1db55e5379453c6a181a133f",
- "sha256": "18jl7ccv7b4p9gr9dkqpidkwazqly7nic99x29av0f8vicmg00w3"
+ "commit": "b2b62cb49312725b05d133c2e155b00d885dc8f5",
+ "sha256": "0irpy5sfy5mdxqjrgiy8qiq283gvqrl80bb4xk9k9qb4rfc60gz1"
},
"stable": {
"version": [
@@ -106772,6 +107880,36 @@
"sha256": "11xjv861mx15fhvv8g67ahri5p8mplnhq9sf30c825bk1f0h7xzy"
}
},
+ {
+ "ename": "selected-window-accent-mode",
+ "commit": "a74ddb8c83af38c7e00ce1d86fe396d8654c64d6",
+ "sha256": "1220yknippk8scarrawrj7pxq9didv0zv4r0r4prrjxbcrxj2q43",
+ "fetcher": "github",
+ "repo": "captainflasmr/selected-window-accent-mode",
+ "unstable": {
+ "version": [
+ 20240209,
+ 1230
+ ],
+ "deps": [
+ "visual-fill-column"
+ ],
+ "commit": "e83efa67c4a9d1935c657a15b4487102cb6655de",
+ "sha256": "14i8h50n49mw9960vlsahzf1rbn1mj9400gapil9id9q2xysdpmd"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 6,
+ 0
+ ],
+ "deps": [
+ "visual-fill-column"
+ ],
+ "commit": "e83efa67c4a9d1935c657a15b4487102cb6655de",
+ "sha256": "14i8h50n49mw9960vlsahzf1rbn1mj9400gapil9id9q2xysdpmd"
+ }
+ },
{
"ename": "selectric-mode",
"commit": "a6ff6bbfa11f08647bf17afe75bfb4dcafd86683",
@@ -106827,27 +107965,27 @@
"repo": "radian-software/prescient.el",
"unstable": {
"version": [
- 20221216,
- 112
+ 20231205,
+ 137
],
"deps": [
"prescient",
"selectrum"
],
- "commit": "72b023f5fc1ae2bbf2f51f1786cc012d40671bf4",
- "sha256": "1mc9pjb80bxcmzaylfwh0sgpvwbx3h35jalznwz464hw3vqfff83"
+ "commit": "b701032968d6ab6f4d37b45fec282cf9c6e479c6",
+ "sha256": "18k8mki0b904qi4xl50w7bb65xhzl4d6d23qyngw33sbd83m8vq0"
},
"stable": {
"version": [
6,
- 1
+ 2
],
"deps": [
"prescient",
"selectrum"
],
- "commit": "72b023f5fc1ae2bbf2f51f1786cc012d40671bf4",
- "sha256": "1mc9pjb80bxcmzaylfwh0sgpvwbx3h35jalznwz464hw3vqfff83"
+ "commit": "c0eca3328313f1e93d24e686307516f43a484ba2",
+ "sha256": "1vj21kcqlsa02nvslmxgxsbv4pc93gakj4x2a6rbk87zl6ccw7pk"
}
},
{
@@ -107077,15 +108215,15 @@
"repo": "twlz0ne/separedit.el",
"unstable": {
"version": [
- 20230513,
- 306
+ 20240119,
+ 633
],
"deps": [
"dash",
"edit-indirect"
],
- "commit": "9f5027a9a9c2e67562bc39839415d7c7f318e9a8",
- "sha256": "1flbn3b3y0hdcr8hgy5r3f38xwja7p0hvh59jfdnlqg4y5l3ajf8"
+ "commit": "bfd0902d771f9f0160e4f16a7b6e8c29ce3447fe",
+ "sha256": "0qripg4hzyfldb39w44sp1iyg9c0nys9bny83rswxmqkha1f3904"
},
"stable": {
"version": [
@@ -107146,6 +108284,30 @@
"sha256": "1f05amz22klvs2yqyw7n5bmivgdn5zc7vkv5x6bgc9b5k977lggj"
}
},
+ {
+ "ename": "sequential-yank",
+ "commit": "326d451fea191dd806b9e8e4dc6b37bda788fa12",
+ "sha256": "1xhp3dpcd9s95mhi1y8g7v637by8v5ym2h77vk883x63nxsdd1k4",
+ "fetcher": "github",
+ "repo": "knu/sequential-yank.el",
+ "unstable": {
+ "version": [
+ 20231126,
+ 1530
+ ],
+ "commit": "3c7f98a842c391b59379566cbf03f143004b26da",
+ "sha256": "0jqprwmnrxifc2grgg5vsv03lvy38bv77nwsgq65kdy1g3xxqkna"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 1,
+ 3
+ ],
+ "commit": "3c7f98a842c391b59379566cbf03f143004b26da",
+ "sha256": "0jqprwmnrxifc2grgg5vsv03lvy38bv77nwsgq65kdy1g3xxqkna"
+ }
+ },
{
"ename": "seriestracker",
"commit": "9370b3c06f065ee50ed7e4ffcfd9d503b6e9563f",
@@ -107259,11 +108421,11 @@
"repo": "vspinu/sesman",
"unstable": {
"version": [
- 20210901,
- 1134
+ 20240115,
+ 2143
],
- "commit": "e0f555f963c9f02f8e4a50e06fc353eb4c15ee77",
- "sha256": "1xczx6yavpqjx6sjhfnaw7ls5rh54zq8m7y4jidgx4rdz8y28b0a"
+ "commit": "ec7390c0d0fb8b278aa06848634f922bc893de31",
+ "sha256": "1is8ny27xzlx2shhps75xi0hdipkj7jphbsl1bgzwvmln9jqfd9v"
},
"stable": {
"version": [
@@ -107298,14 +108460,14 @@
"repo": "FelipeLema/session-async.el",
"unstable": {
"version": [
- 20230223,
- 313
+ 20231125,
+ 2106
],
"deps": [
"jsonrpc"
],
- "commit": "e06835ea181b3a15099280527c9a4590d2fa61d1",
- "sha256": "10yh94bvvnq2aszg64xvbkn8zbr4bmhj3x7q44i71qqpblb5jwj5"
+ "commit": "6e361073256740ce546f4fa104045f2b3818ef94",
+ "sha256": "1fhjsjwwqffqpgvcm8c7yfc5q7p94ysvs6hpkidydcz6il90sx1z"
}
},
{
@@ -107594,11 +108756,11 @@
"repo": "elizagamedev/shell-command-x.el",
"unstable": {
"version": [
- 20231106,
- 1958
+ 20231214,
+ 1
],
- "commit": "4b844554ee6250983717d136b2eadebcece945e3",
- "sha256": "0r520k9fynlj5rbvwd48amd14vzlfzywz1bqrwm86w7vy06gy4qm"
+ "commit": "d2fe4d08be306d6570f3c316ea06b0e6931ea5d5",
+ "sha256": "0m14vag42yli8fvwf7s3gqr1bx59w83bvz3149hcgddg1cmxizbc"
},
"stable": {
"version": [
@@ -107663,11 +108825,11 @@
"repo": "xenodium/chatgpt-shell",
"unstable": {
"version": [
- 20231112,
- 442
+ 20240112,
+ 1749
],
- "commit": "b9f193703f34a5ec923b0fdd34f38b3579d04140",
- "sha256": "18sw8whar1r3y4jcml2yjf8symm8a6dvmw9ld80cacwyia3d20fn"
+ "commit": "39dd8e7415ebe6d836a1d721337019cfea89f5ad",
+ "sha256": "0xylvqjhdwmgbcm412jgyir8kwb16j1jzwp708z1p0yaw4j7c54c"
}
},
{
@@ -107678,14 +108840,14 @@
"repo": "kyagi/shell-pop-el",
"unstable": {
"version": [
- 20200315,
- 1139
+ 20231228,
+ 612
],
"deps": [
"cl-lib"
],
- "commit": "4b4394037940a890a313d715d203d9ead2d156a6",
- "sha256": "0s77n6b9iw1x3dv91ybkpgy3zvqd12si7zw3lg0m2b6j1akrawsg"
+ "commit": "ff3dc705ee1c7bc566b35c17e4635c57061fe3ae",
+ "sha256": "0awhya3v78k5jkhprifd44aycgv2d40hnmldfw4g7cvljvxzclal"
},
"stable": {
"version": [
@@ -107919,14 +109081,14 @@
"repo": "purcell/emacs-shfmt",
"unstable": {
"version": [
- 20220602,
- 1535
+ 20240104,
+ 1218
],
"deps": [
"reformatter"
],
- "commit": "279a51defa3e0d97dc40b8a26e078699d4e22e90",
- "sha256": "1kzi8gy37cm1z4x69400gbkawx4k0jafvz8m50w0b48nvrvsy6ys"
+ "commit": "1a747c53eab1c0cd4d2708e5ffb953f9761ca7fb",
+ "sha256": "1a288nq4ig9ydcbkys4x4dm5hx98jw784h0fm6mgs9p7byf5agdc"
},
"stable": {
"version": [
@@ -107990,11 +109152,11 @@
"repo": "emacs-w3m/emacs-w3m",
"unstable": {
"version": [
- 20231026,
- 455
+ 20240208,
+ 217
],
- "commit": "e3b87d61dfef3a9d44872c50db360732ba949d57",
- "sha256": "06rqxib501xn2xlc4pqdh06zw0wrkg70sxr2fqv7i2fpl9qxwriv"
+ "commit": "dc5136d20a546cbf741e0e5835a441859fdab384",
+ "sha256": "1iwzdgkx2711kcbzrkizifff071wnqgpm22s6pq8l1i0p8dn3rbz"
}
},
{
@@ -108066,11 +109228,11 @@
"repo": "jcs-elpa/show-eol",
"unstable": {
"version": [
- 20220919,
- 631
+ 20240101,
+ 931
],
- "commit": "ad3aa8f4fa0d1b20f8526536f0ac35386f521372",
- "sha256": "18rlqd4wssvx1497c599a9j54cb8zqjkwb60z10dff4z0xjlri8b"
+ "commit": "febc1df7ac1c7006b3f0993a3436575e8e0dc71d",
+ "sha256": "0lqnh43gbh3aipl6mk07cxpqsylh5bs3gzcr18r4xmdnxvg3q3x4"
},
"stable": {
"version": [
@@ -108458,11 +109620,11 @@
"repo": "emacs-sideline/sideline",
"unstable": {
"version": [
- 20231020,
- 621
+ 20240222,
+ 151
],
- "commit": "1c6a15d23d50df218c8c1546bbcc31b5fabe8af9",
- "sha256": "0hiljzbxnz980x3arc88190lrcxkkfvd7asn8k7s91l26v9lis0s"
+ "commit": "3bd6315e8c3437b262d6f9975f37d94ac84d0720",
+ "sha256": "144f42jb5v8dz9ayj5q9v1zcy08xbivx6zf53yrpv6dyban1i37k"
},
"stable": {
"version": [
@@ -108482,15 +109644,15 @@
"repo": "emacs-sideline/sideline-blame",
"unstable": {
"version": [
- 20231015,
- 1917
+ 20240101,
+ 918
],
"deps": [
"sideline",
"vc-msg"
],
- "commit": "014ac17de30b4fcafa7b73d8c886cffa3807d8b1",
- "sha256": "16jircw28fhamzppjqfnga6qv6bfxfyj0dlj1mqyv64j3v21dnqm"
+ "commit": "b597c047d2a8ef7dd155e85e43fd65530ecf0a61",
+ "sha256": "1w5bjrqsvi8k88giav3h7qagmwvs3n60ml9yd1avm49mb2xvd16n"
},
"stable": {
"version": [
@@ -108514,16 +109676,16 @@
"repo": "emacs-sideline/sideline-flycheck",
"unstable": {
"version": [
- 20230402,
- 1816
+ 20240101,
+ 918
],
"deps": [
"flycheck",
"ht",
"sideline"
],
- "commit": "3d74a008835eff71899b9455cd00f989378fe70e",
- "sha256": "0y2cn8b7nh5fjcra78pmrjrsb06if1jrrq5wng2n08h39x6fjwi4"
+ "commit": "36262547f57699b02e456661156600b044ef26da",
+ "sha256": "1y24k66cjdq21pals5n01y2mj5nazn2nfiijww4znwzpaabsqi9p"
},
"stable": {
"version": [
@@ -108547,14 +109709,14 @@
"repo": "emacs-sideline/sideline-flymake",
"unstable": {
"version": [
- 20230402,
- 1816
+ 20240101,
+ 917
],
"deps": [
"sideline"
],
- "commit": "316325cb050d13f33e83e7d7823e3730a70ecf4e",
- "sha256": "1fkvj2azswczxc8s8vkw9kp7r2sv3369hc0wrwsrmv96hn3lcc4w"
+ "commit": "e6b0e7dd7eea511aad1d9af1d944f1379bd7ad7d",
+ "sha256": "0viw2hhcy8af4knmcilzc9sp2dh4d0yakamyrqhgn2wn5a9q149z"
},
"stable": {
"version": [
@@ -108577,8 +109739,8 @@
"repo": "emacs-sideline/sideline-lsp",
"unstable": {
"version": [
- 20221231,
- 1636
+ 20240101,
+ 918
],
"deps": [
"dash",
@@ -108587,8 +109749,8 @@
"s",
"sideline"
],
- "commit": "38ba700db1769de216989fb9217795934147d160",
- "sha256": "01dhnfcc0mig98l7vzc0nfdhz2y041d19jv2r9qp1l6cklimpzfd"
+ "commit": "0a085a29b943eede42824981b5d0cd9fb951e9d8",
+ "sha256": "0xpqxdfqy8wv2wcqwzwhhmvlg15d120sicp2965qsp762slafqhc"
},
"stable": {
"version": [
@@ -108863,17 +110025,17 @@
},
{
"ename": "simple-screen",
- "commit": "02db9a649002ed9dec03661a518f74f3c7a176d9",
+ "commit": "c1f6256e8ef106684b1b546003ec8b591fdea560",
"sha256": "16zvsmqn882w320h26hjjz5lcyl9y0x4amkf2zfps77xxmkmi5n0",
"fetcher": "github",
"repo": "wachikun/simple-screen",
"unstable": {
"version": [
- 20200926,
- 109
+ 20240127,
+ 214
],
- "commit": "3ce535755986f7c25890d11e42fa621a3a069a4f",
- "sha256": "1cfgmpffqdxg536whik4ph2knxnmbgr4njq744glx82sfy9qp6wx"
+ "commit": "1c5d025dd267ec7b0c8f210a27b2b8f8e11fc07b",
+ "sha256": "1lnhfc8axggc0z6jz6d1l0dm5f9mkszg5wzh5nn8z0690mmj97vx"
}
},
{
@@ -109031,14 +110193,11 @@
"repo": "laishulu/emacs-smart-input-source",
"unstable": {
"version": [
- 20231110,
- 1642
+ 20231211,
+ 1602
],
- "deps": [
- "terminal-focus-reporting"
- ],
- "commit": "24bb3bca50c67855eba077aeeb3af86c18569aae",
- "sha256": "1gsqqcxmcx6v3ljwr7fj95ibxn8g798ycm4bi4v9n2ywqsn0kphk"
+ "commit": "23f3fe8b95e0570b65aa21b9db57c906aa9f35fd",
+ "sha256": "1gc1z176nbc3hxx0wwid68bajbl1pwxllsmmsnpqx665zcn7qvnb"
}
},
{
@@ -109049,8 +110208,8 @@
"repo": "magit/sisyphus",
"unstable": {
"version": [
- 20230901,
- 1236
+ 20240106,
+ 1315
],
"deps": [
"compat",
@@ -109058,8 +110217,8 @@
"llama",
"magit"
],
- "commit": "c48b803a193c982e161f735652cb5ffedf0ca03b",
- "sha256": "15svzqi9hvz2rs07jnh0ivisiavk570dq7bxgyxy9jsmqp0kqxkn"
+ "commit": "85f73d86b9a058461e77ed4db372aafcf6666a13",
+ "sha256": "0z4ipba6zjck49wlm47b5f0c1mwi8dqylh2aq6xxlrcw4f3nn1lr"
},
"stable": {
"version": [
@@ -109373,27 +110532,27 @@
"repo": "slime/slime",
"unstable": {
"version": [
- 20231112,
- 2019
+ 20240214,
+ 2218
],
"deps": [
- "cl-lib",
"macrostep"
],
- "commit": "735258a26bb97e85d25f39e4bef83c1f80c12f5d",
- "sha256": "1dxy14dp6z4907vdj5mahj6fxv211rxvldyiq29k494bmapixhxw"
+ "commit": "1b634dd03503a782882a4d078847920fe9544393",
+ "sha256": "1bj2bdqvxksrs3hr7p41ar0rrbffq0znzlyghr55kh22v2wns4bk"
},
"stable": {
"version": [
2,
- 28
+ 29,
+ 1
],
"deps": [
"cl-lib",
"macrostep"
],
- "commit": "a4f3471487db48f7289dc0ea019611d093e5ee7f",
- "sha256": "155y3xld9snkfm7xhn7gz655xxxr6g7qv45znbg25k6y1lczmy4z"
+ "commit": "d85dd9899f7524513c8ad1bd47b0dd248b1915cb",
+ "sha256": "10as5qz1f838rqf0jkd28zlz0sahls5rzpbx3mw48k2vgkjl24z6"
}
},
{
@@ -109630,11 +110789,11 @@
"repo": "joaotavora/sly",
"unstable": {
"version": [
- 20231009,
- 2150
+ 20231213,
+ 1030
],
- "commit": "9c43bf65b967e12cef1996f1af5f0671d8aecbf4",
- "sha256": "15nyr02ykkws4q79jcmxcawddg8sgq9v5l8k7jv7gg3hnpzxjlb2"
+ "commit": "ed17d2c2bd7aead0fbb09c3d22861c80a522a097",
+ "sha256": "1hgxv0mj89n3ll4cisxmkqb9xfqrxwahyzr0a4jijh4z94j71l8w"
},
"stable": {
"version": [
@@ -109733,6 +110892,24 @@
"sha256": "16asd119rzqrlclps2q6yrkis8jy5an5xgzzqvb7jdyq39zxg54q"
}
},
+ {
+ "ename": "sly-overlay",
+ "commit": "c1082443f45797050b9e75789d7fbef3616cb6e7",
+ "sha256": "07pz8nci1g5b8cn3g98l3cyld21nhs881g2m9vb6d277ihb91lj5",
+ "fetcher": "github",
+ "repo": "fosskers/sly-overlay",
+ "unstable": {
+ "version": [
+ 20240107,
+ 2206
+ ],
+ "deps": [
+ "sly"
+ ],
+ "commit": "078c2db5390ef19d1336da067fe85b9586b261e4",
+ "sha256": "1svpfgfavwdbxg33wrb2phgj9sni3ilb025vpfv9v2lnwm7mdpvx"
+ }
+ },
{
"ename": "sly-quicklisp",
"commit": "4150455d424326667390f72f6edd22b274d9fa01",
@@ -109808,11 +110985,11 @@
"repo": "zenitani/elisp",
"unstable": {
"version": [
- 20230822,
- 1208
+ 20240102,
+ 1350
],
- "commit": "8dcf2d1639f662c355c3fe2f533995042dce0ca8",
- "sha256": "0acha536crf59mjpdir1yxh9hk9pnxdjpjggzaj51f7y9hncf43j"
+ "commit": "09f31e1adf2bd900138b0b8e6d2060c336eb07ad",
+ "sha256": "0xbb4v23xvjgrby15lp4an2spvcp5m41112z9pmzvs5wj49w8zks"
}
},
{
@@ -109838,11 +111015,11 @@
"repo": "malsyned/smart-dash",
"unstable": {
"version": [
- 20220704,
- 1645
+ 20240129,
+ 1813
],
- "commit": "2c31849b09d504010c800584b6ab39d61c647bd6",
- "sha256": "1fxg50jw1jqjxsswk0rp2q7skrpi92xd8lshvk22i7gh1743skbb"
+ "commit": "04481dd62671a557fa8812c336d23108e2bca2fa",
+ "sha256": "0xhzf1ya1hf5xfswnlz2anfcp9j38yxkwvd1wf05vf68x849n25y"
}
},
{
@@ -109975,14 +111152,14 @@
"repo": "daviderestivo/smart-mode-line-atom-one-dark-theme",
"unstable": {
"version": [
- 20230107,
- 1731
+ 20240103,
+ 927
],
"deps": [
"smart-mode-line"
],
- "commit": "5f2056d7c24e9c8964f700ab6ec6f00ba4315eb8",
- "sha256": "1klzgsvl4vnj14azdng7c3dz3r8mw3il9p56gf9dpm5xr8w4mwbl"
+ "commit": "f422b79e7b6e2796b1d5f4143913497383840960",
+ "sha256": "1f9003m0wkw2j6b9k1i0ahr4cdslx48rhk8ggksn30523gssa1xp"
}
},
{
@@ -110587,16 +111764,16 @@
"repo": "danielfm/smudge",
"unstable": {
"version": [
- 20210326,
- 2222
+ 20231217,
+ 1531
],
"deps": [
"oauth2",
"request",
"simple-httpd"
],
- "commit": "808f0ef172a80cf4a8ae6d58dfe385d28ecde28e",
- "sha256": "0cm02r0bgmj2dr6njdm1059q0gjx12c13cs1yxx0zqr6294jiby6"
+ "commit": "a847e344887863e9c8aa37c909c64350defd1b73",
+ "sha256": "112844fr8pw6g6b95iiyhmbhmy0hqml0lgc59d16llgd463dbc32"
}
},
{
@@ -110622,14 +111799,14 @@
"repo": "kyleam/snakemake-mode",
"unstable": {
"version": [
- 20230418,
- 147
+ 20231210,
+ 2008
],
"deps": [
"transient"
],
- "commit": "0c4c5b6a25735ac025ce124ace9f0259eb5198e9",
- "sha256": "003sw5qxk0y4qn88fhd963gvlb6i7pa8g12hapv6g1l7xycx9lrz"
+ "commit": "5c47042e088d69375b6407a7eded166403d6fd81",
+ "sha256": "0spgvnsl8kpqq49flnwih3cnmdbmj45sc6czygiai4gwl6drambb"
},
"stable": {
"version": [
@@ -110742,15 +111919,15 @@
"repo": "SpringHan/sniem",
"unstable": {
"version": [
- 20231105,
- 822
+ 20240120,
+ 654
],
"deps": [
"dash",
"s"
],
- "commit": "6132750f28091a774b087faea00d1363204c6922",
- "sha256": "0lqk49mky6gg53a7pwhr1jys40jcw2cwafrwxm9jcknw01glrr4a"
+ "commit": "8582dafd99131b755e32ecb231ae08025adfde98",
+ "sha256": "14v7nirbqyynadhzgms5ybiwn83i4dhh606gc5m04sf7ll7x10p5"
}
},
{
@@ -110986,20 +112163,20 @@
"repo": "bbatsov/solarized-emacs",
"unstable": {
"version": [
- 20231008,
- 1300
+ 20231204,
+ 713
],
- "commit": "cd737ed7e0eac299844a9b453758dec4a392c7c9",
- "sha256": "1xlidpis2b5r30lnh3j8bljfkciz9gc6zsinqiypm76qiz2gnld5"
+ "commit": "922b5956a9e2e474f1595bad7b2b35f148b4df3f",
+ "sha256": "18z36nzyh4dsd8igys37x0r3lnav77fvjrkxv48v3yjsrwli19gl"
},
"stable": {
"version": [
2,
0,
- 3
+ 4
],
- "commit": "07a681fff27ff22d268b726b05576ef93fc4e615",
- "sha256": "0mip5da7glzylmagxkmi2f68i19phbbzn72vh3jd3w76labcvbzm"
+ "commit": "922b5956a9e2e474f1595bad7b2b35f148b4df3f",
+ "sha256": "18z36nzyh4dsd8igys37x0r3lnav77fvjrkxv48v3yjsrwli19gl"
}
},
{
@@ -111646,11 +112823,11 @@
"repo": "gnuhack/spanish-holidays",
"unstable": {
"version": [
- 20230626,
- 805
+ 20231212,
+ 2107
],
- "commit": "26d552ae71f670dc966a3b7b7614d1622bce9f38",
- "sha256": "1rhzcs5zm3z09kmwf2hmhq8sl3vf5qclb5j7lyazr4hmg52f4k22"
+ "commit": "cf892251468e6ba38c259f759bf31e7f9ad1c036",
+ "sha256": "0sjrzifbw0p13bl9lvwim5phhbwa7a868pzs6nxqhvxz88lx72bw"
}
},
{
@@ -111751,11 +112928,11 @@
"repo": "condy0919/spdx.el",
"unstable": {
"version": [
- 20231111,
- 59
+ 20240224,
+ 56
],
- "commit": "18d7cfd411d79d8bc81027a023c72bae96d77059",
- "sha256": "0kj1amrxa1ldc4ss0lx1y2jl4iskg68zm20yldw059gwlg61p13q"
+ "commit": "4554b88e4ba0636a622ac63aa084cd253feff7c0",
+ "sha256": "00zykhxmcrdl0s9yad0f2xsrgpid7wgwdaf88wjji2y3w92170m7"
}
},
{
@@ -111921,9 +113098,9 @@
},
{
"ename": "sphinx-frontend",
- "commit": "4cf72e71f159b9eaaa0834682d5dd4eb258616cf",
- "sha256": "0hdn6zjnhzyka0lzdxqfzbj3lrj767ij406zha9zw8ibbkk7cmag",
- "fetcher": "github",
+ "commit": "1ac417c463e595a08d078a7b60c8ac4a3e3f49ec",
+ "sha256": "1nqdkypgf4xcm0x4bg5bzqdqg35w3a7k8l07qlqkv6d50mifz4z9",
+ "fetcher": "gitlab",
"repo": "kostafey/sphinx-frontend",
"unstable": {
"version": [
@@ -112379,19 +113556,19 @@
"repo": "pekingduck/emacs-sqlite3-api",
"unstable": {
"version": [
- 20230315,
- 1221
+ 20231124,
+ 1326
],
- "commit": "b513b71012f61895f771fc6948d6511ea8ded0a6",
- "sha256": "1y36818nd47mzfi3xcp31nr8n0izzmdyiqfx9hgp7ag98rbm7wlx"
+ "commit": "a601c9965e4d0178705a64b7d4f88709ca9aea66",
+ "sha256": "1d1r65ybcf5idbs8sv0l3dna4l1wy3jba9dvv1kkz7zj6qhr48fs"
},
"stable": {
"version": [
0,
- 17
+ 18
],
- "commit": "b513b71012f61895f771fc6948d6511ea8ded0a6",
- "sha256": "1y36818nd47mzfi3xcp31nr8n0izzmdyiqfx9hgp7ag98rbm7wlx"
+ "commit": "a601c9965e4d0178705a64b7d4f88709ca9aea66",
+ "sha256": "1d1r65ybcf5idbs8sv0l3dna4l1wy3jba9dvv1kkz7zj6qhr48fs"
}
},
{
@@ -112464,16 +113641,16 @@
"repo": "srcery-colors/srcery-emacs",
"unstable": {
"version": [
- 20230829,
- 737
+ 20240220,
+ 805
],
- "commit": "4ee4e51d2c0317d3bc41a131a8bc76cfa2db98ed",
- "sha256": "1j3715m1vx5qaban9d4zix0gpzs5iip7mfmx3x374axjn878d656"
+ "commit": "60028633c5722e6b8ea12844618be0e9b31be55a",
+ "sha256": "1ly311wfwafhbx1f3ml5hhy94iwqp3spz4filwyyp8hvsv8gb21j"
}
},
{
"ename": "srefactor",
- "commit": "e23115ab231ab108678608f2ad0a864f896cd0f2",
+ "commit": "bc2db57a308db64656ccb1b1f31106d0fd71666a",
"sha256": "01cd40jm4h00c5q2ix7cskp7klbkcd3n5763y5lqfv59bjxwdqd2",
"fetcher": "github",
"repo": "tuhdo/semantic-refactor",
@@ -112502,11 +113679,11 @@
"repo": "srfi-explorations/emacs-srfi",
"unstable": {
"version": [
- 20231115,
- 1901
+ 20240113,
+ 2244
],
- "commit": "97fa0664ee4852b18201a3fcac265ac8c52a4a4b",
- "sha256": "154r3xrif4nzdqsfyb6skw0ddbi15j1crnqrqv6yclf4s14icz1m"
+ "commit": "78a31cc9714c80d41f19e33ce5b4cf09a14bfca9",
+ "sha256": "1xml90yrbswcq22lazrl5nwqamfflwry607zj88xk60xnxk2r55l"
},
"stable": {
"version": [
@@ -112779,8 +113956,8 @@
"repo": "daanturo/starhugger.el",
"unstable": {
"version": [
- 20231023,
- 1523
+ 20231202,
+ 235
],
"deps": [
"compat",
@@ -112788,14 +113965,14 @@
"s",
"spinner"
],
- "commit": "8e1bc1167a64cc421ce3d1368a9c0e5da89bf687",
- "sha256": "0wpxicq7yh99qx6vwvjgbwwghx1bqyx972zymzhq3m89wnliqlx3"
+ "commit": "1bb3464c3a198a4f5f2155817d6505c4bab7dc79",
+ "sha256": "1f6ixs708j9s1vcnw1xgl89xmsmv5c4lg8qlazk7cbrg034m9l9d"
},
"stable": {
"version": [
0,
4,
- 1
+ 2
],
"deps": [
"compat",
@@ -112803,8 +113980,8 @@
"s",
"spinner"
],
- "commit": "8e1bc1167a64cc421ce3d1368a9c0e5da89bf687",
- "sha256": "0wpxicq7yh99qx6vwvjgbwwghx1bqyx972zymzhq3m89wnliqlx3"
+ "commit": "1bb3464c3a198a4f5f2155817d6505c4bab7dc79",
+ "sha256": "1f6ixs708j9s1vcnw1xgl89xmsmv5c4lg8qlazk7cbrg034m9l9d"
}
},
{
@@ -112815,11 +113992,11 @@
"repo": "SFTtech/starlit-emacs",
"unstable": {
"version": [
- 20230324,
- 1636
+ 20240223,
+ 1728
],
- "commit": "d6f327fb09497be7bee64d5d204d27f655cc5b04",
- "sha256": "118b3ii9gpjq70q3qf0lyd53jp5a7qvhsl4bbjh4akck96cjdnnj"
+ "commit": "136bbc4fc4961c5b2cd0824eb0762e672322fbd1",
+ "sha256": "1kdk7gb3244z50yxk7wdkvrh1l50ygx5h1flajv9sxqmfivmybfd"
}
},
{
@@ -112974,20 +114151,20 @@
"repo": "stacked-git/stgit",
"unstable": {
"version": [
- 20231008,
- 2236
+ 20240218,
+ 2150
],
- "commit": "f9b9280b570cb13696493ab4d39d64736edd2ca3",
- "sha256": "12pznqs1aycg6syiwrca6v8agrka0l7gh4in0papkkqss54lsaps"
+ "commit": "38ff3c3b2adc6d2c0f2e8fe5cb59f67d701741b3",
+ "sha256": "1g526gpcfjfkkh55by798hlgqph15vl0a1iw9332825wkqjawi6c"
},
"stable": {
"version": [
2,
4,
- 0
+ 5
],
- "commit": "f9b9280b570cb13696493ab4d39d64736edd2ca3",
- "sha256": "12pznqs1aycg6syiwrca6v8agrka0l7gh4in0papkkqss54lsaps"
+ "commit": "38ff3c3b2adc6d2c0f2e8fe5cb59f67d701741b3",
+ "sha256": "1g526gpcfjfkkh55by798hlgqph15vl0a1iw9332825wkqjawi6c"
}
},
{
@@ -113022,7 +114199,7 @@
},
{
"ename": "stickyfunc-enhance",
- "commit": "e24454febf90ec18a587b2c187a2bd2101e1b7b5",
+ "commit": "bc2db57a308db64656ccb1b1f31106d0fd71666a",
"sha256": "13dh19c3bljs83l847syqlg07g33hz6sapg6j4s4xv4skix8zfks",
"fetcher": "github",
"repo": "tuhdo/semantic-stickyfunc-enhance",
@@ -113043,11 +114220,11 @@
"repo": "motform/stimmung-themes",
"unstable": {
"version": [
- 20231113,
- 756
+ 20240117,
+ 1324
],
- "commit": "22f449a30d6d36a42c59c1d31e3538aafff19e90",
- "sha256": "11pj8fh65wz02q9mhyd4yqpis5n3k2wc919l19ygl4kh5h60ailg"
+ "commit": "1a574973041cd5c318f39b95f6377b60337f2d6d",
+ "sha256": "1whzhbvi1kzvxw8ciqm46p911pcd7ynh9zgkshlyzrgg2dcvamrp"
}
},
{
@@ -113124,11 +114301,11 @@
"repo": "fosskers/streak",
"unstable": {
"version": [
- 20220311,
- 1929
+ 20240106,
+ 2145
],
- "commit": "b2206de2fe43f97e754bbcb0abe9b078a419e787",
- "sha256": "0v2yvwgkc48kbqh2lx52044b5d7yvg7d24vivqbri1wny5zpriqr"
+ "commit": "2d56788cbbf6114e61c85dd57b05133f8f351ac6",
+ "sha256": "1chpm2za7g3aac9gjxsf2mm9nf4g2wl1y89dd722v0gcnkdrjgfv"
},
"stable": {
"version": [
@@ -113774,20 +114951,20 @@
"repo": "bbatsov/super-save",
"unstable": {
"version": [
- 20220531,
- 1745
+ 20231209,
+ 1044
],
- "commit": "6f6512bc44b09f7187e58772892fa330f4518c12",
- "sha256": "1nbkx8hphszwn42g75f92n22zkp8kjl3pr0rbfpwdzbsla5lm2dz"
+ "commit": "0298076ea20e5239d485f0029846fc85664ce47f",
+ "sha256": "0bqmy1p7j6dbkyi4j12gpfpg14q4i4llnvxhh8i8z4880q6vbczv"
},
"stable": {
"version": [
0,
- 3,
+ 4,
0
],
- "commit": "2a905b8bdfc93bee16e2d62a61c6211bbe009331",
- "sha256": "066fyg4r4pksyandpd7s53hagpvm2rw90q5ks4jlpgy7x00hw09l"
+ "commit": "103d7a4b9f04754e140b34166a1e983cf0f82693",
+ "sha256": "1nypbklgfxyrp55f0dazg9hm7gkqibijd6k4nlb0b0f1rhcm989b"
}
},
{
@@ -113813,11 +114990,11 @@
"repo": "mkleehammer/surround",
"unstable": {
"version": [
- 20231022,
- 1838
+ 20231211,
+ 1514
],
- "commit": "b75abfaf3e157d232c10e09cd6316a0ad92d2468",
- "sha256": "0xbprgglbjznrx43n1bqdsyfgnsv3r1fm7a2dvaga07kyhwnzy0a"
+ "commit": "5c6e4ba9a4540fbcebfe6d21363179a15bc4ee9e",
+ "sha256": "1fcr9jbvmh3vaabk0bjnczkli594vw5mblc5awzib672p288pwij"
}
},
{
@@ -113903,14 +115080,14 @@
"repo": "rougier/svg-tag-mode",
"unstable": {
"version": [
- 20230824,
- 943
+ 20240122,
+ 1209
],
"deps": [
"svg-lib"
],
- "commit": "c914980f09a9c7e2ba4faf64874ef17505bdc861",
- "sha256": "06jvq2ry71zk63kcncibs8ajzq32p9jn9rw29wdgyqgkww43b5rd"
+ "commit": "f01307dd7720ed952355db0a552ae555c13ced13",
+ "sha256": "13fyg7wn2rzf19ni2niv868rp2iqm1ckqyg0rc99sbgz5i2qdzcw"
}
},
{
@@ -113963,8 +115140,8 @@
"repo": "isamert/swagg.el",
"unstable": {
"version": [
- 20230827,
- 2121
+ 20231128,
+ 2038
],
"deps": [
"compat",
@@ -113973,8 +115150,8 @@
"s",
"yaml"
],
- "commit": "28bfee4157e6b7d58661ae2f1e610fb5e42ecb9e",
- "sha256": "1w9csj4d3pvih6jjki0hix7lgqgv49kdacn3akdyiwc0rmyn2skj"
+ "commit": "097d1441a18eac7169b8a3fba9e253d7f489c6fb",
+ "sha256": "0rzrvx7cmmxf5fgrfa68z1idhd34q74wq3x93xi9h6xlghbzc2ii"
}
},
{
@@ -114047,11 +115224,11 @@
"repo": "thblt/sway.el",
"unstable": {
"version": [
- 20230906,
- 712
+ 20231219,
+ 1842
],
- "commit": "425005713af1e9269f1d5b5221fb4ea3046f52e4",
- "sha256": "1w29dkl7s835zgwnc4jx1cp84s6mmwbvlil8z2c31psy0rlajc6i"
+ "commit": "84eae5e16a643eb00b0a422ded751cceb17cc8f0",
+ "sha256": "194plzc6rg7a5j3f68say0znix34yp8421cdlkwnw345czh52mjn"
},
"stable": {
"version": [
@@ -114096,10 +115273,10 @@
},
{
"ename": "sweet-theme",
- "commit": "a149448c38504bdf6f782a10cb1440da9102990f",
- "sha256": "1ca56disxyr30anvpqahh33s062y35w003yxi1rhdrknka2cnl5q",
+ "commit": "6c0b41b01bdb4f94d08cf7677f58eb3ab364a967",
+ "sha256": "1cmv6f2mj8jrcl9r0m4kc3hkvz4f6hsqjbxkdjvdpl1m6vqb9dwy",
"fetcher": "github",
- "repo": "2bruh4me/sweet-theme",
+ "repo": "konkrotte/sweet-theme",
"unstable": {
"version": [
20200708,
@@ -114185,14 +115362,14 @@
"repo": "swift-emacs/swift-mode",
"unstable": {
"version": [
- 20230930,
- 903
+ 20240217,
+ 631
],
"deps": [
"seq"
],
- "commit": "e58417ec871d260fe47183ffe4b7e47ac09bc682",
- "sha256": "17gcg55jajq3am3nrzlsibkdwskq1rbg3lhgxynkpky0ihci35nr"
+ "commit": "25cf8237312bf5eddc2c90001feb8f73633ab523",
+ "sha256": "0p7rfzyysmxzwvy595b72jlbl2gjb4b6pgm21qdg9dg00hmf3c1n"
},
"stable": {
"version": [
@@ -114239,14 +115416,14 @@
"repo": "abo-abo/swiper",
"unstable": {
"version": [
- 20231025,
- 2311
+ 20240214,
+ 2118
],
"deps": [
"ivy"
],
- "commit": "8c30f4cab5948aa8d942a3b2bbf5fb6a94d9441d",
- "sha256": "1iqj27pc2iivmwfh329v0d9g0z1y0whlnamrl7g2bi374h41m368"
+ "commit": "749ac1235a7948011cb0caddd4c31037e3314614",
+ "sha256": "0d598jxdrxjlszaikh27v7j2zdndisfqzb384d94siw4rwzfj4zr"
},
"stable": {
"version": [
@@ -114421,8 +115598,8 @@
"repo": "vermiculus/sx.el",
"unstable": {
"version": [
- 20220804,
- 1419
+ 20240126,
+ 2120
],
"deps": [
"cl-lib",
@@ -114430,8 +115607,8 @@
"let-alist",
"markdown-mode"
],
- "commit": "c58405f9ff27b9740997ea837a1f6fd173d1edc5",
- "sha256": "1rybhwzvbd6y8p4gshiaw8i5v8ankav4wc4v1viag2j7ay0ygjxz"
+ "commit": "8c1c28f33d714fc8869e49f5642e1a585c8c85af",
+ "sha256": "0jkmb8x28v8jnhgm9zibyjn6q5dmssv24gq1084jwx2kzg135sjm"
},
"stable": {
"version": [
@@ -114550,11 +115727,11 @@
"repo": "liushihao456/symbols-outline.el",
"unstable": {
"version": [
- 20231111,
- 809
+ 20231229,
+ 941
],
- "commit": "48cc227507c3d079a2ceaf6939406ab158b534eb",
- "sha256": "06m2dgfm61iprikv2j0nz63x84l15mzrs0qxn0j3p6flr7aq21n8"
+ "commit": "af4cba0c409a38e25eb572687a3a6848953ef868",
+ "sha256": "0jqw0p3cmjjbgsihnk49v88v7ypbxmpcsl4wb3cs83n2c4qgd11y"
}
},
{
@@ -114684,6 +115861,30 @@
"sha256": "006siydqxqds0qqds0zxn821dk4pw14wyymyp03n594wgqzw7m8q"
}
},
+ {
+ "ename": "syncthing",
+ "commit": "731bfbc71293715350ca072a954cf20b759288bf",
+ "sha256": "07via5xsrz660rafkknp8l9y33dm2yd5q13i1mngk8ld4j9q0bdm",
+ "fetcher": "github",
+ "repo": "KeyWeeUsr/emacs-syncthing",
+ "unstable": {
+ "version": [
+ 20240101,
+ 2334
+ ],
+ "commit": "9f44d45a55b460b7eaeb9fb15d17d94e790705e0",
+ "sha256": "13s6gnjxf5g1688hs30ha65nmmby3gyyzpb2wb82hckwgm0g8rqp"
+ },
+ "stable": {
+ "version": [
+ 2,
+ 2,
+ 0
+ ],
+ "commit": "9f44d45a55b460b7eaeb9fb15d17d94e790705e0",
+ "sha256": "13s6gnjxf5g1688hs30ha65nmmby3gyyzpb2wb82hckwgm0g8rqp"
+ }
+ },
{
"ename": "synonymous",
"commit": "ecf2c44c63e9f41f1733849bdef0d0c301485580",
@@ -114759,14 +115960,14 @@
"repo": "emacs-berlin/syntactic-close",
"unstable": {
"version": [
- 20230423,
- 707
+ 20231218,
+ 1001
],
"deps": [
"cl-lib"
],
- "commit": "c184ff7a3cbcd28439aba7c3531ffebf0cd30b3a",
- "sha256": "1i9dv3c0b68607ldr735ky41gxg8vbf7z7nkplkbx4sgkx3r92c9"
+ "commit": "022018c4de0d799c92301b76ea4f1775cfc9f510",
+ "sha256": "1xgghmdj1irbfxcz7fhxs7i0mrp6myska77m0piicryhqpa8ch9d"
}
},
{
@@ -115070,6 +116271,30 @@
"sha256": "047261v8nvi67h9yils2f1jilmbxc55n31v98qlqxmf56jkzz158"
}
},
+ {
+ "ename": "tab-bar-notch",
+ "commit": "d44b27f4f7415430c03113e0ab8bea35681cd62f",
+ "sha256": "116a26y84jqan7445wdyr5ad2axq6b4652zs806jpibng6lyv28r",
+ "fetcher": "github",
+ "repo": "jimeh/tab-bar-notch",
+ "unstable": {
+ "version": [
+ 20231120,
+ 2029
+ ],
+ "commit": "6d1101d8156e336f45122c04889327a4c5be253c",
+ "sha256": "1s0j89g93jq0p2p9w1wj9aycm74iar2vg0gkhf87xxf88ldhafs7"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 0,
+ 6
+ ],
+ "commit": "6d1101d8156e336f45122c04889327a4c5be253c",
+ "sha256": "1s0j89g93jq0p2p9w1wj9aycm74iar2vg0gkhf87xxf88ldhafs7"
+ }
+ },
{
"ename": "tab-group",
"commit": "ad758d865bde8c97d27c0d57cabe1606f8b36974",
@@ -115093,11 +116318,11 @@
"repo": "mkleehammer/tab-jump-out",
"unstable": {
"version": [
- 20231023,
- 342
+ 20240210,
+ 418
],
- "commit": "8cffbfafb24ea6d9121fd19e38e6a0c25caaa1c4",
- "sha256": "1kpjn8129hhhsxy9z71l74nd41i9y9wijqnwmlz560pnsm2470sy"
+ "commit": "ff4d9b679566e4cab9bebc59123f681fb35f6cbf",
+ "sha256": "09vbdzi1kgd1z92fkhi6g1wisj1r0mvr30l2sq6a0kswc3f99yrf"
}
},
{
@@ -115155,6 +116380,21 @@
"sha256": "1xd67s92gyr49v73j7r7cbhsc40bkw8aqh21whgbypdgzpyc7azc"
}
},
+ {
+ "ename": "tabby-mode",
+ "commit": "6fc1f1cae1c86e2216a43b6c3210d54a1c735740",
+ "sha256": "1vnpw4v6khlc1zyimw07x94vng7m0czrbw2f44yib9930xmivqdx",
+ "fetcher": "github",
+ "repo": "ragnard/tabby-mode",
+ "unstable": {
+ "version": [
+ 20240107,
+ 2124
+ ],
+ "commit": "b656727247c5fc78690827fecf232edc1945a331",
+ "sha256": "01a78kd1xln8hnjnnh9xzyrs6d48bpc1i62g8r1dcgm66ivqk2sq"
+ }
+ },
{
"ename": "tabgo",
"commit": "80bdcded3f79c12968f4884fabf89cc7380c3bf1",
@@ -115163,11 +116403,11 @@
"repo": "isamert/tabgo.el",
"unstable": {
"version": [
- 20230425,
- 907
+ 20240204,
+ 1326
],
- "commit": "1a2f6b2b75c1829eb7acd188086b14fb75d9c7d1",
- "sha256": "1lr1gsy5spj9n99rmzrnnyi70skfga9fxqjgi27jz461y0pv5l9n"
+ "commit": "83b7d3261e9a6aaffd8e97bc047b77a6131789ee",
+ "sha256": "09qdmdivvnwkslq124flgijvxlvix3spglmx8676fjz6gnyzd6zs"
}
},
{
@@ -115201,8 +116441,8 @@
"repo": "shuxiao9058/tabnine",
"unstable": {
"version": [
- 20231019,
- 632
+ 20231123,
+ 1236
],
"deps": [
"dash",
@@ -115212,8 +116452,8 @@
"transient",
"vterm"
],
- "commit": "9d66dd9a3aadd6bfdd3dbbfdc11e6451b15f79e8",
- "sha256": "1hlfn8khvgfm41nhim4byj7mp1m96jiw0z7v8d9fl2hj5ac5lziw"
+ "commit": "f6383e6b8af25ed6edd1ccd67a8791a7a0d06248",
+ "sha256": "1xq6r90apw7n4hfhrwh65mw4bq02rnv08j4jf8mwnw4ga204fnwi"
}
},
{
@@ -115224,14 +116464,14 @@
"repo": "mclear-tools/tabspaces",
"unstable": {
"version": [
- 20231108,
- 1340
+ 20240209,
+ 2300
],
"deps": [
"project"
],
- "commit": "3a0546d9e762600006d85a4c9785167d44ffe9a8",
- "sha256": "1g36scf1620jg02xw2056vyrdx2mwm3qza4h00a1sc19i6qy50xq"
+ "commit": "b8799f477c2b467caf4cc5b740d3945d96b84eca",
+ "sha256": "0f1nqydm6ji2yq5kf1n8y3cijs0zqvyd2rm1yvr77crsyqy52m9i"
}
},
{
@@ -115391,11 +116631,11 @@
"repo": "11111000000/tao-theme-emacs",
"unstable": {
"version": [
- 20230906,
- 1605
+ 20231117,
+ 1840
],
- "commit": "328d50abd0628dba06f127e5bf26f7cbd52c5a71",
- "sha256": "1l3w4icfbmjaariq85f7rxw4lq3ydgkc78szvn4zwca3iafyipn7"
+ "commit": "593494030bc7651efff0c79c62d5e21d3fd54f4f",
+ "sha256": "0741y62m8acpqk87s2bf2iw14m1nppgisdvarvrgz55x6zvn1mk9"
},
"stable": {
"version": [
@@ -115430,11 +116670,11 @@
"repo": "saf-dmitry/taskpaper-mode",
"unstable": {
"version": [
- 20230718,
- 1418
+ 20240112,
+ 1656
],
- "commit": "33a87374f4fd4d6c45c8ae433b9735e72d7a60c8",
- "sha256": "12c2ss4m5c9gm7k02fh1a72b41qfpnpj1bavqn1qhc83hbd5rc6l"
+ "commit": "70897b621ab541dc4b7874a55378aa5a75095e2e",
+ "sha256": "1g5lpl8jr58c225rhvjjzrnarv30n0f3xk58srrqwzrcdvqzywmm"
},
"stable": {
"version": [
@@ -115472,26 +116712,26 @@
"repo": "phillord/tawny-owl",
"unstable": {
"version": [
- 20210528,
- 1710
+ 20231117,
+ 1644
],
"deps": [
"cider"
],
- "commit": "5da72b601cb9f052f35e88c41f1a18b326c03791",
- "sha256": "1hhwyh4qkmhc303sf4qsarpczyqihh45z2xb96m2ra5zlqnklcpp"
+ "commit": "b2708d693400a2010370df040d7571bc30fa4d75",
+ "sha256": "02p8gw7pzawzq2zzkgfx8wpp4l4zlz9zyw0f298yqrwp2zsrw5fx"
},
"stable": {
"version": [
2,
3,
- 0
+ 3
],
"deps": [
"cider"
],
- "commit": "5da72b601cb9f052f35e88c41f1a18b326c03791",
- "sha256": "1hhwyh4qkmhc303sf4qsarpczyqihh45z2xb96m2ra5zlqnklcpp"
+ "commit": "b2708d693400a2010370df040d7571bc30fa4d75",
+ "sha256": "02p8gw7pzawzq2zzkgfx8wpp4l4zlz9zyw0f298yqrwp2zsrw5fx"
}
},
{
@@ -115502,8 +116742,8 @@
"repo": "Yuki-Inoue/tblui.el",
"unstable": {
"version": [
- 20161007,
- 1912
+ 20231201,
+ 1100
],
"deps": [
"cl-lib",
@@ -115511,8 +116751,8 @@
"magit-popup",
"tablist"
],
- "commit": "e280e11b35a2fdbcadf9ce901a2b62684ac7a7a3",
- "sha256": "0alb0gpdny1y90b2c5s25as56qbi3dy8rfnm9ba0k7ifwy0lmfq5"
+ "commit": "62ab5f62982c061a902fd3e54d94a68a4706572c",
+ "sha256": "1fq0dsvh9a8h7n4d4cj7sn73nzbg5chqjby9pzpbs5grx4kf0zi6"
}
},
{
@@ -115543,11 +116783,11 @@
"repo": "kanchoku/tc",
"unstable": {
"version": [
- 20220122,
- 1443
+ 20231123,
+ 1424
],
- "commit": "a3a4738ede3e107a5149c9d55691f57736bf4f48",
- "sha256": "0y2p4jibvbm2c76lik0gsg1hyl9gxb0x0pi0azak6yk3dyzci5w2"
+ "commit": "6d7d16ae0dd737efb8ba68eebf1cc4cfdc26b05f",
+ "sha256": "1af87804j35gkrjlvf694hvza6p409vcprkikm63cwnjz63rzil4"
}
},
{
@@ -115621,15 +116861,15 @@
"repo": "zevlg/telega.el",
"unstable": {
"version": [
- 20231116,
- 1
+ 20240214,
+ 1600
],
"deps": [
"rainbow-identifiers",
"visual-fill-column"
],
- "commit": "08441b4daedbb2688edb2dd3cac0aa71cca675f7",
- "sha256": "1fish0g8dvd6m0lgzy2k92g5dk52swynyyqhv6pyj2wjmwb7cx6f"
+ "commit": "ab03a5ead11e9a0abc96cae6025cd87135a71a57",
+ "sha256": "0mb911k3qnyr92x7f1ycj24qs41r01imy7yk6f32bdx0ri6921v3"
},
"stable": {
"version": [
@@ -115676,16 +116916,16 @@
"repo": "dbordak/telephone-line",
"unstable": {
"version": [
- 20230322,
- 442
+ 20240109,
+ 2021
],
"deps": [
"cl-generic",
"cl-lib",
"seq"
],
- "commit": "202f9c94f7b86827ab7ebb6dbce8302b0447d6ff",
- "sha256": "1hwym7xqgc6zhakni7ws7x9j4whyb86ddiv7cwjj29arfsadbmiz"
+ "commit": "6016418a5e1e8e006cc202eff50ff28b594eeca4",
+ "sha256": "05ymb8hix1mqk5hx1cvzik069x760r4zmzvwld706n7l51zgnrhr"
},
"stable": {
"version": [
@@ -115709,14 +116949,14 @@
"repo": "caramelhooves/teleport.el",
"unstable": {
"version": [
- 20230915,
- 1703
+ 20240101,
+ 1358
],
"deps": [
"dash"
],
- "commit": "a5262b0434b1b0c672e5b4bb625ea8d70a337b12",
- "sha256": "1rnbfbb96826lpvh00qy21aa4ck5kg833z7wj26sir2pr0lrlzb7"
+ "commit": "d8fa80e7f548287279c9620e26c354e68b1559af",
+ "sha256": "1b301mkvbr22bzj0hm0v0mr9ahw7491rl7l6374kiq4l8rlyp54g"
}
},
{
@@ -115727,11 +116967,11 @@
"repo": "lassik/emacs-teletext",
"unstable": {
"version": [
- 20211203,
- 1111
+ 20231215,
+ 1524
],
- "commit": "6b003e9dab9bd0c27d188a81f5fff740d66a2282",
- "sha256": "0ilallavqhqjsxh37gga5k2pgz8jiwxssfhj1jlf7nj89gn2ana6"
+ "commit": "d59ae5f9b79007646815a38f31882a114ca8aee0",
+ "sha256": "0n37ivxjw6ss1ykd3fmqipbmw064j7n3p7h20j8qvg7yr5hk6ldw"
}
},
{
@@ -115742,14 +116982,14 @@
"repo": "lassik/emacs-teletext-yle",
"unstable": {
"version": [
- 20210927,
- 825
+ 20231215,
+ 1609
],
"deps": [
"teletext"
],
- "commit": "9c8f4b503923c4ec688e2dcc9dff62d71bc55933",
- "sha256": "0j0qd75nz0b97pg7x58cf6cxanmwkbyam6raq6zwdlvllwmsq6qd"
+ "commit": "59a287c26571db07e191ac86cdf0be312fec1964",
+ "sha256": "0f391lpvy0dl2l3ld7f9nlvl31ckx26wngp5vg8425cbizy6ji6n"
}
},
{
@@ -115760,25 +117000,25 @@
"repo": "minad/tempel",
"unstable": {
"version": [
- 20230810,
- 2303
+ 20240216,
+ 1543
],
"deps": [
"compat"
],
- "commit": "93deb89ac1b1b6d789779432cfae5c76d9939c44",
- "sha256": "0ks0fnxs9giwp6ly410m5m1v3icgpgs5zj0axi7g37984nnqkq42"
+ "commit": "bcc3185202edce67c7f7fc74287cc2ecbeef10c6",
+ "sha256": "004jwmfj7ymdaml8rdzn8fhki8x8n4d0ziq52wn4nqm5y8n90pz5"
},
"stable": {
"version": [
- 0,
- 8
+ 1,
+ 1
],
"deps": [
"compat"
],
- "commit": "fd46702a849b23c9d9b39cb7810a6d727655d9d0",
- "sha256": "10dcf56x74jrbdzaa9kphyzq2rz5alv800dnnzpbnvwzh29lfyka"
+ "commit": "bcc3185202edce67c7f7fc74287cc2ecbeef10c6",
+ "sha256": "004jwmfj7ymdaml8rdzn8fhki8x8n4d0ziq52wn4nqm5y8n90pz5"
}
},
{
@@ -115789,14 +117029,37 @@
"repo": "Crandel/tempel-collection",
"unstable": {
"version": [
- 20231021,
- 2032
+ 20240216,
+ 1105
],
"deps": [
"tempel"
],
- "commit": "4a1d717eb30a78680c8f4df2d71e395c846c5371",
- "sha256": "0ifmzn5d9mpsjwvg2ir0sy3r4czxa7d6j97l8rrp8ai7jqvydadm"
+ "commit": "6248e22b52fd7fb98bba977221eb8440c82e6c00",
+ "sha256": "1z1vawc62c2a72mkkyz8apczv6g2xbc3zj5zmj7jscc3p5b0h3lf"
+ }
+ },
+ {
+ "ename": "templ-ts-mode",
+ "commit": "28e67b7ae24652913c1f66d9b46e0101189ffd12",
+ "sha256": "1bwx7v2xzfh2r35i4njjrd1bdv2j19wgp1w32cbgmlxp9kl1k0ws",
+ "fetcher": "github",
+ "repo": "danderson/templ-ts-mode",
+ "unstable": {
+ "version": [
+ 20240118,
+ 338
+ ],
+ "commit": "e43dc22adada160906bd411b03cfa022d787486d",
+ "sha256": "1pr53b3jcv0wb7gn32ifsmgq957hvfq58mviz9ym8csi9ijv44vz"
+ },
+ "stable": {
+ "version": [
+ 0,
+ 3
+ ],
+ "commit": "f1df396a5db1d9aef9a3f7fb72be1d0c33e32366",
+ "sha256": "077hk4ssb1bvjxs6f7v78kyqiypx6rv00j3ij61an8z0l878p4yw"
}
},
{
@@ -115843,9 +117106,9 @@
},
{
"ename": "temporary-persistent",
- "commit": "e608f40d00a3b2a80a6997da00e7d04f76d8ef0d",
- "sha256": "0afjcad97pzbrjs1v80l6c98vi5asgaxcn2rq95gz1ld7nn0a9zh",
- "fetcher": "github",
+ "commit": "add3089ff62ba64bff96523768d18b79653bd491",
+ "sha256": "1yscqw46cmzascwg5h63sacz73pdfkzgs8as2fmpb475anacdivr",
+ "fetcher": "gitlab",
"repo": "kostafey/temporary-persistent",
"unstable": {
"version": [
@@ -116173,11 +117436,11 @@
"repo": "davidshepherd7/terminal-here",
"unstable": {
"version": [
- 20220827,
- 819
+ 20240213,
+ 2304
],
- "commit": "4bf2a77e450b260570033afda463e178fdf34617",
- "sha256": "00yqm3fjp0xagmqzvg32nrmiygspkv70sldn86vdzkqdq7z3gmqx"
+ "commit": "0415b2d5ac16325039d0c6e4fdbc8a9e4694820f",
+ "sha256": "0432vlnr6cdwk5ndldd0vyv7r2wgin56mr40q5gdnxv4ppl6rnlg"
},
"stable": {
"version": [
@@ -116312,11 +117575,11 @@
"repo": "TxGVNN/terraform-doc",
"unstable": {
"version": [
- 20230521,
- 849
+ 20240119,
+ 1413
],
- "commit": "8a6ffd5ac133ced5afd07b9d963272e4208f8b1e",
- "sha256": "1bg979zpmbc7g8bzlpbdycll9qm0hzgvrdgviqvgb0ylg2s2mxhp"
+ "commit": "1e6963662d50196efb78f906a4d3d8669454dbbb",
+ "sha256": "1w892ak6bl8armlqbhc6d690y8dm1fnf9sz7sz5zh6y3i92dnj6v"
},
"stable": {
"version": [
@@ -116336,15 +117599,15 @@
"repo": "hcl-emacs/terraform-mode",
"unstable": {
"version": [
- 20230607,
- 1923
+ 20231116,
+ 1525
],
"deps": [
"dash",
"hcl-mode"
],
- "commit": "25a22a66f81e35c75f2fdaaab89aad7f9940fe06",
- "sha256": "11c9bwgrzjw3y70xq5g8z53hi71gpg2xx79d63a337rxyd3yaia5"
+ "commit": "e8b57df8c2a3d3171f3768f60eb84067f553289c",
+ "sha256": "03gdyal1r0b64ljmnpz0wcq9rylfh06cv3vggcz81zvjnyssh9qi"
},
"stable": {
"version": [
@@ -116430,15 +117693,15 @@
"repo": "johannes-mueller/test-cockpit.el",
"unstable": {
"version": [
- 20231103,
- 2037
+ 20240220,
+ 2058
],
"deps": [
"projectile",
"toml"
],
- "commit": "2fcd6ccb94869b854e9d12e0ba5d433adc336296",
- "sha256": "1vjafad1j7kdmawsg6f9p7j2byg6mp4h46p4p8ww4i64smx6iqqp"
+ "commit": "11c23ddb9284b21a1072c37f168574096ee3bd2f",
+ "sha256": "0lg3f03a0bh6crffms1qfs7vlp32cg3rjhr1phmghcxdcm8xj4ca"
}
},
{
@@ -116559,11 +117822,11 @@
"repo": "juba/textile-mode",
"unstable": {
"version": [
- 20230112,
- 1030
+ 20240212,
+ 1755
],
- "commit": "8f8c09ef097a95a713307fdb5c92b9778dfbc1fc",
- "sha256": "0x8ib6cm7rp6hf44348nnc937w98v5q0fv9r650jjvkfl9rkbm7b"
+ "commit": "2ad90cb6ed2560ee147417a3ec65222cc2ad33f1",
+ "sha256": "1jmv769x6sxhb30n92haiiavhvk0cib676nmbgdfjl6mi2prcwyi"
}
},
{
@@ -116699,11 +117962,11 @@
"repo": "monkeyjunglejuice/matrix-emacs-theme",
"unstable": {
"version": [
- 20230402,
- 1218
+ 20240214,
+ 747
],
- "commit": "b339285651e088bc51fa2fb51aa319fb70cab9a4",
- "sha256": "1rnb3h8bhdrawfhlkp32y2r0rai2pxj71qsvpj62drjk582bkfjm"
+ "commit": "1cfaa2b20d1dd9253b0654284eaff41e5a73d444",
+ "sha256": "1vslqg58yr7qa2431yl7z8zlziy71fl653js4xcljx8xfwqlynqh"
}
},
{
@@ -116866,11 +118129,11 @@
"repo": "swflint/third-time",
"unstable": {
"version": [
- 20231023,
- 316
+ 20240207,
+ 1621
],
- "commit": "05bed0c25ce5def3db6b885ffcee74a705dc0dfb",
- "sha256": "1jcbkbc31cshayvgq835sv89jhsbd9w0820872wccs09jkkzfrzf"
+ "commit": "093b74be860fac389fb173caef5fabf61e417eef",
+ "sha256": "0amnjrmkcqkhgrj9xsk53ig508gk74k4h8csjpslc06q9kzrq301"
},
"stable": {
"version": [
@@ -116923,21 +118186,21 @@
"repo": "facebook/fbthrift",
"unstable": {
"version": [
- 20231110,
- 2354
+ 20240216,
+ 1732
],
- "commit": "ebc7d0f77dd49abe280000409954f7672eedd36d",
- "sha256": "1a3a12z9dvqqmjcd9bc6y5xgwj0s8vn3mpk528381jg86lf4wrv2"
+ "commit": "eca43d4980a147304be41de85ae1e4f24e128fc3",
+ "sha256": "0cqkkzqwfm8lgypd0bkwhr5mkhq0fgmfifhdzibwj8mbqzbzkqp6"
},
"stable": {
"version": [
- 2023,
- 11,
- 13,
+ 2024,
+ 2,
+ 19,
0
],
- "commit": "ebc7d0f77dd49abe280000409954f7672eedd36d",
- "sha256": "1a3a12z9dvqqmjcd9bc6y5xgwj0s8vn3mpk528381jg86lf4wrv2"
+ "commit": "eca43d4980a147304be41de85ae1e4f24e128fc3",
+ "sha256": "0cqkkzqwfm8lgypd0bkwhr5mkhq0fgmfifhdzibwj8mbqzbzkqp6"
}
},
{
@@ -116987,14 +118250,14 @@
"repo": "tidalcycles/Tidal",
"unstable": {
"version": [
- 20230616,
- 734
+ 20230312,
+ 1245
],
"deps": [
"haskell-mode"
],
- "commit": "8f7b9368c78f56a3adc30a02a7056970d2afaa3f",
- "sha256": "0y42763f7kgnzvh7abr0kjald61hb1m7y18xqkq3z4ja0xppqwss"
+ "commit": "3ac320020d809ea626fc283839fecb10c9da4ce2",
+ "sha256": "1imqmg4naffiplpc3m74jvf26xwpy6026s61sg4si290qz3cvfq4"
},
"stable": {
"version": [
@@ -117053,26 +118316,26 @@
"repo": "zkry/tiktoken.el",
"unstable": {
"version": [
- 20230719,
- 129
+ 20240103,
+ 340
],
"deps": [
"f"
],
- "commit": "957ece897933460d532057fe60cfddcd9e49d8b5",
- "sha256": "14f17m7kzppax9qm7f9qqx88sy8608kcb3pmcsaa7crpl75a9k2g"
+ "commit": "1dec1547024c10f32cd49129f937fa1d3ee39d01",
+ "sha256": "1bgm30r05z181df5jfhq3yyjcjcarn7lnlsbaq54l8fsan9krhpc"
},
"stable": {
"version": [
0,
- 1,
- 2
+ 2,
+ 1
],
"deps": [
"f"
],
- "commit": "0e48dc3e322e8bee03f07a6dd23b9b3f026400a8",
- "sha256": "050fl60krrfgkx0ib6rnmx4hmlcskbdhvia1crd0vqd09mhbl7pa"
+ "commit": "1dec1547024c10f32cd49129f937fa1d3ee39d01",
+ "sha256": "1bgm30r05z181df5jfhq3yyjcjcarn7lnlsbaq54l8fsan9krhpc"
}
},
{
@@ -117163,11 +118426,11 @@
"repo": "RobertPlant/time-uuid-mode",
"unstable": {
"version": [
- 20230312,
- 2256
+ 20240112,
+ 1005
],
- "commit": "6f0768edb7588add3713952e5b20e9df8ee51f89",
- "sha256": "10hiajmqdyhxcbsy8ywaf0rp9yb4k5dr723lij4vc76bqjv6jpdq"
+ "commit": "e30f50229c617bdd31a1edcd849cba1f3423fea1",
+ "sha256": "1ry1v86qw6xf245nkpjrnjinnbhcj57g3xabsv4q566cdi9l3hwy"
}
},
{
@@ -117178,15 +118441,14 @@
"repo": "emacs-php/emacs-datetime",
"unstable": {
"version": [
- 20160520,
- 1052
+ 20240105,
+ 2100
],
"deps": [
- "cl-lib",
"datetime-format"
],
- "commit": "3a1871613facc928ff250ed8f12fbc7073e46b75",
- "sha256": "0pabb260d3vcr57jqqxqk90vp2qnm63sky37rgvhv508zix2hbva"
+ "commit": "090bfff5c28fa0a6cb629512003c49b3f43ed72d",
+ "sha256": "0sxsvcvxrmyaqp4r5khqv29i7a61kxg4z439b5xc40sfxsljk9pp"
}
},
{
@@ -117365,11 +118627,11 @@
"repo": "aimebertrand/timu-macos-theme",
"unstable": {
"version": [
- 20231022,
- 1832
+ 20240215,
+ 1822
],
- "commit": "f1ecdf8415e4cb3d35019af5b2ad0d6bea96e610",
- "sha256": "0w1xh0i8q2d84f9d5gw61nn7a8xqyllm427qnnjsa5wjlhgvilfl"
+ "commit": "b99b7d77530748009366910b69c238b4c21f5bc0",
+ "sha256": "0yj9sy6iyya3p29kfhb4dlaz2sbq39alvkciv173w992g92cd5s8"
},
"stable": {
"version": [
@@ -117584,11 +118846,11 @@
"repo": "vifon/tmsu.el",
"unstable": {
"version": [
- 20231114,
- 1615
+ 20240117,
+ 1813
],
- "commit": "f809e49e8a53fdfd05dd5c345b52588014668de9",
- "sha256": "19f47gd1l9wdqxyrmxa7jwffh59s3dz922b4aybh73q9x5db7lbf"
+ "commit": "c7dc44c97bf420ff0da5d552abfc9782bed70602",
+ "sha256": "1qn9y3nyx7796yx7qs8j368dskdfnpxhw1vvhlm9xd4dv8sxqjka"
}
},
{
@@ -117599,11 +118861,11 @@
"repo": "nverno/tmux-mode",
"unstable": {
"version": [
- 20231026,
- 2118
+ 20231130,
+ 1249
],
- "commit": "632fc7981ceaea19ab2af0e47acae926354ab453",
- "sha256": "1j4gr3wmh6wrr6lj9bjx7gg34qqywb54309vq28x6y4k9ng966zb"
+ "commit": "ee50d02721600c4b31cdafbb9f2ecc5becf1a5f6",
+ "sha256": "09nd6435hcac0vidlzs2dsx41bzryji3s0kpjhlz1yz81bxw7zq0"
}
},
{
@@ -117614,15 +118876,15 @@
"repo": "laishulu/emacs-tmux-pane",
"unstable": {
"version": [
- 20231014,
- 25
+ 20240106,
+ 131
],
"deps": [
"names",
"s"
],
- "commit": "c38b063a7669ee3bc16cd93a805cd46c2ee80827",
- "sha256": "00gz33r1h3csh7ys8k4ack7ri5d8h45akqxjry9rd2mywahbx3k4"
+ "commit": "0ab0d40b497e984a589189358e04e322b8165985",
+ "sha256": "0lmn62s7iqnk3yai6dvr572hf8f16dym7apls0my9pqcam22zwqc"
}
},
{
@@ -117672,16 +118934,16 @@
"repo": "abrochard/emacs-todoist",
"unstable": {
"version": [
- 20230120,
- 2208
+ 20240113,
+ 1729
],
"deps": [
"dash",
"org",
"transient"
],
- "commit": "d79b4ea4ea4891635966bfc2c769484d2916be80",
- "sha256": "15hpayv41bb7gfy1s1mc0zmd8r1h8h5xmb18sgc4v6l1k4x17z1j"
+ "commit": "e756a345d213d93fbb965d1314c4dec62566c653",
+ "sha256": "18hnpmbbmn939s0z0ynjxl3fkgg9xzpj82rvks81xvv8nf19wfsx"
}
},
{
@@ -117943,11 +119205,14 @@
"repo": "alphapapa/topsy.el",
"unstable": {
"version": [
- 20230414,
- 1738
+ 20231214,
+ 843
],
- "commit": "149ee929dad667fd7668728d9b59dedb0183dfe5",
- "sha256": "122xzikqcd5ycqb8gl19xy0l6pp6xm29viksmc0xl5kzxjvbcdyx"
+ "deps": [
+ "compat"
+ ],
+ "commit": "8b6c6d5026ac72b4c3704ed7bb8fafe1ea343699",
+ "sha256": "0vimk87yp473y70pf9rfk0scbcsg1qbfcy0nnnlgjwhm1hlqxsc7"
}
},
{
@@ -118042,11 +119307,40 @@
"repo": "juergenhoetzel/emacs-totp",
"unstable": {
"version": [
- 20230902,
- 1828
+ 20240102,
+ 1721
],
- "commit": "755e645c063860adb3f22f170b9fee86a4054882",
- "sha256": "0q4lw85d8k0rwgrcq8m5zw10ilaf72bvxhgx91pkl0z0wx7azplv"
+ "commit": "fe05ce6130ff1e9a76fc2aca289083475f70fd52",
+ "sha256": "04hazm8viy6dqakdj2dl849aj435jgsv3rqwq7sl7lgflrn8r45b"
+ }
+ },
+ {
+ "ename": "totp-auth",
+ "commit": "716b2327c4940c05cc4fbcb3dcbca4d22b7455cc",
+ "sha256": "151v9zz3ag1vwknnyhjic0kgc2a358rrfm6q8mxq1hyq53jgkkkl",
+ "fetcher": "gitlab",
+ "repo": "fledermaus/totp.el",
+ "unstable": {
+ "version": [
+ 20240203,
+ 2152
+ ],
+ "deps": [
+ "base32"
+ ],
+ "commit": "5fa6529ace892972d2d7ce08af88c7912a1c25a6",
+ "sha256": "05z6w1s4mxv8knnknq5lj6frp7j4wy6zrafg1hq1kv7q28nqv4qr"
+ },
+ "stable": {
+ "version": [
+ 1,
+ 0
+ ],
+ "deps": [
+ "base32"
+ ],
+ "commit": "da1498e54df8802efe2174c0e988c23fea49fc79",
+ "sha256": "05z6w1s4mxv8knnknq5lj6frp7j4wy6zrafg1hq1kv7q28nqv4qr"
}
},
{
@@ -118192,11 +119486,11 @@
"repo": "emacs-circe/circe",
"unstable": {
"version": [
- 20220421,
- 1956
+ 20231119,
+ 1417
],
- "commit": "710f057fedae6e9b820cce9336fef24b7d057e4c",
- "sha256": "0lrxd4hanaxj85nafsc0wss677slmyaks3qb7a95mj7vic3ib937"
+ "commit": "1a66ac496465d5970d982b088809940286b77800",
+ "sha256": "06digshq994ggsiw9cfs4kfsj4xmp1byi5d5fs3wkqljdw08078n"
},
"stable": {
"version": [
@@ -118273,6 +119567,30 @@
"sha256": "1629qsl2xsz5qwmvwl2wdfnlj6wlhvrb34wc33dd11n8szrvbk6h"
}
},
+ {
+ "ename": "transducers",
+ "commit": "c1082443f45797050b9e75789d7fbef3616cb6e7",
+ "sha256": "1yhfyma9shq9pwl5zgbjpbqmvrzk7bpv1fdcb2b4rjg50x58d8aw",
+ "fetcher": "github",
+ "repo": "fosskers/transducers.el",
+ "unstable": {
+ "version": [
+ 20240206,
+ 646
+ ],
+ "commit": "acf4588bc3113e8412451650b0c38243ed43db89",
+ "sha256": "0n7w3vpdvhci5j6i5v73cp3awcp3airm4rb6f2jhz3ni8ih9z1by"
+ },
+ "stable": {
+ "version": [
+ 1,
+ 1,
+ 0
+ ],
+ "commit": "7e75ccee58edaf16d98a1b3aef14035daf4a5370",
+ "sha256": "1c26xw7q4ksdrifs347br6rxmb36gz4fsz5j51b2gmr9v0fpy3ml"
+ }
+ },
{
"ename": "transfer-sh",
"commit": "55dc23a82be3e85b46cfdee18697b1b096573924",
@@ -118299,27 +119617,28 @@
"repo": "magit/transient",
"unstable": {
"version": [
- 20231112,
- 923
+ 20240221,
+ 1504
],
"deps": [
"compat",
"seq"
],
- "commit": "3cd1de1695084df089cc90cff89b32dfd6ca5a0a",
- "sha256": "0j7cww8ip51nqn3qyw027ki7bcg4m7lmh6xxv8ahxsh6mb0iqcdv"
+ "commit": "ba37702ab3cf90191865172bd5581fd353ccba63",
+ "sha256": "0wl5x3dfkw41d8pvmyqn4g2jd2dyanncaxms6g2wqhfkp41rkg97"
},
"stable": {
"version": [
0,
- 4,
+ 5,
3
],
"deps": [
- "compat"
+ "compat",
+ "seq"
],
- "commit": "8cf1238181d57504e68f42fa0d4ef66784b197a9",
- "sha256": "03qs1bj7dxgdppzcnhzmldpdam1h8kzd0ps2bk82slypm7d63nay"
+ "commit": "72cf67d7e047a4f82e0e18bd016c7826bf2efde0",
+ "sha256": "0fr0pan4dffckfywnx7a0dkb2l71fnc47cqqqb1lckqwr1gr9z6l"
}
},
{
@@ -118511,11 +119830,11 @@
"repo": "jcs-elpa/transwin",
"unstable": {
"version": [
- 20220921,
- 831
+ 20240126,
+ 720
],
- "commit": "ed0156a98b6fce94da9045bdffe369f390b70c0c",
- "sha256": "13q9mm76pp2h3jhp5kyc3gynzzzzaiczhkc1lvwq1zmrca9bavr2"
+ "commit": "99f9296a18654cb38f2ffb8682b7532be60bec5e",
+ "sha256": "1h65dc50ia64q9gm8xyiljif7sjzphj6fdbc7ra4bkxj8amivy05"
},
"stable": {
"version": [
@@ -118595,15 +119914,15 @@
"repo": "tarsius/tray",
"unstable": {
"version": [
- 20230917,
- 1002
+ 20240101,
+ 2226
],
"deps": [
"compat",
"transient"
],
- "commit": "b8d48c81de06261926420d75608cc69f99970563",
- "sha256": "1l8jmkpdc9mc6z6znmxa7g5n6sgvpy78rgrpxfcafgjiki8lmqqr"
+ "commit": "15c08ec2b57ce0535f54242088d6051d2cf9a049",
+ "sha256": "0p9hg76a3ah8910r11da0c8ig4c6jpyinqnkgn15y0w3kb1zsh23"
},
"stable": {
"version": [
@@ -118627,16 +119946,16 @@
"repo": "ethan-leba/tree-edit",
"unstable": {
"version": [
- 20231108,
- 1749
+ 20231124,
+ 1712
],
"deps": [
"dash",
"reazon",
"s"
],
- "commit": "5f12ca6f366aad2c311bac09817f079900dce8d2",
- "sha256": "0gs9jfv20mnjk3b6ry7vd5yfib0278c3l9gkkcdflbchgwyggq92"
+ "commit": "3e71d276e7369ff4525f0e2b84356a31fe6b7782",
+ "sha256": "1f4r7bd4cvrv5piks62aq7m7y1wx8ddg7d7f5s6b3z9fmrh7jd2b"
}
},
{
@@ -118774,26 +120093,26 @@
"repo": "emacs-tree-sitter/tree-sitter-langs",
"unstable": {
"version": [
- 20231115,
- 1119
+ 20240223,
+ 1000
],
"deps": [
"tree-sitter"
],
- "commit": "3a3ad0527d5f8c7768678878eb5cfe399bedf703",
- "sha256": "0hbkb2b5i3dgax7jsjds4h6zyyzxyk5r5hcgpzlna61vqjwvvd8l"
+ "commit": "8b65f758e95705708e416f3fd535c664838a3083",
+ "sha256": "1kd2sjz73a0vqfl1g1fdfhg8y3r826gm6xhqlhxz31g1j69b8z5q"
},
"stable": {
"version": [
0,
12,
- 79
+ 139
],
"deps": [
"tree-sitter"
],
- "commit": "3a3ad0527d5f8c7768678878eb5cfe399bedf703",
- "sha256": "0hbkb2b5i3dgax7jsjds4h6zyyzxyk5r5hcgpzlna61vqjwvvd8l"
+ "commit": "8b65f758e95705708e416f3fd535c664838a3083",
+ "sha256": "1kd2sjz73a0vqfl1g1fdfhg8y3r826gm6xhqlhxz31g1j69b8z5q"
}
},
{
@@ -118804,26 +120123,26 @@
"repo": "purplg/treebundel",
"unstable": {
"version": [
- 20231007,
- 1424
+ 20240210,
+ 343
],
"deps": [
"compat"
],
- "commit": "0e63d0b3c9029b63b3e446e6317bd7d366c0436a",
- "sha256": "0adfx4hbklvgnkv6bkrs7lfh2d4ncksmapg72fywpa2mapww6fqg"
+ "commit": "2d6c69cb7798a8cb7fcf9923c4580220dd48b3d4",
+ "sha256": "18winxkh1934jr19prp2yxlnl2vgh1yhrrvy1flg0l2r2pb5zrch"
},
"stable": {
"version": [
0,
- 1,
+ 3,
0
],
"deps": [
"compat"
],
- "commit": "869e79dd95997e76443b3aea1567532d58e61956",
- "sha256": "1hk2xnjsr85in48h02xbzi8lsccp36li2bp9v9j0r8qn1bis2vv9"
+ "commit": "7b4613878815f8e26aaf17007228aa9063a4e82d",
+ "sha256": "0fpmm3jb95lksamxqz9850x2vcmcvq6bx29sn0fci6ny4cvpnq5k"
}
},
{
@@ -118870,8 +120189,8 @@
"repo": "Alexander-Miller/treemacs",
"unstable": {
"version": [
- 20231109,
- 1915
+ 20240214,
+ 2107
],
"deps": [
"ace-window",
@@ -118883,8 +120202,8 @@
"pfuture",
"s"
],
- "commit": "a1e855bce6c112d57994c991bc50775b6922f73e",
- "sha256": "0vhlyqfa70jxc3yfh7g3vpr792kk88jsd16majn1jkqmldi6vk3r"
+ "commit": "025b7ce326bec062a33d772cc6548e55f940c622",
+ "sha256": "00y15mn949vnm8yg1gqi66lp70kv5vnyknwnh4v3amamqbh5na15"
},
"stable": {
"version": [
@@ -118913,15 +120232,15 @@
"repo": "Alexander-Miller/treemacs",
"unstable": {
"version": [
- 20230408,
- 1109
+ 20240131,
+ 2042
],
"deps": [
"all-the-icons",
"treemacs"
],
- "commit": "127485317a19254ca20ba1910d10edf7dbaa2d97",
- "sha256": "1rs0l0k9fd8xav627944jfm518yillcmjbdrkzjw3xq1wx80pn95"
+ "commit": "bcba09c1581c4bd93ff0217d464aead04f6d26d4",
+ "sha256": "051x78qpzclzr8mic5z3rpr1j3f5a5apcnn9rhah1rnxg5z9gqa7"
},
"stable": {
"version": [
@@ -118944,15 +120263,15 @@
"repo": "Alexander-Miller/treemacs",
"unstable": {
"version": [
- 20230822,
- 2039
+ 20240131,
+ 2042
],
"deps": [
"evil",
"treemacs"
],
- "commit": "76a20a456cbbf45a317e1e5f6f5b970f938a3bfe",
- "sha256": "0qg0pp8qbvcpaspwl17biad5zmzc8418fcwlv7fzrxz6bc72hqfh"
+ "commit": "bcba09c1581c4bd93ff0217d464aead04f6d26d4",
+ "sha256": "051x78qpzclzr8mic5z3rpr1j3f5a5apcnn9rhah1rnxg5z9gqa7"
},
"stable": {
"version": [
@@ -118975,14 +120294,14 @@
"repo": "Alexander-Miller/treemacs",
"unstable": {
"version": [
- 20230930,
- 1141
+ 20240131,
+ 2042
],
"deps": [
"treemacs"
],
- "commit": "f4795c7172c64f5c7a8e66042019a56465632bbd",
- "sha256": "00wmk9w9cnxld8qvk930fkas0g03bbic3yq4nk5qbsjx9r0cysny"
+ "commit": "bcba09c1581c4bd93ff0217d464aead04f6d26d4",
+ "sha256": "051x78qpzclzr8mic5z3rpr1j3f5a5apcnn9rhah1rnxg5z9gqa7"
},
"stable": {
"version": [
@@ -119004,16 +120323,16 @@
"repo": "Alexander-Miller/treemacs",
"unstable": {
"version": [
- 20230830,
- 1936
+ 20240131,
+ 2042
],
"deps": [
"magit",
"pfuture",
"treemacs"
],
- "commit": "3cd2a0d64b4afc76503706f90567e8914836da18",
- "sha256": "1b1xplnk3iy16010cvnxnikr3bgc576pg7fmgl0zf8xpc7m6wriw"
+ "commit": "bcba09c1581c4bd93ff0217d464aead04f6d26d4",
+ "sha256": "051x78qpzclzr8mic5z3rpr1j3f5a5apcnn9rhah1rnxg5z9gqa7"
},
"stable": {
"version": [
@@ -119056,16 +120375,16 @@
"repo": "Alexander-Miller/treemacs",
"unstable": {
"version": [
- 20230703,
- 1929
+ 20240131,
+ 2042
],
"deps": [
"dash",
"persp-mode",
"treemacs"
],
- "commit": "2c576bebccd56ec8e65f4ec5ed5de864d9684fbf",
- "sha256": "1if18rdzp1cw01mx3yy6wdn7iq0n9r0wp2h4inx74hpssrsidn2c"
+ "commit": "bcba09c1581c4bd93ff0217d464aead04f6d26d4",
+ "sha256": "051x78qpzclzr8mic5z3rpr1j3f5a5apcnn9rhah1rnxg5z9gqa7"
},
"stable": {
"version": [
@@ -119089,16 +120408,16 @@
"repo": "Alexander-Miller/treemacs",
"unstable": {
"version": [
- 20230703,
- 1929
+ 20240131,
+ 2042
],
"deps": [
"dash",
"perspective",
"treemacs"
],
- "commit": "2c576bebccd56ec8e65f4ec5ed5de864d9684fbf",
- "sha256": "1if18rdzp1cw01mx3yy6wdn7iq0n9r0wp2h4inx74hpssrsidn2c"
+ "commit": "bcba09c1581c4bd93ff0217d464aead04f6d26d4",
+ "sha256": "051x78qpzclzr8mic5z3rpr1j3f5a5apcnn9rhah1rnxg5z9gqa7"
},
"stable": {
"version": [
@@ -119122,15 +120441,15 @@
"repo": "Alexander-Miller/treemacs",
"unstable": {
"version": [
- 20230703,
- 1929
+ 20240131,
+ 2042
],
"deps": [
"projectile",
"treemacs"
],
- "commit": "2fed6948fe2bc37f8539c5de232e5ccd4891800a",
- "sha256": "0slc7b5xdgbdcl9sldiq23ch3nnmz60gqv8sj3vswxk435ajcyzg"
+ "commit": "bcba09c1581c4bd93ff0217d464aead04f6d26d4",
+ "sha256": "051x78qpzclzr8mic5z3rpr1j3f5a5apcnn9rhah1rnxg5z9gqa7"
},
"stable": {
"version": [
@@ -119153,15 +120472,15 @@
"repo": "Alexander-Miller/treemacs",
"unstable": {
"version": [
- 20230703,
- 1929
+ 20240131,
+ 2042
],
"deps": [
"dash",
"treemacs"
],
- "commit": "2fed6948fe2bc37f8539c5de232e5ccd4891800a",
- "sha256": "0slc7b5xdgbdcl9sldiq23ch3nnmz60gqv8sj3vswxk435ajcyzg"
+ "commit": "bcba09c1581c4bd93ff0217d464aead04f6d26d4",
+ "sha256": "051x78qpzclzr8mic5z3rpr1j3f5a5apcnn9rhah1rnxg5z9gqa7"
},
"stable": {
"version": [
@@ -119208,20 +120527,20 @@
"repo": "renzmann/treesit-auto",
"unstable": {
"version": [
- 20231111,
- 250
+ 20240223,
+ 1450
],
- "commit": "e3beb757ce42de47b063023d08ebdf49613b56bd",
- "sha256": "1r7bag4iyvsi8lqnwyacsk1fwclwkazwr9yz1a0kjcw7fqj9ysmd"
+ "commit": "299dd88c9e5f1ff91a8f1989ad1e97e86c220020",
+ "sha256": "0c29ldai6fq413y14b4n3sh3s46vffdpg9xgspjklkq9ja1l0lw7"
},
"stable": {
"version": [
+ 1,
0,
- 6,
- 9
+ 4
],
- "commit": "e3beb757ce42de47b063023d08ebdf49613b56bd",
- "sha256": "1r7bag4iyvsi8lqnwyacsk1fwclwkazwr9yz1a0kjcw7fqj9ysmd"
+ "commit": "299dd88c9e5f1ff91a8f1989ad1e97e86c220020",
+ "sha256": "0c29ldai6fq413y14b4n3sh3s46vffdpg9xgspjklkq9ja1l0lw7"
}
},
{
@@ -119847,17 +121166,26 @@
},
{
"ename": "typewriter-roll-mode",
- "commit": "4b17337429ea28905a8d8da0de1faf93149d7a3c",
- "sha256": "153c9ij63r24ys8xgv06lyzbz89sxdnz1p7b34cg8wpm1jacmz74",
+ "commit": "57abce9f9faa4109672516a3a9beff684f8f5a3e",
+ "sha256": "1higdy13s19cqcbg2kvaqxx5larsc1h6x7wy5hpzpgbhasdbvc3g",
"fetcher": "github",
"repo": "KeyWeeUsr/typewriter-roll-mode",
"unstable": {
"version": [
- 20231009,
- 2207
+ 20231130,
+ 1
],
- "commit": "3114d05731517d40972e2ed896806b25bdc0d8c2",
- "sha256": "0ns5warzk9hy4wivw5qc51lj95ik66wv4d82qxr49grrmy47924f"
+ "commit": "100da2ba50195dcde926aecdf8b72ce4c1ccaeff",
+ "sha256": "165mrqy13bkrabc5hsd780ll362j7b518c5ys6qlcdvijv4dgm40"
+ },
+ "stable": {
+ "version": [
+ 1,
+ 0,
+ 1
+ ],
+ "commit": "100da2ba50195dcde926aecdf8b72ce4c1ccaeff",
+ "sha256": "165mrqy13bkrabc5hsd780ll362j7b518c5ys6qlcdvijv4dgm40"
}
},
{
@@ -120147,6 +121475,42 @@
"sha256": "1ri50nab778kpq49m54ra75z8dphagp9sz92is0636j4qy3sbih1"
}
},
+ {
+ "ename": "uiua-mode",
+ "commit": "439ff5e57091d040ceab47b46a410da5a1f5241b",
+ "sha256": "1hwmd9isj3pkcbakxjmpcw7adsx13q9ac5bpq5avb4s4mqp4zxff",
+ "fetcher": "github",
+ "repo": "crmsnbleyd/uiua-mode",
+ "unstable": {
+ "version": [
+ 20231219,
+ 1706
+ ],
+ "deps": [
+ "reformatter"
+ ],
+ "commit": "24115f187212a89e600dfb870fc34880355846ed",
+ "sha256": "11lagjk8vwbls5dxqfcrrljn82f0rbljn9x4fz0sf96svja8j2va"
+ }
+ },
+ {
+ "ename": "uiua-ts-mode",
+ "commit": "439ff5e57091d040ceab47b46a410da5a1f5241b",
+ "sha256": "0zhfg6ajcm5g2mk87m6rb4nj4ynn7jsh1j8iwngv9alilgjfvwgd",
+ "fetcher": "github",
+ "repo": "crmsnbleyd/uiua-ts-mode",
+ "unstable": {
+ "version": [
+ 20231215,
+ 2007
+ ],
+ "deps": [
+ "uiua-mode"
+ ],
+ "commit": "1d9b2d4929094e7df7dd23aa1204b4a47c654cc4",
+ "sha256": "0bgpnd13z1011f5c4sq55jpvf0f6l52v53b739j46czn705ygm8k"
+ }
+ },
{
"ename": "ujelly-theme",
"commit": "091dcc3775ec2137cb61d66df4e72aca4900897a",
@@ -120268,17 +121632,17 @@
},
{
"ename": "undersea-theme",
- "commit": "0ee426fc0dcf1d8a4519ea9f953a8e20f1505f05",
- "sha256": "1f2lkm4nv0sah2674wkfd50qx3mjg57618kcjvwam1q6qz2k1q41",
+ "commit": "60b3f2b116baae4321bf873d0312d50766f2e73a",
+ "sha256": "0ablfdkl24c2yy1z5smqdwbdagxrhkmlxlhg8qapa7v1yndn6zmi",
"fetcher": "github",
- "repo": "jcs-elpa/undersea-theme",
+ "repo": "jcs-legacy/undersea-theme",
"unstable": {
"version": [
- 20220616,
- 1950
+ 20240101,
+ 1006
],
- "commit": "6bc351c4cb49ccc7210801e6b54ecc2993289b92",
- "sha256": "0vvz0s5jq2a9dlqbnq18mlkh4dkpp8kizkjyn7jk38npqy4syyv1"
+ "commit": "0730e21187367003c533e67cdb676a423a8dccd0",
+ "sha256": "0cp8abih0pibp57wvf84l1gszzsdn5c2hlqp6v263rpx9zamvjll"
},
"stable": {
"version": [
@@ -120336,11 +121700,11 @@
"repo": "ideasman42/emacs-undo-fu-session",
"unstable": {
"version": [
- 20230703,
- 2353
+ 20240204,
+ 1215
],
- "commit": "a6c4f73bc22401fd36e0f2fd4fe058bb28566d84",
- "sha256": "03pb88bi5z4f01972jbk9z6w9iqamqflfp20mih29ghvbiyn6ahj"
+ "commit": "2b355c9d39b2688f859a762f2289f23fd16fadc4",
+ "sha256": "1r81m5zpckqkqxb4mfz9k2m17n8n790l69zhcx9i0711wxhcszqk"
}
},
{
@@ -120832,26 +122196,56 @@
"repo": "swflint/emacs-universal-sidecar",
"unstable": {
"version": [
- 20231023,
- 219
+ 20240130,
+ 2120
],
"deps": [
"magit-section"
],
- "commit": "85dd85d1f5167d9aca17e4a537dd699ea52d3864",
- "sha256": "039g112wj3aj5fldlxs6wbni8gfxb1qp0qai8ix305afjkkz9022"
+ "commit": "d7a30e53fdda44a07160ef438ae1068178f23785",
+ "sha256": "0zhafx5rk6221whi167j6vvlld7wwnd7capzdflv3x8d5jbhy87k"
},
"stable": {
"version": [
1,
- 4,
- 1
+ 5,
+ 2
],
"deps": [
"magit-section"
],
- "commit": "85dd85d1f5167d9aca17e4a537dd699ea52d3864",
- "sha256": "039g112wj3aj5fldlxs6wbni8gfxb1qp0qai8ix305afjkkz9022"
+ "commit": "9050eaea7946e613a4b9ecd9dd1462614699edb1",
+ "sha256": "169n0d4hsx9azch1w14wlmilm411hb7v0564xrcwww5w145jrs9m"
+ }
+ },
+ {
+ "ename": "universal-sidecar-citeproc",
+ "commit": "80852cf1c594ba8ca72634b8364f5495d3c039b6",
+ "sha256": "0vg3a7d0hrar77q96vlisalsk532inzpi5gw345f1g61vlb8v35j",
+ "fetcher": "sourcehut",
+ "repo": "swflint/emacs-universal-sidecar",
+ "unstable": {
+ "version": [
+ 20240115,
+ 1727
+ ],
+ "deps": [
+ "citeproc"
+ ],
+ "commit": "9050eaea7946e613a4b9ecd9dd1462614699edb1",
+ "sha256": "169n0d4hsx9azch1w14wlmilm411hb7v0564xrcwww5w145jrs9m"
+ },
+ "stable": {
+ "version": [
+ 1,
+ 5,
+ 2
+ ],
+ "deps": [
+ "citeproc"
+ ],
+ "commit": "9050eaea7946e613a4b9ecd9dd1462614699edb1",
+ "sha256": "169n0d4hsx9azch1w14wlmilm411hb7v0564xrcwww5w145jrs9m"
}
},
{
@@ -120862,30 +122256,30 @@
"repo": "swflint/emacs-universal-sidecar",
"unstable": {
"version": [
- 20231023,
- 219
+ 20240115,
+ 1727
],
"deps": [
"bibtex-completion",
"elfeed",
"universal-sidecar"
],
- "commit": "85dd85d1f5167d9aca17e4a537dd699ea52d3864",
- "sha256": "039g112wj3aj5fldlxs6wbni8gfxb1qp0qai8ix305afjkkz9022"
+ "commit": "9050eaea7946e613a4b9ecd9dd1462614699edb1",
+ "sha256": "169n0d4hsx9azch1w14wlmilm411hb7v0564xrcwww5w145jrs9m"
},
"stable": {
"version": [
1,
- 4,
- 1
+ 5,
+ 2
],
"deps": [
"bibtex-completion",
"elfeed",
"universal-sidecar"
],
- "commit": "85dd85d1f5167d9aca17e4a537dd699ea52d3864",
- "sha256": "039g112wj3aj5fldlxs6wbni8gfxb1qp0qai8ix305afjkkz9022"
+ "commit": "9050eaea7946e613a4b9ecd9dd1462614699edb1",
+ "sha256": "169n0d4hsx9azch1w14wlmilm411hb7v0564xrcwww5w145jrs9m"
}
},
{
@@ -120896,30 +122290,30 @@
"repo": "swflint/emacs-universal-sidecar",
"unstable": {
"version": [
- 20231023,
- 219
+ 20240115,
+ 1727
],
"deps": [
"elfeed",
"elfeed-score",
"universal-sidecar"
],
- "commit": "85dd85d1f5167d9aca17e4a537dd699ea52d3864",
- "sha256": "039g112wj3aj5fldlxs6wbni8gfxb1qp0qai8ix305afjkkz9022"
+ "commit": "9050eaea7946e613a4b9ecd9dd1462614699edb1",
+ "sha256": "169n0d4hsx9azch1w14wlmilm411hb7v0564xrcwww5w145jrs9m"
},
"stable": {
"version": [
1,
- 4,
- 1
+ 5,
+ 2
],
"deps": [
"elfeed",
"elfeed-score",
"universal-sidecar"
],
- "commit": "85dd85d1f5167d9aca17e4a537dd699ea52d3864",
- "sha256": "039g112wj3aj5fldlxs6wbni8gfxb1qp0qai8ix305afjkkz9022"
+ "commit": "9050eaea7946e613a4b9ecd9dd1462614699edb1",
+ "sha256": "169n0d4hsx9azch1w14wlmilm411hb7v0564xrcwww5w145jrs9m"
}
},
{
@@ -120930,28 +122324,28 @@
"repo": "swflint/emacs-universal-sidecar",
"unstable": {
"version": [
- 20231023,
- 219
+ 20240115,
+ 1727
],
"deps": [
"org-roam",
"universal-sidecar"
],
- "commit": "85dd85d1f5167d9aca17e4a537dd699ea52d3864",
- "sha256": "039g112wj3aj5fldlxs6wbni8gfxb1qp0qai8ix305afjkkz9022"
+ "commit": "9050eaea7946e613a4b9ecd9dd1462614699edb1",
+ "sha256": "169n0d4hsx9azch1w14wlmilm411hb7v0564xrcwww5w145jrs9m"
},
"stable": {
"version": [
1,
- 4,
- 1
+ 5,
+ 2
],
"deps": [
"org-roam",
"universal-sidecar"
],
- "commit": "85dd85d1f5167d9aca17e4a537dd699ea52d3864",
- "sha256": "039g112wj3aj5fldlxs6wbni8gfxb1qp0qai8ix305afjkkz9022"
+ "commit": "9050eaea7946e613a4b9ecd9dd1462614699edb1",
+ "sha256": "169n0d4hsx9azch1w14wlmilm411hb7v0564xrcwww5w145jrs9m"
}
},
{
@@ -121147,20 +122541,20 @@
"repo": "ursalang/ursa-ts-mode",
"unstable": {
"version": [
- 20231113,
- 2331
+ 20231119,
+ 1545
],
- "commit": "b4afa0c2f2a06fca4fcba8772989e4ca09bab7b9",
- "sha256": "1x9vlwwbrdghp02bpqgjni77wsnhcm0w8c0ph9v87xr21mpkwclk"
+ "commit": "8a2a6011ff68852c0714a9ef17b25efc519fdd64",
+ "sha256": "0m515zx8k1gh5lji7fs97wcj8r0w488lzid7jrp1s7m3a6cd27hr"
},
"stable": {
"version": [
1,
3,
- 4
+ 5
],
- "commit": "b4afa0c2f2a06fca4fcba8772989e4ca09bab7b9",
- "sha256": "1x9vlwwbrdghp02bpqgjni77wsnhcm0w8c0ph9v87xr21mpkwclk"
+ "commit": "8a2a6011ff68852c0714a9ef17b25efc519fdd64",
+ "sha256": "0m515zx8k1gh5lji7fs97wcj8r0w488lzid7jrp1s7m3a6cd27hr"
}
},
{
@@ -121396,11 +122790,11 @@
"repo": "jcs-elpa/use-ttf",
"unstable": {
"version": [
- 20230503,
- 1015
+ 20240101,
+ 928
],
- "commit": "a01d9aef26ffc45dbe8d57d7c061a3a80eb79a2b",
- "sha256": "1wfqxazs8xx7fyr69q0xqhpnxz3kz1mkb8fq6pb2wmpzrm3jbdnw"
+ "commit": "e0f61ad6c0b6ecf89ff0b43c430b15c94ec8c8b3",
+ "sha256": "11mp10s7pdkr5zrx793767n4y5afm8n9c8gxhs1scvqz3hpw46b5"
},
"stable": {
"version": [
@@ -121499,11 +122893,11 @@
"repo": "kanru/uuidgen-el",
"unstable": {
"version": [
- 20220405,
- 1345
+ 20240201,
+ 2318
],
- "commit": "7b728c1d92e196c3acf87a004949335cfc18eab3",
- "sha256": "1z7x4p1qgyginn74xapd1iq0k53m9qbfk57dzc8srg7fcn5ip1js"
+ "commit": "cebbe09d27c63abe61fe8c2e2248587d90265b59",
+ "sha256": "1ih6kj3inwdxypbqj2n5vnfxmc6rfrx114w8bdy60yd8klx7273d"
},
"stable": {
"version": [
@@ -122226,11 +123620,11 @@
"repo": "federicotdn/verb",
"unstable": {
"version": [
- 20231114,
- 2309
+ 20240210,
+ 1127
],
- "commit": "1edcf0c3758c70acb9393fda7dfbc5957bb0fbeb",
- "sha256": "1wjrfzmalkgk9778kc4maz7khq1dli0x27ifyhgi8zvgy899pykc"
+ "commit": "b3e3c3eeea21ffa24f5dc8bef6dd401cc25c199a",
+ "sha256": "06sk6gllhni4ai3nh304zjsv17fzpsficbp2zi2mbn6q8vd5siax"
},
"stable": {
"version": [
@@ -122287,8 +123681,8 @@
"repo": "gmlarumbe/verilog-ext",
"unstable": {
"version": [
- 20231020,
- 1553
+ 20240212,
+ 57
],
"deps": [
"ag",
@@ -122303,20 +123697,19 @@
"verilog-ts-mode",
"yasnippet"
],
- "commit": "31bc0f38a83cbfd34abe3858081d290d16d8dc7a",
- "sha256": "0csi1a6j71l4nl7x31gd6655mp79lyqrjl9kbb2ywrfiiz97gfyc"
+ "commit": "9da79ac0c79e8819381002c205ae6817bbc9b642",
+ "sha256": "1jnnppvmp090c8mbkkq7daf2f497c3kzriph1d8pm4ph067d5ymz"
},
"stable": {
"version": [
0,
- 3,
+ 4,
1
],
"deps": [
"ag",
"apheleia",
"async",
- "eglot",
"flycheck",
"hydra",
"lsp-mode",
@@ -122326,8 +123719,8 @@
"verilog-ts-mode",
"yasnippet"
],
- "commit": "72698f6f8ac71c29a877ee129f04511a11bb9978",
- "sha256": "158xs928k7dl80j78fgx786zf4z3r5d61yv2dg7ki69xdc0bggwp"
+ "commit": "0976770f9fc2722357672e532e7a86bc304de171",
+ "sha256": "196d531zd48sjdmjaqa828z5m3izc4x4wdk2rxx56nn2dahl7fz8"
}
},
{
@@ -122338,20 +123731,20 @@
"repo": "gmlarumbe/verilog-ts-mode",
"unstable": {
"version": [
- 20231016,
- 1204
+ 20240211,
+ 210
],
- "commit": "d0403317b7e643c25ef786c40ce711dddc60fcd0",
- "sha256": "1pv6bq6nkgp8kxp5ci2q85jfmc4yc0962mvssqbzs2gz1ahjbppj"
+ "commit": "b055f7b4a7abdc1e1eb9eb5a99ddc288369982d0",
+ "sha256": "0khjhikw3ksk6lb2f7nwppp9l9vrfgq5jlwyfyrx0s9w6jyf64yc"
},
"stable": {
"version": [
0,
1,
- 1
+ 2
],
- "commit": "1e4a7cd562c2a710d5b0323f9c7a651e76a4202f",
- "sha256": "05k28mc5i611392siymh40mx0wzdzryicsvik85n9l7gzc8zp6dr"
+ "commit": "572508e9dc562a1718ddc857682c4f37dfbf5654",
+ "sha256": "06f989qkms4gnj0jrr1a2rkjwsgn0g8fqaz8sky4nwvvs3wmmm99"
}
},
{
@@ -122422,14 +123815,14 @@
"repo": "baron42bba/vertica-snippets",
"unstable": {
"version": [
- 20231010,
- 1104
+ 20240221,
+ 1629
],
"deps": [
"yasnippet"
],
- "commit": "6f0df19d36a9670484e84b347716f305301359ad",
- "sha256": "0nrvc569bfbd1qvzlbgns2vasnxsrq7inkaykiy1jqma8rd4cy9h"
+ "commit": "efaf893698358a305b1c52fd22a6842b59940855",
+ "sha256": "13ikkmarxcv19938p3za3crpbh8003xmlg8afyfyrm4z7pann1qj"
}
},
{
@@ -122440,25 +123833,25 @@
"repo": "minad/vertico",
"unstable": {
"version": [
- 20231112,
- 816
+ 20240128,
+ 1526
],
"deps": [
"compat"
],
- "commit": "49a1eb5f3653a3155bff6ff631b336989de61b18",
- "sha256": "0fick90jrpgpxsim3n953vbffj572jgxk0cnqlkan5v6pp2px5y6"
+ "commit": "4a7da56b02c6aefff8f6b4574a530a7cb54bc21a",
+ "sha256": "0p84pnp14jcmxlmxpl6wpq2f3rfy4lr92gial1a35aigcins4657"
},
"stable": {
"version": [
1,
- 4
+ 7
],
"deps": [
"compat"
],
- "commit": "438f9a47f8adc0c1d3de056c190d4edba3d4ad35",
- "sha256": "0pf6qm89nysrri3xx7pda32yfsyv5fwswg6695qivldpq2biwx2x"
+ "commit": "cf8b2abf5207696c054c33214c86e3969d415054",
+ "sha256": "03m5v4r6n10ydmr1lks0d91dlirxlsh82l0qlac8qqfz09g0p76a"
}
},
{
@@ -122469,27 +123862,28 @@
"repo": "radian-software/prescient.el",
"unstable": {
"version": [
- 20230221,
- 1257
+ 20240104,
+ 1357
],
"deps": [
+ "compat",
"prescient",
"vertico"
],
- "commit": "822481e722502081deedbe6b1a9776eda0ca7bfe",
- "sha256": "1h9chc5bipvmn6vqzr150dk91hfcj6yzdn3ylb4lgk70x8if4xvn"
+ "commit": "864b352e7ecc649cd13ff23172c9cc8039129cc9",
+ "sha256": "0vfmzi5kj67il1ab3r91fkv72v0xk7d9bmc6y6abgqw8mqf5sjhq"
},
"stable": {
"version": [
6,
- 1
+ 2
],
"deps": [
"prescient",
"vertico"
],
- "commit": "72b023f5fc1ae2bbf2f51f1786cc012d40671bf4",
- "sha256": "1mc9pjb80bxcmzaylfwh0sgpvwbx3h35jalznwz464hw3vqfff83"
+ "commit": "c0eca3328313f1e93d24e686307516f43a484ba2",
+ "sha256": "1vj21kcqlsa02nvslmxgxsbv4pc93gakj4x2a6rbk87zl6ccw7pk"
}
},
{
@@ -122544,8 +123938,8 @@
"repo": "gmlarumbe/vhdl-ext",
"unstable": {
"version": [
- 20231020,
- 1600
+ 20240212,
+ 153
],
"deps": [
"ag",
@@ -122557,19 +123951,18 @@
"ripgrep",
"vhdl-ts-mode"
],
- "commit": "0ccedf602afcbde7e4add7ff6e294ff29c4310ce",
- "sha256": "19xfanby7242jk9nwx5k2hfsk3gavk1l0h8az7g86dx465pba95a"
+ "commit": "64111386bd793ceb6a8f78ab5f5caf5655fb3aa0",
+ "sha256": "08pal1ba55bdbnjb7lqrl7v2z3rys2a8ng8gsxidfx1400lmxg1c"
},
"stable": {
"version": [
0,
- 3,
+ 4,
1
],
"deps": [
"ag",
"async",
- "eglot",
"flycheck",
"hydra",
"lsp-mode",
@@ -122577,8 +123970,8 @@
"ripgrep",
"vhdl-ts-mode"
],
- "commit": "53df7c40f954fd40e542db51333a3abfa6df5ad3",
- "sha256": "17yjkq3099sv39v89n2m4n21qkxkfi0md9kazia6wap1m09dhw69"
+ "commit": "978224be71c1b5ee237cb6d7f729599cfba99ce3",
+ "sha256": "1g1sw3w9ns9wvn22nbdc8pxmm55y565r6hfbid1vibv5mgwqi5xy"
}
},
{
@@ -122622,20 +124015,20 @@
"repo": "gmlarumbe/vhdl-ts-mode",
"unstable": {
"version": [
- 20231031,
- 748
+ 20240211,
+ 213
],
- "commit": "957006ea834ff1a42b860b146fd40c86eda5eabd",
- "sha256": "1h2gsy5vgq5zsvzxdds7bszhhj5hh7hf007bxbp9jlyar19alvsd"
+ "commit": "1d17c43993bf9eab97b0658a46fa17e64fc8eb4b",
+ "sha256": "1q2q538f6l9kvnlnnf1i39p21wblcy77lr24fcg1xl5ykrj3pjdc"
},
"stable": {
"version": [
0,
1,
- 0
+ 1
],
- "commit": "5836c668eea4e3e208bc3149287dfc1971885ce7",
- "sha256": "1s6lpd6896cyn1nry77qp3k9rqqrmhy7sa3qsqk15kqxyzrn92qf"
+ "commit": "768fc7afed0e3928bf74fca93ec68329ded89003",
+ "sha256": "0h33fbdji2ghqb0ppsna0x1h5qc6fcj0qyky1igxgdl3xxq38crp"
}
},
{
@@ -122933,20 +124326,20 @@
"repo": "joostkremers/visual-fill-column",
"unstable": {
"version": [
- 20230102,
- 1830
+ 20240223,
+ 2340
],
- "commit": "695a59789209c42fa08a5bce92963ee32f4455be",
- "sha256": "0006x7vbwrx4w3b51aajzds0qaw3zb3b2wmj0c3j0anlrmyb37yz"
+ "commit": "5b9f9309bdf040b72bb2c3d99d1d8a0f0d98c308",
+ "sha256": "1imliz6fb5bxwcflkiqgk82xa34qkxaxzmy3rmj1cy2va148afx9"
},
"stable": {
"version": [
2,
- 5,
- 1
+ 6,
+ 2
],
- "commit": "695a59789209c42fa08a5bce92963ee32f4455be",
- "sha256": "0006x7vbwrx4w3b51aajzds0qaw3zb3b2wmj0c3j0anlrmyb37yz"
+ "commit": "5b9f9309bdf040b72bb2c3d99d1d8a0f0d98c308",
+ "sha256": "1imliz6fb5bxwcflkiqgk82xa34qkxaxzmy3rmj1cy2va148afx9"
}
},
{
@@ -123162,11 +124555,11 @@
"repo": "emacs-vs/vs-dark-theme",
"unstable": {
"version": [
- 20231028,
- 356
+ 20240223,
+ 1002
],
- "commit": "1f6f0afecc4576cc1cee573f82efe465042507f3",
- "sha256": "0yv1gs6prj4qkwav6yjfxrck8y29pbsnidfhnap2vx2rfb94q1k8"
+ "commit": "52006b40c7293f524ecb99d3899db7d95c77b317",
+ "sha256": "1njcy6hpvg7505agv619r924gs8ymjfyizxm8s70h3skwpg79yfm"
},
"stable": {
"version": [
@@ -123185,11 +124578,11 @@
"repo": "emacs-vs/vs-light-theme",
"unstable": {
"version": [
- 20231028,
- 356
+ 20240223,
+ 1002
],
- "commit": "174e1dffc26998d8bc074f1f78068a8e612d4be3",
- "sha256": "01h55rw65wk630l868lm3s1zkggksmvf1c0ywhnz0l4a570f859h"
+ "commit": "36f583c6b36cab827394548a8c9647c3fd066bb1",
+ "sha256": "0wd80m6796y0j0pzbw3qk4v8973x3cjimj7rh9ss7y22sqky5mmc"
},
"stable": {
"version": [
@@ -123262,11 +124655,11 @@
"repo": "akermu/emacs-libvterm",
"unstable": {
"version": [
- 20230417,
- 424
+ 20240102,
+ 1640
],
- "commit": "94e2b0b2b4a750e7907dacd5b4c0584900846dd1",
- "sha256": "0p55wg6j2x5p4g13v20fhwrrw9dv3n2srk34vnxx9md5vhz1pq6p"
+ "commit": "e96c53f5035c841b20937b65142498bd8e161a40",
+ "sha256": "0k1pb505ld7nzkh60danrx3qsrb3ifcqcm0359qbhg1ls12mrsnm"
}
},
{
@@ -123333,8 +124726,8 @@
"repo": "AdamNiederer/vue-mode",
"unstable": {
"version": [
- 20190415,
- 231
+ 20240101,
+ 333
],
"deps": [
"edit-indirect",
@@ -123342,8 +124735,8 @@
"ssass-mode",
"vue-html-mode"
],
- "commit": "031edd1f97db6e7d8d6c295c0e6d58dd128b9e71",
- "sha256": "047l5nxw86dvls8an65mmqcy673n37pyli7s0d52yi07jk0lw1yx"
+ "commit": "3a8056bc6ea6458265efb91067c7467860d2c118",
+ "sha256": "1kcsml4fcqs3999g7m305rr2myldsfrprailv0jb8brv3xavy6p4"
},
"stable": {
"version": [
@@ -123368,18 +124761,19 @@
"repo": "mihaiolteanu/vuiet",
"unstable": {
"version": [
- 20220218,
- 1024
+ 20231231,
+ 1051
],
"deps": [
"bind-key",
+ "ivy",
"lastfm",
"mpv",
"s",
"versuri"
],
- "commit": "aed3272b95fc73fd78712ff7dcfc05916f382fed",
- "sha256": "0faxcgvi8r6nchvgh2dzmnawbv5qzsf1aiyfg3f39pskcrnip62v"
+ "commit": "25d79860b165f04d7d39395138ed4f23e982132f",
+ "sha256": "0f624qkfdjn1wgm5l306kpa6vfl2ch7rnlcp7g449v7ywc7djm1i"
},
"stable": {
"version": [
@@ -123597,11 +124991,11 @@
"repo": "wakatime/wakatime-mode",
"unstable": {
"version": [
- 20231012,
- 1227
+ 20240203,
+ 1221
],
- "commit": "5483d915eb35a24a30551abb64c55797f6749a20",
- "sha256": "15dxgalfglz8c8q74g2bbmz94ig98idnlva6yqmcqq7fv2ps3086"
+ "commit": "25fb775178d16decb818b75f32fd23301c0f5da0",
+ "sha256": "0l8y0xinbh3l7d7klwvxrrc6q9zp51x7p8brapx56knh819w1bvk"
}
},
{
@@ -123717,10 +125111,10 @@
},
{
"ename": "wallpreview",
- "commit": "8822842e06fb8bce3b62847ed1ea7c9d64f4d7d3",
- "sha256": "00i4j7ki84yw2sihd9xjwh6gvp0xi5yql7nmsgdqkhp91qcmkn8k",
+ "commit": "c3ddb574892855f0e23283cc8bded51d857265d0",
+ "sha256": "1p7i3ndm9rvqbdlycrqfqf8s1lfavxymwxg817zg37iwm6a2ya95",
"fetcher": "github",
- "repo": "nryotaro/wallpreview",
+ "repo": "ryotaro612/wallpreview",
"unstable": {
"version": [
20220703,
@@ -123785,16 +125179,16 @@
"repo": "wanderlust/wanderlust",
"unstable": {
"version": [
- 20231105,
- 856
+ 20240207,
+ 949
],
"deps": [
"apel",
"flim",
"semi"
],
- "commit": "8c74d52d1ae00a0ca1a087fb4983292e2c068cba",
- "sha256": "0ri6anarpfqbh5a04qhbmazw319m5b9b5yfx3n51ajia9f7xsjra"
+ "commit": "c15e8ece4f34f10479e17cda19d10b98f6be3ec1",
+ "sha256": "1lsld77wql0n9vsdnpj2zb50r8ngcfjsi9fzl7mpv3929n99w3r2"
}
},
{
@@ -124085,11 +125479,11 @@
"repo": "fxbois/web-mode",
"unstable": {
"version": [
- 20231025,
- 1927
+ 20240210,
+ 1511
],
- "commit": "848fce94de202541cd3fcd35e9c9d22783e9e828",
- "sha256": "1yypnb36hr04sgwn486j18a2z5i3i5fbwnjdw6yn2v59mbgqfdfz"
+ "commit": "f19788e76c2359075975c20b8fda1f0b5080f042",
+ "sha256": "0bilcgsinqdqgspkbx31gq44d7cz8xpj9xdnjflg70c7ppr505dy"
},
"stable": {
"version": [
@@ -124207,10 +125601,10 @@
},
{
"ename": "webkit-color-picker",
- "commit": "af9d2e39385c6833eff6b7c7e5a039238563c00f",
- "sha256": "1i9244zghabyavxhz86d22fn40qspzdn2sjql8pl3mm8ks7a49a3",
+ "commit": "fdf3db5d263ec83c948273ea1390ccb16f788548",
+ "sha256": "1k0akmamci7r8rp95n4wpj2006g9089zcljxcp35ac8449xxz47v",
"fetcher": "github",
- "repo": "osener/emacs-webkit-color-picker",
+ "repo": "ozanmakes/emacs-webkit-color-picker",
"unstable": {
"version": [
20180325,
@@ -124603,14 +125997,14 @@
"repo": "mhayashi1120/Emacs-wgrep",
"unstable": {
"version": [
- 20230405,
- 936
+ 20231215,
+ 1145
],
"deps": [
"wgrep"
],
- "commit": "3584e9ba43287d712e0c17df5328211c174e9c60",
- "sha256": "0mfc3j07lyj9dvq5nv77qmqbfmlvmqsx4ib8x7bryc1ljrhgd0cw"
+ "commit": "07cd02dddefd99bd4128100579ecaca929a57d6e",
+ "sha256": "1sxndf61h1sb9awrmwzny4rdm2g2i14hafjc5xw59v5qcw0z5f8h"
},
"stable": {
"version": [
@@ -124693,11 +126087,11 @@
"repo": "acowley/wgsl-mode",
"unstable": {
"version": [
- 20221127,
- 2129
+ 20231118,
+ 1944
],
- "commit": "e7856d6755d93e40ed74598a68ef5f607322618b",
- "sha256": "0344db2kx1hw1gr971iqxhwy7qzn2vfkjgg8ccla9zsf22nlqxk3"
+ "commit": "003a4e99491fa2a0b777f74658e6ffc70fd3a8c2",
+ "sha256": "10396w84a22zfcgihqhpri5q2w7z0g4n08kbhg03v0zclfb5dgwz"
}
},
{
@@ -124723,11 +126117,11 @@
"repo": "justbur/emacs-which-key",
"unstable": {
"version": [
- 20230905,
- 2128
+ 20240221,
+ 138
],
- "commit": "4d20bc852545a2e602f59084a630f888542052b1",
- "sha256": "0qm4br8smkmp63wxdw6b89dn8shvha8jqdr57fmz6a9n0axywbv5"
+ "commit": "5fbdf05351e77ee62e3933c7b5f46459693bd04c",
+ "sha256": "0bvw7qd0avik114dvlrfarzhx0rvwpa2jz0qx2n5wq8is08py6q3"
},
"stable": {
"version": [
@@ -124906,11 +126300,11 @@
"repo": "lassik/emacs-whois",
"unstable": {
"version": [
- 20231106,
- 1901
+ 20240205,
+ 2147
],
- "commit": "93413908ec7d39a70700b4b97d3185b06ae69204",
- "sha256": "15jgfh7kh6d76hpwp41p91y6blclmrjdw01b1g83sj3v9ncnykhq"
+ "commit": "5cd0e1724ed8159927df06ded55162d0c122c0fd",
+ "sha256": "1i3zwp4q3804q71q08vnc9am8lagvz2lx9h27pwry10dihcv4gz6"
},
"stable": {
"version": [
@@ -125074,15 +126468,15 @@
"repo": "progfolio/wikinforg",
"unstable": {
"version": [
- 20231015,
- 2127
+ 20240104,
+ 603
],
"deps": [
"org",
"wikinfo"
],
- "commit": "70260785e89b682f4dfde1aadaa345ad8b4b36ca",
- "sha256": "0mk283dk6w312k3c1vh13hp09i1q5g32nvhf0kpl2qjgjivbhfsi"
+ "commit": "6c06f297a45c457e5bd1e2b55e870cd102e2a878",
+ "sha256": "0xpizw611w18bfjqdlwsbh2280h7sdmpfshwfmznfgk2jkix8maw"
}
},
{
@@ -125093,11 +126487,11 @@
"repo": "habamax/wildcharm-theme",
"unstable": {
"version": [
- 20231114,
- 2224
+ 20231127,
+ 537
],
- "commit": "7ccee2953001b76f251a087e941f227c1f63bfec",
- "sha256": "1gb3sjh25xh8d938xlprajcylxdycxfijwnnizhb1c80lr5lnprw"
+ "commit": "58662e13c179106ea7780e71bd3ef3c1cf74e929",
+ "sha256": "0374wd1fj8grw10xmxx59wnjj6hh08haym6vlkh6dnaccbbp6rx7"
},
"stable": {
"version": [
@@ -125116,11 +126510,11 @@
"repo": "habamax/wildcharm-theme",
"unstable": {
"version": [
- 20231114,
- 2224
+ 20231127,
+ 537
],
- "commit": "7ccee2953001b76f251a087e941f227c1f63bfec",
- "sha256": "1gb3sjh25xh8d938xlprajcylxdycxfijwnnizhb1c80lr5lnprw"
+ "commit": "58662e13c179106ea7780e71bd3ef3c1cf74e929",
+ "sha256": "0374wd1fj8grw10xmxx59wnjj6hh08haym6vlkh6dnaccbbp6rx7"
},
"stable": {
"version": [
@@ -125549,14 +126943,14 @@
"repo": "magit/with-editor",
"unstable": {
"version": [
- 20230917,
- 958
+ 20240101,
+ 2226
],
"deps": [
"compat"
],
- "commit": "fcd186d1e684c2dca6497c89af77b27b2b036c11",
- "sha256": "04libc0dk0vz3z9l77z8nnvh03pync1kvf0ky7j3y607cg0mrg73"
+ "commit": "d43db3c58c34d4dbc3ce6f68ec24fecf3452b20e",
+ "sha256": "00rykgc0ffs6qih60w1qlh73jlm5dlrshvbinl1fglm4agm7h5yf"
},
"stable": {
"version": [
@@ -125734,11 +127128,11 @@
"repo": "hsjunnesson/wolfram.el",
"unstable": {
"version": [
- 20221111,
- 816
+ 20231220,
+ 1950
],
- "commit": "e3e8bbc70adf544022dfbd3e95b8904d70e71471",
- "sha256": "04d0jcj2lzhyc0bsywn8vpgxr3cbfv1vdfij5d82d018vyn5s1jz"
+ "commit": "743c92f88bb3b6a77bc84ac2221adc6222cebb94",
+ "sha256": "12bp7yarsqdg0572mq6n6l12r35ahkrjam2aa8k0ialhwigpbvwm"
},
"stable": {
"version": [
@@ -125806,11 +127200,11 @@
"repo": "progfolio/wordel",
"unstable": {
"version": [
- 20230818,
- 1324
+ 20240104,
+ 603
],
- "commit": "70171a21cbe53e407b86440bf7ee93d39cb5ebde",
- "sha256": "1irmabp8zdlp08mvf2xlamf8kg4qbvydm1h4a6ca0b8v53jpd95f"
+ "commit": "38a05283c014812c0a54207aa6146f163c707fa5",
+ "sha256": "181am26kb18aw0g93la2c5037p5d6fn6da8knsj0zf1rmisr2qyc"
}
},
{
@@ -126320,14 +127714,14 @@
"repo": "jobbflykt/x509-mode",
"unstable": {
"version": [
- 20230818,
- 634
+ 20231215,
+ 850
],
"deps": [
"compat"
],
- "commit": "fa9245fade7762c5550bac5608125dc64c93769e",
- "sha256": "0395bngy92znr2vk4ki8fpi3fn6klwdlz1xx0w39agsp26vkqcp7"
+ "commit": "b19260d9863f1f7e310154fef71b1f3bd0871241",
+ "sha256": "1angyjpr28zjsw5blsjrqn7vfvlz02pkqxx9ckrjjq14mx2yi125"
}
},
{
@@ -126878,7 +128272,7 @@
},
{
"ename": "xwidgete",
- "commit": "855ea20024b606314f8590129259747cac0bcc97",
+ "commit": "bc2db57a308db64656ccb1b1f31106d0fd71666a",
"sha256": "1v1dfykkb6nwjwz2623i6x1rl53z4457l6fpa4nv4krdqq79gl5d",
"fetcher": "github",
"repo": "tuhdo/xwidgete",
@@ -126899,11 +128293,11 @@
"repo": "lordpretzel/xwidgets-reuse",
"unstable": {
"version": [
- 20200817,
- 147
+ 20231205,
+ 1315
],
- "commit": "3f95d81bfce1b3c52f9dcf2890cd2559a5287a33",
- "sha256": "1i6g4n2pnp3r0pyn4p6xryh0x44lyvazy8kc1bfrzwzf6py9wmq0"
+ "commit": "4d5cfd24bc470def508e1301951d4057831d852f",
+ "sha256": "1p0zgd3x83la4w7bhy1f1daamnyfv7sk93bba25w39jgyjw3bd75"
},
"stable": {
"version": [
@@ -127111,20 +128505,20 @@
"repo": "zkry/yaml.el",
"unstable": {
"version": [
- 20230928,
- 103
+ 20231211,
+ 1501
],
- "commit": "fe08b8f5307af1e7b876ece64b7303213f04b63c",
- "sha256": "10sdcihgd8yvdf7yab5fsvq65amp25msjh7mbrxgk3w4zc96fxzi"
+ "commit": "70c4fcead97e9bd6594e418c922ae769818f4245",
+ "sha256": "0qq9jr1ihk1b5wfvppyvb8c2pq2gma9wysggd22iln4nqz2mjc81"
},
"stable": {
"version": [
0,
5,
- 4
+ 5
],
- "commit": "fe08b8f5307af1e7b876ece64b7303213f04b63c",
- "sha256": "10sdcihgd8yvdf7yab5fsvq65amp25msjh7mbrxgk3w4zc96fxzi"
+ "commit": "70c4fcead97e9bd6594e418c922ae769818f4245",
+ "sha256": "0qq9jr1ihk1b5wfvppyvb8c2pq2gma9wysggd22iln4nqz2mjc81"
}
},
{
@@ -127165,11 +128559,11 @@
"repo": "yoshiki/yaml-mode",
"unstable": {
"version": [
- 20231003,
- 1142
+ 20231120,
+ 546
],
- "commit": "23395dfcf004c952850691b70e63f97f169596e8",
- "sha256": "0jqg2hmh7qsgqywwd6fy3k8z8j45nqhpdzr3hw4hd5s2hry3zhg1"
+ "commit": "aa7f04d8aaeb1b580904a84cadf561721d9acdbb",
+ "sha256": "1kgig5b975gcxfyq4z69nmf70fr6cznl2p7hvga5dy680xxls5yd"
},
"stable": {
"version": [
@@ -127189,26 +128583,26 @@
"repo": "zkry/yaml-pro",
"unstable": {
"version": [
- 20230921,
- 39
+ 20240223,
+ 1406
],
"deps": [
"yaml"
],
- "commit": "c04e8a2a3549095cb7c84491aefed54543373512",
- "sha256": "0v061q3hpdhjjzxnhm5x6409kx9djc83vrcrwm5qhk5ngiva1wrj"
+ "commit": "504943460174febf36427c94483a3c63c7b28e26",
+ "sha256": "1qh33gffz7avi5gjw754rl2cif32hqv532za7wqsbzga5aags2vy"
},
"stable": {
"version": [
0,
3,
- 3
+ 5
],
"deps": [
"yaml"
],
- "commit": "50fc911b9015708b77872dc60c53f4a4740bef1b",
- "sha256": "1ml7rp89alx6g1c5n325gzygwlgywxa40qf8xc0ag4nwzyp6z109"
+ "commit": "4599bcb552555a39b5fab99b425180cdc131213c",
+ "sha256": "1ihi9ydzws97r0n5y71xyiljg0099c8w5vym8riv8skzf15bf5yp"
}
},
{
@@ -127408,14 +128802,14 @@
"repo": "joaotavora/yasnippet",
"unstable": {
"version": [
- 20230914,
- 1400
+ 20240215,
+ 1909
],
"deps": [
"cl-lib"
],
- "commit": "52a1c5031912243c791c55e0fe345d04f219b507",
- "sha256": "0gmkhv8slzshgn9bcamp49szf24nflqcfn8c1f9iff36vviyibgf"
+ "commit": "1ec7e3b6f79de076133f16da61e3d99f16668048",
+ "sha256": "0hk5k2sghl4j478pyp7n7dqq3iqz0rcf607cs51f2sy1y362f0gy"
},
"stable": {
"version": [
@@ -127438,14 +128832,14 @@
"repo": "elken/yasnippet-capf",
"unstable": {
"version": [
- 20231024,
- 1604
+ 20240116,
+ 1646
],
"deps": [
"yasnippet"
],
- "commit": "a0a6b1c2bb6decdad5cf9b74202f0042f494a6ab",
- "sha256": "0fzkdl32cblv8rc25d76hq90m40kbkkswz6m8f4fx2m8rw2ysfr8"
+ "commit": "db12b55cd08b614cbba134008566e48d7faf660e",
+ "sha256": "0a5iqh3jcnkf5l9df2pp73aqcpzafjyj4a556q4v2w17v0wwn7f4"
}
},
{
@@ -127474,14 +128868,14 @@
"repo": "AndreaCrotti/yasnippet-snippets",
"unstable": {
"version": [
- 20230815,
- 820
+ 20240221,
+ 1621
],
"deps": [
"yasnippet"
],
- "commit": "063f54cea33f59defe9123549bf55ca342457a1c",
- "sha256": "0xnfy6ppnraxgj1kpq7kwyiyznih12xz0xvim6wz7bx9sjw31lch"
+ "commit": "e6ec9f1822913cea7dc67cde6aeb8f2625980950",
+ "sha256": "0jvpsqbfl5ax2jzc30hkhd7z9l98ncnaqnpl72lws8iknhjnd29k"
},
"stable": {
"version": [
@@ -127555,20 +128949,26 @@
"repo": "aki2o/yaxception",
"unstable": {
"version": [
- 20150105,
- 1540
+ 20240107,
+ 504
],
- "commit": "4e94cf3e0b9b5631b0e90eb4b7de597ee7185875",
- "sha256": "0nqyn1b01v1qxv7rcf46qypca61lmpm8d7kqv63jazw3n05qdnj8"
+ "deps": [
+ "dash"
+ ],
+ "commit": "5941de88b19752c14e0dce0d2bf562b1288055a0",
+ "sha256": "17bpr1nn9f7xm38kjrz9vhyl979ayivqykiri59zz86psgxjy6hz"
},
"stable": {
"version": [
+ 1,
0,
- 3,
- 3
+ 0
],
- "commit": "4e94cf3e0b9b5631b0e90eb4b7de597ee7185875",
- "sha256": "0nqyn1b01v1qxv7rcf46qypca61lmpm8d7kqv63jazw3n05qdnj8"
+ "deps": [
+ "dash"
+ ],
+ "commit": "5941de88b19752c14e0dce0d2bf562b1288055a0",
+ "sha256": "17bpr1nn9f7xm38kjrz9vhyl979ayivqykiri59zz86psgxjy6hz"
}
},
{
@@ -127655,26 +129055,32 @@
},
{
"ename": "yeetube",
- "commit": "c4e808c643026327acace51a587dc17ea094d829",
- "sha256": "0ll70490px1hz35rgkkbnxxbpxip7dzbr1hqyilqqc1as20n3fig",
+ "commit": "258bbc1c9a9e9a2197573d5349457d7c84a4b863",
+ "sha256": "1zva45wq1yy5r0nv5k85lxh776w400m32m389hy4289b2dk4vk9v",
"fetcher": "git",
- "url": "https://git.thanosapollo.com/yeetube",
+ "url": "https://git.thanosapollo.org/yeetube",
"unstable": {
"version": [
- 20231017,
- 634
+ 20240210,
+ 1008
],
- "commit": "610609061226c38a68267172e8975d8df1a1848d",
- "sha256": "05w33431dfr1ldcg3yq01qvz0alpv8q88r0dsb278qbwszw9cfz6"
+ "deps": [
+ "compat"
+ ],
+ "commit": "bdb3d532308071cd912bfbf92963c9cabe79de7c",
+ "sha256": "0c2iq6rb179zh9qbw7prxsjbiz77j060pj75s82wbbz5xjavzgp5"
},
"stable": {
"version": [
2,
- 0,
- 7
+ 1,
+ 2
],
- "commit": "610609061226c38a68267172e8975d8df1a1848d",
- "sha256": "05w33431dfr1ldcg3yq01qvz0alpv8q88r0dsb278qbwszw9cfz6"
+ "deps": [
+ "compat"
+ ],
+ "commit": "bdb3d532308071cd912bfbf92963c9cabe79de7c",
+ "sha256": "0c2iq6rb179zh9qbw7prxsjbiz77j060pj75s82wbbz5xjavzgp5"
}
},
{
@@ -127849,6 +129255,21 @@
"sha256": "12hsi8n7mwmf81bl6kjnssdp09hnlhaggaj1g7jvs9y1x78jk6hd"
}
},
+ {
+ "ename": "youdotcom",
+ "commit": "58a678042193a2c9ffe2cdb3e868d489af1d15b7",
+ "sha256": "1dgil0hsl2y82g4bj8acq93ci905l6z7b38kssgw3qpxdnv615dz",
+ "fetcher": "github",
+ "repo": "SamuelVanie/youdotcom.el",
+ "unstable": {
+ "version": [
+ 20240207,
+ 1853
+ ],
+ "commit": "0b835f143e88c3321006a3e48ac5190d071b872c",
+ "sha256": "1araszwlw53g82phwmmp9x84rq07ma2payplr68yg3k159jbmapr"
+ }
+ },
{
"ename": "youtube-sub-extractor",
"commit": "6b5f8eceb815d74d7839e30971c96aebc7944c7f",
@@ -128038,11 +129459,11 @@
"repo": "bbatsov/zenburn-emacs",
"unstable": {
"version": [
- 20230315,
- 1004
+ 20231120,
+ 2002
],
- "commit": "8a1f9d28f503615e5d9b3eac59a2f3c14e75fc20",
- "sha256": "00zyx2knfchxkml19kf4wfgigsbgzqf47mvbgrmk3nfznnnnyvmf"
+ "commit": "373a07f99ee595dee83b6cee8b6841b5d1c01e38",
+ "sha256": "03n87wcjbbpfgbx487676fzbrzzqj0cmiwcpikbws74fnr9ayplg"
},
"stable": {
"version": [
@@ -128472,14 +129893,14 @@
"repo": "ziglang/zig-mode",
"unstable": {
"version": [
- 20230815,
- 2033
+ 20240221,
+ 1019
],
"deps": [
"reformatter"
],
- "commit": "079149a19fc869343130e69d7b944afd3a1813cc",
- "sha256": "1r8mysp3q4zj9mm1hxj00vnycasi0hlmdgqqjl540jcyn86jqw9a"
+ "commit": "9ce200971008fa29641ab7bec80802b450b65646",
+ "sha256": "1s44mq24s5a6kbq09mwswwxn91p4mlgpm0k0ff13i68cxd7641li"
}
},
{
@@ -128530,11 +129951,11 @@
"repo": "localauthor/zk",
"unstable": {
"version": [
- 20230821,
- 2127
+ 20240102,
+ 1405
],
- "commit": "05b253b60d86799e839239316b660efe69e0268f",
- "sha256": "03b7ql8894l4i6s7rp15xfwfrbn23nlbskd6dz7wva2qs967nb4d"
+ "commit": "82f636c1edda416794c7115757ecded64f22afbf",
+ "sha256": "1rxrasmhk28h3z9iyssvnhf3a57y2xmfjbl4il3mfd98gg6mhb8i"
},
"stable": {
"version": [
@@ -128553,15 +129974,15 @@
"repo": "localauthor/zk",
"unstable": {
"version": [
- 20230712,
- 1103
+ 20240102,
+ 1405
],
"deps": [
"zk",
"zk-index"
],
- "commit": "5be59ca972f3f624d444b7b7d7ca75a06bcd2ce5",
- "sha256": "0hijdi10l44ky8j66qz6bxmg1vnfhikkd65iqfb3ycg1kwzd4r9p"
+ "commit": "82f636c1edda416794c7115757ecded64f22afbf",
+ "sha256": "1rxrasmhk28h3z9iyssvnhf3a57y2xmfjbl4il3mfd98gg6mhb8i"
},
"stable": {
"version": [
@@ -128584,14 +130005,14 @@
"repo": "localauthor/zk",
"unstable": {
"version": [
- 20230930,
- 1422
+ 20240102,
+ 1405
],
"deps": [
"zk"
],
- "commit": "afb7c078863b1b7e631019647d53d37f59a1554a",
- "sha256": "1sfa864gkw13mbk6wjpys65r7rl7a58xj3qz39gn99cmp7a3v98z"
+ "commit": "82f636c1edda416794c7115757ecded64f22afbf",
+ "sha256": "1rxrasmhk28h3z9iyssvnhf3a57y2xmfjbl4il3mfd98gg6mhb8i"
},
"stable": {
"version": [
@@ -128613,15 +130034,15 @@
"repo": "localauthor/zk-luhmann",
"unstable": {
"version": [
- 20231009,
- 1303
+ 20240102,
+ 1406
],
"deps": [
"zk",
"zk-index"
],
- "commit": "e2af1477e5fc59cd4499eede991114561d8a7a37",
- "sha256": "1apr9mvb5hsdw59f7dn3gmg9jxn3v3433wxrvkcv02ndp81vngn5"
+ "commit": "836943c5f6c6cdb932762385bbdf79e8bcfef3d7",
+ "sha256": "14wcqq6p7m1gq382y638fjcdvpd7206lrcrh5abnrprsyjxlr3l7"
}
},
{
@@ -128945,30 +130366,30 @@
"repo": "fvdbeek/emacs-zotero",
"unstable": {
"version": [
- 20211008,
- 2207
+ 20240112,
+ 2111
],
"deps": [
"ht",
"oauth",
"s"
],
- "commit": "811bd1f14b38c3dde3f80cd8a13490c9900de888",
- "sha256": "0gh04kbg109038xxcrzhjffqrfcxx7vbz0dz2idglcmbqpg7lzjq"
+ "commit": "eef5080e6a2ed0cae12c3d21580864f4b394cd5f",
+ "sha256": "0qv5lg3iadgaghx2a423jdhmql51kflbnfg7anxxbgyp0hkp2igj"
},
"stable": {
"version": [
0,
2,
- 3
+ 7
],
"deps": [
"ht",
"oauth",
"s"
],
- "commit": "811bd1f14b38c3dde3f80cd8a13490c9900de888",
- "sha256": "0gh04kbg109038xxcrzhjffqrfcxx7vbz0dz2idglcmbqpg7lzjq"
+ "commit": "eef5080e6a2ed0cae12c3d21580864f4b394cd5f",
+ "sha256": "0qv5lg3iadgaghx2a423jdhmql51kflbnfg7anxxbgyp0hkp2igj"
}
},
{
@@ -128994,15 +130415,15 @@
"repo": "egh/zotxt-emacs",
"unstable": {
"version": [
- 20210908,
- 402
+ 20240203,
+ 647
],
"deps": [
"deferred",
"request"
],
- "commit": "d344e7ac281a083f4e39e95b5664633a015e2b3b",
- "sha256": "1qwn5ym2ljzbliy1qbafm3wzh8ayl177m3z2fvj2fy3cg9smi3bv"
+ "commit": "7eae5196dbe6fa3045b31412538ce4a81204abc4",
+ "sha256": "12h0fi1fz33747h807gg29wgqqm3yzfn34m79zkvdicxiaj920ny"
},
"stable": {
"version": [
diff --git a/pkgs/applications/editors/emacs/make-emacs.nix b/pkgs/applications/editors/emacs/make-emacs.nix
index c48550f8680a..a52533564616 100644
--- a/pkgs/applications/editors/emacs/make-emacs.nix
+++ b/pkgs/applications/editors/emacs/make-emacs.nix
@@ -68,6 +68,7 @@
, withAlsaLib ? false
, withAthena ? false
, withCsrc ? true
+, withDbus ? stdenv.isLinux
, withGTK2 ? false
, withGTK3 ? withPgtk && !noGui
, withGconf ? false
@@ -77,6 +78,7 @@
, withMotif ? false
, withNS ? stdenv.isDarwin && !(variant == "macport" || noGui)
, withPgtk ? false
+, withSelinux ? stdenv.isLinux
, withSQLite3 ? lib.versionAtLeast version "29"
, withSystemd ? lib.meta.availableOn stdenv.hostPlatform systemd
, withToolkitScrollBars ? true
@@ -227,8 +229,9 @@ mkDerivation (finalAttrs: {
alsa-lib
] ++ lib.optionals withGpm [
gpm
- ] ++ lib.optionals stdenv.isLinux [
+ ] ++ lib.optionals withDbus [
dbus
+ ] ++ lib.optionals withSelinux [
libselinux
] ++ lib.optionals (!stdenv.isDarwin && withGTK3) [
gsettings-desktop-schemas
@@ -338,6 +341,8 @@ mkDerivation (finalAttrs: {
(lib.withFeature withTreeSitter "tree-sitter")
(lib.withFeature withXinput2 "xinput2")
(lib.withFeature withXwidgets "xwidgets")
+ (lib.withFeature withDbus "dbus")
+ (lib.withFeature withSelinux "selinux")
];
env = lib.optionalAttrs withNativeCompilation {
diff --git a/pkgs/applications/editors/emacs/sources.nix b/pkgs/applications/editors/emacs/sources.nix
index aa73fa29b0d1..8cd257302cd6 100644
--- a/pkgs/applications/editors/emacs/sources.nix
+++ b/pkgs/applications/editors/emacs/sources.nix
@@ -53,10 +53,10 @@ let
maintainers = with lib.maintainers; [
AndersonTorres
adisbladis
- atemu
jwiegley
lovek323
matthewbauer
+ # atemu for issues relating to Macport
];
platforms = {
"mainline" = lib.platforms.all;
diff --git a/pkgs/applications/editors/gedit/default.nix b/pkgs/applications/editors/gedit/default.nix
index 7e4b4040737e..d7e5ac0ba1eb 100644
--- a/pkgs/applications/editors/gedit/default.nix
+++ b/pkgs/applications/editors/gedit/default.nix
@@ -29,13 +29,13 @@
stdenv.mkDerivation rec {
pname = "gedit";
- version = "46.1";
+ version = "46.2";
outputs = [ "out" "devdoc" ];
src = fetchurl {
url = "mirror://gnome/sources/gedit/${lib.versions.major version}/gedit-${version}.tar.xz";
- sha256 = "oabjfwQXZd/3InofVXi29J+q8Bax4X6GnK9b+5TGqk4=";
+ sha256 = "wIZkErrRR+us4tKC/8u1oOmjBLIP1VZAvuIcgebVAe8=";
};
patches = [
@@ -92,7 +92,7 @@ stdenv.mkDerivation rec {
};
meta = with lib; {
- homepage = "https://wiki.gnome.org/Apps/Gedit";
+ homepage = "https://gedit-technology.github.io/apps/gedit/";
description = "Former GNOME text editor";
maintainers = with maintainers; [ bobby285271 ];
license = licenses.gpl2Plus;
diff --git a/pkgs/applications/editors/gnome-builder/default.nix b/pkgs/applications/editors/gnome-builder/default.nix
index da36d5f111f7..b1360f2a147e 100644
--- a/pkgs/applications/editors/gnome-builder/default.nix
+++ b/pkgs/applications/editors/gnome-builder/default.nix
@@ -5,6 +5,7 @@
, desktop-file-utils
, editorconfig-core-c
, fetchurl
+, fetchpatch
, flatpak
, gnome
, libgit2-glib
@@ -63,6 +64,12 @@ stdenv.mkDerivation rec {
#
# Typelib file for namespace 'Pango', version '1.0' not found (g-irepository-error-quark, 0)
./fix-finding-test-typelibs.patch
+
+ (fetchpatch {
+ name = "redefinition-of-glib_autoptr_clear_GtkStackPage.patch";
+ url = "https://gitlab.gnome.org/GNOME/gnome-builder/-/commit/7aaaecefc2ea8a37eaeae8b4d726d119d4eb8fa3.patch";
+ hash = "sha256-sYLqhwCd9GOkUMUZAO2trAGKC3013jgivHrNC4atdn0=";
+ })
];
nativeBuildInputs = [
diff --git a/pkgs/applications/editors/gobby/default.nix b/pkgs/applications/editors/gobby/default.nix
index 063833ceaa15..d36f89e3c1c7 100644
--- a/pkgs/applications/editors/gobby/default.nix
+++ b/pkgs/applications/editors/gobby/default.nix
@@ -23,6 +23,7 @@ in stdenv.mkDerivation rec {
meta = with lib; {
homepage = "http://gobby.0x539.de/";
description = "A GTK-based collaborative editor supporting multiple documents in one session and a multi-user chat";
+ mainProgram = "gobby-0.5";
license = lib.licenses.gpl2Plus;
maintainers = [ ];
platforms = platforms.all;
diff --git a/pkgs/applications/editors/jetbrains/bin/versions.json b/pkgs/applications/editors/jetbrains/bin/versions.json
index a650e905bddf..35997b58c36f 100644
--- a/pkgs/applications/editors/jetbrains/bin/versions.json
+++ b/pkgs/applications/editors/jetbrains/bin/versions.json
@@ -3,10 +3,10 @@
"clion": {
"update-channel": "CLion RELEASE",
"url-template": "https://download.jetbrains.com/cpp/CLion-{version}.tar.gz",
- "version": "2023.3.3",
- "sha256": "e0add1e84352e368ad4c8de0ff8ea003dc56f3ee92c503e93bfddf4a56e97f31",
- "url": "https://download.jetbrains.com/cpp/CLion-2023.3.3.tar.gz",
- "build_number": "233.14015.92"
+ "version": "2023.3.4",
+ "sha256": "eae2e1646387ee36a2f24c10d924647fd3d00bb31dd42e9c17438ae7aecf79a8",
+ "url": "https://download.jetbrains.com/cpp/CLion-2023.3.4.tar.gz",
+ "build_number": "233.14475.31"
},
"datagrip": {
"update-channel": "DataGrip RELEASE",
@@ -19,117 +19,117 @@
"dataspell": {
"update-channel": "DataSpell RELEASE",
"url-template": "https://download.jetbrains.com/python/dataspell-{version}.tar.gz",
- "version": "2023.3.3",
- "sha256": "1f46730744eb6db361ee858b9e5448c6ca69a83f2fec6a055bf7a43ce14e9c47",
- "url": "https://download.jetbrains.com/python/dataspell-2023.3.3.tar.gz",
- "build_number": "233.14015.111"
+ "version": "2023.3.4",
+ "sha256": "53e4efdf3388207d91f3e3767490172389cb92db449b951768af865b400ca7fe",
+ "url": "https://download.jetbrains.com/python/dataspell-2023.3.4.tar.gz",
+ "build_number": "233.14475.37"
},
"gateway": {
"update-channel": "Gateway RELEASE",
"url-template": "https://download.jetbrains.com/idea/gateway/JetBrainsGateway-{version}.tar.gz",
- "version": "2023.3.3",
- "sha256": "411a4d964a9b12f0cd0c0eaf47eec8c8e1da85c39bb50d0794905dd490a2b18a",
- "url": "https://download.jetbrains.com/idea/gateway/JetBrainsGateway-2023.3.3.tar.gz",
- "build_number": "233.14015.129"
+ "version": "2023.3.4",
+ "sha256": "a6235d4706d594c95c34fed6f32d5ded97eae6af5b8803c47ebd0ec6ccf77a06",
+ "url": "https://download.jetbrains.com/idea/gateway/JetBrainsGateway-2023.3.4.tar.gz",
+ "build_number": "233.14475.30"
},
"goland": {
"update-channel": "GoLand RELEASE",
"url-template": "https://download.jetbrains.com/go/goland-{version}.tar.gz",
- "version": "2023.3.3",
- "sha256": "18a692790f0d4e2d40cedebb954aed074f72bb67ba4bdb63b7a4cb4df2c216c7",
- "url": "https://download.jetbrains.com/go/goland-2023.3.3.tar.gz",
- "build_number": "233.14015.113"
+ "version": "2023.3.5",
+ "sha256": "bf4cacb8b9f2cc2bf5661d19f1e240debd978a6e043d7e3c2a26f0ba3b409487",
+ "url": "https://download.jetbrains.com/go/goland-2023.3.5.tar.gz",
+ "build_number": "233.14808.20"
},
"idea-community": {
"update-channel": "IntelliJ IDEA RELEASE",
"url-template": "https://download.jetbrains.com/idea/ideaIC-{version}.tar.gz",
- "version": "2023.3.3",
- "sha256": "dc123ded3c7ede89e7cd3d4d5e46fada96b8763f648cd0cdbc5b7d6e26203fd2",
- "url": "https://download.jetbrains.com/idea/ideaIC-2023.3.3.tar.gz",
- "build_number": "233.14015.106"
+ "version": "2023.3.5",
+ "sha256": "869e534533de1dbce095db6e3fee4a63a3c8fd654052089b83d08b7808495634",
+ "url": "https://download.jetbrains.com/idea/ideaIC-2023.3.5.tar.gz",
+ "build_number": "233.14808.21"
},
"idea-ultimate": {
"update-channel": "IntelliJ IDEA RELEASE",
"url-template": "https://download.jetbrains.com/idea/ideaIU-{version}.tar.gz",
- "version": "2023.3.3",
- "sha256": "d9bb8259d69f57d3dd674d1a1cce9ce372d5bea7bdab9685aa466b66f04e535f",
- "url": "https://download.jetbrains.com/idea/ideaIU-2023.3.3.tar.gz",
- "build_number": "233.14015.106"
+ "version": "2023.3.5",
+ "sha256": "86f45fb2f171ac1394e2c238c06b9e6e6308ce7982465ae0b86ffded2c329ef8",
+ "url": "https://download.jetbrains.com/idea/ideaIU-2023.3.5.tar.gz",
+ "build_number": "233.14808.21"
},
"mps": {
"update-channel": "MPS RELEASE",
"url-template": "https://download.jetbrains.com/mps/{versionMajorMinor}/MPS-{version}.tar.gz",
- "version": "2023.2",
- "sha256": "10d85eee914e23691f8512745eaa044ee33e0ca784fb84a1b0a39852d5ec1014",
- "url": "https://download.jetbrains.com/mps/2023.2/MPS-2023.2.tar.gz",
- "build_number": "232.10072.781"
+ "version": "2023.3",
+ "sha256": "7f40c6a9b29e17e29fd2acb2e0d1d31d3353e28fce31a479dd81dd1b66c1fa8c",
+ "url": "https://download.jetbrains.com/mps/2023.3/MPS-2023.3.tar.gz",
+ "build_number": "233.13135.979"
},
"phpstorm": {
"update-channel": "PhpStorm RELEASE",
"url-template": "https://download.jetbrains.com/webide/PhpStorm-{version}.tar.gz",
- "version": "2023.3.3",
- "sha256": "83fae32882fdb58495f740d9e7a7d223186f2f80325892cc3cd7edad39bd200f",
- "url": "https://download.jetbrains.com/webide/PhpStorm-2023.3.3.tar.gz",
- "build_number": "233.14015.96",
+ "version": "2023.3.5",
+ "sha256": "05700ce1b36c0132ff0daf9ddc129e6f2adfbfbac01a55f5881bac8bbaecf66b",
+ "url": "https://download.jetbrains.com/webide/PhpStorm-2023.3.5.tar.gz",
+ "build_number": "233.14808.18",
"version-major-minor": "2022.3"
},
"pycharm-community": {
"update-channel": "PyCharm RELEASE",
"url-template": "https://download.jetbrains.com/python/pycharm-community-{version}.tar.gz",
- "version": "2023.3.3",
- "sha256": "f71513f428f5df3b97b09c415967ff2db3a4e7172f293e621b3f04cd1d695443",
- "url": "https://download.jetbrains.com/python/pycharm-community-2023.3.3.tar.gz",
- "build_number": "233.13763.11"
+ "version": "2023.3.4",
+ "sha256": "27546b7900c0da52baa9b14f88406c2b1244c570363e506f225bf101e65cea79",
+ "url": "https://download.jetbrains.com/python/pycharm-community-2023.3.4.tar.gz",
+ "build_number": "233.14475.56"
},
"pycharm-professional": {
"update-channel": "PyCharm RELEASE",
"url-template": "https://download.jetbrains.com/python/pycharm-professional-{version}.tar.gz",
- "version": "2023.3.3",
- "sha256": "29c4955410b2ea26f0cd0f44e02c8fe2b1b7dad075f0739652051faa6f84797b",
- "url": "https://download.jetbrains.com/python/pycharm-professional-2023.3.3.tar.gz",
- "build_number": "233.13763.11"
+ "version": "2023.3.4",
+ "sha256": "41367c6d92f69d33c0f375e4a058fef799be00d14437402371dc8ca3e5f1161e",
+ "url": "https://download.jetbrains.com/python/pycharm-professional-2023.3.4.tar.gz",
+ "build_number": "233.14475.56"
},
"rider": {
"update-channel": "Rider RELEASE",
"url-template": "https://download.jetbrains.com/rider/JetBrains.Rider-{version}.tar.gz",
- "version": "2023.3.3",
- "sha256": "e4b351d90a198c473b9ae5d9427a802c8e9d923644c4aff9cc6c16ccc994ebd0",
- "url": "https://download.jetbrains.com/rider/JetBrains.Rider-2023.3.3.tar.gz",
- "build_number": "233.14015.60"
+ "version": "2023.3.4",
+ "sha256": "fb137ea346d957f151cb0d7755661293ae5e33e36f306b6dc90fb42895443309",
+ "url": "https://download.jetbrains.com/rider/JetBrains.Rider-2023.3.4.tar.gz",
+ "build_number": "233.14475.66"
},
"ruby-mine": {
"update-channel": "RubyMine RELEASE",
"url-template": "https://download.jetbrains.com/ruby/RubyMine-{version}.tar.gz",
- "version": "2023.3.3",
- "sha256": "a0d8533b0aad083689d61899b74e1c4405ba247b6d981c6378199106b14db74f",
- "url": "https://download.jetbrains.com/ruby/RubyMine-2023.3.3.tar.gz",
- "build_number": "233.14015.117"
+ "version": "2023.3.5",
+ "sha256": "2ba00db4538fcdbe116b767666529bfe3d015592d8c41234c94cff7f17086630",
+ "url": "https://download.jetbrains.com/ruby/RubyMine-2023.3.5.tar.gz",
+ "build_number": "233.14808.14"
},
"rust-rover": {
"update-channel": "RustRover EAP",
"url-template": "https://download.jetbrains.com/rustrover/RustRover-{version}.tar.gz",
"version": "2023.3 EAP",
- "sha256": "8d3e95f563641c20109ddd7572382663202c048a49b3ee0880cf4f69457d7f29",
- "url": "https://download.jetbrains.com/rustrover/RustRover-233.13135.127.tar.gz",
- "build_number": "233.13135.127"
+ "sha256": "51b6db1563d68326bd7c8defe1f6c04b428e1a592d06579edfeb0feb60c45077",
+ "url": "https://download.jetbrains.com/rustrover/RustRover-233.14015.155.tar.gz",
+ "build_number": "233.14015.155"
},
"webstorm": {
"update-channel": "WebStorm RELEASE",
"url-template": "https://download.jetbrains.com/webstorm/WebStorm-{version}.tar.gz",
- "version": "2023.3.3",
- "sha256": "c56ece93853aff41bc4b1fa7c40be086c8d0cb8346891ecd47a70c3978c66cd3",
- "url": "https://download.jetbrains.com/webstorm/WebStorm-2023.3.3.tar.gz",
- "build_number": "233.14015.89"
+ "version": "2023.3.4",
+ "sha256": "236204a90d47e0dd25002078d3f032e51e03ce6bf214a78bebd28640bdd31908",
+ "url": "https://download.jetbrains.com/webstorm/WebStorm-2023.3.4.tar.gz",
+ "build_number": "233.14475.40"
}
},
"aarch64-linux": {
"clion": {
"update-channel": "CLion RELEASE",
"url-template": "https://download.jetbrains.com/cpp/CLion-{version}-aarch64.tar.gz",
- "version": "2023.3.3",
- "sha256": "1b129162abaadd6f824d036c82f013baeddb8cd002f72321c6ecb12a3ba3a9e7",
- "url": "https://download.jetbrains.com/cpp/CLion-2023.3.3-aarch64.tar.gz",
- "build_number": "233.14015.92"
+ "version": "2023.3.4",
+ "sha256": "fa427be85ead8e2769aeadf19ce816cb7f02c3b08ec3b4cc7e0cddea44386dc8",
+ "url": "https://download.jetbrains.com/cpp/CLion-2023.3.4-aarch64.tar.gz",
+ "build_number": "233.14475.31"
},
"datagrip": {
"update-channel": "DataGrip RELEASE",
@@ -142,117 +142,117 @@
"dataspell": {
"update-channel": "DataSpell RELEASE",
"url-template": "https://download.jetbrains.com/python/dataspell-{version}-aarch64.tar.gz",
- "version": "2023.3.3",
- "sha256": "87996adb4cf2c28ea68ebb6dbdfacfd65224446b48fbbf2ebf9dfb9627e39de2",
- "url": "https://download.jetbrains.com/python/dataspell-2023.3.3-aarch64.tar.gz",
- "build_number": "233.14015.111"
+ "version": "2023.3.4",
+ "sha256": "3dbc17eec909f5b4c99b08f07e15c4c5f86b30c3bbffac486bb1afcecfa47782",
+ "url": "https://download.jetbrains.com/python/dataspell-2023.3.4-aarch64.tar.gz",
+ "build_number": "233.14475.37"
},
"gateway": {
"update-channel": "Gateway RELEASE",
"url-template": "https://download.jetbrains.com/idea/gateway/JetBrainsGateway-{version}-aarch64.tar.gz",
- "version": "2023.3.3",
- "sha256": "69708ad33b9a9af71beea5fe7ead6f3208b84ee673a37999f40ccff46f26a1bf",
- "url": "https://download.jetbrains.com/idea/gateway/JetBrainsGateway-2023.3.3-aarch64.tar.gz",
- "build_number": "233.14015.129"
+ "version": "2023.3.4",
+ "sha256": "e429fe93c87687555eeb618d0cc11bcbf6809a1cfaf3763a260543ea5b639235",
+ "url": "https://download.jetbrains.com/idea/gateway/JetBrainsGateway-2023.3.4-aarch64.tar.gz",
+ "build_number": "233.14475.30"
},
"goland": {
"update-channel": "GoLand RELEASE",
"url-template": "https://download.jetbrains.com/go/goland-{version}-aarch64.tar.gz",
- "version": "2023.3.3",
- "sha256": "dfe17fa21cbcfc7a050a03194c063aafc248876e393360dce5b90aa790082de2",
- "url": "https://download.jetbrains.com/go/goland-2023.3.3-aarch64.tar.gz",
- "build_number": "233.14015.113"
+ "version": "2023.3.5",
+ "sha256": "79d2f7bca00233710546198a80eb3beb55d0524cd0015781686a2cf9bc36fce8",
+ "url": "https://download.jetbrains.com/go/goland-2023.3.5-aarch64.tar.gz",
+ "build_number": "233.14808.20"
},
"idea-community": {
"update-channel": "IntelliJ IDEA RELEASE",
"url-template": "https://download.jetbrains.com/idea/ideaIC-{version}-aarch64.tar.gz",
- "version": "2023.3.3",
- "sha256": "9c1bd513e8687d185aa7c7fbb80a3e43949067ca312271b2d8ee5059236443fa",
- "url": "https://download.jetbrains.com/idea/ideaIC-2023.3.3-aarch64.tar.gz",
- "build_number": "233.14015.106"
+ "version": "2023.3.5",
+ "sha256": "9a4f532f83becaee99d9e2c57c96f016da2d1b26c73ae8e220cc02361c222a5e",
+ "url": "https://download.jetbrains.com/idea/ideaIC-2023.3.5-aarch64.tar.gz",
+ "build_number": "233.14808.21"
},
"idea-ultimate": {
"update-channel": "IntelliJ IDEA RELEASE",
"url-template": "https://download.jetbrains.com/idea/ideaIU-{version}-aarch64.tar.gz",
- "version": "2023.3.3",
- "sha256": "65e4c672f394ffd4fabc14570d95dfe05ade7fae3f056ab1e8dd902bedf166bf",
- "url": "https://download.jetbrains.com/idea/ideaIU-2023.3.3-aarch64.tar.gz",
- "build_number": "233.14015.106"
+ "version": "2023.3.5",
+ "sha256": "620a164756338c49c4949dda24716b2269d88e92caddc7566d7fa8625c9ca5cb",
+ "url": "https://download.jetbrains.com/idea/ideaIU-2023.3.5-aarch64.tar.gz",
+ "build_number": "233.14808.21"
},
"mps": {
"update-channel": "MPS RELEASE",
"url-template": "https://download.jetbrains.com/mps/{versionMajorMinor}/MPS-{version}.tar.gz",
- "version": "2023.2",
- "sha256": "10d85eee914e23691f8512745eaa044ee33e0ca784fb84a1b0a39852d5ec1014",
- "url": "https://download.jetbrains.com/mps/2023.2/MPS-2023.2.tar.gz",
- "build_number": "232.10072.781"
+ "version": "2023.3",
+ "sha256": "7f40c6a9b29e17e29fd2acb2e0d1d31d3353e28fce31a479dd81dd1b66c1fa8c",
+ "url": "https://download.jetbrains.com/mps/2023.3/MPS-2023.3.tar.gz",
+ "build_number": "233.13135.979"
},
"phpstorm": {
"update-channel": "PhpStorm RELEASE",
"url-template": "https://download.jetbrains.com/webide/PhpStorm-{version}-aarch64.tar.gz",
- "version": "2023.3.3",
- "sha256": "7e1b0a6f5fe0ddf832e286544fd1fc4de9ad1d0ef1882f4b1ee4d380e49affdd",
- "url": "https://download.jetbrains.com/webide/PhpStorm-2023.3.3-aarch64.tar.gz",
- "build_number": "233.14015.96",
+ "version": "2023.3.5",
+ "sha256": "bd3f4eca26332ecb1e0a62ffbeee7b0d527a17229bf9998179edb8c0b1e0c524",
+ "url": "https://download.jetbrains.com/webide/PhpStorm-2023.3.5-aarch64.tar.gz",
+ "build_number": "233.14808.18",
"version-major-minor": "2022.3"
},
"pycharm-community": {
"update-channel": "PyCharm RELEASE",
"url-template": "https://download.jetbrains.com/python/pycharm-community-{version}-aarch64.tar.gz",
- "version": "2023.3.3",
- "sha256": "6e8340b494d73e3ff8de46a3e6e70ff8198b76c989c859faef59cc18724a36a2",
- "url": "https://download.jetbrains.com/python/pycharm-community-2023.3.3-aarch64.tar.gz",
- "build_number": "233.13763.11"
+ "version": "2023.3.4",
+ "sha256": "9c9678921b118f90519ba73543c63657d262e6aa7a7fe6b2293dcd5f26943531",
+ "url": "https://download.jetbrains.com/python/pycharm-community-2023.3.4-aarch64.tar.gz",
+ "build_number": "233.14475.56"
},
"pycharm-professional": {
"update-channel": "PyCharm RELEASE",
"url-template": "https://download.jetbrains.com/python/pycharm-professional-{version}-aarch64.tar.gz",
- "version": "2023.3.3",
- "sha256": "bf0d8935b316ca2ce2b27a8ee98042f50a0b69ab1a7bb7bb1278941178d54fcf",
- "url": "https://download.jetbrains.com/python/pycharm-professional-2023.3.3-aarch64.tar.gz",
- "build_number": "233.13763.11"
+ "version": "2023.3.4",
+ "sha256": "4800ba2575ba2b277785f9d3c9fce05d552c623b41db220f7dfdd886874b7042",
+ "url": "https://download.jetbrains.com/python/pycharm-professional-2023.3.4-aarch64.tar.gz",
+ "build_number": "233.14475.56"
},
"rider": {
"update-channel": "Rider RELEASE",
"url-template": "https://download.jetbrains.com/rider/JetBrains.Rider-{version}-aarch64.tar.gz",
- "version": "2023.3.3",
- "sha256": "72681b8627b10d4e23177e729d37ee96f870442edd12d4306b9406d95446d420",
- "url": "https://download.jetbrains.com/rider/JetBrains.Rider-2023.3.3-aarch64.tar.gz",
- "build_number": "233.14015.60"
+ "version": "2023.3.4",
+ "sha256": "99c80997bd0d2b8b918ef81fbbe7b422e165665c8fa3d108b1387ca0188c9558",
+ "url": "https://download.jetbrains.com/rider/JetBrains.Rider-2023.3.4-aarch64.tar.gz",
+ "build_number": "233.14475.66"
},
"ruby-mine": {
"update-channel": "RubyMine RELEASE",
"url-template": "https://download.jetbrains.com/ruby/RubyMine-{version}-aarch64.tar.gz",
- "version": "2023.3.3",
- "sha256": "cb8ef50e2a5abdca78a713584798851bf736af2b1a67c861f8a750a09d3631ad",
- "url": "https://download.jetbrains.com/ruby/RubyMine-2023.3.3-aarch64.tar.gz",
- "build_number": "233.14015.117"
+ "version": "2023.3.5",
+ "sha256": "91fd717d04512170e181fd77f7cd0a63ef10e4f510a81d124d67a82d5d82a5d8",
+ "url": "https://download.jetbrains.com/ruby/RubyMine-2023.3.5-aarch64.tar.gz",
+ "build_number": "233.14808.14"
},
"rust-rover": {
"update-channel": "RustRover EAP",
"url-template": "https://download.jetbrains.com/rustrover/RustRover-{version}-aarch64.tar.gz",
"version": "2023.3 EAP",
- "sha256": "f99755d11d410ba453e1ef70a22aed15a02da292933222de64067b4f2d3cdcef",
- "url": "https://download.jetbrains.com/rustrover/RustRover-233.13135.127-aarch64.tar.gz",
- "build_number": "233.13135.127"
+ "sha256": "d71b4d2eb3769a3acc2ebb3b3324ca376b86eb6788bf9e85467db8ee60f006c7",
+ "url": "https://download.jetbrains.com/rustrover/RustRover-233.14015.155-aarch64.tar.gz",
+ "build_number": "233.14015.155"
},
"webstorm": {
"update-channel": "WebStorm RELEASE",
"url-template": "https://download.jetbrains.com/webstorm/WebStorm-{version}-aarch64.tar.gz",
- "version": "2023.3.3",
- "sha256": "14fe97e2ed2b7a2c283266159d571f955631abb527b2c728e4b837cdacf2a5fc",
- "url": "https://download.jetbrains.com/webstorm/WebStorm-2023.3.3-aarch64.tar.gz",
- "build_number": "233.14015.89"
+ "version": "2023.3.4",
+ "sha256": "2e072ee801d07961079ef183dec13561726e2c38df68e35fe4be51d8caac585c",
+ "url": "https://download.jetbrains.com/webstorm/WebStorm-2023.3.4-aarch64.tar.gz",
+ "build_number": "233.14475.40"
}
},
"x86_64-darwin": {
"clion": {
"update-channel": "CLion RELEASE",
"url-template": "https://download.jetbrains.com/cpp/CLion-{version}.dmg",
- "version": "2023.3.3",
- "sha256": "ad93d0e6b8e580db3063e36c349fd470cc47766d51287569b87b3e947463aa55",
- "url": "https://download.jetbrains.com/cpp/CLion-2023.3.3.dmg",
- "build_number": "233.14015.92"
+ "version": "2023.3.4",
+ "sha256": "2eb149d2bbf1c778f3386bc9cf7b11741b4372e69c680def31260b9aa213159e",
+ "url": "https://download.jetbrains.com/cpp/CLion-2023.3.4.dmg",
+ "build_number": "233.14475.31"
},
"datagrip": {
"update-channel": "DataGrip RELEASE",
@@ -265,117 +265,117 @@
"dataspell": {
"update-channel": "DataSpell RELEASE",
"url-template": "https://download.jetbrains.com/python/dataspell-{version}.dmg",
- "version": "2023.3.3",
- "sha256": "fb302153ce044e8b6bb4df5935e25d4464bffe690bd2b94ef2d60b18299ec8b2",
- "url": "https://download.jetbrains.com/python/dataspell-2023.3.3.dmg",
- "build_number": "233.14015.111"
+ "version": "2023.3.4",
+ "sha256": "8bc75545a3c502a98e6e8360ab4d0e7639979456d87ec7cb508344d7e3066955",
+ "url": "https://download.jetbrains.com/python/dataspell-2023.3.4.dmg",
+ "build_number": "233.14475.37"
},
"gateway": {
"update-channel": "Gateway RELEASE",
"url-template": "https://download.jetbrains.com/idea/gateway/JetBrainsGateway-{version}.dmg",
- "version": "2023.3.3",
- "sha256": "9a96c387bcd0ba9d84fd53ba2ae37d0370809d27a0fdb63e18664fdf5ee7f53f",
- "url": "https://download.jetbrains.com/idea/gateway/JetBrainsGateway-2023.3.3.dmg",
- "build_number": "233.14015.129"
+ "version": "2023.3.4",
+ "sha256": "5cf8720e0259c2bfd2474a5fd1db329cb48c10a8823ba0c29b0f3bde3ddbdf8a",
+ "url": "https://download.jetbrains.com/idea/gateway/JetBrainsGateway-2023.3.4.dmg",
+ "build_number": "233.14475.30"
},
"goland": {
"update-channel": "GoLand RELEASE",
"url-template": "https://download.jetbrains.com/go/goland-{version}.dmg",
- "version": "2023.3.3",
- "sha256": "2e459e390988e2d196add580c8cbfce8132ef0a4d55709d7495cb65a195ed4f9",
- "url": "https://download.jetbrains.com/go/goland-2023.3.3.dmg",
- "build_number": "233.14015.113"
+ "version": "2023.3.5",
+ "sha256": "2d76043909619e7fc59d87cda6c17fac03acaafc20f7a30da0219ef57415386f",
+ "url": "https://download.jetbrains.com/go/goland-2023.3.5.dmg",
+ "build_number": "233.14808.20"
},
"idea-community": {
"update-channel": "IntelliJ IDEA RELEASE",
"url-template": "https://download.jetbrains.com/idea/ideaIC-{version}.dmg",
- "version": "2023.3.3",
- "sha256": "291308af0dcb276dd2103affb4cdfb55c29135eb411fed2ac6f5a73270525688",
- "url": "https://download.jetbrains.com/idea/ideaIC-2023.3.3.dmg",
- "build_number": "233.14015.106"
+ "version": "2023.3.5",
+ "sha256": "f9ac332146ea4eb01b2d3789c042d62a024c6ccedb7e15bf9b09f506ef0663ac",
+ "url": "https://download.jetbrains.com/idea/ideaIC-2023.3.5.dmg",
+ "build_number": "233.14808.21"
},
"idea-ultimate": {
"update-channel": "IntelliJ IDEA RELEASE",
"url-template": "https://download.jetbrains.com/idea/ideaIU-{version}.dmg",
- "version": "2023.3.3",
- "sha256": "48ea080e2e444bd6f9c27f9d9e958df4d09bc4df98457cf2ebf7f25a4a2cded9",
- "url": "https://download.jetbrains.com/idea/ideaIU-2023.3.3.dmg",
- "build_number": "233.14015.106"
+ "version": "2023.3.5",
+ "sha256": "e31b7b572cb3e908e325707873d1cba53ccff0032c1876cfd7d1d998f247629b",
+ "url": "https://download.jetbrains.com/idea/ideaIU-2023.3.5.dmg",
+ "build_number": "233.14808.21"
},
"mps": {
"update-channel": "MPS RELEASE",
"url-template": "https://download.jetbrains.com/mps/{versionMajorMinor}/MPS-{version}-macos.dmg",
- "version": "2023.2",
- "sha256": "11a635432beaca5809fe0253303d07444a0bfd6fac287c72e7b03e7a9f1a59e4",
- "url": "https://download.jetbrains.com/mps/2023.2/MPS-2023.2-macos.dmg",
- "build_number": "232.10072.781"
+ "version": "2023.3",
+ "sha256": "c1e46dcb3429772b164f423cedc644f388217d1e7310d682b2341f9e744333bf",
+ "url": "https://download.jetbrains.com/mps/2023.3/MPS-2023.3-macos.dmg",
+ "build_number": "233.13135.979"
},
"phpstorm": {
"update-channel": "PhpStorm RELEASE",
"url-template": "https://download.jetbrains.com/webide/PhpStorm-{version}.dmg",
- "version": "2023.3.3",
- "sha256": "082d432eb363b274fa4eea2f743518dfcf29deb0e7be34f64152d5b114415daf",
- "url": "https://download.jetbrains.com/webide/PhpStorm-2023.3.3.dmg",
- "build_number": "233.14015.96",
+ "version": "2023.3.5",
+ "sha256": "536836776189c54f6ba6dc61c344758ba1bc5c8b6191d09c69cfaeaa11ef33dd",
+ "url": "https://download.jetbrains.com/webide/PhpStorm-2023.3.5.dmg",
+ "build_number": "233.14808.18",
"version-major-minor": "2022.3"
},
"pycharm-community": {
"update-channel": "PyCharm RELEASE",
"url-template": "https://download.jetbrains.com/python/pycharm-community-{version}.dmg",
- "version": "2023.3.3",
- "sha256": "b42894264cf0f14fe5a93807999c89870c6709e3f1edf9d629ea74c151825451",
- "url": "https://download.jetbrains.com/python/pycharm-community-2023.3.3.dmg",
- "build_number": "233.13763.11"
+ "version": "2023.3.4",
+ "sha256": "492268d99fec38fa81bd02772ed57b243403ecad1f5f28b3a1150502cf8b4a6c",
+ "url": "https://download.jetbrains.com/python/pycharm-community-2023.3.4.dmg",
+ "build_number": "233.14475.56"
},
"pycharm-professional": {
"update-channel": "PyCharm RELEASE",
"url-template": "https://download.jetbrains.com/python/pycharm-professional-{version}.dmg",
- "version": "2023.3.3",
- "sha256": "c86b6e279ac6a82ce79e187c96b671c1b3bbb6cc2c7c5686454705316b398e9c",
- "url": "https://download.jetbrains.com/python/pycharm-professional-2023.3.3.dmg",
- "build_number": "233.13763.11"
+ "version": "2023.3.4",
+ "sha256": "59512bbe61a9332f02e78d34b85ab2563b5dac2fa9882519ed27cfcbccef5dc2",
+ "url": "https://download.jetbrains.com/python/pycharm-professional-2023.3.4.dmg",
+ "build_number": "233.14475.56"
},
"rider": {
"update-channel": "Rider RELEASE",
"url-template": "https://download.jetbrains.com/rider/JetBrains.Rider-{version}.dmg",
- "version": "2023.3.3",
- "sha256": "a90346a30523eaa2e3abf57abd3949f46e0c6e6d2ea0c62c36d40b07061626cb",
- "url": "https://download.jetbrains.com/rider/JetBrains.Rider-2023.3.3.dmg",
- "build_number": "233.14015.60"
+ "version": "2023.3.4",
+ "sha256": "00435dc8d9dd43bbd73a55be7d5b304be8ee124032a7485750aef86cddc53ba2",
+ "url": "https://download.jetbrains.com/rider/JetBrains.Rider-2023.3.4.dmg",
+ "build_number": "233.14475.66"
},
"ruby-mine": {
"update-channel": "RubyMine RELEASE",
"url-template": "https://download.jetbrains.com/ruby/RubyMine-{version}.dmg",
- "version": "2023.3.3",
- "sha256": "5fcba619de2c19b969aa6ce200c859a196de6597476cd9c31b8ffef415486b8b",
- "url": "https://download.jetbrains.com/ruby/RubyMine-2023.3.3.dmg",
- "build_number": "233.14015.117"
+ "version": "2023.3.5",
+ "sha256": "bb25d2e10187c87b809c9ce06ca5d05eb3675dd33bff587b3249117f4c290d01",
+ "url": "https://download.jetbrains.com/ruby/RubyMine-2023.3.5.dmg",
+ "build_number": "233.14808.14"
},
"rust-rover": {
"update-channel": "RustRover EAP",
"url-template": "https://download.jetbrains.com/rustrover/RustRover-{version}.dmg",
"version": "2023.3 EAP",
- "sha256": "f52d5ed316e92ff259aa097fce6c4d8985567373f08d2551ecfa49970c3b3c21",
- "url": "https://download.jetbrains.com/rustrover/RustRover-233.13135.127.dmg",
- "build_number": "233.13135.127"
+ "sha256": "ceb2f78f0d018b66a2f81ad0e2facc7717e4d2f0fe0a3d3ef9277269afb64dee",
+ "url": "https://download.jetbrains.com/rustrover/RustRover-233.14015.155.dmg",
+ "build_number": "233.14015.155"
},
"webstorm": {
"update-channel": "WebStorm RELEASE",
"url-template": "https://download.jetbrains.com/webstorm/WebStorm-{version}.dmg",
- "version": "2023.3.3",
- "sha256": "e576a6adeda21bff4eb4bb7d250bb30ba4e773e9bd8728b05fa6d6dc6eea6756",
- "url": "https://download.jetbrains.com/webstorm/WebStorm-2023.3.3.dmg",
- "build_number": "233.14015.89"
+ "version": "2023.3.4",
+ "sha256": "5c7f2b3194bf49712456466bfdc58f20bd434f00128c4964d82e9744ee114cf8",
+ "url": "https://download.jetbrains.com/webstorm/WebStorm-2023.3.4.dmg",
+ "build_number": "233.14475.40"
}
},
"aarch64-darwin": {
"clion": {
"update-channel": "CLion RELEASE",
"url-template": "https://download.jetbrains.com/cpp/CLion-{version}-aarch64.dmg",
- "version": "2023.3.3",
- "sha256": "4f8d01238149ae479e07762063011fd9b4b7c5c2ae355348810691d51f646bfb",
- "url": "https://download.jetbrains.com/cpp/CLion-2023.3.3-aarch64.dmg",
- "build_number": "233.14015.92"
+ "version": "2023.3.4",
+ "sha256": "340d239008c38743a10e51ae609ec3bf3dd732cf2042ef997532c6b8ed272b1a",
+ "url": "https://download.jetbrains.com/cpp/CLion-2023.3.4-aarch64.dmg",
+ "build_number": "233.14475.31"
},
"datagrip": {
"update-channel": "DataGrip RELEASE",
@@ -388,107 +388,107 @@
"dataspell": {
"update-channel": "DataSpell RELEASE",
"url-template": "https://download.jetbrains.com/python/dataspell-{version}-aarch64.dmg",
- "version": "2023.3.3",
- "sha256": "db05a2acc6a5a15b1bca9e8f68b96f975d8860df35b1bd37c0d5803af4080ee0",
- "url": "https://download.jetbrains.com/python/dataspell-2023.3.3-aarch64.dmg",
- "build_number": "233.14015.111"
+ "version": "2023.3.4",
+ "sha256": "2b87177f16dc4d31ff0dcf7206e03da3ff811c88ad18711170ca263e02d6ffa5",
+ "url": "https://download.jetbrains.com/python/dataspell-2023.3.4-aarch64.dmg",
+ "build_number": "233.14475.37"
},
"gateway": {
"update-channel": "Gateway RELEASE",
"url-template": "https://download.jetbrains.com/idea/gateway/JetBrainsGateway-{version}-aarch64.dmg",
- "version": "2023.3.3",
- "sha256": "ec6150b6336d831a03ad7336bedc70d7c6f319f958bbf012c59671db42764173",
- "url": "https://download.jetbrains.com/idea/gateway/JetBrainsGateway-2023.3.3-aarch64.dmg",
- "build_number": "233.14015.129"
+ "version": "2023.3.4",
+ "sha256": "2e769fd9c28b33d34845d1b92cb12a13578f2d4c2f255c88a42fcb79a7cf848f",
+ "url": "https://download.jetbrains.com/idea/gateway/JetBrainsGateway-2023.3.4-aarch64.dmg",
+ "build_number": "233.14475.30"
},
"goland": {
"update-channel": "GoLand RELEASE",
"url-template": "https://download.jetbrains.com/go/goland-{version}-aarch64.dmg",
- "version": "2023.3.3",
- "sha256": "4b192b5a59d86ca8f20377d7905e8a91aa1f53e99bb868ff74d9d0959b54d9c4",
- "url": "https://download.jetbrains.com/go/goland-2023.3.3-aarch64.dmg",
- "build_number": "233.14015.113"
+ "version": "2023.3.5",
+ "sha256": "2addb56238a56fc91fe6bbbaa5d7ae1d7adc223abb1a12e2575abf85425d1e54",
+ "url": "https://download.jetbrains.com/go/goland-2023.3.5-aarch64.dmg",
+ "build_number": "233.14808.20"
},
"idea-community": {
"update-channel": "IntelliJ IDEA RELEASE",
"url-template": "https://download.jetbrains.com/idea/ideaIC-{version}-aarch64.dmg",
- "version": "2023.3.3",
- "sha256": "dad35642f993830970975ed81c7b04f89171dba0dde9e4ccf7ea29b24392603f",
- "url": "https://download.jetbrains.com/idea/ideaIC-2023.3.3-aarch64.dmg",
- "build_number": "233.14015.106"
+ "version": "2023.3.5",
+ "sha256": "f1f3d2585e4dceff80e5e5ac5e532e5cc94bd6711167c429c3d3c7a6b3c81f09",
+ "url": "https://download.jetbrains.com/idea/ideaIC-2023.3.5-aarch64.dmg",
+ "build_number": "233.14808.21"
},
"idea-ultimate": {
"update-channel": "IntelliJ IDEA RELEASE",
"url-template": "https://download.jetbrains.com/idea/ideaIU-{version}-aarch64.dmg",
- "version": "2023.3.3",
- "sha256": "ccd1dc932b3bdfabe629c38a85716ce561dbf0f4512533a62acc503648dbaa22",
- "url": "https://download.jetbrains.com/idea/ideaIU-2023.3.3-aarch64.dmg",
- "build_number": "233.14015.106"
+ "version": "2023.3.5",
+ "sha256": "a81096a8f697967df1a2c8564267df81bbee24d88a10011dc3e4340efb66461a",
+ "url": "https://download.jetbrains.com/idea/ideaIU-2023.3.5-aarch64.dmg",
+ "build_number": "233.14808.21"
},
"mps": {
"update-channel": "MPS RELEASE",
"url-template": "https://download.jetbrains.com/mps/{versionMajorMinor}/MPS-{version}-macos-aarch64.dmg",
- "version": "2023.2",
- "url": "https://download.jetbrains.com/mps/2023.2/MPS-2023.2-macos-aarch64.dmg",
- "sha256": "a19ecd8a109783e9d2260cc18f48ac97e52a0bc00ee29df5ccf711a80d1701eb",
- "build_number": "232.10072.781"
+ "version": "2023.3",
+ "url": "https://download.jetbrains.com/mps/2023.3/MPS-2023.3-macos-aarch64.dmg",
+ "sha256": "133e5bae81d675a6ee7780efec18dd96dfed059dbfdd2ad4a1028d9956a1ec6e",
+ "build_number": "233.13135.979"
},
"phpstorm": {
"update-channel": "PhpStorm RELEASE",
"url-template": "https://download.jetbrains.com/webide/PhpStorm-{version}-aarch64.dmg",
- "version": "2023.3.3",
- "sha256": "052bf5e1676410b0ce25574c57c56470ee3d2d8f4b0d776c1de6bb841a6eb3bd",
- "url": "https://download.jetbrains.com/webide/PhpStorm-2023.3.3-aarch64.dmg",
- "build_number": "233.14015.96",
+ "version": "2023.3.5",
+ "sha256": "6b4da9083d0e4bd9725c7394981de66e9a633ad797dfb771baf65887b8d18471",
+ "url": "https://download.jetbrains.com/webide/PhpStorm-2023.3.5-aarch64.dmg",
+ "build_number": "233.14808.18",
"version-major-minor": "2022.3"
},
"pycharm-community": {
"update-channel": "PyCharm RELEASE",
"url-template": "https://download.jetbrains.com/python/pycharm-community-{version}-aarch64.dmg",
- "version": "2023.3.3",
- "sha256": "1e4dd6fb00d7557ba381406279818a3e7892027eff0fbb1b6cdf4b112c47899e",
- "url": "https://download.jetbrains.com/python/pycharm-community-2023.3.3-aarch64.dmg",
- "build_number": "233.13763.11"
+ "version": "2023.3.4",
+ "sha256": "885ed6dcb7b99147e345ce2d088e2636e8317a5e71ff67e9bc9d0f770266646e",
+ "url": "https://download.jetbrains.com/python/pycharm-community-2023.3.4-aarch64.dmg",
+ "build_number": "233.14475.56"
},
"pycharm-professional": {
"update-channel": "PyCharm RELEASE",
"url-template": "https://download.jetbrains.com/python/pycharm-professional-{version}-aarch64.dmg",
- "version": "2023.3.3",
- "sha256": "84fb09938539dc2f7ecfbd9be20a916cf542fc4e0b69a319d17e4180e4d6a244",
- "url": "https://download.jetbrains.com/python/pycharm-professional-2023.3.3-aarch64.dmg",
- "build_number": "233.13763.11"
+ "version": "2023.3.4",
+ "sha256": "58dbf1628f59950d40be4ed73199ce92ef36be6735823d9566135ddea16c1718",
+ "url": "https://download.jetbrains.com/python/pycharm-professional-2023.3.4-aarch64.dmg",
+ "build_number": "233.14475.56"
},
"rider": {
"update-channel": "Rider RELEASE",
"url-template": "https://download.jetbrains.com/rider/JetBrains.Rider-{version}-aarch64.dmg",
- "version": "2023.3.3",
- "sha256": "2d6d425610a8d14616cf9a18a0048d678164fcc45f4f5a8ee3fff695012a0c43",
- "url": "https://download.jetbrains.com/rider/JetBrains.Rider-2023.3.3-aarch64.dmg",
- "build_number": "233.14015.60"
+ "version": "2023.3.4",
+ "sha256": "8ffd13dcc2207d4a781dc92e89643767e0dd6ef53024c92669f4daaf9cf929c0",
+ "url": "https://download.jetbrains.com/rider/JetBrains.Rider-2023.3.4-aarch64.dmg",
+ "build_number": "233.14475.66"
},
"ruby-mine": {
"update-channel": "RubyMine RELEASE",
"url-template": "https://download.jetbrains.com/ruby/RubyMine-{version}-aarch64.dmg",
- "version": "2023.3.3",
- "sha256": "1e9b62b495709c5d40af0aa9e5bdca21658dc23339659bcc3d5666ef45686281",
- "url": "https://download.jetbrains.com/ruby/RubyMine-2023.3.3-aarch64.dmg",
- "build_number": "233.14015.117"
+ "version": "2023.3.5",
+ "sha256": "1ea4720ef6559a52901e8627dd100f25951419ab4ce592f602e5bb2359027b6b",
+ "url": "https://download.jetbrains.com/ruby/RubyMine-2023.3.5-aarch64.dmg",
+ "build_number": "233.14808.14"
},
"rust-rover": {
"update-channel": "RustRover EAP",
"url-template": "https://download.jetbrains.com/rustrover/RustRover-{version}-aarch64.dmg",
"version": "2023.3 EAP",
- "sha256": "dc4edbd94363753084dbf2dfbeff6a13af681465e3ece8b60b2382b11e516793",
- "url": "https://download.jetbrains.com/rustrover/RustRover-233.13135.127-aarch64.dmg",
- "build_number": "233.13135.127"
+ "sha256": "13c986d075c78e6a81db489247fc542014ad46da7cc7bd6fa1710be047ef0884",
+ "url": "https://download.jetbrains.com/rustrover/RustRover-233.14015.155-aarch64.dmg",
+ "build_number": "233.14015.155"
},
"webstorm": {
"update-channel": "WebStorm RELEASE",
"url-template": "https://download.jetbrains.com/webstorm/WebStorm-{version}-aarch64.dmg",
- "version": "2023.3.3",
- "sha256": "30b9c45af873991c0d2dca508b42e61fa6a7ea752ac00bb93c1e519d15ef277c",
- "url": "https://download.jetbrains.com/webstorm/WebStorm-2023.3.3-aarch64.dmg",
- "build_number": "233.14015.89"
+ "version": "2023.3.4",
+ "sha256": "e8abaf52bc68a9da2873897de4f1aa89510323d4a12dc32fa98b192bc4eae5bc",
+ "url": "https://download.jetbrains.com/webstorm/WebStorm-2023.3.4-aarch64.dmg",
+ "build_number": "233.14475.40"
}
}
}
diff --git a/pkgs/applications/editors/jetbrains/default.nix b/pkgs/applications/editors/jetbrains/default.nix
index e40fa4c68d72..e5584575b24a 100644
--- a/pkgs/applications/editors/jetbrains/default.nix
+++ b/pkgs/applications/editors/jetbrains/default.nix
@@ -240,8 +240,6 @@ rec {
--replace-needed libssl.so.10 libssl.so \
--replace-needed libcrypto.so.10 libcrypto.so
- interp="$(cat $NIX_CC/nix-support/dynamic-linker)"
- patchelf --set-interpreter $interp $PWD/plugins/intellij-rust/bin/linux/*/intellij-rust-native-helper
chmod +x $PWD/plugins/intellij-rust/bin/linux/*/intellij-rust-native-helper
)
'';
diff --git a/pkgs/applications/editors/jetbrains/plugins/plugins.json b/pkgs/applications/editors/jetbrains/plugins/plugins.json
index 37202bb81467..388f0a46dfad 100644
--- a/pkgs/applications/editors/jetbrains/plugins/plugins.json
+++ b/pkgs/applications/editors/jetbrains/plugins/plugins.json
@@ -17,17 +17,17 @@
"webstorm"
],
"builds": {
- "232.10072.781": "https://plugins.jetbrains.com/files/164/442850/IdeaVim-2.7.5-signed.zip",
- "233.13135.127": "https://plugins.jetbrains.com/files/164/479004/IdeaVim-2.8.2-signed.zip",
- "233.13763.11": "https://plugins.jetbrains.com/files/164/479004/IdeaVim-2.8.2-signed.zip",
- "233.14015.106": "https://plugins.jetbrains.com/files/164/479004/IdeaVim-2.8.2-signed.zip",
- "233.14015.113": "https://plugins.jetbrains.com/files/164/479004/IdeaVim-2.8.2-signed.zip",
- "233.14015.117": "https://plugins.jetbrains.com/files/164/479004/IdeaVim-2.8.2-signed.zip",
- "233.14015.137": "https://plugins.jetbrains.com/files/164/479004/IdeaVim-2.8.2-signed.zip",
- "233.14015.60": "https://plugins.jetbrains.com/files/164/479004/IdeaVim-2.8.2-signed.zip",
- "233.14015.89": "https://plugins.jetbrains.com/files/164/479004/IdeaVim-2.8.2-signed.zip",
- "233.14015.92": "https://plugins.jetbrains.com/files/164/479004/IdeaVim-2.8.2-signed.zip",
- "233.14015.96": "https://plugins.jetbrains.com/files/164/479004/IdeaVim-2.8.2-signed.zip"
+ "233.13135.979": "https://plugins.jetbrains.com/files/164/491097/IdeaVim-2.9.1-signed.zip",
+ "233.14015.137": "https://plugins.jetbrains.com/files/164/491097/IdeaVim-2.9.1-signed.zip",
+ "233.14015.155": "https://plugins.jetbrains.com/files/164/491097/IdeaVim-2.9.1-signed.zip",
+ "233.14475.31": "https://plugins.jetbrains.com/files/164/491097/IdeaVim-2.9.1-signed.zip",
+ "233.14475.40": "https://plugins.jetbrains.com/files/164/491097/IdeaVim-2.9.1-signed.zip",
+ "233.14475.56": "https://plugins.jetbrains.com/files/164/491097/IdeaVim-2.9.1-signed.zip",
+ "233.14475.66": "https://plugins.jetbrains.com/files/164/491097/IdeaVim-2.9.1-signed.zip",
+ "233.14808.14": "https://plugins.jetbrains.com/files/164/491097/IdeaVim-2.9.1-signed.zip",
+ "233.14808.18": "https://plugins.jetbrains.com/files/164/491097/IdeaVim-2.9.1-signed.zip",
+ "233.14808.20": "https://plugins.jetbrains.com/files/164/491097/IdeaVim-2.9.1-signed.zip",
+ "233.14808.21": "https://plugins.jetbrains.com/files/164/491097/IdeaVim-2.9.1-signed.zip"
},
"name": "ideavim"
},
@@ -36,7 +36,7 @@
"idea-ultimate"
],
"builds": {
- "233.14015.106": "https://plugins.jetbrains.com/files/631/474316/python-233.14015.106.zip"
+ "233.14808.21": "https://plugins.jetbrains.com/files/631/502576/python-233.14808.21.zip"
},
"name": "python"
},
@@ -47,8 +47,8 @@
"mps"
],
"builds": {
- "232.10072.781": "https://plugins.jetbrains.com/files/6954/459286/kotlin-plugin-232-1.9.22-release-704-IJ10072.27.zip",
- "233.14015.106": null
+ "233.13135.979": null,
+ "233.14808.21": null
},
"name": "kotlin"
},
@@ -69,17 +69,17 @@
"webstorm"
],
"builds": {
- "232.10072.781": null,
- "233.13135.127": "https://plugins.jetbrains.com/files/6981/464477/ini-233.13135.116.zip",
- "233.13763.11": "https://plugins.jetbrains.com/files/6981/468089/ini-233.13763.5.zip",
- "233.14015.106": "https://plugins.jetbrains.com/files/6981/474956/ini-233.14015.113.zip",
- "233.14015.113": "https://plugins.jetbrains.com/files/6981/474956/ini-233.14015.113.zip",
- "233.14015.117": "https://plugins.jetbrains.com/files/6981/474956/ini-233.14015.113.zip",
- "233.14015.137": "https://plugins.jetbrains.com/files/6981/474956/ini-233.14015.113.zip",
- "233.14015.60": "https://plugins.jetbrains.com/files/6981/474956/ini-233.14015.113.zip",
- "233.14015.89": "https://plugins.jetbrains.com/files/6981/474956/ini-233.14015.113.zip",
- "233.14015.92": "https://plugins.jetbrains.com/files/6981/474956/ini-233.14015.113.zip",
- "233.14015.96": "https://plugins.jetbrains.com/files/6981/474956/ini-233.14015.113.zip"
+ "233.13135.979": null,
+ "233.14015.137": null,
+ "233.14015.155": null,
+ "233.14475.31": "https://plugins.jetbrains.com/files/6981/487357/ini-233.14475.38.zip",
+ "233.14475.40": "https://plugins.jetbrains.com/files/6981/487357/ini-233.14475.38.zip",
+ "233.14475.56": "https://plugins.jetbrains.com/files/6981/487357/ini-233.14475.38.zip",
+ "233.14475.66": "https://plugins.jetbrains.com/files/6981/487357/ini-233.14475.38.zip",
+ "233.14808.14": "https://plugins.jetbrains.com/files/6981/502555/ini-233.14808.20.zip",
+ "233.14808.18": "https://plugins.jetbrains.com/files/6981/502555/ini-233.14808.20.zip",
+ "233.14808.20": "https://plugins.jetbrains.com/files/6981/502555/ini-233.14808.20.zip",
+ "233.14808.21": "https://plugins.jetbrains.com/files/6981/502555/ini-233.14808.20.zip"
},
"name": "ini"
},
@@ -89,8 +89,8 @@
"phpstorm"
],
"builds": {
- "233.14015.106": "https://plugins.jetbrains.com/files/7219/467592/Symfony_Plugin-2022.1.263.zip",
- "233.14015.96": "https://plugins.jetbrains.com/files/7219/467592/Symfony_Plugin-2022.1.263.zip"
+ "233.14808.18": "https://plugins.jetbrains.com/files/7219/497847/Symfony_Plugin-2023.1.265.zip",
+ "233.14808.21": "https://plugins.jetbrains.com/files/7219/497847/Symfony_Plugin-2023.1.265.zip"
},
"name": "symfony-support"
},
@@ -100,8 +100,8 @@
"phpstorm"
],
"builds": {
- "233.14015.106": "https://plugins.jetbrains.com/files/7320/346181/PHP_Annotations-9.4.0.zip",
- "233.14015.96": "https://plugins.jetbrains.com/files/7320/346181/PHP_Annotations-9.4.0.zip"
+ "233.14808.18": "https://plugins.jetbrains.com/files/7320/346181/PHP_Annotations-9.4.0.zip",
+ "233.14808.21": "https://plugins.jetbrains.com/files/7320/346181/PHP_Annotations-9.4.0.zip"
},
"name": "php-annotations"
},
@@ -114,11 +114,11 @@
"rust-rover"
],
"builds": {
- "233.13135.127": "https://plugins.jetbrains.com/files/7322/456914/python-ce-233.13135.103.zip",
- "233.14015.106": "https://plugins.jetbrains.com/files/7322/474341/python-ce-233.14015.106.zip",
- "233.14015.113": "https://plugins.jetbrains.com/files/7322/474341/python-ce-233.14015.106.zip",
"233.14015.137": "https://plugins.jetbrains.com/files/7322/474341/python-ce-233.14015.106.zip",
- "233.14015.60": "https://plugins.jetbrains.com/files/7322/474341/python-ce-233.14015.106.zip"
+ "233.14015.155": "https://plugins.jetbrains.com/files/7322/474341/python-ce-233.14015.106.zip",
+ "233.14475.66": "https://plugins.jetbrains.com/files/7322/484110/python-ce-233.14475.9.zip",
+ "233.14808.20": "https://plugins.jetbrains.com/files/7322/502153/python-ce-233.14808.12.zip",
+ "233.14808.21": "https://plugins.jetbrains.com/files/7322/502153/python-ce-233.14808.12.zip"
},
"name": "python-community-edition"
},
@@ -138,16 +138,16 @@
"webstorm"
],
"builds": {
- "232.10072.781": "https://plugins.jetbrains.com/files/8182/395553/intellij-rust-0.4.201.5424-232.zip",
- "233.13763.11": "https://plugins.jetbrains.com/files/8182/466854/intellij-rust-233.15445.zip",
- "233.14015.106": "https://plugins.jetbrains.com/files/8182/466854/intellij-rust-233.15445.zip",
- "233.14015.113": "https://plugins.jetbrains.com/files/8182/466854/intellij-rust-233.15445.zip",
- "233.14015.117": "https://plugins.jetbrains.com/files/8182/466854/intellij-rust-233.15445.zip",
+ "233.13135.979": "https://plugins.jetbrains.com/files/8182/466854/intellij-rust-233.15445.zip",
"233.14015.137": "https://plugins.jetbrains.com/files/8182/466854/intellij-rust-233.15445.zip",
- "233.14015.60": "https://plugins.jetbrains.com/files/8182/466854/intellij-rust-233.15445.zip",
- "233.14015.89": "https://plugins.jetbrains.com/files/8182/466854/intellij-rust-233.15445.zip",
- "233.14015.92": "https://plugins.jetbrains.com/files/8182/466854/intellij-rust-233.15445.zip",
- "233.14015.96": "https://plugins.jetbrains.com/files/8182/466854/intellij-rust-233.15445.zip"
+ "233.14475.31": "https://plugins.jetbrains.com/files/8182/466854/intellij-rust-233.15445.zip",
+ "233.14475.40": "https://plugins.jetbrains.com/files/8182/466854/intellij-rust-233.15445.zip",
+ "233.14475.56": "https://plugins.jetbrains.com/files/8182/466854/intellij-rust-233.15445.zip",
+ "233.14475.66": "https://plugins.jetbrains.com/files/8182/466854/intellij-rust-233.15445.zip",
+ "233.14808.14": "https://plugins.jetbrains.com/files/8182/466854/intellij-rust-233.15445.zip",
+ "233.14808.18": "https://plugins.jetbrains.com/files/8182/466854/intellij-rust-233.15445.zip",
+ "233.14808.20": "https://plugins.jetbrains.com/files/8182/466854/intellij-rust-233.15445.zip",
+ "233.14808.21": "https://plugins.jetbrains.com/files/8182/466854/intellij-rust-233.15445.zip"
},
"name": "-deprecated-rust"
},
@@ -167,16 +167,16 @@
"webstorm"
],
"builds": {
- "232.10072.781": "https://plugins.jetbrains.com/files/8182/372556/intellij-rust-0.4.200.5420-232-beta.zip",
- "233.13763.11": null,
- "233.14015.106": null,
- "233.14015.113": null,
- "233.14015.117": null,
+ "233.13135.979": null,
"233.14015.137": null,
- "233.14015.60": null,
- "233.14015.89": null,
- "233.14015.92": null,
- "233.14015.96": null
+ "233.14475.31": null,
+ "233.14475.40": null,
+ "233.14475.56": null,
+ "233.14475.66": null,
+ "233.14808.14": null,
+ "233.14808.18": null,
+ "233.14808.20": null,
+ "233.14808.21": null
},
"name": "-deprecated-rust-beta"
},
@@ -190,10 +190,10 @@
"ruby-mine"
],
"builds": {
- "233.13763.11": "https://plugins.jetbrains.com/files/8554/454574/featuresTrainer-233.13135.67.zip",
- "233.14015.106": "https://plugins.jetbrains.com/files/8554/469535/featuresTrainer-233.14015.29.zip",
- "233.14015.113": "https://plugins.jetbrains.com/files/8554/469535/featuresTrainer-233.14015.29.zip",
- "233.14015.117": "https://plugins.jetbrains.com/files/8554/469535/featuresTrainer-233.14015.29.zip"
+ "233.14475.56": "https://plugins.jetbrains.com/files/8554/469535/featuresTrainer-233.14015.29.zip",
+ "233.14808.14": "https://plugins.jetbrains.com/files/8554/469535/featuresTrainer-233.14015.29.zip",
+ "233.14808.20": "https://plugins.jetbrains.com/files/8554/469535/featuresTrainer-233.14015.29.zip",
+ "233.14808.21": "https://plugins.jetbrains.com/files/8554/469535/featuresTrainer-233.14015.29.zip"
},
"name": "ide-features-trainer"
},
@@ -214,17 +214,17 @@
"webstorm"
],
"builds": {
- "232.10072.781": "https://plugins.jetbrains.com/files/8607/422943/NixIDEA-0.4.0.11.zip",
- "233.13135.127": "https://plugins.jetbrains.com/files/8607/422943/NixIDEA-0.4.0.11.zip",
- "233.13763.11": "https://plugins.jetbrains.com/files/8607/422943/NixIDEA-0.4.0.11.zip",
- "233.14015.106": "https://plugins.jetbrains.com/files/8607/422943/NixIDEA-0.4.0.11.zip",
- "233.14015.113": "https://plugins.jetbrains.com/files/8607/422943/NixIDEA-0.4.0.11.zip",
- "233.14015.117": "https://plugins.jetbrains.com/files/8607/422943/NixIDEA-0.4.0.11.zip",
+ "233.13135.979": "https://plugins.jetbrains.com/files/8607/422943/NixIDEA-0.4.0.11.zip",
"233.14015.137": "https://plugins.jetbrains.com/files/8607/422943/NixIDEA-0.4.0.11.zip",
- "233.14015.60": "https://plugins.jetbrains.com/files/8607/422943/NixIDEA-0.4.0.11.zip",
- "233.14015.89": "https://plugins.jetbrains.com/files/8607/422943/NixIDEA-0.4.0.11.zip",
- "233.14015.92": "https://plugins.jetbrains.com/files/8607/422943/NixIDEA-0.4.0.11.zip",
- "233.14015.96": "https://plugins.jetbrains.com/files/8607/422943/NixIDEA-0.4.0.11.zip"
+ "233.14015.155": "https://plugins.jetbrains.com/files/8607/422943/NixIDEA-0.4.0.11.zip",
+ "233.14475.31": "https://plugins.jetbrains.com/files/8607/422943/NixIDEA-0.4.0.11.zip",
+ "233.14475.40": "https://plugins.jetbrains.com/files/8607/422943/NixIDEA-0.4.0.11.zip",
+ "233.14475.56": "https://plugins.jetbrains.com/files/8607/422943/NixIDEA-0.4.0.11.zip",
+ "233.14475.66": "https://plugins.jetbrains.com/files/8607/422943/NixIDEA-0.4.0.11.zip",
+ "233.14808.14": "https://plugins.jetbrains.com/files/8607/422943/NixIDEA-0.4.0.11.zip",
+ "233.14808.18": "https://plugins.jetbrains.com/files/8607/422943/NixIDEA-0.4.0.11.zip",
+ "233.14808.20": "https://plugins.jetbrains.com/files/8607/422943/NixIDEA-0.4.0.11.zip",
+ "233.14808.21": "https://plugins.jetbrains.com/files/8607/422943/NixIDEA-0.4.0.11.zip"
},
"name": "nixidea"
},
@@ -234,8 +234,8 @@
"idea-ultimate"
],
"builds": {
- "233.14015.106": "https://plugins.jetbrains.com/files/9568/474312/go-plugin-233.14015.106.zip",
- "233.14015.113": "https://plugins.jetbrains.com/files/9568/474312/go-plugin-233.14015.106.zip"
+ "233.14808.20": "https://plugins.jetbrains.com/files/9568/502583/go-plugin-233.14808.21.zip",
+ "233.14808.21": "https://plugins.jetbrains.com/files/9568/502583/go-plugin-233.14808.21.zip"
},
"name": "go"
},
@@ -256,17 +256,17 @@
"webstorm"
],
"builds": {
- "232.10072.781": "https://plugins.jetbrains.com/files/10037/432491/CSVEditor-3.2.3-232.zip",
- "233.13135.127": "https://plugins.jetbrains.com/files/10037/432492/CSVEditor-3.2.3-233.zip",
- "233.13763.11": "https://plugins.jetbrains.com/files/10037/432492/CSVEditor-3.2.3-233.zip",
- "233.14015.106": "https://plugins.jetbrains.com/files/10037/432492/CSVEditor-3.2.3-233.zip",
- "233.14015.113": "https://plugins.jetbrains.com/files/10037/432492/CSVEditor-3.2.3-233.zip",
- "233.14015.117": "https://plugins.jetbrains.com/files/10037/432492/CSVEditor-3.2.3-233.zip",
- "233.14015.137": "https://plugins.jetbrains.com/files/10037/432492/CSVEditor-3.2.3-233.zip",
- "233.14015.60": "https://plugins.jetbrains.com/files/10037/432492/CSVEditor-3.2.3-233.zip",
- "233.14015.89": "https://plugins.jetbrains.com/files/10037/432492/CSVEditor-3.2.3-233.zip",
- "233.14015.92": "https://plugins.jetbrains.com/files/10037/432492/CSVEditor-3.2.3-233.zip",
- "233.14015.96": "https://plugins.jetbrains.com/files/10037/432492/CSVEditor-3.2.3-233.zip"
+ "233.13135.979": "https://plugins.jetbrains.com/files/10037/493012/CSVEditor-3.3.0-233.zip",
+ "233.14015.137": "https://plugins.jetbrains.com/files/10037/493012/CSVEditor-3.3.0-233.zip",
+ "233.14015.155": "https://plugins.jetbrains.com/files/10037/493012/CSVEditor-3.3.0-233.zip",
+ "233.14475.31": "https://plugins.jetbrains.com/files/10037/493012/CSVEditor-3.3.0-233.zip",
+ "233.14475.40": "https://plugins.jetbrains.com/files/10037/493012/CSVEditor-3.3.0-233.zip",
+ "233.14475.56": "https://plugins.jetbrains.com/files/10037/493012/CSVEditor-3.3.0-233.zip",
+ "233.14475.66": "https://plugins.jetbrains.com/files/10037/493012/CSVEditor-3.3.0-233.zip",
+ "233.14808.14": "https://plugins.jetbrains.com/files/10037/493012/CSVEditor-3.3.0-233.zip",
+ "233.14808.18": "https://plugins.jetbrains.com/files/10037/493012/CSVEditor-3.3.0-233.zip",
+ "233.14808.20": "https://plugins.jetbrains.com/files/10037/493012/CSVEditor-3.3.0-233.zip",
+ "233.14808.21": "https://plugins.jetbrains.com/files/10037/493012/CSVEditor-3.3.0-233.zip"
},
"name": "csv-editor"
},
@@ -287,17 +287,17 @@
"webstorm"
],
"builds": {
- "232.10072.781": "https://plugins.jetbrains.com/files/12062/364117/keymap-vscode-232.8660.88.zip",
- "233.13135.127": "https://plugins.jetbrains.com/files/12062/445740/keymap-vscode-233.11799.188.zip",
- "233.13763.11": "https://plugins.jetbrains.com/files/12062/445740/keymap-vscode-233.11799.188.zip",
- "233.14015.106": "https://plugins.jetbrains.com/files/12062/445740/keymap-vscode-233.11799.188.zip",
- "233.14015.113": "https://plugins.jetbrains.com/files/12062/445740/keymap-vscode-233.11799.188.zip",
- "233.14015.117": "https://plugins.jetbrains.com/files/12062/445740/keymap-vscode-233.11799.188.zip",
+ "233.13135.979": "https://plugins.jetbrains.com/files/12062/445740/keymap-vscode-233.11799.188.zip",
"233.14015.137": "https://plugins.jetbrains.com/files/12062/445740/keymap-vscode-233.11799.188.zip",
- "233.14015.60": "https://plugins.jetbrains.com/files/12062/445740/keymap-vscode-233.11799.188.zip",
- "233.14015.89": "https://plugins.jetbrains.com/files/12062/445740/keymap-vscode-233.11799.188.zip",
- "233.14015.92": "https://plugins.jetbrains.com/files/12062/445740/keymap-vscode-233.11799.188.zip",
- "233.14015.96": "https://plugins.jetbrains.com/files/12062/445740/keymap-vscode-233.11799.188.zip"
+ "233.14015.155": "https://plugins.jetbrains.com/files/12062/445740/keymap-vscode-233.11799.188.zip",
+ "233.14475.31": "https://plugins.jetbrains.com/files/12062/445740/keymap-vscode-233.11799.188.zip",
+ "233.14475.40": "https://plugins.jetbrains.com/files/12062/445740/keymap-vscode-233.11799.188.zip",
+ "233.14475.56": "https://plugins.jetbrains.com/files/12062/445740/keymap-vscode-233.11799.188.zip",
+ "233.14475.66": "https://plugins.jetbrains.com/files/12062/445740/keymap-vscode-233.11799.188.zip",
+ "233.14808.14": "https://plugins.jetbrains.com/files/12062/445740/keymap-vscode-233.11799.188.zip",
+ "233.14808.18": "https://plugins.jetbrains.com/files/12062/445740/keymap-vscode-233.11799.188.zip",
+ "233.14808.20": "https://plugins.jetbrains.com/files/12062/445740/keymap-vscode-233.11799.188.zip",
+ "233.14808.21": "https://plugins.jetbrains.com/files/12062/445740/keymap-vscode-233.11799.188.zip"
},
"name": "vscode-keymap"
},
@@ -318,17 +318,17 @@
"webstorm"
],
"builds": {
- "232.10072.781": "https://plugins.jetbrains.com/files/12559/364124/keymap-eclipse-232.8660.88.zip",
- "233.13135.127": "https://plugins.jetbrains.com/files/12559/445772/keymap-eclipse-233.11799.165.zip",
- "233.13763.11": "https://plugins.jetbrains.com/files/12559/445772/keymap-eclipse-233.11799.165.zip",
- "233.14015.106": "https://plugins.jetbrains.com/files/12559/445772/keymap-eclipse-233.11799.165.zip",
- "233.14015.113": "https://plugins.jetbrains.com/files/12559/445772/keymap-eclipse-233.11799.165.zip",
- "233.14015.117": "https://plugins.jetbrains.com/files/12559/445772/keymap-eclipse-233.11799.165.zip",
+ "233.13135.979": "https://plugins.jetbrains.com/files/12559/445772/keymap-eclipse-233.11799.165.zip",
"233.14015.137": "https://plugins.jetbrains.com/files/12559/445772/keymap-eclipse-233.11799.165.zip",
- "233.14015.60": "https://plugins.jetbrains.com/files/12559/445772/keymap-eclipse-233.11799.165.zip",
- "233.14015.89": "https://plugins.jetbrains.com/files/12559/445772/keymap-eclipse-233.11799.165.zip",
- "233.14015.92": "https://plugins.jetbrains.com/files/12559/445772/keymap-eclipse-233.11799.165.zip",
- "233.14015.96": "https://plugins.jetbrains.com/files/12559/445772/keymap-eclipse-233.11799.165.zip"
+ "233.14015.155": "https://plugins.jetbrains.com/files/12559/445772/keymap-eclipse-233.11799.165.zip",
+ "233.14475.31": "https://plugins.jetbrains.com/files/12559/445772/keymap-eclipse-233.11799.165.zip",
+ "233.14475.40": "https://plugins.jetbrains.com/files/12559/445772/keymap-eclipse-233.11799.165.zip",
+ "233.14475.56": "https://plugins.jetbrains.com/files/12559/445772/keymap-eclipse-233.11799.165.zip",
+ "233.14475.66": "https://plugins.jetbrains.com/files/12559/445772/keymap-eclipse-233.11799.165.zip",
+ "233.14808.14": "https://plugins.jetbrains.com/files/12559/445772/keymap-eclipse-233.11799.165.zip",
+ "233.14808.18": "https://plugins.jetbrains.com/files/12559/445772/keymap-eclipse-233.11799.165.zip",
+ "233.14808.20": "https://plugins.jetbrains.com/files/12559/445772/keymap-eclipse-233.11799.165.zip",
+ "233.14808.21": "https://plugins.jetbrains.com/files/12559/445772/keymap-eclipse-233.11799.165.zip"
},
"name": "eclipse-keymap"
},
@@ -349,17 +349,17 @@
"webstorm"
],
"builds": {
- "232.10072.781": "https://plugins.jetbrains.com/files/13017/364038/keymap-visualStudio-232.8660.88.zip",
- "233.13135.127": "https://plugins.jetbrains.com/files/13017/445774/keymap-visualStudio-233.11799.165.zip",
- "233.13763.11": "https://plugins.jetbrains.com/files/13017/445774/keymap-visualStudio-233.11799.165.zip",
- "233.14015.106": "https://plugins.jetbrains.com/files/13017/445774/keymap-visualStudio-233.11799.165.zip",
- "233.14015.113": "https://plugins.jetbrains.com/files/13017/445774/keymap-visualStudio-233.11799.165.zip",
- "233.14015.117": "https://plugins.jetbrains.com/files/13017/445774/keymap-visualStudio-233.11799.165.zip",
+ "233.13135.979": "https://plugins.jetbrains.com/files/13017/445774/keymap-visualStudio-233.11799.165.zip",
"233.14015.137": "https://plugins.jetbrains.com/files/13017/445774/keymap-visualStudio-233.11799.165.zip",
- "233.14015.60": "https://plugins.jetbrains.com/files/13017/445774/keymap-visualStudio-233.11799.165.zip",
- "233.14015.89": "https://plugins.jetbrains.com/files/13017/445774/keymap-visualStudio-233.11799.165.zip",
- "233.14015.92": "https://plugins.jetbrains.com/files/13017/445774/keymap-visualStudio-233.11799.165.zip",
- "233.14015.96": "https://plugins.jetbrains.com/files/13017/445774/keymap-visualStudio-233.11799.165.zip"
+ "233.14015.155": "https://plugins.jetbrains.com/files/13017/445774/keymap-visualStudio-233.11799.165.zip",
+ "233.14475.31": "https://plugins.jetbrains.com/files/13017/445774/keymap-visualStudio-233.11799.165.zip",
+ "233.14475.40": "https://plugins.jetbrains.com/files/13017/445774/keymap-visualStudio-233.11799.165.zip",
+ "233.14475.56": "https://plugins.jetbrains.com/files/13017/445774/keymap-visualStudio-233.11799.165.zip",
+ "233.14475.66": "https://plugins.jetbrains.com/files/13017/445774/keymap-visualStudio-233.11799.165.zip",
+ "233.14808.14": "https://plugins.jetbrains.com/files/13017/445774/keymap-visualStudio-233.11799.165.zip",
+ "233.14808.18": "https://plugins.jetbrains.com/files/13017/445774/keymap-visualStudio-233.11799.165.zip",
+ "233.14808.20": "https://plugins.jetbrains.com/files/13017/445774/keymap-visualStudio-233.11799.165.zip",
+ "233.14808.21": "https://plugins.jetbrains.com/files/13017/445774/keymap-visualStudio-233.11799.165.zip"
},
"name": "visual-studio-keymap"
},
@@ -380,17 +380,17 @@
"webstorm"
],
"builds": {
- "232.10072.781": "https://plugins.jetbrains.com/files/14059/82616/darcula-pitch-black.jar",
- "233.13135.127": "https://plugins.jetbrains.com/files/14059/82616/darcula-pitch-black.jar",
- "233.13763.11": "https://plugins.jetbrains.com/files/14059/82616/darcula-pitch-black.jar",
- "233.14015.106": "https://plugins.jetbrains.com/files/14059/82616/darcula-pitch-black.jar",
- "233.14015.113": "https://plugins.jetbrains.com/files/14059/82616/darcula-pitch-black.jar",
- "233.14015.117": "https://plugins.jetbrains.com/files/14059/82616/darcula-pitch-black.jar",
+ "233.13135.979": "https://plugins.jetbrains.com/files/14059/82616/darcula-pitch-black.jar",
"233.14015.137": "https://plugins.jetbrains.com/files/14059/82616/darcula-pitch-black.jar",
- "233.14015.60": "https://plugins.jetbrains.com/files/14059/82616/darcula-pitch-black.jar",
- "233.14015.89": "https://plugins.jetbrains.com/files/14059/82616/darcula-pitch-black.jar",
- "233.14015.92": "https://plugins.jetbrains.com/files/14059/82616/darcula-pitch-black.jar",
- "233.14015.96": "https://plugins.jetbrains.com/files/14059/82616/darcula-pitch-black.jar"
+ "233.14015.155": "https://plugins.jetbrains.com/files/14059/82616/darcula-pitch-black.jar",
+ "233.14475.31": "https://plugins.jetbrains.com/files/14059/82616/darcula-pitch-black.jar",
+ "233.14475.40": "https://plugins.jetbrains.com/files/14059/82616/darcula-pitch-black.jar",
+ "233.14475.56": "https://plugins.jetbrains.com/files/14059/82616/darcula-pitch-black.jar",
+ "233.14475.66": "https://plugins.jetbrains.com/files/14059/82616/darcula-pitch-black.jar",
+ "233.14808.14": "https://plugins.jetbrains.com/files/14059/82616/darcula-pitch-black.jar",
+ "233.14808.18": "https://plugins.jetbrains.com/files/14059/82616/darcula-pitch-black.jar",
+ "233.14808.20": "https://plugins.jetbrains.com/files/14059/82616/darcula-pitch-black.jar",
+ "233.14808.21": "https://plugins.jetbrains.com/files/14059/82616/darcula-pitch-black.jar"
},
"name": "darcula-pitch-black"
},
@@ -411,17 +411,17 @@
"webstorm"
],
"builds": {
- "232.10072.781": "https://plugins.jetbrains.com/files/17718/474473/github-copilot-intellij-1.4.13.4415.zip",
- "233.13135.127": "https://plugins.jetbrains.com/files/17718/474473/github-copilot-intellij-1.4.13.4415.zip",
- "233.13763.11": "https://plugins.jetbrains.com/files/17718/474473/github-copilot-intellij-1.4.13.4415.zip",
- "233.14015.106": "https://plugins.jetbrains.com/files/17718/474473/github-copilot-intellij-1.4.13.4415.zip",
- "233.14015.113": "https://plugins.jetbrains.com/files/17718/474473/github-copilot-intellij-1.4.13.4415.zip",
- "233.14015.117": "https://plugins.jetbrains.com/files/17718/474473/github-copilot-intellij-1.4.13.4415.zip",
- "233.14015.137": "https://plugins.jetbrains.com/files/17718/474473/github-copilot-intellij-1.4.13.4415.zip",
- "233.14015.60": "https://plugins.jetbrains.com/files/17718/474473/github-copilot-intellij-1.4.13.4415.zip",
- "233.14015.89": "https://plugins.jetbrains.com/files/17718/474473/github-copilot-intellij-1.4.13.4415.zip",
- "233.14015.92": "https://plugins.jetbrains.com/files/17718/474473/github-copilot-intellij-1.4.13.4415.zip",
- "233.14015.96": "https://plugins.jetbrains.com/files/17718/474473/github-copilot-intellij-1.4.13.4415.zip"
+ "233.13135.979": "https://plugins.jetbrains.com/files/17718/499821/github-copilot-intellij-1.5.0.5148.zip",
+ "233.14015.137": "https://plugins.jetbrains.com/files/17718/499821/github-copilot-intellij-1.5.0.5148.zip",
+ "233.14015.155": "https://plugins.jetbrains.com/files/17718/499821/github-copilot-intellij-1.5.0.5148.zip",
+ "233.14475.31": "https://plugins.jetbrains.com/files/17718/499821/github-copilot-intellij-1.5.0.5148.zip",
+ "233.14475.40": "https://plugins.jetbrains.com/files/17718/499821/github-copilot-intellij-1.5.0.5148.zip",
+ "233.14475.56": "https://plugins.jetbrains.com/files/17718/499821/github-copilot-intellij-1.5.0.5148.zip",
+ "233.14475.66": "https://plugins.jetbrains.com/files/17718/499821/github-copilot-intellij-1.5.0.5148.zip",
+ "233.14808.14": "https://plugins.jetbrains.com/files/17718/499821/github-copilot-intellij-1.5.0.5148.zip",
+ "233.14808.18": "https://plugins.jetbrains.com/files/17718/499821/github-copilot-intellij-1.5.0.5148.zip",
+ "233.14808.20": "https://plugins.jetbrains.com/files/17718/499821/github-copilot-intellij-1.5.0.5148.zip",
+ "233.14808.21": "https://plugins.jetbrains.com/files/17718/499821/github-copilot-intellij-1.5.0.5148.zip"
},
"name": "github-copilot"
},
@@ -442,17 +442,17 @@
"webstorm"
],
"builds": {
- "232.10072.781": "https://plugins.jetbrains.com/files/18444/165585/NetBeans6.5Keymap.zip",
- "233.13135.127": "https://plugins.jetbrains.com/files/18444/165585/NetBeans6.5Keymap.zip",
- "233.13763.11": "https://plugins.jetbrains.com/files/18444/165585/NetBeans6.5Keymap.zip",
- "233.14015.106": "https://plugins.jetbrains.com/files/18444/165585/NetBeans6.5Keymap.zip",
- "233.14015.113": "https://plugins.jetbrains.com/files/18444/165585/NetBeans6.5Keymap.zip",
- "233.14015.117": "https://plugins.jetbrains.com/files/18444/165585/NetBeans6.5Keymap.zip",
+ "233.13135.979": "https://plugins.jetbrains.com/files/18444/165585/NetBeans6.5Keymap.zip",
"233.14015.137": "https://plugins.jetbrains.com/files/18444/165585/NetBeans6.5Keymap.zip",
- "233.14015.60": "https://plugins.jetbrains.com/files/18444/165585/NetBeans6.5Keymap.zip",
- "233.14015.89": "https://plugins.jetbrains.com/files/18444/165585/NetBeans6.5Keymap.zip",
- "233.14015.92": "https://plugins.jetbrains.com/files/18444/165585/NetBeans6.5Keymap.zip",
- "233.14015.96": "https://plugins.jetbrains.com/files/18444/165585/NetBeans6.5Keymap.zip"
+ "233.14015.155": "https://plugins.jetbrains.com/files/18444/165585/NetBeans6.5Keymap.zip",
+ "233.14475.31": "https://plugins.jetbrains.com/files/18444/165585/NetBeans6.5Keymap.zip",
+ "233.14475.40": "https://plugins.jetbrains.com/files/18444/165585/NetBeans6.5Keymap.zip",
+ "233.14475.56": "https://plugins.jetbrains.com/files/18444/165585/NetBeans6.5Keymap.zip",
+ "233.14475.66": "https://plugins.jetbrains.com/files/18444/165585/NetBeans6.5Keymap.zip",
+ "233.14808.14": "https://plugins.jetbrains.com/files/18444/165585/NetBeans6.5Keymap.zip",
+ "233.14808.18": "https://plugins.jetbrains.com/files/18444/165585/NetBeans6.5Keymap.zip",
+ "233.14808.20": "https://plugins.jetbrains.com/files/18444/165585/NetBeans6.5Keymap.zip",
+ "233.14808.21": "https://plugins.jetbrains.com/files/18444/165585/NetBeans6.5Keymap.zip"
},
"name": "netbeans-6-5-keymap"
},
@@ -463,43 +463,34 @@
"rust-rover"
],
"builds": {
- "233.13135.127": "https://plugins.jetbrains.com/files/22407/473386/intellij-rust-233.23135.127.zip",
- "233.14015.106": "https://plugins.jetbrains.com/files/22407/473386/intellij-rust-233.23135.127.zip",
- "233.14015.92": "https://plugins.jetbrains.com/files/22407/473386/intellij-rust-233.23135.127.zip"
+ "233.14015.155": "https://plugins.jetbrains.com/files/22407/498174/intellij-rust-233.24015.155.zip",
+ "233.14475.31": "https://plugins.jetbrains.com/files/22407/498174/intellij-rust-233.24015.155.zip",
+ "233.14808.21": "https://plugins.jetbrains.com/files/22407/498174/intellij-rust-233.24015.155.zip"
},
"name": "rust"
}
},
"files": {
- "https://plugins.jetbrains.com/files/10037/432491/CSVEditor-3.2.3-232.zip": "sha256-H/LRD/5Q9qtYCq4CSonqQcJ4IcdefI/vg2Jlmc0vaJY=",
- "https://plugins.jetbrains.com/files/10037/432492/CSVEditor-3.2.3-233.zip": "sha256-qbQ2ArW1NcER+LbiVqpB+Sdmi5s78u8jfNmEb+2Nmrg=",
- "https://plugins.jetbrains.com/files/12062/364117/keymap-vscode-232.8660.88.zip": "sha256-q5i1eAANK+6uBYrtioKLzvJf5ALUB0K4d31Ut0vT/lE=",
+ "https://plugins.jetbrains.com/files/10037/493012/CSVEditor-3.3.0-233.zip": "sha256-C3l3Z9a7maCrMjc2Fk/wf3AoExRbvN+fn2mAtXigt0A=",
"https://plugins.jetbrains.com/files/12062/445740/keymap-vscode-233.11799.188.zip": "sha256-9keDJ73bSHkzAEq8nT96I5sp05BgMZ08/4BzarOjO5g=",
- "https://plugins.jetbrains.com/files/12559/364124/keymap-eclipse-232.8660.88.zip": "sha256-eRCsivZbDNrc+kesa9jVsOoMFFz+WpYfSMXxPCCjWjw=",
"https://plugins.jetbrains.com/files/12559/445772/keymap-eclipse-233.11799.165.zip": "sha256-IsmoWuUroAp1LLuphp4F1dun4tQOOitZxoG+Nxs5pYk=",
- "https://plugins.jetbrains.com/files/13017/364038/keymap-visualStudio-232.8660.88.zip": "sha256-5S8u7w14fLkaTcjACfUSun9pMNtPk20/8+Dr5Sp9sDE=",
"https://plugins.jetbrains.com/files/13017/445774/keymap-visualStudio-233.11799.165.zip": "sha256-Nb2tSxL+mAY1qJ3waipgV8ep+0R/BaYnzz7zfwtLHmk=",
"https://plugins.jetbrains.com/files/14059/82616/darcula-pitch-black.jar": "sha256-eXInfAqY3yEZRXCAuv3KGldM1pNKEioNwPB0rIGgJFw=",
- "https://plugins.jetbrains.com/files/164/442850/IdeaVim-2.7.5-signed.zip": "sha256-MiF8MVWBEQqupoYyI+QOyXhSvJcoSgptePENByURphI=",
- "https://plugins.jetbrains.com/files/164/479004/IdeaVim-2.8.2-signed.zip": "sha256-TqcOkgq2tM01VBV4K7daHsIGg4L2TdKqabqJwuJql2Q=",
- "https://plugins.jetbrains.com/files/17718/474473/github-copilot-intellij-1.4.13.4415.zip": "sha256-JpFzBZkv5tXH/TIvXa92VLDy4FDCRt45x6kzmOzzFsA=",
+ "https://plugins.jetbrains.com/files/164/491097/IdeaVim-2.9.1-signed.zip": "sha256-cUlxDF2aYw6od+dwLC3Gtv9tOzzMKo4NGZECBodIQPA=",
+ "https://plugins.jetbrains.com/files/17718/499821/github-copilot-intellij-1.5.0.5148.zip": "sha256-/JuPSqFG6VyaDj2IQAYK3Lz7/FQWppfxxXUGuGQggrY=",
"https://plugins.jetbrains.com/files/18444/165585/NetBeans6.5Keymap.zip": "sha256-KrzZTKZMQqoEMw+vDUv2jjs0EX0leaPBkU8H/ecq/oI=",
- "https://plugins.jetbrains.com/files/22407/473386/intellij-rust-233.23135.127.zip": "sha256-w0wfdzjekav1uAab2NaZtmTOWDNFz8IxD6Jx0jT4egQ=",
- "https://plugins.jetbrains.com/files/631/474316/python-233.14015.106.zip": "sha256-cCTapGg6tjT8usIoqshrhw7Ubg9cYrRGIZiLsiGtT0g=",
- "https://plugins.jetbrains.com/files/6954/459286/kotlin-plugin-232-1.9.22-release-704-IJ10072.27.zip": "sha256-3I/wmEkK+iL0VpwoqRlotI+G8G+sqcGN1MCcab+HX5E=",
- "https://plugins.jetbrains.com/files/6981/464477/ini-233.13135.116.zip": "sha256-YoFaVOgW0DuyCp/pvcU5ePdnZokrOJZ/SwY6lxnYUOA=",
- "https://plugins.jetbrains.com/files/6981/468089/ini-233.13763.5.zip": "sha256-sKeMk4lMeBlu7F/mf3GFRc9RZSVrAlCdRLaQARHfxow=",
- "https://plugins.jetbrains.com/files/6981/474956/ini-233.14015.113.zip": "sha256-tJoqLmhQBsAC/k0H3rNO0/3/Np539KXiZfTMTfvUkH4=",
- "https://plugins.jetbrains.com/files/7219/467592/Symfony_Plugin-2022.1.263.zip": "sha256-KFnMKzybqbLbPVRzRtrUBaNsrel46V2YRmQFc1EecLY=",
+ "https://plugins.jetbrains.com/files/22407/498174/intellij-rust-233.24015.155.zip": "sha256-oFg2xlR3gB1DpmhqHlugSjnrBDgAHlwvroqwAHiUAzI=",
+ "https://plugins.jetbrains.com/files/631/502576/python-233.14808.21.zip": "sha256-1YN+brLdFOgcSQwLzafrjbIZ4NWBllaKulLCJ6MfdGI=",
+ "https://plugins.jetbrains.com/files/6981/487357/ini-233.14475.38.zip": "sha256-++4e6qSYfqoP3/M69xyNaNmkhyeX3h8dK9cJabeB5cY=",
+ "https://plugins.jetbrains.com/files/6981/502555/ini-233.14808.20.zip": "sha256-8uDtfKkWfYr2qTD4Etb/zne1IXiiVLKQGJHKIVtp5cI=",
+ "https://plugins.jetbrains.com/files/7219/497847/Symfony_Plugin-2023.1.265.zip": "sha256-lAAu2NamhKjGcVTu5cBlwSX8UP8bkKf9S++CW6xzvkk=",
"https://plugins.jetbrains.com/files/7320/346181/PHP_Annotations-9.4.0.zip": "sha256-hT5K4w4lhvNwDzDMDSvsIDGj9lyaRqglfOhlbNdqpWs=",
- "https://plugins.jetbrains.com/files/7322/456914/python-ce-233.13135.103.zip": "sha256-Yqb3FPG5M5+hNHX3OSEStBekjTjMlf4IV6Yr6+lfoRw=",
"https://plugins.jetbrains.com/files/7322/474341/python-ce-233.14015.106.zip": "sha256-yd70cSA/Icn5YlH4Q79cIWGFJ6huYUBDKk6vCIYa3DU=",
- "https://plugins.jetbrains.com/files/8182/372556/intellij-rust-0.4.200.5420-232-beta.zip": "sha256-ZlSfPvhPixEz5JxU9qyG0nL3jiSjr4gKaf/xYcQI1vQ=",
- "https://plugins.jetbrains.com/files/8182/395553/intellij-rust-0.4.201.5424-232.zip": "sha256-pVwBEyUCx/DJET9uIm8vxFeChE8FskWyfLjDpfg2mAE=",
+ "https://plugins.jetbrains.com/files/7322/484110/python-ce-233.14475.9.zip": "sha256-YMB/ewCKE1F/JXI8iau9pKkv9D5D9a8OiTVukkzTocA=",
+ "https://plugins.jetbrains.com/files/7322/502153/python-ce-233.14808.12.zip": "sha256-PUBR9krJ26QrL2jTus0b+uhzkEkT+lGnBKy1f4i/U+w=",
"https://plugins.jetbrains.com/files/8182/466854/intellij-rust-233.15445.zip": "sha256-+Lc/avYBLpyIV63DlbhAJtieHDv4HdggqdGFDw9iqN0=",
- "https://plugins.jetbrains.com/files/8554/454574/featuresTrainer-233.13135.67.zip": "sha256-XgtOrfULS7TJ6yfWOwNX/EL6cEirvVyzMtPzlPJEkXM=",
"https://plugins.jetbrains.com/files/8554/469535/featuresTrainer-233.14015.29.zip": "sha256-Hj/CsaQb1U3FN1x2+00Rq2NjC6AWrfuTJL1NiEssfJ4=",
"https://plugins.jetbrains.com/files/8607/422943/NixIDEA-0.4.0.11.zip": "sha256-Dwitpu5yLPWx+IUilpN5iqnN8FkKgaxUNjroBEx5lkM=",
- "https://plugins.jetbrains.com/files/9568/474312/go-plugin-233.14015.106.zip": "sha256-i281TuFtacnu+horAhcHYZy0zV2nRJzSorFBPpC7usc="
+ "https://plugins.jetbrains.com/files/9568/502583/go-plugin-233.14808.21.zip": "sha256-QPZRPCCSta/q9hj0fVlmF26xebAKyUhh/dc27FgJHco="
}
}
diff --git a/pkgs/applications/editors/jupyter-kernels/xeus-cling/xeus-cling.nix b/pkgs/applications/editors/jupyter-kernels/xeus-cling/xeus-cling.nix
index f223043ca3b6..326d5a32e4b8 100644
--- a/pkgs/applications/editors/jupyter-kernels/xeus-cling/xeus-cling.nix
+++ b/pkgs/applications/editors/jupyter-kernels/xeus-cling/xeus-cling.nix
@@ -79,6 +79,7 @@ clangStdenv.mkDerivation rec {
meta = {
description = "Jupyter kernel for the C++ programming language";
+ mainProgram = "xcpp";
homepage = "https://github.com/jupyter-xeus/xeus-cling";
maintainers = with lib.maintainers; [ thomasjm ];
platforms = lib.platforms.unix;
diff --git a/pkgs/applications/editors/kakoune/plugins/generated.nix b/pkgs/applications/editors/kakoune/plugins/generated.nix
index 84fc2cec0a95..9bc508d618d6 100644
--- a/pkgs/applications/editors/kakoune/plugins/generated.nix
+++ b/pkgs/applications/editors/kakoune/plugins/generated.nix
@@ -133,6 +133,7 @@ packages = ( self:
sha256 = "1i3id7xw0j4z1a14mscr68ckpgvcwsjpl86lr864wy7w7qcmblx6";
};
meta.homepage = "https://github.com/listentolist/kakoune-rainbow/";
+ meta.mainProgram = "kak-rainbow.scm";
};
kakoune-registers = buildKakounePluginFrom2Nix {
diff --git a/pkgs/applications/editors/lapce/default.nix b/pkgs/applications/editors/lapce/default.nix
index dce0ad168106..299c5e06cbd5 100644
--- a/pkgs/applications/editors/lapce/default.nix
+++ b/pkgs/applications/editors/lapce/default.nix
@@ -75,10 +75,6 @@ rustPlatform.buildRustPackage rec {
# This variable is read by build script, so that Lapce editor knows its version
RELEASE_TAG_NAME = "v${version}";
-
- } // lib.optionalAttrs stdenv.cc.isClang {
- # Work around https://github.com/NixOS/nixpkgs/issues/166205.
- NIX_LDFLAGS = "-l${stdenv.cc.libcxx.cxxabi.libName}";
};
postPatch = ''
diff --git a/pkgs/applications/editors/leo-editor/default.nix b/pkgs/applications/editors/leo-editor/default.nix
index 49ac3fa018d2..2f0bfcaef731 100644
--- a/pkgs/applications/editors/leo-editor/default.nix
+++ b/pkgs/applications/editors/leo-editor/default.nix
@@ -2,13 +2,13 @@
mkDerivation rec {
pname = "leo-editor";
- version = "6.7.6";
+ version = "6.7.8";
src = fetchFromGitHub {
owner = "leo-editor";
repo = "leo-editor";
rev = version;
- sha256 = "sha256-AWno/LccMMXZ7Aw4FYQCJSoB3dRRONoT/iex0jPFng0=";
+ sha256 = "sha256-cawcfEz9QpsjfgJzG5oA5TY2EpT8hLDw5QIjwdKPW6Y=";
};
dontBuild = true;
diff --git a/pkgs/applications/editors/libresprite/default.nix b/pkgs/applications/editors/libresprite/default.nix
index 3db7185bf198..70ce1fe48052 100644
--- a/pkgs/applications/editors/libresprite/default.nix
+++ b/pkgs/applications/editors/libresprite/default.nix
@@ -27,14 +27,14 @@
, nixosTests
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "libresprite";
version = "1.0";
src = fetchFromGitHub {
owner = "LibreSprite";
repo = "LibreSprite";
- rev = "v${version}";
+ rev = "v${finalAttrs.version}";
fetchSubmodules = true;
sha256 = "sha256-d8GmVHYomDb74iSeEhJEVTHvbiVXggXg7xSqIKCUSzY=";
};
@@ -118,4 +118,4 @@ stdenv.mkDerivation rec {
# https://github.com/LibreSprite/LibreSprite/issues/308
broken = stdenv.isDarwin;
};
-}
+})
diff --git a/pkgs/applications/editors/molsketch/default.nix b/pkgs/applications/editors/molsketch/default.nix
index 6a656114ff5f..abbedff17c16 100644
--- a/pkgs/applications/editors/molsketch/default.nix
+++ b/pkgs/applications/editors/molsketch/default.nix
@@ -1,5 +1,5 @@
{ lib
-, mkDerivation
+, stdenv
, fetchurl
, cmake
, pkg-config
@@ -10,15 +10,19 @@
, desktop-file-utils
}:
-mkDerivation rec {
+stdenv.mkDerivation rec {
pname = "molsketch";
- version = "0.8.0";
+ version = "0.8.1";
src = fetchurl {
url = "mirror://sourceforge/molsketch/Molsketch-${version}-src.tar.gz";
- hash = "sha256-Mpx4fHktxqBAkmdwqg2pXvEgvvGUQPbgqxKwXKjhJuQ=";
+ hash = "sha256-6wFvl3Aktv8RgEdI2ENsKallKlYy/f8Tsm5C0FB/igI=";
};
+ patches = [
+ ./openbabel.patch
+ ];
+
# uses C++17 APIs like std::transform_reduce
postPatch = ''
substituteInPlace molsketch/CMakeLists.txt \
@@ -34,7 +38,7 @@ mkDerivation rec {
'';
postFixup = ''
- mv $out/lib/molsketch/* $out/lib
+ ln -s $out/lib/molsketch/* $out/lib/.
'';
nativeBuildInputs = [ cmake pkg-config qttools wrapQtAppsHook ];
@@ -50,5 +54,6 @@ mkDerivation rec {
license = licenses.gpl2Plus;
maintainers = [ maintainers.moni ];
mainProgram = "molsketch";
+ platforms = platforms.unix;
};
}
diff --git a/pkgs/applications/editors/molsketch/openbabel.patch b/pkgs/applications/editors/molsketch/openbabel.patch
new file mode 100644
index 000000000000..80786bbab4dd
--- /dev/null
+++ b/pkgs/applications/editors/molsketch/openbabel.patch
@@ -0,0 +1,12 @@
+diff --git a/obabeliface/obabeliface.cpp b/obabeliface/obabeliface.cpp
+index 98a9020..a168803 100644
+--- a/obabeliface/obabeliface.cpp
++++ b/obabeliface/obabeliface.cpp
+@@ -196,6 +196,7 @@ namespace Molsketch
+
+ // TODO should be const, but OpenBabel iterator methods do not support const
+ bool hasCoordinates(OpenBabel::OBMol &molecule) {
++ using namespace OpenBabel;
+ FOR_ATOMS_OF_MOL(obatom, molecule) {
+ if (obatom->GetVector() != OpenBabel::VZero)
+ return true;
diff --git a/pkgs/applications/editors/neovim/build-neovim-plugin.nix b/pkgs/applications/editors/neovim/build-neovim-plugin.nix
index 251844aa87db..9d9778c1fe3b 100644
--- a/pkgs/applications/editors/neovim/build-neovim-plugin.nix
+++ b/pkgs/applications/editors/neovim/build-neovim-plugin.nix
@@ -19,20 +19,21 @@ in
let
originalLuaDrv = lua.pkgs.${luaAttr};
- luaDrv = (lua.pkgs.luaLib.overrideLuarocks originalLuaDrv (drv: {
+ luaDrv = originalLuaDrv.overrideAttrs (oa: {
+ version = attrs.version or oa.version;
+ rockspecVersion = oa.rockspecVersion;
+
extraConfig = ''
-- to create a flat hierarchy
lua_modules_path = "lua"
'';
- })).overrideAttrs (drv: {
- version = attrs.version or drv.version;
- rockspecVersion = drv.rockspecVersion;
});
finalDrv = toVimPlugin (luaDrv.overrideAttrs(oa: attrs // {
nativeBuildInputs = oa.nativeBuildInputs or [] ++ [
lua.pkgs.luarocksMoveDataFolder
];
+ version = "${originalLuaDrv.version}-unstable-${oa.version}";
}));
in
finalDrv
diff --git a/pkgs/applications/editors/neovim/gnvim/default.nix b/pkgs/applications/editors/neovim/gnvim/default.nix
index 01248b287c51..fc39298321e9 100644
--- a/pkgs/applications/editors/neovim/gnvim/default.nix
+++ b/pkgs/applications/editors/neovim/gnvim/default.nix
@@ -37,6 +37,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "GUI for neovim, without any web bloat";
+ mainProgram = "gnvim";
homepage = "https://github.com/vhakulinen/gnvim";
license = licenses.mit;
maintainers = with maintainers; [ minijackson ];
diff --git a/pkgs/applications/editors/neovim/neovide/default.nix b/pkgs/applications/editors/neovim/neovide/default.nix
index db789c4babd3..b103c9f20be5 100644
--- a/pkgs/applications/editors/neovim/neovide/default.nix
+++ b/pkgs/applications/editors/neovim/neovide/default.nix
@@ -78,11 +78,6 @@ rustPlatform.buildRustPackage.override { stdenv = clangStdenv; } rec {
darwin.apple_sdk.frameworks.AppKit
];
- env = lib.optionalAttrs stdenv.isDarwin {
- # Work around https://github.com/NixOS/nixpkgs/issues/166205
- NIX_LDFLAGS = "-l${stdenv.cc.libcxx.cxxabi.libName}";
- };
-
postFixup = let
libPath = lib.makeLibraryPath ([
libglvnd
@@ -114,6 +109,7 @@ rustPlatform.buildRustPackage.override { stdenv = clangStdenv; } rec {
meta = with lib; {
description = "This is a simple graphical user interface for Neovim.";
+ mainProgram = "neovide";
homepage = "https://github.com/neovide/neovide";
changelog = "https://github.com/neovide/neovide/releases/tag/${version}";
license = with licenses; [ mit ];
diff --git a/pkgs/applications/editors/notepad-next/default.nix b/pkgs/applications/editors/notepad-next/default.nix
index 2f668a6fc526..2b6502023c9a 100644
--- a/pkgs/applications/editors/notepad-next/default.nix
+++ b/pkgs/applications/editors/notepad-next/default.nix
@@ -2,13 +2,13 @@
mkDerivation rec {
pname = "notepad-next";
- version = "0.6.4";
+ version = "0.7";
src = fetchFromGitHub {
owner = "dail8859";
repo = "NotepadNext";
rev = "v${version}";
- sha256 = "sha256-m8+kM9uz3gJ3kvpgZdoonSvYlh/f1WiGZlB8JKMTXh4=";
+ sha256 = "sha256-I2bS8oT/TGf6fuXpTwOKo2MaUo0jLFIU/DfW9h1toOk=";
# External dependencies - https://github.com/dail8859/NotepadNext/issues/135
fetchSubmodules = true;
};
diff --git a/pkgs/applications/editors/orbiton/default.nix b/pkgs/applications/editors/orbiton/default.nix
index 266e6cf3b3aa..1e64c5950798 100644
--- a/pkgs/applications/editors/orbiton/default.nix
+++ b/pkgs/applications/editors/orbiton/default.nix
@@ -4,13 +4,13 @@
buildGoModule rec {
pname = "orbiton";
- version = "2.65.8";
+ version = "2.65.11";
src = fetchFromGitHub {
owner = "xyproto";
repo = "orbiton";
rev = "v${version}";
- hash = "sha256-1g2D79yUHeFz9jpmz1N5qDmpe1DA8xV82t78ZUVOhBE=";
+ hash = "sha256-eb7Ku1hgvYdmRgemXcEZMl53oNXYcomh4wYHpRzLTUc=";
};
vendorHash = null;
@@ -41,7 +41,7 @@ buildGoModule rec {
meta = with lib; {
description = "Config-free text editor and IDE limited to VT100";
- homepage = "https://github.com/xyproto/orbiton";
+ homepage = "https://orbiton.zip";
license = licenses.bsd3;
maintainers = with maintainers; [ sikmir ];
mainProgram = "o";
diff --git a/pkgs/applications/editors/pulsar/default.nix b/pkgs/applications/editors/pulsar/default.nix
index ef08ac9352dd..b1af335ec1d4 100644
--- a/pkgs/applications/editors/pulsar/default.nix
+++ b/pkgs/applications/editors/pulsar/default.nix
@@ -33,13 +33,13 @@
let
pname = "pulsar";
- version = "1.109.0";
+ version = "1.114.0";
sourcesPath = {
x86_64-linux.tarname = "Linux.${pname}-${version}.tar.gz";
- x86_64-linux.hash = "sha256-pIm3mI1YdfapxXgIciSHtI4LeqMw5RdYTnH+eHUQ4Yo=";
+ x86_64-linux.hash = "sha256-O//dowoMgQfS3hq088IKr5aJd5St9zpT/ypfuswnyv0=";
aarch64-linux.tarname = "ARM.Linux.${pname}-${version}-arm64.tar.gz";
- aarch64-linux.hash = "sha256-KIY/qzfl7CU0YwIgQlNHoAMhLfrTbQe7ZZvzdkUVw+M=";
+ aarch64-linux.hash = "sha256-EzCTB1Ib9cTbslEdXPsS5gehHr1qd5v4iZgOqpxhUmA=";
}.${stdenv.hostPlatform.system} or (throw "Unsupported system: ${stdenv.hostPlatform.system}");
newLibpath = lib.makeLibraryPath [
@@ -137,7 +137,7 @@ stdenv.mkDerivation rec {
--set-rpath "${newLibpath}" \
$opt/resources/app/ppm/bin/node
patchelf --set-interpreter "$(cat $NIX_CC/nix-support/dynamic-linker)" \
- $opt/resources/app.asar.unpacked/node_modules/symbols-view/vendor/ctags-linux
+ $opt/resources/app.asar.unpacked/node_modules/symbol-provider-ctags/vendor/ctags-linux
'' + lib.optionalString (stdenv.hostPlatform.system == "x86_64-linux") ''
# Replace the bundled git with the one from nixpkgs
@@ -208,7 +208,7 @@ stdenv.mkDerivation rec {
sourceProvenance = with sourceTypes; [ binaryNativeCode ];
license = licenses.mit;
platforms = platforms.linux;
- maintainers = with maintainers; [ colamaroro ];
+ maintainers = with maintainers; [ colamaroro bryango ];
knownVulnerabilities = [
"CVE-2023-5217"
"CVE-2022-21718"
diff --git a/pkgs/applications/editors/rednotebook/default.nix b/pkgs/applications/editors/rednotebook/default.nix
index 24126bf87701..d4657208004a 100644
--- a/pkgs/applications/editors/rednotebook/default.nix
+++ b/pkgs/applications/editors/rednotebook/default.nix
@@ -5,13 +5,13 @@
buildPythonApplication rec {
pname = "rednotebook";
- version = "2.31";
+ version = "2.32";
src = fetchFromGitHub {
owner = "jendrikseipp";
repo = "rednotebook";
rev = "refs/tags/v${version}";
- sha256 = "sha256-TggbHXJqgQ4vFSCLncgzrqJLRT9zQs6YsTQ3/Z4Mixg=";
+ sha256 = "sha256-sYgiJ4Sc/5Ns3DSlwT03gt54UdzdcvMx1+c27amhulQ=";
};
# We have not packaged tests.
diff --git a/pkgs/applications/editors/rehex/default.nix b/pkgs/applications/editors/rehex/default.nix
index bc2bc733c6c0..9a3ba2a4a59f 100644
--- a/pkgs/applications/editors/rehex/default.nix
+++ b/pkgs/applications/editors/rehex/default.nix
@@ -5,6 +5,7 @@
, which
, zip
, libicns
+, botan2
, capstone
, jansson
, libunistring
@@ -19,19 +20,19 @@
stdenv.mkDerivation rec {
pname = "rehex";
- version = "0.60.1";
+ version = "0.61.1";
src = fetchFromGitHub {
owner = "solemnwarning";
repo = pname;
rev = version;
- hash = "sha256-oF8XtxKqyo6c2lNH6WDq6aEPeZw8RqBinDVhPpaDAWg=";
+ hash = "sha256-/m4s5BW33I9g9hi5j3Vtui271w8Jv91+rQrI3qpO5Og=";
};
nativeBuildInputs = [ pkg-config which zip ]
++ lib.optionals stdenv.isDarwin [ libicns ];
- buildInputs = [ capstone jansson libunistring wxGTK32 ]
+ buildInputs = [ botan2 capstone jansson libunistring wxGTK32 ]
++ (with lua53Packages; [ lua busted ])
++ (with perlPackages; [ perl TemplateToolkit ])
++ lib.optionals stdenv.isLinux [ gtk3 ]
diff --git a/pkgs/applications/editors/rstudio/default.nix b/pkgs/applications/editors/rstudio/default.nix
index 82a572eaf4c5..d3ca7de1d6a1 100644
--- a/pkgs/applications/editors/rstudio/default.nix
+++ b/pkgs/applications/editors/rstudio/default.nix
@@ -7,7 +7,7 @@
, makeDesktopItem
, copyDesktopItems
, cmake
-, boost
+, boost183
, zlib
, openssl
, R
@@ -40,18 +40,19 @@
let
pname = "RStudio";
- version =
- "${RSTUDIO_VERSION_MAJOR}.${RSTUDIO_VERSION_MINOR}.${RSTUDIO_VERSION_PATCH}${RSTUDIO_VERSION_SUFFIX}";
- RSTUDIO_VERSION_MAJOR = "2023";
- RSTUDIO_VERSION_MINOR = "09";
- RSTUDIO_VERSION_PATCH = "0";
- RSTUDIO_VERSION_SUFFIX = "+463";
+ version = "2023.12.1+402";
+ RSTUDIO_VERSION_MAJOR = lib.versions.major version;
+ RSTUDIO_VERSION_MINOR = lib.versions.minor version;
+ RSTUDIO_VERSION_PATCH = lib.versions.patch version;
+ RSTUDIO_VERSION_SUFFIX = "+" + toString (
+ lib.tail (lib.splitString "+" version)
+ );
src = fetchFromGitHub {
owner = "rstudio";
repo = "rstudio";
- rev = "v${version}";
- hash = "sha256-FwNuU2rbE3GEhuwphvZISUMhvSZJ6FjjaZ1oQ9F8NWc=";
+ rev = version;
+ hash = "sha256-ecMzkpHazg8jEBz9wh8hqRX2UdziOC8b6F+3xxdugy0=";
};
mathJaxSrc = fetchurl {
@@ -62,8 +63,8 @@ let
rsconnectSrc = fetchFromGitHub {
owner = "rstudio";
repo = "rsconnect";
- rev = "5175a927a41acfd9a21d9fdecb705ea3292109f2";
- hash = "sha256-c1fFcN6KAfxXv8bv4WnIqQKg1wcNP2AywhEmIbyzaBA=";
+ rev = "v1.2.0";
+ hash = "sha256-ghRz4Frd+I9ShRNNOE/kdk9KjRCj0Z1mPnThueriiUY=";
};
# Ideally, rev should match the rstudio release name.
@@ -93,7 +94,7 @@ in
];
buildInputs = [
- boost
+ boost183
zlib
openssl
R
@@ -133,6 +134,7 @@ in
./fix-resources-path.patch
./pandoc-nix-path.patch
./use-system-quarto.patch
+ ./ignore-etc-os-release.patch
];
postPatch = ''
diff --git a/pkgs/applications/editors/rstudio/ignore-etc-os-release.patch b/pkgs/applications/editors/rstudio/ignore-etc-os-release.patch
new file mode 100644
index 000000000000..7c67edd16fcb
--- /dev/null
+++ b/pkgs/applications/editors/rstudio/ignore-etc-os-release.patch
@@ -0,0 +1,77 @@
+diff --git a/CMakeGlobals.txt b/CMakeGlobals.txt
+index 5f96ffb..3f15687 100644
+--- a/CMakeGlobals.txt
++++ b/CMakeGlobals.txt
+@@ -29,11 +29,6 @@ endif()
+ get_filename_component(ROOT_SRC_DIR ${CMAKE_CURRENT_LIST_FILE} PATH)
+ set(CMAKE_MODULE_PATH "${ROOT_SRC_DIR}/cmake/modules/")
+
+-# read /etc/os-release
+-if(LINUX)
+- include(OsRelease)
+-endif()
+-
+ # version info
+ if ("$ENV{RSTUDIO_VERSION_MAJOR}" STREQUAL "")
+ string(TIMESTAMP CPACK_PACKAGE_VERSION_MAJOR "%Y")
+diff --git a/cmake/modules/OsRelease.cmake b/cmake/modules/OsRelease.cmake
+deleted file mode 100644
+index 81a9e1f..0000000
+--- a/cmake/modules/OsRelease.cmake
++++ /dev/null
+@@ -1,24 +0,0 @@
+-#
+-# OsRelease.cmake
+-#
+-# Copyright (C) 2022 by Posit Software, PBC
+-#
+-# This program is licensed to you under the terms of version 3 of the
+-# GNU Affero General Public License. This program is distributed WITHOUT
+-# ANY EXPRESS OR IMPLIED WARRANTY, INCLUDING THOSE OF NON-INFRINGEMENT,
+-# MERCHANTABILITY OR FITNESS FOR A PARTICULAR PURPOSE. Please refer to the
+-# AGPL (http://www.gnu.org/licenses/agpl-3.0.txt) for more details.
+-#
+-#
+-
+-# reads and parses /etc/os-release into CMake variables
+-file(STRINGS "/etc/os-release" OS_RELEASE)
+-foreach(LINE ${OS_RELEASE})
+- string(FIND "${LINE}" "=" INDEX)
+- string(SUBSTRING "${LINE}" 0 "${INDEX}" KEY)
+- math(EXPR INDEX "${INDEX} + 1")
+- string(SUBSTRING "${LINE}" "${INDEX}" -1 VALUE)
+- separate_arguments(VALUE UNIX_COMMAND "${VALUE}")
+- set("OS_RELEASE_${KEY}" "${VALUE}" CACHE INTERNAL "/etc/os-release: ${KEY}")
+-endforeach()
+-
+diff --git a/package/linux/CMakeLists.txt b/package/linux/CMakeLists.txt
+index 5d5c35e..a94f8fc 100644
+--- a/package/linux/CMakeLists.txt
++++ b/package/linux/CMakeLists.txt
+@@ -16,7 +16,7 @@
+ # configure cpack install location
+ set(CPACK_SET_DESTDIR "ON")
+ set(CPACK_INSTALL_PREFIX "${CMAKE_INSTALL_PREFIX}")
+-message(STATUS "Packaging RStudio for ${OS_RELEASE_PRETTY_NAME}")
++message(STATUS "Packaging RStudio for Nix")
+
+ # detect architecture (packaging platform specific)
+ find_program(DPKG_EXECUTABLE dpkg)
+@@ -42,17 +42,6 @@ if(EXISTS "/etc/redhat-release")
+ endif()
+ endif()
+
+-# set libssl dependency
+-if(OS_RELEASE_ID STREQUAL "ubuntu")
+- if(OS_RELEASE_VERSION_ID VERSION_GREATER_EQUAL "22.04")
+- set(RSTUDIO_DEBIAN_DEPENDS_SSL "libssl-dev")
+- else()
+- set(RSTUDIO_DEBIAN_DEPENDS_SSL "libssl1.0.0 | libssl1.0.2 | libssl1.1")
+- endif()
+-else()
+- set(RSTUDIO_DEBIAN_DEPENDS_SSL "libssl-dev")
+-endif()
+-
+ # configuration specific
+ if(RSTUDIO_SERVER)
+
diff --git a/pkgs/applications/editors/rstudio/use-system-node.patch b/pkgs/applications/editors/rstudio/use-system-node.patch
index bb4480b4ae5f..6e0acf46dda0 100644
--- a/pkgs/applications/editors/rstudio/use-system-node.patch
+++ b/pkgs/applications/editors/rstudio/use-system-node.patch
@@ -6,7 +6,7 @@ index d18362b..98cdd4c 100644
external-pandoc-path=${RSTUDIO_DEPENDENCIES_PANDOC_DIR}
external-quarto-path=${RSTUDIO_DEPENDENCIES_QUARTO_DIR}
external-libclang-path=${RSTUDIO_DEPENDENCIES_DIR}/common/libclang
--external-node-path=${RSTUDIO_DEPENDENCIES_DIR}/common/node/16.14.0/bin/node
+-external-node-path=${RSTUDIO_DEPENDENCIES_DIR}/common/node/18.18.2/bin/node
+external-node-path=@node@/bin/node
# enable copilot
@@ -32,7 +32,7 @@ index 033d605..f1ee63d 100644
+++ b/src/gwt/build.xml
@@ -87,29 +87,7 @@
-
+
-
-
@@ -58,15 +58,18 @@ index 033d605..f1ee63d 100644
- value="c:\rstudio-tools\dependencies\common\node\${node.version}\node_modules\yarn\bin\yarn.cmd"
- file="c:\rstudio-tools\dependencies\common\node\${node.version}\node_modules\yarn\bin\yarn.cmd"/>
+
-
+
-@@ -126,21 +104,11 @@
- file="c:\rstudio-tools\src\gwt\lib\quarto\apps\panmirror"/>
-
-
+@@ -133,28 +111,11 @@
+
+
+
+-
-
-
+-
+-
-
-
@@ -75,14 +78,19 @@ index 033d605..f1ee63d 100644
-
-
-
+-
+-
+-
+-
-
-+
-+
-+
++
++
++
-
-
-
-
+
+
diff --git a/pkgs/applications/editors/setzer/default.nix b/pkgs/applications/editors/setzer/default.nix
index e2e7638550f9..e331619d6266 100644
--- a/pkgs/applications/editors/setzer/default.nix
+++ b/pkgs/applications/editors/setzer/default.nix
@@ -69,6 +69,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "LaTeX editor written in Python with Gtk";
+ mainProgram = "setzer";
homepage = src.meta.homepage;
license = licenses.gpl3Plus;
maintainers = with maintainers; [ dotlambda ];
diff --git a/pkgs/applications/editors/standardnotes/default.nix b/pkgs/applications/editors/standardnotes/default.nix
index e3348ceebfd7..8d535f6f5534 100644
--- a/pkgs/applications/editors/standardnotes/default.nix
+++ b/pkgs/applications/editors/standardnotes/default.nix
@@ -51,7 +51,7 @@ stdenv.mkDerivation rec {
end-to-end encryption, powerful extensions, and open-source applications.
'';
homepage = "https://standardnotes.org";
- license = licenses.agpl3;
+ license = licenses.agpl3Only;
maintainers = with maintainers; [ mgregoire chuangzhu squalus ];
sourceProvenance = [ sourceTypes.binaryNativeCode ];
platforms = builtins.attrNames srcjson.deb;
diff --git a/pkgs/applications/editors/texstudio/default.nix b/pkgs/applications/editors/texstudio/default.nix
index 4c514f3396dc..d084dd7c3f4c 100644
--- a/pkgs/applications/editors/texstudio/default.nix
+++ b/pkgs/applications/editors/texstudio/default.nix
@@ -5,13 +5,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "texstudio";
- version = "4.7.2";
+ version = "4.7.3";
src = fetchFromGitHub {
owner = "texstudio-org";
repo = "texstudio";
rev = finalAttrs.version;
- hash = "sha256-Q4/aoLIxFssti3Dto1JwRRAQ+D3DHlH9JgDrHBVKg4M=";
+ hash = "sha256-hAuNjlFr23l5ztfoa2RTHKZtH2aXF1EuWTd/ZyKuyHg=";
};
nativeBuildInputs = [
@@ -46,7 +46,7 @@ stdenv.mkDerivation (finalAttrs: {
spell checking and support of any compilation chain.
'';
homepage = "https://texstudio.org";
- changelog = "https://github.com/texstudio-org/texstudio/blob/${version}/utilities/manual/CHANGELOG.txt";
+ changelog = "https://github.com/texstudio-org/texstudio/blob/${finalAttrs.version}/utilities/manual/CHANGELOG.txt";
license = licenses.gpl2Plus;
platforms = platforms.unix;
maintainers = with maintainers; [ ajs124 cfouche ];
diff --git a/pkgs/applications/editors/typora/default.nix b/pkgs/applications/editors/typora/default.nix
index 08e3d7c78fed..2a4134ee3f00 100644
--- a/pkgs/applications/editors/typora/default.nix
+++ b/pkgs/applications/editors/typora/default.nix
@@ -22,10 +22,10 @@
let
pname = "typora";
- version = "1.8.9";
+ version = "1.8.10";
src = fetchurl {
url = "https://download.typora.io/linux/typora_${version}_amd64.deb";
- hash = "sha256-1FAVY9NSvpZOCZJmNadx5ZlqfaCc2N3D+T/08F4TOzY=";
+ hash = "sha256-5ZLSzDUcF0OZUuWVX/iG+4ccTlCPdYxy7zl0wDHlxNQ=";
};
typoraBase = stdenv.mkDerivation {
diff --git a/pkgs/applications/editors/vim/common.nix b/pkgs/applications/editors/vim/common.nix
index 9ebe4d7acd49..a32285f19e7a 100644
--- a/pkgs/applications/editors/vim/common.nix
+++ b/pkgs/applications/editors/vim/common.nix
@@ -1,6 +1,6 @@
{ lib, fetchFromGitHub }:
rec {
- version = "9.1.0004";
+ version = "9.1.0148";
outputs = [ "out" "xxd" ];
@@ -8,7 +8,7 @@ rec {
owner = "vim";
repo = "vim";
rev = "v${version}";
- hash = "sha256-Y5ZSJLEFdptEMA0xvstr6H1G4iiaYXpkJGSSFwoTfc0=";
+ hash = "sha256-lBs9PwNE3GoxtMka9oftYx3gegjCv6D3sEyAWK6RZzM=";
};
enableParallelBuilding = true;
diff --git a/pkgs/applications/editors/vim/plugins/deprecated.json b/pkgs/applications/editors/vim/plugins/deprecated.json
index 6af384ac78fd..162bc4a19c65 100644
--- a/pkgs/applications/editors/vim/plugins/deprecated.json
+++ b/pkgs/applications/editors/vim/plugins/deprecated.json
@@ -23,6 +23,10 @@
"date": "2023-08-19",
"new": "nvchad-ui"
},
+ "nvim-base16": {
+ "date": "2024-03-16",
+ "new": "base16-nvim"
+ },
"nvim-bufferline-lua": {
"date": "2021-08-22",
"new": "bufferline-nvim"
@@ -43,6 +47,10 @@
"date": "2021-09-03",
"new": "sqlite-lua"
},
+ "vim-fsharp": {
+ "date": "2024-03-16",
+ "new": "zarchive-vim-fsharp"
+ },
"vim-jade": {
"date": "2020-03-27",
"new": "vim-pug"
diff --git a/pkgs/applications/editors/vim/plugins/generated.nix b/pkgs/applications/editors/vim/plugins/generated.nix
index 32e4d167625a..4b34bafdebe6 100644
--- a/pkgs/applications/editors/vim/plugins/generated.nix
+++ b/pkgs/applications/editors/vim/plugins/generated.nix
@@ -29,24 +29,24 @@ final: prev:
ChatGPT-nvim = buildVimPlugin {
pname = "ChatGPT.nvim";
- version = "2024-01-19";
+ version = "2024-03-06";
src = fetchFromGitHub {
owner = "jackMort";
repo = "ChatGPT.nvim";
- rev = "5e8f9004ee88d6c02fe428031d3b598d5dfc7cf2";
- sha256 = "126m8cwasm4c6rhc8gnslqh2q08fn6gsw2sk57jdiflrsvhl9vzc";
+ rev = "df53728e05129278d6ea26271ec086aa013bed90";
+ sha256 = "0yh7awf26cqfndhliizi0mfqj2111hicg3hlqjjiag96qq9csgdw";
};
meta.homepage = "https://github.com/jackMort/ChatGPT.nvim/";
};
CheckAttach = buildVimPlugin {
pname = "CheckAttach";
- version = "2019-05-08";
+ version = "2024-03-07";
src = fetchFromGitHub {
owner = "chrisbra";
repo = "CheckAttach";
- rev = "8f0b1350431d1d34655a147e6f1cfe6cb5dda5f7";
- sha256 = "1z9a40nbdjd3pnp28nfsi2bijsbaiphc0ia816f5flkchn07gmmj";
+ rev = "6d22144650029a5d4dc3c8f5e303a3f6ce56e76b";
+ sha256 = "04jcnq024zss9snv26py3969pflxkhn4ak0a4ap55qy9za4fcsi7";
};
meta.homepage = "https://github.com/chrisbra/CheckAttach/";
};
@@ -65,12 +65,12 @@ final: prev:
Coqtail = buildVimPlugin {
pname = "Coqtail";
- version = "2023-10-27";
+ version = "2024-02-24";
src = fetchFromGitHub {
owner = "whonore";
repo = "Coqtail";
- rev = "ecca5017b6b27e1bded009f9725186d941d85b53";
- sha256 = "01dc9rih8f3hxvj5mi8ahdh7gf1hgb2zrks3wb8im4f4lc6nkdjz";
+ rev = "70fcabba2ecb776406bedc4b7c968ea7a876de85";
+ sha256 = "1vdqygp8v0j0msyhvc7239fkfvb1m71b3m0fpan9ay2h4x9q0q6i";
};
meta.homepage = "https://github.com/whonore/Coqtail/";
};
@@ -173,24 +173,24 @@ final: prev:
LazyVim = buildVimPlugin {
pname = "LazyVim";
- version = "2024-01-23";
+ version = "2024-03-15";
src = fetchFromGitHub {
owner = "LazyVim";
repo = "LazyVim";
- rev = "a50f92f7550fb6e9f21c0852e6cb190e6fcd50f5";
- sha256 = "01ag75gdn6yfifv5rgk8j72dly511alilqy7z97s7m3fm1zp73mv";
+ rev = "864c58cae6df28c602ecb4c94bc12a46206760aa";
+ sha256 = "07gaiw4xbyqjpw15lry2m4cb42szwmi77dvnkhdj4ii4n7iv749s";
};
meta.homepage = "https://github.com/LazyVim/LazyVim/";
};
LeaderF = buildVimPlugin {
pname = "LeaderF";
- version = "2024-01-19";
+ version = "2024-03-12";
src = fetchFromGitHub {
owner = "Yggdroot";
repo = "LeaderF";
- rev = "43e6c0467ef73107f9f6f02ac967dbc359a8d438";
- sha256 = "1p1a9ppsw5f27qsqmr8wvnbamag8w9xbh4dmpwrfk96ap7flndjz";
+ rev = "1b1c9f21ed72a12fb7cc430edb1549e83f9b413b";
+ sha256 = "0q36mmi3jf1i1z12nddk0zdqla4289pj5mvak9sd79mpi9yrvnp8";
};
meta.homepage = "https://github.com/Yggdroot/LeaderF/";
};
@@ -305,12 +305,12 @@ final: prev:
SchemaStore-nvim = buildVimPlugin {
pname = "SchemaStore.nvim";
- version = "2024-01-24";
+ version = "2024-03-15";
src = fetchFromGitHub {
owner = "b0o";
repo = "SchemaStore.nvim";
- rev = "0550c6d5754dd2a183efc9c238f5524b68860e21";
- sha256 = "1hd1qnkjbl38k9ivzqxf3j74yyh1gd56r5nz7nmzf8b5yyjkc0ws";
+ rev = "9f74c6a52f4f6adaf3b3d64b15d2363219afefae";
+ sha256 = "0kblp05s42n10w5nl56x4mks967na0fljwva387sgympqibwpgws";
};
meta.homepage = "https://github.com/b0o/SchemaStore.nvim/";
};
@@ -377,12 +377,12 @@ final: prev:
SpaceVim = buildVimPlugin {
pname = "SpaceVim";
- version = "2023-09-23";
+ version = "2024-02-19";
src = fetchFromGitHub {
owner = "SpaceVim";
repo = "SpaceVim";
- rev = "f7151f55a9e9b96e332d7cc1e0febdcae6198356";
- sha256 = "155d7b0vgqcsdayry8gz7sz2l3wlabh1pp6jksanjbfcq3gydvxn";
+ rev = "f393801c5f82a1cdc96fcd70ba9ae6d17eecedee";
+ sha256 = "1yvkgzb786v35h6pw6shw61zibg50npw59f0qyq0f0w7afccschc";
};
meta.homepage = "https://github.com/SpaceVim/SpaceVim/";
};
@@ -449,12 +449,12 @@ final: prev:
YouCompleteMe = buildVimPlugin {
pname = "YouCompleteMe";
- version = "2024-01-14";
+ version = "2024-03-04";
src = fetchFromGitHub {
owner = "ycm-core";
repo = "YouCompleteMe";
- rev = "2b33bf3dc822f6c68b39d235e24efa5508cf9857";
- sha256 = "1myd0ihxzk9jv8hqn7bfqh26cai9xlfnpwp89i62aqhgl3v0b361";
+ rev = "d088ff721ea2f1a56649157fa91771d068f1706f";
+ sha256 = "0mxf52vgmk3j6fyymkzrl19lsgdk0jvhx2w7imblsswabqx5xc7a";
fetchSubmodules = true;
};
meta.homepage = "https://github.com/ycm-core/YouCompleteMe/";
@@ -498,12 +498,12 @@ final: prev:
actions-preview-nvim = buildVimPlugin {
pname = "actions-preview.nvim";
- version = "2024-01-07";
+ version = "2024-03-09";
src = fetchFromGitHub {
owner = "aznhe21";
repo = "actions-preview.nvim";
- rev = "dd63df1a4ed0ffe1458945ee50ecb1dd02b605ab";
- sha256 = "0rsg7qvy45hhrzsf0xsdkr8ac9d3w2b0wqdbzzkfispkxpbsqyl9";
+ rev = "5072b1b1065a6b22bdd46b5c21780a91d6a08071";
+ sha256 = "195ns7dw3g4sq3pmh3h3xas4kfmwwhidlg4q7nnffkd6x9cdg31w";
};
meta.homepage = "https://github.com/aznhe21/actions-preview.nvim/";
};
@@ -522,12 +522,12 @@ final: prev:
aerial-nvim = buildVimPlugin {
pname = "aerial.nvim";
- version = "2024-01-21";
+ version = "2024-03-14";
src = fetchFromGitHub {
owner = "stevearc";
repo = "aerial.nvim";
- rev = "e9661d4f739508377f42528fd08a85c4c8feba6e";
- sha256 = "1pskj1vqrcxy4y7rvmb27d6810zcmklrff5163gav26rcgppn6x7";
+ rev = "993142d49274092c64a2d475aa726df3c323949d";
+ sha256 = "06pw6ygbmf65zkg65ajy3cr0g3y1fg0lk8kkw2q5f9s2qq2fs306";
fetchSubmodules = true;
};
meta.homepage = "https://github.com/stevearc/aerial.nvim/";
@@ -583,12 +583,12 @@ final: prev:
ale = buildVimPlugin {
pname = "ale";
- version = "2024-01-14";
+ version = "2024-03-14";
src = fetchFromGitHub {
owner = "dense-analysis";
repo = "ale";
- rev = "8922478a83cd06bfe5b82eb45279649adc4ec046";
- sha256 = "1s9cy0s08hgjb1n05gbl8am2s4wvxblpa1sfiwadwyqf163bd16j";
+ rev = "712b4b3a9714ff58a5c0798c7b6e0ecf7c59857d";
+ sha256 = "1fsnjcw503ca9612q3vjl32q1sq5wyjbiqy2rbwjy2w1cjny7160";
};
meta.homepage = "https://github.com/dense-analysis/ale/";
};
@@ -607,16 +607,28 @@ final: prev:
alpha-nvim = buildVimPlugin {
pname = "alpha-nvim";
- version = "2024-01-17";
+ version = "2024-02-25";
src = fetchFromGitHub {
owner = "goolord";
repo = "alpha-nvim";
- rev = "4b36c1ca9ea475bdc006896657cf1ccc486aeffa";
- sha256 = "01s5kp4zxfs18i7r9hvrjakihk6wz191zrkniq4vhsns32mmjgk3";
+ rev = "41283fb402713fc8b327e60907f74e46166f4cfd";
+ sha256 = "1a3spwcypcrjv9md58h4vxh4bjfz25fc1lkpp0zypmnykwllydci";
};
meta.homepage = "https://github.com/goolord/alpha-nvim/";
};
+ animation-nvim = buildVimPlugin {
+ pname = "animation.nvim";
+ version = "2022-09-18";
+ src = fetchFromGitHub {
+ owner = "anuvyklack";
+ repo = "animation.nvim";
+ rev = "fb77091ab72ec9971aee0562e7081182527aaa6a";
+ sha256 = "0yaxp3l33af1k6na0jikwmr0nxk862ihpq8r0042mll1ily7689b";
+ };
+ meta.homepage = "https://github.com/anuvyklack/animation.nvim/";
+ };
+
aniseed = buildVimPlugin {
pname = "aniseed";
version = "2023-07-06";
@@ -677,6 +689,18 @@ final: prev:
meta.homepage = "https://github.com/vim-scripts/argtextobj.vim/";
};
+ astrotheme = buildVimPlugin {
+ pname = "astrotheme";
+ version = "2024-02-29";
+ src = fetchFromGitHub {
+ owner = "AstroNvim";
+ repo = "astrotheme";
+ rev = "903e2cb5d673e35803a6b160e68c1ca4b1c79109";
+ sha256 = "1i917mkvdb6zvf90z2iwnl4lyk5vhdqzmgrrbq15447kcjd1z956";
+ };
+ meta.homepage = "https://github.com/AstroNvim/astrotheme/";
+ };
+
async-vim = buildVimPlugin {
pname = "async.vim";
version = "2022-04-04";
@@ -775,12 +799,12 @@ final: prev:
asyncrun-vim = buildVimPlugin {
pname = "asyncrun.vim";
- version = "2023-09-26";
+ version = "2024-03-05";
src = fetchFromGitHub {
owner = "skywind3000";
repo = "asyncrun.vim";
- rev = "61cc3081963a12048e00e89f8cedc8bd1cb83b8c";
- sha256 = "1l86kk0ha6yw3i285xaizzrgxvnxf95q0ys44glz8mns1z2jq4zk";
+ rev = "c572812e1d32c064859d8e9790c05e09f78ce508";
+ sha256 = "126ipiv31igbxppgji4p4ahzqgzyrkn08fqn7ws4l6nsm2h75xl3";
};
meta.homepage = "https://github.com/skywind3000/asyncrun.vim/";
};
@@ -871,28 +895,40 @@ final: prev:
auto-session = buildVimPlugin {
pname = "auto-session";
- version = "2023-12-05";
+ version = "2024-02-03";
src = fetchFromGitHub {
owner = "rmagatti";
repo = "auto-session";
- rev = "51196ca66b38fc1660fdf50031cb0b31a199b7c9";
- sha256 = "1k0vgnn7anx6s6avbx17gyy749960ap1nds23qnsmp0pfz9x5scw";
+ rev = "29a8c77a0579636d5520aebd38bdbc2e6079f2f5";
+ sha256 = "0pzbj840xwzgj08zlbs79kfr8p5pfaqmcwmvqvngciaawz5mxwrc";
};
meta.homepage = "https://github.com/rmagatti/auto-session/";
};
autoclose-nvim = buildVimPlugin {
pname = "autoclose.nvim";
- version = "2023-09-16";
+ version = "2024-02-23";
src = fetchFromGitHub {
owner = "m4xshen";
repo = "autoclose.nvim";
- rev = "37e11589aac55b0e8810dc5865f898f9cb36fef6";
- sha256 = "15l5c9r8wa2i7amdl3b88gj9qhw81wxicm4zglvzcl1yb9ga0pwd";
+ rev = "dc42806540dcf448ecb2bad6b67204410cfbe629";
+ sha256 = "03l4az5xccx941sbw2qx7s8aziydiad2pc75jki1mlbgs7sdbhwi";
};
meta.homepage = "https://github.com/m4xshen/autoclose.nvim/";
};
+ autolist-nvim = buildVimPlugin {
+ pname = "autolist.nvim";
+ version = "2023-07-07";
+ src = fetchFromGitHub {
+ owner = "gaoDean";
+ repo = "autolist.nvim";
+ rev = "5f70a5f99e96c8fe3069de042abd2a8ed2deb855";
+ sha256 = "0vdr9mf761qc2rp9xc8ypgdis68khblkwn7c1kc6cxk265nw7awm";
+ };
+ meta.homepage = "https://github.com/gaoDean/autolist.nvim/";
+ };
+
autoload_cscope-vim = buildVimPlugin {
pname = "autoload_cscope.vim";
version = "2011-01-28";
@@ -929,6 +965,18 @@ final: prev:
meta.homepage = "https://github.com/rafi/awesome-vim-colorschemes/";
};
+ aylin-vim = buildVimPlugin {
+ pname = "aylin.vim";
+ version = "2022-08-13";
+ src = fetchFromGitHub {
+ owner = "AhmedAbdulrahman";
+ repo = "aylin.vim";
+ rev = "d9532f02f5ea8f396fc62c50bb34c348b4a9aa02";
+ sha256 = "1fqi0y49ac7ix39l8c27j7zysl4g9sm0akkmhpbznccc74kb6r7w";
+ };
+ meta.homepage = "https://github.com/AhmedAbdulrahman/aylin.vim/";
+ };
+
ayu-vim = buildVimPlugin {
pname = "ayu-vim";
version = "2020-05-29";
@@ -953,14 +1001,38 @@ final: prev:
meta.homepage = "https://github.com/taybart/b64.nvim/";
};
+ baleia-nvim = buildVimPlugin {
+ pname = "baleia.nvim";
+ version = "2024-01-06";
+ src = fetchFromGitHub {
+ owner = "m00qek";
+ repo = "baleia.nvim";
+ rev = "6d9cbdaca3a428bc7296f838fdfce3ad01ee7495";
+ sha256 = "sha256-0NmiGzMFvL1awYOVtiaSd+O4sAR524x68xwWLgArlqs=";
+ };
+ meta.homepage = "https://github.com/m00qek/baleia.nvim/";
+ };
+
+ bamboo-nvim = buildVimPlugin {
+ pname = "bamboo.nvim";
+ version = "2024-03-09";
+ src = fetchFromGitHub {
+ owner = "ribru17";
+ repo = "bamboo.nvim";
+ rev = "ca93b6193742f80330ace6d5e4e9f0f0480e0c45";
+ sha256 = "1hqjwzn5pfzg46rq316vx83sqyl7fv1y7kk1b9i550zmv7q6qvlj";
+ };
+ meta.homepage = "https://github.com/ribru17/bamboo.nvim/";
+ };
+
barbar-nvim = buildVimPlugin {
pname = "barbar.nvim";
- version = "2023-12-18";
+ version = "2024-03-13";
src = fetchFromGitHub {
owner = "romgrk";
repo = "barbar.nvim";
- rev = "4ba9ac54f0c5d82131905160afff94172e3325e6";
- sha256 = "0cfhcwb8w4h63dj3r1zi7ikqjs78isgvy2lgqw35k8camw4jlqkr";
+ rev = "3c48b5edf61dda21ad41c514e53448fee366a824";
+ sha256 = "1fys0jay5ij0xh0sinmyr00z903ksdpcm8cyp6rjwsryrjqda8km";
};
meta.homepage = "https://github.com/romgrk/barbar.nvim/";
};
@@ -977,6 +1049,18 @@ final: prev:
meta.homepage = "https://github.com/utilyre/barbecue.nvim/";
};
+ base16-nvim = buildVimPlugin {
+ pname = "base16-nvim";
+ version = "2024-02-17";
+ src = fetchFromGitHub {
+ owner = "RRethy";
+ repo = "base16-nvim";
+ rev = "b3e9ec6a82c05b562cd71f40fe8964438a9ba64a";
+ sha256 = "1qb8g6q8vwq99030nqw719xgrizbqcnmj4n25fqakjq8pbclwh4p";
+ };
+ meta.homepage = "https://github.com/RRethy/base16-nvim/";
+ };
+
base16-vim = buildVimPlugin {
pname = "base16-vim";
version = "2022-09-20";
@@ -991,12 +1075,12 @@ final: prev:
base46 = buildVimPlugin {
pname = "base46";
- version = "2023-10-29";
+ version = "2024-03-15";
src = fetchFromGitHub {
owner = "nvchad";
repo = "base46";
- rev = "3f2b658cbd6650ddaf2bae3233e143a41ca25b1a";
- sha256 = "04xnnyinr93jriqg5yhwrwbf0q0zbb2ad1wrnl08sbi8fzl7a7a9";
+ rev = "6ffabeac88203b6d74afb4be4de5d3daa5dbbda8";
+ sha256 = "0048smdfljgxfr7g425m0wxj5whd164r13zrcf2yfzyj7lhb93k6";
};
meta.homepage = "https://github.com/nvchad/base46/";
};
@@ -1097,6 +1181,30 @@ final: prev:
meta.homepage = "https://github.com/blueballs-theme/blueballs-neovim/";
};
+ bluloco-nvim = buildVimPlugin {
+ pname = "bluloco.nvim";
+ version = "2024-02-13";
+ src = fetchFromGitHub {
+ owner = "uloco";
+ repo = "bluloco.nvim";
+ rev = "c585fa3b1b892453b1f68df4c52b4f684a7ed7fe";
+ sha256 = "17q3dwkhdx74xrxzl3069ia4fl0fj2n8k57s56k59v7f1v1l753i";
+ };
+ meta.homepage = "https://github.com/uloco/bluloco.nvim/";
+ };
+
+ boo-colorscheme-nvim = buildVimPlugin {
+ pname = "boo-colorscheme-nvim";
+ version = "2023-12-26";
+ src = fetchFromGitHub {
+ owner = "rockerBOO";
+ repo = "boo-colorscheme-nvim";
+ rev = "f329950b54d2a9462dd8169bb9cf0adbddef70b4";
+ sha256 = "0939nxp2g0d6nzfhk0r5bvn4g3bs5bg8pjnc4z1f1qsnpvk6vyml";
+ };
+ meta.homepage = "https://github.com/rockerBOO/boo-colorscheme-nvim/";
+ };
+
boole-nvim = buildVimPlugin {
pname = "boole.nvim";
version = "2023-07-08";
@@ -1135,12 +1243,12 @@ final: prev:
bufdelete-nvim = buildVimPlugin {
pname = "bufdelete.nvim";
- version = "2023-12-24";
+ version = "2024-02-24";
src = fetchFromGitHub {
owner = "famiu";
repo = "bufdelete.nvim";
- rev = "0b9c0f182b09c51170bb9f252f15de7695e4d507";
- sha256 = "07442wjgf5nx99qaqzi1gyhxlcp8pxlc15vg9jckf9wl3s3m2hiv";
+ rev = "f6bcea78afb3060b198125256f897040538bcb81";
+ sha256 = "0xfzk3zgnxbwnr55n3lglsb8nmhnchpiqz9d152xr6j8d9z0sdcn";
};
meta.homepage = "https://github.com/famiu/bufdelete.nvim/";
};
@@ -1171,12 +1279,12 @@ final: prev:
bufferline-nvim = buildVimPlugin {
pname = "bufferline.nvim";
- version = "2024-01-25";
+ version = "2024-03-07";
src = fetchFromGitHub {
owner = "akinsho";
repo = "bufferline.nvim";
- rev = "d6cb9b7cac52887bcac65f8698e67479553c0748";
- sha256 = "05j37sch4m8cxdjg7fyzmawr21mp2ipqlw3ar4adk8as698b68gy";
+ rev = "64e2c5def50dfd6b6f14d96a45fa3d815a4a1eef";
+ sha256 = "1r9bb6jmcj2048ny86v7ha8scjv1qispmxhj98l11jb2xb1f1fvn";
};
meta.homepage = "https://github.com/akinsho/bufferline.nvim/";
};
@@ -1195,14 +1303,14 @@ final: prev:
bullets-vim = buildVimPlugin {
pname = "bullets.vim";
- version = "2022-10-10";
+ version = "2024-03-08";
src = fetchFromGitHub {
- owner = "dkarter";
+ owner = "bullets-vim";
repo = "bullets.vim";
- rev = "746f92ae05cdcc988857d8e76418326f07af9494";
- sha256 = "0zdfri31h1iv3jjw2yqkqqfd07cdk7ymyrl5n03khwh192dawd5r";
+ rev = "0cc28d53e9da628e006c89bb2d3b03b94a0dee33";
+ sha256 = "0gxa73q89w7mkhhmy3f2zdcsys4p9m4z61ff1c9lmbvd1r8dh898";
};
- meta.homepage = "https://github.com/dkarter/bullets.vim/";
+ meta.homepage = "https://github.com/bullets-vim/bullets.vim/";
};
calendar-vim = buildVimPlugin {
@@ -1243,24 +1351,24 @@ final: prev:
ccc-nvim = buildVimPlugin {
pname = "ccc.nvim";
- version = "2023-12-16";
+ version = "2024-03-08";
src = fetchFromGitHub {
owner = "uga-rosa";
repo = "ccc.nvim";
- rev = "ec6e23fd2c0bf4ffcf71c1271acdcee6e2c6f49c";
- sha256 = "1y3ns91ysx684ryxv1zjaw8ghrm2ry4rswhm87im4rwghnwvnrwx";
+ rev = "f3d9d31aab7990d50ae6922fd7c1e3a9eb7da183";
+ sha256 = "0h94gcp1labwgkphd1n9nn9jw1ps4ij2s6pmkdxag8j15kbh3r7k";
};
meta.homepage = "https://github.com/uga-rosa/ccc.nvim/";
};
chadtree = buildVimPlugin {
pname = "chadtree";
- version = "2024-01-25";
+ version = "2024-03-11";
src = fetchFromGitHub {
owner = "ms-jpq";
repo = "chadtree";
- rev = "713d374382398df12816b3aa8de5462e29266d8a";
- sha256 = "1zi4v1fsayvcxsvbb60r7lj5zpsbhcysy2n6l9610xn0zmwmcnxq";
+ rev = "d2afbb28ed1b6de119fe7c1d4fe248da0819b4d8";
+ sha256 = "0gmjs4ms7nxmfd4wyw082mqqgj82309x75yi8rxvnaqyksn70bas";
};
meta.homepage = "https://github.com/ms-jpq/chadtree/";
};
@@ -1313,6 +1421,18 @@ final: prev:
meta.homepage = "https://github.com/projekt0n/circles.nvim/";
};
+ citruszest-nvim = buildVimPlugin {
+ pname = "citruszest.nvim";
+ version = "2024-02-13";
+ src = fetchFromGitHub {
+ owner = "zootedb0t";
+ repo = "citruszest.nvim";
+ rev = "60e6cec400cd857ffd69d582794c3ce5571c0049";
+ sha256 = "0mbs4v35v6xwi44dh8isgp66n6x10q6jkvj3ygvpqanwff6bp89s";
+ };
+ meta.homepage = "https://github.com/zootedb0t/citruszest.nvim/";
+ };
+
clang_complete = buildVimPlugin {
pname = "clang_complete";
version = "2023-11-05";
@@ -1375,12 +1495,12 @@ final: prev:
cloak-nvim = buildVimPlugin {
pname = "cloak.nvim";
- version = "2024-02-02";
+ version = "2024-03-13";
src = fetchFromGitHub {
owner = "laytan";
repo = "cloak.nvim";
- rev = "9abe4e986e924fc54a972c1b0ff52b65a0622624";
- sha256 = "0jnhxxq2c7bprkicqxqi17fybfgpzv0z1jxrqm346hmnmm8amgvw";
+ rev = "462e84e1659d984196d09f7d16690b19b9aee804";
+ sha256 = "1gg29wngh1cgxpmjvhzg9dx062xklbz87961p81jbpx4m1xsaxwi";
};
meta.homepage = "https://github.com/laytan/cloak.nvim/";
};
@@ -1397,6 +1517,18 @@ final: prev:
meta.homepage = "https://github.com/asheq/close-buffers.vim/";
};
+ cmake-tools-nvim = buildVimPlugin {
+ pname = "cmake-tools.nvim";
+ version = "2024-02-02";
+ src = fetchFromGitHub {
+ owner = "Civitasv";
+ repo = "cmake-tools.nvim";
+ rev = "055d7bb37d5c4038ce1e400656b6504602934ce7";
+ sha256 = "sha256-e16I51FbT0itLkyornd9RjShXmLxUzPrygFYVc66xoY=";
+ };
+ meta.homepage = "https://github.com/Civitasv/cmake-tools.nvim/";
+ };
+
cmd-parser-nvim = buildVimPlugin {
pname = "cmd-parser.nvim";
version = "2022-02-23";
@@ -1411,12 +1543,12 @@ final: prev:
cmp-async-path = buildVimPlugin {
pname = "cmp-async-path";
- version = "2023-01-16";
+ version = "2024-02-02";
src = fetchFromGitHub {
owner = "FelipeLema";
repo = "cmp-async-path";
- rev = "d8229a93d7b71f22c66ca35ac9e6c6cd850ec61d";
- sha256 = "18z548v4ypby32jydq439zdi6gv7zybp8gk957iai22cnxaj403n";
+ rev = "9d581eec5acf812316913565c135b0d1ee2c9a71";
+ sha256 = "0dv00zsgyjqk98cnxkmc4hjqgsm5mhjg4q2j7xkwz17kq59fiv26";
};
meta.homepage = "https://github.com/FelipeLema/cmp-async-path/";
};
@@ -1543,12 +1675,12 @@ final: prev:
cmp-dictionary = buildVimPlugin {
pname = "cmp-dictionary";
- version = "2024-01-24";
+ version = "2024-03-03";
src = fetchFromGitHub {
owner = "uga-rosa";
repo = "cmp-dictionary";
- rev = "472d0087035762f3c81af63433df15c8dd85601b";
- sha256 = "03i5gb18w93fb7by1djj7b3sqfsdb2rlqlnj5dcl0r8qb4wa8nrc";
+ rev = "3dab73d34a889eafdb57c666c3c2076b4c3e126b";
+ sha256 = "08nyng2ykxnapih97m1wsj1fskjz7yb09g2mb3428l7zbvjjk3nq";
};
meta.homepage = "https://github.com/uga-rosa/cmp-dictionary/";
};
@@ -1567,12 +1699,12 @@ final: prev:
cmp-emoji = buildVimPlugin {
pname = "cmp-emoji";
- version = "2021-09-28";
+ version = "2024-03-05";
src = fetchFromGitHub {
owner = "hrsh7th";
repo = "cmp-emoji";
- rev = "19075c36d5820253d32e2478b6aaf3734aeaafa0";
- sha256 = "00jrwg491q6nx3q36krarxfpchg3fgdsz7l02ag7cm0x9hv4dknd";
+ rev = "0acd702358230abeb6576769f7116e766bca28a0";
+ sha256 = "1i9ak17cair797fijxd61lnjqy3qykpscah7303arvkxdr8w1zik";
};
meta.homepage = "https://github.com/hrsh7th/cmp-emoji/";
};
@@ -1867,12 +1999,12 @@ final: prev:
cmp-tmux = buildVimPlugin {
pname = "cmp-tmux";
- version = "2023-09-02";
+ version = "2024-02-29";
src = fetchFromGitHub {
owner = "andersevenrud";
repo = "cmp-tmux";
- rev = "97ec06b8030b8bf6d1fd83d49bdd16c98e04c845";
- sha256 = "0a9yryb1hwmkv3gmahx3barclllgbqhfp7q00i5zrc69ql0i63vf";
+ rev = "95b1b921802e6f60627b3e76afb9380fddd87f9a";
+ sha256 = "1szbvn394fqbqvwmywmzr38kqg44xhnv1fzjxbsakzx0wclc2yf4";
};
meta.homepage = "https://github.com/andersevenrud/cmp-tmux/";
};
@@ -1961,6 +2093,18 @@ final: prev:
meta.homepage = "https://github.com/saadparwaiz1/cmp_luasnip/";
};
+ cobalt2-nvim = buildVimPlugin {
+ pname = "cobalt2.nvim";
+ version = "2024-01-13";
+ src = fetchFromGitHub {
+ owner = "lalitmee";
+ repo = "cobalt2.nvim";
+ rev = "89c4212da7f2a6ce7570ca1b8ed01a95e30585c2";
+ sha256 = "00fdqj61av1awq2m3qjkd3znpnc5ywi6abnvyh8xcbs9sbp4iid8";
+ };
+ meta.homepage = "https://github.com/lalitmee/cobalt2.nvim/";
+ };
+
coc-clap = buildVimPlugin {
pname = "coc-clap";
version = "2021-09-18";
@@ -2047,12 +2191,12 @@ final: prev:
coc-nvim = buildVimPlugin {
pname = "coc.nvim";
- version = "2023-09-30";
+ version = "2024-03-11";
src = fetchFromGitHub {
owner = "neoclide";
repo = "coc.nvim";
- rev = "7b9e1ef0a1399907c51d23b6080b94a5aba4a654";
- sha256 = "10gdqqz71gbna9wy3awbncbn7m0g6wfn1256bx7ga8b3bsl3nmch";
+ rev = "a0f3e2c1b13157a25063b32b49debf46cc96a873";
+ sha256 = "1lqd93663nrcbwkhr3a1yyh22zamv38zf3nazxsq36aix4h0v616";
};
meta.homepage = "https://github.com/neoclide/coc.nvim/";
};
@@ -2071,24 +2215,24 @@ final: prev:
codeium-nvim = buildVimPlugin {
pname = "codeium.nvim";
- version = "2023-12-26";
+ version = "2024-03-12";
src = fetchFromGitHub {
owner = "Exafunction";
repo = "codeium.nvim";
- rev = "f871000e91faa9ed334da2bfa4eadbf54d0e1047";
- sha256 = "11qjv6g2abb67sfql0i2lbrak9d1xs15x73llw1fglcmbn7wswrf";
+ rev = "73ba2a3a41484437ff8a45ca1e796fa9d6fa1153";
+ sha256 = "1lcliki38mamk722klb3a0an2bsblx9rsgwixa9f0j7naril4z9z";
};
meta.homepage = "https://github.com/Exafunction/codeium.nvim/";
};
codeium-vim = buildVimPlugin {
pname = "codeium.vim";
- version = "2024-01-18";
+ version = "2024-03-14";
src = fetchFromGitHub {
owner = "Exafunction";
repo = "codeium.vim";
- rev = "a1c3d6b369a18514d656dac149de807becacbdf7";
- sha256 = "1d1m30wrjm3s18672j97ypbky59mppn8af3vl0db7pf4c7bv2vbv";
+ rev = "bef9cbaa5c19ab85d8048f364bfc0ac8c7ab335d";
+ sha256 = "13b4nq2z1pw6v0rbmjkxynjs6w4b859hhcnhnsl2r0imhkgss4l5";
};
meta.homepage = "https://github.com/Exafunction/codeium.vim/";
};
@@ -2119,12 +2263,12 @@ final: prev:
colorbuddy-nvim = buildVimPlugin {
pname = "colorbuddy.nvim";
- version = "2022-02-28";
+ version = "2024-03-15";
src = fetchFromGitHub {
owner = "tjdevries";
repo = "colorbuddy.nvim";
- rev = "cdb5b0654d3cafe61d2a845e15b2b4b0e78e752a";
- sha256 = "1gjwgh77xgpdpzlz51c7gxnbpqyzm4hy9baspgjf9j5ny4yisn03";
+ rev = "e498b2b49d9ad0c3fb8168a02b237b689dcd4051";
+ sha256 = "1dxxxdbml12p8awcp78vxj1i2jbhvcdn4m4lnqczxb7qxwpb6g2r";
};
meta.homepage = "https://github.com/tjdevries/colorbuddy.nvim/";
};
@@ -2323,12 +2467,12 @@ final: prev:
conform-nvim = buildVimPlugin {
pname = "conform.nvim";
- version = "2024-01-24";
+ version = "2024-03-15";
src = fetchFromGitHub {
owner = "stevearc";
repo = "conform.nvim";
- rev = "d99b75b4aedf0e912f41c5740a7267de739cddac";
- sha256 = "1qwrvidiq26fsl7bz3fhfnhn534k8cix488c9kykl9y971kb9mm7";
+ rev = "67ee2258e08ccb91345d52f62484b657feccef25";
+ sha256 = "0d76rrjbmi3rmvm27jh61zs35z9axc5l6yacr3yrrnfi0kahi0fy";
fetchSubmodules = true;
};
meta.homepage = "https://github.com/stevearc/conform.nvim/";
@@ -2336,12 +2480,12 @@ final: prev:
conjure = buildVimPlugin {
pname = "conjure";
- version = "2023-12-07";
+ version = "2024-03-11";
src = fetchFromGitHub {
owner = "Olical";
repo = "conjure";
- rev = "4880144f13a7693cded529b302de491bfbaedbd6";
- sha256 = "13rixqrw1hdpfxzz8qkwcvf1a2plcrv5mz6aaaa8vdksa3vica8i";
+ rev = "dfb9f75010a181c090ab905b2a2b4180aea20f15";
+ sha256 = "0swwnb5sr3j5yx77f94ymdc1jpv1nyi0qj9l402qzz5dc4pi2mp6";
};
meta.homepage = "https://github.com/Olical/conjure/";
};
@@ -2384,48 +2528,48 @@ final: prev:
copilot-lua = buildVimPlugin {
pname = "copilot.lua";
- version = "2024-01-07";
+ version = "2024-02-28";
src = fetchFromGitHub {
owner = "zbirenbaum";
repo = "copilot.lua";
- rev = "b03617a6dc4bc88b65ab5deac1631da9a9c2dcaf";
- sha256 = "1pq8h1fnx5fkk6nj10ag6hx1br0c6vpclmcrw67qss8n52g0zwgk";
+ rev = "f7612f5af4a7d7615babf43ab1e67a2d790c13a6";
+ sha256 = "01xwq4kz4a3m90z29pf5yp8aiax1nv80lpxzxqc3k7nfr5vfqz95";
};
meta.homepage = "https://github.com/zbirenbaum/copilot.lua/";
};
copilot-vim = buildVimPlugin {
pname = "copilot.vim";
- version = "2024-01-23";
+ version = "2024-03-14";
src = fetchFromGitHub {
owner = "github";
repo = "copilot.vim";
- rev = "a8142d44602f1b3f7b5c03c52b55f8f5d3453bf0";
- sha256 = "08s0n9fyndjkri4lnpdg8hin4ykibxdkl8v3fgpglr944vs7cpff";
+ rev = "9484e35cf222e9360e05450622a884f95c662c4c";
+ sha256 = "1c7dabbyk5124xw5k5b29h9nmv04ix6p3j3p7qns7ssqinvfphmm";
};
meta.homepage = "https://github.com/github/copilot.vim/";
};
coq-artifacts = buildVimPlugin {
pname = "coq.artifacts";
- version = "2023-12-22";
+ version = "2024-03-01";
src = fetchFromGitHub {
owner = "ms-jpq";
repo = "coq.artifacts";
- rev = "e7202d1a1b5cfa91446d5b7a035f915934e4d713";
- sha256 = "11dkb6h7lshnhn8l04hjykwv7lsaxl58jqrxi2hv1byr6406j6xl";
+ rev = "9c5067a471322c6bb866545e88e5b28c82511865";
+ sha256 = "0c0l259qyywck43hgs09qznrw1wm72idprlfk4ddcdj8g99vny84";
};
meta.homepage = "https://github.com/ms-jpq/coq.artifacts/";
};
coq-thirdparty = buildVimPlugin {
pname = "coq.thirdparty";
- version = "2024-01-12";
+ version = "2024-02-03";
src = fetchFromGitHub {
owner = "ms-jpq";
repo = "coq.thirdparty";
- rev = "99393e405c28883e92e39ad6cdb41120ecfb6f32";
- sha256 = "0jkim8sxi66ra1xdlhlz3b04jh0whrgs43wyw7hdckwjvmn354hp";
+ rev = "d9c1492ee60d627b171c7a9bcd0c3bb35af3e0fd";
+ sha256 = "0q8fv58v2sy9kq737ddmrrf0vvqpz8wrybnrks3vazq017jc5y7v";
};
meta.homepage = "https://github.com/ms-jpq/coq.thirdparty/";
};
@@ -2444,12 +2588,12 @@ final: prev:
coq_nvim = buildVimPlugin {
pname = "coq_nvim";
- version = "2024-01-03";
+ version = "2024-03-11";
src = fetchFromGitHub {
owner = "ms-jpq";
repo = "coq_nvim";
- rev = "4337cb19c7bd922fa9b374456470a753dc1618d4";
- sha256 = "1a13hb39hmpk2pgq615b32kd94arj22rppags99dxg02vz9ngsp8";
+ rev = "a290446adad540d780e87d7fa8ef86bb2fdc2951";
+ sha256 = "1z8icxpxv03yiigav70r5v463pj5n5v5lq6czwpq2x51pxkaznym";
};
meta.homepage = "https://github.com/ms-jpq/coq_nvim/";
};
@@ -2468,24 +2612,24 @@ final: prev:
cpsm = buildVimPlugin {
pname = "cpsm";
- version = "2021-07-25";
+ version = "2024-03-06";
src = fetchFromGitHub {
owner = "nixprime";
repo = "cpsm";
- rev = "814961aef3eba4412e5e63342575c46f87eaead4";
- sha256 = "13qp6qaghaw355wjwmmd8d7cakb3ry9ijpnz06mj8yza50w7p3fx";
+ rev = "1a5fc1b6169bb80c29b0b986130c4f3f1a9ed82e";
+ sha256 = "0gsf2xaa9nw0yhlnhvllz03kbdbfjvazdgb753rblkxrqnbjjs6w";
};
meta.homepage = "https://github.com/nixprime/cpsm/";
};
crates-nvim = buildVimPlugin {
pname = "crates.nvim";
- version = "2024-01-25";
+ version = "2024-03-13";
src = fetchFromGitHub {
owner = "saecki";
repo = "crates.nvim";
- rev = "f2a169840e97a8ed2048abb507d2742c3895c85b";
- sha256 = "05j1f2cf9fgsl7kdz2kqq525xm7v6l4p10mh46nf897gllvvajmy";
+ rev = "b4f4987ccdb1cc3899ee541ef4375c73c48c4570";
+ sha256 = "0r9l018sfymmbg7lc31bp4q2aqdljaqini5s14mg3r7lwcg15z61";
};
meta.homepage = "https://github.com/saecki/crates.nvim/";
};
@@ -2574,6 +2718,18 @@ final: prev:
meta.homepage = "https://github.com/ctrlpvim/ctrlp.vim/";
};
+ cyberdream-nvim = buildVimPlugin {
+ pname = "cyberdream.nvim";
+ version = "2024-03-09";
+ src = fetchFromGitHub {
+ owner = "scottmckendry";
+ repo = "cyberdream.nvim";
+ rev = "7ad27cf30a2aeeaefb8e4d25a9ae7c06bd4ce299";
+ sha256 = "02nqql0bw4d3izvxi77ml7akkhi6ihgs3ra998zb4c5kf1mcf5nw";
+ };
+ meta.homepage = "https://github.com/scottmckendry/cyberdream.nvim/";
+ };
+
dart-vim-plugin = buildVimPlugin {
pname = "dart-vim-plugin";
version = "2023-07-18";
@@ -2600,28 +2756,40 @@ final: prev:
dashboard-nvim = buildVimPlugin {
pname = "dashboard-nvim";
- version = "2023-11-10";
+ version = "2024-02-13";
src = fetchFromGitHub {
owner = "nvimdev";
repo = "dashboard-nvim";
- rev = "63df28409d940f9cac0a925df09d3dc369db9841";
- sha256 = "0731yc308jhqwpgabdb69mz8wi75rbnlwa2rh25rrigr28hxin1c";
+ rev = "413442b12d85315fc626c44a0ce4929b213ef604";
+ sha256 = "0pdq7c34093a7p92kqs9pkipj91q45j4y4djlik69fmdxi1kcbxy";
};
meta.homepage = "https://github.com/nvimdev/dashboard-nvim/";
};
debugprint-nvim = buildVimPlugin {
pname = "debugprint.nvim";
- version = "2024-01-21";
+ version = "2024-03-05";
src = fetchFromGitHub {
owner = "andrewferrier";
repo = "debugprint.nvim";
- rev = "0c81cd2bab372bba99815f505eb1fe759d38dd88";
- sha256 = "1vyn98y3mnhdpa1yvlarqrs4wzfkgn1g70n5s0x3h1kvs1256g8c";
+ rev = "39d21298151dda8bfab537ae7741528cffe07aa3";
+ sha256 = "0ah84grvp1j8nvrv5rj6l63vw5d0d6678dylv91dmd78rfdwna7g";
};
meta.homepage = "https://github.com/andrewferrier/debugprint.nvim/";
};
+ deepwhite-nvim = buildVimPlugin {
+ pname = "deepwhite.nvim";
+ version = "2024-03-12";
+ src = fetchFromGitHub {
+ owner = "Verf";
+ repo = "deepwhite.nvim";
+ rev = "fe78337404c36f48ef0824ad1bb43de3bb4211bc";
+ sha256 = "0jxkjm83fgzjhgid57aj92775gdcy6ag280h8img2zjfnq9kgh27";
+ };
+ meta.homepage = "https://github.com/Verf/deepwhite.nvim/";
+ };
+
defx-git = buildVimPlugin {
pname = "defx-git";
version = "2021-01-01";
@@ -2648,12 +2816,12 @@ final: prev:
defx-nvim = buildVimPlugin {
pname = "defx.nvim";
- version = "2023-12-28";
+ version = "2024-03-12";
src = fetchFromGitHub {
owner = "Shougo";
repo = "defx.nvim";
- rev = "492096c69e90fcb0242db877269c304bd0cded19";
- sha256 = "0zax6lbmvvphmga12kcwksgdbxrpb526sqz1li7mvhqsi6vyi366";
+ rev = "1b17dc334294ef0da74ffa5a05d425ffcaf39a3f";
+ sha256 = "1wfrff59kirvbsdyrgavcv17fmwzvi6iszzsxnncgfnshf184mnb";
};
meta.homepage = "https://github.com/Shougo/defx.nvim/";
};
@@ -2696,36 +2864,36 @@ final: prev:
denite-nvim = buildVimPlugin {
pname = "denite.nvim";
- version = "2023-12-28";
+ version = "2024-03-12";
src = fetchFromGitHub {
owner = "Shougo";
repo = "denite.nvim";
- rev = "83e2a4f29dae330eabd4dcc63359469106880ce8";
- sha256 = "1a9r44z2fiwj9vj7ys8w0dvqikza45s9qmdzgr1ndnnb3azr2mki";
+ rev = "055dd686c274a293dc4b7365be07c159148c31dd";
+ sha256 = "0rxpj0xhd188xlpm0h557ljdv3zhwxmwm5mf7fsjxcka3m7iy1n1";
};
meta.homepage = "https://github.com/Shougo/denite.nvim/";
};
denops-vim = buildVimPlugin {
pname = "denops.vim";
- version = "2024-01-25";
+ version = "2024-02-29";
src = fetchFromGitHub {
owner = "vim-denops";
repo = "denops.vim";
- rev = "16d4bbc5c8e359ab50042f66dc5c9a2cdeabff6e";
- sha256 = "19274maj5nbf7psa4d1nwkd2x7wnmnr6i6sp0h36nk5bgjx5jhzg";
+ rev = "b5dfcbc249a7559cbdc08ba1b7dc1cd92dec6d98";
+ sha256 = "1avngb6fz152p482v0mrxqy60prv54hzsxp123bwvs4m8d4xfsb9";
};
meta.homepage = "https://github.com/vim-denops/denops.vim/";
};
deol-nvim = buildVimPlugin {
pname = "deol.nvim";
- version = "2023-12-09";
+ version = "2024-03-13";
src = fetchFromGitHub {
owner = "Shougo";
repo = "deol.nvim";
- rev = "0fcdadc7636ef82636e972c4c651fb77e3cf1954";
- sha256 = "14nhfxaxd771rh784acs1s21cvlx8877wsb9qmyk821sljb4hcwn";
+ rev = "8ac4d600ebc51565cb1fa5c045e8e71e2eeaa009";
+ sha256 = "1pak5m9aicnqw8akc2zbh3aqmq0rl5j7m4xidh2h5hm5xnjidp9x";
};
meta.homepage = "https://github.com/Shougo/deol.nvim/";
};
@@ -2938,12 +3106,12 @@ final: prev:
deoplete-nvim = buildVimPlugin {
pname = "deoplete.nvim";
- version = "2023-12-28";
+ version = "2024-03-12";
src = fetchFromGitHub {
owner = "Shougo";
repo = "deoplete.nvim";
- rev = "00a179968eb5f53408dafc22567c1e2933c01079";
- sha256 = "0xa3gvfh7yd932fk641h3jp2mq52pcpi5yvgcdybyx7znfdd84sr";
+ rev = "43d7457059d65335ee0ceaa5505befbdd78ad705";
+ sha256 = "1x41fdynyb68r6lw62244avic5br6bw28bgz7n2rfdlz9ayimbz1";
};
meta.homepage = "https://github.com/Shougo/deoplete.nvim/";
};
@@ -3068,38 +3236,50 @@ final: prev:
meta.homepage = "https://github.com/doki-theme/doki-theme-vim/";
};
+ doom-one-nvim = buildVimPlugin {
+ pname = "doom-one.nvim";
+ version = "2024-03-07";
+ src = fetchFromGitHub {
+ owner = "NTBBloodbath";
+ repo = "doom-one.nvim";
+ rev = "76595eec15896a5da7f4e39ceeded6ffb0f4dc38";
+ sha256 = "0ir882521yc9ir9n8kqpra9nyc232f4gnsywvzjkbm98qdrpjvy2";
+ };
+ meta.homepage = "https://github.com/NTBBloodbath/doom-one.nvim/";
+ };
+
dracula-nvim = buildVimPlugin {
pname = "dracula.nvim";
- version = "2024-01-23";
+ version = "2024-02-25";
src = fetchFromGitHub {
owner = "Mofiqul";
repo = "dracula.nvim";
- rev = "a6cb758d4b182d9f2b7e742910078d94877c1059";
- sha256 = "1v6hwh225lh7jayq7y18d8lp8n8dk7scy21f0s5jyppy1rn7yrf8";
+ rev = "8d8bddb8814c3e7e62d80dda65a9876f97eb699c";
+ sha256 = "0jj60j09k1pdkjqdfj7akxrfwpaccvc2z2r3ksj3zm1gjrnfsyi3";
};
meta.homepage = "https://github.com/Mofiqul/dracula.nvim/";
};
dressing-nvim = buildVimPlugin {
pname = "dressing.nvim";
- version = "2024-01-21";
+ version = "2024-03-13";
src = fetchFromGitHub {
owner = "stevearc";
repo = "dressing.nvim";
- rev = "42d767b04c50a6966c9633e3968bc65c0c2f2bfc";
- sha256 = "0zd2wswd1x83qgs9d6bsh7k5nmdniqd4ba0x4w3qdndp27nafcz9";
+ rev = "18e5beb3845f085b6a33c24112b37988f3f93c06";
+ sha256 = "0pvkm9s0lg0vlk7qbn1sjf6sis3i3xba1824xml631bg6hahw37l";
};
meta.homepage = "https://github.com/stevearc/dressing.nvim/";
};
dropbar-nvim = buildVimPlugin {
pname = "dropbar.nvim";
- version = "2024-01-25";
+ version = "2024-03-08";
src = fetchFromGitHub {
owner = "Bekaboo";
repo = "dropbar.nvim";
- rev = "9a8e498374276dd0281736c75b42098ef9fb5622";
- sha256 = "0py5lipmxkd6brzz41sali1bbl61bmaan9visdvvh1jrk7zzzyz6";
+ rev = "f1034cfe852cf62a0ebb12ae583f1477ea07e060";
+ sha256 = "1f9fd6m31xjkf6rhi05p0cxdx7xp3lpfg13yasaaabasxqwz92sz";
};
meta.homepage = "https://github.com/Bekaboo/dropbar.nvim/";
};
@@ -3118,12 +3298,12 @@ final: prev:
edge = buildVimPlugin {
pname = "edge";
- version = "2024-01-23";
+ version = "2024-03-02";
src = fetchFromGitHub {
owner = "sainnhe";
repo = "edge";
- rev = "7506891a93dd0954d59b556ae5bb4c85403150ed";
- sha256 = "1228zzwx0vghmhf2fma6fdj8pcw8kslw6kw14kwv5b1sfxg7rap3";
+ rev = "86af25173e7e0d43c70f6621305c2b816635c4bf";
+ sha256 = "1xdkz51z7cxy55j7s0hvv3jyk61nwn4d21lf3y2yf5z87wnzl604";
};
meta.homepage = "https://github.com/sainnhe/edge/";
};
@@ -3179,24 +3359,24 @@ final: prev:
efmls-configs-nvim = buildVimPlugin {
pname = "efmls-configs-nvim";
- version = "2024-01-10";
+ version = "2024-02-11";
src = fetchFromGitHub {
owner = "creativenull";
repo = "efmls-configs-nvim";
- rev = "5372981bc8785facefb51cd50cb0888d1129a291";
- sha256 = "08d67vm14jqkvrds247wy81mhfvfki5vl3fg7lp8s6aafs1y576d";
+ rev = "a61c52d325835e24dc14ffb7748a32b8f087ae32";
+ sha256 = "0y145z35y5x1frnaw1s9nlap1sjm3gk0fzcgwp0xskmfhcm8b55r";
};
meta.homepage = "https://github.com/creativenull/efmls-configs-nvim/";
};
elixir-tools-nvim = buildVimPlugin {
pname = "elixir-tools.nvim";
- version = "2023-12-30";
+ version = "2024-03-11";
src = fetchFromGitHub {
owner = "elixir-tools";
repo = "elixir-tools.nvim";
- rev = "163522196c962fa87cac0df2a0d1ad332e1e0755";
- sha256 = "02ivwxv9xfpgg1p7nsnmvfkmvgqmy636pl2w1lp4mnhqx2m661z9";
+ rev = "4d003f4b41ab9b4f8b569104fa7818f048ed4e25";
+ sha256 = "08qz9azq1m4rfw8s2sd6hhrds5zx0vv2azyjnwyk05q2v95xwl55";
};
meta.homepage = "https://github.com/elixir-tools/elixir-tools.nvim/";
};
@@ -3262,26 +3442,38 @@ final: prev:
meta.homepage = "https://github.com/vim-scripts/errormarker.vim/";
};
+ eva01-vim = buildVimPlugin {
+ pname = "eva01.vim";
+ version = "2024-01-10";
+ src = fetchFromGitHub {
+ owner = "hachy";
+ repo = "eva01.vim";
+ rev = "8ab19cfc230806a5ce0ed8f3f75c990c78a949bd";
+ sha256 = "0bh2y5afi875b1p3h6lgz4jiszajv61fi14qns6n86n8zamqc3fl";
+ };
+ meta.homepage = "https://github.com/hachy/eva01.vim/";
+ };
+
everforest = buildVimPlugin {
pname = "everforest";
- version = "2024-01-24";
+ version = "2024-03-14";
src = fetchFromGitHub {
owner = "sainnhe";
repo = "everforest";
- rev = "89080db9637bb297b4c75ae1511e9f6f61ad9c78";
- sha256 = "0xfq7x8cdp45qn1yrq88mxhvcqfri67laq7yk077g0m274phpjdr";
+ rev = "4c7fef2eea3ad22958927d6d1b261b4f2c2c384e";
+ sha256 = "0dbs7y4xdlgaqzbrn0ang9yshma3l3i4wd0ffmcczh4sxbsis5b6";
};
meta.homepage = "https://github.com/sainnhe/everforest/";
};
executor-nvim = buildVimPlugin {
pname = "executor.nvim";
- version = "2023-11-25";
+ version = "2024-03-12";
src = fetchFromGitHub {
owner = "google";
repo = "executor.nvim";
- rev = "2af6072829562922e047ed644fc83749c4b10d92";
- sha256 = "0v2bk4nyrkc7qkrh6sb16dif382b26gjix83sfnyk973g07v43in";
+ rev = "f6c3109f714d89641fb939b42044b2136f8520b7";
+ sha256 = "17j4x4wx50mhhafmp1c5rn644vsnx2473g9i32gg9hmzf27phcdn";
};
meta.homepage = "https://github.com/google/executor.nvim/";
};
@@ -3372,12 +3564,12 @@ final: prev:
fern-vim = buildVimPlugin {
pname = "fern.vim";
- version = "2023-12-04";
+ version = "2024-03-16";
src = fetchFromGitHub {
owner = "lambdalisue";
repo = "fern.vim";
- rev = "56f8e4c79da01eecb0f7f3803c051cd23c803b35";
- sha256 = "13bfp6qgvxx4xbngnpssxv8z1w2f63jalhj69haazaca8yhr4599";
+ rev = "00faa2cd9a0efad9d23f362141f73c786de3389b";
+ sha256 = "0g3akjn2sz9hs9sq138d9yj30g3lynbca79yhk9vfxbs9s1cgzdl";
};
meta.homepage = "https://github.com/lambdalisue/fern.vim/";
};
@@ -3396,12 +3588,12 @@ final: prev:
fidget-nvim = buildNeovimPlugin {
pname = "fidget.nvim";
- version = "2024-01-08";
+ version = "2024-02-19";
src = fetchFromGitHub {
owner = "j-hui";
repo = "fidget.nvim";
- rev = "3a93300c076109d86c7ce35ec67a8034ae6ba9db";
- sha256 = "1ld4611wpgcy5lmrw16clh2hrbx42kfa9pm0a44cb6nfcx2gy1dq";
+ rev = "60404ba67044c6ab01894dd5bf77bd64ea5e09aa";
+ sha256 = "16wf6jk18r5grg0l0pqmq45nkchj5jdbdqil5v1jrvwpf7d37yki";
};
meta.homepage = "https://github.com/j-hui/fidget.nvim/";
};
@@ -3432,12 +3624,12 @@ final: prev:
firenvim = buildVimPlugin {
pname = "firenvim";
- version = "2024-01-23";
+ version = "2024-03-05";
src = fetchFromGitHub {
owner = "glacambre";
repo = "firenvim";
- rev = "f2dd6d3bcf3309a7dd30c79b3b3c03ab55cea6e2";
- sha256 = "0d1c3km53v1g8c2aa8fqrs0yhrq80mw1wcfglxkyppg81q91qfs6";
+ rev = "3363c140dca2ef0b89e2be0317917f077d752cd7";
+ sha256 = "1c9k848y320fkn4mbsxb3x7i5dfwms1waq12yig1fn9mspw83vqy";
};
meta.homepage = "https://github.com/glacambre/firenvim/";
};
@@ -3469,24 +3661,36 @@ final: prev:
flatten-nvim = buildVimPlugin {
pname = "flatten.nvim";
- version = "2024-01-22";
+ version = "2024-01-26";
src = fetchFromGitHub {
owner = "willothy";
repo = "flatten.nvim";
- rev = "a999f1abd8fa9f8e2447a65726f9f44288c26f3f";
- sha256 = "1c3r5sqqkdbgb8y1gm0pqdjqyk5gp2x7p9905b5ic6kw451vs4vg";
+ rev = "92c59ae6200e6b04aff167577ebb8a9035a6d2b3";
+ sha256 = "18sq9bh5l60nfxhc1glgn6nc35089hvp9vgkqp5s5ridnibf77z4";
};
meta.homepage = "https://github.com/willothy/flatten.nvim/";
};
+ fleet-theme-nvim = buildVimPlugin {
+ pname = "fleet-theme-nvim";
+ version = "2024-01-08";
+ src = fetchFromGitHub {
+ owner = "felipeagc";
+ repo = "fleet-theme-nvim";
+ rev = "df10a0e0021d3267eb7c7104107988e4fb977b32";
+ sha256 = "0205qig2va639saih817wkan4pmksakdxc3a8k5rr36gwsgyf4gd";
+ };
+ meta.homepage = "https://github.com/felipeagc/fleet-theme-nvim/";
+ };
+
flit-nvim = buildVimPlugin {
pname = "flit.nvim";
- version = "2024-01-13";
+ version = "2024-02-22";
src = fetchFromGitHub {
owner = "ggandor";
repo = "flit.nvim";
- rev = "39e3399ed2cbc328778258ac0d497ece9ed8fe32";
- sha256 = "0pmaymd1n8k829h2pb392xbnm9qgbsxxnzgjzv84ylmrvr6r83sq";
+ rev = "94419242ba07170b0009514d745e617b120964f4";
+ sha256 = "17zzabbn5f7sk0sq0j4df15jmy3q30j851gxzwf2ahrwbzh2v36z";
};
meta.homepage = "https://github.com/ggandor/flit.nvim/";
};
@@ -3541,12 +3745,12 @@ final: prev:
flutter-tools-nvim = buildVimPlugin {
pname = "flutter-tools.nvim";
- version = "2024-01-22";
+ version = "2024-02-19";
src = fetchFromGitHub {
owner = "akinsho";
repo = "flutter-tools.nvim";
- rev = "271eec9edb0f1a2bf30ad449ec3b4eeb2c88af05";
- sha256 = "0kk6iz1ziymgscgv7z22m8mbkinnmj7qlnnmh0sikh14kwa21xw3";
+ rev = "01d72d9c1bdf2d454a60c5ba450f83e5ea783f6a";
+ sha256 = "13xw7vh9ad6ipldxk7q48fd8gwfr88i1n0j3ny18mz3cwg1mldzk";
};
meta.homepage = "https://github.com/akinsho/flutter-tools.nvim/";
};
@@ -3589,12 +3793,12 @@ final: prev:
friendly-snippets = buildVimPlugin {
pname = "friendly-snippets";
- version = "2024-01-24";
+ version = "2024-02-25";
src = fetchFromGitHub {
owner = "rafamadriz";
repo = "friendly-snippets";
- rev = "aced40b66b7bae9bc2c37fd7b11841d54727a7b0";
- sha256 = "11lmhx1vnaphljk91aslkzmp71swash5xiqi0jydmdhly753m5g9";
+ rev = "dcd4a586439a1c81357d5b9d26319ae218cc9479";
+ sha256 = "10326d83hghpfzjkbjy9zy9f07p2wvhl4ss92zfx2mbfj44xg3qi";
};
meta.homepage = "https://github.com/rafamadriz/friendly-snippets/";
};
@@ -3697,24 +3901,24 @@ final: prev:
fzf-lua = buildVimPlugin {
pname = "fzf-lua";
- version = "2024-01-20";
+ version = "2024-03-15";
src = fetchFromGitHub {
owner = "ibhagwan";
repo = "fzf-lua";
- rev = "36195a230246fc44e8144ee0b454fc022ac5326b";
- sha256 = "0v86khin5ac4x3w8sw9ffmg9nz7gqclycaxi5dpsm3r13imgkiym";
+ rev = "a1d6608b6ba5309f9abda776398c97fe8ed26c11";
+ sha256 = "1njnbjyi8n4sgs3zpl1hcdi237crp9x5h52fxwnv3j8nxnbai5kj";
};
meta.homepage = "https://github.com/ibhagwan/fzf-lua/";
};
fzf-vim = buildVimPlugin {
pname = "fzf.vim";
- version = "2024-01-08";
+ version = "2024-03-11";
src = fetchFromGitHub {
owner = "junegunn";
repo = "fzf.vim";
- rev = "f6cb5b17897ff0c38f60fecd4b529678bcfec259";
- sha256 = "177ca7w28s854zkjqza0b6mnrhdzpxkscbpxq24yg4aw8r4kjyhs";
+ rev = "e69f2dcdad44e7eafe764969ed7281d7290db18f";
+ sha256 = "0c9p6qyq4wfvvkmgn77nkppwfqnr1si2xzcwlgmmp1vvfjdmam7m";
};
meta.homepage = "https://github.com/junegunn/fzf.vim/";
};
@@ -3793,12 +3997,12 @@ final: prev:
git-blame-nvim = buildVimPlugin {
pname = "git-blame.nvim";
- version = "2024-01-23";
+ version = "2024-02-29";
src = fetchFromGitHub {
owner = "f-person";
repo = "git-blame.nvim";
- rev = "196602b570b1d754b7b8f9a9f75fa7bd88f12ef8";
- sha256 = "15cq84f1y2z690i25hyz32a6bf9hvd8359dscs4d8wyr4gs4xb37";
+ rev = "a0282d05adbee80aaf4e2ff35b81b52940b67bed";
+ sha256 = "0r678sln1ixgwby2kl6z344iq3dq2xpkyilrq2zpdrq4gfjhmanx";
};
meta.homepage = "https://github.com/f-person/git-blame.nvim/";
};
@@ -3865,12 +4069,12 @@ final: prev:
gitsigns-nvim = buildNeovimPlugin {
pname = "gitsigns.nvim";
- version = "2024-01-23";
+ version = "2024-03-13";
src = fetchFromGitHub {
owner = "lewis6991";
repo = "gitsigns.nvim";
- rev = "300a306da9973e81c2c06460f71fd7a079df1f36";
- sha256 = "1vy1p2cgcmfwzgfd011pnvib2vp1zgwv2kp59xlb0v3jxl8rnsvj";
+ rev = "4e348641b8206c3b8d23080999e3ddbe4ca90efc";
+ sha256 = "0apzslpij9sq7h0rpilvgrn5naqiwrz69x5g5n7m82pj9pz0d2m5";
};
meta.homepage = "https://github.com/lewis6991/gitsigns.nvim/";
};
@@ -3901,12 +4105,12 @@ final: prev:
gleam-vim = buildVimPlugin {
pname = "gleam.vim";
- version = "2020-06-24";
+ version = "2024-02-24";
src = fetchFromGitHub {
owner = "gleam-lang";
repo = "gleam.vim";
- rev = "847a5ef57c2faef2774242c87f711d1131b89fe6";
- sha256 = "17kjby64zdnmhyia1cx9jnk4mss0gca1jz1m4hff9rl63i56bql1";
+ rev = "d2f6d0b0399ab6d76b4a17b77ffec590fb2ec1c2";
+ sha256 = "1pimv8cj4a1avxhnv687a9dlf0lvpny9q588lk8xr2dx1fxkcm2a";
};
meta.homepage = "https://github.com/gleam-lang/gleam.vim/";
};
@@ -3925,12 +4129,12 @@ final: prev:
go-nvim = buildVimPlugin {
pname = "go.nvim";
- version = "2023-12-27";
+ version = "2024-03-14";
src = fetchFromGitHub {
owner = "ray-x";
repo = "go.nvim";
- rev = "24d2fa373d55d9900cd4b502a88214dc17e6fab6";
- sha256 = "0fvfqfvbnn6a7056yrmqh4fy8vzx4sg8k9n61l9gbv2zqlb13575";
+ rev = "9ac3e6faa32d01479973f4ca368d00b7ae328646";
+ sha256 = "0rllids06cgfb6hwgacqss7mnmvbrna2h0qwic0mslhg1m8wkq96";
};
meta.homepage = "https://github.com/ray-x/go.nvim/";
};
@@ -3973,12 +4177,12 @@ final: prev:
goto-preview = buildVimPlugin {
pname = "goto-preview";
- version = "2023-11-21";
+ version = "2024-02-17";
src = fetchFromGitHub {
owner = "rmagatti";
repo = "goto-preview";
- rev = "16ec236fabb40b2cebfe283b1d701338886462db";
- sha256 = "006r0dl3nj0d642lniss3gbclix32bypykh7c8ml7qfh07mjahs7";
+ rev = "527fd81a827234e26ca47891abe90497215db2a6";
+ sha256 = "123gbz6313b0qz2ydzv1gi4nlv9a1p0lg2ywp0p365lx3684nqfg";
};
meta.homepage = "https://github.com/rmagatti/goto-preview/";
};
@@ -4019,6 +4223,18 @@ final: prev:
meta.homepage = "https://github.com/liuchengxu/graphviz.vim/";
};
+ gruber-darker-nvim = buildVimPlugin {
+ pname = "gruber-darker.nvim";
+ version = "2024-01-08";
+ src = fetchFromGitHub {
+ owner = "blazkowolf";
+ repo = "gruber-darker.nvim";
+ rev = "a2dda61d9c1225e16951a51d6b89795b0ac35cd6";
+ sha256 = "1sxnprl27svdf4wp38abbywjbipr15mzmx53hg5w0jz1vj0kdjvl";
+ };
+ meta.homepage = "https://github.com/blazkowolf/gruber-darker.nvim/";
+ };
+
gruvbox = buildVimPlugin {
pname = "gruvbox";
version = "2023-08-14";
@@ -4045,24 +4261,24 @@ final: prev:
gruvbox-material = buildVimPlugin {
pname = "gruvbox-material";
- version = "2024-01-24";
+ version = "2024-02-10";
src = fetchFromGitHub {
owner = "sainnhe";
repo = "gruvbox-material";
- rev = "479150eb46ddf7a724782730b1414a9b6bbe4628";
- sha256 = "1dj2cm7an9a0n6pj9j6vin242pfv90qd4cad8d9zn93i6axaafwj";
+ rev = "b17fe51688b76e2ccf118e5f76f3978b9a8c503e";
+ sha256 = "00yd2gwv71rbnkyq1ldg1jgwp6np994yr3sfvykjxjc98p1lsmfn";
};
meta.homepage = "https://github.com/sainnhe/gruvbox-material/";
};
gruvbox-nvim = buildVimPlugin {
pname = "gruvbox.nvim";
- version = "2024-01-01";
+ version = "2024-01-29";
src = fetchFromGitHub {
owner = "ellisonleao";
repo = "gruvbox.nvim";
- rev = "4176b0b720db0c90ab4030e5c1b4893faf41fd51";
- sha256 = "1s7c02ypjx6jf4fznmgdn8zs41y9jcv5nqj6hfwvza7mwvkbmz57";
+ rev = "6e4027ae957cddf7b193adfaec4a8f9e03b4555f";
+ sha256 = "1kk38xpwqlzvm3rgdii25nyxd8bcsgjjzin3a7sb0kyg5x3ynscd";
};
meta.homepage = "https://github.com/ellisonleao/gruvbox.nvim/";
};
@@ -4081,12 +4297,12 @@ final: prev:
guard-nvim = buildVimPlugin {
pname = "guard.nvim";
- version = "2024-01-13";
+ version = "2024-02-10";
src = fetchFromGitHub {
owner = "nvimdev";
repo = "guard.nvim";
- rev = "d4782860b7da344d7409edbe7ee3693d5b8ea226";
- sha256 = "017lsdh1a3z43dw5liz0hv2fic8rbxmw100rfnj9w3xxf6a5iv9k";
+ rev = "4ffdefe0f181ea7e449f60fe94ccc967220181c5";
+ sha256 = "0apv9jii9lw1rk84qycxgyfddcri9y5i3zkf2mpd1jhl1czw7iyb";
};
meta.homepage = "https://github.com/nvimdev/guard.nvim/";
};
@@ -4127,14 +4343,26 @@ final: prev:
meta.homepage = "https://github.com/junegunn/gv.vim/";
};
+ hardhat-nvim = buildVimPlugin {
+ pname = "hardhat.nvim";
+ version = "2024-03-14";
+ src = fetchFromGitHub {
+ owner = "TheSnakeWitcher";
+ repo = "hardhat.nvim";
+ rev = "fd61b2623f72751d661d9e2a22beeac2d561dd1d";
+ sha256 = "0kkzcqwzi5lig6kv9zp4sdncnx1qnwlkvw0lnsckq4xnp2x1dd92";
+ };
+ meta.homepage = "https://github.com/TheSnakeWitcher/hardhat.nvim/";
+ };
+
hardtime-nvim = buildVimPlugin {
pname = "hardtime.nvim";
- version = "2023-12-30";
+ version = "2024-02-03";
src = fetchFromGitHub {
owner = "m4xshen";
repo = "hardtime.nvim";
- rev = "4ba3be553fa0b713c7b817f6d201b07d282accf3";
- sha256 = "12z1ii4p1m6qan048f3y7g48dcnp1dj1mfa494as5rbc322r4yfn";
+ rev = "860e912895176112868c97b46277f547e149f5e6";
+ sha256 = "11pj5lx5k5db66jkm7avkh2nmdqym09ipxa8ylq98d0cqzk8pd8z";
};
meta.homepage = "https://github.com/m4xshen/hardtime.nvim/";
};
@@ -4176,24 +4404,24 @@ final: prev:
haskell-snippets-nvim = buildVimPlugin {
pname = "haskell-snippets.nvim";
- version = "2024-01-15";
+ version = "2024-03-11";
src = fetchFromGitHub {
owner = "mrcjkb";
repo = "haskell-snippets.nvim";
- rev = "c26279d568452f5474f26470aff6549f94ff7cd3";
- sha256 = "1rl9g25afaqiw16i582hbnnag0v6xcn28f09cy8vfddj696ygzg6";
+ rev = "66a7525706b03a730accab3f706d3f0f8078569d";
+ sha256 = "0nh1f1ajd25lrw3y7pp013586gx6vklqfqai8z6lgk7dfzm9cpcj";
};
meta.homepage = "https://github.com/mrcjkb/haskell-snippets.nvim/";
};
haskell-tools-nvim = buildNeovimPlugin {
pname = "haskell-tools.nvim";
- version = "2024-01-21";
+ version = "2024-03-11";
src = fetchFromGitHub {
owner = "MrcJkb";
repo = "haskell-tools.nvim";
- rev = "24f1ff1ac9f6ad71ba2535d491e6d21e700a6f8b";
- sha256 = "0h7nijxgmwh75nmsim9bzx5qc0sawqj3v1iwzjkkqas05rmnjbjp";
+ rev = "d8b57f073d844380a7f18c65227e5ce7cb6bc325";
+ sha256 = "02w9982qimq4xi79l29n3jky9pgqrfisfff4fxv485gz5hnl65hj";
};
meta.homepage = "https://github.com/MrcJkb/haskell-tools.nvim/";
};
@@ -4236,36 +4464,36 @@ final: prev:
headlines-nvim = buildVimPlugin {
pname = "headlines.nvim";
- version = "2023-11-13";
+ version = "2024-02-29";
src = fetchFromGitHub {
owner = "lukas-reineke";
repo = "headlines.nvim";
- rev = "e3d7bfdf40e41a020d966d35f8b48d75b90367d2";
- sha256 = "1acxyy5317qf4ry0z32xkk3aasp233nss0nyd8dzfkf631klvzi2";
+ rev = "618ef1b2502c565c82254ef7d5b04402194d9ce3";
+ sha256 = "1fq7f4i8pskj592lr0620j5chgczhlag6zph49zpm9zziqyak5ak";
};
meta.homepage = "https://github.com/lukas-reineke/headlines.nvim/";
};
heirline-nvim = buildVimPlugin {
pname = "heirline.nvim";
- version = "2024-01-12";
+ version = "2024-02-14";
src = fetchFromGitHub {
owner = "rebelot";
repo = "heirline.nvim";
- rev = "1b6f12e011f225a26aa162905cbf68804479e7e6";
- sha256 = "0kqrriz5c0l5ljmz7amdhg3zrnkx0lpfjdxdagpcp9zyarvgdc6q";
+ rev = "03cff30d7e7d3ba6fdc00925f015822f79cef908";
+ sha256 = "1bw4077h3cv01wnz0gv5qkdyxi8naszkgl11zi9mqb54asl69c41";
};
meta.homepage = "https://github.com/rebelot/heirline.nvim/";
};
hex-nvim = buildVimPlugin {
pname = "hex.nvim";
- version = "2023-09-09";
+ version = "2024-03-03";
src = fetchFromGitHub {
owner = "RaafatTurki";
repo = "hex.nvim";
- rev = "dc51e5d67fc994380b7c7a518b6b625cde4b3062";
- sha256 = "13j27zc18chlgv9w7ml7j3lxbl7lkcqvvwys05hw0dbhik13bcyh";
+ rev = "cbffd2ce4b8be089360e3c95d5909cd511d8840c";
+ sha256 = "0dvhgdab3f2w7irh164qglj44h4hqba15yq223ckhka7l9r8nggq";
};
meta.homepage = "https://github.com/RaafatTurki/hex.nvim/";
};
@@ -4319,12 +4547,12 @@ final: prev:
hmts-nvim = buildVimPlugin {
pname = "hmts.nvim";
- version = "2023-08-28";
+ version = "2024-03-14";
src = fetchFromGitHub {
owner = "calops";
repo = "hmts.nvim";
- rev = "14fd941d7ec2bb98314a1aacaa2573d97f1629ab";
- sha256 = "09f403w6gglfycghjzx4dc5gv71wqb6ywnmcvm15n1ldxasb6jwd";
+ rev = "473d74cf54da8f51aaa9993ec3e6bfae278eb47b";
+ sha256 = "14a6k53b002zvz336s6q5yznvyjfdn9y2qdnickhz2cwkrgr1hvf";
};
meta.homepage = "https://github.com/calops/hmts.nvim/";
};
@@ -4367,24 +4595,24 @@ final: prev:
hotpot-nvim = buildVimPlugin {
pname = "hotpot.nvim";
- version = "2024-01-25";
+ version = "2024-02-21";
src = fetchFromGitHub {
owner = "rktjmp";
repo = "hotpot.nvim";
- rev = "4deb08235bfccfbba8b0c031b1cfc8189883cdb4";
- sha256 = "0p3q671s1wca9qnyssbigafh7ylbf6yg2rxn1s9gxgmksvmj0d1a";
+ rev = "b18d3d82e8545d9f765870c1d8f0da041bd61097";
+ sha256 = "1jb2wbkrx4cdncwz991lxhgvfsqkx6zq004ig7jpw8hbkxd6db3z";
};
meta.homepage = "https://github.com/rktjmp/hotpot.nvim/";
};
hover-nvim = buildVimPlugin {
pname = "hover.nvim";
- version = "2024-01-24";
+ version = "2024-03-07";
src = fetchFromGitHub {
owner = "lewis6991";
repo = "hover.nvim";
- rev = "060ea7f5a7b28be87689e2b3d940baf6efc2da04";
- sha256 = "1ql2c34h294mmlwl44q3gd3a1v60iplvx9l9lyhzp8k5ddc8c4i0";
+ rev = "1084aa544477cf878d466cacaec0d8d4692c7360";
+ sha256 = "0f80nhm6lfa6nph6a4w7748ggvmwg2wh29nwv1sniakjvnk0vr6b";
};
meta.homepage = "https://github.com/lewis6991/hover.nvim/";
};
@@ -4463,12 +4691,12 @@ final: prev:
image-nvim = buildNeovimPlugin {
pname = "image.nvim";
- version = "2024-01-08";
+ version = "2024-02-27";
src = fetchFromGitHub {
owner = "3rd";
repo = "image.nvim";
- rev = "245422e5c4774f0640d41c0eadec77396f2be4a9";
- sha256 = "0mx0sgibinr0vv4fafzm2d5kvqxlyi502imqldz69kxn7xqamfi1";
+ rev = "0dd8bdbb8855bc98c534a902c91dc9eddb8155b1";
+ sha256 = "0gcnssnqfzk9d0gjw3mvviv3n1f54bqnqirn78gsv0268pibb82x";
};
meta.homepage = "https://github.com/3rd/image.nvim/";
};
@@ -4535,12 +4763,12 @@ final: prev:
indent-blankline-nvim = buildVimPlugin {
pname = "indent-blankline.nvim";
- version = "2024-01-12";
+ version = "2024-03-14";
src = fetchFromGitHub {
owner = "lukas-reineke";
repo = "indent-blankline.nvim";
- rev = "12e92044d313c54c438bd786d11684c88f6f78cd";
- sha256 = "0mrlq0ymxg80nr9ph4gk4ldf6xmd4pxarpybb779xy7x417mnjsg";
+ rev = "3d08501caef2329aba5121b753e903904088f7e6";
+ sha256 = "09p7y9d08sb1fqpy84yga39cf9misir6wx3rby67v2pl3i01k7sy";
};
meta.homepage = "https://github.com/lukas-reineke/indent-blankline.nvim/";
};
@@ -4595,12 +4823,12 @@ final: prev:
intellitab-nvim = buildVimPlugin {
pname = "intellitab.nvim";
- version = "2021-11-13";
+ version = "2024-02-05";
src = fetchFromGitHub {
owner = "pta2002";
repo = "intellitab.nvim";
- rev = "a6c1a505865f6131866d609c52440306e9914b16";
- sha256 = "19my464jsji7cb81h0agflzb0vmmb3f5kapv0wwhpdddcfzvp4fg";
+ rev = "31f914282e342c886243edb22dcfc82ffe6b7528";
+ sha256 = "1gq1mvhwdmjmsc4dypigy7qaj1yyi4zn7faws8l8kvqm6k3ff057";
};
meta.homepage = "https://github.com/pta2002/intellitab.nvim/";
};
@@ -4619,12 +4847,12 @@ final: prev:
investigate-vim = buildVimPlugin {
pname = "investigate.vim";
- version = "2024-01-04";
+ version = "2024-02-26";
src = fetchFromGitHub {
owner = "keith";
repo = "investigate.vim";
- rev = "f835b2c9f3d4770576c760830a08f957d5e94717";
- sha256 = "1x5m74azdrvpsllbg7nkaqbib4ncqn3lja38lqfanydv1jfjylwg";
+ rev = "8bdeab0898681e98f3cadd4234e5e902b35f315a";
+ sha256 = "1qlip4xxpnqkqk50f33zws5xn0d33iryxihaq5yq46i2xyzjcnk7";
};
meta.homepage = "https://github.com/keith/investigate.vim/";
};
@@ -4776,12 +5004,12 @@ final: prev:
kanagawa-nvim = buildVimPlugin {
pname = "kanagawa.nvim";
- version = "2023-10-02";
+ version = "2024-02-28";
src = fetchFromGitHub {
owner = "rebelot";
repo = "kanagawa.nvim";
- rev = "c19b9023842697ec92caf72cd3599f7dd7be4456";
- sha256 = "07wwz1z3am862igx6hkkyymvj2807a1a0y51324jvk27csidrcm5";
+ rev = "bfa818c7bf6259152f1d89cf9fbfba3554c93695";
+ sha256 = "0kjrk5j2jy19q9q684spc0xfgjs8xavjr9zd98idz13yz21yfakr";
};
meta.homepage = "https://github.com/rebelot/kanagawa.nvim/";
};
@@ -4812,12 +5040,12 @@ final: prev:
knap = buildVimPlugin {
pname = "knap";
- version = "2023-07-25";
+ version = "2024-02-25";
src = fetchFromGitHub {
owner = "frabjous";
repo = "knap";
- rev = "503010f541696e99ed5c62f658620e546cebf8b0";
- sha256 = "1aqfy1c4h95p94npdvyd7dhkr19f4qbnmr05sg1wbvqd9lfkslym";
+ rev = "d4ea571d2d4e139d288b758c5bab31788d30eea3";
+ sha256 = "1yhbmbl4va312xayj1ah5gz3wss4h89mn8qigm9iadxrq339ppnw";
};
meta.homepage = "https://github.com/frabjous/knap/";
};
@@ -4884,48 +5112,48 @@ final: prev:
lazy-lsp-nvim = buildVimPlugin {
pname = "lazy-lsp.nvim";
- version = "2024-01-02";
+ version = "2024-03-13";
src = fetchFromGitHub {
owner = "dundalek";
repo = "lazy-lsp.nvim";
- rev = "fdfc7276bbbb884913d04e09bdf7d88e131b603f";
- sha256 = "11mzy5292iiikpxa35gs6dip408xma84cjkpis6dqzdih0rkmwxv";
+ rev = "d60bc498c9d1d890e8aa4257c784f5103a2d1f13";
+ sha256 = "1k484kfwznq93fk7sqin8767knjfv4anb7vig7ihambvdcd1l74b";
};
meta.homepage = "https://github.com/dundalek/lazy-lsp.nvim/";
};
lazy-nvim = buildVimPlugin {
pname = "lazy.nvim";
- version = "2024-01-23";
+ version = "2024-03-07";
src = fetchFromGitHub {
owner = "folke";
repo = "lazy.nvim";
- rev = "aedcd79811d491b60d0a6577a9c1701063c2a609";
- sha256 = "1lsxb684pdsn625krshxr65lyqb5aa07ryqb5yif8p19766g01pj";
+ rev = "83493db50a434a4c5c648faf41e2ead80f96e478";
+ sha256 = "0p9ssd6ja90a90vckhpr4xbf0sfa62yrmmc30jbxln9wxqaylcaw";
};
meta.homepage = "https://github.com/folke/lazy.nvim/";
};
lazygit-nvim = buildVimPlugin {
pname = "lazygit.nvim";
- version = "2023-12-15";
+ version = "2024-03-01";
src = fetchFromGitHub {
owner = "kdheepak";
repo = "lazygit.nvim";
- rev = "1e08e3f5ac1152339690140e61a4a32b3bdc7de5";
- sha256 = "1rs3sva578j28hy6881w2wjxixl7g7rirard0fljxz460wfnr0vx";
+ rev = "774dcecbd0b9b57be6c150adacb60ced79b11b23";
+ sha256 = "1igxh03ryxa86h9qh4fgnxqfmys61fmagclm8yryr0bwdk78mjk7";
};
meta.homepage = "https://github.com/kdheepak/lazygit.nvim/";
};
lean-nvim = buildVimPlugin {
pname = "lean.nvim";
- version = "2024-01-20";
+ version = "2024-03-04";
src = fetchFromGitHub {
owner = "Julian";
repo = "lean.nvim";
- rev = "4dff4c9d40678d895c8fe8a19b7ad98a196d0921";
- sha256 = "1rl8bsnpi095njj23ihhihky0r5nagcffc5d3drhv7q74jj3bz0x";
+ rev = "2dc102db03e83afc473c80a7d962974841e13b54";
+ sha256 = "0nwb71f49838fzgpgq0y5q9n9yhg2k7ga4rd2dib2cd3msccb09g";
};
meta.homepage = "https://github.com/Julian/lean.nvim/";
};
@@ -4956,24 +5184,24 @@ final: prev:
leap-nvim = buildVimPlugin {
pname = "leap.nvim";
- version = "2024-01-20";
+ version = "2024-03-15";
src = fetchFromGitHub {
owner = "ggandor";
repo = "leap.nvim";
- rev = "82f4ae91e8c3a192292d9ffeccbb15f71b57bf2e";
- sha256 = "0lad6zzdws9gjd6lqj0339vc958i488jyiwp98yhmdjg29pfb4mq";
+ rev = "812604b7b100e555062fa41c82bfd9c6c776d856";
+ sha256 = "0llfdja9ppkmfak9hj7v5j0raijcqwbj9jlqkk312x65040wda87";
};
meta.homepage = "https://github.com/ggandor/leap.nvim/";
};
legendary-nvim = buildVimPlugin {
pname = "legendary.nvim";
- version = "2023-10-23";
+ version = "2024-03-15";
src = fetchFromGitHub {
owner = "mrjones2014";
repo = "legendary.nvim";
- rev = "234e2ef8dec65db153c63a8b310c74155bb93ee7";
- sha256 = "051m8h7600f88ljn6m4mp49xi3pgh2ak8h01bb7gqk1lkq47d7ah";
+ rev = "2f7192410e5a20981d5b778f3390896f016897f9";
+ sha256 = "148h3cbsnh1fs02liiqxzw4iy3wk1lln0k4m3w1vxz5v3h27yscn";
};
meta.homepage = "https://github.com/mrjones2014/legendary.nvim/";
};
@@ -5028,24 +5256,24 @@ final: prev:
lh-brackets = buildVimPlugin {
pname = "lh-brackets";
- version = "2023-05-16";
+ version = "2024-02-08";
src = fetchFromGitHub {
owner = "LucHermitte";
repo = "lh-brackets";
- rev = "b0fac72b5fc7592fe52458e45b77ff86919db014";
- sha256 = "1mlmi0xiaq2dyblv3qx82ka5ka1nghnw99jqkwy4r3dm4rs1b4a5";
+ rev = "4899ead266d99e0b9749b9e44f6a33ea83384a7d";
+ sha256 = "0bg8p4g0v3y7sikcwb1g2n3kr4rcafskg1if6gh1xnr1g0czbckw";
};
meta.homepage = "https://github.com/LucHermitte/lh-brackets/";
};
lh-vim-lib = buildVimPlugin {
pname = "lh-vim-lib";
- version = "2023-12-27";
+ version = "2024-02-28";
src = fetchFromGitHub {
owner = "LucHermitte";
repo = "lh-vim-lib";
- rev = "ec13cd3f042d35c87bddba6c727f5d98091ffe95";
- sha256 = "0c41cj9f2wc13sh3blby8mpmvqrq7qaz3kq1araxm2p1np4spql1";
+ rev = "612538b5dede34e1b4e1a152b6b50516ef658ae5";
+ sha256 = "05d2n4hq7xfsw88abbi3b60407rxaf04za85zainw2xr2gvw4zsa";
};
meta.homepage = "https://github.com/LucHermitte/lh-vim-lib/";
};
@@ -5148,12 +5376,12 @@ final: prev:
lir-nvim = buildVimPlugin {
pname = "lir.nvim";
- version = "2023-07-12";
+ version = "2024-03-15";
src = fetchFromGitHub {
owner = "tamago324";
repo = "lir.nvim";
- rev = "969e95bd07ec315b5efc53af69c881278c2b74fa";
- sha256 = "1rrmsib2frr4x00kl07v9acva8wa75fbpvpwq8yvdyjy1zgmir7q";
+ rev = "7a9d45de08fecd23a04aca1f96688d744830029e";
+ sha256 = "17pvzsk1w50bdl9ddrgylck7sam41vvz4x4pm5jkxc4bs7vvffd8";
};
meta.homepage = "https://github.com/tamago324/lir.nvim/";
};
@@ -5268,12 +5496,12 @@ final: prev:
lsp-overloads-nvim = buildVimPlugin {
pname = "lsp-overloads.nvim";
- version = "2024-01-07";
+ version = "2024-02-03";
src = fetchFromGitHub {
owner = "Issafalcon";
repo = "lsp-overloads.nvim";
- rev = "5064093b8614663c2b9db1f6ce426f7c8cc89970";
- sha256 = "1pfjb14gvnp88jx12snrcxz1kf1zmn8dqqay5mnhhcbi8y19f452";
+ rev = "6b023414d7a6264ce1880148f1a61cf10294546e";
+ sha256 = "1svxn4kvhr666hcjfm3qii03wl2djxch52ffn7a2fggv7116vjnn";
};
meta.homepage = "https://github.com/Issafalcon/lsp-overloads.nvim/";
};
@@ -5304,12 +5532,12 @@ final: prev:
lsp-zero-nvim = buildVimPlugin {
pname = "lsp-zero.nvim";
- version = "2024-01-22";
+ version = "2024-03-09";
src = fetchFromGitHub {
owner = "VonHeikemen";
repo = "lsp-zero.nvim";
- rev = "c129311f4867675a52551b0a7ef12186308be00b";
- sha256 = "0j1cc55y61bx7mxmxgkdrjx89jbgzfvkhi7kja215gqc144rrd0i";
+ rev = "14c9164413df4be17a5a0ca9e01a376691cbcaef";
+ sha256 = "0j14qznpwi80hildcd0gwmn2qyq3cq2y320g812c0g4lp6w30m83";
};
meta.homepage = "https://github.com/VonHeikemen/lsp-zero.nvim/";
};
@@ -5328,23 +5556,23 @@ final: prev:
lsp_lines-nvim = buildVimPlugin {
pname = "lsp_lines.nvim";
- version = "2023-10-29";
+ version = "2024-03-09";
src = fetchgit {
url = "https://git.sr.ht/~whynothugo/lsp_lines.nvim";
- rev = "cf2306dd332e34a3e91075b40bdd4f6db824b2ee";
- sha256 = "18g9fhwr2mrjcns36h6x1xgy8q84i80566474zxsd6z7ckd8qdfw";
+ rev = "6f3defec73f7c87939e800e9afa5d0571b19b401";
+ sha256 = "1bkvghdj53wak8c0s293vzl788z96cz2p5c61qh2bdzh6qpn55d2";
};
meta.homepage = "https://git.sr.ht/~whynothugo/lsp_lines.nvim";
};
lsp_signature-nvim = buildVimPlugin {
pname = "lsp_signature.nvim";
- version = "2023-11-28";
+ version = "2024-03-10";
src = fetchFromGitHub {
owner = "ray-x";
repo = "lsp_signature.nvim";
- rev = "fed2c8389c148ff1dfdcdca63c2b48d08a50dea0";
- sha256 = "18cwrdww4yxl597d95yixhwxlavmkl37nslpida9cincxrz16rz0";
+ rev = "1b32f64549478efd8f9e0d00517db84cf41aa0ea";
+ sha256 = "027fhgpxngagn5khswz4h7kxp9wvyfx2ql9vpxbvvvckwdhy6ql8";
};
meta.homepage = "https://github.com/ray-x/lsp_signature.nvim/";
};
@@ -5375,24 +5603,24 @@ final: prev:
lspsaga-nvim = buildVimPlugin {
pname = "lspsaga.nvim";
- version = "2024-01-20";
+ version = "2024-03-12";
src = fetchFromGitHub {
owner = "nvimdev";
repo = "lspsaga.nvim";
- rev = "2198c07124bef27ef81335be511c8abfd75db933";
- sha256 = "03p433lw15km3gqf5p5jlw8xi1v8ifxr3byc5f7h692bgnn2983h";
+ rev = "74b13f63417014739ac09576f7196bec301fa2ac";
+ sha256 = "0gmq17w6fn4zvkqi7q1ixdsk54w5aswl8w0s5rrs12qk852fymra";
};
meta.homepage = "https://github.com/nvimdev/lspsaga.nvim/";
};
ltex_extra-nvim = buildVimPlugin {
pname = "ltex_extra.nvim";
- version = "2023-07-28";
+ version = "2024-02-03";
src = fetchFromGitHub {
owner = "barreiroleo";
repo = "ltex_extra.nvim";
- rev = "9bed99b2b8488cc2daf66c76d2e0cf051ee80d13";
- sha256 = "1v4vxfysvisl9l91f519mbj4r7a43gzfyaj4cbgs3fc650h00f1g";
+ rev = "42dd3572cb09b52a137e91e515f2dff5eb631b1e";
+ sha256 = "1hirgy2w7lqn22qb20xkcxd7p1212wvra1xbyy43ky3an0bn6ivs";
};
meta.homepage = "https://github.com/barreiroleo/ltex_extra.nvim/";
};
@@ -5411,24 +5639,24 @@ final: prev:
lualine-nvim = buildVimPlugin {
pname = "lualine.nvim";
- version = "2024-01-25";
+ version = "2024-03-15";
src = fetchFromGitHub {
owner = "nvim-lualine";
repo = "lualine.nvim";
- rev = "7d131a8d3ba5016229e8a1d08bf8782acea98852";
- sha256 = "00ns525n4a3yqsnrsfbffj38nhh03s39g0dffjq6r71air2irhrc";
+ rev = "af4c3cf17206810880d2a93562e0a4c0d901c684";
+ sha256 = "0nxz4gw4lycajmi22mnrhpzrrcrszgmy9xi9a4n9k6ps716icq25";
};
meta.homepage = "https://github.com/nvim-lualine/lualine.nvim/";
};
luasnip = buildNeovimPlugin {
pname = "luasnip";
- version = "2024-01-17";
+ version = "2024-03-03";
src = fetchFromGitHub {
owner = "l3mon4d3";
repo = "luasnip";
- rev = "2dbef19461198630b3d7c39f414d09fb07d1fdd2";
- sha256 = "0mg2nn4r8124ki7vs659jla6494xgcq1k678xgdkzkyd0ja3vmhd";
+ rev = "a7a4b4682c4b3e2ba82b82a4e6e5f5a0e79dec32";
+ sha256 = "1v8ya2vgff4c4k8sfyy2wn9spwwirad56p0jb3k3kiz4j2vf4spv";
fetchSubmodules = true;
};
meta.homepage = "https://github.com/l3mon4d3/luasnip/";
@@ -5484,12 +5712,12 @@ final: prev:
mark-radar-nvim = buildVimPlugin {
pname = "mark-radar.nvim";
- version = "2021-06-22";
+ version = "2024-02-29";
src = fetchFromGitHub {
owner = "winston0410";
repo = "mark-radar.nvim";
- rev = "d7fb84a670795a5b36b18a5b59afd1d3865cbec7";
- sha256 = "1y3l2c7h8czhw0b5m25iyjdyy0p4nqk4a3bxv583m72hn4ac8rz9";
+ rev = "bf27e13ce18eb5f34d61d8ea7d91adccb24f2090";
+ sha256 = "0ik2kyzlkc930fkbmw9x0mallpffgc7ym4v5rricamn43q07vgm2";
};
meta.homepage = "https://github.com/winston0410/mark-radar.nvim/";
};
@@ -5532,36 +5760,36 @@ final: prev:
mason-lspconfig-nvim = buildVimPlugin {
pname = "mason-lspconfig.nvim";
- version = "2024-01-24";
+ version = "2024-03-16";
src = fetchFromGitHub {
owner = "williamboman";
repo = "mason-lspconfig.nvim";
- rev = "b9084b1f42f790d6230dc66dbcb6bcc35b148552";
- sha256 = "0r8idwgaa2w1ijxkgav2p3bbmka8mm3cihnwljgqc6k5cydbyc6j";
+ rev = "82c7cb08ddb836ad938b2708e50085f12a8825d2";
+ sha256 = "18x9a7dr4904aqnnz0wqkx7bx6xnd1wnhbx3adq8sr651vj6pb1y";
};
meta.homepage = "https://github.com/williamboman/mason-lspconfig.nvim/";
};
mason-tool-installer-nvim = buildVimPlugin {
pname = "mason-tool-installer.nvim";
- version = "2024-01-15";
+ version = "2024-02-13";
src = fetchFromGitHub {
owner = "WhoIsSethDaniel";
repo = "mason-tool-installer.nvim";
- rev = "bf0f4f8062d3acbe0afcc61db01a4d19d96310e4";
- sha256 = "1kawdvc1sk8drk2lkgj4zy3hbhbcyn9j6ahnzxwi7jlw9p3j3cmk";
+ rev = "1212fb6082b7177dde17ea65e429e027835aeb40";
+ sha256 = "0zrdpdqklmk1f9gsim209x28h4qisf1hljmwq2imc4lzpwca39kc";
};
meta.homepage = "https://github.com/WhoIsSethDaniel/mason-tool-installer.nvim/";
};
mason-nvim = buildVimPlugin {
pname = "mason.nvim";
- version = "2024-01-22";
+ version = "2024-02-25";
src = fetchFromGitHub {
owner = "williamboman";
repo = "mason.nvim";
- rev = "9c9416817c9f4e6f333c749327a1ed5355cfab61";
- sha256 = "146p31z37kixchad2rrdndx6wmnfb354i34qhwwjc6xg5jqc1x9i";
+ rev = "3b5068f0fc565f337d67a2d315d935f574848ee7";
+ sha256 = "0jysblrni94541gr649q0rdzlfaa1mc7nvzx7rndcq5fr14mzk42";
};
meta.homepage = "https://github.com/williamboman/mason.nvim/";
};
@@ -5580,24 +5808,24 @@ final: prev:
material-nvim = buildVimPlugin {
pname = "material.nvim";
- version = "2024-01-22";
+ version = "2024-02-11";
src = fetchFromGitHub {
owner = "marko-cerovac";
repo = "material.nvim";
- rev = "7cf05c682044a528510cc41135d83f52e4356f15";
- sha256 = "1y7906y3c88sxcy4fccjvigy5vmxxllr45c6kg8w55404jaavhvi";
+ rev = "1804e517ceb0fce958a9fabaa94c9a6e09d54b8f";
+ sha256 = "1x4cqwy9anirl8y4lby1rdnxblypi256qdpcdd8wccfk6jsvd74r";
};
meta.homepage = "https://github.com/marko-cerovac/material.nvim/";
};
material-vim = buildVimPlugin {
pname = "material.vim";
- version = "2023-02-09";
+ version = "2024-03-04";
src = fetchFromGitHub {
owner = "kaicataldo";
repo = "material.vim";
- rev = "b47e7f884cb606c19a20e8e520dfa10c3b3a52f9";
- sha256 = "1abhf71ap9cs03ibi3qm8lw8kdynb86x85didnhlhb673xg0kbcz";
+ rev = "07e70d5d675fadb1e81191b2d990b2a72edccf0d";
+ sha256 = "1rv7hp3gvzvvvh2vifz8r9g12srbldysgnydljp71qs3pwbqpp2v";
};
meta.homepage = "https://github.com/kaicataldo/material.vim/";
};
@@ -5628,48 +5856,72 @@ final: prev:
melange-nvim = buildVimPlugin {
pname = "melange-nvim";
- version = "2023-10-23";
+ version = "2024-02-14";
src = fetchFromGitHub {
owner = "savq";
repo = "melange-nvim";
- rev = "ca3444c8e5002ee5ab6f077660317f869c7b6a36";
- sha256 = "104lc4kfx5jr6fd684vx1bps7ifbqfx6iyi3nbczbhycw0wfw4r4";
+ rev = "ec15b091304580f1d37e711c3a54bc828b09e255";
+ sha256 = "1240s01m9mayjgqr0py3zwmbnvq06wzpm3pwdjmy3mj6kkaxxccp";
};
meta.homepage = "https://github.com/savq/melange-nvim/";
};
+ miasma-nvim = buildVimPlugin {
+ pname = "miasma.nvim";
+ version = "2023-10-24";
+ src = fetchFromGitHub {
+ owner = "xero";
+ repo = "miasma.nvim";
+ rev = "c672feec07d4e77ac485ee58e3432a96ebe51953";
+ sha256 = "187d35g6s53rs7zi3p8c4d8sy23qdpzy22i2vmr8apzgc2hirvx7";
+ };
+ meta.homepage = "https://github.com/xero/miasma.nvim/";
+ };
+
+ midnight-nvim = buildVimPlugin {
+ pname = "midnight.nvim";
+ version = "2024-02-24";
+ src = fetchFromGitHub {
+ owner = "dasupradyumna";
+ repo = "midnight.nvim";
+ rev = "b5a1dd02a3c2ddc56de8466da45895b19981584a";
+ sha256 = "1ajpkw12ff7xhzl3axl5y3q13zsrjm24mydwr166x3lba6ccqif2";
+ };
+ meta.homepage = "https://github.com/dasupradyumna/midnight.nvim/";
+ };
+
mind-nvim = buildVimPlugin {
pname = "mind.nvim";
version = "2023-03-22";
src = fetchFromGitHub {
- owner = "phaazon";
+ owner = "hadronized";
repo = "mind.nvim";
rev = "002137dd7cf97865ebd01b6a260209d2daf2da66";
sha256 = "1p7gb8p1jrb2wx3x67lv7am3k1a14kvwsq89fdpb8b060s2l1214";
};
- meta.homepage = "https://github.com/phaazon/mind.nvim/";
+ meta.homepage = "https://github.com/hadronized/mind.nvim/";
};
mini-nvim = buildVimPlugin {
pname = "mini.nvim";
- version = "2024-01-23";
+ version = "2024-03-14";
src = fetchFromGitHub {
owner = "echasnovski";
repo = "mini.nvim";
- rev = "1cd8d7e8318071eddc536815f5cafe50b012ac29";
- sha256 = "03gpcx09a53w73bf4kyhk5xa764bxcaaymwrnw1jr0yqinyz7j62";
+ rev = "9968f6e221ae7bdac57a910c5bf2026186aa023c";
+ sha256 = "0sg5y4f3idxfcalngipgsajsrr0jjhpy4klarcnmq60sv6dmz5dh";
};
meta.homepage = "https://github.com/echasnovski/mini.nvim/";
};
minimap-vim = buildVimPlugin {
pname = "minimap.vim";
- version = "2023-10-02";
+ version = "2024-03-03";
src = fetchFromGitHub {
owner = "wfxr";
repo = "minimap.vim";
- rev = "701f4cf4b60a3e1685d2da484282f3a3d8bf9db6";
- sha256 = "1kgzg69v9gsl0whq7740av3iyih0z460l42js5jxl8mg630bzxbc";
+ rev = "6dc0c36fd92eab38064f22c016e43639f42293d3";
+ sha256 = "0ch6j2xdgh61pb5qzhsavvypk1b8mck99zn9j2k5fdn7b08i90av";
};
meta.homepage = "https://github.com/wfxr/minimap.vim/";
};
@@ -5688,12 +5940,12 @@ final: prev:
mkdnflow-nvim = buildVimPlugin {
pname = "mkdnflow.nvim";
- version = "2023-12-26";
+ version = "2024-03-04";
src = fetchFromGitHub {
owner = "jakewvincent";
repo = "mkdnflow.nvim";
- rev = "7b2fc47d6a3ae3b19ebc5a7eea00ea1e712f20d1";
- sha256 = "010gh0qdqqx53fpxjhfn3w0r5kkdw4h27vl6zbrvw4n0ilqlg9n2";
+ rev = "0a42aa3c7c2c982a1503e63ce8f0f065e0eb63df";
+ sha256 = "1p5vwzl48bgfzxk4nwxlyf98ymjyglm44942lpm8al908ysnpwc2";
};
meta.homepage = "https://github.com/jakewvincent/mkdnflow.nvim/";
};
@@ -5712,12 +5964,12 @@ final: prev:
modicator-nvim = buildVimPlugin {
pname = "modicator.nvim";
- version = "2024-01-25";
+ version = "2024-03-05";
src = fetchFromGitHub {
owner = "mawkler";
repo = "modicator.nvim";
- rev = "81f0e320d63e2bb59219b9de8dc244e856412020";
- sha256 = "18dkiksnnrxhzisarrxzza3lyankw6h3zf1dvzcb6rbbmn946bz5";
+ rev = "780ea1e98c9bee8f3816685213b0aac42b34cd75";
+ sha256 = "1db48c8yfpvlz5v17c7vxrsjz8n0x0fxjbb59w0bm88n85rd2pnv";
};
meta.homepage = "https://github.com/mawkler/modicator.nvim/";
};
@@ -5748,24 +6000,24 @@ final: prev:
molten-nvim = buildVimPlugin {
pname = "molten-nvim";
- version = "2024-01-20";
+ version = "2024-03-13";
src = fetchFromGitHub {
owner = "benlubas";
repo = "molten-nvim";
- rev = "4a3980f74742ac6f151cc00e444e74fc02b799a2";
- sha256 = "081x575151glxz0lfpif3msdzx0wjr9730rwj4ynkavjj5fcx9s3";
+ rev = "8d31d04e18acc419f147452861ad5eb34b998276";
+ sha256 = "1nlpg57zfjbla9draxpp3iw8lcsgkyd7y9vgc81q842mb1syby4z";
};
meta.homepage = "https://github.com/benlubas/molten-nvim/";
};
monokai-pro-nvim = buildVimPlugin {
pname = "monokai-pro.nvim";
- version = "2024-01-23";
+ version = "2024-02-11";
src = fetchFromGitHub {
owner = "loctvl842";
repo = "monokai-pro.nvim";
- rev = "c2fe71f5b47ff5042053dc74cf8a849d662f2275";
- sha256 = "00p0y008w07c5yd3aqczxiidl0x269fsblg3lnjd4xxshjhpdsp9";
+ rev = "1b9b086df95ad9a6b946c56f65fa2d048297c00b";
+ sha256 = "14iks0rcnr695lv39i85ysfh4752y5x56mcr5dl9np5sk7820v3p";
};
meta.homepage = "https://github.com/loctvl842/monokai-pro.nvim/";
};
@@ -5796,12 +6048,12 @@ final: prev:
multicursors-nvim = buildVimPlugin {
pname = "multicursors.nvim";
- version = "2023-11-27";
+ version = "2024-02-21";
src = fetchFromGitHub {
owner = "smoka7";
repo = "multicursors.nvim";
- rev = "8e876fe9db46c1b76c151202b418df21eca07bad";
- sha256 = "0jva5l38ikzgy0nw2il6yfpm9z7ibi99ijfqnwcy7zq9kryysnmy";
+ rev = "8b3e14682eed06a532b155c7eae33e174846b3fd";
+ sha256 = "02ar7m9g92lg7rhz7l1vm2sn8c353wk1rvl32wdbqsbi70ac8pi7";
};
meta.homepage = "https://github.com/smoka7/multicursors.nvim/";
};
@@ -6060,12 +6312,12 @@ final: prev:
neo-tree-nvim = buildVimPlugin {
pname = "neo-tree.nvim";
- version = "2024-01-21";
+ version = "2024-03-15";
src = fetchFromGitHub {
owner = "nvim-neo-tree";
repo = "neo-tree.nvim";
- rev = "e578fe7a5832421b0d2c5b3c0a7a1e40e0f6a47a";
- sha256 = "0s0j67zv6hzj4fsa7kp5rkbbgcvx7n7hf7vx14v8lwaz139lml5w";
+ rev = "00b46a1ee17ec2bb93b52e1aac7d1449b659f53f";
+ sha256 = "1vmfscin3lgs97pxxfhlw2nvc0nag37pwhba4p1sr3z89jrc4xi5";
};
meta.homepage = "https://github.com/nvim-neo-tree/neo-tree.nvim/";
};
@@ -6084,26 +6336,26 @@ final: prev:
neoconf-nvim = buildVimPlugin {
pname = "neoconf.nvim";
- version = "2024-01-22";
+ version = "2024-03-16";
src = fetchFromGitHub {
owner = "folke";
repo = "neoconf.nvim";
- rev = "cfc29315288515849aa54c05828d49f01f033b66";
- sha256 = "0h642cscysznr4rxzch4kq99l7f2kgdxyiibws844w1wsail1zqx";
+ rev = "68753daced3b41d6b5e4a441b10a69c1ec33523c";
+ sha256 = "0f3lvrvs9sfwvr47bnjhxapgkgz790vwdgn50cd6lgr849n64s8z";
};
meta.homepage = "https://github.com/folke/neoconf.nvim/";
};
neocord = buildVimPlugin {
pname = "neocord";
- version = "2024-02-10";
+ version = "2024-02-28";
src = fetchFromGitHub {
owner = "IogaMaster";
repo = "neocord";
- rev = "d5f51d466644fe3c62eda4c41e373ecdc299a367";
- sha256 = "1gv7lkqgiljgazzm0r5nbnvj3rj0l376bcz3hf2d881h4xi2lq3l";
+ rev = "fe83e48ad6f5fa7f70c93b47694c36d0d7deff04";
+ sha256 = "19za72v7mq526lpd3a9b6pmxh983ih804q0illmsl07a3wm9gnad";
};
- meta.homepage = "https://github.com/IogaMaster/neocord";
+ meta.homepage = "https://github.com/IogaMaster/neocord/";
};
neodark-vim = buildVimPlugin {
@@ -6120,48 +6372,48 @@ final: prev:
neodev-nvim = buildVimPlugin {
pname = "neodev.nvim";
- version = "2024-01-25";
+ version = "2024-02-28";
src = fetchFromGitHub {
owner = "folke";
repo = "neodev.nvim";
- rev = "3408a4daeca5ff8f41cb2c668b6d8fcc665f793a";
- sha256 = "18rgw2jlwyyc586ajrrkhgj6ddnz784ijjxjk73007icdsychyh6";
+ rev = "84e0290f5600e8b89c0dfcafc864f45496a53400";
+ sha256 = "0lcfk5zdcdqpd2d6whzbzafp6nh1y422nbaa2ap6kk41nlcm68jp";
};
meta.homepage = "https://github.com/folke/neodev.nvim/";
};
neoformat = buildVimPlugin {
pname = "neoformat";
- version = "2024-01-10";
+ version = "2024-02-03";
src = fetchFromGitHub {
owner = "sbdchd";
repo = "neoformat";
- rev = "209b56a00fc0206d81db768b121b94d0daf48b64";
- sha256 = "1ii10q91l78c1hbmz0p0jv7vqpgz69rbklznzckrbia8mqz416yk";
+ rev = "b8e0baf965d2fbb173aabe3d847538744c0e321b";
+ sha256 = "1b9xrh8zp2x05pyn0rrfzx1db9hv98737zn910fm36arbhr5flvq";
};
meta.homepage = "https://github.com/sbdchd/neoformat/";
};
neogen = buildVimPlugin {
pname = "neogen";
- version = "2023-09-09";
+ version = "2024-03-03";
src = fetchFromGitHub {
owner = "danymat";
repo = "neogen";
- rev = "70127baaff25611deaf1a29d801fc054ad9d2dc1";
- sha256 = "00za9qbc8jmf9asqqgr37dpm21xf8cq3pn529zgarmz1gbk106cp";
+ rev = "b95347a588401a755eadd17482edc1662876bd58";
+ sha256 = "16aw070mfm9d33jsc0xbmpwsna61pqci8h896phizvndp1lx9lfw";
};
meta.homepage = "https://github.com/danymat/neogen/";
};
neogit = buildVimPlugin {
pname = "neogit";
- version = "2024-01-22";
+ version = "2024-03-14";
src = fetchFromGitHub {
owner = "NeogitOrg";
repo = "neogit";
- rev = "ce0c369ccdba3f644a3b28f4c053421f435352c9";
- sha256 = "0zvs6ygghz786fs436pladaml57mfb28lfx0xciwdrxfcd25rmf0";
+ rev = "bc6aca9242bdcf61ea8aa4355e24f7bffb2aa8f3";
+ sha256 = "1zn5akv15069ldjnlbiy1b5hi5d2jfcj45wqh2zj09cq8hd3zqpb";
};
meta.homepage = "https://github.com/NeogitOrg/neogit/";
};
@@ -6228,36 +6480,36 @@ final: prev:
neorg = buildVimPlugin {
pname = "neorg";
- version = "2024-01-21";
+ version = "2024-03-04";
src = fetchFromGitHub {
owner = "nvim-neorg";
repo = "neorg";
- rev = "28cbafbc0cde316d8e2618ff26377200225e9393";
- sha256 = "1z1ir56mlwaay20x7yqdhkrj2nl39xhd63maims68jam59l55lq9";
+ rev = "086891d396ac9fccd91faf1520f563b6eb9eb942";
+ sha256 = "1k152lzvizaf1i7gkbjilcvs9l9d13zs606qjw0mpvyhzy4rqd0r";
};
meta.homepage = "https://github.com/nvim-neorg/neorg/";
};
neorg-telescope = buildVimPlugin {
pname = "neorg-telescope";
- version = "2023-11-12";
+ version = "2024-02-10";
src = fetchFromGitHub {
owner = "nvim-neorg";
repo = "neorg-telescope";
- rev = "6a7a677c40fa3c348924a4e2a06a513e0b34c056";
- sha256 = "05m64vwi55kzknz9za0izc9hs1djc46467d7d8ijx3268sw3l0x6";
+ rev = "418f8fd4bd7360d954613a2322b4eb2888ac3ad9";
+ sha256 = "0r09xwvzb2ma25ngfiilwd9i6ci2llkv98scdzjkq5z96m1h6vnx";
};
meta.homepage = "https://github.com/nvim-neorg/neorg-telescope/";
};
neoscroll-nvim = buildVimPlugin {
pname = "neoscroll.nvim";
- version = "2023-12-08";
+ version = "2024-03-10";
src = fetchFromGitHub {
owner = "karb94";
repo = "neoscroll.nvim";
- rev = "be4ebf855a52f71ca4338694a5696675d807eff9";
- sha256 = "1yqh9ig3w8kyzksv8sv7xsc2rk2l637q54g4wv8zqwz226cw56ws";
+ rev = "21d52973bde32db998fc8b6590f87eb3c3c6d8e4";
+ sha256 = "1hxf9218asn22lpa28zb6kmj64a8ak4njxj0whl60a1p0349s4qb";
};
meta.homepage = "https://github.com/karb94/neoscroll.nvim/";
};
@@ -6300,24 +6552,37 @@ final: prev:
neotest = buildVimPlugin {
pname = "neotest";
- version = "2024-01-25";
+ version = "2024-02-27";
src = fetchFromGitHub {
owner = "nvim-neotest";
repo = "neotest";
- rev = "73043d666780e35185a77589e01bec96a52db910";
- sha256 = "1aj4zrp21h7h77sw70l2g3spkgzl5vb24vv2halrfrqms7jfaaqf";
+ rev = "4440cc2227894c2ae9b0673a30e6cc6f1836e8c2";
+ sha256 = "1pq9zjcnihah6nlz2zhkb1shv5x0k3dcdxfmc1v4sq13i6yj16c4";
};
meta.homepage = "https://github.com/nvim-neotest/neotest/";
};
+ neotest-bash = buildVimPlugin {
+ pname = "neotest-bash";
+ version = "2023-11-18";
+ src = fetchFromGitHub {
+ owner = "rcasia";
+ repo = "neotest-bash";
+ rev = "2eaad2ae4577fadf4af1eec32ec169d0fb2698bb";
+ sha256 = "0w1x9ya843pa8514974frdd8qmzycnvj418igni4y3wy3s0dc65v";
+ fetchSubmodules = true;
+ };
+ meta.homepage = "https://github.com/rcasia/neotest-bash/";
+ };
+
neotest-dart = buildVimPlugin {
pname = "neotest-dart";
- version = "2024-01-10";
+ version = "2024-02-28";
src = fetchFromGitHub {
owner = "sidlatau";
repo = "neotest-dart";
- rev = "b776e86c08b1cfd23fd49c337214d9ea67bb6ccc";
- sha256 = "0x30v0dfi7d1940ga0qnbn9sidy0x6wy58lbvnpb3v1ig24p435y";
+ rev = "33b9335cd8c2be4dcf9dd8f196b24325e7c2e8e7";
+ sha256 = "1g3xa33p2n5j3w1rpc8zf0dl7wkwnai2f9fs8dr8xanqp22vpl3i";
};
meta.homepage = "https://github.com/sidlatau/neotest-dart/";
};
@@ -6336,12 +6601,12 @@ final: prev:
neotest-dotnet = buildVimPlugin {
pname = "neotest-dotnet";
- version = "2023-12-22";
+ version = "2024-03-13";
src = fetchFromGitHub {
owner = "Issafalcon";
repo = "neotest-dotnet";
- rev = "1532f6123207dcfe36263e7f3182609f68588da8";
- sha256 = "06jvpsw21gryy4vzv6ag2zradm71v7lrzvkhhhpljyvc482yvflg";
+ rev = "15943dd05e2d4d9393531b647ad661e60820c608";
+ sha256 = "0vcx6avfbsjxv3nwbmy6101yb33msx6s3l1mzmnb7rprgcy53zm5";
};
meta.homepage = "https://github.com/Issafalcon/neotest-dotnet/";
};
@@ -6359,66 +6624,139 @@ final: prev:
meta.homepage = "https://github.com/jfpedroza/neotest-elixir/";
};
+ neotest-foundry = buildVimPlugin {
+ pname = "neotest-foundry";
+ version = "2024-02-03";
+ src = fetchFromGitHub {
+ owner = "llllvvuu";
+ repo = "neotest-foundry";
+ rev = "efe5fae9a602946b3e7d60a8a9b2a8b7f255a66c";
+ sha256 = "0xx32s8lc2c2c8xrm95l74q269b0gy8ic3vs20gax1931dlk5d3s";
+ };
+ meta.homepage = "https://github.com/llllvvuu/neotest-foundry/";
+ };
+
neotest-go = buildVimPlugin {
pname = "neotest-go";
- version = "2023-11-30";
+ version = "2024-02-24";
src = fetchFromGitHub {
owner = "nvim-neotest";
repo = "neotest-go";
- rev = "d29d20d912aca81a07c50022d880cc66f0d26542";
- sha256 = "0dhmkh2k18klhlv3cpjsi23ym0isrsf59dr0da2m9528pjzf1qi6";
+ rev = "6a2f996d89fe4631942e035b1c114544ee045043";
+ sha256 = "1jnsgkmsm2jmjd5zhkf3dhrbc04ysz3n0n28frsbvh839n3cdm7f";
};
meta.homepage = "https://github.com/nvim-neotest/neotest-go/";
};
+ neotest-gradle = buildVimPlugin {
+ pname = "neotest-gradle";
+ version = "2023-12-05";
+ src = fetchFromGitHub {
+ owner = "weilbith";
+ repo = "neotest-gradle";
+ rev = "cfb5d5d7d193631fc2a60244adc78313561c5d0d";
+ sha256 = "1prf726livgkld935r648d72flsbm3lylw6h1y3864fj034kvq5v";
+ };
+ meta.homepage = "https://github.com/weilbith/neotest-gradle/";
+ };
+
+ neotest-gtest = buildVimPlugin {
+ pname = "neotest-gtest";
+ version = "2023-12-10";
+ src = fetchFromGitHub {
+ owner = "alfaix";
+ repo = "neotest-gtest";
+ rev = "6e794ac91f4c347e2ea5ddeb23d594f8fc64f2a8";
+ sha256 = "0syyi8y064pm06nj0fczqqy5dnmmps3ykc4k06jl05pk3jfilhaa";
+ fetchSubmodules = true;
+ };
+ meta.homepage = "https://github.com/alfaix/neotest-gtest/";
+ };
+
neotest-haskell = buildVimPlugin {
pname = "neotest-haskell";
- version = "2024-01-15";
+ version = "2024-03-13";
src = fetchFromGitHub {
owner = "MrcJkb";
repo = "neotest-haskell";
- rev = "25c5d7c8619abe9dece137aacf2abe9d38995fad";
- sha256 = "06xpqvrxx9n2yn0mrbk90mhwhn42gbbwl7n73g5dn5y1krkk50hn";
+ rev = "948fdb3fd73fa7c12692c48b6923344557d81b42";
+ sha256 = "0sb7nhw3mf15by21a6387qs482b9aysin220cvw4w2schf6h760v";
};
meta.homepage = "https://github.com/MrcJkb/neotest-haskell/";
};
+ neotest-java = buildVimPlugin {
+ pname = "neotest-java";
+ version = "2024-02-11";
+ src = fetchFromGitHub {
+ owner = "rcasia";
+ repo = "neotest-java";
+ rev = "311acc2855cc76917f59f5c534d55e5c91e26810";
+ sha256 = "0gqhddq6z6q7jdla19l48iyac29wg8m58z27ybbas8sq96p9lqrf";
+ };
+ meta.homepage = "https://github.com/rcasia/neotest-java/";
+ };
+
neotest-jest = buildVimPlugin {
pname = "neotest-jest";
- version = "2023-12-17";
+ version = "2024-02-19";
src = fetchFromGitHub {
owner = "nvim-neotest";
repo = "neotest-jest";
- rev = "a394106cf053eef86d65ae04c4b93a1a7bd60aef";
- sha256 = "0vgb4lvi1cvdjqwljdrzgvpm772jj9cj44s1hms58iwl35rg17wq";
+ rev = "959d45b133de938c79e3f064db188680eaf69055";
+ sha256 = "12mkqbz5qg59nc3lqn5sl7dyi5631xpish8i4c5xaaxn3k5b9pss";
};
meta.homepage = "https://github.com/nvim-neotest/neotest-jest/";
};
+ neotest-minitest = buildVimPlugin {
+ pname = "neotest-minitest";
+ version = "2023-11-05";
+ src = fetchFromGitHub {
+ owner = "zidhuss";
+ repo = "neotest-minitest";
+ rev = "0129b64b7b7ce6c8a6dbd53782a5c8a855c10835";
+ sha256 = "0xb1q1xkw6g4jpg1q7lw97a2fd4xi9zizvrfcj9xc1m6vx1nh8b5";
+ };
+ meta.homepage = "https://github.com/zidhuss/neotest-minitest/";
+ };
+
neotest-pest = buildVimPlugin {
pname = "neotest-pest";
- version = "2022-11-24";
+ version = "2024-02-16";
src = fetchFromGitHub {
owner = "theutz";
repo = "neotest-pest";
- rev = "a50582719267a847c84e1564e97c698d994f883c";
- sha256 = "00scdxkqkfsdq6sn1a7cdcrqpdi8bzi5z2qjqlysp6njilbd1jws";
+ rev = "94ed941af4ea6e7d0caa4de8afbf966f3cfe35e4";
+ sha256 = "1655rpr007ix9z4nxkabnvdk8c0kj080waxddaq656dhdzdj7l1q";
};
meta.homepage = "https://github.com/theutz/neotest-pest/";
};
neotest-phpunit = buildVimPlugin {
pname = "neotest-phpunit";
- version = "2023-12-28";
+ version = "2024-03-11";
src = fetchFromGitHub {
owner = "olimorris";
repo = "neotest-phpunit";
- rev = "c0f398a239b24a5960ab6f76094bd535866451da";
- sha256 = "0f97fr27yvvykyzvpv07azsaa1ik5aci5vn6xk48xzy74ha1njr1";
+ rev = "d5e920ab861d175080524b9a3caa5ab8c372def0";
+ sha256 = "0wa3f383narj388xs3nrb3l7fjfrrvmcnqwd64mr2n6347gqc3f0";
};
meta.homepage = "https://github.com/olimorris/neotest-phpunit/";
};
+ neotest-playwright = buildVimPlugin {
+ pname = "neotest-playwright";
+ version = "2024-02-23";
+ src = fetchFromGitHub {
+ owner = "thenbe";
+ repo = "neotest-playwright";
+ rev = "39968792371cb48d395dc54c0498b7373ef73986";
+ sha256 = "0vvw5rv36iyfz3gk9rngvz3jdahyhzjvv5gics0qr88mww923m5q";
+ };
+ meta.homepage = "https://github.com/thenbe/neotest-playwright/";
+ };
+
neotest-plenary = buildVimPlugin {
pname = "neotest-plenary";
version = "2023-09-29";
@@ -6445,24 +6783,24 @@ final: prev:
neotest-rspec = buildVimPlugin {
pname = "neotest-rspec";
- version = "2023-11-02";
+ version = "2024-02-29";
src = fetchFromGitHub {
owner = "olimorris";
repo = "neotest-rspec";
- rev = "8630acad9e84b8267646bc8712a4365af7a12f2b";
- sha256 = "13s3im555wz66z1hmmn8zlpy6vsry0xi87yxfm7hjpfcb56lqncc";
+ rev = "0d73fe6de6baf951f6b95f55a4770429b9d58953";
+ sha256 = "0fspih2j2xmjczkg0ka7y87mwrd1x6f6chx5b34b646bqjabwfjc";
};
meta.homepage = "https://github.com/olimorris/neotest-rspec/";
};
neotest-rust = buildVimPlugin {
pname = "neotest-rust";
- version = "2024-01-25";
+ version = "2024-02-28";
src = fetchFromGitHub {
owner = "rouge8";
repo = "neotest-rust";
- rev = "d945ccc5041ff02b86313cc5d02affd39f8e1c3f";
- sha256 = "00194ldhg9m2w5mr0wzcvz7d7icjb2ym0plqzhh4yga0iipqsdvb";
+ rev = "9e9df68064bd6fa3b5e40b32fcb7c52af130cdbf";
+ sha256 = "0h8fhylg1rhgjxjhg2d3y77fhril6ng3cj49yz2g8hh1p5xassfr";
};
meta.homepage = "https://github.com/rouge8/neotest-rust/";
};
@@ -6493,24 +6831,36 @@ final: prev:
neotest-vitest = buildVimPlugin {
pname = "neotest-vitest";
- version = "2024-01-16";
+ version = "2024-03-12";
src = fetchFromGitHub {
owner = "marilari88";
repo = "neotest-vitest";
- rev = "87e91bfd9419a8c74bf0d105e2ae31b9692daf0b";
- sha256 = "0kfbb9rywkfaz7v2ls7dnncbg221ayyrlp1cz9sgbqib8627pmmz";
+ rev = "102e7494bbe0f205d28c31a3fd75a9dfbcc78c73";
+ sha256 = "03j5vfqryi419d5x0ssxpasx93jkpraj2vhwfclbhwfjf1d20jkl";
};
meta.homepage = "https://github.com/marilari88/neotest-vitest/";
};
+ neotest-zig = buildVimPlugin {
+ pname = "neotest-zig";
+ version = "2023-12-10";
+ src = fetchFromGitHub {
+ owner = "lawrence-laz";
+ repo = "neotest-zig";
+ rev = "742f97f971a39d44b4832b432083608834a0e59c";
+ sha256 = "06sxdbxqfv2wzrw1v0hzsvy4cnynrym3irzi0c43gqiq7k1fksq4";
+ };
+ meta.homepage = "https://github.com/lawrence-laz/neotest-zig/";
+ };
+
neovim-ayu = buildVimPlugin {
pname = "neovim-ayu";
- version = "2024-01-08";
+ version = "2024-02-05";
src = fetchFromGitHub {
owner = "Shatur";
repo = "neovim-ayu";
- rev = "6463d39253bb70e2b867fce57f9e7174f657bd1b";
- sha256 = "1xhk1qdlk10paqaf8np7z52zyl2k0x463llh14gxbc58iraz5g95";
+ rev = "0a9804db5c08dba63be9774a57e4214fd0473ce1";
+ sha256 = "17324y4ywxmijappa9sb194xc2mwa8ijfxvb3s4302bnd6ggdw7h";
};
meta.homepage = "https://github.com/Shatur/neovim-ayu/";
};
@@ -6565,12 +6915,12 @@ final: prev:
nerdtree = buildVimPlugin {
pname = "nerdtree";
- version = "2024-01-09";
+ version = "2024-02-27";
src = fetchFromGitHub {
owner = "preservim";
repo = "nerdtree";
- rev = "bc606c43e2d8ef0987d6d3d1ec8c17360a2e29d5";
- sha256 = "1c3pxa49wy2rgir0s87mfmb0h2wi0jmm54p6zmnm8x5v7j7n1bvp";
+ rev = "f3a4d8eaa8ac10305e3d53851c976756ea9dc8e8";
+ sha256 = "1rywf8z44z9vzg8x33qjvccjrn3avbcyqpkc6ba3ymb9hwhfhiig";
};
meta.homepage = "https://github.com/preservim/nerdtree/";
};
@@ -6589,12 +6939,12 @@ final: prev:
netman-nvim = buildVimPlugin {
pname = "netman.nvim";
- version = "2024-01-05";
+ version = "2024-02-19";
src = fetchFromGitHub {
owner = "miversen33";
repo = "netman.nvim";
- rev = "6f1e2687d6e534e588d8281b987f33c3f0870e8a";
- sha256 = "0grdfvd222b4992c3g6wj86jpy73v29ihbz4k8qs23wqgmz7x9r2";
+ rev = "d0ec9d4ca195b2c87bf46ab050130a2c806310c4";
+ sha256 = "0043r66vr10qwdd305q4ckizk8lkm0xy4wazm0yfhq37jwrbhh7d";
};
meta.homepage = "https://github.com/miversen33/netman.nvim/";
};
@@ -6625,12 +6975,12 @@ final: prev:
nfnl = buildVimPlugin {
pname = "nfnl";
- version = "2024-01-21";
+ version = "2024-02-19";
src = fetchFromGitHub {
owner = "Olical";
repo = "nfnl";
- rev = "7ef3da23c5b7f9e08ca7e1f9807c1a5a93e2f33f";
- sha256 = "0p0cfds0z409c5ydn8j7ycsh9jmaz0a7izakgkmg8lpqihvw6dc2";
+ rev = "92f03c01405477fc61e410bb75d4387781a493dc";
+ sha256 = "02ih6pjapws1j62mxa02dljjzm82bzms4ccjldsz5l02ks0k8vcr";
};
meta.homepage = "https://github.com/Olical/nfnl/";
};
@@ -6647,18 +6997,42 @@ final: prev:
meta.homepage = "https://github.com/chr4/nginx.vim/";
};
+ night-owl-nvim = buildVimPlugin {
+ pname = "night-owl.nvim";
+ version = "2024-03-12";
+ src = fetchFromGitHub {
+ owner = "oxfist";
+ repo = "night-owl.nvim";
+ rev = "7f139517b6d2f05ee6542850b04de004a6b25dce";
+ sha256 = "1c20y1f0sakbajsmzk0fhv3wqwsni3wxlvsgcgzxbf922rm9ln3x";
+ };
+ meta.homepage = "https://github.com/oxfist/night-owl.nvim/";
+ };
+
nightfox-nvim = buildVimPlugin {
pname = "nightfox.nvim";
- version = "2024-01-24";
+ version = "2024-03-11";
src = fetchFromGitHub {
owner = "EdenEast";
repo = "nightfox.nvim";
- rev = "7e9487875dc5f69a2fd6f60d3a3ef4fb457b57c1";
- sha256 = "0yzx95mkgq37hylc9ksca7bds0ny06x8hphin28k4pnwi0vqcf3s";
+ rev = "a4eb88b2dad3fba5c2d87f82cd15dfb9de73913d";
+ sha256 = "1vcpb1zc9fxlb3vsrg4p9kqclmfmngkz1sikrhv61ikzfsdwcbpn";
};
meta.homepage = "https://github.com/EdenEast/nightfox.nvim/";
};
+ nightly-nvim = buildVimPlugin {
+ pname = "nightly.nvim";
+ version = "2023-10-20";
+ src = fetchFromGitHub {
+ owner = "Alexis12119";
+ repo = "nightly.nvim";
+ rev = "825299e1dfafc093918137e752bde2dbaed60503";
+ sha256 = "1g10pmg0jkj5bfsm1kvws9al2s0b2b15582815nf6mwr9fmhhbzy";
+ };
+ meta.homepage = "https://github.com/Alexis12119/nightly.nvim/";
+ };
+
nim-vim = buildVimPlugin {
pname = "nim.vim";
version = "2021-11-11";
@@ -6707,18 +7081,42 @@ final: prev:
meta.homepage = "https://github.com/mcchrish/nnn.vim/";
};
+ no-clown-fiesta-nvim = buildVimPlugin {
+ pname = "no-clown-fiesta.nvim";
+ version = "2024-03-14";
+ src = fetchFromGitHub {
+ owner = "aktersnurra";
+ repo = "no-clown-fiesta.nvim";
+ rev = "0cf858e14aa98218c4aec92011bded80e935549b";
+ sha256 = "0vfii1m25c64846yy3kj9yabiqyhf64msd7p5rlqwfqc4l2y67hh";
+ };
+ meta.homepage = "https://github.com/aktersnurra/no-clown-fiesta.nvim/";
+ };
+
no-neck-pain-nvim = buildVimPlugin {
pname = "no-neck-pain.nvim";
- version = "2024-01-24";
+ version = "2024-03-15";
src = fetchFromGitHub {
owner = "shortcuts";
repo = "no-neck-pain.nvim";
- rev = "fc3cc9012d9720aca3203702447caa59bd93f1a6";
- sha256 = "0flml2v5znlgffgn59k82dlz84d705mg8rxrhs8sciqr7jqxk1xk";
+ rev = "ca5c80feaf6b412bf16244bc2d802a7e99cbae7a";
+ sha256 = "0s9s21hpsiwxrwglpr9qdl8sbazx4nmkqk55wfwsrkinchx6zcg9";
};
meta.homepage = "https://github.com/shortcuts/no-neck-pain.nvim/";
};
+ noctis-nvim = buildVimPlugin {
+ pname = "noctis.nvim";
+ version = "2022-09-30";
+ src = fetchFromGitHub {
+ owner = "kartikp10";
+ repo = "noctis.nvim";
+ rev = "0b9336e39c686a7e58de06e4dd38c2bd862a7b33";
+ sha256 = "0aw361j28mnggv8769b70rywsx2cvri26kg2n8i470ka1wmzklaf";
+ };
+ meta.homepage = "https://github.com/kartikp10/noctis.nvim/";
+ };
+
noice-nvim = buildVimPlugin {
pname = "noice.nvim";
version = "2024-01-22";
@@ -6733,12 +7131,12 @@ final: prev:
none-ls-nvim = buildVimPlugin {
pname = "none-ls.nvim";
- version = "2024-01-24";
+ version = "2024-03-15";
src = fetchFromGitHub {
owner = "nvimtools";
repo = "none-ls.nvim";
- rev = "a311c7cc8f17543143a7482cdbe3a384c371d56a";
- sha256 = "1fk52awkplp2c1bf7aa8whs6qaxvphry1crp515b2yz0ik8ykqdk";
+ rev = "72e25ed4162474ef5d666525853f8a42bffd97c5";
+ sha256 = "13h0ldwvl1iysz1xz22bd9k8rp7ilcsbhibv5xc0ybqqsfv0ndhn";
};
meta.homepage = "https://github.com/nvimtools/none-ls.nvim/";
};
@@ -6757,12 +7155,12 @@ final: prev:
nordic-nvim = buildVimPlugin {
pname = "nordic.nvim";
- version = "2022-12-08";
+ version = "2024-03-15";
src = fetchFromGitHub {
owner = "andersevenrud";
repo = "nordic.nvim";
- rev = "cd552784eeeae61644fec60f6cc52c267dbddc73";
- sha256 = "0pv3z3kz1v399q283fymz10rq46980a5z2nvhzrfg3i0ws4gpni0";
+ rev = "9697dc86e888f603cdb7e3a0742b7814b8a01cd1";
+ sha256 = "1rf4z8rvdh4iv4ifq3z1hic2ldcpkja82834w5nhig3x2rn5hw7m";
};
meta.homepage = "https://github.com/andersevenrud/nordic.nvim/";
};
@@ -6793,12 +7191,12 @@ final: prev:
nui-nvim = buildNeovimPlugin {
pname = "nui.nvim";
- version = "2024-01-04";
+ version = "2024-03-12";
src = fetchFromGitHub {
owner = "MunifTanjim";
repo = "nui.nvim";
- rev = "35da9ca1de0fc4dda96c2e214d93d363c145f418";
- sha256 = "0ix9dshl7hxyygfyjg8ki0swm0ysbhm42aah5cbbhpnd00hv8qa9";
+ rev = "3dc46d725f7b94bee5117c0a699b57b1902b5d65";
+ sha256 = "1wqf7p8hvspnnr6w3vd3kn4z0wmsg3ishmim68na0h0x8hvx5h2h";
};
meta.homepage = "https://github.com/MunifTanjim/nui.nvim/";
};
@@ -6829,12 +7227,12 @@ final: prev:
nvchad = buildVimPlugin {
pname = "nvchad";
- version = "2024-01-19";
+ version = "2024-03-16";
src = fetchFromGitHub {
owner = "nvchad";
repo = "nvchad";
- rev = "282a23f4469ee305e05ec7a108a728ee389d87fb";
- sha256 = "0b222vc3xqhaa6abkd9w3gd8l50ddrb06lsxwhw1sa9sqssv0bc1";
+ rev = "178bf21fdef6679ea70af3f6e45b1c1e6ed8e8a6";
+ sha256 = "0rhyh9j28y2f3s4j1lc1fcwwxh67xnc7i2pd3pz3j95zvbws8xg8";
};
meta.homepage = "https://github.com/nvchad/nvchad/";
};
@@ -6877,26 +7275,26 @@ final: prev:
nvim-autopairs = buildVimPlugin {
pname = "nvim-autopairs";
- version = "2024-01-22";
+ version = "2024-02-25";
src = fetchFromGitHub {
owner = "windwp";
repo = "nvim-autopairs";
- rev = "096d0baecc34f6c5d8a6dd25851e9d5ad338209b";
- sha256 = "167a5d8rycg703f1x9q7g9bavchfv8cj3qxvq721cf9sz1jniip2";
+ rev = "c6139ca0d5ad7af129ea6c89cb4c56093f2c034a";
+ sha256 = "1m7ymdyx1ymq1h9xgs6r7waqzkxqzzs2ir4d7yw78cxp0bvlbpn3";
};
meta.homepage = "https://github.com/windwp/nvim-autopairs/";
};
- nvim-base16 = buildVimPlugin {
- pname = "nvim-base16";
- version = "2024-01-24";
+ nvim-bacon = buildVimPlugin {
+ pname = "nvim-bacon";
+ version = "2024-02-12";
src = fetchFromGitHub {
- owner = "RRethy";
- repo = "nvim-base16";
- rev = "fc26d79fb3420eb3bc6e036f23671e25bfe227d6";
- sha256 = "1fqhngnwas2lykrz5yjw68nfwzy9f0a6f4vmk97jc54npwn5qgkc";
+ owner = "Canop";
+ repo = "nvim-bacon";
+ rev = "3f8e7a80a0e715952a3066fefe7447f1347207ca";
+ sha256 = "sha256-jIoRzMjOZlTHR7q4piyY7MjEUgZIR5pjobfOdY/IpeM=";
};
- meta.homepage = "https://github.com/RRethy/nvim-base16/";
+ meta.homepage = "https://github.com/Canop/nvim-bacon/";
};
nvim-biscuits = buildVimPlugin {
@@ -6913,12 +7311,12 @@ final: prev:
nvim-bqf = buildVimPlugin {
pname = "nvim-bqf";
- version = "2023-12-06";
+ version = "2024-03-02";
src = fetchFromGitHub {
owner = "kevinhwang91";
repo = "nvim-bqf";
- rev = "bdc2a4e5bb670b3c0e33ada9c0eec636d93a0748";
- sha256 = "1kla734nj2q6bin9d1gzm4kml0bl89q2hfr0l9ly2lw3s506nynb";
+ rev = "b51a37fcd808edafd52511458467c8c9a701ea8d";
+ sha256 = "0pvzhj7b0cw3rgy87rq1n194348ws6a0z9pjxrc8rxwsv79mphsq";
};
meta.homepage = "https://github.com/kevinhwang91/nvim-bqf/";
};
@@ -6949,12 +7347,12 @@ final: prev:
nvim-cmp = buildNeovimPlugin {
pname = "nvim-cmp";
- version = "2023-12-14";
+ version = "2024-02-02";
src = fetchFromGitHub {
owner = "hrsh7th";
repo = "nvim-cmp";
- rev = "538e37ba87284942c1d76ed38dd497e54e65b891";
- sha256 = "1mxigpm4h8ad8hjd8zy6ajkk2c03vgcrigqarhybz6zsi52iil06";
+ rev = "04e0ca376d6abdbfc8b52180f8ea236cbfddf782";
+ sha256 = "0zzlkla5vgrfa55a3sjb885q0574s67ji5ps2rq53q82hlfwwphl";
};
meta.homepage = "https://github.com/hrsh7th/nvim-cmp/";
};
@@ -6973,12 +7371,12 @@ final: prev:
nvim-cokeline = buildVimPlugin {
pname = "nvim-cokeline";
- version = "2024-01-07";
+ version = "2024-01-27";
src = fetchFromGitHub {
owner = "willothy";
repo = "nvim-cokeline";
- rev = "a62eaff75c59da2ca261fefc2992e1cac04bd30e";
- sha256 = "0l4wfxiz4c7xg9m25lyv0xlrwgcyx2951x9dirqchpaf3ja6a0d4";
+ rev = "7310f192af74c6912ca7a40ae1b16253aa95e50e";
+ sha256 = "130lxdw0717f1hhkrraa2xl4abpd5w4lqqifi3hbk4brxsric6a3";
};
meta.homepage = "https://github.com/willothy/nvim-cokeline/";
};
@@ -7069,60 +7467,60 @@ final: prev:
nvim-dap = buildVimPlugin {
pname = "nvim-dap";
- version = "2024-01-13";
+ version = "2024-03-15";
src = fetchFromGitHub {
owner = "mfussenegger";
repo = "nvim-dap";
- rev = "9adbfdca13afbe646d09a8d7a86d5d031fb9c5a5";
- sha256 = "1pwzw1v314m0gbpr77y48w1qrpappqw1vmvkl7qm4dz02rvkh5zm";
+ rev = "c43c2473ecb482a9d91f32c1d4c0098fffad3c7d";
+ sha256 = "1aspwwmrv6jfg2cvb9n7rfaa57w72d4yf5gvhfxnva8rfwy907gb";
};
meta.homepage = "https://github.com/mfussenegger/nvim-dap/";
};
nvim-dap-go = buildVimPlugin {
pname = "nvim-dap-go";
- version = "2023-10-07";
+ version = "2024-02-21";
src = fetchFromGitHub {
owner = "leoluz";
repo = "nvim-dap-go";
- rev = "a5cc8dcad43f0732585d4793deb02a25c4afb766";
- sha256 = "00nm95dpbmjnndvh8kapbgmrbfjqg3dd8hhrwgd3rmk30d777zxq";
+ rev = "64f73400761e2d19459e664a52ea478f3a4420e7";
+ sha256 = "1r6cqvz6kfmkfq6a5vv9kqqqs8sfwhmr26wilrd18sgya58hbdvn";
};
meta.homepage = "https://github.com/leoluz/nvim-dap-go/";
};
nvim-dap-python = buildVimPlugin {
pname = "nvim-dap-python";
- version = "2023-12-19";
+ version = "2024-02-19";
src = fetchFromGitHub {
owner = "mfussenegger";
repo = "nvim-dap-python";
- rev = "091e4ae00a12085f9ed4200a3cd04af7179b8a23";
- sha256 = "02ialmgk6i2svjqglj7hmrakfsk5spcxb9idb13vmzlng8s3rzsp";
+ rev = "66560f0ebddf96604f7037e1efad3ba6942761e6";
+ sha256 = "0yc96r53iy0iim2nkl3rz5fza148fs6wk9y9k19k90ilzhh2ay3k";
};
meta.homepage = "https://github.com/mfussenegger/nvim-dap-python/";
};
nvim-dap-ui = buildVimPlugin {
pname = "nvim-dap-ui";
- version = "2024-01-22";
+ version = "2024-02-17";
src = fetchFromGitHub {
owner = "rcarriga";
repo = "nvim-dap-ui";
- rev = "d845ebd798ad1cf30aa4abd4c4eff795cdcfdd4f";
- sha256 = "1by56ffghig930r0cak95h0gxxrf78jwr3f2fxqziyz32dvi5mp2";
+ rev = "9720eb5fa2f41988e8770f973cd11b76dd568a5d";
+ sha256 = "0ahc1f2h9qv6bns5mh7m90lfrf3yldy018p27dsc9cgpdpb15i1q";
};
meta.homepage = "https://github.com/rcarriga/nvim-dap-ui/";
};
nvim-dap-virtual-text = buildVimPlugin {
pname = "nvim-dap-virtual-text";
- version = "2023-12-28";
+ version = "2024-03-10";
src = fetchFromGitHub {
owner = "theHamsta";
repo = "nvim-dap-virtual-text";
- rev = "d4542ac257d3c7ee4131350db6179ae6340ce40b";
- sha256 = "1xgj7m5b89ndy5asg6zknhpqbgflhd82vvwafzqxgc6zr86iv4r0";
+ rev = "baa5b0dc6663284cce32e0d00ac1f2511b13496f";
+ sha256 = "136qm88phwbyn5zdbr6xnw0hvsa7zcycxyg5anhfdc1vxdsh8lfm";
};
meta.homepage = "https://github.com/theHamsta/nvim-dap-virtual-text/";
};
@@ -7201,36 +7599,36 @@ final: prev:
nvim-highlight-colors = buildVimPlugin {
pname = "nvim-highlight-colors";
- version = "2024-01-25";
+ version = "2024-03-05";
src = fetchFromGitHub {
owner = "brenoprata10";
repo = "nvim-highlight-colors";
- rev = "cb3bdad6501d6314fe0ed00eee883b98fc0ec8db";
- sha256 = "0hh6cccs32g7b1ashz7kjmrcgfdjrd5dw3as0b3d5v04shm0vd17";
+ rev = "a9f191d5ba27a5943b8992bf618858fa7374758f";
+ sha256 = "036mb597k4w86lypjjk0554z7vambyndasnnkl035m885n2vny1q";
};
meta.homepage = "https://github.com/brenoprata10/nvim-highlight-colors/";
};
nvim-highlite = buildVimPlugin {
pname = "nvim-highlite";
- version = "2024-01-24";
+ version = "2024-03-15";
src = fetchFromGitHub {
owner = "Iron-E";
repo = "nvim-highlite";
- rev = "6a69959d5a945970cd59b32e19428c4732d7554a";
- sha256 = "01qw3qaq94vsawm3nnwwrf0x7mra8wqprgw1nc2njzzygqkhivqw";
+ rev = "e86a34da29d385c3f7c85de176b358191fb36808";
+ sha256 = "1dvkyzhns94mkvv3midhnb8jqa5wc139768laszxnan1s71rmxbf";
};
meta.homepage = "https://github.com/Iron-E/nvim-highlite/";
};
nvim-hlslens = buildVimPlugin {
pname = "nvim-hlslens";
- version = "2023-12-17";
+ version = "2024-02-16";
src = fetchFromGitHub {
owner = "kevinhwang91";
repo = "nvim-hlslens";
- rev = "8ffc64bb6b624612cf762982b92633f283f7a715";
- sha256 = "093da3q6lalp48wph4688hjkd0lf0bnzsa8y2bms1j8js0mmr0p3";
+ rev = "e4c811a401b06f86a7bb042b1d64a5cba21729a9";
+ sha256 = "1ifi59hd3wwb0wy2ymfbcyhixwfgmj292c5qip7gav8ffqn9cv9z";
};
meta.homepage = "https://github.com/kevinhwang91/nvim-hlslens/";
};
@@ -7249,12 +7647,12 @@ final: prev:
nvim-jdtls = buildVimPlugin {
pname = "nvim-jdtls";
- version = "2023-11-28";
+ version = "2024-03-07";
src = fetchFromGitHub {
owner = "mfussenegger";
repo = "nvim-jdtls";
- rev = "66b5ace68a5d1c45fdfb1afa8d847e87af2aa1f8";
- sha256 = "1cycasjvms1m58vcb3sk01dp4dzjjchds20yq0c6vq1s98wir0y6";
+ rev = "8eb5f0dbe6e126b392ddcaf45893358619893e45";
+ sha256 = "04v31198sqrw18ycrxhz94zq4q9bn879cdlyc06f8fc2hwqnz1ya";
};
meta.homepage = "https://github.com/mfussenegger/nvim-jdtls/";
};
@@ -7332,12 +7730,12 @@ final: prev:
nvim-lint = buildVimPlugin {
pname = "nvim-lint";
- version = "2024-01-24";
+ version = "2024-03-15";
src = fetchFromGitHub {
owner = "mfussenegger";
repo = "nvim-lint";
- rev = "8e5920f9ce9f24c283a2e64be5fe58d1d37d1744";
- sha256 = "07vs24r8jglq9sjj5lz5k2ay0b5arg21cvvl54j2hl9nrl5fl2z4";
+ rev = "03b1fc593638098a35de26d768d5f43b0fe57041";
+ sha256 = "1rf9m7skw7zmkp8wlipgdhc33jni97p2lbax6gsxsziajzxmvrih";
};
meta.homepage = "https://github.com/mfussenegger/nvim-lint/";
};
@@ -7368,12 +7766,12 @@ final: prev:
nvim-lspconfig = buildVimPlugin {
pname = "nvim-lspconfig";
- version = "2024-01-20";
+ version = "2024-03-16";
src = fetchFromGitHub {
owner = "neovim";
repo = "nvim-lspconfig";
- rev = "8917d2c830e04bf944a699b8c41f097621283828";
- sha256 = "0wiqy0k83qjh2y9r3rdm8jrrfmrwfm2729wrshxmxbdns0vjrmja";
+ rev = "5b364bd4db0fb68a56ffe427a370920854acb834";
+ sha256 = "0aljwqk4r6dx3hyshz62zd0n39a3vx94zrg7v923zbkjk77hr3dd";
};
meta.homepage = "https://github.com/neovim/nvim-lspconfig/";
};
@@ -7428,12 +7826,12 @@ final: prev:
nvim-metals = buildVimPlugin {
pname = "nvim-metals";
- version = "2024-01-15";
+ version = "2024-03-02";
src = fetchFromGitHub {
owner = "scalameta";
repo = "nvim-metals";
- rev = "d47287324d1e2efdb7aabde73fad5fd5b2b438bf";
- sha256 = "1q963lj9bxx4iycgzrpsybmrpc7l4qq7nd3cl4iqrp8qkjqklqwn";
+ rev = "4f9bf0c821092f20dd34c1a4ea5b07b0500ff089";
+ sha256 = "05d2v6yfs60n4xli5c682nlczqnib9pg6hxp79fiidhdidrf9zfj";
};
meta.homepage = "https://github.com/scalameta/nvim-metals/";
};
@@ -7500,12 +7898,12 @@ final: prev:
nvim-notify = buildVimPlugin {
pname = "nvim-notify";
- version = "2024-01-15";
+ version = "2024-02-17";
src = fetchFromGitHub {
owner = "rcarriga";
repo = "nvim-notify";
- rev = "80b67b265530632505193553d05127ae7fe09ddd";
- sha256 = "0spjp93s5zb2jyvj5is98kaargaxr11sb4dk3hc40p6nv78bq42s";
+ rev = "5371f4bfc1f6d3adf4fe9d62cd3a9d44356bfd15";
+ sha256 = "1daf6qhm9p0smcqi8w6vr8agnvyv9ra3z7f0ijlcab8qgqwhz5n4";
};
meta.homepage = "https://github.com/rcarriga/nvim-notify/";
};
@@ -7596,12 +7994,12 @@ final: prev:
nvim-scrollview = buildVimPlugin {
pname = "nvim-scrollview";
- version = "2024-01-13";
+ version = "2024-02-19";
src = fetchFromGitHub {
owner = "dstein64";
repo = "nvim-scrollview";
- rev = "2bc1d94174a977e7147e845156d3c4c12ac06b67";
- sha256 = "0da1bb9ppk3klxlwrbfh48vl39a6pbpp1z2irwybnz24zanxb4bi";
+ rev = "7ef112edde3355cb50c3b7bf1e8909c8d2bc3186";
+ sha256 = "146ljp5gh7vypr7hj6xxkzhlsg7dja4f0b1651clsi0sarxd59s9";
};
meta.homepage = "https://github.com/dstein64/nvim-scrollview/";
};
@@ -7620,60 +8018,60 @@ final: prev:
nvim-snippy = buildVimPlugin {
pname = "nvim-snippy";
- version = "2024-01-14";
+ version = "2024-02-24";
src = fetchFromGitHub {
owner = "dcampos";
repo = "nvim-snippy";
- rev = "8e4e39a4bf5f8939fcf4898d1fba48d1d1f72303";
- sha256 = "0ib8vlh2v3s93b15iv49yzx68bz4rhcgbapdp9cjxdlnvqzyf27y";
+ rev = "6295b6cb30725c343a8986096c9f04b0e7646c52";
+ sha256 = "1rplgghm6xr803xhgshrnbs4qvda4331znywsfwycxqyl7zvynsf";
};
meta.homepage = "https://github.com/dcampos/nvim-snippy/";
};
nvim-solarized-lua = buildVimPlugin {
pname = "nvim-solarized-lua";
- version = "2022-11-19";
+ version = "2024-03-04";
src = fetchFromGitHub {
owner = "ishan9299";
repo = "nvim-solarized-lua";
- rev = "7bd46fa036bde6b4483fb7403b0a7b0754faeeaa";
- sha256 = "0cpx916mbghxbpnkqs52y3n6h6dciaapj8bgas71w7qn4i6ygmhi";
+ rev = "d69a263c97cbc765ca442d682b3283aefd61d4ac";
+ sha256 = "1m0f63ih6hhxmap9gyrp05px2a3pyswraf75vhmabwwxpn903l6h";
};
meta.homepage = "https://github.com/ishan9299/nvim-solarized-lua/";
};
nvim-spectre = buildVimPlugin {
pname = "nvim-spectre";
- version = "2023-12-20";
+ version = "2024-03-13";
src = fetchFromGitHub {
owner = "nvim-pack";
repo = "nvim-spectre";
- rev = "d8906855f1949ac97b1e77aaf8d3fe12ed158ddc";
- sha256 = "1kmw61gli562d4r4vsf2fpxa09pi6a0brcdzly02n7xcan4l7bc4";
+ rev = "d1db6c1d37252b5a38f199e2f590c5a1617d9254";
+ sha256 = "1baavgxg61ww72avgzjjhkwkjaqcs9qw95p9a589ifgb9sclxklb";
};
meta.homepage = "https://github.com/nvim-pack/nvim-spectre/";
};
nvim-spider = buildVimPlugin {
pname = "nvim-spider";
- version = "2024-01-02";
+ version = "2024-03-15";
src = fetchFromGitHub {
owner = "chrisgrieser";
repo = "nvim-spider";
- rev = "dc371a116041c49ae6d3813f6e1722c2dcdabdcf";
- sha256 = "0x0plf8gxyl3cy6h2adhz535g00k1qmq0ibx9scxp00chh0g8nr4";
+ rev = "bcc9fa38a62637491b75b02e364191553fd858a2";
+ sha256 = "184i4zwp0m4bgv0pzj9acc7c2h0yzjc9jdcjp3k81j1kmfpva1fp";
};
meta.homepage = "https://github.com/chrisgrieser/nvim-spider/";
};
nvim-surround = buildVimPlugin {
pname = "nvim-surround";
- version = "2024-01-17";
+ version = "2024-02-27";
src = fetchFromGitHub {
owner = "kylechui";
repo = "nvim-surround";
- rev = "0c02c52182a9c2a7fa7e122b4037f6408e98434a";
- sha256 = "00cfnnz9hrr2hjvb6y58xwv6rsh0p6v7dw0ca60gx2313js10q08";
+ rev = "84a26afce16cffa7e3322cfa80a42cddf60616eb";
+ sha256 = "04sapqxwj4siwv5b0zxhv5vxmc653109bkk7yn6g5bckmwkdg2dq";
};
meta.homepage = "https://github.com/kylechui/nvim-surround/";
};
@@ -7716,48 +8114,48 @@ final: prev:
nvim-tree-lua = buildVimPlugin {
pname = "nvim-tree.lua";
- version = "2024-01-21";
+ version = "2024-03-16";
src = fetchFromGitHub {
owner = "nvim-tree";
repo = "nvim-tree.lua";
- rev = "7bdb220d0fe604a77361e92cdbc7af1b8a412126";
- sha256 = "1ij2prf826bp90i9ask2an0aih0nch5c4sax4awwwhpnhic3780h";
+ rev = "f7c09bd72e50e1795bd3afb9e2a2b157b4bfb3c3";
+ sha256 = "09dmcbl4mhwr9p5wngn10d4y48qhqhr07xkblc3zwgf0n4cqrkxj";
};
meta.homepage = "https://github.com/nvim-tree/nvim-tree.lua/";
};
nvim-treesitter = buildVimPlugin {
pname = "nvim-treesitter";
- version = "2024-01-25";
+ version = "2024-03-15";
src = fetchFromGitHub {
owner = "nvim-treesitter";
repo = "nvim-treesitter";
- rev = "cc908cfcd7258b2e5c1a9c583e2f3feda0caf23b";
- sha256 = "0xp9gfcdpxbxf09s449w5dxpkbw5hin6fxzb67kfz18k7fhnwfrw";
+ rev = "f87882858438834d2fbb6379aa2be37de901751b";
+ sha256 = "1il8iph7qh2z8clwbqwc8l2fn91wpv651sqyhdkyqz9iznb7h2fq";
};
meta.homepage = "https://github.com/nvim-treesitter/nvim-treesitter/";
};
nvim-treesitter-context = buildVimPlugin {
pname = "nvim-treesitter-context";
- version = "2024-01-24";
+ version = "2024-03-05";
src = fetchFromGitHub {
owner = "nvim-treesitter";
repo = "nvim-treesitter-context";
- rev = "2972717355a18d5599e9162f48d6e3e584068bf9";
- sha256 = "136yqfbgcjzy0k99h5zjrfdkcy5xpdqwdkvvc6x0ff4sw4mq0kgj";
+ rev = "b8b7e52c1517d401d7c519787d5dc4528c41291a";
+ sha256 = "1wcwx29n24wy5hlfh6ilsj5x1q3acdv4khh0c4p5a9m5vg4zbyn2";
};
meta.homepage = "https://github.com/nvim-treesitter/nvim-treesitter-context/";
};
nvim-treesitter-endwise = buildVimPlugin {
pname = "nvim-treesitter-endwise";
- version = "2023-09-23";
+ version = "2024-02-15";
src = fetchFromGitHub {
owner = "RRethy";
repo = "nvim-treesitter-endwise";
- rev = "4c344ffc8d54d7e1ba2cefaaa2c10ea93aa1cc2d";
- sha256 = "0320lz13zymw70wx7malkw4nkma3scz4kz35mq59f9p51dan6iky";
+ rev = "60e8c288e011403f248b5f6478dde12bed8a6c55";
+ sha256 = "0dly21jk1wm80s7ypwpwfm4mx1srbmaww0441854dwvh2s7j634v";
};
meta.homepage = "https://github.com/RRethy/nvim-treesitter-endwise/";
};
@@ -7788,12 +8186,12 @@ final: prev:
nvim-treesitter-textobjects = buildVimPlugin {
pname = "nvim-treesitter-textobjects";
- version = "2024-01-14";
+ version = "2024-03-12";
src = fetchFromGitHub {
owner = "nvim-treesitter";
repo = "nvim-treesitter-textobjects";
- rev = "19a91a38b02c1c28c14e0ba468d20ae1423c39b2";
- sha256 = "1g38yh7434sxbi270q8bddqiv61msaf4cj62ar0khjavzgxy41nc";
+ rev = "d2a4ffc22d9d38d44edb73da007b3cf43451e9b4";
+ sha256 = "159fcx7kfbgrk8lywfrx7vpdd6pdzphrqv4jwlvmjmzmmnbayhm7";
};
meta.homepage = "https://github.com/nvim-treesitter/nvim-treesitter-textobjects/";
};
@@ -7812,60 +8210,60 @@ final: prev:
nvim-ts-autotag = buildVimPlugin {
pname = "nvim-ts-autotag";
- version = "2024-01-25";
+ version = "2024-02-07";
src = fetchFromGitHub {
owner = "windwp";
repo = "nvim-ts-autotag";
- rev = "a65b202cfd08e0e69e531eab737205ff5bc082a4";
- sha256 = "1wysb48l5dfqfqf6mr75jni7n078h1ab1bqyrrjr05sybn3lww8b";
+ rev = "531f48334c422222aebc888fd36e7d109cb354cd";
+ sha256 = "1azlkqjvdnam4plm98a23j21swwlk1lvpa7cj3cpvi8q6wi09wkh";
};
meta.homepage = "https://github.com/windwp/nvim-ts-autotag/";
};
nvim-ts-context-commentstring = buildVimPlugin {
pname = "nvim-ts-context-commentstring";
- version = "2023-11-30";
+ version = "2024-02-02";
src = fetchFromGitHub {
owner = "joosepalviste";
repo = "nvim-ts-context-commentstring";
- rev = "1277b4a1f451b0f18c0790e1a7f12e1e5fdebfee";
- sha256 = "0363gb837rm66127z7b7q20ak4dgby3q1r0wvz5sqbkw8d704jgb";
+ rev = "7ab799a9792f7cf3883cf28c6a00ad431f3d382a";
+ sha256 = "1m0c909pkyp5ha9n0p72kvh9mrhl2mzsmhnfanrgyqxj32gaqa26";
};
meta.homepage = "https://github.com/joosepalviste/nvim-ts-context-commentstring/";
};
nvim-ufo = buildVimPlugin {
pname = "nvim-ufo";
- version = "2024-01-13";
+ version = "2024-03-16";
src = fetchFromGitHub {
owner = "kevinhwang91";
repo = "nvim-ufo";
- rev = "b0741a647efd98d9abb6cb653e056d24a07e4581";
- sha256 = "1bnyf422pf7y58a7v8zfx3w6w7ihzxchrix6rxxpypaivdp6say2";
+ rev = "2296dbb8939c4050c222f4eb24889540ef8acd76";
+ sha256 = "0dlrn9nlf43byn2dk24pkyjidm9i1zalrkn45pr76ayqy34fxp9n";
};
meta.homepage = "https://github.com/kevinhwang91/nvim-ufo/";
};
nvim-unception = buildVimPlugin {
pname = "nvim-unception";
- version = "2023-11-30";
+ version = "2024-02-06";
src = fetchFromGitHub {
owner = "samjwill";
repo = "nvim-unception";
- rev = "23085504347a710e43d7921ccb839fda8f1a70c1";
- sha256 = "0lprrkgm1plnw28vfpq550gakyw3ngxw930lr72wcx82r029i51g";
+ rev = "a0af21a27e2ba19ce6004d57d34006d18bc6bad4";
+ sha256 = "165gg5bgvga5c79hvniryf5d530r4gckahnhaj3xb9cf7m2xsdvy";
};
meta.homepage = "https://github.com/samjwill/nvim-unception/";
};
nvim-web-devicons = buildVimPlugin {
pname = "nvim-web-devicons";
- version = "2024-01-24";
+ version = "2024-03-16";
src = fetchFromGitHub {
owner = "nvim-tree";
repo = "nvim-web-devicons";
- rev = "b427ac5f9dff494f839e81441fb3f04a58cbcfbc";
- sha256 = "13ywffn24wlqciy1fnisiqbgsg4h6nxf3wcd0v28kmk29wyzqkf3";
+ rev = "cb0c967c9723a76ccb1be0cc3a9a10e577d2f6ec";
+ sha256 = "038inkii20fxk33c8bqz86nb81jf0z02l1gq2ml0k2fd5ffaq0nw";
};
meta.homepage = "https://github.com/nvim-tree/nvim-web-devicons/";
};
@@ -7932,24 +8330,24 @@ final: prev:
nvterm = buildVimPlugin {
pname = "nvterm";
- version = "2023-09-09";
+ version = "2024-03-09";
src = fetchFromGitHub {
owner = "nvchad";
repo = "nvterm";
- rev = "3e43be1d0ca60cc5e2dfc2d289b06577e7e57e98";
- sha256 = "10k9x2mg0pcrrf83ysxc0amldjnfgvxl8r74j42czdw5my8aqgcf";
+ rev = "9d7ba3b6e368243175d38e1ec956e0476fd86ed9";
+ sha256 = "0pnh3mva0jjm2li5xnkbfa3cvn0di01b24kqn82g43fjvmf3kxzx";
};
meta.homepage = "https://github.com/nvchad/nvterm/";
};
obsidian-nvim = buildVimPlugin {
pname = "obsidian.nvim";
- version = "2024-01-25";
+ version = "2024-03-15";
src = fetchFromGitHub {
owner = "epwalsh";
repo = "obsidian.nvim";
- rev = "5f469faab7bebc1ee30942eeebfdfdcbd7f2b411";
- sha256 = "1abrd8zs1cmdw08m3vxlc6j2ay7kvchl53zx052lqps07is3b6ny";
+ rev = "450c3dabffa395502800d6ac0b1d1dcd5d89f80e";
+ sha256 = "003z6v2r8bd20jlpwknp1la4gqxbqcmkiqq1yvp68b4i1klll5a1";
};
meta.homepage = "https://github.com/epwalsh/obsidian.nvim/";
};
@@ -7980,24 +8378,24 @@ final: prev:
octo-nvim = buildVimPlugin {
pname = "octo.nvim";
- version = "2024-01-24";
+ version = "2024-03-11";
src = fetchFromGitHub {
owner = "pwntester";
repo = "octo.nvim";
- rev = "f77d214e5a67f1c1eb601a9c99418a5f7924af22";
- sha256 = "04hsdz0ma7q4c7bs89si2hdjnds5rybagh7z1jnl8xc52kxf2lwk";
+ rev = "1e2376ac6966805be9967f4ea0e4cf7c750f8214";
+ sha256 = "04v882ym3kgmja01gw1wgpw09dzjcy665jrmrza3ilir4c192ddh";
};
meta.homepage = "https://github.com/pwntester/octo.nvim/";
};
oil-nvim = buildVimPlugin {
pname = "oil.nvim";
- version = "2024-01-22";
+ version = "2024-03-13";
src = fetchFromGitHub {
owner = "stevearc";
repo = "oil.nvim";
- rev = "bf753c3e3f8736939ad5597f92329dfe7b1df4f5";
- sha256 = "02wjsfhhq8lrai18m3khv7sln070cmwgr7jqp537dwl47v4pq4z3";
+ rev = "32e18df30f937e02135398c270b72a4d24b40120";
+ sha256 = "15w8adbb9pwsnjch41d3dw4q3dpvrw61wvwbxzyfzhk032133dz6";
fetchSubmodules = true;
};
meta.homepage = "https://github.com/stevearc/oil.nvim/";
@@ -8015,14 +8413,26 @@ final: prev:
meta.homepage = "https://github.com/nomnivore/ollama.nvim/";
};
+ omni-vim = buildVimPlugin {
+ pname = "omni.vim";
+ version = "2022-06-17";
+ src = fetchFromGitHub {
+ owner = "yonlu";
+ repo = "omni.vim";
+ rev = "6c0f3015b1d6f2ae59c12cc380c629b965d3dc62";
+ sha256 = "0mb3qb2yv4y57xp3548wrlnlyrshxjv511lwmzb9k0xnyig6mgmx";
+ };
+ meta.homepage = "https://github.com/yonlu/omni.vim/";
+ };
+
omnisharp-extended-lsp-nvim = buildVimPlugin {
pname = "omnisharp-extended-lsp.nvim";
- version = "2023-12-25";
+ version = "2024-03-09";
src = fetchFromGitHub {
owner = "Hoffs";
repo = "omnisharp-extended-lsp.nvim";
- rev = "4be2e8689067494ed7e5a4f1221adc31d1a07783";
- sha256 = "1mzbyz5p10d7ilpi7c05qcjjixc6nrnd0shzh49ic20d2c9wnzdy";
+ rev = "f7310a06ad86072158adc37f394650e7fba9631d";
+ sha256 = "0li9zsh7g149jb4154x0z5v8frwlziv45iqam4zl9yjnx0m6s085";
};
meta.homepage = "https://github.com/Hoffs/omnisharp-extended-lsp.nvim/";
};
@@ -8041,12 +8451,12 @@ final: prev:
onedark-nvim = buildVimPlugin {
pname = "onedark.nvim";
- version = "2024-01-22";
+ version = "2024-01-29";
src = fetchFromGitHub {
owner = "navarasu";
repo = "onedark.nvim";
- rev = "14e5de43cf1ff761c280d1ff5b9980897f5b46c7";
- sha256 = "16c3hqpn11bqa39b02x5dn94ny5i3pkyn80gkrcdvibp7zdxjfwf";
+ rev = "1230aaf2a427b2c5b73aba6e4a9a5881d3e69429";
+ sha256 = "1npc9x2r0aass9swxmhryia90ar7f4nx8j456jzlf66lzbr8xrnp";
};
meta.homepage = "https://github.com/navarasu/onedark.nvim/";
};
@@ -8063,14 +8473,26 @@ final: prev:
meta.homepage = "https://github.com/joshdick/onedark.vim/";
};
+ onedarker-nvim = buildVimPlugin {
+ pname = "onedarker.nvim";
+ version = "2022-10-10";
+ src = fetchFromGitHub {
+ owner = "LunarVim";
+ repo = "onedarker.nvim";
+ rev = "b4f92f073ed7cdf0358ad005cee0484411232b1b";
+ sha256 = "121bympiikzwgbklpbzvp9f0izm3bz9mqndv3wj796qb853ap48c";
+ };
+ meta.homepage = "https://github.com/LunarVim/onedarker.nvim/";
+ };
+
onedarkpro-nvim = buildVimPlugin {
pname = "onedarkpro.nvim";
- version = "2024-01-24";
+ version = "2024-03-13";
src = fetchFromGitHub {
owner = "olimorris";
repo = "onedarkpro.nvim";
- rev = "e39ebd1d8062acd11b2bc0cfef8ce6d88d26c4f9";
- sha256 = "1vaafhvfzcg1g2llb1swazfjy24safc57lkyxd6f0cdh0hxiykx6";
+ rev = "e4fc3641aa3b52e30496bf34b87f70ef5506686e";
+ sha256 = "05kzbw4zm4c213clfc6wn0pjqqyx3baivmbzyppmwmx54l6qqdsy";
};
meta.homepage = "https://github.com/olimorris/onedarkpro.nvim/";
};
@@ -8089,12 +8511,12 @@ final: prev:
onenord-nvim = buildVimPlugin {
pname = "onenord.nvim";
- version = "2024-01-20";
+ version = "2024-03-08";
src = fetchFromGitHub {
owner = "rmehri01";
repo = "onenord.nvim";
- rev = "c2219394f20ab4d256d7cf78d6c82dea75491a2a";
- sha256 = "06dwlckfhvzgmfq13a6259krhs69z5r5yp4wqnwf17wvj80n1vvd";
+ rev = "ce4aacbaa50b6b44a3e69eee6a3f380e96ccdbd0";
+ sha256 = "1i1n746bf0gfmq22ajj2p4f7819sql4f051gwjmcypd1hrn651lh";
};
meta.homepage = "https://github.com/rmehri01/onenord.nvim/";
};
@@ -8125,72 +8547,84 @@ final: prev:
openingh-nvim = buildVimPlugin {
pname = "openingh.nvim";
- version = "2023-10-31";
+ version = "2024-03-10";
src = fetchFromGitHub {
owner = "Almo7aya";
repo = "openingh.nvim";
- rev = "5c9e851d7c26fdb236dfea8866b71fefe7ddeffc";
- sha256 = "0xq2s7vwjfxqm5g7k5c3b9cm9j3ngrx8g4dd9qfzq1s9pfkk3i3y";
+ rev = "613c18967d42202f3e2a9ac788caf62a402e7c1a";
+ sha256 = "1s1rnk1slw6bc9dvk70r9hgsva598n19smpyk89ix3h5xw81sr64";
};
meta.homepage = "https://github.com/Almo7aya/openingh.nvim/";
};
openscad-nvim = buildVimPlugin {
pname = "openscad.nvim";
- version = "2023-06-19";
+ version = "2024-03-12";
src = fetchFromGitHub {
owner = "salkin-mada";
repo = "openscad.nvim";
- rev = "d77e7b3822da685d24a58081e94c4384e254d91f";
- sha256 = "1sf8fhp7mfr9kwf7x1qf4gdbi4xc6qfwjlyyj1aykbxd1b39kg2x";
+ rev = "5c35ce921a63d59f2a0bb20d872c15a0d4c8aa72";
+ sha256 = "1l3xhv670apfjns12xzhf7sn3mf3gdd3xiyirydrnp7bxy7dyv1h";
};
meta.homepage = "https://github.com/salkin-mada/openscad.nvim/";
};
orgmode = buildVimPlugin {
pname = "orgmode";
- version = "2024-01-24";
+ version = "2024-03-12";
src = fetchFromGitHub {
owner = "nvim-orgmode";
repo = "orgmode";
- rev = "bd2a65179c814b40ca3073a282507a6a4f2355c1";
- sha256 = "0biqfp0azb2y66zvqlp8y037c4raxhlnwvn89vdpp26d6isyzf93";
+ rev = "261c987345131a736066c25ea409f4d10904b0af";
+ sha256 = "1k1q49gymnpb3b1kp5kwn8q0r6pd7smadjv1v9d70q4ij8j3hi9i";
};
meta.homepage = "https://github.com/nvim-orgmode/orgmode/";
};
other-nvim = buildVimPlugin {
pname = "other.nvim";
- version = "2023-12-04";
+ version = "2024-02-05";
src = fetchFromGitHub {
owner = "rgroli";
repo = "other.nvim";
- rev = "d4d926dec6d0e037c1a5f092793b474947ed357e";
- sha256 = "1pqs0sv35p74jgb3p01dqgpvzcdsxg1fmwkrq65nz9lw8zff7q11";
+ rev = "d36a66af270b63459c080d4589126a06cf56578c";
+ sha256 = "015is3pyjn0jrmz03vsvyiiy8fgwrpd1syfq3zmspyyki7blclcl";
};
meta.homepage = "https://github.com/rgroli/other.nvim/";
};
otter-nvim = buildVimPlugin {
pname = "otter.nvim";
- version = "2024-01-22";
+ version = "2024-03-13";
src = fetchFromGitHub {
owner = "jmbuhr";
repo = "otter.nvim";
- rev = "151b75410e7b6041fb54f48443a80ecd518cb31e";
- sha256 = "08bni1v06agsm9mpq01wdig3shc78i97a7mfbagzlws0wj38qgpq";
+ rev = "6dd878c49520f7e53c75fc14d20dcf2c4a5f326d";
+ sha256 = "0xjkp8fp8405bpjg0jwkhw6jris1sz39d46d0n4idyzxf5n8pcf4";
};
meta.homepage = "https://github.com/jmbuhr/otter.nvim/";
};
+ outline-nvim = buildVimPlugin {
+ pname = "outline.nvim";
+ version = "2024-01-22";
+ src = fetchFromGitHub {
+ owner = "hedyhli";
+ repo = "outline.nvim";
+ rev = "a8d40aecb799196303ff3521c0e31c87bba57198";
+ sha256 = "1xhqrgjj37d1wq7vrcw9vwmrvzl5a3vyz4k0dglvgjq5z2g5zb0x";
+ };
+ meta.homepage = "https://github.com/hedyhli/outline.nvim/";
+ };
+
overseer-nvim = buildVimPlugin {
pname = "overseer.nvim";
- version = "2024-01-07";
+ version = "2024-03-07";
src = fetchFromGitHub {
owner = "stevearc";
repo = "overseer.nvim";
- rev = "68a2d344cea4a2e11acfb5690dc8ecd1a1ec0ce0";
- sha256 = "0miakpcc11yr7p7yaj9igakkv6dnyngra7p52hc26qm4mnq4ghwd";
+ rev = "b72f6d23ce47ccd427be2341f389c63448278f17";
+ sha256 = "0b44hqiwgh1zvgwslwjmmry4qznpwaymydz0pjgks9msw8zbld06";
fetchSubmodules = true;
};
meta.homepage = "https://github.com/stevearc/overseer.nvim/";
@@ -8210,12 +8644,12 @@ final: prev:
package-info-nvim = buildVimPlugin {
pname = "package-info.nvim";
- version = "2023-11-12";
+ version = "2024-02-18";
src = fetchFromGitHub {
owner = "vuki656";
repo = "package-info.nvim";
- rev = "18f8126dd8e65b2e21804c9107785af4abbb5bfc";
- sha256 = "0b9s9a3nz0449sl8zzf55xk12hrkksvnrnbc38i1la234xhrfpsw";
+ rev = "45acce5b12ce824332d8000cc2c91805b6710446";
+ sha256 = "19aaswkjx7q85c091p80zypx6az0m5z2jccapng5clvh2j4qw7qf";
};
meta.homepage = "https://github.com/vuki656/package-info.nvim/";
};
@@ -8244,6 +8678,18 @@ final: prev:
meta.homepage = "https://github.com/drewtempelmeyer/palenight.vim/";
};
+ palenightfall-nvim = buildVimPlugin {
+ pname = "palenightfall.nvim";
+ version = "2023-10-05";
+ src = fetchFromGitHub {
+ owner = "JoosepAlviste";
+ repo = "palenightfall.nvim";
+ rev = "25a1e7d43256834a671174e5d83edb57f7bec1e0";
+ sha256 = "1svfibhrlwxsh4nzyb8hjdfgdakh176pg47vzvkrywafr8mw6ak5";
+ };
+ meta.homepage = "https://github.com/JoosepAlviste/palenightfall.nvim/";
+ };
+
palette-nvim = buildVimPlugin {
pname = "palette.nvim";
version = "2023-10-02";
@@ -8258,12 +8704,12 @@ final: prev:
papercolor-theme = buildVimPlugin {
pname = "papercolor-theme";
- version = "2022-06-08";
+ version = "2024-03-12";
src = fetchFromGitHub {
owner = "NLKNguyen";
repo = "papercolor-theme";
- rev = "9051480ad9129ff4ab4fffb38b44779b9081626f";
- sha256 = "15vx37jy75xs1ibxrwwxi3p4yzgsw7y63l8ksaxvddg4lv63gidi";
+ rev = "0cfe64ffb24c21a6101b5f994ca342a74c977aef";
+ sha256 = "1w6j57lri4r79igzi5rvvfv93c3pb4lrvvcg95gpa9rg122plw15";
};
meta.homepage = "https://github.com/NLKNguyen/papercolor-theme/";
};
@@ -8366,12 +8812,12 @@ final: prev:
plantuml-syntax = buildVimPlugin {
pname = "plantuml-syntax";
- version = "2022-08-26";
+ version = "2024-02-22";
src = fetchFromGitHub {
owner = "aklt";
repo = "plantuml-syntax";
- rev = "845abb56dcd3f12afa6eb47684ef5ba3055802b8";
- sha256 = "0d2frv6knkj4bjavq2c2kx8qdnmcq0d8l04a5z7bpqwkmrrhd31f";
+ rev = "309c15c77794433f276fb09eb4e3b8f381003cfd";
+ sha256 = "0g7yprik607gy01lamql1kpk25sdl54ckfrc9p11rrimal7rms38";
};
meta.homepage = "https://github.com/aklt/plantuml-syntax/";
};
@@ -8390,12 +8836,12 @@ final: prev:
plenary-nvim = buildNeovimPlugin {
pname = "plenary.nvim";
- version = "2024-01-21";
+ version = "2024-03-06";
src = fetchFromGitHub {
owner = "nvim-lua";
repo = "plenary.nvim";
- rev = "663246936325062427597964d81d30eaa42ab1e4";
- sha256 = "12cj7f0ghmd58ka9qc1c2qyd5x4cx97wd3avx5ny1x1gb8il013b";
+ rev = "f7adfc4b3f4f91aab6caebf42b3682945fbc35be";
+ sha256 = "0brfbf9ygzb050p4kmk5mx17y8p5zvz2wa1zyw430cdrlqb68nzy";
};
meta.homepage = "https://github.com/nvim-lua/plenary.nvim/";
};
@@ -8523,12 +8969,12 @@ final: prev:
promise-async = buildVimPlugin {
pname = "promise-async";
- version = "2023-11-28";
+ version = "2024-02-27";
src = fetchFromGitHub {
owner = "kevinhwang91";
repo = "promise-async";
- rev = "94f6f03c6c1e2aab551aacdf0c1e597a7269abb6";
- sha256 = "0davvjhc5pxa8j3l7njqk9dqc7m9f4hg037xcz0nr23ihhs2ja96";
+ rev = "93540c168c5ed2b030ec3e6c40ab8bbb85e36355";
+ sha256 = "059icgs1hi7sl1mjgrg468mz2p21ahag5j0wpwmc9by7sf99da5z";
};
meta.homepage = "https://github.com/kevinhwang91/promise-async/";
};
@@ -8596,12 +9042,12 @@ final: prev:
quarto-nvim = buildVimPlugin {
pname = "quarto-nvim";
- version = "2023-11-29";
+ version = "2024-03-06";
src = fetchFromGitHub {
owner = "quarto-dev";
repo = "quarto-nvim";
- rev = "0a35b3890e9d723b730506d7d8b3ba3d0d6aae2e";
- sha256 = "1pkyr1pqq9dfk0s7f8rfqkg5zqhi9ss818wv54v9gnhvmwnw30mk";
+ rev = "67e09027b5d8bd948907734fc6fb15028ffdcd28";
+ sha256 = "07p45qmxnc10kfn9syfqv5v5jm75rd8bs9gv90a246dxis4p0515";
};
meta.homepage = "https://github.com/quarto-dev/quarto-nvim/";
};
@@ -8644,12 +9090,12 @@ final: prev:
quickmath-nvim = buildVimPlugin {
pname = "quickmath.nvim";
- version = "2023-03-12";
+ version = "2024-02-12";
src = fetchFromGitHub {
owner = "jbyuki";
repo = "quickmath.nvim";
- rev = "dcfc5450fa686714817a0d4767299f37f94bdb43";
- sha256 = "1rmbrdxz26f4b12yvb4yjb6b3rn89nky6an4wclh4c68li70h54l";
+ rev = "7a9a6599018aa931a8fe7ad2e4cb2f36db533d19";
+ sha256 = "0192am8bligkjzzwarkgwk6cja2jkya6ixraybz64yp0mk6lha5r";
};
meta.homepage = "https://github.com/jbyuki/quickmath.nvim/";
};
@@ -8668,11 +9114,11 @@ final: prev:
rainbow-delimiters-nvim = buildVimPlugin {
pname = "rainbow-delimiters.nvim";
- version = "2024-01-22";
+ version = "2024-03-16";
src = fetchgit {
url = "https://gitlab.com/HiPhish/rainbow-delimiters.nvim";
- rev = "35530b4ad48f01bdaa852da34e4de9930fb54c89";
- sha256 = "04a36454m0vhwmq6xfg75252yhck54b58n18kshm9z0razsg4j7m";
+ rev = "2200900e3c1aae21dadb65c2ea2e91bcc39ca368";
+ sha256 = "1hbak03xdkj0gfg5zjqdmlaih3pjm0339qvd9jjbp29gzjy6q8hl";
};
meta.homepage = "https://gitlab.com/HiPhish/rainbow-delimiters.nvim";
};
@@ -8751,12 +9197,12 @@ final: prev:
refactoring-nvim = buildVimPlugin {
pname = "refactoring.nvim";
- version = "2024-01-22";
+ version = "2024-03-15";
src = fetchFromGitHub {
owner = "theprimeagen";
repo = "refactoring.nvim";
- rev = "f4546284059f7dc01f65be93d03f6c850fd2aba1";
- sha256 = "190438nnqw9nra3vrrpcfw2nib3s2jzld6iyd1kniarlggid4fwd";
+ rev = "d2786877c91aa409c824f27b4ce8a9f560dda60a";
+ sha256 = "0s0pd2b9hnz4rbgva1xad7lzg8z8mq7j8x15ppnrw6bplx5m35jd";
};
meta.homepage = "https://github.com/theprimeagen/refactoring.nvim/";
};
@@ -8811,12 +9257,12 @@ final: prev:
rest-nvim = buildNeovimPlugin {
pname = "rest.nvim";
- version = "2024-01-24";
+ version = "2024-03-15";
src = fetchFromGitHub {
owner = "rest-nvim";
repo = "rest.nvim";
- rev = "3035cab370f806651704d5af5d18cbf0b0be0840";
- sha256 = "0v04cc7mgzk7l8dznjqx7vaghh66c2x32zy0kjvwhyb64n07zwxv";
+ rev = "91badd46c60df6bd9800c809056af2d80d33da4c";
+ sha256 = "13swdcp23fb4kl6hr40l3zv4m6zw3d0q91g8anphrv751xqjkyx1";
};
meta.homepage = "https://github.com/rest-nvim/rest.nvim/";
};
@@ -8835,12 +9281,12 @@ final: prev:
rnvimr = buildVimPlugin {
pname = "rnvimr";
- version = "2023-08-06";
+ version = "2024-03-01";
src = fetchFromGitHub {
owner = "kevinhwang91";
repo = "rnvimr";
- rev = "5f0483d1c107ab1fe7e0af08cdf7900aa0dcb40e";
- sha256 = "0qcq33hlbh016x76wpn956wkpc9dy89w2x7gngzr7frx084skjwg";
+ rev = "3c41af742a61caf74a9f83fb82b9ed03ef13b880";
+ sha256 = "0aynxmpgmdyd7x5dnqk3s4v0xli0q6s1h7al9kmr66rrimmwh02n";
};
meta.homepage = "https://github.com/kevinhwang91/rnvimr/";
};
@@ -8931,12 +9377,12 @@ final: prev:
rustaceanvim = buildNeovimPlugin {
pname = "rustaceanvim";
- version = "2024-01-25";
+ version = "2024-03-15";
src = fetchFromGitHub {
owner = "mrcjkb";
repo = "rustaceanvim";
- rev = "87fc16de1360cda02470824a17e0073967bf29f1";
- sha256 = "0j3kysif91m3dldk5fwh8r4qxsakr6yk1q6iyi9wajnqdkgxkzcy";
+ rev = "69a22c2ec63ab375190006751562b62ebb318250";
+ sha256 = "0nr48zm6wrldx43zc4v4j2jm6sp9627a2mjd6jh62bg4g210ipci";
};
meta.homepage = "https://github.com/mrcjkb/rustaceanvim/";
};
@@ -8967,12 +9413,12 @@ final: prev:
satellite-nvim = buildVimPlugin {
pname = "satellite.nvim";
- version = "2024-01-12";
+ version = "2024-02-16";
src = fetchFromGitHub {
owner = "lewis6991";
repo = "satellite.nvim";
- rev = "99610b77fe2e047d2e55d70a256bcf63953eb6d7";
- sha256 = "1i7l2r3kwcv3sixsjlh0wyhafl60cam0bgygc483qrn4jgdxqhzy";
+ rev = "40eb89743e3439c66192abfc31eb3280622a5d3c";
+ sha256 = "1zi3m7zhjl9naggmq9z81x9lfvahjs9bmp43d6b1p1idxa716pij";
};
meta.homepage = "https://github.com/lewis6991/satellite.nvim/";
};
@@ -9099,12 +9545,12 @@ final: prev:
sg-nvim = buildVimPlugin {
pname = "sg.nvim";
- version = "2024-01-15";
+ version = "2024-03-14";
src = fetchFromGitHub {
owner = "sourcegraph";
repo = "sg.nvim";
- rev = "691e138a86ccb4083c46ff62ab5eb53f9148696d";
- sha256 = "0qgrycxckx7ffz0rkdj1nc7bfgl5n5mhcj56sn96vrhgbzlpli7q";
+ rev = "8d7735bfb810d919806da1e1c4f839fbc6ecccfe";
+ sha256 = "1wb6k3zyk5xa2s6v9x5kly9wv6hi4mpw9630fkyj0ixc4z67y4j9";
};
meta.homepage = "https://github.com/sourcegraph/sg.nvim/";
};
@@ -9172,24 +9618,24 @@ final: prev:
smart-splits-nvim = buildVimPlugin {
pname = "smart-splits.nvim";
- version = "2024-01-11";
+ version = "2024-03-15";
src = fetchFromGitHub {
owner = "mrjones2014";
repo = "smart-splits.nvim";
- rev = "36bfe63246386fc5ae2679aa9b17a7746b7403d5";
- sha256 = "1gkxms47i52xadrdzh60zqp00gy2ai391cybw9n7ar0ar5xcjp1c";
+ rev = "83bdcc3db3b272a6e73b0f3aea0f5bc0a8da2696";
+ sha256 = "1jj19kffws1fi309qzazq35szq43kdga732wvgy2sb4wc28s7vfs";
};
meta.homepage = "https://github.com/mrjones2014/smart-splits.nvim/";
};
smartcolumn-nvim = buildVimPlugin {
pname = "smartcolumn.nvim";
- version = "2023-12-26";
+ version = "2024-03-10";
src = fetchFromGitHub {
owner = "m4xshen";
repo = "smartcolumn.nvim";
- rev = "a52915d6d9abf9972e249ebcffcc651cf9b062dd";
- sha256 = "1mqmbyy2a8b74xyag3jaz24pi3v8gzm0hvmw228s898bxqs496vk";
+ rev = "cefb17be095ad5526030a21bb2a80553cae09127";
+ sha256 = "1lddpzrqcvq4vqjqcc89zi851kn1r247m5c1sxn7zxh5sl61wwhc";
};
meta.homepage = "https://github.com/m4xshen/smartcolumn.nvim/";
};
@@ -9208,12 +9654,12 @@ final: prev:
smartyank-nvim = buildVimPlugin {
pname = "smartyank.nvim";
- version = "2023-12-06";
+ version = "2024-03-05";
src = fetchFromGitHub {
owner = "ibhagwan";
repo = "smartyank.nvim";
- rev = "b048fec8c6b7d122adab6f78606057d59975ece7";
- sha256 = "1i9lyl7yy96rvjpl676pgs1irvrrqx8xsrbz9b8ri37md8h3zjym";
+ rev = "d9e078fe08d6466e37ea45ac446a9f60e6866789";
+ sha256 = "13l8mj2wp8a3n7n5k7c1pdywv6l8rlgahwg8mnbnk11q7i03a1y3";
};
meta.homepage = "https://github.com/ibhagwan/smartyank.nvim/";
};
@@ -9256,12 +9702,12 @@ final: prev:
sonokai = buildVimPlugin {
pname = "sonokai";
- version = "2024-01-23";
+ version = "2024-02-13";
src = fetchFromGitHub {
owner = "sainnhe";
repo = "sonokai";
- rev = "42822f8f9b3a5088f05cf72a0aa1477598ad1a40";
- sha256 = "1k881larnnqwsm9fanqp7nbiqpd03m779prshky9hy50hywl5vv2";
+ rev = "a62656a798043f3c6b603efa98d4de2da89c72b2";
+ sha256 = "09l69n5j80pdb5awja3mzlsw5i7f1w1jp1xwfq72wrcap96xyk3g";
};
meta.homepage = "https://github.com/sainnhe/sonokai/";
};
@@ -9388,12 +9834,12 @@ final: prev:
splitjoin-vim = buildVimPlugin {
pname = "splitjoin.vim";
- version = "2024-01-08";
+ version = "2024-02-23";
src = fetchFromGitHub {
owner = "AndrewRadev";
repo = "splitjoin.vim";
- rev = "503e56ed103b58b6bd0880a3e61225c8b1c40033";
- sha256 = "0yw3dzhngk2pqcm40cd3vkqij4m7pkh7f0phvl3mmmy8x5kw2zh6";
+ rev = "1aa617d15a9904107a68f95ebf5036b7d4abf64d";
+ sha256 = "1yjygjjiiv5572ccqn00wk7dc7q30r6jnvxv85qrz5bnvvfymvvs";
fetchSubmodules = true;
};
meta.homepage = "https://github.com/AndrewRadev/splitjoin.vim/";
@@ -9401,24 +9847,24 @@ final: prev:
sqlite-lua = buildVimPlugin {
pname = "sqlite.lua";
- version = "2023-04-19";
+ version = "2024-02-19";
src = fetchFromGitHub {
owner = "kkharji";
repo = "sqlite.lua";
- rev = "b7e28c8463254c46a8e61c52d27d6a2040492fc3";
- sha256 = "0dx4d29zfp7psp2x42lpag0midadk51fcjiyw4hq570sd0j44jaw";
+ rev = "40701b6151f8883980c1548647116de39b763540";
+ sha256 = "106j1zzsr97jr0pk6ri2jxdpvqc2ci7g8rlsbb5s30lsqr4ix0ah";
};
meta.homepage = "https://github.com/kkharji/sqlite.lua/";
};
srcery-vim = buildVimPlugin {
pname = "srcery-vim";
- version = "2024-01-05";
+ version = "2024-02-17";
src = fetchFromGitHub {
owner = "srcery-colors";
repo = "srcery-vim";
- rev = "b020d2a1eed0d578ae195fba2b9c6841cc886c57";
- sha256 = "1p0d7zkgb05w1zz4xy5gc5shhlmyzxkhcfv382bvva5qzrrcvk80";
+ rev = "289c6a1499b074c15e30cf437364837dd4966f83";
+ sha256 = "1k14nwndx7z3hy7d81zghrrl641bfgpq61n5j0nsrd0kk2xiym61";
};
meta.homepage = "https://github.com/srcery-colors/srcery-vim/";
};
@@ -9459,6 +9905,18 @@ final: prev:
meta.homepage = "https://github.com/luukvbaal/stabilize.nvim/";
};
+ staline-nvim = buildVimPlugin {
+ pname = "staline.nvim";
+ version = "2024-03-08";
+ src = fetchFromGitHub {
+ owner = "tamton-aquib";
+ repo = "staline.nvim";
+ rev = "c49f2deaba3d3c669e7243b57619e0078e7a351b";
+ sha256 = "1d3mp5ymzi5pb8y246h38bydd2cw4iacakaj9a7r7hf87i6kfz6k";
+ };
+ meta.homepage = "https://github.com/tamton-aquib/staline.nvim/";
+ };
+
stan-vim = buildVimPlugin {
pname = "stan-vim";
version = "2023-12-13";
@@ -9509,16 +9967,28 @@ final: prev:
statuscol-nvim = buildVimPlugin {
pname = "statuscol.nvim";
- version = "2023-12-23";
+ version = "2024-02-23";
src = fetchFromGitHub {
owner = "luukvbaal";
repo = "statuscol.nvim";
- rev = "3b629754420919575a9e5758027d6e1831dbf2aa";
- sha256 = "1qbvcrqih5w2dxf0gd9rnw1vmx0mzsi52i38i0zp44kflgp432h3";
+ rev = "d954893262a57a92e46edd87de67e2b3fe72305e";
+ sha256 = "1i8nvhbrcsinydd1ppnrw6lr3izh1dwp860hr7axyfjgqxgx39f8";
};
meta.homepage = "https://github.com/luukvbaal/statuscol.nvim/";
};
+ styler-nvim = buildVimPlugin {
+ pname = "styler.nvim";
+ version = "2024-01-19";
+ src = fetchFromGitHub {
+ owner = "folke";
+ repo = "styler.nvim";
+ rev = "2cd29996d08cec8b31270c6de64465f716ef9d71";
+ sha256 = "0lml1hizypx26n80ghaibh3wkazd21phak0af5936y46c54xi2dk";
+ };
+ meta.homepage = "https://github.com/folke/styler.nvim/";
+ };
+
stylish-nvim = buildVimPlugin {
pname = "stylish.nvim";
version = "2022-02-01";
@@ -9557,12 +10027,12 @@ final: prev:
suda-vim = buildVimPlugin {
pname = "suda.vim";
- version = "2023-06-27";
+ version = "2024-03-12";
src = fetchFromGitHub {
owner = "lambdalisue";
repo = "suda.vim";
- rev = "8b0fc3711760195aba104e2d190cff9af8267052";
- sha256 = "196fl3anzpw5999035xjmm00qsrg2d2mmbkjr7f72bk7rqiqyl8c";
+ rev = "66727b416837836712975e748bc8a19fb6cf4ec3";
+ sha256 = "09lbnx6mrqsrn6fvcp3mb6mkwbrkdrvr5rgzp43idj0ipm5j809h";
};
meta.homepage = "https://github.com/lambdalisue/suda.vim/";
};
@@ -9666,12 +10136,12 @@ final: prev:
tabby-nvim = buildVimPlugin {
pname = "tabby.nvim";
- version = "2024-01-08";
+ version = "2024-02-13";
src = fetchFromGitHub {
owner = "nanozuki";
repo = "tabby.nvim";
- rev = "55712426431b12beae5f12e731cfb1d707632a3d";
- sha256 = "16543b3mjqlba03bmgv961fdc8gk1cz3b3djivf10b8597rlf9sy";
+ rev = "c4df244245e116280c961112cf6ee221ca3bc294";
+ sha256 = "0mnwdhnqrcl746hzm6v9g6n2f3hy8dkk9gn19nmi32xsybw4hpxx";
};
meta.homepage = "https://github.com/nanozuki/tabby.nvim/";
};
@@ -9727,12 +10197,12 @@ final: prev:
tabout-nvim = buildVimPlugin {
pname = "tabout.nvim";
- version = "2023-03-29";
+ version = "2024-02-18";
src = fetchFromGitHub {
owner = "abecodes";
repo = "tabout.nvim";
- rev = "0d275c8d25f32457e67b5c66d6ae43f26a61bce5";
- sha256 = "11zly7bfdz110a7ififylzgizin06ia0i3jipzp12n2n2paarp1f";
+ rev = "6a8f4e67a9bfc9bfc9989cc45253180598cc4339";
+ sha256 = "0j4n6f8k2054v77pm458q0qf36ipyk31lplm2m4fszxq0sq0kmwp";
};
meta.homepage = "https://github.com/abecodes/tabout.nvim/";
};
@@ -9775,12 +10245,12 @@ final: prev:
tagbar = buildVimPlugin {
pname = "tagbar";
- version = "2023-12-21";
+ version = "2024-01-26";
src = fetchFromGitHub {
owner = "preservim";
repo = "tagbar";
- rev = "fcd31ec145bbc628b90371be381717a1498b7c12";
- sha256 = "04hv2fm8f2s4bczn3mdgm6wl690lnchxmv1k2iyjwsa79gkhcn5h";
+ rev = "12edcb59449b335555652898f82dd6d5c59d519a";
+ sha256 = "14nl91jzpbj0rd3w96qjl1z6w64y27ss3hiw08lpfhqd7f929x5b";
};
meta.homepage = "https://github.com/preservim/tagbar/";
};
@@ -9823,12 +10293,12 @@ final: prev:
taskwiki = buildVimPlugin {
pname = "taskwiki";
- version = "2022-12-14";
+ version = "2024-02-29";
src = fetchFromGitHub {
owner = "tools-life";
repo = "taskwiki";
- rev = "7a74ad8ed3743142830ba29a599c7bd23dd2b158";
- sha256 = "0nj5ndfd0rlvmr6h7mb1vzlka3d0ybj7m70ly521na17j9q4vlg0";
+ rev = "0ee63dd1f5d80be3296f14070211f4ade96d0e36";
+ sha256 = "0njp2jy76vl2m627iswi6dmfqbp04da7q2p4lc32n1a4p7b2vfcc";
};
meta.homepage = "https://github.com/tools-life/taskwiki/";
};
@@ -9847,12 +10317,12 @@ final: prev:
telekasten-nvim = buildVimPlugin {
pname = "telekasten.nvim";
- version = "2023-12-11";
+ version = "2024-02-27";
src = fetchFromGitHub {
owner = "renerocksai";
repo = "telekasten.nvim";
- rev = "8c2b3889eb31009ae510a43384d1957b37654176";
- sha256 = "1isbz68lbdm50x9mid0l1jid8q11msfsaayw8ravac0z5ybdb8k3";
+ rev = "a684d6ebe7026944b0a5323219d5f5364511e5b2";
+ sha256 = "03hsj2rfhllpxy0x8nr5sjp2krfg51ib0771bqmwycnlfg3cp1bw";
fetchSubmodules = true;
};
meta.homepage = "https://github.com/renerocksai/telekasten.nvim/";
@@ -9884,12 +10354,12 @@ final: prev:
telescope-coc-nvim = buildVimPlugin {
pname = "telescope-coc.nvim";
- version = "2024-01-10";
+ version = "2024-03-02";
src = fetchFromGitHub {
owner = "fannheyward";
repo = "telescope-coc.nvim";
- rev = "96cd72d1e246faf16ef90a6f602330d1d03a446a";
- sha256 = "02wxz1pkz051wgjix933xszm61md3f0gr97ap5m3ljhg1blrpsb3";
+ rev = "421f50c56d66bc8f39c5deec05532deb071b597d";
+ sha256 = "1cf6990fkrzbagwphzkyh10i30hrv8vbqgmf4znva3ms1ad7ilhf";
};
meta.homepage = "https://github.com/fannheyward/telescope-coc.nvim/";
};
@@ -9908,36 +10378,36 @@ final: prev:
telescope-file-browser-nvim = buildVimPlugin {
pname = "telescope-file-browser.nvim";
- version = "2024-01-13";
+ version = "2024-03-06";
src = fetchFromGitHub {
owner = "nvim-telescope";
repo = "telescope-file-browser.nvim";
- rev = "6f735a63dc24b9aed527cd505a31864223c8a6d8";
- sha256 = "10ppgwzh839riksx8a3gg2nhyqhifam8s9p5p0nyjkcpq0zbgcy3";
+ rev = "8839e3f8070dfafa5b0c0e4652700298e7b872c4";
+ sha256 = "0arrbh4n7y1x8gjj6qkfssrfh3ni6ls9lsvdzjwm4b7hq6b79pxj";
};
meta.homepage = "https://github.com/nvim-telescope/telescope-file-browser.nvim/";
};
telescope-frecency-nvim = buildVimPlugin {
pname = "telescope-frecency.nvim";
- version = "2024-01-22";
+ version = "2024-03-14";
src = fetchFromGitHub {
owner = "nvim-telescope";
repo = "telescope-frecency.nvim";
- rev = "1189184484e3153533af66b219f9ed59263a403c";
- sha256 = "0fjl8bjmnm4gy8dxc0596sajf24sd5w2z1p6bwfpjisapykf41hb";
+ rev = "bd52772bf2e8d3e83f1575a018cf4a0e8c3c09a3";
+ sha256 = "0i6qpsjj78yyqkvnxmk8rpf654ll649rvi6ck0qcf0v91m27i509";
};
meta.homepage = "https://github.com/nvim-telescope/telescope-frecency.nvim/";
};
telescope-fzf-native-nvim = buildVimPlugin {
pname = "telescope-fzf-native.nvim";
- version = "2023-09-10";
+ version = "2024-03-05";
src = fetchFromGitHub {
owner = "nvim-telescope";
repo = "telescope-fzf-native.nvim";
- rev = "6c921ca12321edaa773e324ef64ea301a1d0da62";
- sha256 = "101dxn7jfyg2wdzkw5cnrw475mg0p3w475l1hplqfhghz33zb1hy";
+ rev = "9ef21b2e6bb6ebeaf349a0781745549bbb870d27";
+ sha256 = "0lvykmaarl5nd3iaphp8jgnxg6cly5p6ignd3i1450rpbdp1w9xg";
};
meta.homepage = "https://github.com/nvim-telescope/telescope-fzf-native.nvim/";
};
@@ -10005,12 +10475,12 @@ final: prev:
telescope-manix = buildNeovimPlugin {
pname = "telescope-manix";
- version = "2024-01-15";
+ version = "2024-03-11";
src = fetchFromGitHub {
owner = "MrcJkb";
repo = "telescope-manix";
- rev = "127033f39a16c0fd48128653b4cee9b17fcc80a3";
- sha256 = "1hsplynqmgbknbkz5b769a401i3hch1kvxdzxpx15jadq5lb169p";
+ rev = "bebbcf6d6980c6b8f843508d42f641413af0505c";
+ sha256 = "08chi9p3gpgxvyb985fzzlfxpy13al01zv96mqz4kzl3k78nnz3j";
};
meta.homepage = "https://github.com/MrcJkb/telescope-manix/";
};
@@ -10077,12 +10547,12 @@ final: prev:
telescope-ultisnips-nvim = buildVimPlugin {
pname = "telescope-ultisnips.nvim";
- version = "2021-09-26";
+ version = "2024-02-09";
src = fetchFromGitHub {
owner = "fhill2";
repo = "telescope-ultisnips.nvim";
- rev = "f48b6d4f53b31507d3fd514905c6940409e8ada8";
- sha256 = "0333k3m0fygc4r7w56csf0h282gf2wqrykl52n6310i9vardyqw7";
+ rev = "d1cca4b5aa809a90b7a8caddeb860329d5a8c2ff";
+ sha256 = "1nnxyc70b5zsgdylfzag2g4xl2p62v9ynx8nqv13fdn8s2g4lrvm";
};
meta.homepage = "https://github.com/fhill2/telescope-ultisnips.nvim/";
};
@@ -10125,12 +10595,12 @@ final: prev:
telescope-zf-native-nvim = buildVimPlugin {
pname = "telescope-zf-native.nvim";
- version = "2023-03-15";
+ version = "2024-02-11";
src = fetchFromGitHub {
owner = "natecraddock";
repo = "telescope-zf-native.nvim";
- rev = "beb34b6c48154ec117930180f257a5592606d48f";
- sha256 = "1lkgsjmmj006zwvrpr1w48pii1wrzxxanhhl1r302vll62frx94n";
+ rev = "c8ac635fb51065bb3a77f51bcec585a8dddf8652";
+ sha256 = "19a3p666wdjbnar1ifk73yf02i6gr24818svawsh8h1hsva0zyn8";
fetchSubmodules = true;
};
meta.homepage = "https://github.com/natecraddock/telescope-zf-native.nvim/";
@@ -10150,12 +10620,12 @@ final: prev:
telescope-nvim = buildNeovimPlugin {
pname = "telescope.nvim";
- version = "2024-01-25";
+ version = "2024-03-15";
src = fetchFromGitHub {
owner = "nvim-telescope";
repo = "telescope.nvim";
- rev = "1bfbb1fb5c56d2dbe33216fcb2ebe82e499aa06c";
- sha256 = "08v642fh91c7mj92jjdjfzx86jmz2plvnlja8p8lwbvz0yvida5r";
+ rev = "e9e01d699843af530ef4ad2c8679a7e273bb3dd1";
+ sha256 = "0p4yfxdgf6wjzhg72ial4rzls7imsbf6skf82q1nqg7ihkng9rby";
};
meta.homepage = "https://github.com/nvim-telescope/telescope.nvim/";
};
@@ -10186,12 +10656,12 @@ final: prev:
tender-vim = buildVimPlugin {
pname = "tender.vim";
- version = "2021-05-24";
+ version = "2024-03-01";
src = fetchFromGitHub {
owner = "jacoborus";
repo = "tender.vim";
- rev = "7746453a045eaa97dc413a7209268345f33f3243";
- sha256 = "0yxgcnx5x82ak74nz3pvkd1z8i3c7lcl068lh5izdpx65fk7m5yh";
+ rev = "ff01136712d2000760c7077f2aa06dac7987b696";
+ sha256 = "091xrfpa225ia8fk6rr30hzih1wcanmpf5chp3bx8fj0nwmd06wv";
};
meta.homepage = "https://github.com/jacoborus/tender.vim/";
};
@@ -10258,12 +10728,12 @@ final: prev:
text-case-nvim = buildVimPlugin {
pname = "text-case.nvim";
- version = "2024-01-14";
+ version = "2024-02-23";
src = fetchFromGitHub {
owner = "johmsalas";
repo = "text-case.nvim";
- rev = "6bc91cde614bbec6f0befbaf7fcd302415d803fb";
- sha256 = "0gbzsw9s6ja5r4acdhd331gna1y03hvr6d11584sklh00xdv497a";
+ rev = "d62c63a4e9a996c7321885937ab89920fca2c1c8";
+ sha256 = "027cgrh0xwnfgakzibzxj3wh8n8q0x5yqjsvhjgcg53pq0yfdss4";
};
meta.homepage = "https://github.com/johmsalas/text-case.nvim/";
};
@@ -10342,12 +10812,12 @@ final: prev:
tmux-nvim = buildVimPlugin {
pname = "tmux.nvim";
- version = "2023-10-28";
+ version = "2024-03-05";
src = fetchFromGitHub {
owner = "aserowy";
repo = "tmux.nvim";
- rev = "ea67d59721eb7e12144ce2963452e869bfd60526";
- sha256 = "020prdsczz2vc5cdb6075fdy1fj5zhvjcirfc46kfp5bahzfarzz";
+ rev = "63e9c5e054099dd30af306bd8ceaa2f1086e1b07";
+ sha256 = "0ynzljwq6hv7415p7pr0aqx8kycp84p3p3dy4jcx61dxfgdpgc4c";
};
meta.homepage = "https://github.com/aserowy/tmux.nvim/";
};
@@ -10391,24 +10861,24 @@ final: prev:
toggleterm-nvim = buildVimPlugin {
pname = "toggleterm.nvim";
- version = "2024-01-22";
+ version = "2024-02-12";
src = fetchFromGitHub {
owner = "akinsho";
repo = "toggleterm.nvim";
- rev = "b49df5cdce67a8964d1b027dae94bde212092b51";
- sha256 = "1102wcz61bcvkiz1ck2cxxb32id9rf2hjhcs82y3x37n831kgvyb";
+ rev = "193786e0371e3286d3bc9aa0079da1cd41beaa62";
+ sha256 = "0cz6i3xa61mh8s5b9b2z008ay85ggaw02ak9w58wzgmn172q770l";
};
meta.homepage = "https://github.com/akinsho/toggleterm.nvim/";
};
tokyonight-nvim = buildVimPlugin {
pname = "tokyonight.nvim";
- version = "2024-01-24";
+ version = "2024-03-10";
src = fetchFromGitHub {
owner = "folke";
repo = "tokyonight.nvim";
- rev = "e3301873c1e96903daebb98cc9b5926810bf73dd";
- sha256 = "18acixjcgqzihb86apc1s8r31yp0lxw804ddwiaygwc2b3drfyx8";
+ rev = "c025baf23b62f044eff1f4ef561c45de636f0e32";
+ sha256 = "1sd5ib228yw8vxb4xfg0pgbd06r90kz6pq8bn4f5qhrwi91jnvn0";
};
meta.homepage = "https://github.com/folke/tokyonight.nvim/";
};
@@ -10437,14 +10907,26 @@ final: prev:
meta.homepage = "https://github.com/tjdevries/train.nvim/";
};
+ transparent-nvim = buildVimPlugin {
+ pname = "transparent.nvim";
+ version = "2023-11-12";
+ src = fetchFromGitHub {
+ owner = "xiyaowong";
+ repo = "transparent.nvim";
+ rev = "fd35a46f4b7c1b244249266bdcb2da3814f01724";
+ sha256 = "sha256-wT+7rmp08r0XYGp+MhjJX8dsFTar8+nf10CV9OdkOSk=";
+ };
+ meta.homepage = "https://github.com/xiyaowong/transparent.nvim/";
+ };
+
treesj = buildVimPlugin {
pname = "treesj";
- version = "2024-01-17";
+ version = "2024-02-09";
src = fetchFromGitHub {
owner = "Wansmer";
repo = "treesj";
- rev = "14808da3cddd62fc86ede53a5ea1fd1635897e75";
- sha256 = "0qnkp3x8h956achrc90g0g1nlcnxkl6wcim7q5d4jhipf3ql6w7l";
+ rev = "60e27280030f9cd8dfb6ceb335922c6ff76682cc";
+ sha256 = "1rrcnldpl9d4fifk48w6sgrar0zhi6pbd6iqildzp3bmhf83smd1";
};
meta.homepage = "https://github.com/Wansmer/treesj/";
};
@@ -10463,12 +10945,12 @@ final: prev:
trim-nvim = buildVimPlugin {
pname = "trim.nvim";
- version = "2023-10-12";
+ version = "2024-03-15";
src = fetchFromGitHub {
owner = "cappyzawa";
repo = "trim.nvim";
- rev = "629b96a303a3a0bb5fd050e6cd9d627ca7831cc7";
- sha256 = "1qm4n2biqqiwa0jqf60al969nz135i5pgn2pk123lxprb9q49wq5";
+ rev = "4fe47a46c02a58894ded8328ca81f6c214a892f5";
+ sha256 = "1h8w50an3fmp8xl052apblsnm0x70m1ykygjn5wb94wsb7crydl9";
};
meta.homepage = "https://github.com/cappyzawa/trim.nvim/";
};
@@ -10547,12 +11029,12 @@ final: prev:
typescript-vim = buildVimPlugin {
pname = "typescript-vim";
- version = "2023-10-08";
+ version = "2024-02-04";
src = fetchFromGitHub {
owner = "leafgarland";
repo = "typescript-vim";
- rev = "eafdcf5e9ee73b43d92372d69dd84458bf98c5e3";
- sha256 = "1ybc0ppr6xqx5ahg56xfcrs2v0h9dnsblqmgvx5xbz41lirh51h0";
+ rev = "e83ccab88c7a045ce795583adb66956afd464a31";
+ sha256 = "0zjdwjvp9wk7gb1h569c2h5fsmr41p51wz7k0kiig4xfz1i9s7yg";
};
meta.homepage = "https://github.com/leafgarland/typescript-vim/";
};
@@ -10571,48 +11053,48 @@ final: prev:
typst-vim = buildVimPlugin {
pname = "typst.vim";
- version = "2024-01-24";
+ version = "2024-03-12";
src = fetchFromGitHub {
owner = "kaarmu";
repo = "typst.vim";
- rev = "816ba15885cb5eb742e06bafcd53b1a8531d334b";
- sha256 = "092cihsphaxvpimmpzfys64pakrvh23l3zc2r0f3pjzhf69vdsvr";
+ rev = "8dbc6160138b8d12adbdce5d54595de9fbee9e8c";
+ sha256 = "18ajsy8cfqs4si0xz6l72w4a3015icxc59mibcvxa5m42hjvxf6s";
};
meta.homepage = "https://github.com/kaarmu/typst.vim/";
};
- ultimate-autopair = buildVimPlugin {
+ ultimate-autopair-nvim = buildVimPlugin {
pname = "ultimate-autopair.nvim";
- version = "2024-02-10";
+ version = "2024-02-22";
src = fetchFromGitHub {
owner = "altermo";
repo = "ultimate-autopair.nvim";
- rev = "25c13e0ce167db0255456cac10158b27d2be30c0";
- sha256 = "16aizsf86cg5l131y2lszlfkdz1b998js89fja8yk25mwa79lsaf";
+ rev = "6ecf7461d44513af89f8257f057fcc99e9297612";
+ sha256 = "01dj9fdzaliwpxh358dql0ndvnykqn8v9w20b7pkn09p1airq937";
};
- meta.homepage = "https://github.com/altermo/ultimate-autopair.nvim.git";
+ meta.homepage = "https://github.com/altermo/ultimate-autopair.nvim/";
};
ultisnips = buildVimPlugin {
pname = "ultisnips";
- version = "2023-10-17";
+ version = "2024-03-15";
src = fetchFromGitHub {
owner = "SirVer";
repo = "ultisnips";
- rev = "b393ba65386d47664421e1f8b246a87a6e8b218c";
- sha256 = "1g6r2nd3nplilgp6fj012smlhs857kn10mb8qab2phh2ja1r25c7";
+ rev = "49dc8cb2086db19d385791c8e1635723b0fd95c7";
+ sha256 = "1awhd7iprh4387m97pak46cw81240wqyrrrkkkzgmw1qzmwh3nip";
};
meta.homepage = "https://github.com/SirVer/ultisnips/";
};
undotree = buildVimPlugin {
pname = "undotree";
- version = "2024-01-21";
+ version = "2024-03-08";
src = fetchFromGitHub {
owner = "mbbill";
repo = "undotree";
- rev = "d9c8b4ef872e078e8c4080812e5a3ed56d151c00";
- sha256 = "1dawzdyrzbiry907i7flqyk5178a18vv9gycxn0g90cd5d5lrrrp";
+ rev = "aa93a7e5890dbbebbc064cd22260721a6db1a196";
+ sha256 = "110w9nr24rph717w1vs3vhfq1ggsjgq91zvyp431qxpa7wzd5pyk";
};
meta.homepage = "https://github.com/mbbill/undotree/";
};
@@ -10631,12 +11113,12 @@ final: prev:
unison = buildVimPlugin {
pname = "unison";
- version = "2024-01-24";
+ version = "2024-03-16";
src = fetchFromGitHub {
owner = "unisonweb";
repo = "unison";
- rev = "2ab2ef5142b390e99b2280a4b45b24295f58169f";
- sha256 = "1si3aixiwbb80wwdqx5i51h4sqsvvd75bnc0kv6sijc04gl10fj7";
+ rev = "dca4fa3a6c4713cb53621ba0bc04fba86060f8c3";
+ sha256 = "0ppr7hiakykdwf9ss2xnlx6cf4z3x4jvl28xz85n4qgi6yq5y025";
};
meta.homepage = "https://github.com/unisonweb/unison/";
};
@@ -10727,24 +11209,24 @@ final: prev:
vifm-vim = buildVimPlugin {
pname = "vifm.vim";
- version = "2024-01-20";
+ version = "2024-03-11";
src = fetchFromGitHub {
owner = "vifm";
repo = "vifm.vim";
- rev = "b187efe0ba367a47d2cc4785fb724c0451a85260";
- sha256 = "1pmmkalyhzq9v8g1ldkvc06jz3nwidr9iwrwkl9phnssn4v9jsr4";
+ rev = "7a3dcb5796d7f8967fb3f53d0eb18526a41766eb";
+ sha256 = "1w1z25lf50m6yjjr7ss96scgii3k020bzvfcbypjrx72gnqrv18g";
};
meta.homepage = "https://github.com/vifm/vifm.vim/";
};
vim-CtrlXA = buildVimPlugin {
pname = "vim-CtrlXA";
- version = "2023-05-17";
+ version = "2024-03-05";
src = fetchFromGitHub {
owner = "Konfekt";
repo = "vim-CtrlXA";
- rev = "6821041f17848c02bd3c0ed13422d6ee6422a6a4";
- sha256 = "0qjv7bkm6gvfisas7cq41dg6fffdnqzyidikfjhab2hzgvslb7kh";
+ rev = "26ab577a888c6346a009702caa4522e73b42242f";
+ sha256 = "0ji9dabhylj83wanbsg3glag00ajfzdchd5jd9j2pv7aldndvbpa";
};
meta.homepage = "https://github.com/Konfekt/vim-CtrlXA/";
};
@@ -11075,12 +11557,12 @@ final: prev:
vim-airline = buildVimPlugin {
pname = "vim-airline";
- version = "2023-12-26";
+ version = "2024-02-17";
src = fetchFromGitHub {
owner = "vim-airline";
repo = "vim-airline";
- rev = "ff7352e4bff02eb600a136b6fd741404f3195371";
- sha256 = "16j788ji9a3fj1cfsr5sjhix3dx9fh88g4d50g53dvln5zf201y5";
+ rev = "d9f42cb46710e31962a9609939ddfeb0685dd779";
+ sha256 = "1a4pcyzvqsmsvz7fxf2h5b4v3xlsqv15qyr35xniji44196aaajc";
};
meta.homepage = "https://github.com/vim-airline/vim-airline/";
};
@@ -11111,12 +11593,12 @@ final: prev:
vim-alias = buildVimPlugin {
pname = "vim-alias";
- version = "2023-11-18";
+ version = "2024-02-29";
src = fetchFromGitHub {
owner = "Konfekt";
repo = "vim-alias";
- rev = "4fb8430816ecd12952c44bf1891ec554249f21b3";
- sha256 = "0919drb2ml1xfm3y2g9nvqh6l3n2hjmsbrw6g72lgqh7l02xlalz";
+ rev = "2acc37348d744fc600ba91cec6aa34a24ed77d42";
+ sha256 = "14a43m6zjzsgs2chd7sm5w8xnjs0a5d735m5r3zjyfvcs884pv0k";
};
meta.homepage = "https://github.com/Konfekt/vim-alias/";
};
@@ -11147,12 +11629,12 @@ final: prev:
vim-apm = buildVimPlugin {
pname = "vim-apm";
- version = "2024-01-25";
+ version = "2024-02-03";
src = fetchFromGitHub {
owner = "ThePrimeagen";
repo = "vim-apm";
- rev = "a4f52e65c3af4282508ba0db0e33c45bbc371968";
- sha256 = "004fy3v1nxjhiiv0kd2ahfbbngdkmkrpr97s5q6aaa8mykgs7rfr";
+ rev = "96d452bb97c7b147b87edf75b53d5d724fb4bf64";
+ sha256 = "106rjqi3wg1yn62szi7v5xyira2qz5l5fc987n2abddakqr5ivhp";
};
meta.homepage = "https://github.com/ThePrimeagen/vim-apm/";
};
@@ -11231,12 +11713,12 @@ final: prev:
vim-autoformat = buildVimPlugin {
pname = "vim-autoformat";
- version = "2023-08-26";
+ version = "2024-02-07";
src = fetchFromGitHub {
owner = "vim-autoformat";
repo = "vim-autoformat";
- rev = "99dc8d5030945c01a7e04b1e44d107a9bd15af67";
- sha256 = "00a1j7snyz0gc3pxks26axhdwcbd5iqs9s2crhs6vwvcj5fymmr1";
+ rev = "38a01acb7471d276ecf5970ab4fd58bfebb9ea06";
+ sha256 = "1f9cppm7x0vyxcch256lm0m7k2wj3njpp62b9xlphw8cwyqx45dp";
};
meta.homepage = "https://github.com/vim-autoformat/vim-autoformat/";
};
@@ -11303,12 +11785,12 @@ final: prev:
vim-be-good = buildVimPlugin {
pname = "vim-be-good";
- version = "2022-11-08";
+ version = "2024-01-31";
src = fetchFromGitHub {
owner = "ThePrimeagen";
repo = "vim-be-good";
- rev = "c290810728a4f75e334b07dc0f3a4cdea908d351";
- sha256 = "1xnydbqyjrmwj7cpj3qcr1icg8v75ny847i1qpj94dafjzzmi4wl";
+ rev = "4fa57b7957715c91326fcead58c1fa898b9b3625";
+ sha256 = "06smpsv72740f3i26svsa4nqd5ld357r62nns1cdw2zqcvf6llax";
};
meta.homepage = "https://github.com/ThePrimeagen/vim-be-good/";
};
@@ -11375,12 +11857,12 @@ final: prev:
vim-bracketed-paste = buildVimPlugin {
pname = "vim-bracketed-paste";
- version = "2022-03-21";
+ version = "2024-03-06";
src = fetchFromGitHub {
owner = "ConradIrwin";
repo = "vim-bracketed-paste";
- rev = "45411da73cc159e4fc2138d930553d247bbfbcdc";
- sha256 = "1bz40p7zr439ziy7mfks4jcs5rdnw4zinp81fw6wsm5knrx1r5qy";
+ rev = "ffa3bc10ab511a646f1b30bc9345f240c15394e9";
+ sha256 = "0vd2da853c9ms7dzqfcr8wz58gfiq4if20cn530hdg5b3pbsl6z2";
};
meta.homepage = "https://github.com/ConradIrwin/vim-bracketed-paste/";
};
@@ -11783,12 +12265,12 @@ final: prev:
vim-dadbod = buildVimPlugin {
pname = "vim-dadbod";
- version = "2023-11-23";
+ version = "2024-03-07";
src = fetchFromGitHub {
owner = "tpope";
repo = "vim-dadbod";
- rev = "738cfc2ea6a1510fe23cba9006fef9291be70f7b";
- sha256 = "1j3kga4iy6n8814j33zpxxyk4z7lxwqdnjdd70mhpybiw9ydazny";
+ rev = "960bbb7de11b7282a68d579a64e838b487934493";
+ sha256 = "0x7ax3d6srqa8b7hhnyxh8rp2f9aiv5z5vlv5n6mjgjgcpbqydg6";
};
meta.homepage = "https://github.com/tpope/vim-dadbod/";
};
@@ -11891,12 +12373,12 @@ final: prev:
vim-dirvish = buildVimPlugin {
pname = "vim-dirvish";
- version = "2024-01-24";
+ version = "2024-02-20";
src = fetchFromGitHub {
owner = "justinmk";
repo = "vim-dirvish";
- rev = "0966b866580ec5cc8fbc26ee396a516d72600db5";
- sha256 = "0jmpjrx4kl11hgdaiw5wxfznmn5apl38ykih0mm01hcg49gzirsw";
+ rev = "b660af1fa07fe1d44d4eb3ea5242334f6c2766ca";
+ sha256 = "1h0ypp7fp47dk8sj1xgrm9113cgsvdczmfilbrix5rmm9b0jph2i";
};
meta.homepage = "https://github.com/justinmk/vim-dirvish/";
};
@@ -11915,12 +12397,12 @@ final: prev:
vim-dispatch = buildVimPlugin {
pname = "vim-dispatch";
- version = "2023-02-05";
+ version = "2024-02-18";
src = fetchFromGitHub {
owner = "tpope";
repo = "vim-dispatch";
- rev = "6cc2691576f97d43f8751664d1a1a908b99927e5";
- sha256 = "151fxvhz6pi021rg9bc2g564a13lvbcwh3lpa4vl3g5w9j95pmv3";
+ rev = "4c695bc052cad2ae6b980aebbe48d046466e27ae";
+ sha256 = "13c63n7gylny2s84k05cpl4cjn070d3qk6yagxny23yanz29hc15";
};
meta.homepage = "https://github.com/tpope/vim-dispatch/";
};
@@ -12143,12 +12625,12 @@ final: prev:
vim-eunuch = buildVimPlugin {
pname = "vim-eunuch";
- version = "2024-01-16";
+ version = "2024-02-04";
src = fetchFromGitHub {
owner = "tpope";
repo = "vim-eunuch";
- rev = "084946fb1c8103ae0cb7342e9be3a2c69374958c";
- sha256 = "0wp0a4zssmjmclsvzk3w2r65sxllfivbb5mfqd6yrazfg6vssdw0";
+ rev = "8fb3904be27b6b60d086f87c2570085902414069";
+ sha256 = "1icir4spx0hj4zp2ps26v9q2jgbqi9fw4anhn6k48n8ahgvim614";
};
meta.homepage = "https://github.com/tpope/vim-eunuch/";
};
@@ -12275,12 +12757,12 @@ final: prev:
vim-floaterm = buildVimPlugin {
pname = "vim-floaterm";
- version = "2023-11-19";
+ version = "2024-02-29";
src = fetchFromGitHub {
owner = "voldikss";
repo = "vim-floaterm";
- rev = "3f01a623376957437f9376327637491b74719e38";
- sha256 = "16az2qg9a3r466b9s4agqvxnsx99wpqlw8kn8dxcg94hxrgg35dc";
+ rev = "c0535d758004bcce016839e318cbbe802b92c469";
+ sha256 = "16ds8cc1qj8q0nkzdy35difq49jhbwhji3hlgksyg4phm8m54zja";
};
meta.homepage = "https://github.com/voldikss/vim-floaterm/";
};
@@ -12314,11 +12796,11 @@ final: prev:
version = "2018-11-13";
src = fetchFromGitHub {
owner = "fsharp";
- repo = "vim-fsharp";
+ repo = "zarchive-vim-fsharp";
rev = "012d98c981139976551a4d9779f5cce7f8d84d5c";
sha256 = "0ds300kjhm352ni3fwivsrnc9ls021d2x29f4cdszillamcgs7pw";
};
- meta.homepage = "https://github.com/fsharp/vim-fsharp/";
+ meta.homepage = "https://github.com/fsharp/zarchive-vim-fsharp/";
};
vim-ft-diff_fold = buildVimPlugin {
@@ -12347,12 +12829,12 @@ final: prev:
vim-fugitive = buildVimPlugin {
pname = "vim-fugitive";
- version = "2024-01-19";
+ version = "2024-03-04";
src = fetchFromGitHub {
owner = "tpope";
repo = "vim-fugitive";
- rev = "854a8df0d06b8d3fcb30fa7f2b08c62b553eee3b";
- sha256 = "0bzsm454bbxyjxfiwjgx5hydhia0i04y895am0m5rl2vbbyykkmq";
+ rev = "41beedabc7e948c787ea5696e04c3544c3674e23";
+ sha256 = "17vwycm78bxk7y2s931lz3plzsfx39mkbgc4dnhbp9np16ywb0hc";
};
meta.homepage = "https://github.com/tpope/vim-fugitive/";
};
@@ -12383,12 +12865,12 @@ final: prev:
vim-gh-line = buildVimPlugin {
pname = "vim-gh-line";
- version = "2022-11-25";
+ version = "2024-02-19";
src = fetchFromGitHub {
owner = "ruanyl";
repo = "vim-gh-line";
- rev = "fbf368bdfad7e5478009a6dc62559e6b2c72d603";
- sha256 = "0phxvn08z5bwdq0hkan9l1rl94ylsjc2hhv1ahzqvda0rk8lqxj9";
+ rev = "731751fdfa4f64a061dbc7088cb7b2f12e0828ad";
+ sha256 = "06malyx56zswpzf399y7bsxw45fx2ys9ravdqqxgssvgsslq87fb";
};
meta.homepage = "https://github.com/ruanyl/vim-gh-line/";
};
@@ -12503,12 +12985,12 @@ final: prev:
vim-go = buildVimPlugin {
pname = "vim-go";
- version = "2024-01-22";
+ version = "2024-02-25";
src = fetchFromGitHub {
owner = "fatih";
repo = "vim-go";
- rev = "ca6c532753e70e4fb093e755773cc6f250a3b4b3";
- sha256 = "0pfizf55abw1rbdrsyw9g65cia165irnj2f0snx7qmg194bgblqg";
+ rev = "744aa9911aa6a86cff494a57efc22ca0e3d7e16b";
+ sha256 = "0hmn0n78r1x9hgv7k329aizfysdjadvkarmn727n5p6cr0kwf4wg";
};
meta.homepage = "https://github.com/fatih/vim-go/";
};
@@ -12539,12 +13021,12 @@ final: prev:
vim-grepper = buildVimPlugin {
pname = "vim-grepper";
- version = "2021-08-30";
+ version = "2024-02-04";
src = fetchFromGitHub {
owner = "mhinz";
repo = "vim-grepper";
- rev = "2b93535752ffcb312f9fab73d90e80dc9f2e60fc";
- sha256 = "1xldg5hkbapd9s842d3xpdw32sslr8lvfx12p03hrlc2w58innx1";
+ rev = "485d349125d46f2788833ecb43df7a14c46706f6";
+ sha256 = "0kkrkf8p3pxknavksj5bfxcs9wxjy5yscb45as41h85lgmmsfccs";
};
meta.homepage = "https://github.com/mhinz/vim-grepper/";
};
@@ -12659,12 +13141,12 @@ final: prev:
vim-helm = buildVimPlugin {
pname = "vim-helm";
- version = "2023-11-22";
+ version = "2024-02-05";
src = fetchFromGitHub {
owner = "towolf";
repo = "vim-helm";
- rev = "fc2259e1f8836304a0526853ddc3fe27045be39a";
- sha256 = "10al5is3x2a2383023rn8p9q056mgsc6dhyblxj48xb32rsmlf23";
+ rev = "86cc6766db60b8cc5731068d0c2111dee1f2b328";
+ sha256 = "0b7fxf43v8px2d4vfhj1b8d2scrr6h8dr9hfg6y7k1yk9jainsy9";
};
meta.homepage = "https://github.com/towolf/vim-helm/";
};
@@ -12696,12 +13178,12 @@ final: prev:
vim-highlightedyank = buildVimPlugin {
pname = "vim-highlightedyank";
- version = "2023-03-19";
+ version = "2024-01-28";
src = fetchFromGitHub {
owner = "machakann";
repo = "vim-highlightedyank";
- rev = "fa3f57b097e9521ce41a66b6c7cf5d9adea70ea3";
- sha256 = "1fgbih8m7drr8ik34c5vpmd8qs3vdshixni42nih6ls6gzcv7l7z";
+ rev = "afb0f262b490706c23e94012c2ab9fa67c0481ce";
+ sha256 = "0m68f1c5b1qfdb5mcqmnm9qfjrz9f1hh5nnjzymsrb04fsjv3i2r";
};
meta.homepage = "https://github.com/machakann/vim-highlightedyank/";
};
@@ -12766,6 +13248,18 @@ final: prev:
meta.homepage = "https://github.com/jonsmithers/vim-html-template-literals/";
};
+ vim-humanoid-colorscheme = buildVimPlugin {
+ pname = "vim-humanoid-colorscheme";
+ version = "2021-11-21";
+ src = fetchFromGitHub {
+ owner = "humanoid-colors";
+ repo = "vim-humanoid-colorscheme";
+ rev = "ce4fa890a2b8a32f4747eb951e93050100548fba";
+ sha256 = "1650ymvma30zyq2hl9x5z7ql11wakjgq6jarc6vxbrpgvbz0f9c8";
+ };
+ meta.homepage = "https://github.com/humanoid-colors/vim-humanoid-colorscheme/";
+ };
+
vim-husk = buildVimPlugin {
pname = "vim-husk";
version = "2015-11-29";
@@ -12816,12 +13310,12 @@ final: prev:
vim-illuminate = buildVimPlugin {
pname = "vim-illuminate";
- version = "2023-10-06";
+ version = "2024-02-04";
src = fetchFromGitHub {
owner = "RRethy";
repo = "vim-illuminate";
- rev = "3bd2ab64b5d63b29e05691e624927e5ebbf0fb86";
- sha256 = "0x3li63dijw9z4imbajpxbrclw32649810bsnx5cawrqgbc7kl99";
+ rev = "305bf07b919ac526deb5193280379e2f8b599926";
+ sha256 = "1pmzh7pz23dl6jmcify9494jwgyi2jfjkg0l95cxfrbjqghjxli8";
};
meta.homepage = "https://github.com/RRethy/vim-illuminate/";
};
@@ -13081,12 +13575,12 @@ final: prev:
vim-just = buildVimPlugin {
pname = "vim-just";
- version = "2024-01-25";
+ version = "2024-03-04";
src = fetchFromGitHub {
owner = "NoahTheDuke";
repo = "vim-just";
- rev = "6d95cd79c40d82d26f2ca887494d01e8a7e90d6e";
- sha256 = "06sx968r78nx7nmlrh8m9f45l0wpq251p7097r7ygxjn3da3l5rq";
+ rev = "ace92c34d72a413d51459ce5e9503e50f3262988";
+ sha256 = "18vl1ci6plwfhvq542ad7y2vygfidq7f1cn00s6pf0npiyhghsi4";
};
meta.homepage = "https://github.com/NoahTheDuke/vim-just/";
};
@@ -13309,12 +13803,12 @@ final: prev:
vim-lsp = buildVimPlugin {
pname = "vim-lsp";
- version = "2024-01-20";
+ version = "2024-02-25";
src = fetchFromGitHub {
owner = "prabirshrestha";
repo = "vim-lsp";
- rev = "c20c6650d32a85e09ae6c7a9747aef7ded3677fb";
- sha256 = "1farhbqp06dkjh480lv07yvgwnmikaaagr5mpwwjrmws3m92xmv4";
+ rev = "f7ccf006df1aefd327c0e2c55cc8632a2db577c1";
+ sha256 = "11hnym6gzvss1pwjxqsbg4f1h56hsnaypl35bd084lxhim7fz6zl";
};
meta.homepage = "https://github.com/prabirshrestha/vim-lsp/";
};
@@ -13345,12 +13839,12 @@ final: prev:
vim-lsp-settings = buildVimPlugin {
pname = "vim-lsp-settings";
- version = "2024-01-06";
+ version = "2024-03-14";
src = fetchFromGitHub {
owner = "mattn";
repo = "vim-lsp-settings";
- rev = "223c7382e53ba5017c6b1b1edebccae6ab8265ab";
- sha256 = "0x5jgswz09gf958qnry01bn9sdccjv3rilajlfbhac20vhs4izm0";
+ rev = "b93c195d521ea4d6559880eb8d18f5a503e946d9";
+ sha256 = "047654s76zgv64hp2rvx94w5prb6i5wz7l6zbifa2m4ac9sjyqr2";
};
meta.homepage = "https://github.com/mattn/vim-lsp-settings/";
};
@@ -13429,12 +13923,12 @@ final: prev:
vim-markdown = buildVimPlugin {
pname = "vim-markdown";
- version = "2023-11-01";
+ version = "2024-03-01";
src = fetchFromGitHub {
owner = "preservim";
repo = "vim-markdown";
- rev = "46add6c3017d3e4035dc10ffa9cb54221d8dfe1a";
- sha256 = "06kkknpmj4ylx13f3rmfsz9f3psq637dp71lda00g1v7r6w86wbp";
+ rev = "a657e697376909c41475a686eeef7fc7a4972d94";
+ sha256 = "11f26n433fvsih2b31b7ffanxfhgjnjvdkjvviav2ryc5dvidb3q";
};
meta.homepage = "https://github.com/preservim/vim-markdown/";
};
@@ -13454,24 +13948,24 @@ final: prev:
vim-markdown-toc = buildVimPlugin {
pname = "vim-markdown-toc";
- version = "2023-12-13";
+ version = "2024-03-10";
src = fetchFromGitHub {
owner = "mzlogin";
repo = "vim-markdown-toc";
- rev = "0e2c7cdc3ac1d067eb309a10220d001bb7be39cd";
- sha256 = "0ggdbvv4mca4z0xhkdybimc4yy3bah1v21660wjs8n2v4f5rvslx";
+ rev = "5acf680e820940b1bd78a501298ff953455b8d65";
+ sha256 = "1r3nlqd7b6g5hrcqwrqm0rg095d9a6dnwazxw66wkda2psyj9xdd";
};
meta.homepage = "https://github.com/mzlogin/vim-markdown-toc/";
};
vim-matchup = buildVimPlugin {
pname = "vim-matchup";
- version = "2024-01-06";
+ version = "2024-02-24";
src = fetchFromGitHub {
owner = "andymass";
repo = "vim-matchup";
- rev = "e2cca1747ab175b8d839a5d28679427564643a57";
- sha256 = "1clcvb6r1vfkajj9jd8hmvi1vx0vclyppj2vh7sjy9mpbn9xpggg";
+ rev = "2d660e4aa7c566014c667af2cda0458043527902";
+ sha256 = "0a5527gmwf0chdn91s2s8pa7iny3qa5a88c413g4vwch12mn2vrj";
};
meta.homepage = "https://github.com/andymass/vim-matchup/";
};
@@ -13502,12 +13996,12 @@ final: prev:
vim-merginal = buildVimPlugin {
pname = "vim-merginal";
- version = "2023-08-27";
+ version = "2024-02-28";
src = fetchFromGitHub {
owner = "idanarye";
repo = "vim-merginal";
- rev = "a4becdf09178c3c557b7069252b6df617ff04de3";
- sha256 = "060fcdbscb0984ma9pqjlv4jmrmqks2lmg0jffbw6zzw1fs4c72q";
+ rev = "8c4a549235a226805f86539b810259356c4bfc22";
+ sha256 = "1fpxlgp57wc5zmm3n9r974w4d282148hspjyp8iw1gf1jxgf20jc";
};
meta.homepage = "https://github.com/idanarye/vim-merginal/";
};
@@ -13718,12 +14212,12 @@ final: prev:
vim-nix = buildVimPlugin {
pname = "vim-nix";
- version = "2024-01-09";
+ version = "2024-02-24";
src = fetchFromGitHub {
owner = "LnL7";
repo = "vim-nix";
- rev = "048c71f1ed2c679cd55acd2c807c2c96aea82e65";
- sha256 = "1s75divbphd7qgkljj2bl32gb1q7a23r4g023x6v83qzkfxwl8i3";
+ rev = "e25cd0f2e5922f1f4d3cd969f92e35a9a327ffb0";
+ sha256 = "15k08hl1xls2zxa9sgsjygb6j8643pc0s0fpi05bfldf9z4mxzyv";
};
meta.homepage = "https://github.com/LnL7/vim-nix/";
};
@@ -14090,12 +14584,12 @@ final: prev:
vim-plug = buildVimPlugin {
pname = "vim-plug";
- version = "2023-04-01";
+ version = "2024-03-15";
src = fetchFromGitHub {
owner = "junegunn";
repo = "vim-plug";
- rev = "ca0ae0a8b1bd6380caba2d8be43a2a19baf7dbe2";
- sha256 = "1ay2f1liya4ycf7ybiqhz02sywxkw7vhschl2kwl5hvxjahpi9p7";
+ rev = "2cd7bf673b5796ad7ee341a4b595a5479c4e9201";
+ sha256 = "0m0y9gi200aqvpb17cy5sjlkqm6vnd942xhq817wjpf5kgbdj4fk";
};
meta.homepage = "https://github.com/junegunn/vim-plug/";
};
@@ -14198,24 +14692,24 @@ final: prev:
vim-projectionist = buildVimPlugin {
pname = "vim-projectionist";
- version = "2024-01-03";
+ version = "2024-03-15";
src = fetchFromGitHub {
owner = "tpope";
repo = "vim-projectionist";
- rev = "a57b9bf11ecfef16f767034550472830b48ad3e2";
- sha256 = "073sfw5hs8622qs3g6dw8k20ghlxlxg7jq32b9zkcgj2l7ngc3sz";
+ rev = "729f3630a4c19e52e1fd825802d2a1659daeaf48";
+ sha256 = "07mg6m47x9ab6awlc1kviahgzmfdxm1ykvnqrlf81msa5gb4a36k";
};
meta.homepage = "https://github.com/tpope/vim-projectionist/";
};
vim-prosession = buildVimPlugin {
pname = "vim-prosession";
- version = "2023-11-01";
+ version = "2024-03-07";
src = fetchFromGitHub {
owner = "dhruvasagar";
repo = "vim-prosession";
- rev = "a79ac2677c5f7ee766454b6c30f21bc033049037";
- sha256 = "0rvimllhz91yykwqgl1ffxc19c4dds4q17wa4ip0zv7y7fydhzvf";
+ rev = "1cb2eadde0b79f49eb4b5a54cc78735aea33cbca";
+ sha256 = "01ky6lgxcb9ghgmd8bw0ja6rvd0hsds8fzdpa7dn8j6x7yl4mcbv";
};
meta.homepage = "https://github.com/dhruvasagar/vim-prosession/";
};
@@ -14234,12 +14728,12 @@ final: prev:
vim-ps1 = buildVimPlugin {
pname = "vim-ps1";
- version = "2023-12-09";
+ version = "2024-03-06";
src = fetchFromGitHub {
owner = "PProvost";
repo = "vim-ps1";
- rev = "be5dce9cd15176c6b1ecd056a8ced93fc2f6efac";
- sha256 = "1k8a1ilsshjvahf9az1x8nalb5wkin8jxzi3zqc658liww2qm98z";
+ rev = "839cec1550e09fc902c74103053e9e765051083d";
+ sha256 = "0cpkxhyvcdbwicyb6h1lknb0r666rxlgjx6pq5s1xwxqva6lpmqv";
};
meta.homepage = "https://github.com/PProvost/vim-ps1/";
};
@@ -14366,12 +14860,12 @@ final: prev:
vim-rails = buildVimPlugin {
pname = "vim-rails";
- version = "2023-09-11";
+ version = "2024-02-11";
src = fetchFromGitHub {
owner = "tpope";
repo = "vim-rails";
- rev = "1ad9663ce31e8c08134849d04184cda94bb42aba";
- sha256 = "0kp2vbx5qfd86cmzrsnmgbpi3r07wpn5j6gn1gxgkh09xngf93nl";
+ rev = "3a155462d1c346e291595400ca238037d02a357f";
+ sha256 = "0zz510l1ncv3abax76s99cfpc6r6vvb81hnhxzyx4nzgwqzm0qag";
};
meta.homepage = "https://github.com/tpope/vim-rails/";
};
@@ -14462,12 +14956,12 @@ final: prev:
vim-sandwich = buildVimPlugin {
pname = "vim-sandwich";
- version = "2022-12-07";
+ version = "2024-02-04";
src = fetchFromGitHub {
owner = "machakann";
repo = "vim-sandwich";
- rev = "c5a2cc438ce6ea2005c556dc833732aa53cae21a";
- sha256 = "1b1rim7q398dnwdaqakcycvyvw04rw32k10ij7w7mqpbn9hklpm5";
+ rev = "2ce54d26564e66a675685c8a3331388b2747a26b";
+ sha256 = "172dmnb9scblsin4adx0jdw2nc9ygw4icid6i4d6q2hjak9gvd79";
};
meta.homepage = "https://github.com/machakann/vim-sandwich/";
};
@@ -14546,12 +15040,12 @@ final: prev:
vim-sentence-chopper = buildVimPlugin {
pname = "vim-sentence-chopper";
- version = "2023-02-15";
+ version = "2024-03-08";
src = fetchFromGitHub {
owner = "Konfekt";
repo = "vim-sentence-chopper";
- rev = "39f50c65a46909e8c4c808310fa4187a8e3c1b38";
- sha256 = "11kcja7gpi7ri7j8241ay6py75a6va72qv0bl2w235vx0l3zv486";
+ rev = "b1394d3dbc3675324b2735c12f0df99395d9d7ba";
+ sha256 = "12llyn54qmbwzvn3m08r8k1fp6xwqp71sbbkp9j587kd4d6y5kps";
};
meta.homepage = "https://github.com/Konfekt/vim-sentence-chopper/";
};
@@ -14654,12 +15148,12 @@ final: prev:
vim-slime = buildVimPlugin {
pname = "vim-slime";
- version = "2024-01-25";
+ version = "2024-01-26";
src = fetchFromGitHub {
owner = "jpalardy";
repo = "vim-slime";
- rev = "4174e7505019c37f754af0717b2c8b75a80193f2";
- sha256 = "1zfjy48s4w2b7sjpgb97sy7blwbf3d91z51id4pnzdi23dzcs5g0";
+ rev = "1feef68f237cb840a7220f83c24b6c60bf914eb5";
+ sha256 = "1swq6am7jlk52sizgcxcq9lbpqvvwbjnl7rib8s9rwmqy7iaqp64";
};
meta.homepage = "https://github.com/jpalardy/vim-slime/";
};
@@ -14738,12 +15232,12 @@ final: prev:
vim-sneak = buildVimPlugin {
pname = "vim-sneak";
- version = "2023-07-12";
+ version = "2024-02-21";
src = fetchFromGitHub {
owner = "justinmk";
repo = "vim-sneak";
- rev = "29ec9167d4a609f74c130b46265aa17eb2736e6a";
- sha256 = "1n7y5i8zbr04n48n0l4k1xp76pgrbd2lx0pnj4278ply88hgfg9f";
+ rev = "c13d0497139b8796ff9c44ddb9bc0dc9770ad2dd";
+ sha256 = "06dlfp0bdnbb75didd52f03r9y8r7g6wh5bc10m2g00zbnfs3mcx";
};
meta.homepage = "https://github.com/justinmk/vim-sneak/";
};
@@ -14762,12 +15256,12 @@ final: prev:
vim-snippets = buildVimPlugin {
pname = "vim-snippets";
- version = "2024-01-24";
+ version = "2024-02-24";
src = fetchFromGitHub {
owner = "honza";
repo = "vim-snippets";
- rev = "9bd88e07865bd4fa982d46356c227b07de66412a";
- sha256 = "0xy0arqhcndasd4gmh7qbr8aw0ssxgaqy261nzib7f0gd21ig6j4";
+ rev = "393d980157b8149b3ff65a48bc4aae24dca9c846";
+ sha256 = "0fkygzr5srgyyv59glawi9a2j47b57sp20ak9q4qa3izf0z8pk94";
};
meta.homepage = "https://github.com/honza/vim-snippets/";
};
@@ -14846,12 +15340,12 @@ final: prev:
vim-startuptime = buildVimPlugin {
pname = "vim-startuptime";
- version = "2023-06-03";
+ version = "2024-02-17";
src = fetchFromGitHub {
owner = "dstein64";
repo = "vim-startuptime";
- rev = "454b3de856b7bd298700de33d79774ca9b9e3875";
- sha256 = "0zs9bp9bdf29jxkld7sff2x85y7pmi7f6am4hvzd9anhch9gnm7j";
+ rev = "308b0088a864c4711a96e45b6734cf9294074f65";
+ sha256 = "0x9vgca4zb3nwnir69df21x1qxar2yf0bshq68rxfswlc00djwy4";
};
meta.homepage = "https://github.com/dstein64/vim-startuptime/";
};
@@ -14952,14 +15446,26 @@ final: prev:
meta.homepage = "https://github.com/machakann/vim-swap/";
};
+ vim-tabby = buildVimPlugin {
+ pname = "vim-tabby";
+ version = "2024-03-01";
+ src = fetchFromGitHub {
+ owner = "TabbyML";
+ repo = "vim-tabby";
+ rev = "afd76df166ed0f223ede1071e0cfde8075cc4a24";
+ sha256 = "0wp5c1m95k000sw8j4b8rwphmkg529dscch6ps50fjn9j91ab96g";
+ };
+ meta.homepage = "https://github.com/TabbyML/vim-tabby/";
+ };
+
vim-table-mode = buildVimPlugin {
pname = "vim-table-mode";
- version = "2023-10-29";
+ version = "2024-03-13";
src = fetchFromGitHub {
owner = "dhruvasagar";
repo = "vim-table-mode";
- rev = "4ff8de2ac93431ee6d85a9e04177012752e6abdc";
- sha256 = "0wpdh17chadai08kbvd1gkfyzv5b64l4nwbj80jgsig5p4qqz3pj";
+ rev = "e4365bde024f73e205eefa2fb78e3029ddb92ea9";
+ sha256 = "0n7wsh9mrh4n24wwdgdwk52sqrwzii6v5bkvbihhaxbwhxq397pj";
};
meta.homepage = "https://github.com/dhruvasagar/vim-table-mode/";
};
@@ -15014,12 +15520,12 @@ final: prev:
vim-terraform = buildVimPlugin {
pname = "vim-terraform";
- version = "2024-01-23";
+ version = "2024-02-13";
src = fetchFromGitHub {
owner = "hashivim";
repo = "vim-terraform";
- rev = "3d1cfc8e183f1a58f71587442849510462f5857b";
- sha256 = "0nsyv4pasavp8iaakl9l4vybpwxvkxaimzv7rzb51v9m03r6jqyx";
+ rev = "21f756b933cd11ac5990a6046fdc7c4e2a6c0aee";
+ sha256 = "1bp6nqsdn9h3ihy5y2na7n40nmjr1vqsjj845ks4kfrzfcsvmxms";
};
meta.homepage = "https://github.com/hashivim/vim-terraform/";
};
@@ -15039,12 +15545,12 @@ final: prev:
vim-test = buildVimPlugin {
pname = "vim-test";
- version = "2024-01-23";
+ version = "2024-03-14";
src = fetchFromGitHub {
owner = "vim-test";
repo = "vim-test";
- rev = "1f4ee3307e266a64b00d816c727fdaa57b34ada3";
- sha256 = "0y361p1dc5nmv622ml6j5bw3f7ccpwa56jzjfnjhd069qi8xkvrp";
+ rev = "a49c9cade75e97cace5e3ba545ce82d02f689feb";
+ sha256 = "0mw7jzi5qf4wn4zbm63ddn2xa97fhd38rp68ddnbvj8lqqjjkxam";
};
meta.homepage = "https://github.com/vim-test/vim-test/";
};
@@ -15135,12 +15641,12 @@ final: prev:
vim-themis = buildVimPlugin {
pname = "vim-themis";
- version = "2023-11-06";
+ version = "2024-03-02";
src = fetchFromGitHub {
owner = "thinca";
repo = "vim-themis";
- rev = "77b37bef259b9979e15fd7fdaf659cc167487f21";
- sha256 = "1xskpb8ah9p5qw5vljc12zq7alm95xjhlcy78jhahb48nkf2b39l";
+ rev = "5c8df1b5fa495ece990df5596d8e7fa1be2c2355";
+ sha256 = "14v75zl5dgxi9pyv8vm79gd578mnq0mw4c947v8f2zhlc4xbda04";
};
meta.homepage = "https://github.com/thinca/vim-themis/";
};
@@ -15219,12 +15725,12 @@ final: prev:
vim-tpipeline = buildVimPlugin {
pname = "vim-tpipeline";
- version = "2023-10-13";
+ version = "2024-02-18";
src = fetchFromGitHub {
owner = "vimpostor";
repo = "vim-tpipeline";
- rev = "bff212497e0873ef7c97bd1e3d59cf57d6627581";
- sha256 = "1zpn2l7lzi03glmficfs1jwk7d9c5jn2pp63m818h8810dhicalr";
+ rev = "649f079a0bee19565978b82b672d831c6641d952";
+ sha256 = "16lyavpy8qh06l03jqs7klyja3nqs3ynjfy7y8xjmlqa4mgfcffn";
};
meta.homepage = "https://github.com/vimpostor/vim-tpipeline/";
};
@@ -15363,12 +15869,12 @@ final: prev:
vim-visual-multi = buildVimPlugin {
pname = "vim-visual-multi";
- version = "2024-01-22";
+ version = "2024-02-22";
src = fetchFromGitHub {
owner = "mg979";
repo = "vim-visual-multi";
- rev = "e67f7fa011c98fc5426352d3bb06362a0f70af3c";
- sha256 = "052hb8ly7yxaylaqmlb7nwnwsjn2sbhr76k3fr618zn9p4nqa3df";
+ rev = "fe1ec7e430013b83c8c2dee85ae496251b71e253";
+ sha256 = "0mvirqq1gmp2270bm92fk3c4d96r2jlkl2s36pm1d00b7vd3vpll";
};
meta.homepage = "https://github.com/mg979/vim-visual-multi/";
};
@@ -15459,12 +15965,12 @@ final: prev:
vim-wakatime = buildVimPlugin {
pname = "vim-wakatime";
- version = "2024-01-10";
+ version = "2024-03-15";
src = fetchFromGitHub {
owner = "wakatime";
repo = "vim-wakatime";
- rev = "cae21a19c3db4d9aadd5d320de3da0c99dfd0f62";
- sha256 = "1f7jqmsr7b9103g9fif3p8fglrqlgk5nf3ckhkjpwfy6355vk41h";
+ rev = "56b4d073adaf18c04c7bb5728d41c03b2f25754d";
+ sha256 = "1qcx81hgamz4v7nxhbx0kbwsx2qm0b7rsgpja16imhycvlaf28n1";
};
meta.homepage = "https://github.com/wakatime/vim-wakatime/";
};
@@ -15555,12 +16061,12 @@ final: prev:
vim-xkbswitch = buildVimPlugin {
pname = "vim-xkbswitch";
- version = "2023-05-11";
+ version = "2024-03-12";
src = fetchFromGitHub {
owner = "lyokha";
repo = "vim-xkbswitch";
- rev = "42b55bfec8f6dbd715135ce582a6f769831face1";
- sha256 = "0asqj8icak9a6rqvf0xqax1g6lj0gjp6c2yhmmrzql5d4kbx1id6";
+ rev = "42ef558e792e35600e608d1cd7ad8a760f157269";
+ sha256 = "0aim4bdznk4iklz67nrbw67x3vjv18nmiqy2730b75kl88v0n6iw";
};
meta.homepage = "https://github.com/lyokha/vim-xkbswitch/";
};
@@ -15603,12 +16109,12 @@ final: prev:
vim-zettel = buildVimPlugin {
pname = "vim-zettel";
- version = "2024-01-11";
+ version = "2024-01-31";
src = fetchFromGitHub {
owner = "michal-h21";
repo = "vim-zettel";
- rev = "aa3d6edfa0251928e268e13a92d090c92446e545";
- sha256 = "1v639cgsfx87qg30n1p3cjrp83xlanng2a428i05r319z2njvj2i";
+ rev = "c0230fb9f3d0f4aa10c6598549a733e20eeaa92f";
+ sha256 = "0pdl26s4n3wnjh2mf0vwwxwvjc8hg4i5cfsj8fip7k8zsgwg14vx";
};
meta.homepage = "https://github.com/michal-h21/vim-zettel/";
};
@@ -15771,12 +16277,12 @@ final: prev:
vimspector = buildVimPlugin {
pname = "vimspector";
- version = "2024-01-02";
+ version = "2024-02-17";
src = fetchFromGitHub {
owner = "puremourning";
repo = "vimspector";
- rev = "703df4d948957105fe056dec9b106fbebf25ca66";
- sha256 = "1yavhc0y4s03mh9swa1cg21g7b3h461k6m9j728751qd30hjdbcf";
+ rev = "def092693ea33eb2055fb2cfbcabb8e56ea77963";
+ sha256 = "0b4md13a4mdf2knmb0p3c83k3v04hl5y4z2sa2kci3shq41v694x";
fetchSubmodules = true;
};
meta.homepage = "https://github.com/puremourning/vimspector/";
@@ -15784,24 +16290,24 @@ final: prev:
vimtex = buildVimPlugin {
pname = "vimtex";
- version = "2024-01-18";
+ version = "2024-03-15";
src = fetchFromGitHub {
owner = "lervag";
repo = "vimtex";
- rev = "fe20ab1bd82a23441ac55054afefcd60001947a2";
- sha256 = "1pfhsgz768knvmjiizcfv0y5vxvrywn44p1jhqz15zx3d6svf064";
+ rev = "577f7c59a4c0047ef354eb2f6fef39cbdc9a4556";
+ sha256 = "0bglgaqdy6abdf4cnyyqqlh33d9537k1w6jmkzzb90q8mwlvy23k";
};
meta.homepage = "https://github.com/lervag/vimtex/";
};
vimux = buildVimPlugin {
pname = "vimux";
- version = "2022-09-26";
+ version = "2024-02-19";
src = fetchFromGitHub {
owner = "preservim";
repo = "vimux";
- rev = "616fcb4799674a7a809b14ca2dc155bb6ba25788";
- sha256 = "00lxrajyvg6vl6d87r85wn8swhxq1q2754vs0hnrgxqx6gw4rfga";
+ rev = "f7c41607d9246ec4b6cc28587cce84d75d106e3e";
+ sha256 = "0df041kccvdgn82qqxbwzamc3g1zs5agyyg2xfkqz4ibayq7z5d7";
};
meta.homepage = "https://github.com/preservim/vimux/";
};
@@ -15856,24 +16362,24 @@ final: prev:
vista-vim = buildVimPlugin {
pname = "vista.vim";
- version = "2023-11-24";
+ version = "2024-02-21";
src = fetchFromGitHub {
owner = "liuchengxu";
repo = "vista.vim";
- rev = "290b815cd5a5ff1fb65a48936633d93e2bf14dbd";
- sha256 = "1hqnczyyg21lsv4j3kvp0w84xm0fxzvdmgakwx2q1wg3x1g4ybcf";
+ rev = "f76cecc430003968e6174cae899c2cb2953219b7";
+ sha256 = "0hq41f91f97885vx1rcl981vhwariiwbz2hs0dzryka2ycy5lvy4";
};
meta.homepage = "https://github.com/liuchengxu/vista.vim/";
};
vscode-nvim = buildVimPlugin {
pname = "vscode.nvim";
- version = "2024-01-24";
+ version = "2024-03-13";
src = fetchFromGitHub {
owner = "Mofiqul";
repo = "vscode.nvim";
- rev = "826102511b9b689a16e3e55c30f2eb65eedc99f6";
- sha256 = "0nph82xyqvcvlr0pc78r292q6givc76lz24any7p6zph9rw0zyry";
+ rev = "ea9ff6da3756ab229bcb59aad1ea7749eb15b065";
+ sha256 = "1m2zb5wzcdvhir7ijk49r210s7r6j8yzjgx9w60pqjh1pywi423x";
};
meta.homepage = "https://github.com/Mofiqul/vscode.nvim/";
};
@@ -15928,12 +16434,12 @@ final: prev:
whitespace-nvim = buildVimPlugin {
pname = "whitespace.nvim";
- version = "2023-04-18";
+ version = "2024-02-13";
src = fetchFromGitHub {
owner = "johnfrankmorgan";
repo = "whitespace.nvim";
- rev = "fe9ca0ba3c6e6cc1dd14c0d6cbe49d97496700dd";
- sha256 = "1a391aq8svh3ayv4sa5dphg31l0x9rji8jrb6pa91y4b9sdhr6is";
+ rev = "34d319e07f86a628deeb237133088f01f8432bc0";
+ sha256 = "1gkxw6zhkgjvwg4jcpfiv2xkg7vk0q1prj4sh5swiznmyswsr0ay";
};
meta.homepage = "https://github.com/johnfrankmorgan/whitespace.nvim/";
};
@@ -15952,12 +16458,12 @@ final: prev:
wiki-vim = buildVimPlugin {
pname = "wiki.vim";
- version = "2024-01-22";
+ version = "2024-02-12";
src = fetchFromGitHub {
owner = "lervag";
repo = "wiki.vim";
- rev = "41d63295e2e90a6a587c9b7792cf672b416cf0b4";
- sha256 = "1mrmrlcq3g6ham8ywirf1bxbhrfw3xygvnl6mmrav2xydnv7yg8c";
+ rev = "7d0eaf0037b01b0f8bcbb59286d58feac38bb4c8";
+ sha256 = "1ibd0g6g110cvk287k7iw7fsz7w6j9g8fzrcmblxcspg5bpassy3";
};
meta.homepage = "https://github.com/lervag/wiki.vim/";
};
@@ -16060,12 +16566,12 @@ final: prev:
wrapping-nvim = buildVimPlugin {
pname = "wrapping.nvim";
- version = "2023-10-08";
+ version = "2024-02-25";
src = fetchFromGitHub {
owner = "andrewferrier";
repo = "wrapping.nvim";
- rev = "696febba72939cf9082e0fd9cb9c603254cfa8a6";
- sha256 = "0dqygl72ln9x572a6q3dii9cqnjgfb7l70iw9vifjpv8vsyak20x";
+ rev = "2b74a9fa326ad42e2a509f9e49543471d2d7c1ea";
+ sha256 = "1v66hgmv05w5yz9bg4h5s00j1iz41pc09prm45xdbds6kx02rg2d";
};
meta.homepage = "https://github.com/andrewferrier/wrapping.nvim/";
};
@@ -16120,24 +16626,24 @@ final: prev:
yanky-nvim = buildVimPlugin {
pname = "yanky.nvim";
- version = "2023-11-27";
+ version = "2024-02-02";
src = fetchFromGitHub {
owner = "gbprod";
repo = "yanky.nvim";
- rev = "6bb9ffd3cad4c9876bda54e19d0659de28a4f84f";
- sha256 = "01pd9xgw9wh9xa4sk80g0n61ra4l9xvssqak6vv03kgrpyb1qizw";
+ rev = "7c5cbf0122ff2dfbb6a92f14885894f65949cc8b";
+ sha256 = "177xjvghk3i889hlmzmyc8xsryrj6f69v6dk0zs3wf10m20ixr78";
};
meta.homepage = "https://github.com/gbprod/yanky.nvim/";
};
yats-vim = buildVimPlugin {
pname = "yats.vim";
- version = "2023-08-24";
+ version = "2024-02-08";
src = fetchFromGitHub {
owner = "HerringtonDarkholme";
repo = "yats.vim";
- rev = "2b6950c7143790e6930b8cf32d60c6858a50d47c";
- sha256 = "0385gak2sil6z8n20s5kdmnnpyw7ds5c2qcfr0pmyaz3dlyfx2ih";
+ rev = "6927af6e3e45d0ce585684be5681bada0ffcc3a7";
+ sha256 = "0vfcbsm77kmxs6876kac28ncjlp96hqwaj5sgjxkk5jx8077jq0p";
fetchSubmodules = true;
};
meta.homepage = "https://github.com/HerringtonDarkholme/yats.vim/";
@@ -16193,12 +16699,12 @@ final: prev:
zenbones-nvim = buildVimPlugin {
pname = "zenbones.nvim";
- version = "2024-01-20";
+ version = "2024-02-10";
src = fetchFromGitHub {
owner = "mcchrish";
repo = "zenbones.nvim";
- rev = "072b21d16cc1d5ae5701455fadaa47b1e8a61780";
- sha256 = "00n9yy41qihayp3312wqnjms3la4kw0yjbb8fid26nq3a2719hjw";
+ rev = "33672310aac6b823c88cf16d5d99472439111f9c";
+ sha256 = "0yc7rr54ywap910k6jzwv4kwjy7n7s3yfpd435gq8hlcci1fj5am";
};
meta.homepage = "https://github.com/mcchrish/zenbones.nvim/";
};
@@ -16277,12 +16783,12 @@ final: prev:
catppuccin-nvim = buildVimPlugin {
pname = "catppuccin-nvim";
- version = "2024-01-23";
+ version = "2024-03-05";
src = fetchFromGitHub {
owner = "catppuccin";
repo = "nvim";
- rev = "bc1f2151f23227ba02ac203c2c59ad693352a741";
- sha256 = "0qs9s2qc3xmam66ix6blg1n4wfx6847i2ls824a75a5xr73yx15x";
+ rev = "045e3499d9ec8d84635fb08877ae44fd33f6a38d";
+ sha256 = "1l86f56lcb0rklg1mipa9ssvgipx02vl5f4d60m5xary72qsgcva";
};
meta.homepage = "https://github.com/catppuccin/nvim/";
};
@@ -16301,28 +16807,40 @@ final: prev:
dracula-vim = buildVimPlugin {
pname = "dracula-vim";
- version = "2023-10-29";
+ version = "2024-02-23";
src = fetchFromGitHub {
owner = "dracula";
repo = "vim";
- rev = "6495b4ff40479ec7705addb4ea800ec308026648";
- sha256 = "116gnd891v3rqaxk2dki1ril6j2y7f6vcdh421i0xwnvbj91pfc6";
+ rev = "9fa89296884e47bbadc49ad959e37b9d1c24cafb";
+ sha256 = "0911akib9ys9vyxnalbmyip7m1ahpnsn89km2hrgj0fc9s5m75ky";
};
meta.homepage = "https://github.com/dracula/vim/";
};
embark-vim = buildVimPlugin {
pname = "embark-vim";
- version = "2024-01-14";
+ version = "2024-02-14";
src = fetchFromGitHub {
owner = "embark-theme";
repo = "vim";
- rev = "54dd8727a871421530bb07f3629dadab24b02183";
- sha256 = "0qxskx7lvf91zg7fr32j5vm6v4vf1r51zq9flsdx6fvvlmaqbkry";
+ rev = "d0ce0502c83864721c92d0723dde10f38a09c714";
+ sha256 = "01bx2hkxmqi9401n327m9k63m8n2jyqklgl3xkv0w3h0a16wc7h6";
};
meta.homepage = "https://github.com/embark-theme/vim/";
};
+ gbprod-nord = buildVimPlugin {
+ pname = "gbprod-nord";
+ version = "2024-02-01";
+ src = fetchFromGitHub {
+ owner = "gbprod";
+ repo = "nord.nvim";
+ rev = "4ae9eb96e9ee65493d4ade102dec7e4b4d4b8b21";
+ sha256 = "1pipplqpmif0wmb9w782bq89dlqidjpi0l8dn1fddr3r7zn7xj48";
+ };
+ meta.homepage = "https://github.com/gbprod/nord.nvim/";
+ };
+
gruvbox-community = buildVimPlugin {
pname = "gruvbox-community";
version = "2024-01-21";
@@ -16337,12 +16855,12 @@ final: prev:
harpoon2 = buildVimPlugin {
pname = "harpoon2";
- version = "2024-01-06";
+ version = "2024-01-26";
src = fetchFromGitHub {
owner = "ThePrimeagen";
repo = "harpoon";
- rev = "2cd4e03372f7ee5692c8caa220f479ea07970f17";
- sha256 = "01ljz9w3agz5nnz5v0894scr8hwwd599qpxb2nm2lw45hr30chii";
+ rev = "a38be6e0dd4c6db66997deab71fc4453ace97f9c";
+ sha256 = "1cmiw4sy5r4h8f2k1m91f2xykasnp66zdibx0l8vk94hw990sg26";
};
meta.homepage = "https://github.com/ThePrimeagen/harpoon/";
};
@@ -16361,12 +16879,12 @@ final: prev:
nightfly = buildVimPlugin {
pname = "nightfly";
- version = "2024-01-24";
+ version = "2024-03-16";
src = fetchFromGitHub {
owner = "bluz71";
repo = "vim-nightfly-colors";
- rev = "0c5f52d717c65951173455a70592f6383b3487e7";
- sha256 = "0i56cqfkzgccnic23v5k0slyqc8z9zai3zcgxka40wpg3wl02x0m";
+ rev = "43ca56b9035be8b276889637c281f4d7d8833e1c";
+ sha256 = "1if5l751gym0810ysbls1pp5c9b7il9vzqngzf4936bs9gw7wzql";
};
meta.homepage = "https://github.com/bluz71/vim-nightfly-colors/";
};
@@ -16385,16 +16903,28 @@ final: prev:
nvchad-ui = buildVimPlugin {
pname = "nvchad-ui";
- version = "2023-12-28";
+ version = "2024-03-15";
src = fetchFromGitHub {
owner = "nvchad";
repo = "ui";
- rev = "1737a2a98e18b635480756e817564b60ff31fc53";
- sha256 = "1d15chjvbmx583qrfw1cn0z00lkrkhippgy2rvf90b6djb0z38f3";
+ rev = "5a910659cffebf9671d0df1f98fb159c13ee9152";
+ sha256 = "10zxpzi2xzniy128f7871dc309flsda69las1ngyv7nclzq5mdwz";
};
meta.homepage = "https://github.com/nvchad/ui/";
};
+ phha-zenburn = buildVimPlugin {
+ pname = "phha-zenburn";
+ version = "2024-01-31";
+ src = fetchFromGitHub {
+ owner = "phha";
+ repo = "zenburn.nvim";
+ rev = "f5ee12b30119499c7fa7f95719cd7c5aab9f9f29";
+ sha256 = "10wn4b1awk4bzb7isfqbp3pqzi2ifnmcs7zyrwhna1dpwwdpgvbr";
+ };
+ meta.homepage = "https://github.com/phha/zenburn.nvim/";
+ };
+
pure-lua = buildVimPlugin {
pname = "pure-lua";
version = "2021-05-16";
@@ -16421,12 +16951,12 @@ final: prev:
rose-pine = buildVimPlugin {
pname = "rose-pine";
- version = "2024-01-18";
+ version = "2024-03-15";
src = fetchFromGitHub {
owner = "rose-pine";
repo = "neovim";
- rev = "2a4aad89a803c84d7d1555d136639cd48ade1d64";
- sha256 = "1cc62q8smmwmccq15jngbsx9wrwnjp7gl5lryavf32qngknlcb09";
+ rev = "c52167563e6aa44b5fa6fe007faa2bcac71050f0";
+ sha256 = "1sghyhmr0zsgd4qj1av8hxx9ca5kx9ks2zynrm13bnyr46kci73p";
};
meta.homepage = "https://github.com/rose-pine/neovim/";
};
@@ -16445,12 +16975,12 @@ final: prev:
tinykeymap = buildVimPlugin {
pname = "tinykeymap";
- version = "2024-01-05";
+ version = "2024-02-17";
src = fetchFromGitHub {
owner = "tomtom";
repo = "tinykeymap_vim";
- rev = "4c8beeab44be0a544bcc2aff7f68ac432ab647d8";
- sha256 = "0y3r5i2nz8m8vy5njsyrbrcnp1jsck48h7925pqhrh11lf7a9sba";
+ rev = "7217ce656069d82cd71872ede09152b232ecaf1b";
+ sha256 = "1y0snmb402k1f5r54192d7jpg3fbam4ry92hn063y92110j9580w";
};
meta.homepage = "https://github.com/tomtom/tinykeymap_vim/";
};
@@ -16479,5 +17009,17 @@ final: prev:
meta.homepage = "https://github.com/jhradilek/vim-snippets/";
};
+ virt-column-nvim = buildVimPlugin {
+ pname = "virt-column-nvim";
+ version = "2023-11-13";
+ src = fetchFromGitHub {
+ owner = "lukas-reineke";
+ repo = "virt-column.nvim";
+ rev = "b62b4ef0774d19452d4ed18e473e824c7a756f2f";
+ sha256 = "sha256-7ljjJ7UwN2U1xPCtsYbrKdnz6SGQGbM/HrxPTxNKlwo=";
+ };
+ meta.homepage = "https://github.com/lukas-reineke/virt-column.nvim/";
+ };
+
}
diff --git a/pkgs/applications/editors/vim/plugins/nvim-treesitter/generated.nix b/pkgs/applications/editors/vim/plugins/nvim-treesitter/generated.nix
index 185681984e58..518473b45124 100644
--- a/pkgs/applications/editors/vim/plugins/nvim-treesitter/generated.nix
+++ b/pkgs/applications/editors/vim/plugins/nvim-treesitter/generated.nix
@@ -16,58 +16,68 @@
};
agda = buildGrammar {
language = "agda";
- version = "0.0.0+rev=c21c3a0";
+ version = "0.0.0+rev=d3dc807";
src = fetchFromGitHub {
owner = "tree-sitter";
repo = "tree-sitter-agda";
- rev = "c21c3a0f996363ed17b8ac99d827fe5a4821f217";
- hash = "sha256-EV0J38zcfSHaBqzu2Rcut1l20FpB+xneFRaizEX1DXg=";
+ rev = "d3dc807692e6bca671d4491b3bf5c67eeca8c016";
+ hash = "sha256-2HBndaridTSSpYgCnpc6buLWWusmQPzsQjwAD9PkrCE=";
};
meta.homepage = "https://github.com/tree-sitter/tree-sitter-agda";
};
angular = buildGrammar {
language = "angular";
- version = "0.0.0+rev=624ff10";
+ version = "0.0.0+rev=62179d7";
src = fetchFromGitHub {
- owner = "steelsojka";
+ owner = "dlvandenberg";
repo = "tree-sitter-angular";
- rev = "624ff108fe949727217cddb302f20e4f16997b1c";
- hash = "sha256-ROmM6GEhKLtNPUK3W4BOTRdxS2VcIpuRqdF18nrSsXk=";
+ rev = "62179d7bbfb5fd8a8f7298495ac654d252a1bd52";
+ hash = "sha256-qRsBeJ01kGTKJqFubG1u9SFa1nsDH7ZHKQ0cGwfqi84=";
};
- generate = true;
- meta.homepage = "https://github.com/steelsojka/tree-sitter-angular";
+ meta.homepage = "https://github.com/dlvandenberg/tree-sitter-angular";
};
apex = buildGrammar {
language = "apex";
- version = "0.0.0+rev=ca70b23";
+ version = "0.0.0+rev=857077f";
src = fetchFromGitHub {
owner = "aheber";
repo = "tree-sitter-sfapex";
- rev = "ca70b2347a79615cd749517f6c6c2352e50a7ce9";
- hash = "sha256-7gVA5aFGw2DSFmmlv6HMLcfSki4aDPB05llfHFSaYME=";
+ rev = "857077f9e6bb04df0f769c18d32bfe036911adc8";
+ hash = "sha256-b7rw0AWqqvFisS0qlDppJFxSnjNZaTTeph6gKfQwFNA=";
};
location = "apex";
meta.homepage = "https://github.com/aheber/tree-sitter-sfapex";
};
arduino = buildGrammar {
language = "arduino";
- version = "0.0.0+rev=ff1045f";
+ version = "0.0.0+rev=e3a0a7f";
src = fetchFromGitHub {
owner = "ObserverOfTime";
repo = "tree-sitter-arduino";
- rev = "ff1045f5da90344d547022c50f1756be4adaf721";
- hash = "sha256-8qPkmLxe4DrZyVjiEYGTWlLnXDzMV/7ZlDFDAPY+jTE=";
+ rev = "e3a0a7f60e544afc478b72cdda7ffc0f2f889db0";
+ hash = "sha256-WdGCnZSMxyNJJYHB5H5Atc9EW2/0oB22/OWyxTrQHT8=";
};
meta.homepage = "https://github.com/ObserverOfTime/tree-sitter-arduino";
};
+ asm = buildGrammar {
+ language = "asm";
+ version = "0.0.0+rev=6ace266";
+ src = fetchFromGitHub {
+ owner = "RubixDev";
+ repo = "tree-sitter-asm";
+ rev = "6ace266be7ad6bf486a95427ca3fc949aff66211";
+ hash = "sha256-sMUlk4BKpsmNhGF/ayi/wkSP6ea7pvTJKuctnOvKda0=";
+ };
+ meta.homepage = "https://github.com/RubixDev/tree-sitter-asm";
+ };
astro = buildGrammar {
language = "astro";
- version = "0.0.0+rev=a092afa";
+ version = "0.0.0+rev=dfa0893";
src = fetchFromGitHub {
owner = "virchau13";
repo = "tree-sitter-astro";
- rev = "a092afa5c330495fdfbc652766c29c66ec6880f4";
- hash = "sha256-yYQPBGNRmr/O9hI11L3a2ydZL/lypLtabfmBdKghnzM=";
+ rev = "dfa0893bdc4bdfada102043404758c66e3580568";
+ hash = "sha256-gToRdyLvvDaeE1b9fYRVPFt1mtdR9kSYzqFBDUYpv3k=";
};
meta.homepage = "https://github.com/virchau13/tree-sitter-astro";
};
@@ -84,45 +94,45 @@
};
awk = buildGrammar {
language = "awk";
- version = "0.0.0+rev=dcf4ac4";
+ version = "0.0.0+rev=ba74721";
src = fetchFromGitHub {
owner = "Beaglefoot";
repo = "tree-sitter-awk";
- rev = "dcf4ac4eaff601d87cc15604765a7ae329c1b2ee";
- hash = "sha256-ZxSgNVY5jRi6S6psG2XoNhuQnXqAjgUK7NtBK9y1WNo=";
+ rev = "ba7472152d79a8c916550c80fdbfd5724d07a0c9";
+ hash = "sha256-ywrd9wCdrQ9UZPXvB8fUkelFaZzHxL+hDAPSecMueFs=";
};
meta.homepage = "https://github.com/Beaglefoot/tree-sitter-awk";
};
bash = buildGrammar {
language = "bash";
- version = "0.0.0+rev=f7239f6";
+ version = "0.0.0+rev=f3f26f4";
src = fetchFromGitHub {
owner = "tree-sitter";
repo = "tree-sitter-bash";
- rev = "f7239f638d3dc16762563a9027faeee518ce1bd9";
- hash = "sha256-+Mpks0FyQLl26TX63J6WhaAl/QDUR1k9wSUY5SFwL+w=";
+ rev = "f3f26f47a126797c011c311cec9d449d855c3eab";
+ hash = "sha256-6Rfxh8Y6dg2wyQ9jYnbOaXm1SVfQDQ1B1tNqgpz6sY4=";
};
meta.homepage = "https://github.com/tree-sitter/tree-sitter-bash";
};
bass = buildGrammar {
language = "bass";
- version = "0.0.0+rev=27f110d";
+ version = "0.0.0+rev=c9ba456";
src = fetchFromGitHub {
- owner = "amaanq";
+ owner = "vito";
repo = "tree-sitter-bass";
- rev = "27f110dfe79620993f5493ffa0d0f2fe12d250ed";
- hash = "sha256-OmYtp2TAsAjw2fgdSezHUrP46b/QXgCbDeJa4ANrtvY=";
+ rev = "c9ba4568af24cd3403029730687c0a43d1350a43";
+ hash = "sha256-F131TkIt2mW2n8Da3zI1/B0yoT9Ezo2hWoptpsdMrb4=";
};
- meta.homepage = "https://github.com/amaanq/tree-sitter-bass";
+ meta.homepage = "https://github.com/vito/tree-sitter-bass";
};
beancount = buildGrammar {
language = "beancount";
- version = "0.0.0+rev=cd08aef";
+ version = "0.0.0+rev=6c665e7";
src = fetchFromGitHub {
owner = "polarmutex";
repo = "tree-sitter-beancount";
- rev = "cd08aefa20dc0f3d5984b08b5d468f75bf4fd096";
- hash = "sha256-39TnAM/urE0slFtqGykkmBlZPg0OFdkDU+p1WAAjl5c=";
+ rev = "6c665e7cf15d76a1687959643868a78fb381458d";
+ hash = "sha256-hVFPt+ndXx38SH/e/dORz226SQwDNu1j4cinvJLhkTM=";
};
meta.homepage = "https://github.com/polarmutex/tree-sitter-beancount";
};
@@ -139,23 +149,23 @@
};
bicep = buildGrammar {
language = "bicep";
- version = "0.0.0+rev=3604d8c";
+ version = "0.0.0+rev=c3451d1";
src = fetchFromGitHub {
owner = "amaanq";
repo = "tree-sitter-bicep";
- rev = "3604d8c961ab129d2bfc6dfca56419c236ccdb83";
- hash = "sha256-s0BtyYtC/D8+nudkHxpd4rSPQWrA0FngH9HIX85H/Uw=";
+ rev = "c3451d1049c80074b18078ba50007b7c00e063f8";
+ hash = "sha256-81Pt/Yyc/r8RDBCaGcXx9giIJ9+smi9Xus43NGOePgM=";
};
meta.homepage = "https://github.com/amaanq/tree-sitter-bicep";
};
bitbake = buildGrammar {
language = "bitbake";
- version = "0.0.0+rev=10bacac";
+ version = "0.0.0+rev=7e0e2ac";
src = fetchFromGitHub {
owner = "amaanq";
repo = "tree-sitter-bitbake";
- rev = "10bacac929ff36a1e8f4056503fe4f8717b21b94";
- hash = "sha256-PSI1XVDGwDk5GjHjvCJfmBDfYM2Gmm1KR4h5KxBR1d0=";
+ rev = "7e0e2ac6f1d0da1a91ab84f35edddfdfece54f1a";
+ hash = "sha256-7He69Nk1b0gUCnLcfALQk46E2JPDhVpIIqS6qtJIx4k=";
};
meta.homepage = "https://github.com/amaanq/tree-sitter-bitbake";
};
@@ -172,23 +182,23 @@
};
c = buildGrammar {
language = "c";
- version = "0.0.0+rev=34f4c7e";
+ version = "0.0.0+rev=371fd0b";
src = fetchFromGitHub {
owner = "tree-sitter";
repo = "tree-sitter-c";
- rev = "34f4c7e751f4d661be3e23682fe2631d6615141d";
- hash = "sha256-VqTe0teQalt35HG8b2RDPOEJyqgViqUf43MQKdhEcUM=";
+ rev = "371fd0bf0650581b6e49f06f438c88c419859696";
+ hash = "sha256-zaH4b5lsOtnl1e07ERU2mP/IFvg90YjsFFhvz+EY/ig=";
};
meta.homepage = "https://github.com/tree-sitter/tree-sitter-c";
};
c_sharp = buildGrammar {
language = "c_sharp";
- version = "0.0.0+rev=dd5e597";
+ version = "0.0.0+rev=4b4e82c";
src = fetchFromGitHub {
owner = "tree-sitter";
repo = "tree-sitter-c-sharp";
- rev = "dd5e59721a5f8dae34604060833902b882023aaf";
- hash = "sha256-DlnGIky33CuRKkVVS+tOTBLVOVzASXqdaUrZawh6LZc=";
+ rev = "4b4e82ca0a30376ae605e77a0d8a3c803c9f9327";
+ hash = "sha256-NPF4nvryKvSmf4cI2xjYQiG391GqO0JoyymQCTXDjGc=";
};
meta.homepage = "https://github.com/tree-sitter/tree-sitter-c-sharp";
};
@@ -216,12 +226,12 @@
};
chatito = buildGrammar {
language = "chatito";
- version = "0.0.0+rev=871622a";
+ version = "0.0.0+rev=fe7a2a7";
src = fetchFromGitHub {
owner = "ObserverOfTime";
repo = "tree-sitter-chatito";
- rev = "871622a3a483f0baf94923d8495c8e0bb2c09009";
- hash = "sha256-w4dCrAgb7/qQvFvo2RbfN0bRaUO8RKtReKx5i7SbTF8=";
+ rev = "fe7a2a75d3d74c1215141b7a7ebebb57ae17a370";
+ hash = "sha256-4ianrWSctAIufc/8I1pxWvoij1nG7mcRemQs0fj1Qt8=";
};
meta.homepage = "https://github.com/ObserverOfTime/tree-sitter-chatito";
};
@@ -238,12 +248,12 @@
};
cmake = buildGrammar {
language = "cmake";
- version = "0.0.0+rev=73ab4b8";
+ version = "0.0.0+rev=7dc1582";
src = fetchFromGitHub {
owner = "uyha";
repo = "tree-sitter-cmake";
- rev = "73ab4b8e9522f014a67f87f585e820d36fa47408";
- hash = "sha256-5X4ho6tqPZFQWqoQ6WBsfuA+RbxTX5XzX7xzyFSTifw=";
+ rev = "7dc15823107831729c64a917c796a93cf5c6a7e3";
+ hash = "sha256-kz/FnQMibzmZ6O/x92q8IfrriO0vUlZhozIzhS0jRyo=";
};
meta.homepage = "https://github.com/uyha/tree-sitter-cmake";
};
@@ -271,12 +281,12 @@
};
cooklang = buildGrammar {
language = "cooklang";
- version = "0.0.0+rev=5e11341";
+ version = "0.0.0+rev=81c2a86";
src = fetchFromGitHub {
owner = "addcninblue";
repo = "tree-sitter-cooklang";
- rev = "5e113412aadb78955c27010daa4dbe1d202013cf";
- hash = "sha256-Ny55gdN+3o7bFJEnXvctstl6gjqkwrp1uE33OobyH7U=";
+ rev = "81c2a8667846b1e60db68b5601d864fe00fd1d3a";
+ hash = "sha256-zlffOW/ZJxpn3T2CMkrznkLLtuqxp7gIxVHEItvMepY=";
};
meta.homepage = "https://github.com/addcninblue/tree-sitter-cooklang";
};
@@ -304,23 +314,23 @@
};
cpp = buildGrammar {
language = "cpp";
- version = "0.0.0+rev=3deebb6";
+ version = "0.0.0+rev=e0c1678";
src = fetchFromGitHub {
owner = "tree-sitter";
repo = "tree-sitter-cpp";
- rev = "3deebb663506a06e179e0dd739d2b23e158f4157";
- hash = "sha256-IN4svBz8TiDi6ADLEFgKfuY68GxIX5nG3nvtPRFt4Eo=";
+ rev = "e0c1678a78731e78655b7d953efb4daecf58be46";
+ hash = "sha256-CdNCVDMAmeJrHgPb2JLxFHj/tHnUYC8flmxj+UaVXTo=";
};
meta.homepage = "https://github.com/tree-sitter/tree-sitter-cpp";
};
css = buildGrammar {
language = "css";
- version = "0.0.0+rev=98c7b3d";
+ version = "0.0.0+rev=02b4ee7";
src = fetchFromGitHub {
owner = "tree-sitter";
repo = "tree-sitter-css";
- rev = "98c7b3dceb24f1ee17f1322f3947e55638251c37";
- hash = "sha256-+30AJq3L30QmLXvTnePGW39crd7mLBUJ+sGsF7Wd9qI=";
+ rev = "02b4ee757654b7d54fe35352fd8e53a8a4385d42";
+ hash = "sha256-IniaiBBx2pDD5nwJKfr5i9qvfvG+z8H21v14qk14M0g=";
};
meta.homepage = "https://github.com/tree-sitter/tree-sitter-css";
};
@@ -338,57 +348,56 @@
};
cuda = buildGrammar {
language = "cuda";
- version = "0.0.0+rev=2c6e806";
+ version = "0.0.0+rev=221179d";
src = fetchFromGitHub {
owner = "theHamsta";
repo = "tree-sitter-cuda";
- rev = "2c6e806949197e7898910c78f514a3b7ff679068";
- hash = "sha256-JAShJo+jDv4kzFCPID0C3EokmeiWxMVcJoEsVOzKBEw=";
+ rev = "221179d4287a2c24c08e4c67ff383ef67dc32156";
+ hash = "sha256-e01PTB+SduikiiDvOW411v0pBXCqOFBWlu3HgmM6jFg=";
};
meta.homepage = "https://github.com/theHamsta/tree-sitter-cuda";
};
cue = buildGrammar {
language = "cue";
- version = "0.0.0+rev=2df92e6";
+ version = "0.0.0+rev=8a5f273";
src = fetchFromGitHub {
owner = "eonpatapon";
repo = "tree-sitter-cue";
- rev = "2df92e6755337e9234ad18ffef37f35d95e2ba9d";
- hash = "sha256-RsWbGMC2RXfZlZnkXJclMwiGecZ8Sc1OPkG+mmE5r6Q=";
+ rev = "8a5f273bfa281c66354da562f2307c2d394b6c81";
+ hash = "sha256-uV7Tl41PCU+8uJa693km5xvysvbptbT7LvGyYIelspk=";
};
meta.homepage = "https://github.com/eonpatapon/tree-sitter-cue";
};
d = buildGrammar {
language = "d";
- version = "0.0.0+rev=c2fbf21";
+ version = "0.0.0+rev=a33d400";
src = fetchFromGitHub {
- owner = "CyberShadow";
+ owner = "gdamore";
repo = "tree-sitter-d";
- rev = "c2fbf21bd3aa45495fe13247e040ad5815250032";
- hash = "sha256-1aW3nLZ5MbsOEiJ9PH6N/bBUlRihosWa4OWRcAmT3kc=";
+ rev = "a33d400f025d6bbd37b4c681c93054976f579890";
+ hash = "sha256-LUb+1dTj1IP5ZtWaWBT8UWnGEqb0DJodgbkwnT7xywk=";
};
- generate = true;
- meta.homepage = "https://github.com/CyberShadow/tree-sitter-d";
+ meta.homepage = "https://github.com/gdamore/tree-sitter-d";
};
dart = buildGrammar {
language = "dart";
- version = "0.0.0+rev=f71e310";
+ version = "0.0.0+rev=1a31399";
src = fetchFromGitHub {
owner = "UserNobody14";
repo = "tree-sitter-dart";
- rev = "f71e310a93010863f4b17a2a501ea8e2032c345b";
- hash = "sha256-6iRUtQ1bol0a7whK35MaJ3UKrxmTAzchQ8Yxy4TeerE=";
+ rev = "1a31399a08aefc93bc4cdbfadc0cb619136f86c1";
+ hash = "sha256-iQCjzNVCglHP670yT2inJKG5m3pstTZZzzcN0feGpFs=";
};
meta.homepage = "https://github.com/UserNobody14/tree-sitter-dart";
};
devicetree = buildGrammar {
language = "devicetree";
- version = "0.0.0+rev=6b53bfd";
+ version = "0.0.0+rev=2087a5b";
src = fetchFromGitHub {
owner = "joelspadin";
repo = "tree-sitter-devicetree";
- rev = "6b53bfdb20a54727bfe344aa40907351a298f75c";
- hash = "sha256-KaLaRfFFVNFrQmKv6G33r4H00hNkHvyTo4Aj9sBkW4s=";
+ rev = "2087a5b965db2a9efabab958a27fd8ddf43038a2";
+ hash = "sha256-mQDZ+klWpg7csDnrj9R/9OCzwlojZoXJHiK7NCAyXIs=";
};
meta.homepage = "https://github.com/joelspadin/tree-sitter-devicetree";
};
@@ -460,15 +469,15 @@
};
dtd = buildGrammar {
language = "dtd";
- version = "0.0.0+rev=dd7ef38";
+ version = "0.0.0+rev=c23bd31";
src = fetchFromGitHub {
- owner = "ObserverOfTime";
+ owner = "tree-sitter-grammars";
repo = "tree-sitter-xml";
- rev = "dd7ef38c74d8430da729b4da815e4c40776e03bb";
- hash = "sha256-6uQ9IeR4P5VXVvFA2KURDtjuYMMj9CwLHvI1dZ1B9L4=";
+ rev = "c23bd31d0aa72bfc01238b2546d5e823d8006709";
+ hash = "sha256-oPjO7y2xSVxvP0bpCFo/oGP4hPs3kWJ728d/R5PUdK4=";
};
- location = "tree-sitter-dtd";
- meta.homepage = "https://github.com/ObserverOfTime/tree-sitter-xml";
+ location = "dtd";
+ meta.homepage = "https://github.com/tree-sitter-grammars/tree-sitter-xml";
};
ebnf = buildGrammar {
language = "ebnf";
@@ -517,12 +526,12 @@
};
elm = buildGrammar {
language = "elm";
- version = "0.0.0+rev=c26afd7";
+ version = "0.0.0+rev=09dbf22";
src = fetchFromGitHub {
owner = "elm-tooling";
repo = "tree-sitter-elm";
- rev = "c26afd7f2316f689410a1622f1780eff054994b1";
- hash = "sha256-vYN1E49IpsvTUmxuzRyydCmhYZYGndcZPMBYgSMudrE=";
+ rev = "09dbf221d7491dc8d8839616b27c21b9c025c457";
+ hash = "sha256-Bq2oWtqEAsKyV0iHNKC+hXW4fh4yUwbfUhPtZWg5pug=";
};
meta.homepage = "https://github.com/elm-tooling/tree-sitter-elm";
};
@@ -550,12 +559,12 @@
};
embedded_template = buildGrammar {
language = "embedded_template";
- version = "0.0.0+rev=203f7bd";
+ version = "0.0.0+rev=6d791b8";
src = fetchFromGitHub {
owner = "tree-sitter";
repo = "tree-sitter-embedded-template";
- rev = "203f7bd3c1bbfbd98fc19add4b8fcb213c059205";
- hash = "sha256-SaZkyy2wKYoK0+pdHLVpQrdXjEeX/uR5XxgahcAdwz0=";
+ rev = "6d791b897ecda59baa0689a85a9906348a2a6414";
+ hash = "sha256-I4L3mxkAnmKs+BiNRDAs58QFD2r8jN1B2yv0dZdgkzQ=";
};
meta.homepage = "https://github.com/tree-sitter/tree-sitter-embedded-template";
};
@@ -581,16 +590,38 @@
};
meta.homepage = "https://github.com/FacilityApi/tree-sitter-facility";
};
+ faust = buildGrammar {
+ language = "faust";
+ version = "0.0.0+rev=f3b9274";
+ src = fetchFromGitHub {
+ owner = "khiner";
+ repo = "tree-sitter-faust";
+ rev = "f3b9274514b5f9bf6b0dd4a01c30f9cc15c58bc4";
+ hash = "sha256-JwR8LCEptgQmEG/ruK5ukIGCNtvKJw5bobZ0WXF1ulY=";
+ };
+ meta.homepage = "https://github.com/khiner/tree-sitter-faust";
+ };
fennel = buildGrammar {
language = "fennel";
- version = "0.0.0+rev=5171959";
+ version = "0.0.0+rev=215e391";
src = fetchFromGitHub {
- owner = "travonted";
+ owner = "alexmozaidze";
repo = "tree-sitter-fennel";
- rev = "517195970428aacca60891b050aa53eabf4ba78d";
- hash = "sha256-7bmrLJunNAus8XbBcBiTS5enhSzZ1mecAAyqlZUtSgo=";
+ rev = "215e3913524abc119daa9db7cf6ad2f2f5620189";
+ hash = "sha256-myh0+ZNDzdUZFAdsw8uVGyo0VYh0wKNZ11vlJKTSZnA=";
};
- meta.homepage = "https://github.com/travonted/tree-sitter-fennel";
+ meta.homepage = "https://github.com/alexmozaidze/tree-sitter-fennel";
+ };
+ fidl = buildGrammar {
+ language = "fidl";
+ version = "0.0.0+rev=0a8910f";
+ src = fetchFromGitHub {
+ owner = "google";
+ repo = "tree-sitter-fidl";
+ rev = "0a8910f293268e27ff554357c229ba172b0eaed2";
+ hash = "sha256-QFAkxQo2w/+OR7nZn9ldBk2yHOd23kzciAcQvIZ5hrY=";
+ };
+ meta.homepage = "https://github.com/google/tree-sitter-fidl";
};
firrtl = buildGrammar {
language = "firrtl";
@@ -682,15 +713,26 @@
};
gdscript = buildGrammar {
language = "gdscript";
- version = "0.0.0+rev=03f20b9";
+ version = "0.0.0+rev=b5dea4d";
src = fetchFromGitHub {
owner = "PrestonKnopp";
repo = "tree-sitter-gdscript";
- rev = "03f20b94707a21bed90bb95101684bc4036139ce";
- hash = "sha256-im87Rws9PPcBWNN0M8PNqnthJZlWKzn3iPLMGR+jtGo=";
+ rev = "b5dea4d852db65f0872d849c24533eb121e03c76";
+ hash = "sha256-/fmg7DfVX62F3sEovFaMs4dTA4rvPexOdQop3257op4=";
};
meta.homepage = "https://github.com/PrestonKnopp/tree-sitter-gdscript";
};
+ gdshader = buildGrammar {
+ language = "gdshader";
+ version = "0.0.0+rev=ffd9f95";
+ src = fetchFromGitHub {
+ owner = "GodOfAvacyn";
+ repo = "tree-sitter-gdshader";
+ rev = "ffd9f958df13cae04593781d7d2562295a872455";
+ hash = "sha256-JWlDs0w10TqsPYgZvvaJwAueOciCYaws1Nr8rb0UKy4=";
+ };
+ meta.homepage = "https://github.com/GodOfAvacyn/tree-sitter-gdshader";
+ };
git_config = buildGrammar {
language = "git_config";
version = "0.0.0+rev=9c2a1b7";
@@ -715,23 +757,23 @@
};
gitattributes = buildGrammar {
language = "gitattributes";
- version = "0.0.0+rev=19d554d";
+ version = "0.0.0+rev=41940e1";
src = fetchFromGitHub {
owner = "ObserverOfTime";
repo = "tree-sitter-gitattributes";
- rev = "19d554d39e4a8491f7a77abcdb75bfbc1c19d0b5";
- hash = "sha256-/mbnDUMVeyFmkkk/9AdhTvAjSeZcyc2rFgzaPJBiNs4=";
+ rev = "41940e199ba5763abea1d21b4f717014b45f01ea";
+ hash = "sha256-h9RPFI40C4LxjjKZEKkVCnUjNkh6Tgl74msSTpju7ZY=";
};
meta.homepage = "https://github.com/ObserverOfTime/tree-sitter-gitattributes";
};
gitcommit = buildGrammar {
language = "gitcommit";
- version = "0.0.0+rev=e8d9eda";
+ version = "0.0.0+rev=523a1a4";
src = fetchFromGitHub {
owner = "gbprod";
repo = "tree-sitter-gitcommit";
- rev = "e8d9eda4e5ea0b08aa39d48dab0f6553058fbe0f";
- hash = "sha256-gn945mu9JhLocKjdNbUhQnDvXNy2xxMn36oNOsbNOz4=";
+ rev = "523a1a4f0f674eff7fbf46addfa6ef7644151aae";
+ hash = "sha256-QWWX/92yOZES1XgcCTu77tgcfeRhaUEJJudCCopMBrk=";
};
meta.homepage = "https://github.com/gbprod/tree-sitter-gitcommit";
};
@@ -748,12 +790,12 @@
};
gleam = buildGrammar {
language = "gleam";
- version = "0.0.0+rev=58b7cac";
+ version = "0.0.0+rev=2012f29";
src = fetchFromGitHub {
owner = "gleam-lang";
repo = "tree-sitter-gleam";
- rev = "58b7cac8fc14c92b0677c542610d8738c373fa81";
- hash = "sha256-VtuGsa8jKndxlyJKy9UHfZXvAgr63Q0iwceXKfEUAYE=";
+ rev = "2012f294baacf30e7a62414754021284377366c6";
+ hash = "sha256-W+PfxqPUKHhLH5UBATmQ1mlSfLPAWIQyDgiSQBWBtBs=";
};
meta.homepage = "https://github.com/gleam-lang/tree-sitter-gleam";
};
@@ -770,12 +812,12 @@
};
glsl = buildGrammar {
language = "glsl";
- version = "0.0.0+rev=5bb58a6";
+ version = "0.0.0+rev=284bed0";
src = fetchFromGitHub {
owner = "theHamsta";
repo = "tree-sitter-glsl";
- rev = "5bb58a6a5b0941d4e1256c6335e50d9780e74dde";
- hash = "sha256-k2hs8psjVJ2HcNZoVaM4k81uccmacqbl7VxDXXOAQzA=";
+ rev = "284bed0e2f1d9f700756b96512baf33483642ff0";
+ hash = "sha256-pyxMMXDwpu4IOXVzBX1LteD6pmRVCcijCyzMioqjlO0=";
};
meta.homepage = "https://github.com/theHamsta/tree-sitter-glsl";
};
@@ -790,14 +832,25 @@
};
meta.homepage = "https://github.com/amaanq/tree-sitter-gn";
};
+ gnuplot = buildGrammar {
+ language = "gnuplot";
+ version = "0.0.0+rev=7549f6f";
+ src = fetchFromGitHub {
+ owner = "dpezto";
+ repo = "tree-sitter-gnuplot";
+ rev = "7549f6faf5cc9fb8cf78054a7af356a6b003c6f3";
+ hash = "sha256-AnSOI1pAMHtlkK6VcRuTCEsnXP3Sm2O95Eiwdx15UzM=";
+ };
+ meta.homepage = "https://github.com/dpezto/tree-sitter-gnuplot";
+ };
go = buildGrammar {
language = "go";
- version = "0.0.0+rev=ff86c7f";
+ version = "0.0.0+rev=fd577c4";
src = fetchFromGitHub {
owner = "tree-sitter";
repo = "tree-sitter-go";
- rev = "ff86c7f1734873c8c4874ca4dd95603695686d7a";
- hash = "sha256-0oXApYf6Ht9xWrWMMaumac1Rqg52GMYW0bAhRbQ8To0=";
+ rev = "fd577c4358c28cbcb6748bbf65354cc85f1cf7a4";
+ hash = "sha256-Fz9xSyjJLbNXAXbYMoVDsRqyRrLTWHAxnQBV9EF6n78=";
};
meta.homepage = "https://github.com/tree-sitter/tree-sitter-go";
};
@@ -834,6 +887,17 @@
};
meta.homepage = "https://github.com/amaanq/tree-sitter-go-sum";
};
+ gotmpl = buildGrammar {
+ language = "gotmpl";
+ version = "0.0.0+rev=17144a7";
+ src = fetchFromGitHub {
+ owner = "ngalaiko";
+ repo = "tree-sitter-go-template";
+ rev = "17144a77be0acdecebd9d557398883569fed41de";
+ hash = "sha256-aB8MTTKzxV9+66goNfFRI39wzuBiGECAc8HvAQzIv80=";
+ };
+ meta.homepage = "https://github.com/ngalaiko/tree-sitter-go-template";
+ };
gowork = buildGrammar {
language = "gowork";
version = "0.0.0+rev=949a8a4";
@@ -847,12 +911,12 @@
};
gpg = buildGrammar {
language = "gpg";
- version = "0.0.0+rev=18b2690";
+ version = "0.0.0+rev=f99323f";
src = fetchFromGitHub {
owner = "ObserverOfTime";
repo = "tree-sitter-gpg-config";
- rev = "18b2690a15f061a6ff48730589f7a4039af2d002";
- hash = "sha256-KLyXe1Xt1OPHmOMGX+ulVdjDhBpE31lO7/nGNiI4wt0=";
+ rev = "f99323fb8f3f10b6c69db0c2f6d0a14bd7330675";
+ hash = "sha256-VJXXpHVMKUNaslsjoKR6XsaUJ8C+0MyidXtRPRywnpg=";
};
meta.homepage = "https://github.com/ObserverOfTime/tree-sitter-gpg-config";
};
@@ -869,14 +933,14 @@
};
groovy = buildGrammar {
language = "groovy";
- version = "0.0.0+rev=7e02322";
+ version = "0.0.0+rev=3c25d1c";
src = fetchFromGitHub {
- owner = "Decodetalkers";
+ owner = "murtaza64";
repo = "tree-sitter-groovy";
- rev = "7e023227f46fee428b16a0288eeb0f65ee2523ec";
- hash = "sha256-tWLc5guc5dxKHoACN3Byo/KV+2i/u2tpZgaS+Yi+CyA=";
+ rev = "3c25d1ce6c1eb9da34215060372792dc9f439b0c";
+ hash = "sha256-VWIURpQoofmva6GWnOzq8niYklp5yOHH5ZuE8oDpzfs=";
};
- meta.homepage = "https://github.com/Decodetalkers/tree-sitter-groovy";
+ meta.homepage = "https://github.com/murtaza64/tree-sitter-groovy";
};
gstlaunch = buildGrammar {
language = "gstlaunch";
@@ -913,12 +977,12 @@
};
haskell = buildGrammar {
language = "haskell";
- version = "0.0.0+rev=dd924b8";
+ version = "0.0.0+rev=6b5ec20";
src = fetchFromGitHub {
owner = "tree-sitter";
repo = "tree-sitter-haskell";
- rev = "dd924b8df1eb76261f009e149fc6f3291c5081c2";
- hash = "sha256-rm9EeoZ5mO4bHAB0+E+6teKCicghQ46W7VvLfv3Za7I=";
+ rev = "6b5ec205c9d4f23eb36a163f1edc4f2db8c98e4a";
+ hash = "sha256-TFI524Pb5RhoPLHJ0ucSKJcWJDmIX6PJELSHRd2ic7Q=";
};
meta.homepage = "https://github.com/tree-sitter/tree-sitter-haskell";
};
@@ -935,26 +999,38 @@
};
hcl = buildGrammar {
language = "hcl";
- version = "0.0.0+rev=e135399";
+ version = "0.0.0+rev=e936d3f";
src = fetchFromGitHub {
owner = "MichaHoffmann";
repo = "tree-sitter-hcl";
- rev = "e135399cb31b95fac0760b094556d1d5ce84acf0";
- hash = "sha256-Ylxpj+e9YGhyRRRCCVs0g/zhwHpwGELxG2i+E3SFmpQ=";
+ rev = "e936d3fef8bac884661472dce71ad82284761eb1";
+ hash = "sha256-lcvs4auZrMb1f9ocrAnLVxX8JnvrPT18LsJBqoNG9Oo=";
};
meta.homepage = "https://github.com/MichaHoffmann/tree-sitter-hcl";
};
heex = buildGrammar {
language = "heex";
- version = "0.0.0+rev=4a36c9a";
+ version = "0.0.0+rev=b5ad6e3";
src = fetchFromGitHub {
owner = "connorlay";
repo = "tree-sitter-heex";
- rev = "4a36c9a388505180da6ee0eda1d8afb8c83481c8";
- hash = "sha256-6gdAxNI81jbN8XZALRw31kFQRZg8ge/O7QRprLZpWOg=";
+ rev = "b5ad6e34eea18a15bbd1466ca707a17f9bff7b93";
+ hash = "sha256-o0ArFfBJTrEQVXVet+AIDPCB/b9KKvOYrrtMGyLgtM8=";
};
meta.homepage = "https://github.com/connorlay/tree-sitter-heex";
};
+ helm = buildGrammar {
+ language = "helm";
+ version = "0.0.0+rev=17144a7";
+ src = fetchFromGitHub {
+ owner = "ngalaiko";
+ repo = "tree-sitter-go-template";
+ rev = "17144a77be0acdecebd9d557398883569fed41de";
+ hash = "sha256-aB8MTTKzxV9+66goNfFRI39wzuBiGECAc8HvAQzIv80=";
+ };
+ location = "dialects/helm";
+ meta.homepage = "https://github.com/ngalaiko/tree-sitter-go-template";
+ };
hjson = buildGrammar {
language = "hjson";
version = "0.0.0+rev=02fa3b7";
@@ -968,15 +1044,26 @@
};
hlsl = buildGrammar {
language = "hlsl";
- version = "0.0.0+rev=8b10fab";
+ version = "0.0.0+rev=ee24be1";
src = fetchFromGitHub {
owner = "theHamsta";
repo = "tree-sitter-hlsl";
- rev = "8b10faba024b536dc85f76e0c678f573b1776034";
- hash = "sha256-9bl7RhZjQOqBOePB4Lx3FLR79svMSh7ZVe+YlFv27WU=";
+ rev = "ee24be127560f0de0c4741e382416f45ab47eb76";
+ hash = "sha256-FMmJpyburjO/NKq14bQ9LbvxuiYdjBt5/Gfm/jeye0U=";
};
meta.homepage = "https://github.com/theHamsta/tree-sitter-hlsl";
};
+ hlsplaylist = buildGrammar {
+ language = "hlsplaylist";
+ version = "0.0.0+rev=5be34b0";
+ src = fetchFromGitHub {
+ owner = "Freed-Wu";
+ repo = "tree-sitter-hlsplaylist";
+ rev = "5be34b0f6ea01b24f017c2c715729a3a919f57fd";
+ hash = "sha256-3ZFaIc4BrfR7dLxftbSLuFdErjYrJgi0Cd8jp9PB19U=";
+ };
+ meta.homepage = "https://github.com/Freed-Wu/tree-sitter-hlsplaylist";
+ };
hocon = buildGrammar {
language = "hocon";
version = "0.0.0+rev=c390f10";
@@ -1001,12 +1088,12 @@
};
html = buildGrammar {
language = "html";
- version = "0.0.0+rev=949b780";
+ version = "0.0.0+rev=b285e25";
src = fetchFromGitHub {
owner = "tree-sitter";
repo = "tree-sitter-html";
- rev = "949b78051835564bca937565241e5e337d838502";
- hash = "sha256-njOFN1I+Ezg6N6v/FMPk0FKnlPnvfHijqISxex6fO40=";
+ rev = "b285e25c1ba8729399ce4f15ac5375cf6c3aa5be";
+ hash = "sha256-bAFSq2FXtSvFY8FrpeDgXXSq66QZsUrdz1As07B45u0=";
};
meta.homepage = "https://github.com/tree-sitter/tree-sitter-html";
};
@@ -1043,6 +1130,17 @@
};
meta.homepage = "https://github.com/pfeiferj/tree-sitter-hurl";
};
+ hyprlang = buildGrammar {
+ language = "hyprlang";
+ version = "0.0.0+rev=fc1d331";
+ src = fetchFromGitHub {
+ owner = "luckasRanarison";
+ repo = "tree-sitter-hyprlang";
+ rev = "fc1d331586e4da2b5f5bcfa89d630ebafe66458b";
+ hash = "sha256-powQTRaYmGGEdkmt59kLfdbLZRkRFbGra6PRnno0AUo=";
+ };
+ meta.homepage = "https://github.com/luckasRanarison/tree-sitter-hyprlang";
+ };
ini = buildGrammar {
language = "ini";
version = "0.0.0+rev=bcb84a2";
@@ -1078,23 +1176,23 @@
};
java = buildGrammar {
language = "java";
- version = "0.0.0+rev=2b57cd9";
+ version = "0.0.0+rev=5e62fbb";
src = fetchFromGitHub {
owner = "tree-sitter";
repo = "tree-sitter-java";
- rev = "2b57cd9541f9fd3a89207d054ce8fbe72657c444";
- hash = "sha256-Zo+KQ6TOjdJODNppTkt8XPE+WroNB5M6+n2XF+OrD8o=";
+ rev = "5e62fbb519b608dfd856000fdc66536304c414de";
+ hash = "sha256-Wki+tdLzYINDbZMFd79QIDNK46rtzx25Qy0mB54eWN4=";
};
meta.homepage = "https://github.com/tree-sitter/tree-sitter-java";
};
javascript = buildGrammar {
language = "javascript";
- version = "0.0.0+rev=f1e5a09";
+ version = "0.0.0+rev=de1e682";
src = fetchFromGitHub {
owner = "tree-sitter";
repo = "tree-sitter-javascript";
- rev = "f1e5a09b8d02f8209a68249c93f0ad647b228e6e";
- hash = "sha256-VbK2asd1CavcBYGia30MomQh+KOgKgz5ax10V6nEVEs=";
+ rev = "de1e682289a417354df5b4437a3e4f92e0722a0f";
+ hash = "sha256-HhqYqU1CwPxXMHp21unRekFDzpGVedlgh/4bsplhe9c=";
};
meta.homepage = "https://github.com/tree-sitter/tree-sitter-javascript";
};
@@ -1111,23 +1209,23 @@
};
jsdoc = buildGrammar {
language = "jsdoc";
- version = "0.0.0+rev=d01984d";
+ version = "0.0.0+rev=6a6cf9e";
src = fetchFromGitHub {
owner = "tree-sitter";
repo = "tree-sitter-jsdoc";
- rev = "d01984de49927c979b46ea5c01b78c8ddd79baf9";
- hash = "sha256-O7iDoOlXi+HLesS/sKcYfb+EJLR6gfRkwA657aRRg4c=";
+ rev = "6a6cf9e7341af32d8e2b2e24a37fbfebefc3dc55";
+ hash = "sha256-fKscFhgZ/BQnYnE5EwurFZgiE//O0WagRIHVtDyes/Y=";
};
meta.homepage = "https://github.com/tree-sitter/tree-sitter-jsdoc";
};
json = buildGrammar {
language = "json";
- version = "0.0.0+rev=3fef30d";
+ version = "0.0.0+rev=3b12920";
src = fetchFromGitHub {
owner = "tree-sitter";
repo = "tree-sitter-json";
- rev = "3fef30de8aee74600f25ec2e319b62a1a870d51e";
- hash = "sha256-Msnct7JzPBIR9+PIBZCJTRdVMUzhaDTKkl3JaDUKAgo=";
+ rev = "3b129203f4b72d532f58e72c5310c0a7db3b8e6d";
+ hash = "sha256-dVErHgsUDEN42wc/Gd68vQfVc8+/r/8No9KZk2GFzmY=";
};
meta.homepage = "https://github.com/tree-sitter/tree-sitter-json";
};
@@ -1166,45 +1264,45 @@
};
julia = buildGrammar {
language = "julia";
- version = "0.0.0+rev=0c088d1";
+ version = "0.0.0+rev=e84f10d";
src = fetchFromGitHub {
owner = "tree-sitter";
repo = "tree-sitter-julia";
- rev = "0c088d1ad270f02c4e84189247ac7001e86fe342";
- hash = "sha256-WEmuLNUoOyWkcDlVcf8ucdraPEi+gClAw8z9Gx51gpo=";
+ rev = "e84f10db8eeb8b9807786bfc658808edaa1b4fa2";
+ hash = "sha256-jrQjVPLb6SfePxEJV1GgFgLslGxgdmdb8bJy6VHOEbs=";
};
meta.homepage = "https://github.com/tree-sitter/tree-sitter-julia";
};
kconfig = buildGrammar {
language = "kconfig";
- version = "0.0.0+rev=2ba709d";
+ version = "0.0.0+rev=486fea7";
src = fetchFromGitHub {
owner = "amaanq";
repo = "tree-sitter-kconfig";
- rev = "2ba709d40caa6c1f68b26e55ff7a53e343154cfd";
- hash = "sha256-36x4t+HPuj+QEmOetlE3JowaAXP6eUhvkx9pZduBWgA=";
+ rev = "486fea71f61ad9f3fd4072a118402e97fe88d26c";
+ hash = "sha256-a3uTjtA4KQ8KxEmpva2oHcqp8EwbI5+h9U+qoPSgDd4=";
};
meta.homepage = "https://github.com/amaanq/tree-sitter-kconfig";
};
kdl = buildGrammar {
language = "kdl";
- version = "0.0.0+rev=e180e05";
+ version = "0.0.0+rev=49fb89a";
src = fetchFromGitHub {
owner = "amaanq";
repo = "tree-sitter-kdl";
- rev = "e180e05132c4cb229a8ba679b298790ef1eca77c";
- hash = "sha256-XJ2thChaJQjn0N/tqotjc/eR3W4+6GrNv/FxjvScswc=";
+ rev = "49fb89a854d93b58a65a19724ac307195ca11941";
+ hash = "sha256-U8N6aaTyYT6zaOKJ8S+3dMjS4ngxc9Yo7g4OFi03RR4=";
};
meta.homepage = "https://github.com/amaanq/tree-sitter-kdl";
};
kotlin = buildGrammar {
language = "kotlin";
- version = "0.0.0+rev=0ef8789";
+ version = "0.0.0+rev=260afd9";
src = fetchFromGitHub {
owner = "fwcd";
repo = "tree-sitter-kotlin";
- rev = "0ef87892401bb01c84b40916e1f150197bc134b1";
- hash = "sha256-A48osbWX8rkM5UlHcSX3t7PJ97IhsNe+JUTB4fnkDAE=";
+ rev = "260afd9a92bac51b3a4546303103c3d40a430639";
+ hash = "sha256-cmtUGmytAgiqBi31CNxEX+vE3YXmH1hphsIHvGRd7SY=";
};
meta.homepage = "https://github.com/fwcd/tree-sitter-kotlin";
};
@@ -1221,23 +1319,23 @@
};
lalrpop = buildGrammar {
language = "lalrpop";
- version = "0.0.0+rev=06ae1b6";
+ version = "0.0.0+rev=456dec2";
src = fetchFromGitHub {
owner = "traxys";
repo = "tree-sitter-lalrpop";
- rev = "06ae1b6c26e23c77c7fb86d51dddad62b42e66b0";
- hash = "sha256-kYJDTT4gsiRhOzAuRdLY5IxtnqNDBABbxgtAMm9L9qw=";
+ rev = "456dec2990ed7e9595eca82f85db14a1db46e126";
+ hash = "sha256-9lBgCmXfsvNZiI6KzOxLE4S9Eh2B6FSAMX3d5Oz9mQg=";
};
meta.homepage = "https://github.com/traxys/tree-sitter-lalrpop";
};
latex = buildGrammar {
language = "latex";
- version = "0.0.0+rev=2ae2021";
+ version = "0.0.0+rev=841f89f";
src = fetchFromGitHub {
owner = "latex-lsp";
repo = "tree-sitter-latex";
- rev = "2ae2021d7b224fb6aa57b760e0d146059f943bb8";
- hash = "sha256-790DbJ/nOQvH8WH6MDZZcAKANQTg1fQPGXS4BI2UbmE=";
+ rev = "841f89ffbba9650529a40fb867f3456bf92bf9b1";
+ hash = "sha256-OVPWwjRD/pYHk+iBskVuvum8+mNjIbAqJOMU22VE7CY=";
};
meta.homepage = "https://github.com/latex-lsp/tree-sitter-latex";
};
@@ -1276,12 +1374,12 @@
};
liquidsoap = buildGrammar {
language = "liquidsoap";
- version = "0.0.0+rev=691484a";
+ version = "0.0.0+rev=fb062bf";
src = fetchFromGitHub {
owner = "savonet";
repo = "tree-sitter-liquidsoap";
- rev = "691484ae766c4eee2ac59ec09e621b27b9ed9add";
- hash = "sha256-fuvT4k/NdtMNsU0rD5z9IpJ8Hc7dHTJiMWDvpShzUMY=";
+ rev = "fb062bfc7ca09a741820debb7cb8a75a557b30f9";
+ hash = "sha256-Q3ML8h6GU3KxL0G7JUAKwqNVgQBtDeQJANKF5h+MApQ=";
};
meta.homepage = "https://github.com/savonet/tree-sitter-liquidsoap";
};
@@ -1298,23 +1396,23 @@
};
lua = buildGrammar {
language = "lua";
- version = "0.0.0+rev=9668709";
+ version = "0.0.0+rev=a24dab1";
src = fetchFromGitHub {
owner = "MunifTanjim";
repo = "tree-sitter-lua";
- rev = "9668709211b2e683f27f414454a8b51bf0a6bda1";
- hash = "sha256-5t5w8KqbefInNbA12/jpNzmky/uOUhsLjKdEqpl1GEc=";
+ rev = "a24dab177e58c9c6832f96b9a73102a0cfbced4a";
+ hash = "sha256-uFaEptW4wPrqgHfB1mYmVltf+4no61L2cPgpsr5qBIU=";
};
meta.homepage = "https://github.com/MunifTanjim/tree-sitter-lua";
};
luadoc = buildGrammar {
language = "luadoc";
- version = "0.0.0+rev=d82b430";
+ version = "0.0.0+rev=873612a";
src = fetchFromGitHub {
owner = "amaanq";
repo = "tree-sitter-luadoc";
- rev = "d82b43018a6b22d70b264ed8713be1c4daf9b0e0";
- hash = "sha256-x1VyDzIAozcCBO6pukhwUjZ5JiZVq2+5CMWE2XXf6Fw=";
+ rev = "873612aadd3f684dd4e631bdf42ea8990c57634e";
+ hash = "sha256-ttGBB9sn+xd9jWzjNAzpo/lwYVYZGSUGEip4K3PfBP0=";
};
meta.homepage = "https://github.com/amaanq/tree-sitter-luadoc";
};
@@ -1364,24 +1462,24 @@
};
markdown = buildGrammar {
language = "markdown";
- version = "0.0.0+rev=28aa3ba";
+ version = "0.0.0+rev=b2f0198";
src = fetchFromGitHub {
owner = "MDeiml";
repo = "tree-sitter-markdown";
- rev = "28aa3baef73bd458d053b613b8bd10fd102b4405";
- hash = "sha256-HSjKYqjrJKPLbdq1UTvk/KnDqsIzVO7k5syCsIpAZpw=";
+ rev = "b2f01981a76e3251f5b660378136c248ed106b81";
+ hash = "sha256-6gxtiO6Dzo5BELdw/dAaQB90SJYelr/RqvTzNK55caA=";
};
location = "tree-sitter-markdown";
meta.homepage = "https://github.com/MDeiml/tree-sitter-markdown";
};
markdown_inline = buildGrammar {
language = "markdown_inline";
- version = "0.0.0+rev=28aa3ba";
+ version = "0.0.0+rev=b2f0198";
src = fetchFromGitHub {
owner = "MDeiml";
repo = "tree-sitter-markdown";
- rev = "28aa3baef73bd458d053b613b8bd10fd102b4405";
- hash = "sha256-HSjKYqjrJKPLbdq1UTvk/KnDqsIzVO7k5syCsIpAZpw=";
+ rev = "b2f01981a76e3251f5b660378136c248ed106b81";
+ hash = "sha256-6gxtiO6Dzo5BELdw/dAaQB90SJYelr/RqvTzNK55caA=";
};
location = "tree-sitter-markdown-inline";
meta.homepage = "https://github.com/MDeiml/tree-sitter-markdown";
@@ -1410,38 +1508,49 @@
};
mermaid = buildGrammar {
language = "mermaid";
- version = "0.0.0+rev=e26a5f8";
+ version = "0.0.0+rev=e8dac87";
src = fetchFromGitHub {
owner = "monaqa";
repo = "tree-sitter-mermaid";
- rev = "e26a5f8898a8174f02b4cc9a9050eb3ccfb799f3";
- hash = "sha256-qDJy2kPpx3wPl8IuhPM5ynhI2DjgutSFWAg5EQY5F+8=";
+ rev = "e8dac87a9f789b23a2c7ab8e55b485a2f5927b98";
+ hash = "sha256-1FCc8goKhFtgxSPeAzFkQhpbpruhZ+GD8VaLRJpnyS4=";
};
meta.homepage = "https://github.com/monaqa/tree-sitter-mermaid";
};
meson = buildGrammar {
language = "meson";
- version = "0.0.0+rev=3d6dfbd";
+ version = "0.0.0+rev=d6ec8ce";
src = fetchFromGitHub {
owner = "Decodetalkers";
repo = "tree-sitter-meson";
- rev = "3d6dfbdb2432603bc84ca7dc009bb39ed9a8a7b1";
- hash = "sha256-NRiecSr5UjISlFtmtvy3SYaWSmXMf0bKCKQVA83Jx+Y=";
+ rev = "d6ec8ce0963c3c8180161391f15d8f7d415f650d";
+ hash = "sha256-SwcBhg6luPAOtaL5dhvLxCpJcwlGhZxhvVmn5pa6ecA=";
};
meta.homepage = "https://github.com/Decodetalkers/tree-sitter-meson";
};
mlir = buildGrammar {
language = "mlir";
- version = "0.0.0+rev=650a8fb";
+ version = "0.0.0+rev=992c756";
src = fetchFromGitHub {
owner = "artagnon";
repo = "tree-sitter-mlir";
- rev = "650a8fb72013ba8d169bdb458e480d640fc545c9";
- hash = "sha256-Xmn5WaOgvAVyr1Bgzr+QG9G/kymtl4CUvLL5SPZdikU=";
+ rev = "992c756686eb968af752ce75a874591042a8e24c";
+ hash = "sha256-nm7YSwj10p6GKR7lUJZ5SZeiW8fh+5ZI52haeUM3oDE=";
};
generate = true;
meta.homepage = "https://github.com/artagnon/tree-sitter-mlir";
};
+ muttrc = buildGrammar {
+ language = "muttrc";
+ version = "0.0.0+rev=67d9e23";
+ src = fetchFromGitHub {
+ owner = "neomutt";
+ repo = "tree-sitter-muttrc";
+ rev = "67d9e23ca7aa22d9bce9d16c53d2c927dff5159a";
+ hash = "sha256-B3/VoPq8h7TiwOP0nhsuPmFtkLsucpDm9RnUNXkfKpo=";
+ };
+ meta.homepage = "https://github.com/neomutt/tree-sitter-muttrc";
+ };
nasm = buildGrammar {
language = "nasm";
version = "0.0.0+rev=3bc691d";
@@ -1455,23 +1564,23 @@
};
nickel = buildGrammar {
language = "nickel";
- version = "0.0.0+rev=091b5dc";
+ version = "0.0.0+rev=58baf89";
src = fetchFromGitHub {
owner = "nickel-lang";
repo = "tree-sitter-nickel";
- rev = "091b5dcc7d138901bcc162da9409c0bb626c0d27";
- hash = "sha256-HyHdameEgET5UXKMgw7EJvZsJxToc9Qz26XHvc5qmU0=";
+ rev = "58baf89db8fdae54a84bcf22c80ff10ee3f929ed";
+ hash = "sha256-WuY6X1mnXdjiy4joIcY8voK2sqICFf0GvudulZ9lwqg=";
};
meta.homepage = "https://github.com/nickel-lang/tree-sitter-nickel";
};
nim = buildGrammar {
language = "nim";
- version = "0.0.0+rev=70ceee8";
+ version = "0.0.0+rev=f5cec6a";
src = fetchFromGitHub {
owner = "alaviss";
repo = "tree-sitter-nim";
- rev = "70ceee835e033acbc7092cd7f4f6a251789af121";
- hash = "sha256-9+ADYNrtdva/DkkjPwavyU0cL6eunqq4TX9IUQi9eKw=";
+ rev = "f5cec6a841b585e4e7388a120ded07e60ae19c43";
+ hash = "sha256-CL041Tjw7CH9EnpjAVl4f66zyOADfG9qwkFxeUjXH0w=";
};
meta.homepage = "https://github.com/alaviss/tree-sitter-nim";
};
@@ -1554,24 +1663,24 @@
};
ocaml = buildGrammar {
language = "ocaml";
- version = "0.0.0+rev=4abfdc1";
+ version = "0.0.0+rev=712d9bf";
src = fetchFromGitHub {
owner = "tree-sitter";
repo = "tree-sitter-ocaml";
- rev = "4abfdc1c7af2c6c77a370aee974627be1c285b3b";
- hash = "sha256-ycmjIKfrsVSVHmPP3HCxfk5wcBIF/JFH8OnU8mY1Cc8=";
+ rev = "712d9bfa1d537c5899dde5538767ed2d8bb37a93";
+ hash = "sha256-l4hchr03Jrsat863K8wfBeHo1o9dw0T3RAl4MMWKIHA=";
};
location = "ocaml";
meta.homepage = "https://github.com/tree-sitter/tree-sitter-ocaml";
};
ocaml_interface = buildGrammar {
language = "ocaml_interface";
- version = "0.0.0+rev=4abfdc1";
+ version = "0.0.0+rev=712d9bf";
src = fetchFromGitHub {
owner = "tree-sitter";
repo = "tree-sitter-ocaml";
- rev = "4abfdc1c7af2c6c77a370aee974627be1c285b3b";
- hash = "sha256-ycmjIKfrsVSVHmPP3HCxfk5wcBIF/JFH8OnU8mY1Cc8=";
+ rev = "712d9bfa1d537c5899dde5538767ed2d8bb37a93";
+ hash = "sha256-l4hchr03Jrsat863K8wfBeHo1o9dw0T3RAl4MMWKIHA=";
};
location = "interface";
meta.homepage = "https://github.com/tree-sitter/tree-sitter-ocaml";
@@ -1590,12 +1699,12 @@
};
odin = buildGrammar {
language = "odin";
- version = "0.0.0+rev=751f779";
+ version = "0.0.0+rev=f94c590";
src = fetchFromGitHub {
owner = "amaanq";
repo = "tree-sitter-odin";
- rev = "751f7796fa76075cff52edd1afff569a8a09c2a7";
- hash = "sha256-VKcyuoXSRN4PAHQKzfuCKB9lg5y5MQyofy3FkN79Nrw=";
+ rev = "f94c590ed5263e11f1e492d1b53356f8c8459b66";
+ hash = "sha256-MLSy1OU8PE2wTfV8JoJdtjoc12MD993TViaZjp3Ujgc=";
};
meta.homepage = "https://github.com/amaanq/tree-sitter-odin";
};
@@ -1634,58 +1743,58 @@
};
pem = buildGrammar {
language = "pem";
- version = "0.0.0+rev=e017679";
+ version = "0.0.0+rev=217ff2a";
src = fetchFromGitHub {
owner = "ObserverOfTime";
repo = "tree-sitter-pem";
- rev = "e01767921df18142055d97407595329d7629e643";
- hash = "sha256-8hSWC9Q5oy0+8l/uG4QWVupiYqSWMRfZXGH6PpTx+O8=";
+ rev = "217ff2af3f2db15a79ab7e3d21ea1e0c17e71a1a";
+ hash = "sha256-KGJ9ulGi3gKUJxNXil5Zai4v5/5ImUSMVP3/19ra3A0=";
};
meta.homepage = "https://github.com/ObserverOfTime/tree-sitter-pem";
};
perl = buildGrammar {
language = "perl";
- version = "0.0.0+rev=a30394f";
+ version = "0.0.0+rev=6526e5d";
src = fetchFromGitHub {
owner = "tree-sitter-perl";
repo = "tree-sitter-perl";
- rev = "a30394f61b607f48c841c6e085d5219f23872816";
- hash = "sha256-3aWBh5jKXUYXxOv+RKyEpwJVOoP7QuaRQZHw0yOy6tQ=";
+ rev = "6526e5d5bf31501de0dc51c42ac3583078a8fdab";
+ hash = "sha256-jqLYYHpcwt2ctcz6zbgyhG6p3yRLHvr9TlUMky2cfaM=";
};
meta.homepage = "https://github.com/tree-sitter-perl/tree-sitter-perl";
};
php = buildGrammar {
language = "php";
- version = "0.0.0+rev=dc8bd41";
+ version = "0.0.0+rev=ad414fa";
src = fetchFromGitHub {
owner = "tree-sitter";
repo = "tree-sitter-php";
- rev = "dc8bd4150401f6c08591414f2a5480b7f2a874c8";
- hash = "sha256-gQGj6qKjyAie6RgHZl1nVUiELDyR+/iIzFMQQ/obus8=";
+ rev = "ad414fa5497328e96ef992d80896f19c77584f7c";
+ hash = "sha256-is5jtMg3G4ay+yF1Eti0jDljlB4vmibLPW0qup+8VeU=";
};
location = "php";
meta.homepage = "https://github.com/tree-sitter/tree-sitter-php";
};
php_only = buildGrammar {
language = "php_only";
- version = "0.0.0+rev=dc8bd41";
+ version = "0.0.0+rev=ad414fa";
src = fetchFromGitHub {
owner = "tree-sitter";
repo = "tree-sitter-php";
- rev = "dc8bd4150401f6c08591414f2a5480b7f2a874c8";
- hash = "sha256-gQGj6qKjyAie6RgHZl1nVUiELDyR+/iIzFMQQ/obus8=";
+ rev = "ad414fa5497328e96ef992d80896f19c77584f7c";
+ hash = "sha256-is5jtMg3G4ay+yF1Eti0jDljlB4vmibLPW0qup+8VeU=";
};
location = "php_only";
meta.homepage = "https://github.com/tree-sitter/tree-sitter-php";
};
phpdoc = buildGrammar {
language = "phpdoc";
- version = "0.0.0+rev=67db260";
+ version = "0.0.0+rev=f285e33";
src = fetchFromGitHub {
owner = "claytonrcarter";
repo = "tree-sitter-phpdoc";
- rev = "67db260cf4e99a02283ae26b808d59b86d42dc0f";
- hash = "sha256-IMj5xL1SB1JYI0hweIq+kSXkoeWBqAzAu/35FO2xi+U=";
+ rev = "f285e338d328a03920a9bfd8dda78585c7ddcca3";
+ hash = "sha256-kvAZ1+tbw6bAtLhPeNGi1rmnyNhBSL/nynQADX+4tMw=";
};
meta.homepage = "https://github.com/claytonrcarter/tree-sitter-phpdoc";
};
@@ -1724,12 +1833,12 @@
};
poe_filter = buildGrammar {
language = "poe_filter";
- version = "0.0.0+rev=fa83292";
+ version = "0.0.0+rev=592476d";
src = fetchFromGitHub {
owner = "ObserverOfTime";
repo = "tree-sitter-poe-filter";
- rev = "fa83292e04d27976ab5c354e0c980f2c67628a02";
- hash = "sha256-Ij3anynA3ZYi4/Cxp+Anvgc2Te1Qxi0mnWDc0XTHaN0=";
+ rev = "592476d81f95d2451f2ca107dc872224c76fecdf";
+ hash = "sha256-dmo/t8gCT7UTlhBvxH4xmliR3Evazv3qsz9EWz7h/gU=";
};
meta.homepage = "https://github.com/ObserverOfTime/tree-sitter-poe-filter";
};
@@ -1746,12 +1855,12 @@
};
printf = buildGrammar {
language = "printf";
- version = "0.0.0+rev=0469630";
+ version = "0.0.0+rev=0e0acea";
src = fetchFromGitHub {
owner = "ObserverOfTime";
repo = "tree-sitter-printf";
- rev = "04696305caceab64a78e3a749774718d87ba85a1";
- hash = "sha256-MWrKImt7+E4LYsrSMKIEifXmb6MeuiNxy3pt1DCCLBQ=";
+ rev = "0e0aceabbf607ea09e03562f5d8a56f048ddea3d";
+ hash = "sha256-y/7CDnHpT3D6hL0f+52mReCphn+lvElfQQKJwY4fr9c=";
};
meta.homepage = "https://github.com/ObserverOfTime/tree-sitter-printf";
};
@@ -1779,14 +1888,14 @@
};
properties = buildGrammar {
language = "properties";
- version = "0.0.0+rev=9725327";
+ version = "0.0.0+rev=9d09f5f";
src = fetchFromGitHub {
- owner = "ObserverOfTime";
+ owner = "tree-sitter-grammars";
repo = "tree-sitter-properties";
- rev = "97253273bdf8b63546c8006e71ba155ecc27069e";
- hash = "sha256-pzAoRPBZRqlchnaYrKixrgjTZZ3nLzoOD5MZCqS7trI=";
+ rev = "9d09f5f200c356c50c4103d36441309fd61b48d1";
+ hash = "sha256-KrQlLqCH4P5pwm8ql+iVR09khzQuJu2UHXdMT9MZYe8=";
};
- meta.homepage = "https://github.com/ObserverOfTime/tree-sitter-properties";
+ meta.homepage = "https://github.com/tree-sitter-grammars/tree-sitter-properties";
};
proto = buildGrammar {
language = "proto";
@@ -1835,56 +1944,56 @@
};
puppet = buildGrammar {
language = "puppet";
- version = "0.0.0+rev=9ce9a5f";
+ version = "0.0.0+rev=5849f96";
src = fetchFromGitHub {
owner = "amaanq";
repo = "tree-sitter-puppet";
- rev = "9ce9a5f7d64528572aaa8d59459ba869e634086b";
- hash = "sha256-YEjjy9WLwITERYqoeSVrRYnwVBIAwdc4o0lvAK9wizw=";
+ rev = "5849f9694197a6e822872945b415429c285fdd54";
+ hash = "sha256-Lwfiby7amjTIOz8QRoC4RdZyFPfFikmQ2sqta4akyH8=";
};
meta.homepage = "https://github.com/amaanq/tree-sitter-puppet";
};
purescript = buildGrammar {
language = "purescript";
- version = "0.0.0+rev=85a9095";
+ version = "0.0.0+rev=daf9b3e";
src = fetchFromGitHub {
owner = "postsolar";
repo = "tree-sitter-purescript";
- rev = "85a90951c10f118f819c1137f669800246890db7";
- hash = "sha256-F5xkMYK5WYn+Fuf/vWGaywX+hrjOqGUlg9EjHMS6yDg=";
+ rev = "daf9b3e2be18b0b2996a1281f7783e0d041d8b80";
+ hash = "sha256-eY2WF2n0fZUl1zxZZHJVYR8b1FwaAjkCeSeOdSf67m4=";
};
meta.homepage = "https://github.com/postsolar/tree-sitter-purescript";
};
pymanifest = buildGrammar {
language = "pymanifest";
- version = "0.0.0+rev=a775470";
+ version = "0.0.0+rev=e3b82b7";
src = fetchFromGitHub {
owner = "ObserverOfTime";
repo = "tree-sitter-pymanifest";
- rev = "a77547018ada84ca4bc115c7650b19441120f065";
- hash = "sha256-8aU3TA0KJAfsiJi326mixw6GcnFDTJzrHquCZi0lYOQ=";
+ rev = "e3b82b78721aee07f676dac8473ae69db51debcf";
+ hash = "sha256-pZCqeSdiYctbFthdb8Olw35CAXQmT7jG2LOO/3NN/8s=";
};
meta.homepage = "https://github.com/ObserverOfTime/tree-sitter-pymanifest";
};
python = buildGrammar {
language = "python";
- version = "0.0.0+rev=4bfdd90";
+ version = "0.0.0+rev=b8a4c64";
src = fetchFromGitHub {
owner = "tree-sitter";
repo = "tree-sitter-python";
- rev = "4bfdd9033a2225cc95032ce77066b7aeca9e2efc";
- hash = "sha256-hXNxa895SyNOG7PH2vAIkWbcMjZDjWYDsCafBZuvnT0=";
+ rev = "b8a4c64121ba66b460cb878e934e3157ecbfb124";
+ hash = "sha256-ZTqWyr4+pOyXY4X/WnYdn9Sgp7T8FEEUy/TQPuFqb4k=";
};
meta.homepage = "https://github.com/tree-sitter/tree-sitter-python";
};
ql = buildGrammar {
language = "ql";
- version = "0.0.0+rev=bd08702";
+ version = "0.0.0+rev=ff04ba6";
src = fetchFromGitHub {
owner = "tree-sitter";
repo = "tree-sitter-ql";
- rev = "bd087020f0d8c183080ca615d38de0ec827aeeaf";
- hash = "sha256-2QOtNguYAIhIhGuVqyx/33gFu3OqcxAPBZOk85Q226M=";
+ rev = "ff04ba61857ba05b80221e71b423b2728dbebe1e";
+ hash = "sha256-/ayyqSF/SBVvqMYm27NOlCYR3JN0xR3oy0OggwTysvE=";
};
meta.homepage = "https://github.com/tree-sitter/tree-sitter-ql";
};
@@ -1901,23 +2010,23 @@
};
qmljs = buildGrammar {
language = "qmljs";
- version = "0.0.0+rev=2591330";
+ version = "0.0.0+rev=9fa49ff";
src = fetchFromGitHub {
owner = "yuja";
repo = "tree-sitter-qmljs";
- rev = "259133077f2fd854bcaa6f0149d3fc281fc8070b";
- hash = "sha256-xg3fXYfAYHVAkByQekOd4EFLv0TbINcQPasFQYUkF0A=";
+ rev = "9fa49ff3315987f715ce5666ff979a7742fa8a98";
+ hash = "sha256-q20gLVLs0LpqRpgo/qNRDfExbWXhICWZjM1ux4+AT6M=";
};
meta.homepage = "https://github.com/yuja/tree-sitter-qmljs";
};
query = buildGrammar {
language = "query";
- version = "0.0.0+rev=3a9808b";
+ version = "0.0.0+rev=176a380";
src = fetchFromGitHub {
owner = "nvim-treesitter";
repo = "tree-sitter-query";
- rev = "3a9808b22742d5bd906ef5d1a562f2f1ae57406d";
- hash = "sha256-5N7FT0HTK3xzzhAlk3wBOB9xlEpKSNIfakgFnsxEi18=";
+ rev = "176a380df78800167565118bb0dadfc961abbd43";
+ hash = "sha256-b9M213q6dmuK65llDTMW7rksrOyTEzlE0kdAVv0fDnA=";
};
meta.homepage = "https://github.com/nvim-treesitter/tree-sitter-query";
};
@@ -1934,34 +2043,34 @@
};
racket = buildGrammar {
language = "racket";
- version = "0.0.0+rev=e4ba1a9";
+ version = "0.0.0+rev=b5a2fe7";
src = fetchFromGitHub {
owner = "6cdh";
repo = "tree-sitter-racket";
- rev = "e4ba1a9674a3b4dd7905d04f194ae6f8331be342";
- hash = "sha256-4+TRol2i6ibuXqBr6O8jI/4MZq8hnf09eVBtPqaKp8s=";
+ rev = "b5a2fe74cac87dc5342b366f50db71d421e7cf8f";
+ hash = "sha256-TPQw9Yd194tTD2k+qzzGjMInCAJ9WeZuRPRD96zLGzs=";
};
meta.homepage = "https://github.com/6cdh/tree-sitter-racket";
};
rasi = buildGrammar {
language = "rasi";
- version = "0.0.0+rev=371dac6";
+ version = "0.0.0+rev=43196d9";
src = fetchFromGitHub {
owner = "Fymyte";
repo = "tree-sitter-rasi";
- rev = "371dac6bcce0df5566c1cfebde69d90ecbeefd2d";
- hash = "sha256-2nYZoLcrxxxiOJEySwHUm93lzMg8mU+V7LIP63ntFdA=";
+ rev = "43196d934a9a6ab3c7093a8683efd0111bb03db1";
+ hash = "sha256-7zhQ5wGm0FFyuTiBVN2KgvUTw8G6fwUGR8HKJ69kR+c=";
};
meta.homepage = "https://github.com/Fymyte/tree-sitter-rasi";
};
rbs = buildGrammar {
language = "rbs";
- version = "0.0.0+rev=b28e181";
+ version = "0.0.0+rev=519a611";
src = fetchFromGitHub {
owner = "joker1007";
repo = "tree-sitter-rbs";
- rev = "b28e1819d434e95916d11fe48ee6e8959b69197b";
- hash = "sha256-HWWvettxkzziIWH6448RDxmVkaUNfSOgv3CtrlsgW3U=";
+ rev = "519a61132a51222e3ce73d3b7ef07465f587f346";
+ hash = "sha256-MLsbOdH4R3nZxqTFtPstTsbr+yjAz7UvKB/lsD8aWxM=";
};
meta.homepage = "https://github.com/joker1007/tree-sitter-rbs";
};
@@ -1976,14 +2085,25 @@
};
meta.homepage = "https://github.com/amaanq/tree-sitter-re2c";
};
+ readline = buildGrammar {
+ language = "readline";
+ version = "0.0.0+rev=e436eae";
+ src = fetchFromGitHub {
+ owner = "ribru17";
+ repo = "tree-sitter-readline";
+ rev = "e436eaef452266a3d00c195f0eb757d6502c767a";
+ hash = "sha256-y38TDQ+7wBzEKol/UQ5Xk6f15wUW7hJxByDuhx9d0hQ=";
+ };
+ meta.homepage = "https://github.com/ribru17/tree-sitter-readline";
+ };
regex = buildGrammar {
language = "regex";
- version = "0.0.0+rev=2354482";
+ version = "0.0.0+rev=ba22e4e";
src = fetchFromGitHub {
owner = "tree-sitter";
repo = "tree-sitter-regex";
- rev = "2354482d7e2e8f8ff33c1ef6c8aa5690410fbc96";
- hash = "sha256-X4iQ60LgiVsF0rtinVysX16d6yFjaSmwwndP2L5cuqw=";
+ rev = "ba22e4e0cb42b2ef066948d0ea030ac509cef733";
+ hash = "sha256-mb8y3lsbN5zEpVCeBQxGXSRqC3FKsvNg1Rb1XTSh3Qo=";
};
meta.homepage = "https://github.com/tree-sitter/tree-sitter-regex";
};
@@ -2000,23 +2120,23 @@
};
requirements = buildGrammar {
language = "requirements";
- version = "0.0.0+rev=389dd46";
+ version = "0.0.0+rev=8666a4d";
src = fetchFromGitHub {
owner = "ObserverOfTime";
repo = "tree-sitter-requirements";
- rev = "389dd46a39075ce36af6ee3af50393d9aa506d14";
- hash = "sha256-SGamdYeoBKMkyVzvA4nCWp3bqhfmkJctyhcVhqYBfPQ=";
+ rev = "8666a4dfeb3107144398158bc3dd7a3f59d89ccb";
+ hash = "sha256-M+/I0pn79Juk8LRB6LLRAyA3R5zcm6rIoR4viT9SW0c=";
};
meta.homepage = "https://github.com/ObserverOfTime/tree-sitter-requirements";
};
rnoweb = buildGrammar {
language = "rnoweb";
- version = "0.0.0+rev=502c112";
+ version = "0.0.0+rev=1a74dc0";
src = fetchFromGitHub {
owner = "bamonroe";
repo = "tree-sitter-rnoweb";
- rev = "502c1126dc6777f09af5bef16e72a42f75bd081e";
- hash = "sha256-aGEhy4uMhKFbL0+JO5rrn3GIe//8PZpDHEMDGHDlBCY=";
+ rev = "1a74dc0ed731ad07db39f063e2c5a6fe528cae7f";
+ hash = "sha256-sCjSS6iunij2SXHNzQcTq0HKawH9i5h/vQ6yl77opXw=";
};
meta.homepage = "https://github.com/bamonroe/tree-sitter-rnoweb";
};
@@ -2055,34 +2175,34 @@
};
ruby = buildGrammar {
language = "ruby";
- version = "0.0.0+rev=4d9ad3f";
+ version = "0.0.0+rev=9d86f37";
src = fetchFromGitHub {
owner = "tree-sitter";
repo = "tree-sitter-ruby";
- rev = "4d9ad3f010fdc47a8433adcf9ae30c8eb8475ae7";
- hash = "sha256-d3pN+Z8qGDDKuy8OyguGNVD97m2VasVvi8CckdCsOB8=";
+ rev = "9d86f3761bb30e8dcc81e754b81d3ce91848477e";
+ hash = "sha256-Ibfu+5NWCkw7jriy1tiMLplpXNZfZf8WP30lDU1//GM=";
};
meta.homepage = "https://github.com/tree-sitter/tree-sitter-ruby";
};
rust = buildGrammar {
language = "rust";
- version = "0.0.0+rev=e0e8b6d";
+ version = "0.0.0+rev=3a56481";
src = fetchFromGitHub {
owner = "tree-sitter";
repo = "tree-sitter-rust";
- rev = "e0e8b6de6e4aa354749c794f5f36a906dcccda74";
- hash = "sha256-egTxBuliboYbl+5N6Jdt960EMLByVmLqSmQLps3rEok=";
+ rev = "3a56481f8d13b6874a28752502a58520b9139dc7";
+ hash = "sha256-6ROXeKuPehtIOtaI1OJuTtyPfQmZyLzCxv3ZS04yAIk=";
};
meta.homepage = "https://github.com/tree-sitter/tree-sitter-rust";
};
scala = buildGrammar {
language = "scala";
- version = "0.0.0+rev=45b5ba0";
+ version = "0.0.0+rev=70b4fe6";
src = fetchFromGitHub {
owner = "tree-sitter";
repo = "tree-sitter-scala";
- rev = "45b5ba0e749a8477a8fd2666f082f352859bdc3c";
- hash = "sha256-tH2STGmCaVHDL4fOh8whPmiHbwKu8ZxjS4wDt+qVjjs=";
+ rev = "70b4fe63c4973b04cc7bd40c6b7646d9c2430db8";
+ hash = "sha256-ZwrsEhlZGVJMP+GRIlaxGqS1b7HtiQelg3QBwJT9Igk=";
};
meta.homepage = "https://github.com/tree-sitter/tree-sitter-scala";
};
@@ -2100,12 +2220,12 @@
};
scheme = buildGrammar {
language = "scheme";
- version = "0.0.0+rev=85b6188";
+ version = "0.0.0+rev=6c77a5b";
src = fetchFromGitHub {
owner = "6cdh";
repo = "tree-sitter-scheme";
- rev = "85b6188fb77c03dfb01d13e58e2844450506860c";
- hash = "sha256-v+iQpeAeySKPgMu5IQ8vNnUSc2duX1vYvO3qqK1/Pmc=";
+ rev = "6c77a5bcfb9baceeaa79ef67354b2d501b37b085";
+ hash = "sha256-HIZ8j8a5ejB87rTBaMpNGRGL0TGqXiuV/BxfU4aj17s=";
};
meta.homepage = "https://github.com/6cdh/tree-sitter-scheme";
};
@@ -2122,25 +2242,25 @@
};
slang = buildGrammar {
language = "slang";
- version = "0.0.0+rev=ac07aa2";
+ version = "0.0.0+rev=0cdfb17";
src = fetchFromGitHub {
owner = "theHamsta";
repo = "tree-sitter-slang";
- rev = "ac07aa2c875ef6ada2ec468d8a4d0c7c5efd96d7";
- hash = "sha256-wWCXk7HnORmD1M761rv31yvPGLGynUyNYzrhZDNwzFs=";
+ rev = "0cdfb1741323f38e9a33798674145c22cfc0092b";
+ hash = "sha256-1xSnb3n9u45B2gEBApZpZlb1VvbJOrmgQwrPL2OuGro=";
};
meta.homepage = "https://github.com/theHamsta/tree-sitter-slang";
};
slint = buildGrammar {
language = "slint";
- version = "0.0.0+rev=00c8a2d";
+ version = "0.0.0+rev=f5fa844";
src = fetchFromGitHub {
- owner = "jrmoulton";
+ owner = "slint-ui";
repo = "tree-sitter-slint";
- rev = "00c8a2d3645766f68c0d0460086c0a994e5b0d85";
- hash = "sha256-R7VeGT8Bu3i6ZPUbjEm7sbGSLvK6x3DLz098hPuhgyQ=";
+ rev = "f5fa844d2adbcfdc7a0ec4daae4539887959d9ff";
+ hash = "sha256-MSIQd1Xb4ug4yZk2bQFPHMZxrqe1xke6X7LKsxtkIkc=";
};
- meta.homepage = "https://github.com/jrmoulton/tree-sitter-slint";
+ meta.homepage = "https://github.com/slint-ui/tree-sitter-slint";
};
smali = buildGrammar {
language = "smali";
@@ -2177,39 +2297,50 @@
};
solidity = buildGrammar {
language = "solidity";
- version = "0.0.0+rev=1680203";
+ version = "0.0.0+rev=b239a95";
src = fetchFromGitHub {
owner = "JoranHonig";
repo = "tree-sitter-solidity";
- rev = "168020304759ad5d8b4a88a541a699134e3730c5";
- hash = "sha256-GCSBXB9nNIYpcXlA6v7P1ejn1ojmfXdPzr1sWejB560=";
+ rev = "b239a95f94cfcc6e7b3e961bc73a28d55e214f02";
+ hash = "sha256-b+LthCf+g19sjKeNgXZmUV0RNi94O3u0WmXfgKRpaE0=";
};
meta.homepage = "https://github.com/JoranHonig/tree-sitter-solidity";
};
soql = buildGrammar {
language = "soql";
- version = "0.0.0+rev=ca70b23";
+ version = "0.0.0+rev=857077f";
src = fetchFromGitHub {
owner = "aheber";
repo = "tree-sitter-sfapex";
- rev = "ca70b2347a79615cd749517f6c6c2352e50a7ce9";
- hash = "sha256-7gVA5aFGw2DSFmmlv6HMLcfSki4aDPB05llfHFSaYME=";
+ rev = "857077f9e6bb04df0f769c18d32bfe036911adc8";
+ hash = "sha256-b7rw0AWqqvFisS0qlDppJFxSnjNZaTTeph6gKfQwFNA=";
};
location = "soql";
meta.homepage = "https://github.com/aheber/tree-sitter-sfapex";
};
sosl = buildGrammar {
language = "sosl";
- version = "0.0.0+rev=ca70b23";
+ version = "0.0.0+rev=857077f";
src = fetchFromGitHub {
owner = "aheber";
repo = "tree-sitter-sfapex";
- rev = "ca70b2347a79615cd749517f6c6c2352e50a7ce9";
- hash = "sha256-7gVA5aFGw2DSFmmlv6HMLcfSki4aDPB05llfHFSaYME=";
+ rev = "857077f9e6bb04df0f769c18d32bfe036911adc8";
+ hash = "sha256-b7rw0AWqqvFisS0qlDppJFxSnjNZaTTeph6gKfQwFNA=";
};
location = "sosl";
meta.homepage = "https://github.com/aheber/tree-sitter-sfapex";
};
+ sourcepawn = buildGrammar {
+ language = "sourcepawn";
+ version = "0.0.0+rev=3ca89fd";
+ src = fetchFromGitHub {
+ owner = "nilshelmig";
+ repo = "tree-sitter-sourcepawn";
+ rev = "3ca89fdf998340a7973e276b39516d8902950f86";
+ hash = "sha256-AF7PiM0Tt6wqGdNsfMGSkgWXgZRDZGdKc7DQpUHuGUA=";
+ };
+ meta.homepage = "https://github.com/nilshelmig/tree-sitter-sourcepawn";
+ };
sparql = buildGrammar {
language = "sparql";
version = "0.0.0+rev=05f949d";
@@ -2223,12 +2354,12 @@
};
sql = buildGrammar {
language = "sql";
- version = "0.0.0+rev=fd70fb3";
+ version = "0.0.0+rev=cdb7cde";
src = fetchFromGitHub {
owner = "derekstride";
repo = "tree-sitter-sql";
- rev = "fd70fb358d164cd93fbe2674a9cca276dc5203f7";
- hash = "sha256-GXQH/df33jxrIRXkJg2qRXrP6/3k+PodNxZ1O/ceaT8=";
+ rev = "cdb7cde9bf70b194ab8beb5069fbbc3c9640284e";
+ hash = "sha256-yxr+AbKp4pkVpjMQXL3P5VEzSo2Ii6yE7ceEBYiDHJA=";
};
meta.homepage = "https://github.com/derekstride/tree-sitter-sql";
};
@@ -2245,23 +2376,23 @@
};
ssh_config = buildGrammar {
language = "ssh_config";
- version = "0.0.0+rev=0969813";
+ version = "0.0.0+rev=514695d";
src = fetchFromGitHub {
owner = "ObserverOfTime";
repo = "tree-sitter-ssh-config";
- rev = "096981397385f49833dfd66037fa98081bbd9ef9";
- hash = "sha256-WpgIir4V4X2XUTceBwZyNFQE3ePDBqjlBRS57pF8ZO4=";
+ rev = "514695df4985f56455b8f139a319453a2325cdcf";
+ hash = "sha256-LDqRP8FA5m1tnbGNTxItQlp6B6U+M6gOBlbi4zX0FHI=";
};
meta.homepage = "https://github.com/ObserverOfTime/tree-sitter-ssh-config";
};
starlark = buildGrammar {
language = "starlark";
- version = "0.0.0+rev=c45ce2b";
+ version = "0.0.0+rev=b31a616";
src = fetchFromGitHub {
owner = "amaanq";
repo = "tree-sitter-starlark";
- rev = "c45ce2b39062bbd12ea1c210bd200db250efb24a";
- hash = "sha256-rEOvGrXRguIXQNvXdm+s80xRQ+8iCCdafA2Wl+dsHJo=";
+ rev = "b31a616aac5d05f927f3f9dd809789db7805b632";
+ hash = "sha256-AVQNg7Ck2x1+5asFqJHQ3sdNKJrLVeck9fsgNMBU3x8=";
};
meta.homepage = "https://github.com/amaanq/tree-sitter-starlark";
};
@@ -2311,23 +2442,23 @@
};
svelte = buildGrammar {
language = "svelte";
- version = "0.0.0+rev=697bb51";
+ version = "0.0.0+rev=04a126d";
src = fetchFromGitHub {
- owner = "Himujjal";
+ owner = "tree-sitter-grammars";
repo = "tree-sitter-svelte";
- rev = "697bb515471871e85ff799ea57a76298a71a9cca";
- hash = "sha256-TJVAQULTBTZxVwvpBpFmBPJM1jh2aN+KG8YfuT+/ylg=";
+ rev = "04a126d9210def99f06d9ab84a255110b862d47c";
+ hash = "sha256-F6AC72IHMKs1jTwshwNkAXFfiBGEbBn7m83xedCoDsA=";
};
- meta.homepage = "https://github.com/Himujjal/tree-sitter-svelte";
+ meta.homepage = "https://github.com/tree-sitter-grammars/tree-sitter-svelte";
};
swift = buildGrammar {
language = "swift";
- version = "0.0.0+rev=1c58633";
+ version = "0.0.0+rev=78a736d";
src = fetchFromGitHub {
owner = "alex-pinkus";
repo = "tree-sitter-swift";
- rev = "1c586339fb00014b23d6933f2cc32b588a226f3b";
- hash = "sha256-bFJfPIc8H133yASZe9chgtJEBuNQ7oWm6/6Yu6jWwhI=";
+ rev = "78a736d77094b0b3c35e811e6765c4d38a72724e";
+ hash = "sha256-cBUBmXc+mhub5jvGKPcCgHgZPQtxF6OD+dlKQ0ZaxpY=";
};
generate = true;
meta.homepage = "https://github.com/alex-pinkus/tree-sitter-swift";
@@ -2356,12 +2487,12 @@
};
t32 = buildGrammar {
language = "t32";
- version = "0.0.0+rev=b904af3";
+ version = "0.0.0+rev=0a457a5";
src = fetchFromGitLab {
owner = "xasc";
repo = "tree-sitter-t32";
- rev = "b904af353fb2f1df6ac45d8d3e3a5ee85a6cc306";
- hash = "sha256-MGdwcw9T3hemUHfgQmdTp20MW08AQcVWGRHxkP/6N5Y=";
+ rev = "0a457a557be7779336bc8ac2b396e73797bc68f7";
+ hash = "sha256-ylMJ177FYVcnfaXbnOieNP1Emq8HpeAgEddt96tBJqE=";
};
meta.homepage = "https://gitlab.com/xasc/tree-sitter-t32.git";
};
@@ -2376,6 +2507,17 @@
};
meta.homepage = "https://github.com/amaanq/tree-sitter-tablegen";
};
+ tcl = buildGrammar {
+ language = "tcl";
+ version = "0.0.0+rev=56ad1fa";
+ src = fetchFromGitHub {
+ owner = "tree-sitter-grammars";
+ repo = "tree-sitter-tcl";
+ rev = "56ad1fa6a34ba800e5495d1025a9b0fda338d5b8";
+ hash = "sha256-GhK92+nbJ+M5/1ZnPbIJ3EuNub332YK+hyWiwyBqUmk=";
+ };
+ meta.homepage = "https://github.com/tree-sitter-grammars/tree-sitter-tcl";
+ };
teal = buildGrammar {
language = "teal";
version = "0.0.0+rev=33482c9";
@@ -2390,23 +2532,23 @@
};
templ = buildGrammar {
language = "templ";
- version = "0.0.0+rev=a3ef3d8";
+ version = "0.0.0+rev=592faa3";
src = fetchFromGitHub {
owner = "vrischmann";
repo = "tree-sitter-templ";
- rev = "a3ef3d8e73515b196d30adf9ee5115f057f7a2c6";
- hash = "sha256-henVqdcvCURqjiueaipB2YVLhh3gbVqFiLMfvGS3t6M=";
+ rev = "592faa3186ef857c92e4bd1c31d73c07a4a334db";
+ hash = "sha256-XX1+P8ibo8REYYZQaC47lneg/roralo+YiRwFNnARsQ=";
};
meta.homepage = "https://github.com/vrischmann/tree-sitter-templ";
};
terraform = buildGrammar {
language = "terraform";
- version = "0.0.0+rev=e135399";
+ version = "0.0.0+rev=e936d3f";
src = fetchFromGitHub {
owner = "MichaHoffmann";
repo = "tree-sitter-hcl";
- rev = "e135399cb31b95fac0760b094556d1d5ce84acf0";
- hash = "sha256-Ylxpj+e9YGhyRRRCCVs0g/zhwHpwGELxG2i+E3SFmpQ=";
+ rev = "e936d3fef8bac884661472dce71ad82284761eb1";
+ hash = "sha256-lcvs4auZrMb1f9ocrAnLVxX8JnvrPT18LsJBqoNG9Oo=";
};
location = "dialects/terraform";
meta.homepage = "https://github.com/MichaHoffmann/tree-sitter-hcl";
@@ -2446,15 +2588,26 @@
};
tlaplus = buildGrammar {
language = "tlaplus";
- version = "0.0.0+rev=aaf5bb5";
+ version = "0.0.0+rev=3896a5b";
src = fetchFromGitHub {
owner = "tlaplus-community";
repo = "tree-sitter-tlaplus";
- rev = "aaf5bb5c1df0a6e583bb51efa519a9ac788b2ad8";
- hash = "sha256-p/khQ31bReopPyZ1TvUKI6bnFipWiGrxKO7cAYzeku4=";
+ rev = "3896a5be761f04ffb22a841b2a0672f7a8a43ef9";
+ hash = "sha256-EODxn3ZitUSz8/4XkgMK0dp2T07BwlsXVbFbBQ5xXi4=";
};
meta.homepage = "https://github.com/tlaplus-community/tree-sitter-tlaplus";
};
+ tmux = buildGrammar {
+ language = "tmux";
+ version = "0.0.0+rev=10737f5";
+ src = fetchFromGitHub {
+ owner = "Freed-Wu";
+ repo = "tree-sitter-tmux";
+ rev = "10737f5dc4d8e68c9667f11a6996688a1185755f";
+ hash = "sha256-7MQYyWu1Rw3Vwmp3nbuorn9rD0xcEU5nRXPuTVpOqkM=";
+ };
+ meta.homepage = "https://github.com/Freed-Wu/tree-sitter-tmux";
+ };
todotxt = buildGrammar {
language = "todotxt";
version = "0.0.0+rev=3937c5c";
@@ -2491,12 +2644,12 @@
};
tsx = buildGrammar {
language = "tsx";
- version = "0.0.0+rev=d847898";
+ version = "0.0.0+rev=b00b8eb";
src = fetchFromGitHub {
owner = "tree-sitter";
repo = "tree-sitter-typescript";
- rev = "d847898fec3fe596798c9fda55cb8c05a799001a";
- hash = "sha256-q8vJnJZdWzsiHHJSPGoM938U5AxuOIuGrx1r6F+cdK4=";
+ rev = "b00b8eb44f0b9f02556da0b1a4e2f71faed7e61b";
+ hash = "sha256-uGuwE1eTVEkuosMfTeY2akHB+bJ5npWEwUv+23nhY9M=";
};
location = "tsx";
meta.homepage = "https://github.com/tree-sitter/tree-sitter-typescript";
@@ -2525,12 +2678,12 @@
};
typescript = buildGrammar {
language = "typescript";
- version = "0.0.0+rev=d847898";
+ version = "0.0.0+rev=b00b8eb";
src = fetchFromGitHub {
owner = "tree-sitter";
repo = "tree-sitter-typescript";
- rev = "d847898fec3fe596798c9fda55cb8c05a799001a";
- hash = "sha256-q8vJnJZdWzsiHHJSPGoM938U5AxuOIuGrx1r6F+cdK4=";
+ rev = "b00b8eb44f0b9f02556da0b1a4e2f71faed7e61b";
+ hash = "sha256-uGuwE1eTVEkuosMfTeY2akHB+bJ5npWEwUv+23nhY9M=";
};
location = "typescript";
meta.homepage = "https://github.com/tree-sitter/tree-sitter-typescript";
@@ -2546,14 +2699,25 @@
};
meta.homepage = "https://github.com/Teddytrombone/tree-sitter-typoscript";
};
+ typst = buildGrammar {
+ language = "typst";
+ version = "0.0.0+rev=3c3e5f8";
+ src = fetchFromGitHub {
+ owner = "uben0";
+ repo = "tree-sitter-typst";
+ rev = "3c3e5f8e0caeba6157e26a1bedf8321e1da62799";
+ hash = "sha256-9XbFIvZvmeeR38Kejt8Yyxidy/XiAtZ5aQMt/rfg4JE=";
+ };
+ meta.homepage = "https://github.com/uben0/tree-sitter-typst";
+ };
udev = buildGrammar {
language = "udev";
- version = "0.0.0+rev=baeac48";
+ version = "0.0.0+rev=8f58696";
src = fetchFromGitHub {
owner = "ObserverOfTime";
repo = "tree-sitter-udev";
- rev = "baeac48a999abb9384cdcce320f0182937aec8ba";
- hash = "sha256-XEbCi9Oo5mTiocdh8Uqr5XQ3nqH3MBZjZusGd076NWE=";
+ rev = "8f58696e79092b4ad6bf197415bbd0970acf15cd";
+ hash = "sha256-4vkEHlK8r69cvSu7CAXOmyiSSuIRlZcnQAGHtWqx41w=";
};
meta.homepage = "https://github.com/ObserverOfTime/tree-sitter-udev";
};
@@ -2570,12 +2734,12 @@
};
unison = buildGrammar {
language = "unison";
- version = "0.0.0+rev=a69d087";
+ version = "0.0.0+rev=fb49b81";
src = fetchFromGitHub {
owner = "kylegoetz";
repo = "tree-sitter-unison";
- rev = "a69d087590f2ca057d1ef1a393de7e22869bb557";
- hash = "sha256-9eDWvNkY3rEz6khluAJuTXF95CF384IRptaRXGOHma4=";
+ rev = "fb49b8125509c079e08f345758c03a427129010e";
+ hash = "sha256-Y4Pnte9ZxXq7tzjc+cliXO8U57cnHlQxOMuX8u39ptE=";
};
generate = true;
meta.homepage = "https://github.com/kylegoetz/tree-sitter-unison";
@@ -2604,15 +2768,15 @@
};
v = buildGrammar {
language = "v";
- version = "0.0.0+rev=9ac84e6";
+ version = "0.0.0+rev=be121f7";
src = fetchFromGitHub {
- owner = "v-analyzer";
+ owner = "vlang";
repo = "v-analyzer";
- rev = "9ac84e62396bb13c8f1d11f967f0c0f2dec1a448";
- hash = "sha256-a+oV175rnCgf5uTjmYkxTelekesBCEhcTOnKGorM88c=";
+ rev = "be121f724e4f3e2159dfa193c876be605c1de7fa";
+ hash = "sha256-nOWhtoPoVjfPitOMxTiU8Y3dBKT3GwGswRFmVYkcZ2E=";
};
location = "tree_sitter_v";
- meta.homepage = "https://github.com/v-analyzer/v-analyzer";
+ meta.homepage = "https://github.com/vlang/v-analyzer";
};
vala = buildGrammar {
language = "vala";
@@ -2627,12 +2791,12 @@
};
verilog = buildGrammar {
language = "verilog";
- version = "0.0.0+rev=9020313";
+ version = "0.0.0+rev=2dfddfc";
src = fetchFromGitHub {
owner = "tree-sitter";
repo = "tree-sitter-verilog";
- rev = "902031343056bc0b11f3e47b33f036a9cf59f58d";
- hash = "sha256-7yPSblfcfNpJYFc06GT1EYY6WMgj/SaFI3UJqUBsL9c=";
+ rev = "2dfddfcb891f74a1cdb9d8ce480a997d11846e17";
+ hash = "sha256-ekR9rDaGbDmtzZr0zXubq0brZI4hDsJUzZJTbgxIE2M=";
};
meta.homepage = "https://github.com/tree-sitter/tree-sitter-verilog";
};
@@ -2649,36 +2813,36 @@
};
vim = buildGrammar {
language = "vim";
- version = "0.0.0+rev=32c76f1";
+ version = "0.0.0+rev=052279c";
src = fetchFromGitHub {
owner = "neovim";
repo = "tree-sitter-vim";
- rev = "32c76f150347c1cd044e90b8e2bc73c00677fa55";
- hash = "sha256-14lkrGZ5JpbPvb5Pm2UzLodhO1IEz5rBETTU0RZDFc4=";
+ rev = "052279cd5e1d73b075640c64cef97e7bdcd32611";
+ hash = "sha256-EoiMqMcBl5bC1Irs2flA2F5nHN6VTZdv6hdCrqoTAcY=";
};
meta.homepage = "https://github.com/neovim/tree-sitter-vim";
};
vimdoc = buildGrammar {
language = "vimdoc";
- version = "0.0.0+rev=ed8695a";
+ version = "0.0.0+rev=f431bfa";
src = fetchFromGitHub {
owner = "neovim";
repo = "tree-sitter-vimdoc";
- rev = "ed8695ad8de39c3f073da130156f00b1148e2891";
- hash = "sha256-q5Ln8WPFrtKBfZnaAAlMh3Q/eczEt6wCMZAtx+ISCKg=";
+ rev = "f431bfa7d433f4d629943147817193a4fccbd303";
+ hash = "sha256-+SYbYvmVN2U0Q03p0eCj3MViCyzPqUiPG1oMWh7hRyM=";
};
meta.homepage = "https://github.com/neovim/tree-sitter-vimdoc";
};
vue = buildGrammar {
language = "vue";
- version = "0.0.0+rev=91fe275";
+ version = "0.0.0+rev=3b9d520";
src = fetchFromGitHub {
- owner = "ikatyang";
+ owner = "tree-sitter-grammars";
repo = "tree-sitter-vue";
- rev = "91fe2754796cd8fba5f229505a23fa08f3546c06";
- hash = "sha256-NeuNpMsKZUP5mrLCjJEOSLD6tlJpNO4Z/rFUqZLHE1A=";
+ rev = "3b9d52087100bdfce43dad2ca46d75b0e21613f6";
+ hash = "sha256-36MnM1X8uhqCb44oHY0kEKDLpYmU1QL2JfGpdIbb3pc=";
};
- meta.homepage = "https://github.com/ikatyang/tree-sitter-vue";
+ meta.homepage = "https://github.com/tree-sitter-grammars/tree-sitter-vue";
};
wgsl = buildGrammar {
language = "wgsl";
@@ -2693,23 +2857,23 @@
};
wgsl_bevy = buildGrammar {
language = "wgsl_bevy";
- version = "0.0.0+rev=a041228";
+ version = "0.0.0+rev=cbd58ee";
src = fetchFromGitHub {
owner = "theHamsta";
repo = "tree-sitter-wgsl-bevy";
- rev = "a041228ae64632f59b9bd37346a0dbcb7817f36b";
- hash = "sha256-bBGunOcFPrHWLsP1ISgdFBNDIBbB0uhwxKAwmQZg7/k=";
+ rev = "cbd58ee33e24f46d16b9882b001eefb25a958ee2";
+ hash = "sha256-EPpI4UJ/5GB2iDQGoSziUOcP1TVf7VU4FMTKvrujcAY=";
};
meta.homepage = "https://github.com/theHamsta/tree-sitter-wgsl-bevy";
};
wing = buildGrammar {
language = "wing";
- version = "0.0.0+rev=9c3a40d";
+ version = "0.0.0+rev=07f6740";
src = fetchFromGitHub {
owner = "winglang";
repo = "wing";
- rev = "9c3a40d7e51c2963b19d1f7614f9b551cad66bb6";
- hash = "sha256-4L6vx4MHTuTx/5DKKWSVRygCRHI/y9YYbMs/ejAjZXw=";
+ rev = "07f6740ab9f4f74c49413b9056154cac51f4b2d7";
+ hash = "sha256-jnDrJhhsfRAqR+83VaGXBKANu5J2Xt7LNUm0VUFEVbY=";
};
location = "libs/tree-sitter-wing";
generate = true;
@@ -2717,37 +2881,37 @@
};
xcompose = buildGrammar {
language = "xcompose";
- version = "0.0.0+rev=01344fe";
+ version = "0.0.0+rev=2383cc6";
src = fetchFromGitHub {
owner = "ObserverOfTime";
repo = "tree-sitter-xcompose";
- rev = "01344fed31a3cd37a63f03357ec80cbc592a93b5";
- hash = "sha256-MZmtV8d5L7qF65cJuxZaJwMVvxSYMduwv8lbzljMJLM=";
+ rev = "2383cc69a2c42cfade41c7cb971fb3862bec6df1";
+ hash = "sha256-N8pJhB35IApb41TKn6NuVMEgmQGC+1Nyu5eQck1K5g8=";
};
meta.homepage = "https://github.com/ObserverOfTime/tree-sitter-xcompose";
};
xml = buildGrammar {
language = "xml";
- version = "0.0.0+rev=dd7ef38";
+ version = "0.0.0+rev=c23bd31";
src = fetchFromGitHub {
- owner = "ObserverOfTime";
+ owner = "tree-sitter-grammars";
repo = "tree-sitter-xml";
- rev = "dd7ef38c74d8430da729b4da815e4c40776e03bb";
- hash = "sha256-6uQ9IeR4P5VXVvFA2KURDtjuYMMj9CwLHvI1dZ1B9L4=";
+ rev = "c23bd31d0aa72bfc01238b2546d5e823d8006709";
+ hash = "sha256-oPjO7y2xSVxvP0bpCFo/oGP4hPs3kWJ728d/R5PUdK4=";
};
- location = "tree-sitter-xml";
- meta.homepage = "https://github.com/ObserverOfTime/tree-sitter-xml";
+ location = "xml";
+ meta.homepage = "https://github.com/tree-sitter-grammars/tree-sitter-xml";
};
yaml = buildGrammar {
language = "yaml";
- version = "0.0.0+rev=0e36bed";
+ version = "0.0.0+rev=9e59b9b";
src = fetchFromGitHub {
- owner = "ikatyang";
+ owner = "tree-sitter-grammars";
repo = "tree-sitter-yaml";
- rev = "0e36bed171768908f331ff7dff9d956bae016efb";
- hash = "sha256-bpiT3FraOZhJaoiFWAoVJX1O+plnIi8aXOW2LwyU23M=";
+ rev = "9e59b9bbf839ba231fbcb953617d8b9b9a059e38";
+ hash = "sha256-9YVXErOwUf4hcvugcgtlefyQd4p34u9AT4gUcwc3ZaU=";
};
- meta.homepage = "https://github.com/ikatyang/tree-sitter-yaml";
+ meta.homepage = "https://github.com/tree-sitter-grammars/tree-sitter-yaml";
};
yang = buildGrammar {
language = "yang";
@@ -2773,12 +2937,12 @@
};
zathurarc = buildGrammar {
language = "zathurarc";
- version = "0.0.0+rev=b47016d";
+ version = "0.0.0+rev=353bdf2";
src = fetchFromGitHub {
owner = "Freed-Wu";
repo = "tree-sitter-zathurarc";
- rev = "b47016df5688ef91ad4532138b6210c7944d6483";
- hash = "sha256-Na0Z8mRdXYwAnw3wyc978aoQjF/m49IYsnQx3AG8kOc=";
+ rev = "353bdf25e7af9c2830e254977fd3fb57ccaa8203";
+ hash = "sha256-vFDz4X0ujqM9GbrpGt3dRjvo0SR07E2qXrT/ppTegBQ=";
};
meta.homepage = "https://github.com/Freed-Wu/tree-sitter-zathurarc";
};
diff --git a/pkgs/applications/editors/vim/plugins/nvim-treesitter/overrides.nix b/pkgs/applications/editors/vim/plugins/nvim-treesitter/overrides.nix
index 8875c8da1057..8e3b39e6c177 100644
--- a/pkgs/applications/editors/vim/plugins/nvim-treesitter/overrides.nix
+++ b/pkgs/applications/editors/vim/plugins/nvim-treesitter/overrides.nix
@@ -48,7 +48,7 @@ in
rm -r parser
'';
- passthru = {
+ passthru = (super.nvim-treesitter.passthru or { }) // {
inherit builtGrammars allGrammars grammarToPlugin withPlugins withAllGrammars;
grammarPlugins = lib.mapAttrs (_: grammarToPlugin) generatedDerivations;
diff --git a/pkgs/applications/editors/vim/plugins/overrides.nix b/pkgs/applications/editors/vim/plugins/overrides.nix
index 21267a14230f..3a2cf414c973 100644
--- a/pkgs/applications/editors/vim/plugins/overrides.nix
+++ b/pkgs/applications/editors/vim/plugins/overrides.nix
@@ -549,6 +549,12 @@
'';
});
+ elixir-tools-nvim = super.elixir-tools-nvim.overrideAttrs {
+ fixupPhase = ''
+ patchShebangs $(find $out/bin/ -type f -not -name credo-language-server)
+ '';
+ };
+
executor-nvim = super.executor-nvim.overrideAttrs {
dependencies = with self; [ nui-nvim ];
};
@@ -662,6 +668,10 @@
dependencies = with self; [ guard-collection ];
};
+ hardhat-nvim = super.hardhat-nvim.overrideAttrs {
+ dependencies = with self; [ overseer-nvim plenary-nvim ];
+ };
+
harpoon = super.harpoon.overrideAttrs {
dependencies = with self; [ plenary-nvim ];
};
@@ -941,6 +951,10 @@
dependencies = with self; [ plenary-nvim ];
};
+ neotest-gradle = super.neotest-gradle.overrideAttrs {
+ dependencies = with self; [ plenary-nvim ];
+ };
+
neo-tree-nvim = super.neo-tree-nvim.overrideAttrs {
dependencies = with self; [ plenary-nvim nui-nvim ];
};
@@ -949,6 +963,10 @@
dependencies = with self; [ nui-nvim ];
};
+ none-ls-nvim = super.none-ls-nvim.overrideAttrs {
+ dependencies = [ self.plenary-nvim ];
+ };
+
null-ls-nvim = super.null-ls-nvim.overrideAttrs {
dependencies = with self; [ plenary-nvim ];
};
@@ -986,9 +1004,9 @@
spectre_oxi = rustPlatform.buildRustPackage {
pname = "spectre_oxi";
inherit (old) version src;
- sourceRoot = "source/spectre_oxi";
+ sourceRoot = "${old.src.name}/spectre_oxi";
- cargoHash = "sha256-y2ZIgOApIShkIesXmItPKDO6XjFrG4GS5HCPncJUmN8=";
+ cargoHash = "sha256-VDnrJ2EJ8LDykqxYKD1VR8BkDqzzifazJzL/0UsmSCk=";
preCheck = ''
@@ -1124,7 +1142,7 @@
pname = "sg-nvim-rust";
inherit (old) version src;
- cargoHash = "sha256-BDNFZ/7nnfvtBA7T6a7MDNJsq/cOI9tgW0kxUoIcbV8=";
+ cargoHash = "sha256-iGNLk3ckm90i5m05V/va+hO9RMiOUKL19dkszoUCwlU=";
nativeBuildInputs = [ pkg-config ];
@@ -1661,6 +1679,14 @@
dependencies = with self; [ vim-repeat ];
};
+ vim-tabby = super.vim-tabby.overrideAttrs {
+ postPatch = ''
+ substituteInPlace autoload/tabby/globals.vim --replace-fail \
+ "let g:tabby_node_binary = get(g:, 'tabby_node_binary', 'node')" \
+ "let g:tabby_node_binary = get(g:, 'tabby_node_binary', '${nodejs}/bin/node')"
+ '';
+ };
+
vim-textobj-entire = super.vim-textobj-entire.overrideAttrs {
dependencies = with self; [ vim-textobj-user ];
meta.maintainers = with lib.maintainers; [ farlion ];
@@ -1764,6 +1790,10 @@
dependencies = with self; [ vimwiki fzf-vim ];
};
+ windows-nvim = super.windows-nvim.overrideAttrs {
+ dependencies = with self; [ luaPackages.middleclass animation-nvim ];
+ };
+
wtf-nvim = super.wtf-nvim.overrideAttrs {
dependencies = with self; [ nui-nvim ];
};
diff --git a/pkgs/applications/editors/vim/plugins/patches/openscad.nvim/program_paths.patch b/pkgs/applications/editors/vim/plugins/patches/openscad.nvim/program_paths.patch
index c6b63682b2a0..82d73809ff79 100644
--- a/pkgs/applications/editors/vim/plugins/patches/openscad.nvim/program_paths.patch
+++ b/pkgs/applications/editors/vim/plugins/patches/openscad.nvim/program_paths.patch
@@ -1,5 +1,5 @@
diff --git a/autoload/health/openscad_nvim.vim b/autoload/health/openscad_nvim.vim
-index 9022d55..30ef53f 100644
+index d6d4b4c..9853877 100644
--- a/autoload/health/openscad_nvim.vim
+++ b/autoload/health/openscad_nvim.vim
@@ -7,7 +7,7 @@ function! s:check_nvim_version_minimum() abort
@@ -8,20 +8,20 @@ index 9022d55..30ef53f 100644
function! s:check_zathura_installed() abort
- if !executable('zathura')
+ if !executable('@zathura@')
- call health#report_error('has(zathura)','install zathura')
+ call v:lua.vim.health.error('has(zathura)','install zathura')
else
- call health#report_ok("zathura is installed")
+ call v:lua.vim.health.ok("zathura is installed")
@@ -15,7 +15,7 @@ function! s:check_zathura_installed() abort
endfunction
function! s:check_htop_installed() abort
- if !executable('htop')
+ if !executable('@htop@')
- call health#report_error('has(htop)','install htop')
+ call v:lua.vim.health.error('has(htop)','install htop')
else
- call health#report_ok("htop is installed")
+ call v:lua.vim.health.ok("htop is installed")
diff --git a/lua/openscad.lua b/lua/openscad.lua
-index 7dff2fb..4382003 100644
+index 7475f29..832c895 100644
--- a/lua/openscad.lua
+++ b/lua/openscad.lua
@@ -101,7 +101,7 @@ end
@@ -38,7 +38,7 @@ index 7dff2fb..4382003 100644
else
-- TODO: What about Windows?
- jobCommand = 'openscad ' .. vim.fn.expand('%:p')
-+ jobCommand = '@oepnscad@ ' .. vim.fn.expand('%:p')
++ jobCommand = '@openscad@ ' .. vim.fn.expand('%:p')
end
vim.fn.jobstart(jobCommand)
diff --git a/pkgs/applications/editors/vim/plugins/vim-clap/Cargo.lock b/pkgs/applications/editors/vim/plugins/vim-clap/Cargo.lock
index a11cf3b18d64..0340204a82cc 100644
--- a/pkgs/applications/editors/vim/plugins/vim-clap/Cargo.lock
+++ b/pkgs/applications/editors/vim/plugins/vim-clap/Cargo.lock
@@ -41,6 +41,12 @@ dependencies = [
"libc",
]
+[[package]]
+name = "anes"
+version = "0.1.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4b46cbb362ab8752921c97e041f5e366ee6297bd428a31275b9fcf1e380f7299"
+
[[package]]
name = "anstream"
version = "0.6.4"
@@ -103,7 +109,7 @@ checksum = "a66537f1bb974b254c98ed142ff995236e81b9d0fe4db0575f46612cb15eb0f9"
dependencies = [
"proc-macro2",
"quote",
- "syn",
+ "syn 2.0.38",
]
[[package]]
@@ -179,12 +185,12 @@ dependencies = [
[[package]]
name = "built"
-version = "0.6.1"
+version = "0.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b99c4cdc7b2c2364182331055623bdf45254fcb679fea565c40c3c11c101889a"
+checksum = "96f9cdd34d6eb553f9ea20e5bf84abb7b13c729f113fc1d8e49dc00ad9fa8738"
dependencies = [
"cargo-lock",
- "git2",
+ "git2 0.16.1",
]
[[package]]
@@ -216,13 +222,13 @@ dependencies = [
[[package]]
name = "cargo-lock"
-version = "9.0.0"
+version = "8.0.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e11c675378efb449ed3ce8de78d75d0d80542fc98487c26aba28eb3b82feac72"
+checksum = "031718ddb8f78aa5def78a09e90defe30151d1f6c672f937af4dd916429ed996"
dependencies = [
"semver",
"serde",
- "toml 0.7.8",
+ "toml",
"url",
]
@@ -249,6 +255,12 @@ dependencies = [
"thiserror",
]
+[[package]]
+name = "cast"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "37b2a672a2cb129a2e41c10b1224bb368f9f37a2b16b612598138befd7b37eb5"
+
[[package]]
name = "cc"
version = "1.0.83"
@@ -295,6 +307,33 @@ dependencies = [
"chrono",
]
+[[package]]
+name = "ciborium"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "42e69ffd6f0917f5c029256a24d0161db17cea3997d185db0d35926308770f0e"
+dependencies = [
+ "ciborium-io",
+ "ciborium-ll",
+ "serde",
+]
+
+[[package]]
+name = "ciborium-io"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "05afea1e0a06c9be33d539b876f1ce3692f4afea2cb41f740e7743225ed1c757"
+
+[[package]]
+name = "ciborium-ll"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "57663b653d948a338bfb3eeba9bb2fd5fcfaecb9e199e87e1eda4d9e8b240fd9"
+dependencies = [
+ "ciborium-io",
+ "half",
+]
+
[[package]]
name = "clap"
version = "4.4.7"
@@ -326,7 +365,7 @@ dependencies = [
"heck",
"proc-macro2",
"quote",
- "syn",
+ "syn 2.0.38",
]
[[package]]
@@ -337,21 +376,20 @@ checksum = "702fc72eb24e5a1e48ce58027a675bc24edd52096d5397d4aea7c6dd9eca0bd1"
[[package]]
name = "cli"
-version = "0.1.0"
+version = "0.1.52"
dependencies = [
"anyhow",
"clap",
+ "criterion",
"filter",
- "futures",
"icon",
- "itertools",
+ "itertools 0.10.5",
+ "maple_config",
"maple_core",
"matcher",
"num_cpus",
- "pattern",
"printer",
"rayon",
- "regex",
"serde",
"serde_json",
"subprocess",
@@ -373,6 +411,24 @@ dependencies = [
"winapi",
]
+[[package]]
+name = "code_tools"
+version = "0.1.52"
+dependencies = [
+ "cargo_metadata",
+ "maple_config",
+ "maple_lsp",
+ "once_cell",
+ "paths",
+ "regex",
+ "serde",
+ "serde_json",
+ "tokio",
+ "toml",
+ "tracing",
+ "which",
+]
+
[[package]]
name = "colorchoice"
version = "1.0.0"
@@ -445,6 +501,42 @@ dependencies = [
"cfg-if",
]
+[[package]]
+name = "criterion"
+version = "0.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f2b12d017a929603d80db1831cd3a24082f8137ce19c69e6447f54f5fc8d692f"
+dependencies = [
+ "anes",
+ "cast",
+ "ciborium",
+ "clap",
+ "criterion-plot",
+ "is-terminal",
+ "itertools 0.10.5",
+ "num-traits",
+ "once_cell",
+ "oorandom",
+ "plotters",
+ "rayon",
+ "regex",
+ "serde",
+ "serde_derive",
+ "serde_json",
+ "tinytemplate",
+ "walkdir",
+]
+
+[[package]]
+name = "criterion-plot"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6b50826342786a51a89e2da3a28f1c32b06e387201bc2d19791f622c673706b1"
+dependencies = [
+ "cast",
+ "itertools 0.10.5",
+]
+
[[package]]
name = "crossbeam-channel"
version = "0.5.8"
@@ -488,6 +580,12 @@ dependencies = [
"cfg-if",
]
+[[package]]
+name = "crunchy"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7a81dae078cea95a014a339291cec439d2f232ebe854a9d672b796c6afafa9b7"
+
[[package]]
name = "darling"
version = "0.20.3"
@@ -509,7 +607,7 @@ dependencies = [
"proc-macro2",
"quote",
"strsim",
- "syn",
+ "syn 2.0.38",
]
[[package]]
@@ -520,7 +618,7 @@ checksum = "836a9bbc7ad63342d6d6e7b815ccab164bc77a2d95d84bc3117a8c0d5c98e2d5"
dependencies = [
"darling_core",
"quote",
- "syn",
+ "syn 2.0.38",
]
[[package]]
@@ -538,16 +636,25 @@ version = "4.0.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "f51c5d4ddabd36886dd3e1438cb358cdcb0d7c499cb99cb4ac2e38e18b5cb210"
dependencies = [
- "dirs-sys",
+ "dirs-sys 0.3.7",
]
[[package]]
name = "dirs"
-version = "0.1.0"
+version = "0.1.52"
dependencies = [
"directories",
]
+[[package]]
+name = "dirs"
+version = "5.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "44c45a9d03d6676652bcb5e724c7e988de1acad23a711b5217ab9cbecbec2225"
+dependencies = [
+ "dirs-sys 0.4.1",
+]
+
[[package]]
name = "dirs-sys"
version = "0.3.7"
@@ -560,10 +667,28 @@ dependencies = [
]
[[package]]
-name = "dumb_analyzer"
+name = "dirs-sys"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "520f05a5cbd335fae5a99ff7a6ab8627577660ee5cfd6a94a6a929b52ff0321c"
+dependencies = [
+ "libc",
+ "option-ext",
+ "redox_users",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "doc_gen"
version = "0.1.0"
dependencies = [
- "serde_json",
+ "inflections",
+ "itertools 0.12.1",
+ "maple_config",
+ "quote",
+ "syn 1.0.109",
+ "toml",
+ "toml_edit",
]
[[package]]
@@ -620,25 +745,22 @@ dependencies = [
[[package]]
name = "extracted_fzy"
-version = "0.1.0"
+version = "0.1.52"
[[package]]
name = "filter"
-version = "0.1.0"
+version = "0.1.52"
dependencies = [
"icon",
"matcher",
"parking_lot",
- "pattern",
"printer",
"rayon",
- "serde",
"serde_json",
"subprocess",
"thiserror",
"tracing",
"types",
- "utils",
]
[[package]]
@@ -722,7 +844,7 @@ checksum = "53b153fd91e4b0147f4aced87be237c98248656bb01050b96bf3ee89220a8ddb"
dependencies = [
"proc-macro2",
"quote",
- "syn",
+ "syn 2.0.38",
]
[[package]]
@@ -793,9 +915,24 @@ checksum = "6fb8d784f27acf97159b40fc4db5ecd8aa23b9ad5ef69cdd136d3bc80665f0c0"
[[package]]
name = "git2"
-version = "0.17.2"
+version = "0.15.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7b989d6a7ca95a362cf2cfc5ad688b3a467be1f87e480b8dad07fee8c79b0044"
+checksum = "2994bee4a3a6a51eb90c218523be382fd7ea09b16380b9312e9dbe955ff7c7d1"
+dependencies = [
+ "bitflags 1.3.2",
+ "libc",
+ "libgit2-sys",
+ "log",
+ "openssl-probe",
+ "openssl-sys",
+ "url",
+]
+
+[[package]]
+name = "git2"
+version = "0.16.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ccf7f68c2995f392c49fffb4f95ae2c873297830eb25c6bc4c114ce8f4562acc"
dependencies = [
"bitflags 1.3.2",
"libc",
@@ -873,6 +1010,16 @@ dependencies = [
"tracing",
]
+[[package]]
+name = "half"
+version = "2.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bc52e53916c08643f1b56ec082790d1e86a32e58dc5268f897f313fbae7b4872"
+dependencies = [
+ "cfg-if",
+ "crunchy",
+]
+
[[package]]
name = "hashbrown"
version = "0.12.3"
@@ -1003,29 +1150,13 @@ dependencies = [
[[package]]
name = "icon"
-version = "0.1.0"
+version = "0.1.52"
dependencies = [
- "itertools",
+ "itertools 0.10.5",
"pattern",
"serde_json",
]
-[[package]]
-name = "ide"
-version = "0.1.0"
-dependencies = [
- "async-trait",
- "cargo_metadata",
- "once_cell",
- "parking_lot",
- "paths",
- "regex",
- "serde",
- "serde_json",
- "tokio",
- "tracing",
-]
-
[[package]]
name = "ident_case"
version = "1.0.1"
@@ -1103,6 +1234,17 @@ version = "2.9.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "8f518f335dce6725a761382244631d86cf0ccb2863413590b31338feb467f9c3"
+[[package]]
+name = "is-terminal"
+version = "0.4.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f23ff5ef2b80d608d61efee834934d862cd92461afc0560dedf493e4c033738b"
+dependencies = [
+ "hermit-abi",
+ "libc",
+ "windows-sys 0.52.0",
+]
+
[[package]]
name = "itertools"
version = "0.10.5"
@@ -1112,6 +1254,15 @@ dependencies = [
"either",
]
+[[package]]
+name = "itertools"
+version = "0.12.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ba291022dbbd398a455acf126c1e341954079855bc60dfdda641363bd6922569"
+dependencies = [
+ "either",
+]
+
[[package]]
name = "itoa"
version = "1.0.9"
@@ -1178,14 +1329,30 @@ checksum = "a08173bc88b7955d1b3145aa561539096c421ac8debde8cbc3612ec635fee29b"
[[package]]
name = "libgit2-sys"
-version = "0.15.2+1.6.4"
+version = "0.14.2+1.5.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a80df2e11fb4a61f4ba2ab42dbe7f74468da143f1a75c74e11dee7c813f694fa"
+checksum = "7f3d95f6b51075fe9810a7ae22c7095f12b98005ab364d8544797a825ce946a4"
+dependencies = [
+ "cc",
+ "libc",
+ "libssh2-sys",
+ "libz-sys",
+ "openssl-sys",
+ "pkg-config",
+]
+
+[[package]]
+name = "libssh2-sys"
+version = "0.2.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b094a36eb4b8b8c8a7b4b8ae43b2944502be3e59cd87687595cf6b0a71b3f4ca"
dependencies = [
"cc",
"libc",
"libz-sys",
+ "openssl-sys",
"pkg-config",
+ "vcpkg",
]
[[package]]
@@ -1261,7 +1428,7 @@ dependencies = [
[[package]]
name = "maple"
-version = "0.1.50"
+version = "0.1.51"
dependencies = [
"built",
"chrono",
@@ -1271,28 +1438,41 @@ dependencies = [
"upgrade",
]
+[[package]]
+name = "maple_config"
+version = "0.1.52"
+dependencies = [
+ "dirs 0.1.52",
+ "once_cell",
+ "paths",
+ "serde",
+ "serde_json",
+ "toml",
+ "types",
+]
+
[[package]]
name = "maple_core"
-version = "0.1.0"
+version = "0.1.52"
dependencies = [
"async-trait",
"base64 0.13.1",
- "bytecount",
"chrono",
"chrono-humanize",
"clap",
+ "code_tools",
"colors-transform",
"copypasta",
- "dirs",
- "dumb_analyzer",
+ "dirs 0.1.52",
"filter",
"futures",
+ "git2 0.15.0",
"grep-matcher",
"grep-searcher",
"icon",
- "ide",
"ignore",
- "itertools",
+ "itertools 0.10.5",
+ "maple_config",
"maple_derive",
"maple_lsp",
"matcher",
@@ -1313,7 +1493,7 @@ dependencies = [
"subprocess",
"thiserror",
"tokio",
- "toml 0.5.11",
+ "toml",
"tracing",
"tree_sitter",
"types",
@@ -1323,20 +1503,21 @@ dependencies = [
[[package]]
name = "maple_derive"
-version = "0.1.0"
+version = "0.1.52"
dependencies = [
+ "async-trait",
"darling",
"inflections",
"once_cell",
"proc-macro2",
"quote",
- "syn",
+ "syn 2.0.38",
"types",
]
[[package]]
name = "maple_lsp"
-version = "0.1.0"
+version = "0.1.52"
dependencies = [
"futures-util",
"lsp-types",
@@ -1347,15 +1528,16 @@ dependencies = [
"serde_json",
"thiserror",
"tokio",
+ "toml",
"tracing",
"which",
]
[[package]]
name = "matcher"
-version = "0.1.0"
+version = "0.1.52"
dependencies = [
- "dumb_analyzer",
+ "code_tools",
"extracted_fzy",
"fuzzy-matcher",
"grep-matcher",
@@ -1485,6 +1667,12 @@ version = "0.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "830b246a0e5f20af87141b25c173cd1b609bd7779a4617d6ec582abaf90870f3"
+[[package]]
+name = "numtoa"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b8f8bdf33df195859076e54ab11ee78a1b208382d3a26ec40d142ffc1ecc49ef"
+
[[package]]
name = "objc"
version = "0.2.7"
@@ -1551,6 +1739,36 @@ dependencies = [
"pkg-config",
]
+[[package]]
+name = "oorandom"
+version = "11.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0ab1bc2a289d34bd04a330323ac98a1b4bc82c9d9fcb1e66b63caa84da26b575"
+
+[[package]]
+name = "openssl-probe"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ff011a302c396a5197692431fc1948019154afc178baf7d8e37367442a4601cf"
+
+[[package]]
+name = "openssl-sys"
+version = "0.9.100"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ae94056a791d0e1217d18b6cbdccb02c61e3054fc69893607f4067e3bb0b1fd1"
+dependencies = [
+ "cc",
+ "libc",
+ "pkg-config",
+ "vcpkg",
+]
+
+[[package]]
+name = "option-ext"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "04744f49eae99ab78e0d5c0b603ab218f515ea8cfe5a456d7629ad883a3b6e7d"
+
[[package]]
name = "overload"
version = "0.1.1"
@@ -1582,17 +1800,18 @@ dependencies = [
[[package]]
name = "paths"
-version = "0.1.0"
+version = "0.1.52"
dependencies = [
- "dirs",
+ "dirs 0.1.52",
"dunce",
- "itertools",
+ "itertools 0.10.5",
"serde",
+ "shellexpand",
]
[[package]]
name = "pattern"
-version = "0.1.0"
+version = "0.1.52"
dependencies = [
"once_cell",
"regex",
@@ -1636,20 +1855,57 @@ dependencies = [
"time",
]
+[[package]]
+name = "plotters"
+version = "0.3.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d2c224ba00d7cadd4d5c660deaf2098e5e80e07846537c51f9cfa4be50c1fd45"
+dependencies = [
+ "num-traits",
+ "plotters-backend",
+ "plotters-svg",
+ "wasm-bindgen",
+ "web-sys",
+]
+
+[[package]]
+name = "plotters-backend"
+version = "0.3.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9e76628b4d3a7581389a35d5b6e2139607ad7c75b17aed325f210aa91f4a9609"
+
+[[package]]
+name = "plotters-svg"
+version = "0.3.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "38f6d39893cca0701371e3c27294f09797214b86f1fb951b89ade8ec04e2abab"
+dependencies = [
+ "plotters-backend",
+]
+
[[package]]
name = "powerfmt"
version = "0.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "439ee305def115ba05938db6eb1644ff94165c5ab5e9420d1c1bcedbba909391"
+[[package]]
+name = "ppv-lite86"
+version = "0.2.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5b40af805b3121feab8a3c29f04d8ad262fa8e0561883e7653e024ae4479e6de"
+
[[package]]
name = "printer"
-version = "0.1.0"
+version = "0.1.52"
dependencies = [
+ "filter",
"icon",
"pattern",
+ "rayon",
"serde",
"serde_json",
+ "termion",
"types",
"unicode-width",
"utils",
@@ -1682,6 +1938,36 @@ dependencies = [
"proc-macro2",
]
+[[package]]
+name = "rand"
+version = "0.8.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "34af8d1a0e25924bc5b7c43c079c942339d8f0a8b57c39049bef581b46327404"
+dependencies = [
+ "libc",
+ "rand_chacha",
+ "rand_core",
+]
+
+[[package]]
+name = "rand_chacha"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e6c10a63a0fa32252be49d21e7709d4d4baf8d231c2dbce1eaa8141b9b127d88"
+dependencies = [
+ "ppv-lite86",
+ "rand_core",
+]
+
+[[package]]
+name = "rand_core"
+version = "0.6.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ec0be4795e2f6a28069bec0b5ff3e2ac9bafc99e6a9a7dc3547996c5c816922c"
+dependencies = [
+ "getrandom",
+]
+
[[package]]
name = "raw-window-handle"
version = "0.5.2"
@@ -1726,6 +2012,12 @@ dependencies = [
"bitflags 1.3.2",
]
+[[package]]
+name = "redox_termios"
+version = "0.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "20145670ba436b55d91fc92d25e71160fbfbdd57831631c8d7d36377a476f1cb"
+
[[package]]
name = "redox_users"
version = "0.4.3"
@@ -1849,7 +2141,7 @@ dependencies = [
[[package]]
name = "rpc"
-version = "0.1.0"
+version = "0.1.52"
dependencies = [
"serde",
"serde_json",
@@ -1971,7 +2263,7 @@ checksum = "67c5609f394e5c2bd7fc51efda478004ea80ef42fee983d5c67a65e34f32c0e3"
dependencies = [
"proc-macro2",
"quote",
- "syn",
+ "syn 2.0.38",
]
[[package]]
@@ -1993,16 +2285,7 @@ checksum = "3081f5ffbb02284dda55132aa26daecedd7372a42417bbbab6f14ab7d6bb9145"
dependencies = [
"proc-macro2",
"quote",
- "syn",
-]
-
-[[package]]
-name = "serde_spanned"
-version = "0.6.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "12022b835073e5b11e90a14f86838ceb1c8fb0325b72416845c487ac0fa95e80"
-dependencies = [
- "serde",
+ "syn 2.0.38",
]
[[package]]
@@ -2026,6 +2309,15 @@ dependencies = [
"lazy_static",
]
+[[package]]
+name = "shellexpand"
+version = "3.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "da03fa3b94cc19e3ebfc88c4229c49d8f08cdbd1228870a45f0ffdf84988e14b"
+dependencies = [
+ "dirs 5.0.1",
+]
+
[[package]]
name = "signal-hook-registry"
version = "1.4.1"
@@ -2090,14 +2382,12 @@ checksum = "73473c0e59e6d5812c5dfe2a064a6444949f089e20eec9a2e5506596494e4623"
[[package]]
name = "sublime_syntax"
-version = "0.1.0"
+version = "0.1.52"
dependencies = [
"colors-transform",
- "once_cell",
"rgb2ansi256",
"serde",
"syntect",
- "tracing",
"utils",
]
@@ -2110,6 +2400,17 @@ dependencies = [
"winapi",
]
+[[package]]
+name = "syn"
+version = "1.0.109"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "72b64191b275b66ffe2469e8af2c1cfe3bafa67b529ead792a6d0160888b4237"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "unicode-ident",
+]
+
[[package]]
name = "syn"
version = "2.0.38"
@@ -2163,6 +2464,18 @@ dependencies = [
"libc",
]
+[[package]]
+name = "termion"
+version = "1.5.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "077185e2eac69c3f8379a4298e1e07cd36beb962290d4a51199acf0fdc10607e"
+dependencies = [
+ "libc",
+ "numtoa",
+ "redox_syscall 0.2.16",
+ "redox_termios",
+]
+
[[package]]
name = "thiserror"
version = "1.0.50"
@@ -2180,7 +2493,7 @@ checksum = "266b2e40bc00e5a6c09c3584011e08b06f123c00362c92b975ba9843aaaa14b8"
dependencies = [
"proc-macro2",
"quote",
- "syn",
+ "syn 2.0.38",
]
[[package]]
@@ -2222,6 +2535,16 @@ dependencies = [
"time-core",
]
+[[package]]
+name = "tinytemplate"
+version = "1.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "be4d6b5f19ff7664e8c98d03e2139cb510db9b0a60b55f8e8709b689d939b6bc"
+dependencies = [
+ "serde",
+ "serde_json",
+]
+
[[package]]
name = "tinyvec"
version = "1.6.0"
@@ -2239,9 +2562,9 @@ checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20"
[[package]]
name = "tokio"
-version = "1.33.0"
+version = "1.36.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4f38200e3ef7995e5ef13baec2f432a6da0aa9ac495b2c0e8f3b7eec2c92d653"
+checksum = "61285f6515fa018fb2d1e46eb21223fff441ee8db5d0f1435e8ab4f5cdb80931"
dependencies = [
"backtrace",
"bytes",
@@ -2257,13 +2580,13 @@ dependencies = [
[[package]]
name = "tokio-macros"
-version = "2.1.0"
+version = "2.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "630bdcf245f78637c13ec01ffae6187cca34625e8c63150d424b59e55af2675e"
+checksum = "5b8a1e28f2deaa14e508979454cb3a223b10b938b45af148bc0986de36f1923b"
dependencies = [
"proc-macro2",
"quote",
- "syn",
+ "syn 2.0.38",
]
[[package]]
@@ -2299,36 +2622,19 @@ dependencies = [
"serde",
]
-[[package]]
-name = "toml"
-version = "0.7.8"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dd79e69d3b627db300ff956027cc6c3798cef26d22526befdfcd12feeb6d2257"
-dependencies = [
- "serde",
- "serde_spanned",
- "toml_datetime",
- "toml_edit",
-]
-
[[package]]
name = "toml_datetime"
version = "0.6.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "3550f4e9685620ac18a50ed434eb3aec30db8ba93b0287467bca5826ea25baf1"
-dependencies = [
- "serde",
-]
[[package]]
name = "toml_edit"
-version = "0.19.15"
+version = "0.21.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1b5bb770da30e5cbfde35a2d7b9b8a2c4b8ef89548a7a6aeab5c9a576e3e7421"
+checksum = "6a8534fd7f78b5405e860340ad6575217ce99f38d4d5c8f2442cb5ecb50090e1"
dependencies = [
"indexmap 2.1.0",
- "serde",
- "serde_spanned",
"toml_datetime",
"winnow",
]
@@ -2369,7 +2675,7 @@ checksum = "34704c8d6ebcbc939824180af020566b01a7c01f80641264eba0999f6c2b6be7"
dependencies = [
"proc-macro2",
"quote",
- "syn",
+ "syn 2.0.38",
]
[[package]]
@@ -2451,6 +2757,15 @@ dependencies = [
"tree-sitter",
]
+[[package]]
+name = "tree-sitter-dockerfile"
+version = "0.1.0"
+source = "git+https://github.com/liuchengxu/tree-sitter-dockerfile?rev=be454233564871db713aab035e9cdc4c3ec572dc#be454233564871db713aab035e9cdc4c3ec572dc"
+dependencies = [
+ "cc",
+ "tree-sitter",
+]
+
[[package]]
name = "tree-sitter-go"
version = "0.20.0"
@@ -2522,6 +2837,18 @@ dependencies = [
"tree-sitter",
]
+[[package]]
+name = "tree-sitter-tags"
+version = "0.20.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ccb3f1376219530a37a809751ecf65aa35fd8b9c1c4ab6d4faf5f6a9eeda2c05"
+dependencies = [
+ "memchr",
+ "regex",
+ "thiserror",
+ "tree-sitter",
+]
+
[[package]]
name = "tree-sitter-toml"
version = "0.20.0"
@@ -2543,16 +2870,20 @@ dependencies = [
[[package]]
name = "tree_sitter"
-version = "0.1.0"
+version = "0.1.52"
dependencies = [
"cc",
+ "criterion",
"once_cell",
+ "rand",
"serde",
- "toml 0.5.11",
+ "toml",
+ "tracing",
"tree-sitter",
"tree-sitter-bash",
"tree-sitter-c",
"tree-sitter-cpp",
+ "tree-sitter-dockerfile",
"tree-sitter-go",
"tree-sitter-highlight",
"tree-sitter-javascript",
@@ -2560,6 +2891,7 @@ dependencies = [
"tree-sitter-md",
"tree-sitter-python",
"tree-sitter-rust",
+ "tree-sitter-tags",
"tree-sitter-toml",
"tree-sitter-vim",
]
@@ -2572,7 +2904,7 @@ checksum = "3528ecfd12c466c6f163363caf2d02a71161dd5e1cc6ae7b34207ea2d42d81ed"
[[package]]
name = "types"
-version = "0.1.0"
+version = "0.1.52"
dependencies = [
"icon",
"pattern",
@@ -2613,12 +2945,11 @@ checksum = "8ecb6da28b8a351d773b68d5825ac39017e680750f980f3a1a85cd8dd28a47c1"
[[package]]
name = "upgrade"
-version = "0.1.0"
+version = "0.1.52"
dependencies = [
"indicatif",
"reqwest",
"serde",
- "serde_json",
"tokio",
]
@@ -2642,12 +2973,11 @@ checksum = "711b9620af191e0cdc7468a8d14e709c3dcdb115b36f838e601583af800a370a"
[[package]]
name = "utils"
-version = "0.1.0"
+version = "0.1.52"
dependencies = [
"bytecount",
"memchr",
"simdutf8",
- "types",
]
[[package]]
@@ -2708,7 +3038,7 @@ dependencies = [
"once_cell",
"proc-macro2",
"quote",
- "syn",
+ "syn 2.0.38",
"wasm-bindgen-shared",
]
@@ -2742,7 +3072,7 @@ checksum = "c5353b8dab669f5e10f5bd76df26a9360c748f054f862ff5f3f8aae0c7fb3907"
dependencies = [
"proc-macro2",
"quote",
- "syn",
+ "syn 2.0.38",
"wasm-bindgen-backend",
"wasm-bindgen-shared",
]
@@ -3065,6 +3395,12 @@ dependencies = [
"windows-sys 0.48.0",
]
+[[package]]
+name = "write-json"
+version = "0.1.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "23f6174b2566cc4a74f95e1367ec343e7fa80c93cc8087f5c4a3d6a1088b2118"
+
[[package]]
name = "x11-clipboard"
version = "0.8.1"
@@ -3096,6 +3432,34 @@ dependencies = [
"nix",
]
+[[package]]
+name = "xshell"
+version = "0.2.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ce2107fe03e558353b4c71ad7626d58ed82efaf56c54134228608893c77023ad"
+dependencies = [
+ "xshell-macros",
+]
+
+[[package]]
+name = "xshell-macros"
+version = "0.2.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7e2c411759b501fb9501aac2b1b2d287a6e93e5bdcf13c25306b23e1b716dd0e"
+
+[[package]]
+name = "xtask"
+version = "0.1.52"
+dependencies = [
+ "anyhow",
+ "chrono",
+ "clap",
+ "serde",
+ "serde_json",
+ "write-json",
+ "xshell",
+]
+
[[package]]
name = "yaml-rust"
version = "0.4.5"
diff --git a/pkgs/applications/editors/vim/plugins/vim-clap/default.nix b/pkgs/applications/editors/vim/plugins/vim-clap/default.nix
index b43ab5501c76..dade53492fd2 100644
--- a/pkgs/applications/editors/vim/plugins/vim-clap/default.nix
+++ b/pkgs/applications/editors/vim/plugins/vim-clap/default.nix
@@ -11,17 +11,18 @@
}:
let
- version = "0.50";
+ version = "0.52";
src = fetchFromGitHub {
owner = "liuchengxu";
repo = "vim-clap";
rev = "v${version}";
- hash = "sha256-EYAylATdtwDzM92tN4OlzbQ1XqErRwT9mCNpzj63oxk=";
+ hash = "sha256-byG4DHa0rTzvlLW+d3eF8xCX8uft4b7HYJDqbVmTdNI=";
};
meta = with lib; {
description = "A modern performant fuzzy picker for Vim and NeoVim";
+ mainProgram = "maple";
homepage = "https://github.com/liuchengxu/vim-clap";
changelog = "https://github.com/liuchengxu/vim-clap/blob/${src.rev}/CHANGELOG.md";
license = licenses.mit;
@@ -36,6 +37,7 @@ let
lockFile = ./Cargo.lock;
outputHashes = {
"subprocess-0.2.10" = "sha256-WcGrJ103ofGlQwi32kRGM3Z+uvKSCFBmFZbZXAtuWwM=";
+ "tree-sitter-dockerfile-0.1.0" = "sha256-K+duK3HcxlVgbLXBos3MUxyfnTywcHX6JM4Do0qAJO0=";
"tree-sitter-vim-0.3.1-dev.0" = "sha256-CWxZ28LdptiMNO2VIk+Ny/DhQXdN604EuqRIb9oaCmI=";
};
};
diff --git a/pkgs/applications/editors/vim/plugins/vim-plugin-names b/pkgs/applications/editors/vim/plugins/vim-plugin-names
index 11107d93668d..99ef5148939e 100644
--- a/pkgs/applications/editors/vim/plugins/vim-plugin-names
+++ b/pkgs/applications/editors/vim/plugins/vim-plugin-names
@@ -50,11 +50,13 @@ https://github.com/slashmili/alchemist.vim/,,
https://github.com/dense-analysis/ale/,,
https://github.com/vim-scripts/align/,,
https://github.com/goolord/alpha-nvim/,HEAD,
+https://github.com/anuvyklack/animation.nvim/,HEAD,
https://github.com/Olical/aniseed/,,
https://github.com/pearofducks/ansible-vim/,,
https://github.com/ckarnell/antonys-macro-repeater/,,
https://github.com/solarnz/arcanist.vim/,,
https://github.com/vim-scripts/argtextobj.vim/,,
+https://github.com/AstroNvim/astrotheme/,,
https://github.com/prabirshrestha/async.vim/,,
https://github.com/prabirshrestha/asyncomplete-buffer.vim/,HEAD,
https://github.com/prabirshrestha/asyncomplete-file.vim/,HEAD,
@@ -73,16 +75,20 @@ https://github.com/jiangmiao/auto-pairs/,,
https://github.com/pocco81/auto-save.nvim/,HEAD,
https://github.com/rmagatti/auto-session/,,
https://github.com/m4xshen/autoclose.nvim/,HEAD,
+https://github.com/gaoDean/autolist.nvim/,,
https://github.com/vim-scripts/autoload_cscope.vim/,,
https://github.com/nullishamy/autosave.nvim/,HEAD,
https://github.com/rafi/awesome-vim-colorschemes/,,
+https://github.com/AhmedAbdulrahman/aylin.vim/,,
https://github.com/ayu-theme/ayu-vim/,,
https://github.com/taybart/b64.nvim/,HEAD,
+https://github.com/m00qek/baleia.nvim/,HEAD,
+https://github.com/ribru17/bamboo.nvim/,,
https://github.com/romgrk/barbar.nvim/,,
https://github.com/utilyre/barbecue.nvim/,,
+https://github.com/RRethy/base16-nvim/,,
https://github.com/chriskempson/base16-vim/,,
https://github.com/nvchad/base46/,HEAD,
-https://github.com/IogaMaster/neocord.git,main,
https://github.com/jamespwilliams/bat.vim/,HEAD,
https://github.com/vim-scripts/bats.vim/,,
https://github.com/rbgrouleff/bclose.vim/,,
@@ -91,6 +97,8 @@ https://github.com/LunarVim/bigfile.nvim/,,
https://github.com/APZelos/blamer.nvim/,HEAD,
https://github.com/HampusHauffman/block.nvim/,HEAD,
https://github.com/blueballs-theme/blueballs-neovim/,,
+https://github.com/uloco/bluloco.nvim/,,
+https://github.com/rockerBOO/boo-colorscheme-nvim/,,
https://github.com/nat-418/boole.nvim/,HEAD,
https://github.com/turbio/bracey.vim/,,
https://github.com/fruit-in/brainfuck-vim/,,
@@ -110,6 +118,7 @@ https://github.com/vim-scripts/changeColorScheme.vim/,,
https://github.com/sudormrfbin/cheatsheet.nvim/,,
https://github.com/yunlingz/ci_dark/,,
https://github.com/projekt0n/circles.nvim/,,
+https://github.com/zootedb0t/citruszest.nvim/,,
https://github.com/xavierd/clang_complete/,,
https://github.com/p00f/clangd_extensions.nvim/,HEAD,
https://github.com/rhysd/clever-f.vim/,,
@@ -117,6 +126,7 @@ https://github.com/bbchung/clighter8/,,
https://github.com/ekickx/clipboard-image.nvim/,,
https://github.com/laytan/cloak.nvim/,HEAD,
https://github.com/asheq/close-buffers.vim/,HEAD,
+https://github.com/Civitasv/cmake-tools.nvim/,,
https://github.com/winston0410/cmd-parser.nvim/,,
https://github.com/FelipeLema/cmp-async-path/,HEAD,
https://github.com/crispgm/cmp-beancount/,HEAD,
@@ -164,6 +174,7 @@ https://github.com/pontusk/cmp-vimwiki-tags/,HEAD,
https://github.com/hrsh7th/cmp-vsnip/,,
https://github.com/tamago324/cmp-zsh/,HEAD,
https://github.com/saadparwaiz1/cmp_luasnip/,,
+https://github.com/lalitmee/cobalt2.nvim/,,
https://github.com/vn-ki/coc-clap/,,
https://github.com/neoclide/coc-denite/,,
https://github.com/antoinemadec/coc-fzf/,,
@@ -215,10 +226,12 @@ https://github.com/JazzCore/ctrlp-cmatcher/,,
https://github.com/FelikZ/ctrlp-py-matcher/,,
https://github.com/amiorin/ctrlp-z/,,
https://github.com/ctrlpvim/ctrlp.vim/,,
+https://github.com/scottmckendry/cyberdream.nvim/,,
https://github.com/dart-lang/dart-vim-plugin/,,
https://github.com/rizzatti/dash.vim/,HEAD,
https://github.com/glepnir/dashboard-nvim/,,
https://github.com/andrewferrier/debugprint.nvim/,HEAD,
+https://github.com/Verf/deepwhite.nvim/,,
https://github.com/kristijanhusak/defx-git/,,
https://github.com/kristijanhusak/defx-icons/,,
https://github.com/Shougo/defx.nvim/,,
@@ -256,6 +269,7 @@ https://github.com/elihunter173/dirbuf.nvim/,HEAD,
https://github.com/direnv/direnv.vim/,,
https://github.com/chipsenkbeil/distant.nvim/,HEAD,
https://github.com/doki-theme/doki-theme-vim/,,
+https://github.com/NTBBloodbath/doom-one.nvim/,,
https://github.com/Mofiqul/dracula.nvim/,HEAD,
https://github.com/stevearc/dressing.nvim/,,
https://github.com/Bekaboo/dropbar.nvim/,HEAD,
@@ -272,6 +286,7 @@ https://github.com/dmix/elvish.vim/,,
https://github.com/mattn/emmet-vim/,,
https://github.com/vim-scripts/emodeline/,,
https://github.com/vim-scripts/errormarker.vim/,,
+https://github.com/hachy/eva01.vim/,,
https://github.com/sainnhe/everforest/,,
https://github.com/google/executor.nvim/,HEAD,
https://github.com/jinh0/eyeliner.nvim/,HEAD,
@@ -290,6 +305,7 @@ https://github.com/glacambre/firenvim/,HEAD,
https://github.com/andviro/flake8-vim/,,
https://github.com/folke/flash.nvim/,HEAD,
https://github.com/willothy/flatten.nvim/,HEAD,
+https://github.com/felipeagc/fleet-theme-nvim/,,
https://github.com/ggandor/flit.nvim/,HEAD,
https://github.com/ncm2/float-preview.nvim/,,
https://github.com/liangxianzhe/floating-input.nvim/,HEAD,
@@ -335,6 +351,7 @@ https://github.com/rmagatti/goto-preview/,,
https://github.com/junegunn/goyo.vim/,,
https://github.com/brymer-meneses/grammar-guard.nvim/,HEAD,
https://github.com/liuchengxu/graphviz.vim/,,
+https://github.com/blazkowolf/gruber-darker.nvim/,,
https://github.com/gruvbox-community/gruvbox/,,gruvbox-community
https://github.com/morhetz/gruvbox/,,
https://github.com/eddyekofo94/gruvbox-flat.nvim/,,
@@ -345,6 +362,7 @@ https://github.com/nvimdev/guard.nvim/,HEAD,
https://github.com/nmac427/guess-indent.nvim/,HEAD,
https://github.com/sjl/gundo.vim/,,
https://github.com/junegunn/gv.vim/,,
+https://github.com/TheSnakeWitcher/hardhat.nvim/,HEAD,
https://github.com/m4xshen/hardtime.nvim/,HEAD,
https://git.sr.ht/~sircmpwn/hare.vim,HEAD,
https://github.com/ThePrimeagen/harpoon/,master,
@@ -473,6 +491,8 @@ https://github.com/kaicataldo/material.vim/,HEAD,
https://github.com/vim-scripts/mayansmoke/,,
https://github.com/chikamichi/mediawiki.vim/,HEAD,
https://github.com/savq/melange-nvim/,,
+https://github.com/xero/miasma.nvim/,,
+https://github.com/dasupradyumna/midnight.nvim/,,
https://github.com/phaazon/mind.nvim/,HEAD,
https://github.com/echasnovski/mini.nvim/,,
https://github.com/wfxr/minimap.vim/,,
@@ -512,6 +532,7 @@ https://github.com/Shougo/neco-vim/,,
https://github.com/nvim-neo-tree/neo-tree.nvim/,HEAD,
https://github.com/Shougo/neocomplete.vim/,,
https://github.com/folke/neoconf.nvim/,HEAD,
+https://github.com/IogaMaster/neocord/,main,
https://github.com/KeitaNakamura/neodark.vim/,,
https://github.com/folke/neodev.nvim/,HEAD,
https://github.com/sbdchd/neoformat/,,
@@ -529,15 +550,22 @@ https://github.com/Shougo/neosnippet-snippets/,,
https://github.com/Shougo/neosnippet.vim/,,
https://github.com/kassio/neoterm/,,
https://github.com/nvim-neotest/neotest/,HEAD,
+https://github.com/rcasia/neotest-bash/,HEAD,
https://github.com/sidlatau/neotest-dart/,HEAD,
https://github.com/MarkEmmons/neotest-deno/,HEAD,
https://github.com/Issafalcon/neotest-dotnet/,HEAD,
https://github.com/jfpedroza/neotest-elixir/,HEAD,
+https://github.com/llllvvuu/neotest-foundry/,HEAD,
https://github.com/nvim-neotest/neotest-go/,HEAD,
+https://github.com/weilbith/neotest-gradle/,HEAD,
+https://github.com/alfaix/neotest-gtest/,HEAD,
https://github.com/MrcJkb/neotest-haskell/,HEAD,
+https://github.com/rcasia/neotest-java/,HEAD,
https://github.com/haydenmeade/neotest-jest/,HEAD,
+https://github.com/zidhuss/neotest-minitest/,HEAD,
https://github.com/theutz/neotest-pest/,HEAD,
https://github.com/olimorris/neotest-phpunit/,HEAD,
+https://github.com/thenbe/neotest-playwright/,HEAD,
https://github.com/nvim-neotest/neotest-plenary/,HEAD,
https://github.com/nvim-neotest/neotest-python/,HEAD,
https://github.com/olimorris/neotest-rspec/,HEAD,
@@ -545,6 +573,7 @@ https://github.com/rouge8/neotest-rust/,HEAD,
https://github.com/stevanmilic/neotest-scala/,HEAD,
https://github.com/shunsambongi/neotest-testthat/,HEAD,
https://github.com/marilari88/neotest-vitest/,HEAD,
+https://github.com/lawrence-laz/neotest-zig/,HEAD,
https://github.com/rose-pine/neovim/,main,rose-pine
https://github.com/Shatur/neovim-ayu/,,
https://github.com/cloudhead/neovim-fuzzy/,,
@@ -558,14 +587,19 @@ https://github.com/oberblastmeister/neuron.nvim/,,
https://github.com/fiatjaf/neuron.vim/,,
https://github.com/Olical/nfnl/,main,
https://github.com/chr4/nginx.vim/,,
+https://github.com/oxfist/night-owl.nvim/,,
https://github.com/EdenEast/nightfox.nvim/,,
+https://github.com/Alexis12119/nightly.nvim/,,
https://github.com/zah/nim.vim/,,
https://github.com/figsoda/nix-develop.nvim/,HEAD,
https://github.com/tamago324/nlsp-settings.nvim/,main,
https://github.com/mcchrish/nnn.vim/,,
+https://github.com/aktersnurra/no-clown-fiesta.nvim/,,
https://github.com/shortcuts/no-neck-pain.nvim/,HEAD,
+https://github.com/kartikp10/noctis.nvim/,,
https://github.com/folke/noice.nvim/,HEAD,
https://github.com/nvimtools/none-ls.nvim/,HEAD,
+https://github.com/gbprod/nord.nvim/,,gbprod-nord
https://github.com/shaunsingh/nord.nvim/,,
https://github.com/andersevenrud/nordic.nvim/,,
https://github.com/vigoux/notifier.nvim/,HEAD,
@@ -574,13 +608,12 @@ https://github.com/MunifTanjim/nui.nvim/,main,
https://github.com/jose-elias-alvarez/null-ls.nvim/,,
https://github.com/nacro90/numb.nvim/,,
https://github.com/nvchad/nvchad/,HEAD,
-https://github.com/altermo/ultimate-autopair.nvim.git,HEAD,
https://github.com/ChristianChiarulli/nvcode-color-schemes.vim/,,
https://github.com/catppuccin/nvim/,,catppuccin-nvim
https://github.com/AckslD/nvim-FeMaco.lua/,HEAD,
https://github.com/nathanmsmith/nvim-ale-diagnostic/,,
https://github.com/windwp/nvim-autopairs/,,
-https://github.com/RRethy/nvim-base16/,,
+https://github.com/Canop/nvim-bacon/,HEAD,
https://github.com/code-biscuits/nvim-biscuits/,HEAD,
https://github.com/kevinhwang91/nvim-bqf/,,
https://github.com/ojroques/nvim-bufdel/,,
@@ -674,10 +707,12 @@ https://github.com/mhartington/oceanic-next/,,
https://github.com/pwntester/octo.nvim/,,
https://github.com/stevearc/oil.nvim/,HEAD,
https://github.com/nomnivore/ollama.nvim/,HEAD,
+https://github.com/yonlu/omni.vim/,,
https://github.com/Hoffs/omnisharp-extended-lsp.nvim/,HEAD,
https://github.com/Th3Whit3Wolf/one-nvim/,,
https://github.com/navarasu/onedark.nvim/,,
https://github.com/joshdick/onedark.vim/,,
+https://github.com/LunarVim/onedarker.nvim/,,
https://github.com/olimorris/onedarkpro.nvim/,,
https://github.com/sonph/onehalf/,,
https://github.com/rmehri01/onenord.nvim/,main,
@@ -688,11 +723,13 @@ https://github.com/salkin-mada/openscad.nvim/,HEAD,
https://github.com/nvim-orgmode/orgmode/,,
https://github.com/rgroli/other.nvim/,HEAD,
https://github.com/jmbuhr/otter.nvim/,,
+https://github.com/hedyhli/outline.nvim/,HEAD,
https://github.com/stevearc/overseer.nvim/,HEAD,
https://github.com/nyoom-engineering/oxocarbon.nvim/,HEAD,
https://github.com/vuki656/package-info.nvim/,,
https://github.com/wbthomason/packer.nvim/,,
https://github.com/drewtempelmeyer/palenight.vim/,,
+https://github.com/JoosepAlviste/palenightfall.nvim/,,
https://github.com/roobert/palette.nvim/,HEAD,
https://github.com/NLKNguyen/papercolor-theme/,,
https://github.com/tmsvg/pear-tree/,,
@@ -795,11 +832,13 @@ https://github.com/srcery-colors/srcery-vim/,,
https://github.com/chr4/sslsecure.vim/,,
https://github.com/cshuaimin/ssr.nvim/,HEAD,
https://github.com/luukvbaal/stabilize.nvim/,,
+https://github.com/tamton-aquib/staline.nvim/,main,
https://github.com/eigenfoo/stan-vim/,,
https://github.com/josegamez82/starrynight/,HEAD,
https://github.com/darfink/starsearch.vim/,,
https://github.com/startup-nvim/startup.nvim/,HEAD,
https://github.com/luukvbaal/statuscol.nvim/,,
+https://github.com/folke/styler.nvim/,,
https://github.com/teto/stylish.nvim/,HEAD,
https://github.com/gbprod/substitute.nvim/,HEAD,
https://github.com/kvrohit/substrata.nvim/,HEAD,
@@ -877,6 +916,7 @@ https://github.com/akinsho/toggleterm.nvim/,,
https://github.com/folke/tokyonight.nvim/,,
https://github.com/markonm/traces.vim/,,
https://github.com/tjdevries/train.nvim/,,
+https://github.com/xiyaowong/transparent.nvim/,HEAD,
https://github.com/Wansmer/treesj/,main,
https://github.com/tremor-rs/tremor-vim/,,
https://github.com/cappyzawa/trim.nvim/,,
@@ -890,6 +930,7 @@ https://github.com/leafgarland/typescript-vim/,,
https://github.com/jose-elias-alvarez/typescript.nvim/,,
https://github.com/kaarmu/typst.vim/,HEAD,
https://github.com/nvchad/ui/,HEAD,nvchad-ui
+https://github.com/altermo/ultimate-autopair.nvim/,HEAD,
https://github.com/SirVer/ultisnips/,,
https://github.com/mbbill/undotree/,,
https://github.com/chrisbra/unicode.vim/,,
@@ -1076,6 +1117,7 @@ https://github.com/GEverding/vim-hocon/,,
https://github.com/Twinside/vim-hoogle/,,
https://github.com/ntk148v/vim-horizon/,,
https://github.com/jonsmithers/vim-html-template-literals/,,
+https://github.com/humanoid-colors/vim-humanoid-colorscheme/,,
https://github.com/vim-utils/vim-husk/,,
https://github.com/w0ng/vim-hybrid/,,
https://github.com/kristijanhusak/vim-hybrid-material/,,
@@ -1260,6 +1302,7 @@ https://github.com/svermeulen/vim-subversive/,,
https://github.com/tpope/vim-surround/,,
https://github.com/evanleck/vim-svelte/,,
https://github.com/machakann/vim-swap/,,
+https://github.com/TabbyML/vim-tabby/,HEAD,
https://github.com/dhruvasagar/vim-table-mode/,,
https://github.com/kana/vim-tabpagecd/,,
https://github.com/tpope/vim-tbone/,,
@@ -1332,6 +1375,7 @@ https://github.com/puremourning/vimspector/,,
https://github.com/lervag/vimtex/,,
https://github.com/preservim/vimux/,,
https://github.com/vimwiki/vimwiki/,,
+https://github.com/lukas-reineke/virt-column.nvim/,HEAD,
https://github.com/jubnzv/virtual-types.nvim/,HEAD,
https://github.com/vim-scripts/vis/,,
https://github.com/navicore/vissort.vim/,,
@@ -1365,6 +1409,7 @@ https://github.com/KabbAmine/zeavim.vim/,,
https://github.com/folke/zen-mode.nvim/,,
https://github.com/mcchrish/zenbones.nvim/,HEAD,
https://github.com/jnurmine/zenburn/,,
+https://github.com/phha/zenburn.nvim/,,phha-zenburn
https://github.com/glepnir/zephyr-nvim/,,
https://github.com/ziglang/zig.vim/,,
https://github.com/mickael-menu/zk-nvim/,HEAD,
diff --git a/pkgs/applications/editors/vscode/extensions/chenglou92.rescript-vscode/default.nix b/pkgs/applications/editors/vscode/extensions/chenglou92.rescript-vscode/default.nix
index b6273ff3a584..34f892df6c3b 100644
--- a/pkgs/applications/editors/vscode/extensions/chenglou92.rescript-vscode/default.nix
+++ b/pkgs/applications/editors/vscode/extensions/chenglou92.rescript-vscode/default.nix
@@ -1,6 +1,6 @@
{ lib, stdenv, vscode-utils, callPackage }:
let
- version = "1.16.0";
+ version = "1.50.0";
rescript-editor-analysis = callPackage ./rescript-editor-analysis.nix { inherit version; };
arch =
if stdenv.isLinux then "linux"
@@ -13,7 +13,7 @@ vscode-utils.buildVscodeMarketplaceExtension rec {
name = "rescript-vscode";
publisher = "chenglou92";
inherit version;
- sha256 = "sha256-JoC9+NkbLAZXkOKDDMB0Xgzmn+w90pHcokerMrdACi4=";
+ sha256 = "sha256-Dt7mqZQ/vEUFyUD5SsY6RGzg9kq19/Amksrwtfv0TuE=";
};
postPatch = ''
rm -r ${analysisDir}
diff --git a/pkgs/applications/editors/vscode/extensions/contextmapper.context-mapper-vscode-extension/default.nix b/pkgs/applications/editors/vscode/extensions/contextmapper.context-mapper-vscode-extension/default.nix
index 077b522b59e2..6d5e53be1cbf 100644
--- a/pkgs/applications/editors/vscode/extensions/contextmapper.context-mapper-vscode-extension/default.nix
+++ b/pkgs/applications/editors/vscode/extensions/contextmapper.context-mapper-vscode-extension/default.nix
@@ -9,8 +9,8 @@ vscode-utils.buildVscodeMarketplaceExtension rec {
mktplcRef = {
name = "context-mapper-vscode-extension";
publisher = "contextmapper";
- version = "6.7.0";
- sha256 = "sha256-vlDVqn1Je0eo5Nf2gyotSvhIa07tWCINe79RZSyMzcA=";
+ version = "6.11.0";
+ sha256 = "sha256-TvApcBBI+Egu7t4tJuEYTs6mhvABOY2eXVb57O4gWfs=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/editors/vscode/extensions/default.nix b/pkgs/applications/editors/vscode/extensions/default.nix
index 322e9c583930..cf91adbc27df 100644
--- a/pkgs/applications/editors/vscode/extensions/default.nix
+++ b/pkgs/applications/editors/vscode/extensions/default.nix
@@ -18,6 +18,7 @@
, clojure-lsp
, alejandra
, millet
+, craftos-pc
, shfmt
, typst-lsp
, typst-preview
@@ -40,6 +41,22 @@ let
#
baseExtensions = self: lib.mapAttrs (_n: lib.recurseIntoAttrs)
{
+ "13xforever".language-x86-64-assembly = buildVscodeMarketplaceExtension {
+ mktplcRef = {
+ name = "language-x86-64-assembly";
+ publisher = "13xforever";
+ version = "3.1.4";
+ sha256 = "sha256-FJRDm1H3GLBfSKBSFgVspCjByy9m+j9OStlU+/pMfs8=";
+ };
+ meta = {
+ description = "Cutting edge x86 and x86_64 assembly syntax highlighting";
+ downloadPage = "https://marketplace.visualstudio.com/items?itemName=13xforever.language-x86-64-assembly";
+ homepage = "https://github.com/13xforever/x86_64-assembly-vscode";
+ license = lib.licenses.mit;
+ maintainers = [ lib.maintainers.themaxmur ];
+ };
+ };
+
"1Password".op-vscode = buildVscodeMarketplaceExtension {
mktplcRef = {
publisher = "1Password";
@@ -86,6 +103,23 @@ let
};
};
+ "42crunch".vscode-openapi = buildVscodeMarketplaceExtension {
+ mktplcRef = {
+ publisher = "42Crunch";
+ name = "vscode-openapi";
+ version = "4.25.1";
+ sha256 = "+hKQUJp9c0oyhePFmQEXAqtqKL3fkQ1nhopUPnhRZc4=";
+ };
+ meta = {
+ changelog = "https://marketplace.visualstudio.com/items/42Crunch.vscode-openapi/changelog";
+ description = "A Visual Studio Code extension with rich support for the OpenAPI Specification (OAS).";
+ downloadPage = "https://marketplace.visualstudio.com/items?itemName=42Crunch.vscode-openapi";
+ homepage = "https://github.com/42Crunch/vscode-openapi";
+ license = lib.licenses.gpl3;
+ maintainers = [ lib.maintainers.benhiemer ];
+ };
+ };
+
a5huynh.vscode-ron = buildVscodeMarketplaceExtension {
mktplcRef = {
name = "vscode-ron";
@@ -98,6 +132,23 @@ let
};
};
+ aaron-bond.better-comments = buildVscodeMarketplaceExtension {
+ mktplcRef = {
+ name = "better-comments";
+ publisher = "aaron-bond";
+ version = "3.0.2";
+ sha256 = "850980f0f5a37f635deb4bf9100baaa83f0b204bbbb25acdb3c96e73778f8197";
+ };
+ meta = {
+ changelog = "https://marketplace.visualstudio.com/items/aaron-bond.better-comments/changelog";
+ description = "Improve your code commenting by annotating with alert, informational, TODOs, and more!";
+ downloadPage = "https://marketplace.visualstudio.com/items?itemName=aaron-bond.better-comments";
+ homepage = "https://github.com/aaron-bond/better-comments";
+ license = lib.licenses.mit;
+ maintainers = [ lib.maintainers.DataHearth ];
+ };
+ };
+
adpyke.codesnap = buildVscodeMarketplaceExtension {
mktplcRef = {
name = "codesnap";
@@ -173,6 +224,23 @@ let
};
};
+ alexisvt.flutter-snippets = buildVscodeMarketplaceExtension {
+ mktplcRef = {
+ name = "flutter-snippets";
+ publisher = "alexisvt";
+ version = "3.0.0";
+ sha256 = "44ac46f826625f0a4aec40f2542f32c161e672ff96f45a548d0bccd9feed04ef";
+ };
+ meta = {
+ changelog = "https://marketplace.visualstudio.com/items/alexisvt.flutter-snippets/changelog";
+ description = "A set of helpful widget snippets for day to day Flutter development";
+ downloadPage = "https://marketplace.visualstudio.com/items?itemName=alexisvt.flutter-snippets";
+ homepage = "https://github.com/Alexisvt/flutter-snippets";
+ license = lib.licenses.mit;
+ maintainers = [ lib.maintainers.DataHearth ];
+ };
+ };
+
alygin.vscode-tlaplus = buildVscodeMarketplaceExtension {
mktplcRef = {
name = "vscode-tlaplus";
@@ -359,8 +427,8 @@ let
mktplcRef = {
name = "vscode-neovim";
publisher = "asvetliakov";
- version = "1.5.0";
- sha256 = "1glad9xmzq58jc7js8afjmqrxgd3rqm80fk528wv5kqcmn90bgk3";
+ version = "1.7.1";
+ sha256 = "0ib4sjk7r370ckvaqb4yzgy7csy8pli9z2jhibhhwwcq2748ah4q";
};
meta = {
changelog = "https://marketplace.visualstudio.com/items/asvetliakov.vscode-neovim/changelog";
@@ -753,22 +821,57 @@ let
};
charliermarsh.ruff = buildVscodeMarketplaceExtension {
- mktplcRef = {
+ mktplcRef = let
+ sources = {
+ "x86_64-linux" = {
+ arch = "linux-x64";
+ sha256 = "sha256-2c0tH/MlDOqeyffcV8ZCy4woogBTcf1GCuPPO8JXaWc=";
+ };
+ "x86_64-darwin" = {
+ arch = "darwin-x64";
+ sha256 = "sha256-euvGIlO7931N56R5BWKu3F9nSEoDgf+DXk7Hgl1qSUw=";
+ };
+ "aarch64-linux" = {
+ arch = "linux-arm64";
+ sha256 = "sha256-dGpIHChnfrQbxRZDuoAi4imgStyyPdxdvTQ3lknMYu0=";
+ };
+ "aarch64-darwin" = {
+ arch = "darwin-arm64";
+ sha256 = "sha256-tElX4C0I5AmpxSHMtqOsxSAUImD1tqArB5fnvhw4LFc=";
+ };
+ };
+ in {
name = "ruff";
publisher = "charliermarsh";
- version = "2023.60.0";
- sha256 = "sha256-qgwud2gzHLHID45VxDlngFMoks5O3pTHQe+Q7bdf8+I=";
- };
+ version = "2024.4.0";
+ } // sources.${stdenv.system} or (throw "Unsupported system ${stdenv.system}");
meta = {
license = lib.licenses.mit;
- changelog = "https://github.com/astral-sh/ruff-vscode/releases";
- description = "Ruff extension for Visual Studio Code";
+ changelog = "https://marketplace.visualstudio.com/items/charliermarsh.ruff/changelog";
+ description = "A Visual Studio Code extension with support for the Ruff linter.";
downloadPage = "https://marketplace.visualstudio.com/items?itemName=charliermarsh.ruff";
- homepage = "https://github.com/astral-sh/ruff-vscode/";
+ homepage = "https://github.com/astral-sh/ruff-vscode";
maintainers = [ lib.maintainers.azd325 ];
};
};
+ christian-kohler.npm-intellisense = buildVscodeMarketplaceExtension {
+ mktplcRef = {
+ name = "npm-intellisense";
+ publisher = "christian-kohler";
+ version = "1.4.5";
+ sha256 = "962b851a7cafbd51f34afeb4a0b91e985caff3947e46218a12b448533d8f60ab";
+ };
+ meta = {
+ changelog = "https://marketplace.visualstudio.com/items/christian-kohler.npm-intellisense/changelog";
+ description = "Visual Studio Code plugin that autocompletes npm modules in import statements";
+ downloadPage = "https://marketplace.visualstudio.com/items?itemName=christian-kohler.npm-intellisense";
+ homepage = "https://github.com/ChristianKohler/NpmIntellisense";
+ license = lib.licenses.mit;
+ maintainers = [ lib.maintainers.DataHearth ];
+ };
+ };
+
chenglou92.rescript-vscode = callPackage ./chenglou92.rescript-vscode { };
chris-hayes.chatgpt-reborn = buildVscodeMarketplaceExtension {
@@ -886,6 +989,54 @@ let
contextmapper.context-mapper-vscode-extension = callPackage ./contextmapper.context-mapper-vscode-extension { };
+ continue.continue = buildVscodeMarketplaceExtension {
+ mktplcRef =
+ let
+ sources = {
+ "x86_64-linux" = {
+ arch = "linux-x64";
+ sha256 = "05kh6sf3jv3510q33chf8s5n1kfp9wcm7650va7mcrdkfr9g8ysq";
+ };
+ "x86_64-darwin" = {
+ arch = "darwin-x64";
+ sha256 = "0242h9kq47qvs1xynr5x8dzxkc5pwgb6km0iqpyy9kydg8ng1vp3";
+ };
+ "aarch64-linux" = {
+ arch = "linux-arm64";
+ sha256 = "1qm3f2lh8mi3hnyp2bmx7j2lir6fmbbxkzh6b8zf579khhbapnaz";
+ };
+ "aarch64-darwin" = {
+ arch = "darwin-arm64";
+ sha256 = "18w22z1c5qgkpw2zlwmi9gs9dx1pcm51f0r8my7ynnvgl6mp12sg";
+ };
+ };
+ in
+ {
+ name = "continue";
+ publisher = "Continue";
+ version = "0.8.12";
+ } // sources.${stdenv.system};
+ nativeBuildInputs = [
+ autoPatchelfHook
+ ];
+ buildInputs = [
+ stdenv.cc.cc.lib
+ ];
+ postInstall = ''
+ cd "$out/$installPrefix"
+ substituteInPlace "out/extension.js" \
+ --replace-fail 'await showTutorial();' '//await showTutorial();'
+ '';
+ meta = {
+ description = "Open-source autopilot for software development - bring the power of ChatGPT to your IDE";
+ downloadPage = "https://marketplace.visualstudio.com/items?itemName=Continue.continue";
+ homepage = "https://github.com/continuedev/continue";
+ license = lib.licenses.asl20;
+ maintainers = [ lib.maintainers.raroh73 ];
+ platforms = [ "x86_64-linux" "x86_64-darwin" "aarch64-darwin" "aarch64-linux" ];
+ };
+ };
+
coolbear.systemd-unit-file = buildVscodeMarketplaceExtension {
mktplcRef = {
publisher = "coolbear";
@@ -899,6 +1050,22 @@ let
};
};
+ cweijan.dbclient-jdbc = buildVscodeMarketplaceExtension {
+ mktplcRef = {
+ name = "dbclient-jdbc";
+ publisher = "cweijan";
+ version = "1.3.4";
+ sha256 = "sha256-qknooeedRhTvEWSuGXFoO/BczGanYCdMr7WWjthxG+k=";
+ };
+ meta = {
+ description = "JDBC Adapter For Database Client";
+ downloadPage = "https://marketplace.visualstudio.com/items?itemName=cweijan.dbclient-jdbc";
+ homepage = "https://github.com/database-client/jdbc-adapter-server";
+ license = lib.licenses.mit;
+ maintainers = [ lib.maintainers.themaxmur ];
+ };
+ };
+
cweijan.vscode-database-client2 = buildVscodeMarketplaceExtension {
mktplcRef = {
name = "vscode-database-client2";
@@ -1234,12 +1401,12 @@ let
# semver scheme, contrary to preview versions which are listed on
# the VSCode Marketplace and use a calver scheme. We should avoid
# using preview versions, because they expire after two weeks.
- version = "14.1.1";
- sha256 = "sha256-eSN48IudpHYzT4u+S4b2I2pyEPyOwBCSL49awT/mzEE=";
+ version = "14.9.0";
+ sha256 = "sha256-Z6KeIUw1SLZ4tUgs7sU9IJO/6diozPxQuTbXr6DayHA=";
};
meta = {
changelog = "https://marketplace.visualstudio.com/items/eamodio.gitlens/changelog";
- description = "GitLens supercharges the Git capabilities built into Visual Studio Code.";
+ description = "A Visual Studio Code extension that improves its built-in Git capabilities";
longDescription = ''
Supercharge the Git capabilities built into Visual Studio Code — Visualize code authorship at a glance via Git
blame annotations and code lens, seamlessly navigate and explore Git repositories, gain valuable insights via
@@ -1252,6 +1419,40 @@ let
};
};
+ earthly.earthfile-syntax-highlighting = buildVscodeMarketplaceExtension {
+ mktplcRef = {
+ name = "earthfile-syntax-highlighting";
+ publisher = "earthly";
+ version = "0.0.16";
+ sha256 = "c54d6fd4d2f503a1031be92ff118b5eb1b997907511734e730e08b1a90a6960f";
+ };
+ meta = {
+ changelog = "https://marketplace.visualstudio.com/items/earthly.earthfile-syntax-highlighting/changelog";
+ description = "Syntax highlighting for Earthly build Earthfiles.";
+ downloadPage = "https://marketplace.visualstudio.com/items?itemName=earthly.earthfile-syntax-highlighting";
+ homepage = "https://github.com/earthly/earthfile-grammar";
+ license = lib.licenses.mpl20;
+ maintainers = [ lib.maintainers.DataHearth ];
+ };
+ };
+
+ ecmel.vscode-html-css = buildVscodeMarketplaceExtension {
+ mktplcRef = {
+ name = "vscode-html-css";
+ publisher = "ecmel";
+ version = "2.0.9";
+ sha256 = "7c30d57d2ff9986bd5daa2c9f51ec4bb04239ca23a51e971a63f7b93d005d297";
+ };
+ meta = {
+ changelog = "https://marketplace.visualstudio.com/items/ecmel.vscode-html-css/changelog";
+ description = "CSS Intellisense for HTML";
+ downloadPage = "https://marketplace.visualstudio.com/items?itemName=ecmel.vscode-html-css";
+ homepage = "https://github.com/ecmel/vscode-html-css";
+ license = lib.licenses.mit;
+ maintainers = [ lib.maintainers.DataHearth ];
+ };
+ };
+
editorconfig.editorconfig = buildVscodeMarketplaceExtension {
mktplcRef = {
name = "EditorConfig";
@@ -1297,8 +1498,8 @@ let
mktplcRef = {
name = "elixir-ls";
publisher = "JakeBecker";
- version = "0.19.0";
- sha256 = "sha256-31eenBOVUEY3MFaVmAjZsypr7U0d6IfVR3ZJfDqi3OY=";
+ version = "0.20.0";
+ sha256 = "sha256-p+YNBRzzA/EezBMxI5Rmdb8SdJgFV7QwuLVi1mcJV+E=";
};
meta = {
changelog = "https://marketplace.visualstudio.com/items/JakeBecker.elixir-ls/changelog";
@@ -1371,20 +1572,21 @@ let
};
};
- equinusocio.vsc-material-theme = buildVscodeMarketplaceExtension {
+ equinusocio.vsc-material-theme = callPackage ./equinusocio.vsc-material-theme { };
+
+ equinusocio.vsc-material-theme-icons = buildVscodeMarketplaceExtension {
mktplcRef = {
- name = "vsc-material-theme";
+ name = "vsc-material-theme-icons";
publisher = "Equinusocio";
- version = "33.8.0";
- sha256 = "sha256-+I4AUwsrElT62XNvmuAC2iBfHfjNYY0bmAqzQvfwUYM=";
+ version = "3.5.0";
+ sha256 = "sha256-XqtyZVlsPaPkKB9HdigKSXjCwqXe9wzJWeRcPpS6EVM=";
};
meta = {
- changelog = "https://marketplace.visualstudio.com/items/Equinusocio.vsc-material-theme/changelog";
- description = "The most epic theme now for Visual Studio Code";
- downloadPage = "https://marketplace.visualstudio.com/items?itemName=Equinusocio.vsc-material-theme";
- homepage = "https://github.com/material-theme/vsc-material-theme";
+ description = "Material Theme Icons, the most epic icons theme for Visual Studio Code and Material Theme.";
+ downloadPage = "https://marketplace.visualstudio.com/items?itemName=Equinusocio.vsc-material-theme-icons";
+ homepage = "https://github.com/material-theme/vsc-material-theme-icons";
license = lib.licenses.asl20;
- maintainers = [ lib.maintainers.stunkymonkey ];
+ maintainers = [ lib.maintainers.themaxmur ];
};
};
@@ -1392,8 +1594,8 @@ let
mktplcRef = {
name = "prettier-vscode";
publisher = "esbenp";
- version = "10.1.0";
- sha256 = "sha256-SQuf15Jq84MKBVqK6UviK04uo7gQw9yuw/WEBEXcQAc=";
+ version = "10.4.0";
+ sha256 = "sha256-8+90cZpqyH+wBgPFaX5GaU6E02yBWUoB+T9C2z2Ix8c=";
};
meta = {
changelog = "https://marketplace.visualstudio.com/items/esbenp.prettier-vscode/changelog";
@@ -1486,6 +1688,22 @@ let
};
};
+ firsttris.vscode-jest-runner = buildVscodeMarketplaceExtension {
+ mktplcRef = {
+ name = "vscode-jest-runner";
+ publisher = "firsttris";
+ version = "0.4.72";
+ sha256 = "sha256-1nUpOXdteWsyFYJ2uATCcr1SUbeusmbpa09Bkw9/TZM=";
+ };
+ meta = {
+ description = "Simple way to run or debug a single (or multiple) tests from context-menu";
+ downloadPage = "https://marketplace.visualstudio.com/items?itemName=firsttris.vscode-jest-runner";
+ homepage = "https://github.com/firsttris/vscode-jest-runner";
+ license = lib.licenses.mit;
+ maintainers = [ lib.maintainers.themaxmur ];
+ };
+ };
+
foam.foam-vscode = buildVscodeMarketplaceExtension {
mktplcRef = {
name = "foam-vscode";
@@ -1543,8 +1761,8 @@ let
mktplcRef = {
name = "shell-format";
publisher = "foxundermoon";
- version = "7.1.0";
- sha256 = "09z72mdr5bfdcb67xyzlv7lb9vyjlc3k9ackj4jgixfk40c68cnj";
+ version = "7.2.5";
+ sha256 = "sha256-kfpRByJDcGY3W9+ELBzDOUMl06D/vyPlN//wPgQhByk=";
};
nativeBuildInputs = [ jq moreutils ];
@@ -1649,8 +1867,8 @@ let
mktplcRef = {
publisher = "github";
name = "copilot";
- version = "1.156.691";
- sha256 = "sha256-K7lzwfgqb0gUJAivro/ePaQetM31M+zTBRZMBy92ZuA=";
+ version = "1.172.758";
+ sha256 = "sha256-sK3IiA4mQ6Hse+UpZ81Zb5iBSREzTrs7ypsfGbJiXm4=";
};
meta = {
@@ -1666,8 +1884,8 @@ let
mktplcRef = {
publisher = "github";
name = "copilot-chat";
- version = "0.12.2024013003"; # latest version compatible with vscode 1.86
- sha256 = "sha256-4ArWVFko2T6ze/i+HTdXAioWC7euWCycDsQxFTrEtUw=";
+ version = "0.13.2024022301"; # compatible with vscode >= 1.87
+ sha256 = "sha256-WdojLEdrg6iqTH/cNPEWb6VEfk+gIHh2M5GHrAURjy8=";
};
meta = {
description = "GitHub Copilot Chat is a companion extension to GitHub Copilot that houses experimental chat features";
@@ -1850,6 +2068,38 @@ let
};
};
+ hars.cppsnippets = buildVscodeMarketplaceExtension {
+ mktplcRef = {
+ name = "cppsnippets";
+ publisher = "hars";
+ version = "0.0.15";
+ sha256 = "sha256-KXdEKcxPclbD22aKGAKSmdpVBZP2IpQRaKfc2LDsL0U=";
+ };
+ meta = {
+ description = "Code snippets for C/C++";
+ downloadPage = "https://marketplace.visualstudio.com/items?itemName=hars.CppSnippets";
+ homepage = "https://github.com/one-harsh/vscode-cpp-snippets";
+ license = lib.licenses.mit;
+ maintainers = [ lib.maintainers.themaxmur ];
+ };
+ };
+
+ hashicorp.hcl = buildVscodeMarketplaceExtension {
+ mktplcRef = {
+ name = "HCL";
+ publisher = "HashiCorp";
+ version = "0.3.2";
+ sha256 = "sha256-cxF3knYY29PvT3rkRS8SGxMn9vzt56wwBXpk2PqO0mo=";
+ };
+ meta = {
+ description = "HashiCorp HCL syntax";
+ downloadPage = "https://marketplace.visualstudio.com/items?itemName=HashiCorp.HCL";
+ homepage = "https://github.com/hashicorp/vscode-hcl";
+ license = lib.licenses.mpl20;
+ maintainers = [ lib.maintainers.themaxmur ];
+ };
+ };
+
hashicorp.terraform = callPackage ./hashicorp.terraform { };
haskell.haskell = buildVscodeMarketplaceExtension {
@@ -1864,6 +2114,22 @@ let
};
};
+ hediet.vscode-drawio = buildVscodeMarketplaceExtension {
+ mktplcRef = {
+ name = "vscode-drawio";
+ publisher = "hediet";
+ version = "1.6.6";
+ sha256 = "sha256-SPcSnS7LnRL5gdiJIVsFaN7eccrUHSj9uQYIQZllm0M=";
+ };
+ meta = {
+ description = "This unofficial extension integrates Draw.io into VS Code.";
+ downloadPage = "https://marketplace.visualstudio.com/items?itemName=hediet.vscode-drawio";
+ homepage = "https://github.com/hediet/vscode-drawio";
+ license = lib.licenses.gpl3Only;
+ maintainers = [ lib.maintainers.themaxmur ];
+ };
+ };
+
hookyqr.beautify = buildVscodeMarketplaceExtension {
mktplcRef = {
name = "beautify";
@@ -1968,8 +2234,8 @@ let
mktplcRef = {
name = "Ionide-fsharp";
publisher = "Ionide";
- version = "7.17.0";
- sha256 = "sha256-CC6ySeuO61O/mAkQYGoK/1cd4hlyS0vG+Lqv0HQ7K6c=";
+ version = "7.18.2";
+ sha256 = "sha256-CEeTLiZktp5YzCRxDXa+s8W9N971iQla/FyCr8Co0SQ=";
};
meta = {
changelog = "https://marketplace.visualstudio.com/items/Ionide.Ionide-fsharp/changelog";
@@ -1997,6 +2263,39 @@ let
};
};
+ jackmacwindows.craftos-pc = buildVscodeMarketplaceExtension {
+ mktplcRef = {
+ name = "craftos-pc";
+ publisher = "jackmacwindows";
+ version = "1.2.2";
+ sha256 = "sha256-A+MNroXv0t9Mw/gr0Fyov3cXyF/GGzwRLKrIxQ2tKCE=";
+ };
+ nativeBuildInputs = [ jq moreutils ];
+ postInstall = ''
+ cd "$out/$installPrefix"
+
+ jq -e '
+ .contributes.configuration.properties."craftos-pc.executablePath.linux".default =
+ "${lib.meta.getExe craftos-pc}" |
+ .contributes.configuration.properties."craftos-pc.executablePath.mac".default =
+ "${lib.meta.getExe craftos-pc}" |
+ .contributes.configuration.properties."craftos-pc.executablePath.windows".default =
+ "${lib.meta.getExe craftos-pc}"
+ ' \
+ < package.json \
+ | sponge package.json
+ '';
+ meta = {
+ changelog = "https://marketplace.visualstudio.com/items/jackmacwindows.craftos-pc/changelog";
+ description = "A Visual Studio Code extension for opening a CraftOS-PC window";
+ downloadPage = "https://marketplace.visualstudio.com/items?itemName=jackmacwindows.craftos-pc";
+ homepage = "https://www.craftos-pc.cc/docs/extension";
+ license = lib.licenses.mit;
+ maintainers = with lib.maintainers; [ tomodachi94 ];
+ platforms = craftos-pc.meta.platforms;
+ };
+ };
+
james-yu.latex-workshop = buildVscodeMarketplaceExtension {
mktplcRef = {
name = "latex-workshop";
@@ -2220,6 +2519,16 @@ let
};
};
+ karunamurti.haml = buildVscodeMarketplaceExtension {
+ mktplcRef = {
+ name = "haml";
+ publisher = "karunamurti";
+ version = "1.4.1";
+ sha256 = "123cwfajakkg2pr0z4v289fzzlhwbxx9dvb5bjc32l3pzvbhq4gv";
+ };
+ meta.license = lib.licenses.mit;
+ };
+
kddejong.vscode-cfn-lint =
let
inherit (python3Packages) cfn-lint pydot;
@@ -2343,6 +2652,22 @@ let
meta.license = lib.licenses.mit;
};
+ mathiasfrohlich.kotlin = buildVscodeMarketplaceExtension {
+ mktplcRef = {
+ name = "Kotlin";
+ publisher = "mathiasfrohlich";
+ version = "1.7.1";
+ sha256 = "sha256-MuAlX6cdYMLYRX2sLnaxWzdNPcZ4G0Fdf04fmnzQKH4=";
+ };
+ meta = {
+ description = "Kotlin language support for VS Code";
+ downloadPage = "https://marketplace.visualstudio.com/items?itemName=mathiasfrohlich.Kotlin";
+ homepage = "https://github.com/mathiasfrohlich/vscode-kotlin";
+ license = lib.licenses.asl20;
+ maintainers = [ lib.maintainers.themaxmur ];
+ };
+ };
+
matthewpi.caddyfile-support = buildVscodeMarketplaceExtension {
mktplcRef = {
name = "caddyfile-support";
@@ -2411,8 +2736,8 @@ let
mktplcRef = {
name = "typst-preview";
publisher = "mgt19937";
- version = "0.10.5";
- sha256 = "sha256-cR5Pyokzmf8dNlsUkcwwfPx3jtJCQHRwTpYk78ovgrM=";
+ version = "0.11.1";
+ sha256 = "sha256-OsCgkq0OSBu7RZYHlxgy7W7zDNDUgJFSeSET20CX8zA=";
};
buildInputs = [
@@ -2487,6 +2812,23 @@ let
};
};
+ moshfeu.compare-folders = buildVscodeMarketplaceExtension {
+ mktplcRef = {
+ name = "compare-folders";
+ publisher = "moshfeu";
+ version = "0.24.2";
+ sha256 = "sha256-EiGuYRMN8bXq+Cya38U+dCX2W0wzIeP0yb39WBJaX1U=";
+ };
+
+ meta = {
+ changelog = "https://github.com/moshfeu/vscode-compare-folders/releases";
+ description = "The extension allows you to compare folders, show the diffs in a list and present diff in a splitted view side by side";
+ downloadPage = "https://marketplace.visualstudio.com/items?itemName=moshfeu.compare-folders";
+ homepage = "https://github.com/moshfeu/vscode-compare-folders";
+ license = lib.licenses.mit;
+ };
+ };
+
ms-azuretools.vscode-docker = buildVscodeMarketplaceExtension {
mktplcRef = {
name = "vscode-docker";
@@ -2660,6 +3002,22 @@ let
ms-vscode.cpptools = callPackage ./ms-vscode.cpptools { };
+ ms-vscode.cpptools-extension-pack = buildVscodeMarketplaceExtension {
+ mktplcRef = {
+ name = "cpptools-extension-pack";
+ publisher = "ms-vscode";
+ version = "1.3.0";
+ sha256 = "sha256-rHST7CYCVins3fqXC+FYiS5Xgcjmi7QW7M4yFrUR04U=";
+ };
+ meta = {
+ description = "Popular extensions for C++ development in Visual Studio Code.";
+ downloadPage = "https://marketplace.visualstudio.com/items?itemName=ms-vscode.cpptools-extension-pack";
+ homepage = "https://github.com/microsoft/vscode-cpptools";
+ license = lib.licenses.mit;
+ maintainers = [ lib.maintainers.themaxmur ];
+ };
+ };
+
ms-vscode.hexeditor = buildVscodeMarketplaceExtension {
mktplcRef = {
name = "hexeditor";
@@ -2735,8 +3093,8 @@ let
mktplcRef = {
name = "remote-containers";
publisher = "ms-vscode-remote";
- version = "0.305.0";
- sha256 = "sha256-srSRD/wgDbQo9P1uJk8YtcXPZO62keG5kRnp1TmHqOc=";
+ version = "0.347.0";
+ sha256 = "sha256-E9H1nPWG5JuzBxbYc/yWd8Y3azEWrd9whGirl0GK7kU=";
};
meta = {
description = "Open any folder or repository inside a Docker container.";
@@ -2751,6 +3109,23 @@ let
ms-vsliveshare.vsliveshare = callPackage ./ms-vsliveshare.vsliveshare { };
+ mshr-h.veriloghdl = buildVscodeMarketplaceExtension {
+ mktplcRef = {
+ name = "veriloghdl";
+ publisher = "mshr-h";
+ version = "1.13.2";
+ sha256 = "sha256-MOU8zf2qS7P2pQ29w3mvhDc2OvZiH4HNe530BjIiRAA=";
+ };
+ meta = {
+ changelog = "https://marketplace.visualstudio.com/items/mshr-h.VerilogHDL/changelog";
+ description = "A Visual Studio Code extension for supporting Verilog-HDL, SystemVerilog, Bluespec and SystemVerilog";
+ downloadPage = "https://marketplace.visualstudio.com/items?itemName=mshr-h.VerilogHDL";
+ homepage = "https://github.com/mshr-h/vscode-verilog-hdl-support";
+ license = lib.licenses.mit;
+ maintainers = [ lib.maintainers.newam ];
+ };
+ };
+
mskelton.one-dark-theme = buildVscodeMarketplaceExtension {
mktplcRef = {
name = "one-dark-theme";
@@ -2808,6 +3183,22 @@ let
};
};
+ naumovs.theme-oceanicnext = buildVscodeMarketplaceExtension {
+ mktplcRef = {
+ name = "theme-oceanicnext";
+ publisher = "naumovs";
+ version = "0.0.4";
+ sha256 = "sha256-romhWL3s0NVZ3kptSNT4/X9WkgakgNNfFElaBCo6jj4=";
+ };
+ meta = {
+ description = "Oceanic Next theme for VSCode + dimmed bg version for better looking UI";
+ downloadPage = "https://marketplace.visualstudio.com/items?itemName=naumovs.theme-oceanicnext";
+ homepage = "https://github.com/voronianski/oceanic-next-color-scheme";
+ license = lib.licenses.unlicense;
+ maintainers = [ lib.maintainers.themaxmur ];
+ };
+ };
+
njpwerner.autodocstring = buildVscodeMarketplaceExtension {
mktplcRef = {
name = "autodocstring";
@@ -3007,6 +3398,23 @@ let
};
};
+ pythagoratechnologies.gpt-pilot-vs-code = buildVscodeMarketplaceExtension {
+ mktplcRef = {
+ name = "gpt-pilot-vs-code";
+ publisher = "PythagoraTechnologies";
+ version = "0.1.7";
+ sha256 = "sha256-EUddanrB6h5cn3pK2JTkEPffVb06ZMI2qDPh0kFfJjA=";
+ };
+ meta = {
+ changelog = "https://marketplace.visualstudio.com/items/PythagoraTechnologies.gpt-pilot-vs-code/changelog";
+ description = "A VSCode extension for assisting the developer to code, debug, build applications using LLMs/AI";
+ downloadPage = "https://marketplace.visualstudio.com/items?itemName=PythagoraTechnologies.gpt-pilot-vs-code";
+ homepage = "https://github.com/Pythagora-io/gpt-pilot/";
+ license = lib.licenses.asl20;
+ maintainers = [ ];
+ };
+ };
+
quicktype.quicktype = buildVscodeMarketplaceExtension {
mktplcRef = {
name = "quicktype";
@@ -3033,6 +3441,22 @@ let
meta.license = lib.licenses.mit;
};
+ redhat.ansible = buildVscodeMarketplaceExtension {
+ mktplcRef = {
+ name = "ansible";
+ publisher = "redhat";
+ version = "2.12.143";
+ sha256 = "sha256-NEV7sVYJJvapZjk5sylkzijH8qLZ7xzmBzHI7qcj2Ok=";
+ };
+ meta = {
+ description = "Ansible language support";
+ downloadPage = "https://marketplace.visualstudio.com/items?itemName=redhat.ansible";
+ homepage = "https://github.com/ansible/vscode-ansible";
+ license = lib.licenses.mit;
+ maintainers = [ lib.maintainers.themaxmur ];
+ };
+ };
+
redhat.java = buildVscodeMarketplaceExtension {
mktplcRef = {
name = "java";
@@ -3069,6 +3493,22 @@ let
};
};
+ reloadedextensions.reloaded-cpp = buildVscodeMarketplaceExtension {
+ mktplcRef = {
+ name = "reloaded-cpp";
+ publisher = "reloadedextensions";
+ version = "0.1.9";
+ sha256 = "sha256-KQiSD18W9NnsqhRt+XM3ko70u4zX4enn3OpMt0ebViU=";
+ };
+ meta = {
+ description = "C/C++ must-have highlighter that understands many coding styles and APIs. Use with 'Reloaded Themes' extension.";
+ downloadPage = "https://marketplace.visualstudio.com/items?itemName=reloadedextensions.reloaded-cpp";
+ homepage = "https://github.com/kobalicek/reloaded-cpp";
+ license = lib.licenses.mit;
+ maintainers = [ lib.maintainers.themaxmur ];
+ };
+ };
+
richie5um2.snake-trail = buildVscodeMarketplaceExtension {
mktplcRef = {
name = "snake-trail";
@@ -3177,6 +3617,23 @@ let
};
};
+ samuelcolvin.jinjahtml = buildVscodeMarketplaceExtension {
+ mktplcRef = {
+ name = "jinjahtml";
+ publisher = "samuelcolvin";
+ version = "0.20.0";
+ sha256 = "c000cbdc090b7d3d8df62a3c87a5d881c78aca5b490b3e591d9841d788a9aa93";
+ };
+ meta = with lib; {
+ description = "Syntax highlighting for jinja(2) including HTML, Markdown, YAML, Ruby and LaTeX templates";
+ downloadPage = "https://marketplace.visualstudio.com/items?itemName=samuelcolvin.jinjahtml";
+ homepage = "https://github.com/samuelcolvin/jinjahtml-vscode";
+ changelog = "https://marketplace.visualstudio.com/items/samuelcolvin.jinjahtml/changelog";
+ license = licenses.mit;
+ maintainers = [ maintainers.DataHearth ];
+ };
+ };
+
sanaajani.taskrunnercode = buildVscodeMarketplaceExtension {
mktplcRef = {
name = "taskrunnercode";
@@ -3267,8 +3724,8 @@ let
mktplcRef = {
publisher = "shd101wyy";
name = "markdown-preview-enhanced";
- version = "0.8.10";
- sha256 = "sha256-BjTV2uH9QqCS1VJ94XXgzNMJb4FB4Ee+t/5uAQfJCuM=";
+ version = "0.8.12";
+ sha256 = "sha256-4Iq6idux029i7cBV3x79ZRAbSk3ymqx+Q2jv0zV9ZTI=";
};
meta = {
description = "Provides a live preview of markdown using either markdown-it or pandoc";
@@ -3368,6 +3825,23 @@ let
};
};
+ smcpeak.default-keys-windows = buildVscodeMarketplaceExtension {
+ mktplcRef = {
+ name = "default-keys-windows";
+ publisher = "smcpeak";
+ version = "0.0.10";
+ sha256 = "sha256-v1JY5ZGWOfF14H235Y9CLlPwIvmNwCeRhIkdmcgCCFU=";
+ };
+ meta = {
+ changelog = "https://github.com/smcpeak/vscode-default-keys-windows/blob/master/CHANGELOG.md";
+ description = "VSCode extension that provides default Windows keybindings on any platform";
+ downloadPage = "https://marketplace.visualstudio.com/items?itemName=smcpeak.default-keys-windows";
+ homepage = "https://github.com/smcpeak/vscode-default-keys-windows";
+ license = lib.licenses.mit;
+ maintainers = [ ];
+ };
+ };
+
sonarsource.sonarlint-vscode = buildVscodeMarketplaceExtension {
mktplcRef = {
name = "sonarlint-vscode";
@@ -3473,6 +3947,22 @@ let
};
};
+ stylelint.vscode-stylelint = buildVscodeMarketplaceExtension {
+ mktplcRef = {
+ name = "vscode-stylelint";
+ publisher = "stylelint";
+ version = "1.3.0";
+ sha256 = "sha256-JoCa2d0ayBEuCcQi3Z/90GJ4AIECVz8NCpd+i+9uMeA=";
+ };
+ meta = {
+ description = "Official Stylelint extension for Visual Studio Code";
+ downloadPage = "https://marketplace.visualstudio.com/items?itemName=stylelint.vscode-stylelint";
+ homepage = "https://github.com/stylelint/vscode-stylelint";
+ license = lib.licenses.mit;
+ maintainers = [ lib.maintainers.themaxmur ];
+ };
+ };
+
sumneko.lua = callPackage ./sumneko.lua { };
svelte.svelte-vscode = buildVscodeMarketplaceExtension {
@@ -3550,6 +4040,22 @@ let
};
};
+ tal7aouy.icons = buildVscodeMarketplaceExtension {
+ mktplcRef = {
+ name = "icons";
+ publisher = "tal7aouy";
+ version = "3.8.0";
+ sha256 = "sha256-PdhNFyVUWcOfli/ZlT+6TmtWrV31fBP1E1Vd4QWOY+A=";
+ };
+ meta = {
+ description = "Icons for Visual Studio Code.";
+ downloadPage = "https://marketplace.visualstudio.com/items?itemName=tal7aouy.icons";
+ homepage = "https://github.com/tal7aouy/vscode-icons";
+ license = lib.licenses.mit;
+ maintainers = [ lib.maintainers.themaxmur ];
+ };
+ };
+
tamasfe.even-better-toml = buildVscodeMarketplaceExtension {
mktplcRef = {
name = "even-better-toml";
@@ -3765,8 +4271,8 @@ let
mktplcRef = {
name = "uiua-vscode";
publisher = "uiua-lang";
- version = "0.0.27";
- sha256 = "sha256-wEY1FZjgiQJ7VrJGZX0SgZqz/14v//jxgrqdafLjIfM=";
+ version = "0.0.39";
+ sha256 = "sha256-B+p5bIwVhzWAdKQPCGPlImQihYCeTtYFTlkZIkgWayk=";
};
meta = {
description = "VSCode language extension for Uiua";
@@ -3777,6 +4283,24 @@ let
};
};
+ uloco.theme-bluloco-light = buildVscodeMarketplaceExtension {
+ mktplcRef = {
+ name = "theme-bluloco-light";
+ publisher = "uloco";
+ version = "3.7.3";
+ sha256 = "1il557x7c51ic9bjq7z431105m582kig9v2vpy3k2z3xhrbb0211";
+ };
+ postInstall = ''
+ rm -r $out/share/vscode/extensions/uloco.theme-bluloco-light/screenshots
+ '';
+ meta = {
+ description = "A fancy but yet sophisticated light designer color scheme / theme for Visual Studio Code";
+ downloadPage = "https://marketplace.visualstudio.com/items?itemName=uloco.theme-bluloco-light";
+ homepage = "https://github.com/uloco/theme-bluloco-light";
+ license = lib.licenses.lgpl3;
+ };
+ };
+
unifiedjs.vscode-mdx = buildVscodeMarketplaceExtension {
mktplcRef = {
name = "vscode-mdx";
@@ -3865,6 +4389,54 @@ let
};
};
+ visualstudioexptteam.intellicode-api-usage-examples = buildVscodeMarketplaceExtension {
+ mktplcRef = {
+ name = "intellicode-api-usage-examples";
+ publisher = "VisualStudioExptTeam";
+ version = "0.2.8";
+ sha256 = "sha256-aXAS3QX+mrX0kJqf1LUsvguqRxxC0o+jj1bKQteXPNA=";
+ };
+ meta = {
+ description = "See relevant code examples from GitHub for over 100K different APIs right in your editor.";
+ downloadPage = "https://marketplace.visualstudio.com/items?itemName=VisualStudioExptTeam.intellicode-api-usage-examples";
+ homepage = "https://github.com/MicrosoftDocs/intellicode";
+ license = lib.licenses.cc-by-40;
+ maintainers = [ lib.maintainers.themaxmur ];
+ };
+ };
+
+ visualstudioexptteam.vscodeintellicode = buildVscodeMarketplaceExtension {
+ mktplcRef = {
+ name = "vscodeintellicode";
+ publisher = "VisualStudioExptTeam";
+ version = "1.2.30";
+ sha256 = "sha256-f2Gn+W0QHN8jD5aCG+P93Y+JDr/vs2ldGL7uQwBK4lE=";
+ };
+ meta = {
+ description = "AI-assisted development";
+ downloadPage = "https://marketplace.visualstudio.com/items?itemName=VisualStudioExptTeam.vscodeintellicode";
+ homepage = "https://github.com/MicrosoftDocs/intellicode";
+ license = lib.licenses.cc-by-40;
+ maintainers = [ lib.maintainers.themaxmur ];
+ };
+ };
+
+ vlanguage.vscode-vlang = buildVscodeMarketplaceExtension {
+ mktplcRef = {
+ name = "vscode-vlang";
+ publisher = "vlanguage";
+ version = "0.1.14";
+ sha256 = "sha256-hlBALxBs5wZZFk4lgAkdkGs731Xuc2p0qxffOW6mMWQ=";
+ };
+ meta = {
+ description = "V language support (syntax highlighting, formatter, snippets) for Visual Studio Code.";
+ downloadPage = "https://marketplace.visualstudio.com/items?itemName=vlanguage.vscode-vlang";
+ homepage = "https://github.com/vlang/vscode-vlang";
+ license = lib.licenses.mit;
+ maintainers = [ lib.maintainers.themaxmur ];
+ };
+ };
+
vscjava.vscode-gradle = buildVscodeMarketplaceExtension rec {
mktplcRef = {
name = "vscode-gradle";
@@ -3919,6 +4491,22 @@ let
};
};
+ vscjava.vscode-java-pack = buildVscodeMarketplaceExtension {
+ mktplcRef = {
+ name = "vscode-java-pack";
+ publisher = "vscjava";
+ version = "0.25.2023121402";
+ sha256 = "sha256-JhVJK2gZe3R6dpynon+9wauSAWPdW4LmG9oRWylCexM=";
+ };
+ meta = {
+ description = "Popular extensions for Java development that provides Java IntelliSense, debugging, testing, Maven/Gradle support, project management and more";
+ downloadPage = "https://marketplace.visualstudio.com/items?itemName=vscjava.vscode-java-pack";
+ homepage = "https://github.com/Microsoft/vscode-java-pack";
+ license = lib.licenses.mit;
+ maintainers = [ lib.maintainers.themaxmur ];
+ };
+ };
+
vscjava.vscode-maven = buildVscodeMarketplaceExtension {
mktplcRef = {
name = "vscode-maven";
@@ -4125,11 +4713,15 @@ let
mktplcRef = {
name = "markdown-all-in-one";
publisher = "yzhang";
- version = "3.5.1";
- sha256 = "sha256-ZyvkRp0QTjoMEXRGHzp3udGngYcU9EkTCvx8o2CEaBE=";
+ version = "3.6.2";
+ sha256 = "1n9d3qh7vypcsfygfr5rif9krhykbmbcgf41mcjwgjrf899f11h4";
};
meta = {
+ description = "All you need to write Markdown (keyboard shortcuts, table of contents, auto preview and more)";
+ downloadPage = "https://marketplace.visualstudio.com/items?itemName=yzhang.markdown-all-in-one";
+ homepage = "https://github.com/yzhang-gh/vscode-markdown";
license = lib.licenses.mit;
+ maintainers = [ lib.maintainers.raroh73 ];
};
};
@@ -4197,6 +4789,7 @@ let
};
aliases = super: {
+ _13xforever = super."13xforever";
_1Password = super."1Password";
_2gua = super."2gua";
_4ops = super."4ops";
diff --git a/pkgs/applications/editors/vscode/extensions/equinusocio.vsc-material-theme/default.nix b/pkgs/applications/editors/vscode/extensions/equinusocio.vsc-material-theme/default.nix
new file mode 100644
index 000000000000..8f2784c3704d
--- /dev/null
+++ b/pkgs/applications/editors/vscode/extensions/equinusocio.vsc-material-theme/default.nix
@@ -0,0 +1,27 @@
+{ lib
+, vscode-utils
+}:
+
+vscode-utils.buildVscodeMarketplaceExtension {
+ mktplcRef = {
+ name = "vsc-material-theme";
+ publisher = "Equinusocio";
+ version = "34.3.1";
+ sha256 = "sha256-3yxFTMtjJR1b4EzBDfm55HF9chrya5OUF5wN+KHEduE=";
+ };
+
+ # extensions wants to write at the /nix/store path, so we patch it to use the globalStorageUri instead.
+ prePatch = ''
+ substituteInPlace ./build/core/extension-manager.js \
+ --replace-fail "path_1.posix.join(extensionFolderUri.path, env_1.USER_CONFIG_FILE_NAME)" "path_1.posix.join(ExtensionContext.globalStorageUri.fsPath, env_1.USER_CONFIG_FILE_NAME)"
+ '';
+
+ meta = with lib; {
+ changelog = "https://marketplace.visualstudio.com/items/Equinusocio.vsc-material-theme/changelog";
+ description = "The most epic theme now for Visual Studio Code";
+ downloadPage = "https://marketplace.visualstudio.com/items?itemName=Equinusocio.vsc-material-theme";
+ homepage = "https://github.com/material-theme/vsc-material-theme";
+ license = licenses.asl20;
+ maintainers = with maintainers; [ stunkymonkey ];
+ };
+}
diff --git a/pkgs/applications/editors/vscode/extensions/ms-dotnettools.csharp/default.nix b/pkgs/applications/editors/vscode/extensions/ms-dotnettools.csharp/default.nix
index a170385f9aa1..c1c84082e2ff 100644
--- a/pkgs/applications/editors/vscode/extensions/ms-dotnettools.csharp/default.nix
+++ b/pkgs/applications/editors/vscode/extensions/ms-dotnettools.csharp/default.nix
@@ -1,72 +1,63 @@
{ lib
-, fetchurl
, vscode-utils
, patchelf
, icu
, stdenv
, openssl
, coreutils
+,
}:
let
inherit (stdenv.hostPlatform) system;
+ inherit (vscode-utils) buildVscodeMarketplaceExtension;
- version = "1.25.4";
-
- vsixInfo =
+ extInfo =
let
- linuxDebuggerBins = [
+ baseBins = [
+ ".roslyn/Microsoft.CodeAnalysis.LanguageServer"
+ ".razor/rzls"
+ ];
+ linuxBins = baseBins ++ [
".debugger/vsdbg-ui"
".debugger/vsdbg"
];
- darwinX86DebuggerBins = [
+ darwinBins = baseBins ++ [
".debugger/x86_64/vsdbg-ui"
".debugger/x86_64/vsdbg"
];
- darwinAarch64DebuggerBins = [
- ".debugger/arm64/vsdbg-ui"
- ".debugger/arm64/vsdbg"
- ];
- omniSharpBins = [
- ".omnisharp/1.39.4-net6.0/OmniSharp"
- ];
- razorBins = [
- ".razor/createdump"
- ".razor/rzls"
- ];
in
{
x86_64-linux = {
- url = "https://github.com/OmniSharp/omnisharp-vscode/releases/download/v${version}/csharp-${version}-linux-x64.vsix";
- sha256 = "08k0wxyj8wz8npw1yqrkdpbvwbnrdnsngdkrd2p5ayn3v608ifc2";
- binaries = linuxDebuggerBins ++ omniSharpBins ++ razorBins;
+ arch = "linux-x64";
+ sha256 = "sha256-si4HKGVIHu44QNlNI2WEnMff9+QZOMWiBfWQaaFGyQE=";
+ binaries = linuxBins;
};
aarch64-linux = {
- url = "https://github.com/OmniSharp/omnisharp-vscode/releases/download/v${version}/csharp-${version}-linux-arm64.vsix";
- sha256 = "09r2d463dk35905f2c3msqzxa7ylcf0ynhbp3n6d12y3x1200pr2";
- binaries = linuxDebuggerBins ++ omniSharpBins ++ razorBins;
+ arch = "linux-arm64";
+ sha256 = "sha256-1IXkSRgCHOLD4VeCdqyy54MXCBUX5RDDb3pf7GQH5jA=";
+ binaries = linuxBins;
};
x86_64-darwin = {
- url = "https://github.com/OmniSharp/omnisharp-vscode/releases/download/v${version}/csharp-${version}-darwin-x64.vsix";
- sha256 = "0mp550kq33zwmlvrhymwnixl4has62imw3ia5z7a01q7mp0w9wpn";
- binaries = darwinX86DebuggerBins ++ omniSharpBins ++ razorBins;
+ arch = "darwin-x64";
+ sha256 = "sha256-AAbYjZ+YYyGEXSLkiFfluLf7P4OzPhmHzK44N5XT9UI=";
+ binaries = darwinBins;
};
aarch64-darwin = {
- url = "https://github.com/OmniSharp/omnisharp-vscode/releases/download/v${version}/csharp-${version}-darwin-arm64.vsix";
- sha256 = "08406xz2raal8f10bmnkz1mwdfprsbkjxzc01v0i4sax1hr2a2yl";
- binaries = darwinAarch64DebuggerBins ++ darwinX86DebuggerBins ++ omniSharpBins ++ razorBins;
+ arch = "darwin-arm64";
+ sha256 = "sha256-1m47kX0Jo+UvthNfgdoPdBBOcDyCA8DfP+zRk3SicR0=";
+ binaries = darwinBins ++ [
+ ".debugger/arm64/vsdbg-ui"
+ ".debugger/arm64/vsdbg"
+ ];
};
}.${system} or (throw "Unsupported system: ${system}");
in
-vscode-utils.buildVscodeMarketplaceExtension rec {
+buildVscodeMarketplaceExtension {
mktplcRef = {
name = "csharp";
publisher = "ms-dotnettools";
- inherit version;
- };
-
- vsix = fetchurl {
- name = "${mktplcRef.publisher}-${mktplcRef.name}.zip";
- inherit (vsixInfo) url sha256;
+ version = "2.22.3";
+ inherit (extInfo) sha256 arch;
};
nativeBuildInputs = [
@@ -74,63 +65,47 @@ vscode-utils.buildVscodeMarketplaceExtension rec {
];
postPatch = ''
- declare ext_unique_id
- # See below as to why we cannot take the whole basename.
- ext_unique_id="$(basename "$out" | head -c 32)"
+ patchelf_add_icu_as_needed() {
+ declare elf="''${1?}"
+ declare icu_major_v="${
+ lib.head (lib.splitVersion (lib.getVersion icu.name))
+ }"
- # Fix 'Unable to connect to debuggerEventsPipeName .. exceeds the maximum length 107.' when
- # attempting to launch a specific test in debug mode. The extension attemps to open
- # a pipe in extension dir which would fail anyway. We change to target file path
- # to a path in tmp dir with a short name based on the unique part of the nix store path.
- # This is however a brittle patch as we're working on minified code.
- # Hence the attempt to only hold on stable names.
- # However, this really would better be fixed upstream.
- sed -i \
- -E -e 's/(this\._pipePath=[a-zA-Z0-9_]+\.join\()([a-zA-Z0-9_]+\.getExtensionPath\(\)[^,]*,)/\1require("os").tmpdir(), "'"$ext_unique_id"'"\+/g' \
- "$PWD/dist/extension.js"
+ for icu_lib in icui18n icuuc icudata; do
+ patchelf --add-needed "lib''${icu_lib}.so.$icu_major_v" "$elf"
+ done
+ }
- # Fix reference to uname
- sed -i \
- -E -e 's_uname -m_${coreutils}/bin/uname -m_g' \
- "$PWD/dist/extension.js"
+ patchelf_common() {
+ declare elf="''${1?}"
- patchelf_add_icu_as_needed() {
- declare elf="''${1?}"
- declare icu_major_v="${
- lib.head (lib.splitVersion (lib.getVersion icu.name))
- }"
+ patchelf_add_icu_as_needed "$elf"
+ patchelf --add-needed "libssl.so" "$elf"
+ patchelf --set-interpreter "$(cat $NIX_CC/nix-support/dynamic-linker)" \
+ --set-rpath "${lib.makeLibraryPath [stdenv.cc.cc openssl icu.out]}:\$ORIGIN" \
+ "$elf"
+ }
- for icu_lib in icui18n icuuc icudata; do
- patchelf --add-needed "lib''${icu_lib}.so.$icu_major_v" "$elf"
- done
- }
+ substituteInPlace dist/extension.js \
+ --replace 'uname -m' '${lib.getExe' coreutils "uname"} -m'
- patchelf_common() {
- declare elf="''${1?}"
-
- patchelf_add_icu_as_needed "$elf"
- patchelf --add-needed "libssl.so" "$elf"
- patchelf --set-interpreter "$(cat $NIX_CC/nix-support/dynamic-linker)" \
- --set-rpath "${lib.makeLibraryPath [ stdenv.cc.cc openssl icu.out ]}:\$ORIGIN" \
- "$elf"
- }
-
- '' + (lib.concatStringsSep "\n" (map
- (bin: ''
- chmod +x "${bin}"
- '')
- vsixInfo.binaries))
- + lib.optionalString stdenv.isLinux (lib.concatStringsSep "\n" (map
- (bin: ''
- patchelf_common "${bin}"
- '')
- vsixInfo.binaries));
+ ''
+ + (lib.concatStringsSep "\n" (map
+ (bin: ''
+ chmod +x "${bin}"
+ '')
+ extInfo.binaries))
+ + lib.optionalString stdenv.isLinux (lib.concatStringsSep "\n" (map
+ (bin: ''
+ patchelf_common "${bin}"
+ '')
+ extInfo.binaries));
meta = {
- description = "C# for Visual Studio Code (powered by OmniSharp)";
- homepage = "https://github.com/OmniSharp/omnisharp-vscode";
+ description = "Official C# support for Visual Studio Code";
+ homepage = "https://github.com/dotnet/vscode-csharp";
license = lib.licenses.mit;
- maintainers = [ lib.maintainers.jraygauthier ];
+ maintainers = with lib.maintainers; [ ggg ];
platforms = [ "x86_64-linux" "aarch64-linux" "x86_64-darwin" "aarch64-darwin" ];
};
}
diff --git a/pkgs/applications/editors/vscode/extensions/ms-toolsai.jupyter/default.nix b/pkgs/applications/editors/vscode/extensions/ms-toolsai.jupyter/default.nix
index 9d157bb7b2e0..072d91a7505d 100644
--- a/pkgs/applications/editors/vscode/extensions/ms-toolsai.jupyter/default.nix
+++ b/pkgs/applications/editors/vscode/extensions/ms-toolsai.jupyter/default.nix
@@ -4,8 +4,8 @@ vscode-utils.buildVscodeMarketplaceExtension {
mktplcRef = {
name = "jupyter";
publisher = "ms-toolsai";
- version = "2023.2.1000411022";
- sha256 = "sha256-gMK/t/rLXYN3rlHxxVeW0W/FWEP0ZCiEwzM8DY14vYg=";
+ version = "2024.2.0";
+ sha256 = "sha256-QavZ8NNeu0FHLvorhHybzfmdQqKnyXD6MYA8AzabPQw=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/editors/vscode/extensions/ms-vscode.cpptools/default.nix b/pkgs/applications/editors/vscode/extensions/ms-vscode.cpptools/default.nix
index 1729256670c3..2de2c1c8789f 100644
--- a/pkgs/applications/editors/vscode/extensions/ms-vscode.cpptools/default.nix
+++ b/pkgs/applications/editors/vscode/extensions/ms-vscode.cpptools/default.nix
@@ -30,14 +30,24 @@
let
gdbDefaultsTo = if gdbUseFixed then "${gdb}/bin/gdb" else "gdb";
+ supported = {
+ x86_64-linux = {
+ sha256 = "sha256-4mKCBqUCOndKEfsJqTIsfwEt+0CZI8QAhBj3Y4+wKlg=";
+ arch = "linux-x64";
+ };
+ aarch64-linux = {
+ sha256 = "sha256-Kjl8mEpayA1xMHEAMJ5k3Ctk3l48KlUBU5w3dL4pGWM=";
+ arch = "linux-arm64";
+ };
+ };
+
+ base = supported.${stdenv.system} or (throw "unsupported platform ${stdenv.system}");
in
vscode-utils.buildVscodeMarketplaceExtension {
- mktplcRef = {
+ mktplcRef = base // {
name = "cpptools";
publisher = "ms-vscode";
version = "1.17.3";
- sha256 = "sha256-4mKCBqUCOndKEfsJqTIsfwEt+0CZI8QAhBj3Y4+wKlg=";
- arch = "linux-x64";
};
nativeBuildInputs = [
@@ -85,6 +95,6 @@ vscode-utils.buildVscodeMarketplaceExtension {
homepage = "https://marketplace.visualstudio.com/items?itemName=ms-vscode.cpptools";
license = lib.licenses.unfree;
maintainers = [ lib.maintainers.jraygauthier lib.maintainers.stargate01 ];
- platforms = [ "x86_64-linux" ];
+ platforms = [ "x86_64-linux" "aarch64-linux" ];
};
}
diff --git a/pkgs/applications/editors/vscode/extensions/rust-lang.rust-analyzer/build-deps/package.json b/pkgs/applications/editors/vscode/extensions/rust-lang.rust-analyzer/build-deps/package.json
index 0b2a6760a086..c7fb097b2d77 100644
--- a/pkgs/applications/editors/vscode/extensions/rust-lang.rust-analyzer/build-deps/package.json
+++ b/pkgs/applications/editors/vscode/extensions/rust-lang.rust-analyzer/build-deps/package.json
@@ -1,6 +1,6 @@
{
"name": "rust-analyzer",
- "version": "0.3.1697",
+ "version": "0.3.1850",
"dependencies": {
"@hpcc-js/wasm": "^2.13.0",
"anser": "^2.1.1",
@@ -9,10 +9,10 @@
"vscode-languageclient": "^8.1.0",
"@tsconfig/strictest": "^2.0.1",
"@types/node": "~16.11.7",
- "@types/vscode": "~1.75",
+ "@types/vscode": "~1.78.1",
"@typescript-eslint/eslint-plugin": "^6.0.0",
"@typescript-eslint/parser": "^6.0.0",
- "@vscode/test-electron": "^2.3.3",
+ "@vscode/test-electron": "^2.3.8",
"@vscode/vsce": "^2.19.0",
"eslint": "^8.44.0",
"eslint-config-prettier": "^8.8.0",
diff --git a/pkgs/applications/editors/vscode/extensions/rust-lang.rust-analyzer/default.nix b/pkgs/applications/editors/vscode/extensions/rust-lang.rust-analyzer/default.nix
index 044c8274d484..b978430868aa 100644
--- a/pkgs/applications/editors/vscode/extensions/rust-lang.rust-analyzer/default.nix
+++ b/pkgs/applications/editors/vscode/extensions/rust-lang.rust-analyzer/default.nix
@@ -20,13 +20,13 @@ let
# Use the plugin version as in vscode marketplace, updated by update script.
inherit (vsix) version;
- releaseTag = "2023-10-16";
+ releaseTag = "2024-02-19";
src = fetchFromGitHub {
owner = "rust-lang";
repo = "rust-analyzer";
rev = releaseTag;
- sha256 = "sha256-PWEdqI+iiHbx4dkIwWHZCGJuTpRfJI3MLSHf3gQEJt4=";
+ sha256 = "sha256-Oj/RPMridKpYt3eRqUIPg9YNrj6npG8THIGuWjsamnE=";
};
build-deps = nodePackages."rust-analyzer-build-deps-../../applications/editors/vscode/extensions/rust-lang.rust-analyzer/build-deps";
@@ -39,9 +39,12 @@ let
inherit releaseTag;
nativeBuildInputs = [
- jq moreutils esbuild
+ jq
+ moreutils
+ esbuild
# Required by `keytar`, which is a dependency of `vsce`.
- pkg-config libsecret
+ pkg-config
+ libsecret
] ++ lib.optionals stdenv.isDarwin [
darwin.apple_sdk.frameworks.AppKit
darwin.apple_sdk.frameworks.Security
diff --git a/pkgs/applications/editors/vscode/extensions/vadimcn.vscode-lldb/default.nix b/pkgs/applications/editors/vscode/extensions/vadimcn.vscode-lldb/default.nix
index c6ad1dc42bf5..ad7fd06cb020 100644
--- a/pkgs/applications/editors/vscode/extensions/vadimcn.vscode-lldb/default.nix
+++ b/pkgs/applications/editors/vscode/extensions/vadimcn.vscode-lldb/default.nix
@@ -39,15 +39,17 @@ let
];
postFixup = ''
- mkdir -p $out/share
+ mkdir -p $out/share/{adapter,formatters}
# codelldb expects libcodelldb.so to be in the same
# directory as the executable, and can't find it in $out/lib.
# To make codelldb executable as a standalone,
# we put all files in $out/share, and then wrap the binary in $out/bin.
- mv $out/bin/* $out/share
- cp $out/lib/* $out/share
- ln -s ${lldb.lib} $out/lldb
- makeWrapper $out/share/codelldb $out/bin/codelldb \
+ mv $out/bin/* $out/share/adapter
+ cp $out/lib/* $out/share/adapter
+ cp -r adapter/scripts $out/share/adapter
+ cp -t $out/share/formatters formatters/*.py
+ ln -s ${lldb.lib} $out/share/lldb
+ makeWrapper $out/share/adapter/codelldb $out/bin/codelldb \
--set-default LLDB_DEBUGSERVER_PATH "${lldb.out}/bin/lldb-server"
'';
@@ -125,12 +127,9 @@ in stdenv.mkDerivation {
mkdir -p $ext/{adapter,formatters}
mv -t $ext vsix-extracted/extension/*
- cp -t $ext/adapter ${adapter}/share/*
- cp -r ../adapter/scripts $ext/adapter
+ cp -t $ext/ -r ${adapter}/share/*
wrapProgram $ext/adapter/codelldb \
--set-default LLDB_DEBUGSERVER_PATH "${lldb.out}/bin/lldb-server"
- cp -t $ext/formatters ../formatters/*.py
- ln -s ${lldb.lib} $ext/lldb
# Mark that all components are installed.
touch $ext/platform.ok
diff --git a/pkgs/applications/editors/vscode/generic.nix b/pkgs/applications/editors/vscode/generic.nix
index 5000393913c1..b7fe7d2712a6 100644
--- a/pkgs/applications/editors/vscode/generic.nix
+++ b/pkgs/applications/editors/vscode/generic.nix
@@ -150,7 +150,7 @@ in
icon = "vs${executableName}";
startupNotify = true;
categories = [ "Utility" "TextEditor" "Development" "IDE" ];
- mimeTypes = [ "x-scheme-handler/vscode" ];
+ mimeTypes = [ "x-scheme-handler/vs${executableName}" ];
keywords = [ "vscode" ];
noDisplay = true;
};
diff --git a/pkgs/applications/editors/vscode/vscode.nix b/pkgs/applications/editors/vscode/vscode.nix
index 976475238b63..5d7342c823cf 100644
--- a/pkgs/applications/editors/vscode/vscode.nix
+++ b/pkgs/applications/editors/vscode/vscode.nix
@@ -30,21 +30,21 @@ let
archive_fmt = if stdenv.isDarwin then "zip" else "tar.gz";
sha256 = {
- x86_64-linux = "0nffz9xqm1iny7sqi1pkmnfcski15qsycw9gxir18j51kfzz50wf";
- x86_64-darwin = "082m2wwd67ayjadlywqimnmdm8imw6lz0rd8rnwjd2sjksxnrsk8";
- aarch64-linux = "0wlm8ajm1xw8lpmnbkzkgvaakfa9gacwi7m2fdyc4822rq7fn09b";
- aarch64-darwin = "1rgcljj97f551yr0q5f0vxdkvbhxrbyqrw85gb6qfxpg5d0l7y4f";
- armv7l-linux = "1wpslrysi8a6rnx99lq16zx277lnmqjp02q6gxmkpcvrvw27m6yj";
+ x86_64-linux = "11brsgksn3bl3px0hwa83vr22gb2k19pn8hcn7xwn6zzcgf7rsf2";
+ x86_64-darwin = "1ai7jmiq37zpicc5p387nvbx5122fp7c3qh2k596jq7l7k0iyfzd";
+ aarch64-linux = "0psjz56h8asgdh0m6insfysw1f6d00hifvb0rfsi8qv0wca72wb4";
+ aarch64-darwin = "0jskk6dvjg290mvw8hcs4hrhy7m3ridsj5w9lxs6kn74fdvg1byb";
+ armv7l-linux = "0rz26xw312s0larjvkrf8np9c0yccppadiqmj69j47vavg78274c";
}.${system} or throwSystem;
in
callPackage ./generic.nix rec {
# Please backport all compatible updates to the stable release.
# This is important for the extension ecosystem.
- version = "1.86.1";
+ version = "1.87.2";
pname = "vscode" + lib.optionalString isInsiders "-insiders";
# This is used for VS Code - Remote SSH test
- rev = "31c37ee8f63491495ac49e43b8544550fbae4533";
+ rev = "863d2581ecda6849923a2118d93a088b0745d9d6";
executableName = "code" + lib.optionalString isInsiders "-insiders";
longName = "Visual Studio Code" + lib.optionalString isInsiders " - Insiders";
@@ -68,7 +68,7 @@ in
src = fetchurl {
name = "vscode-server-${rev}.tar.gz";
url = "https://update.code.visualstudio.com/commit:${rev}/server-linux-x64/stable";
- sha256 = "1hq6i51d1dhpippc8gmhygw3kj9cx021y54ga58l5b80wvg2cf1y";
+ sha256 = "1hdny44f93qzpm9vgx14wk1myrnsv5qcj25rqcy2jb5kspnq6813";
};
};
diff --git a/pkgs/applications/editors/vscode/vscodium.nix b/pkgs/applications/editors/vscode/vscodium.nix
index ab9a5b51ee2c..f46c514acb76 100644
--- a/pkgs/applications/editors/vscode/vscodium.nix
+++ b/pkgs/applications/editors/vscode/vscodium.nix
@@ -15,11 +15,11 @@ let
archive_fmt = if stdenv.isDarwin then "zip" else "tar.gz";
sha256 = {
- x86_64-linux = "0nd9hipz1jhjdv6hrm6q2jpppanh8nmkpy9zpayymy4dwif8a49q";
- x86_64-darwin = "1fk146dikiy8dab83v4j6jrnzdg8dxnjvwmdddif130jrpxsp875";
- aarch64-linux = "0zqm8zl3vhisp6rlb2vhc2i0z4rln38858l07r70jr76zxbbs5xv";
- aarch64-darwin = "0i0bsrygdg2ij3wf0jm9n6fci5zrghnvzdw0p528c08rjgkhrmrb";
- armv7l-linux = "0h0v5irf23ijn21j4sll2ynj12wclm17bh46s1dlpzy73f4h17jb";
+ x86_64-linux = "1jy1lsbha951z2bcnfrkgin7nms3clpa97rx7c7pdm23mnyg9073";
+ x86_64-darwin = "0425cnzw1dz2k6a9p63w7vf25icf02m04ri82dp0k1kvhjfr8l37";
+ aarch64-linux = "123p1glr9rc1z2lfl8g5zw7rcipg1njybscqn9qyzkpqymcsqfdy";
+ aarch64-darwin = "1bl5wvbfr5k1vy6ah2i9548cn1l3nx3ng4c544zvclskmr8kf84s";
+ armv7l-linux = "0nyv3yjqcrg4r2m2d63qz34g07pp8n0ndmai9pqwcf7a1m0v0sj4";
}.${system} or throwSystem;
sourceRoot = lib.optionalString (!stdenv.isDarwin) ".";
@@ -29,7 +29,7 @@ in
# Please backport all compatible updates to the stable release.
# This is important for the extension ecosystem.
- version = "1.85.2.24019";
+ version = "1.87.2.24072";
pname = "vscodium";
executableName = "codium";
diff --git a/pkgs/applications/emulators/86box/default.nix b/pkgs/applications/emulators/86box/default.nix
index 3083f2dccbe1..1fceee8182ed 100644
--- a/pkgs/applications/emulators/86box/default.nix
+++ b/pkgs/applications/emulators/86box/default.nix
@@ -10,13 +10,13 @@
stdenv.mkDerivation rec {
pname = "86Box";
- version = "4.0.1";
+ version = "4.1";
src = fetchFromGitHub {
owner = "86Box";
repo = "86Box";
rev = "v${version}";
- hash = "sha256-1005Czm4CftL96G0+sKV1wx/ogXTKS0vQAzZHtIMlKA=";
+ hash = "sha256-JYOJFXiUTLRs6AEMYNx88PwcVw13ChQzV1ZE5OtX6Ds=";
};
nativeBuildInputs = [
@@ -76,6 +76,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Emulator of x86-based machines based on PCem.";
+ mainProgram = "86Box";
homepage = "https://86box.net/";
license = with licenses; [ gpl2Only ] ++ optional unfreeEnableDiscord unfree;
maintainers = [ maintainers.jchw ];
diff --git a/pkgs/applications/emulators/attract-mode/default.nix b/pkgs/applications/emulators/attract-mode/default.nix
index 0bd1b4b062ab..9173faf7fe5b 100644
--- a/pkgs/applications/emulators/attract-mode/default.nix
+++ b/pkgs/applications/emulators/attract-mode/default.nix
@@ -29,5 +29,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl3Plus;
maintainers = with maintainers; [ hrdinka ];
platforms = with platforms; linux;
+ mainProgram = "attract";
};
}
diff --git a/pkgs/applications/emulators/basiliskii/default.nix b/pkgs/applications/emulators/basiliskii/default.nix
index 8546b9c4087f..a81f50b54211 100644
--- a/pkgs/applications/emulators/basiliskii/default.nix
+++ b/pkgs/applications/emulators/basiliskii/default.nix
@@ -24,5 +24,6 @@ stdenv.mkDerivation (finalAttrs: {
license = licenses.gpl2;
maintainers = with maintainers; [ quag ];
platforms = platforms.linux;
+ mainProgram = "BasiliskII";
};
})
diff --git a/pkgs/applications/emulators/bsnes/ares/default.nix b/pkgs/applications/emulators/bsnes/ares/default.nix
index 25d2126ac34c..0a5993557a08 100644
--- a/pkgs/applications/emulators/bsnes/ares/default.nix
+++ b/pkgs/applications/emulators/bsnes/ares/default.nix
@@ -22,13 +22,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "ares";
- version = "135";
+ version = "136";
src = fetchFromGitHub {
owner = "ares-emulator";
repo = "ares";
rev = "v${finalAttrs.version}";
- hash = "sha256-SZhsMKjNxmT2eHsXAZcyMGoMhwWGgvXpDeZGGVn58Sc=";
+ hash = "sha256-Hks/MWusPiBVdb5L+53qtR6VmXG/P4rDzsvHxLeA8Do=";
};
patches = [
@@ -83,6 +83,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
homepage = "https://ares-emu.net";
description = "Open-source multi-system emulator with a focus on accuracy and preservation";
+ mainProgram = "ares";
license = lib.licenses.isc;
maintainers = with lib.maintainers; [ Madouura AndersonTorres ];
platforms = lib.platforms.unix;
diff --git a/pkgs/applications/emulators/bsnes/higan/default.nix b/pkgs/applications/emulators/bsnes/higan/default.nix
index 44aba6d4a9e0..0b9eb51d3baf 100644
--- a/pkgs/applications/emulators/bsnes/higan/default.nix
+++ b/pkgs/applications/emulators/bsnes/higan/default.nix
@@ -1,8 +1,8 @@
{ lib
-, stdenv
-, fetchFromGitHub
, SDL2
, alsa-lib
+, darwin
+, fetchFromGitHub
, gtk3
, gtksourceview3
, libGL
@@ -10,26 +10,25 @@
, libX11
, libXv
, libao
+, libicns
, libpulseaudio
, openal
, pkg-config
, runtimeShell
+, stdenv
, udev
-# Darwin dependencies
-, libicns
-, darwin
, unstableGitUpdater
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "higan";
- version = "115-unstable-2023-11-13";
+ version = "115-unstable-2024-02-17";
src = fetchFromGitHub {
owner = "higan-emu";
repo = "higan";
- rev = "993368d917cb750107390effe2cd394ba8710208";
- hash = "sha256-D21DFLnYl2J4JhwmVmEKHhtglZWxVBrl/kOcvxJYbnA=";
+ rev = "ba4b918c0bbcc302e0d5d2ed70f2c56214d62681";
+ hash = "sha256-M8WaPrOPSRKxhYcf6ffNkDzITkCltNF9c/zl0GmfJrI=";
};
nativeBuildInputs = [
@@ -71,14 +70,34 @@ stdenv.mkDerivation rec {
enableParallelBuilding = true;
- buildPhase = ''
+ buildPhase = let
+ platform =
+ if stdenv.isLinux
+ then "linux"
+ else if stdenv.isDarwin
+ then "macos"
+ else if stdenv.isBSD
+ then "bsd"
+ else if stdenv.isWindows
+ then "windows"
+ else throw "Unknown platform for higan: ${stdenv.hostPlatform.system}";
+ in ''
runHook preBuild
- make -j $NIX_BUILD_CORES compiler=${stdenv.cc.targetPrefix}c++ \
- platform=linux openmp=true hiro=gtk3 build=accuracy local=false \
- cores="cv fc gb gba md ms msx ngp pce sfc sg ws" -C higan-ui
- make -j $NIX_BUILD_CORES compiler=${stdenv.cc.targetPrefix}c++ \
- platform=linux openmp=true hiro=gtk3 -C icarus
+ make -C higan-ui -j$NIX_BUILD_CORES \
+ compiler=${stdenv.cc.targetPrefix}c++ \
+ platform=${platform} \
+ openmp=true \
+ hiro=gtk3 \
+ build=accuracy \
+ local=false \
+ cores="cv fc gb gba md ms msx ngp pce sfc sg ws"
+
+ make -C icarus -j$NIX_BUILD_CORES \
+ compiler=${stdenv.cc.targetPrefix}c++ \
+ platform=${platform} \
+ openmp=true \
+ hiro=gtk3
runHook postBuild
'';
@@ -154,5 +173,5 @@ stdenv.mkDerivation rec {
platforms = platforms.unix;
broken = stdenv.isDarwin;
};
-}
-# TODO: select between Qt, GTK2 and GTK3
+})
+# TODO: select between Qt and GTK3
diff --git a/pkgs/applications/emulators/caprice32/default.nix b/pkgs/applications/emulators/caprice32/default.nix
index 6c2932f7e10b..7a4f4b1ab4ae 100644
--- a/pkgs/applications/emulators/caprice32/default.nix
+++ b/pkgs/applications/emulators/caprice32/default.nix
@@ -48,5 +48,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2;
maintainers = [ ];
platforms = platforms.linux;
+ mainProgram = "cap32";
};
}
diff --git a/pkgs/applications/emulators/ccemux/default.nix b/pkgs/applications/emulators/ccemux/default.nix
index 9464c1f4575f..8e00b8281efa 100644
--- a/pkgs/applications/emulators/ccemux/default.nix
+++ b/pkgs/applications/emulators/ccemux/default.nix
@@ -64,5 +64,6 @@ stdenv.mkDerivation rec {
sourceProvenance = with sourceTypes; [ binaryBytecode ];
license = licenses.mit;
maintainers = with maintainers; [ CrazedProgrammer viluon ];
+ mainProgram = "ccemux";
};
}
diff --git a/pkgs/applications/emulators/cdemu/vhba.nix b/pkgs/applications/emulators/cdemu/vhba.nix
index 40792c81ac1c..103e4b4b5b89 100644
--- a/pkgs/applications/emulators/cdemu/vhba.nix
+++ b/pkgs/applications/emulators/cdemu/vhba.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "vhba";
- version = "20211218";
+ version = "20240202";
src = fetchurl {
url = "mirror://sourceforge/cdemu/vhba-module-${version}.tar.xz";
- sha256 = "sha256-csWowcRSgF5M74yv787MLSXOGXrkxnODCCgC5a3Nd7Y=";
+ sha256 = "sha256-v1hQ1Lj1AiHKh9c0OpKe2oexkfb1roxhQXRUO1ut3oM=";
};
makeFlags = kernel.makeFlags ++ [ "KDIR=${kernel.dev}/lib/modules/${kernel.modDirVersion}/build" "INSTALL_MOD_PATH=$(out)" ];
diff --git a/pkgs/applications/emulators/cemu/default.nix b/pkgs/applications/emulators/cemu/default.nix
index 055949bb3b75..fa7f68c7063f 100644
--- a/pkgs/applications/emulators/cemu/default.nix
+++ b/pkgs/applications/emulators/cemu/default.nix
@@ -1,5 +1,4 @@
{ lib, stdenv, fetchFromGitHub
-, fetchpatch
, addOpenGLRunpath
, wrapGAppsHook
, cmake
@@ -32,15 +31,28 @@
, nix-update-script
}:
-stdenv.mkDerivation rec {
+let
+ # cemu doesn't build with imgui 1.90.2 or newer:
+ # error: 'struct ImGuiIO' has no member named 'ImeWindowHandle'
+ imgui' = imgui.overrideAttrs rec {
+ version = "1.90.1";
+ src = fetchFromGitHub {
+ owner = "ocornut";
+ repo = "imgui";
+ rev = "v${version}";
+ sha256 = "sha256-gf47uLeNiXQic43buB5ZnMqiotlUfIyAsP+3H7yJuFg=";
+ };
+ };
+
+in stdenv.mkDerivation rec {
pname = "cemu";
- version = "2.0-65";
+ version = "2.0-68";
src = fetchFromGitHub {
owner = "cemu-project";
repo = "Cemu";
rev = "v${version}";
- hash = "sha256-jsDmxol3zZMmpo4whDeUXTzfO+QVK/h6lItXTyJyoak=";
+ hash = "sha256-/c0rpj4s3aNJVH+AlU9R4t321OqTvJHfZQCfyzYB4m8=";
};
patches = [
@@ -48,13 +60,6 @@ stdenv.mkDerivation rec {
# > The following imported targets are referenced, but are missing:
# > SPIRV-Tools-opt
./cmakelists.patch
-
- # Remove on next release
- # https://github.com/cemu-project/Cemu/pull/1076
- (fetchpatch {
- url = "https://github.com/cemu-project/Cemu/commit/72aacbdcecc064ea7c3b158c433e4803496ac296.patch";
- hash = "sha256-x+ZVqXgGRSv0VYwJAX35C1p7PnmCHS7iEO+4k8j0/ug=";
- })
];
nativeBuildInputs = [
@@ -75,7 +80,7 @@ stdenv.mkDerivation rec {
glm
gtk3
hidapi
- imgui
+ imgui'
libpng
libusb1
libzip
@@ -103,7 +108,7 @@ stdenv.mkDerivation rec {
tag = last (splitString "-" version);
in ''
rm -rf dependencies/imgui
- ln -s ${imgui}/include/imgui dependencies/imgui
+ ln -s ${imgui'}/include/imgui dependencies/imgui
substituteInPlace src/Common/version.h --replace " (experimental)" "-${tag} (experimental)"
substituteInPlace dependencies/gamemode/lib/gamemode_client.h --replace "libgamemode.so.0" "${gamemode.lib}/lib/libgamemode.so.0"
'';
diff --git a/pkgs/applications/emulators/cen64/default.nix b/pkgs/applications/emulators/cen64/default.nix
index bd7c84402cfd..e13adbb71de8 100644
--- a/pkgs/applications/emulators/cen64/default.nix
+++ b/pkgs/applications/emulators/cen64/default.nix
@@ -26,5 +26,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/n64dev/cen64";
maintainers = [ maintainers._414owen ];
platforms = [ "x86_64-linux" ];
+ mainProgram = "cen64";
};
}
diff --git a/pkgs/applications/emulators/citra/default.nix b/pkgs/applications/emulators/citra/default.nix
deleted file mode 100644
index a4e4578632ae..000000000000
--- a/pkgs/applications/emulators/citra/default.nix
+++ /dev/null
@@ -1,45 +0,0 @@
-{ branch
-, qt6Packages
-, fetchFromGitHub
-, fetchurl
-}:
-
-let
- # Fetched from https://api.citra-emu.org/gamedb
- # Please make sure to update this when updating citra!
- compat-list = fetchurl {
- name = "citra-compat-list";
- url = "https://web.archive.org/web/20231111133415/https://api.citra-emu.org/gamedb";
- hash = "sha256-J+zqtWde5NgK2QROvGewtXGRAWUTNSKHNMG6iu9m1fU=";
- };
-in {
- nightly = qt6Packages.callPackage ./generic.nix rec {
- pname = "citra-nightly";
- version = "2088";
-
- src = fetchFromGitHub {
- owner = "citra-emu";
- repo = "citra-nightly";
- rev = "nightly-${version}";
- sha256 = "0l9w4i0zbafcv2s6pd1zqb11vh0i7gzwbqnzlz9al6ihwbsgbj3k";
- fetchSubmodules = true;
- };
-
- inherit branch compat-list;
- };
-
- canary = qt6Packages.callPackage ./generic.nix rec {
- pname = "citra-canary";
- version = "2766";
-
- src = fetchFromGitHub {
- owner = "citra-emu";
- repo = "citra-canary";
- rev = "canary-${version}";
- sha256 = "1gm3ajphpzwhm3qnchsx77jyl51za8yw3r0j0h8idf9y1ilcjvi4";
- fetchSubmodules = true;
- };
-
- inherit branch compat-list;
- };
-}.${branch}
diff --git a/pkgs/applications/emulators/citra/generic.nix b/pkgs/applications/emulators/citra/generic.nix
deleted file mode 100644
index c167aef7e774..000000000000
--- a/pkgs/applications/emulators/citra/generic.nix
+++ /dev/null
@@ -1,147 +0,0 @@
-{ pname
-, version
-, src
-, branch
-, compat-list
-
-, lib
-, stdenv
-, cmake
-, boost
-, pkg-config
-, catch2_3
-, cpp-jwt
-, cryptopp
-, enet
-, ffmpeg
-, fmt
-, gamemode
-, glslang
-, httplib
-, inih
-, libusb1
-, nlohmann_json
-, openal
-, openssl
-, SDL2
-, soundtouch
-, spirv-tools
-, zstd
-, vulkan-headers
-, vulkan-loader
-, enableSdl2Frontend ? true
-, enableQt ? true, qtbase, qtmultimedia, qtwayland, wrapQtAppsHook
-, enableQtTranslation ? enableQt, qttools
-, enableWebService ? true
-, enableCubeb ? true, cubeb
-, useDiscordRichPresence ? true, rapidjson
-}:
-stdenv.mkDerivation {
- inherit pname version src;
-
- nativeBuildInputs = [
- cmake
- pkg-config
- ffmpeg
- glslang
- ] ++ lib.optionals enableQt [ wrapQtAppsHook ];
-
- buildInputs = [
- boost
- catch2_3
- cpp-jwt
- cryptopp
- # intentionally omitted: dynarmic - prefer vendored version for compatibility
- enet
- fmt
- httplib
- inih
- libusb1
- nlohmann_json
- openal
- openssl
- SDL2
- soundtouch
- spirv-tools
- vulkan-headers
- # intentionally omitted: xbyak - prefer vendored version for compatibility
- zstd
- ] ++ lib.optionals enableQt [ qtbase qtmultimedia qtwayland ]
- ++ lib.optional enableQtTranslation qttools
- ++ lib.optional enableCubeb cubeb
- ++ lib.optional useDiscordRichPresence rapidjson;
-
- cmakeFlags = [
- "-DUSE_SYSTEM_LIBS=ON"
-
- "-DDISABLE_SYSTEM_DYNARMIC=ON"
- "-DDISABLE_SYSTEM_GLSLANG=ON" # The following imported targets are referenced, but are missing: SPIRV-Tools-opt
- "-DDISABLE_SYSTEM_LODEPNG=ON" # Not packaged in nixpkgs
- "-DDISABLE_SYSTEM_VMA=ON"
- "-DDISABLE_SYSTEM_XBYAK=ON"
-
- # We don't want to bother upstream with potentially outdated compat reports
- "-DCITRA_ENABLE_COMPATIBILITY_REPORTING=ON"
- "-DENABLE_COMPATIBILITY_LIST_DOWNLOAD=OFF" # We provide this deterministically
- ] ++ lib.optional (!enableSdl2Frontend) "-DENABLE_SDL2_FRONTEND=OFF"
- ++ lib.optional (!enableQt) "-DENABLE_QT=OFF"
- ++ lib.optional enableQtTranslation "-DENABLE_QT_TRANSLATION=ON"
- ++ lib.optional (!enableWebService) "-DENABLE_WEB_SERVICE=OFF"
- ++ lib.optional (!enableCubeb) "-DENABLE_CUBEB=OFF"
- ++ lib.optional useDiscordRichPresence "-DUSE_DISCORD_PRESENCE=ON";
-
- # causes redefinition of _FORTIFY_SOURCE
- hardeningDisable = [ "fortify3" ];
-
- postPatch = let
- branchCaptialized = (lib.toUpper (lib.substring 0 1 branch) + lib.substring 1 (-1) branch);
- in ''
- # Fix file not found when looking in var/empty instead of opt
- mkdir externals/dynarmic/src/dynarmic/ir/var
- ln -s ../opt externals/dynarmic/src/dynarmic/ir/var/empty
-
- # Prep compatibilitylist
- ln -s ${compat-list} ./dist/compatibility_list/compatibility_list.json
-
- # We already know the submodules are present
- substituteInPlace CMakeLists.txt \
- --replace "check_submodules_present()" ""
-
- # Add versions
- echo 'set(BUILD_FULLNAME "${branchCaptialized} ${version}")' >> CMakeModules/GenerateBuildInfo.cmake
-
- # Add gamemode
- substituteInPlace externals/gamemode/include/gamemode_client.h --replace "libgamemode.so.0" "${lib.getLib gamemode}/lib/libgamemode.so.0"
- '';
-
- postInstall = let
- libs = lib.makeLibraryPath [ vulkan-loader ];
- in lib.optionalString enableSdl2Frontend ''
- wrapProgram "$out/bin/citra" \
- --prefix LD_LIBRARY_PATH : ${libs}
- '' + lib.optionalString enableQt ''
- qtWrapperArgs+=(
- --prefix LD_LIBRARY_PATH : ${libs}
- )
- '';
-
- meta = with lib; {
- broken = (stdenv.isLinux && stdenv.isAarch64);
- homepage = "https://citra-emu.org";
- description = "The ${branch} branch of an open-source emulator for the Nintendo 3DS";
- longDescription = ''
- A Nintendo 3DS Emulator written in C++
- Using the nightly branch is recommended for general usage.
- Using the canary branch is recommended if you would like to try out
- experimental features, with a cost of stability.
- '';
- mainProgram = if enableQt then "citra-qt" else "citra";
- platforms = platforms.linux;
- license = licenses.gpl2Plus;
- maintainers = with maintainers; [
- abbradar
- ashley
- ivar
- ];
- };
-}
diff --git a/pkgs/applications/emulators/citra/update.sh b/pkgs/applications/emulators/citra/update.sh
deleted file mode 100755
index e76121dac6ee..000000000000
--- a/pkgs/applications/emulators/citra/update.sh
+++ /dev/null
@@ -1,84 +0,0 @@
-#! /usr/bin/env nix-shell
-#! nix-shell -i bash -p nix nix-prefetch-git coreutils curl jq gnused
-
-set -euo pipefail
-
-# Will be replaced with the actual branch when running this from passthru.updateScript
-BRANCH="@branch@"
-
-if [[ ! "$(basename $PWD)" = "citra" ]]; then
- echo "error: Script must be ran from citra's directory!"
- exit 1
-fi
-
-getLocalVersion() {
- pushd ../../../.. >/dev/null
- nix eval --raw -f default.nix "$1".version
- popd >/dev/null
-}
-
-getLocalHash() {
- pushd ../../../.. >/dev/null
- nix eval --raw -f default.nix "$1".src.drvAttrs.outputHash
- popd >/dev/null
-}
-
-updateNightly() {
- OLD_NIGHTLY_VERSION="$(getLocalVersion "citra-nightly")"
- OLD_NIGHTLY_HASH="$(getLocalHash "citra-nightly")"
-
- NEW_NIGHTLY_VERSION="$(curl -s ${GITHUB_TOKEN:+-u ":$GITHUB_TOKEN"} \
- "https://api.github.com/repos/citra-emu/citra-nightly/releases?per_page=1" | jq -r '.[0].name' | cut -d"-" -f2 | cut -d" " -f2)"
-
- if [[ "${OLD_NIGHTLY_VERSION}" = "${NEW_NIGHTLY_VERSION}" ]]; then
- echo "citra-nightly is already up to date!"
-
- [ "$KEEP_GOING" ] && return || exit
- else
- echo "citra-nightly: ${OLD_NIGHTLY_VERSION} -> ${NEW_NIGHTLY_VERSION}"
- fi
-
- echo " Fetching source code..."
-
- NEW_NIGHTLY_HASH="$(nix-prefetch-git --quiet --fetch-submodules --rev "nightly-${NEW_NIGHTLY_VERSION}" "https://github.com/citra-emu/citra-nightly" | jq -r '.sha256')"
-
- echo " Successfully fetched. hash: ${NEW_NIGHTLY_HASH}"
-
- sed -i "s|${OLD_NIGHTLY_VERSION}|${NEW_NIGHTLY_VERSION}|" ./default.nix
- sed -i "s|${OLD_NIGHTLY_HASH}|${NEW_NIGHTLY_HASH}|" ./default.nix
-}
-
-updateCanary() {
- OLD_CANARY_VERSION="$(getLocalVersion "citra-canary")"
- OLD_CANARY_HASH="$(getLocalHash "citra-canary")"
-
- NEW_CANARY_VERSION="$(curl -s ${GITHUB_TOKEN:+-u ":$GITHUB_TOKEN"} \
- "https://api.github.com/repos/citra-emu/citra-canary/releases?per_page=1" | jq -r '.[0].name' | cut -d"-" -f2 | cut -d" " -f1)"
-
- if [[ "${OLD_CANARY_VERSION}" = "${NEW_CANARY_VERSION}" ]]; then
- echo "citra-canary is already up to date!"
-
- [ "$KEEP_GOING" ] && return || exit
- else
- echo "citra-canary: ${OLD_CANARY_VERSION} -> ${NEW_CANARY_VERSION}"
- fi
-
- echo " Fetching source code..."
-
- NEW_CANARY_HASH="$(nix-prefetch-git --quiet --fetch-submodules --rev "canary-${NEW_CANARY_VERSION}" "https://github.com/citra-emu/citra-canary" | jq -r '.sha256')"
-
- echo " Successfully fetched. hash: ${NEW_CANARY_HASH}"
-
- sed -i "s|${OLD_CANARY_VERSION}|${NEW_CANARY_VERSION}|" ./default.nix
- sed -i "s|${OLD_CANARY_HASH}|${NEW_CANARY_HASH}|" ./default.nix
-}
-
-if [[ "$BRANCH" = "nightly" ]]; then
- updateNightly
-elif [[ "$BRANCH" = "early-access" ]]; then
- updateCanary
-else
- KEEP_GOING=1
- updateNightly
- updateCanary
-fi
diff --git a/pkgs/applications/emulators/dolphin-emu/default.nix b/pkgs/applications/emulators/dolphin-emu/default.nix
index 52a7f8a1ba6e..f81fce6a5aa8 100644
--- a/pkgs/applications/emulators/dolphin-emu/default.nix
+++ b/pkgs/applications/emulators/dolphin-emu/default.nix
@@ -32,6 +32,7 @@
, pugixml
, qtbase
, qtsvg
+, SDL2
, sfml
, udev
, vulkan-loader
@@ -57,13 +58,13 @@
stdenv.mkDerivation rec {
pname = "dolphin-emu";
- version = "5.0-20347";
+ version = "5.0-21088";
src = fetchFromGitHub {
owner = "dolphin-emu";
repo = "dolphin";
- rev = "dc0814ae4622313d513468bdc377ee9c031de199";
- hash = "sha256-s3mGwXkgdoLLfPEUVyjaqXb+a5KPKC3dhHIyKC2BF1w=";
+ rev = "9240f579eab18a2f67eef23846a6b508393d0e6c";
+ hash = "sha256-lOiDbEQZoi9Bsiyta/w+B1VXNNW4qST2cBZekqo5dDA=";
fetchSubmodules = true;
};
@@ -112,6 +113,7 @@ stdenv.mkDerivation rec {
pugixml
qtbase
qtsvg
+ SDL2
sfml
xxHash
xz # LibLZMA
diff --git a/pkgs/applications/emulators/dosbox-x/default.nix b/pkgs/applications/emulators/dosbox-x/default.nix
index f26a12884222..c499c69e5442 100644
--- a/pkgs/applications/emulators/dosbox-x/default.nix
+++ b/pkgs/applications/emulators/dosbox-x/default.nix
@@ -1,7 +1,6 @@
{ lib
, stdenv
, fetchFromGitHub
-, fetchpatch
, alsa-lib
, AudioUnit
, autoreconfHook
@@ -28,30 +27,15 @@
stdenv.mkDerivation (finalAttrs: {
pname = "dosbox-x";
- version = "2023.10.06";
+ version = "2024.03.01";
src = fetchFromGitHub {
owner = "joncampbell123";
repo = "dosbox-x";
rev = "dosbox-x-v${finalAttrs.version}";
- hash = "sha256-YNYtYqcpTOx4xS/LXI53h3S+na8JVpn4w8Dhf4fWNBQ=";
+ hash = "sha256-EcAp7KyqXdBACEbPgkM1INoKeGVo7hMDUx97y2RcX+k=";
};
- patches = [
- # 2 patches which fix stack smashing when launching Windows 3.0
- # Remove when version > 2023.10.06
- (fetchpatch {
- name = "0001-dosbox-x-Attempt-to-fix-graphical-palette-issues-added-by-TTF-fix.patch";
- url = "https://github.com/joncampbell123/dosbox-x/commit/40bf135f70376b5c3944fe2e972bdb7143439bcc.patch";
- hash = "sha256-9whtqBkivYVYaPObyTODtwcfjaoK+rLqhCNZ7zVoiGI=";
- })
- (fetchpatch {
- name = "0002-dosbox-x-Fix-Sid-Meiers-Civ-crash.patch";
- url = "https://github.com/joncampbell123/dosbox-x/compare/cdcfb554999572e758b81edf85a007d398626b78..ac91760d9353c301e1da382f93e596238cf6d336.patch";
- hash = "sha256-G7HbUhYEi6JJklN1z3JiOTnWLuWb27bMDyB/iGwywuY=";
- })
- ];
-
strictDeps = true;
nativeBuildInputs = [
diff --git a/pkgs/applications/emulators/duckstation/002-hardcode-vars.diff b/pkgs/applications/emulators/duckstation/002-hardcode-vars.diff
index f0b7bb67a0ee..edba33fce7ce 100644
--- a/pkgs/applications/emulators/duckstation/002-hardcode-vars.diff
+++ b/pkgs/applications/emulators/duckstation/002-hardcode-vars.diff
@@ -1,5 +1,5 @@
diff --git a/src/scmversion/gen_scmversion.sh b/src/scmversion/gen_scmversion.sh
-index 9c1dacab..d1f895ee 100755
+index 9122cd8..50ed8f9 100755
--- a/src/scmversion/gen_scmversion.sh
+++ b/src/scmversion/gen_scmversion.sh
@@ -10,10 +10,10 @@ else
@@ -8,7 +8,7 @@ index 9c1dacab..d1f895ee 100755
-HASH=$(git rev-parse HEAD)
-BRANCH=$(git rev-parse --abbrev-ref HEAD | tr -d '\r\n')
--TAG=$(git describe --tags --dirty --exclude latest --exclude preview --exclude legacy --exclude previous-latest | tr -d '\r\n')
+-TAG=$(git describe --dirty | tr -d '\r\n')
-DATE=$(git log -1 --date=iso8601-strict --format=%cd)
+HASH="@gitHash@"
+BRANCH="@gitBranch@"
diff --git a/pkgs/applications/emulators/duckstation/default.nix b/pkgs/applications/emulators/duckstation/default.nix
index e7980e20dddd..7e7bfd494600 100644
--- a/pkgs/applications/emulators/duckstation/default.nix
+++ b/pkgs/applications/emulators/duckstation/default.nix
@@ -9,7 +9,7 @@
, extra-cmake-modules
, libXrandr
, libbacktrace
-, makeDesktopItem
+, makeWrapper
, ninja
, pkg-config
, qtbase
@@ -20,18 +20,17 @@
, vulkan-loader
, wayland
, wrapQtAppsHook
-, enableWayland ? true
}:
stdenv.mkDerivation (finalAttrs: {
pname = "duckstation";
- version = "unstable-2023-09-30";
+ version = "0.1-6292";
src = fetchFromGitHub {
owner = "stenzek";
repo = "duckstation";
- rev = "d5608bf12df7a7e03750cb94a08a3d7999034ae2";
- hash = "sha256-ktfZgacjkN6GQb1vLmyTZMr8QmmH12qAvFSIBTjgRSs=";
+ rev = "0bc42c38aab49030118f507c9783de047769148b";
+ hash = "sha256-8OavixSwEWihFY2fEdsepR1lqWlTH+//xZRKwb7lFCQ=";
};
patches = [
@@ -42,21 +41,19 @@ stdenv.mkDerivation (finalAttrs: {
src = ./002-hardcode-vars.diff;
gitHash = finalAttrs.src.rev;
gitBranch = "master";
- gitTag = "0.1-5889-gd5608bf1";
- gitDate = "2023-09-30T23:20:09+10:00";
+ gitTag = "${finalAttrs.version}-g0bc42c38";
+ gitDate = "2024-02-06T22:47:47+09:00";
})
];
nativeBuildInputs = [
cmake
copyDesktopItems
+ extra-cmake-modules
ninja
pkg-config
qttools
wrapQtAppsHook
- ]
- ++ lib.optionals enableWayland [
- extra-cmake-modules
];
buildInputs = [
@@ -66,9 +63,6 @@ stdenv.mkDerivation (finalAttrs: {
libbacktrace
qtbase
qtsvg
- vulkan-loader
- ]
- ++ lib.optionals enableWayland [
qtwayland
wayland
]
@@ -78,21 +72,6 @@ stdenv.mkDerivation (finalAttrs: {
cmakeFlags = [
(lib.cmakeBool "BUILD_TESTS" true)
- (lib.cmakeBool "ENABLE_WAYLAND" enableWayland)
- ];
-
- desktopItems = [
- (makeDesktopItem {
- name = "duckstation-qt";
- desktopName = "DuckStation";
- genericName = "PlayStation 1 Emulator";
- icon = "duckstation";
- tryExec = "duckstation-qt";
- exec = "duckstation-qt %f";
- comment = "Fast PlayStation 1 emulator";
- categories = [ "Game" "Emulator" "Qt" ];
- type = "Application";
- })
];
doCheck = true;
@@ -110,14 +89,28 @@ stdenv.mkDerivation (finalAttrs: {
cp -r bin $out/share/duckstation
ln -s $out/share/duckstation/duckstation-qt $out/bin/
- install -Dm644 bin/resources/images/duck.png $out/share/pixmaps/duckstation.png
+ install -Dm644 $src/scripts/org.duckstation.DuckStation.desktop $out/share/applications/org.duckstation.DuckStation.desktop
+ install -Dm644 $src/scripts/org.duckstation.DuckStation.png $out/share/pixmaps/org.duckstation.DuckStation.png
runHook postInstall
'';
- qtWrapperArgs = [
- "--prefix LD_LIBRARY_PATH : ${lib.makeLibraryPath ([ vulkan-loader ] ++ cubeb.passthru.backendLibs)}"
- ];
+ qtWrapperArgs =
+ let
+ libPath = lib.makeLibraryPath ([
+ vulkan-loader
+ ] ++ cubeb.passthru.backendLibs);
+ in [
+ "--prefix LD_LIBRARY_PATH : ${libPath}"
+ ];
+
+ # https://github.com/stenzek/duckstation/blob/master/scripts/appimage/apprun-hooks/default-to-x11.sh
+ # Can't avoid the double wrapping, the binary wrapper from qtWrapperArgs doesn't support --run
+ postFixup = ''
+ source "${makeWrapper}/nix-support/setup-hook"
+ wrapProgram $out/bin/duckstation-qt \
+ --run 'if [[ -z $I_WANT_A_BROKEN_WAYLAND_UI ]]; then export QT_QPA_PLATFORM=xcb; fi'
+ '';
meta = {
homepage = "https://github.com/stenzek/duckstation";
diff --git a/pkgs/applications/emulators/emu2/default.nix b/pkgs/applications/emulators/emu2/default.nix
index 7949a3f2b051..a919d644d720 100644
--- a/pkgs/applications/emulators/emu2/default.nix
+++ b/pkgs/applications/emulators/emu2/default.nix
@@ -22,5 +22,6 @@ stdenv.mkDerivation rec {
platforms = platforms.linux;
maintainers = with maintainers; [ AndersonTorres ];
license = licenses.gpl2Plus;
+ mainProgram = "emu2";
};
}
diff --git a/pkgs/applications/emulators/fceux/default.nix b/pkgs/applications/emulators/fceux/default.nix
index 8c5c554d6dc0..6a33b68dbe70 100644
--- a/pkgs/applications/emulators/fceux/default.nix
+++ b/pkgs/applications/emulators/fceux/default.nix
@@ -37,6 +37,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
homepage = "http://www.fceux.com/";
description = "A Nintendo Entertainment System (NES) Emulator";
+ mainProgram = "fceux";
changelog = "https://github.com/TASEmulators/blob/fceux/${finalAttrs.src.rev}/changelog.txt";
license = with lib.licenses; [ gpl2Plus ];
maintainers = with lib.maintainers; [ AndersonTorres sbruder ];
diff --git a/pkgs/applications/emulators/flycast/default.nix b/pkgs/applications/emulators/flycast/default.nix
index ee02b1eee071..2661fada5f79 100644
--- a/pkgs/applications/emulators/flycast/default.nix
+++ b/pkgs/applications/emulators/flycast/default.nix
@@ -56,6 +56,7 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/flyinghead/flycast";
changelog = "https://github.com/flyinghead/flycast/releases/tag/v${version}";
description = "A multi-platform Sega Dreamcast, Naomi and Atomiswave emulator";
+ mainProgram = "flycast";
license = licenses.gpl2Only;
platforms = platforms.unix;
maintainers = [ maintainers.ivar ];
diff --git a/pkgs/applications/emulators/fuse-emulator/default.nix b/pkgs/applications/emulators/fuse-emulator/default.nix
index 91aec6d52ed6..378437ca30c8 100644
--- a/pkgs/applications/emulators/fuse-emulator/default.nix
+++ b/pkgs/applications/emulators/fuse-emulator/default.nix
@@ -22,6 +22,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://fuse-emulator.sourceforge.net/";
description = "ZX Spectrum emulator";
+ mainProgram = "fuse";
license = licenses.gpl2Plus;
platforms = platforms.linux;
maintainers = with maintainers; [ orivej ];
diff --git a/pkgs/applications/emulators/goldberg-emu/default.nix b/pkgs/applications/emulators/goldberg-emu/default.nix
index 5ff25efbf151..7e00ad6a5d68 100644
--- a/pkgs/applications/emulators/goldberg-emu/default.nix
+++ b/pkgs/applications/emulators/goldberg-emu/default.nix
@@ -19,6 +19,11 @@ stdenv.mkDerivation rec {
# It attempts to install windows-only libraries which we never build
patches = [ ./dont-install-unsupported.patch ];
+ postPatch = ''
+ # Fix gcc-13 build failure due to missing include.
+ sed -e '1i #include ' -i dll/settings.h
+ '';
+
nativeBuildInputs = [ cmake ];
buildInputs = [ protobuf ];
diff --git a/pkgs/applications/emulators/gxemul/default.nix b/pkgs/applications/emulators/gxemul/default.nix
index 05f837b18767..88ea01f9d76e 100644
--- a/pkgs/applications/emulators/gxemul/default.nix
+++ b/pkgs/applications/emulators/gxemul/default.nix
@@ -43,5 +43,6 @@ stdenv.mkDerivation rec {
license = licenses.bsd3;
maintainers = with maintainers; [ AndersonTorres ];
platforms = platforms.unix;
+ mainProgram = "gxemul";
};
}
diff --git a/pkgs/applications/emulators/kega-fusion/default.nix b/pkgs/applications/emulators/kega-fusion/default.nix
index f69a9c3206cd..9bf359b88ccd 100644
--- a/pkgs/applications/emulators/kega-fusion/default.nix
+++ b/pkgs/applications/emulators/kega-fusion/default.nix
@@ -75,5 +75,6 @@ in stdenv.mkDerivation {
sourceProvenance = with sourceTypes; [ binaryNativeCode ];
license = licenses.unfreeRedistributable;
platforms = [ "i686-linux" ];
+ mainProgram = "kega-fusion";
};
}
diff --git a/pkgs/applications/emulators/mame/default.nix b/pkgs/applications/emulators/mame/default.nix
index c12135370d6c..e2ed7e5f9c70 100644
--- a/pkgs/applications/emulators/mame/default.nix
+++ b/pkgs/applications/emulators/mame/default.nix
@@ -38,14 +38,14 @@ let
in
stdenv.mkDerivation rec {
pname = "mame";
- version = "0.262";
+ version = "0.263";
srcVersion = builtins.replaceStrings [ "." ] [ "" ] version;
src = fetchFromGitHub {
owner = "mamedev";
repo = "mame";
rev = "mame${srcVersion}";
- hash = "sha256-avVHtnmKPUq+mMtxyaqSaGyrdsi5LXF1YS8JAb2QvBo=";
+ hash = "sha256-6MH4dMGOekiiq4yE68dIAiWWfvQvFcvqKtT/Z1SQ1aY=";
};
outputs = [ "out" "tools" ];
diff --git a/pkgs/applications/emulators/mednafen/default.nix b/pkgs/applications/emulators/mednafen/default.nix
index bcc58ccb76a0..816b6c1bd4d7 100644
--- a/pkgs/applications/emulators/mednafen/default.nix
+++ b/pkgs/applications/emulators/mednafen/default.nix
@@ -94,5 +94,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2Plus;
maintainers = with maintainers; [ AndersonTorres ];
platforms = platforms.unix;
+ mainProgram = "mednafen";
};
}
diff --git a/pkgs/applications/emulators/mednafen/server.nix b/pkgs/applications/emulators/mednafen/server.nix
index 24c13bf0228c..3e11ff41d48d 100644
--- a/pkgs/applications/emulators/mednafen/server.nix
+++ b/pkgs/applications/emulators/mednafen/server.nix
@@ -13,6 +13,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Netplay server for Mednafen";
+ mainProgram = "mednafen-server";
homepage = "https://mednafen.github.io/";
license = licenses.gpl2;
maintainers = with maintainers; [ AndersonTorres ];
diff --git a/pkgs/applications/emulators/mednaffe/default.nix b/pkgs/applications/emulators/mednaffe/default.nix
index 32bb06b27042..75a25a4bec3b 100644
--- a/pkgs/applications/emulators/mednaffe/default.nix
+++ b/pkgs/applications/emulators/mednaffe/default.nix
@@ -33,6 +33,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "GTK-based frontend for mednafen emulator";
+ mainProgram = "mednaffe";
homepage = "https://github.com/AmatCoder/mednaffe";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ sheenobu yana AndersonTorres ];
diff --git a/pkgs/applications/emulators/mgba/default.nix b/pkgs/applications/emulators/mgba/default.nix
index 4e0e8687b61e..457d5c7e4fcd 100644
--- a/pkgs/applications/emulators/mgba/default.nix
+++ b/pkgs/applications/emulators/mgba/default.nix
@@ -5,7 +5,7 @@
, ffmpeg
, discord-rpc
, libedit
-, libelf
+, elfutils
, libepoxy
, libsForQt5
, libzip
@@ -49,7 +49,7 @@ stdenv.mkDerivation (finalAttrs: {
SDL2
ffmpeg
libedit
- libelf
+ elfutils
libepoxy
libzip
lua
diff --git a/pkgs/applications/emulators/oberon-risc-emu/default.nix b/pkgs/applications/emulators/oberon-risc-emu/default.nix
index 749e3549bf80..331473f59fed 100644
--- a/pkgs/applications/emulators/oberon-risc-emu/default.nix
+++ b/pkgs/applications/emulators/oberon-risc-emu/default.nix
@@ -23,5 +23,6 @@ stdenv.mkDerivation {
description = "Emulator for the Oberon RISC machine";
license = licenses.isc;
maintainers = with maintainers; [ siraben ];
+ mainProgram = "risc";
};
}
diff --git a/pkgs/applications/emulators/openmsx/default.nix b/pkgs/applications/emulators/openmsx/default.nix
index c9f7827d09ab..1c34c0025c8e 100644
--- a/pkgs/applications/emulators/openmsx/default.nix
+++ b/pkgs/applications/emulators/openmsx/default.nix
@@ -71,5 +71,6 @@ stdenv.mkDerivation (finalAttrs: {
license = with licenses; [ bsd2 boost gpl2Plus ];
maintainers = with maintainers; [ AndersonTorres ];
platforms = platforms.unix;
+ mainProgram = "openmsx";
};
})
diff --git a/pkgs/applications/emulators/pcem/default.nix b/pkgs/applications/emulators/pcem/default.nix
index bd9503f01778..e680dd450d30 100644
--- a/pkgs/applications/emulators/pcem/default.nix
+++ b/pkgs/applications/emulators/pcem/default.nix
@@ -21,6 +21,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Emulator for IBM PC computers and clones";
+ mainProgram = "pcem";
homepage = "https://pcem-emulator.co.uk/";
license = licenses.gpl2Only;
maintainers = [ maintainers.terin ];
diff --git a/pkgs/applications/emulators/pcsx2/default.nix b/pkgs/applications/emulators/pcsx2/default.nix
index ce14caab746d..cb39b5417b09 100644
--- a/pkgs/applications/emulators/pcsx2/default.nix
+++ b/pkgs/applications/emulators/pcsx2/default.nix
@@ -36,20 +36,20 @@ let
pcsx2_patches = fetchFromGitHub {
owner = "PCSX2";
repo = "pcsx2_patches";
- rev = "189f79d73f8cd9fd85c7394a14ee4419ddfa267b";
- sha256 = "sha256-gxwAxR7N7QU4sTGHTdd656dmsW8MrcfroYPvv2UoeRc=";
+ rev = "e3b354f144de71d2b87471166cca8911867c1dfd";
+ sha256 = "sha256-H7cFyBYZumcCZ0/FFOFZoChoi0XPs4siA4dHcFt9U7k=";
};
in
llvmPackages_17.stdenv.mkDerivation rec {
pname = "pcsx2";
- version = "1.7.5497";
+ version = "1.7.5587";
src = fetchFromGitHub {
owner = "PCSX2";
repo = "pcsx2";
fetchSubmodules = true;
rev = "v${version}";
- sha256 = "sha256-gbJkeelSyEHwD4DH/hbzPNNv47hmdgc4kyvX38txYhc=";
+ sha256 = "sha256-PCZ1r6x28Z5FEVMXWm4oxpTknz/XEiwo0rRGhn4B33g=";
};
patches = [
diff --git a/pkgs/applications/emulators/punes/default.nix b/pkgs/applications/emulators/punes/default.nix
index 529dce536430..ba5f1205a58b 100644
--- a/pkgs/applications/emulators/punes/default.nix
+++ b/pkgs/applications/emulators/punes/default.nix
@@ -1,7 +1,6 @@
{ stdenv
, lib
, fetchFromGitHub
-, fetchpatch
, gitUpdater
, cmake
, pkg-config
@@ -17,26 +16,17 @@
, wrapQtAppsHook
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "punes";
- version = "0.110";
+ version = "0.111";
src = fetchFromGitHub {
owner = "punesemu";
repo = "puNES";
- rev = "v${version}";
- sha256 = "sha256-+hL168r40aYUjyLbWFXWk9G2srrrG1TH1gLYMliHftU=";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-TIXjYkInWV3yVnvXrdHcmeWYeps5TcvkG2Xjg4roIds=";
};
- patches = [
- # Fixes compilation on aarch64
- # Remove when version > 0.110
- (fetchpatch {
- url = "https://github.com/punesemu/puNES/commit/90dd5bc90412bbd199c2716f67a24aa88b24d80f.patch";
- hash = "sha256-/KNpTds4qjwyaTUebWWPlVXfuxVh6M4zOInxUfYztJg=";
- })
- ];
-
nativeBuildInputs = [
cmake
pkg-config
@@ -71,9 +61,11 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Qt-based Nintendo Entertainment System emulator and NSF/NSFe Music Player";
+ mainProgram = "punes";
homepage = "https://github.com/punesemu/puNES";
+ changelog = "https://github.com/punesemu/puNES/blob/v${finalAttrs.version}/ChangeLog";
license = licenses.gpl2Plus;
maintainers = with maintainers; [ OPNA2608 ];
platforms = with platforms; linux ++ freebsd ++ openbsd ++ windows;
};
-}
+})
diff --git a/pkgs/applications/emulators/retroarch/cores.nix b/pkgs/applications/emulators/retroarch/cores.nix
index 5f0585401235..b42966f5a10c 100644
--- a/pkgs/applications/emulators/retroarch/cores.nix
+++ b/pkgs/applications/emulators/retroarch/cores.nix
@@ -7,10 +7,14 @@
, cmake
, curl
, fetchFromGitHub
+, fetchpatch
, ffmpeg
, ffmpeg_4
, fluidsynth
+, fmt
+, freetype
, gettext
+, harfbuzz
, hexdump
, hidapi
, icu
@@ -19,21 +23,28 @@
, libGL
, libGLU
, libjpeg
+, liblcf
, libpcap
, libpng
+, libsndfile
, libvorbis
, libxml2
+, libxmp
, libzip
, makeWrapper
+, mpg123
, nasm
, openssl
+, opusfile
, pcre
+, pixman
, pkg-config
, portaudio
, python3
, retroarch
, sfml
, snappy
+, speexdsp
, udev
, which
, xorg
@@ -48,13 +59,15 @@ let
getCore = repo: (lib.getAttr repo hashesFile);
getCoreSrc = repo:
- (lib.pipe repo [
- getCore
- (x: builtins.removeAttrs x [ "date" ])
- fetchFromGitHub
- ]);
+ let
+ inherit (getCore repo) src fetcher;
+ fetcherFn = {
+ inherit fetchFromGitHub;
+ }.${fetcher} or (throw "Unknown fetcher: ${fetcher}");
+ in
+ fetcherFn src;
- getCoreDate = repo: (getCore repo).date or "unstable-1970-01-01";
+ getCoreVersion = repo: (getCore repo).version;
mkLibretroCore =
# Sometimes core name != repo name, so you may need to set them differently
@@ -67,7 +80,7 @@ let
{ core
, repo ? core
, src ? (getCoreSrc repo)
- , version ? (getCoreDate repo)
+ , version ? (getCoreVersion repo)
, ...
}@args:
import ./mkLibretroCore.nix ({
@@ -398,6 +411,32 @@ in
};
};
+ easyrpg = mkLibretroCore {
+ core = "easyrpg";
+ extraNativeBuildInputs = [ cmake pkg-config ];
+ extraBuildInputs = [ fmt freetype harfbuzz liblcf libpng libsndfile libvorbis libxmp mpg123 opusfile pcre pixman speexdsp ];
+ patches = [
+ # The following patch is shared with easyrpg-player.
+ # Update when new versions of liblcf and easyrpg-player are released.
+ # See pkgs/games/easyrpg-player/default.nix for details.
+ (fetchpatch {
+ name = "0001-Fix-building-with-fmtlib-10.patch";
+ url = "https://github.com/EasyRPG/Player/commit/ab6286f6d01bada649ea52d1f0881dde7db7e0cf.patch";
+ hash = "sha256-GdSdVFEG1OJCdf2ZIzTP+hSrz+ddhTMBvOPjvYQHy54=";
+ })
+ ];
+ cmakeFlags = [
+ "-DBUILD_SHARED_LIBS=ON"
+ "-DPLAYER_TARGET_PLATFORM=libretro"
+ "-DCMAKE_INSTALL_DATADIR=${placeholder "out"}/share"
+ ];
+ makefile = "Makefile";
+ meta = {
+ description = "EasyRPG Player libretro port";
+ license = lib.licenses.gpl3Only;
+ };
+ };
+
eightyone = mkLibretroCore {
core = "81";
repo = "eightyone";
diff --git a/pkgs/applications/emulators/retroarch/hashes.json b/pkgs/applications/emulators/retroarch/hashes.json
index 7f66bccd8f81..459109e9247b 100644
--- a/pkgs/applications/emulators/retroarch/hashes.json
+++ b/pkgs/applications/emulators/retroarch/hashes.json
@@ -1,626 +1,902 @@
{
+ "!comment": "Generated with update_cores.py script, do not edit!",
"2048": {
- "owner": "libretro",
- "repo": "libretro-2048",
- "rev": "331c1de588ed8f8c370dcbc488e5434a3c09f0f2",
- "hash": "sha256-gPrAmoBnfuTnW6t699pqS43vE6t0ca3jZcqTNRaJipA=",
- "date": "unstable-2023-02-20"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "libretro-2048",
+ "rev": "331c1de588ed8f8c370dcbc488e5434a3c09f0f2",
+ "hash": "sha256-gPrAmoBnfuTnW6t699pqS43vE6t0ca3jZcqTNRaJipA="
+ },
+ "version": "unstable-2023-02-20"
},
"atari800": {
- "owner": "libretro",
- "repo": "libretro-atari800",
- "rev": "410d7bf0c215f3444793a9cec51c129e7b67c400",
- "hash": "sha256-mUhAraZrmElB6rxQziQG6I2sCdkiX5wYBJhkZgpMSa0=",
- "date": "unstable-2023-11-14"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "libretro-atari800",
+ "rev": "410d7bf0c215f3444793a9cec51c129e7b67c400",
+ "hash": "sha256-mUhAraZrmElB6rxQziQG6I2sCdkiX5wYBJhkZgpMSa0="
+ },
+ "version": "unstable-2023-11-14"
},
"beetle-gba": {
- "owner": "libretro",
- "repo": "beetle-gba-libretro",
- "rev": "38182572571a48cb58057cde64b915237c4e2d58",
- "hash": "sha256-4xnXWswozlcXBNI1lbGSNW/gAdIeLLO9Bf1SxOFLhSo=",
- "date": "unstable-2021-09-18"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "beetle-gba-libretro",
+ "rev": "38182572571a48cb58057cde64b915237c4e2d58",
+ "hash": "sha256-4xnXWswozlcXBNI1lbGSNW/gAdIeLLO9Bf1SxOFLhSo="
+ },
+ "version": "unstable-2021-09-18"
},
"beetle-lynx": {
- "owner": "libretro",
- "repo": "beetle-lynx-libretro",
- "rev": "48909ddd1aba4de034d9c1da70c460b1724daa3b",
- "hash": "sha256-aAS9N54kA2st1+3BodiXDR4sbUDSvoFHpa28D9sohx4=",
- "date": "unstable-2023-11-01"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "beetle-lynx-libretro",
+ "rev": "48909ddd1aba4de034d9c1da70c460b1724daa3b",
+ "hash": "sha256-aAS9N54kA2st1+3BodiXDR4sbUDSvoFHpa28D9sohx4="
+ },
+ "version": "unstable-2023-11-01"
},
"beetle-ngp": {
- "owner": "libretro",
- "repo": "beetle-ngp-libretro",
- "rev": "673c3d924ff33d71c6a342b170eff5359244df1f",
- "hash": "sha256-V3zcbEwqay3eXwXzXZkmHj3+rx9KY4r0WkzAYFZXlgY=",
- "date": "unstable-2023-11-01"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "beetle-ngp-libretro",
+ "rev": "673c3d924ff33d71c6a342b170eff5359244df1f",
+ "hash": "sha256-V3zcbEwqay3eXwXzXZkmHj3+rx9KY4r0WkzAYFZXlgY="
+ },
+ "version": "unstable-2023-11-01"
},
"beetle-pce": {
- "owner": "libretro",
- "repo": "beetle-pce-libretro",
- "rev": "753f067738e55a6325d3ca5206151a9acd9127f0",
- "hash": "sha256-OWvoIi0DS3YhxK1S6PAbCNZwKKXti6brZlWVCJELfKY=",
- "date": "unstable-2024-02-09"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "beetle-pce-libretro",
+ "rev": "20b86372b5f490394099ee0ad06e0eb3a4fd5c2e",
+ "hash": "sha256-jYV2eiBSLj9H2RIau8NwYLGV2SY7GqygEYTJ3YVoMNc="
+ },
+ "version": "unstable-2024-03-22"
},
"beetle-pce-fast": {
- "owner": "libretro",
- "repo": "beetle-pce-fast-libretro",
- "rev": "86a80e1ba551f9a4627b8394901db0ee365c1442",
- "hash": "sha256-aIDc4jzliVLpI2Xetcd5tG74/xvIlqRdVEb72yHrsCo=",
- "date": "unstable-2024-02-09"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "beetle-pce-fast-libretro",
+ "rev": "9bfba0eb494cd994087cc41e5204cc11484ceae0",
+ "hash": "sha256-NnI3Yh4vD5edI0rWbiU2XXYppzv5FvoZr52ikOcTUo4="
+ },
+ "version": "unstable-2024-03-15"
},
"beetle-pcfx": {
- "owner": "libretro",
- "repo": "beetle-pcfx-libretro",
- "rev": "47c355b6a515aef6dc57f57df1535570108a0e21",
- "hash": "sha256-ylFo/wmLQpQGYSrv9PF2DBmr/8rklmHF9R+3y8v93Rs=",
- "date": "unstable-2023-05-28"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "beetle-pcfx-libretro",
+ "rev": "47c355b6a515aef6dc57f57df1535570108a0e21",
+ "hash": "sha256-ylFo/wmLQpQGYSrv9PF2DBmr/8rklmHF9R+3y8v93Rs="
+ },
+ "version": "unstable-2023-05-28"
},
"beetle-psx": {
- "owner": "libretro",
- "repo": "beetle-psx-libretro",
- "rev": "3adff889b9b8251526ca7dae963be46bf8401e2e",
- "hash": "sha256-DaDzoAQJLuer/c+V1bJGbejnyGYB2RYdebZ1YIoVRKw=",
- "date": "unstable-2024-02-09"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "beetle-psx-libretro",
+ "rev": "77060aa536c81075371c79621c95772fef765868",
+ "hash": "sha256-IZXn3Yn1BndRCjKCzzzcWyMBFBzKAoQ732XK32FiYK4="
+ },
+ "version": "unstable-2024-03-15"
},
"beetle-saturn": {
- "owner": "libretro",
- "repo": "beetle-saturn-libretro",
- "rev": "cd395e9e3ee407608450ebc565e871b24e7ffed6",
- "hash": "sha256-EIZRv1EydfLWFoBb8TzvAY3kkL9Qr2OrwrljOnnM92A=",
- "date": "unstable-2023-05-28"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "beetle-saturn-libretro",
+ "rev": "8192ecca34d44f8f85175fa7b7fab6ec2ffb31c2",
+ "hash": "sha256-2YDfyIEoCj9dM+d3+UOTFVNA56OnBNO5HgJAjoV7Xik="
+ },
+ "version": "unstable-2024-02-25"
},
"beetle-supafaust": {
- "owner": "libretro",
- "repo": "supafaust",
- "rev": "6b639c98372d1c9bac885c55d772c812d2a9d525",
- "hash": "sha256-EVXwjrxooZm1JqG4HswUe8zwN81Rm7SPB5Fr4WfpTnc=",
- "date": "unstable-2023-06-19"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "supafaust",
+ "rev": "6b639c98372d1c9bac885c55d772c812d2a9d525",
+ "hash": "sha256-EVXwjrxooZm1JqG4HswUe8zwN81Rm7SPB5Fr4WfpTnc="
+ },
+ "version": "unstable-2023-06-19"
},
"beetle-supergrafx": {
- "owner": "libretro",
- "repo": "beetle-supergrafx-libretro",
- "rev": "32070ffd0082fd5127519bb6e92a2daecc359408",
- "hash": "sha256-ZBZtDMP2inarEuLE76Zw1/qZ2YfyTJy+2eN10hhpn64=",
- "date": "unstable-2024-02-09"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "beetle-supergrafx-libretro",
+ "rev": "e41f864c0abb36aef20f8e37cd9d9a92c00a9221",
+ "hash": "sha256-LkkW5mQmwv89ZECssVh43ezwe4xMagUOiSz82OupISQ="
+ },
+ "version": "unstable-2024-03-22"
},
"beetle-vb": {
- "owner": "libretro",
- "repo": "beetle-vb-libretro",
- "rev": "9d1bd03f21dac7897f65269e1095496331efce8b",
- "hash": "sha256-CT6CfRe8TOgXuJoUA0TKl71m10XeocUCTUjh88eCenU=",
- "date": "unstable-2023-11-01"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "beetle-vb-libretro",
+ "rev": "9d1bd03f21dac7897f65269e1095496331efce8b",
+ "hash": "sha256-CT6CfRe8TOgXuJoUA0TKl71m10XeocUCTUjh88eCenU="
+ },
+ "version": "unstable-2023-11-01"
},
"beetle-wswan": {
- "owner": "libretro",
- "repo": "beetle-wswan-libretro",
- "rev": "32bf70a3032a138baa969c22445f4b7821632c30",
- "hash": "sha256-dDph7LNlvzVMVTzkUfGErMEb/tALpCADgTjnzjUHYJU=",
- "date": "unstable-2023-11-01"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "beetle-wswan-libretro",
+ "rev": "32bf70a3032a138baa969c22445f4b7821632c30",
+ "hash": "sha256-dDph7LNlvzVMVTzkUfGErMEb/tALpCADgTjnzjUHYJU="
+ },
+ "version": "unstable-2023-11-01"
},
"blastem": {
- "owner": "libretro",
- "repo": "blastem",
- "rev": "277e4a62668597d4f59cadda1cbafb844f981d45",
- "hash": "sha256-EHvKElPw8V5Z6LnMaQXBCdM4niLIlF3aBm8dRbeYXHs=",
- "date": "unstable-2022-07-26"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "blastem",
+ "rev": "277e4a62668597d4f59cadda1cbafb844f981d45",
+ "hash": "sha256-EHvKElPw8V5Z6LnMaQXBCdM4niLIlF3aBm8dRbeYXHs="
+ },
+ "version": "unstable-2022-07-26"
},
"bluemsx": {
- "owner": "libretro",
- "repo": "bluemsx-libretro",
- "rev": "e8a4280bcbd149d1e020adcd9469ad9d8bd67412",
- "hash": "sha256-uh4lMOCN1WXKVJybFkkGxIRWAlde74yPH5eaB1/1qsk=",
- "date": "unstable-2023-11-10"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "bluemsx-libretro",
+ "rev": "e8a4280bcbd149d1e020adcd9469ad9d8bd67412",
+ "hash": "sha256-uh4lMOCN1WXKVJybFkkGxIRWAlde74yPH5eaB1/1qsk="
+ },
+ "version": "unstable-2023-11-10"
},
"bsnes": {
- "owner": "libretro",
- "repo": "bsnes-libretro",
- "rev": "d230353616ab4c7dc01a2f2a63865011bd5c7ffd",
- "hash": "sha256-TiOdptWOb13UQ8jKDbIlZQQ3mY3h/lPHr/GskPVAkwA=",
- "date": "unstable-2024-02-09"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "bsnes-libretro",
+ "rev": "494d53b11d875a51f2de9e8fe25db038ae4c63fa",
+ "hash": "sha256-hLFT4m0900+2PAmVS41Z5uf3Ir2hg2pCbs2der8CG+k="
+ },
+ "version": "unstable-2024-03-15"
},
"bsnes-hd": {
- "owner": "DerKoun",
- "repo": "bsnes-hd",
- "rev": "f46b6d6368ea93943a30b5d4e79e8ed51c2da5e8",
- "hash": "sha256-Y3FhGtcz7BzwUSBy1SGMuylJdZti/JB8qQnabIkG/dI=",
- "date": "unstable-2023-04-26"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "DerKoun",
+ "repo": "bsnes-hd",
+ "rev": "f46b6d6368ea93943a30b5d4e79e8ed51c2da5e8",
+ "hash": "sha256-Y3FhGtcz7BzwUSBy1SGMuylJdZti/JB8qQnabIkG/dI="
+ },
+ "version": "unstable-2023-04-26"
},
"bsnes-mercury": {
- "owner": "libretro",
- "repo": "bsnes-mercury",
- "rev": "60c204ca17941704110885a815a65c740572326f",
- "hash": "sha256-eJ0lac1I7E4YdsVVrIuXx31UL9w3OR6QTVHq5YBgnJU=",
- "date": "unstable-2023-11-01"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "bsnes-mercury",
+ "rev": "60c204ca17941704110885a815a65c740572326f",
+ "hash": "sha256-eJ0lac1I7E4YdsVVrIuXx31UL9w3OR6QTVHq5YBgnJU="
+ },
+ "version": "unstable-2023-11-01"
},
"citra": {
- "owner": "libretro",
- "repo": "citra",
- "rev": "2d67658e85de4767c0eefeb2829d710401c5c802",
- "hash": "sha256-u2XwAudFgI7j/k6Bq5fk874aI6KpZawlBoIs2+M+eZY=",
- "fetchSubmodules": true,
- "date": "unstable-2024-01-24"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "citra",
+ "rev": "2d67658e85de4767c0eefeb2829d710401c5c802",
+ "hash": "sha256-u2XwAudFgI7j/k6Bq5fk874aI6KpZawlBoIs2+M+eZY=",
+ "fetchSubmodules": true
+ },
+ "version": "unstable-2024-01-24"
},
"desmume": {
- "owner": "libretro",
- "repo": "desmume",
- "rev": "b518fec54b79f2f71a7536715efcdcd7f60638a8",
- "hash": "sha256-X6ZL+XdsrdPoOU5zqNsUraMrlPU/HmKWUolrWjFcbDQ=",
- "date": "unstable-2024-01-11"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "desmume",
+ "rev": "b518fec54b79f2f71a7536715efcdcd7f60638a8",
+ "hash": "sha256-X6ZL+XdsrdPoOU5zqNsUraMrlPU/HmKWUolrWjFcbDQ="
+ },
+ "version": "unstable-2024-01-11"
},
"desmume2015": {
- "owner": "libretro",
- "repo": "desmume2015",
- "rev": "af397ff3d1f208c27f3922cc8f2b8e08884ba893",
- "hash": "sha256-kEb+og4g7rJvCinBZKcb42geZO6W8ynGsTG9yqYgI+U=",
- "date": "unstable-2022-04-05"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "desmume2015",
+ "rev": "af397ff3d1f208c27f3922cc8f2b8e08884ba893",
+ "hash": "sha256-kEb+og4g7rJvCinBZKcb42geZO6W8ynGsTG9yqYgI+U="
+ },
+ "version": "unstable-2022-04-05"
},
"dolphin": {
- "owner": "libretro",
- "repo": "dolphin",
- "rev": "2f4b0f7902257d40a054f60b2c670d6e314f2a04",
- "hash": "sha256-9WYWbLehExYbPmGJpguhVFXqFJ9aR6VxzFVChd4QOEg=",
- "date": "unstable-2022-12-17"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "dolphin",
+ "rev": "2f4b0f7902257d40a054f60b2c670d6e314f2a04",
+ "hash": "sha256-9WYWbLehExYbPmGJpguhVFXqFJ9aR6VxzFVChd4QOEg="
+ },
+ "version": "unstable-2022-12-17"
},
"dosbox": {
- "owner": "libretro",
- "repo": "dosbox-libretro",
- "rev": "b7b24262c282c0caef2368c87323ff8c381b3102",
- "hash": "sha256-PG2eElenlEpu0U/NIh53p0uLqewnEdaq6Aoak5E1P3I=",
- "date": "unstable-2022-07-18"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "dosbox-libretro",
+ "rev": "b7b24262c282c0caef2368c87323ff8c381b3102",
+ "hash": "sha256-PG2eElenlEpu0U/NIh53p0uLqewnEdaq6Aoak5E1P3I="
+ },
+ "version": "unstable-2022-07-18"
},
"dosbox-pure": {
- "owner": "schellingb",
- "repo": "dosbox-pure",
- "rev": "87bf6365158325b76ff238c1ad8daf16a859bbe8",
- "hash": "sha256-IU5AnOEuwZm/bJ9NuxhTQ8Tb5ngmjysLj/om/6P730s=",
- "date": "unstable-2023-12-29"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "schellingb",
+ "repo": "dosbox-pure",
+ "rev": "87bf6365158325b76ff238c1ad8daf16a859bbe8",
+ "hash": "sha256-IU5AnOEuwZm/bJ9NuxhTQ8Tb5ngmjysLj/om/6P730s="
+ },
+ "version": "unstable-2023-12-29"
+ },
+ "easyrpg": {
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "EasyRPG",
+ "repo": "Player",
+ "rev": "f8e41f43b619413f95847536412b56f85307d378",
+ "hash": "sha256-nvWM4czTv/GxY9raomBEn7dmKBeLtSA9nvjMJxc3Q8s=",
+ "fetchSubmodules": true
+ },
+ "version": "unstable-2023-04-29"
},
"eightyone": {
- "owner": "libretro",
- "repo": "81-libretro",
- "rev": "525d5c18f1ff3fc54c37e083a475225d9179d59d",
- "hash": "sha256-H0w9hcAUVOGr0PtNLVdFQScxd3ildZZ68w+TL7vG4jk=",
- "date": "unstable-2023-11-01"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "81-libretro",
+ "rev": "525d5c18f1ff3fc54c37e083a475225d9179d59d",
+ "hash": "sha256-H0w9hcAUVOGr0PtNLVdFQScxd3ildZZ68w+TL7vG4jk="
+ },
+ "version": "unstable-2023-11-01"
},
"fbalpha2012": {
- "owner": "libretro",
- "repo": "fbalpha2012",
- "rev": "b7ac554c53561d41640372f23dab15cd6fc4f0c4",
- "hash": "sha256-BaeMLej2MLc4uipqTD2z2sHUeOsc50Q1c+PEiPD1cks=",
- "date": "unstable-2023-11-01"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "fbalpha2012",
+ "rev": "b7ac554c53561d41640372f23dab15cd6fc4f0c4",
+ "hash": "sha256-BaeMLej2MLc4uipqTD2z2sHUeOsc50Q1c+PEiPD1cks="
+ },
+ "version": "unstable-2023-11-01"
},
"fbneo": {
- "owner": "libretro",
- "repo": "fbneo",
- "rev": "bb7aa7ea1e3a9a293fcf4e2b15994afde2e52899",
- "hash": "sha256-XTOZGKq02obnzbtUEAEs99Kxhd8hFqLjI/smwtNAU8Q=",
- "date": "unstable-2024-02-08"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "fbneo",
+ "rev": "b6c6d883df5e9e9a9a5d4b9f09e796702b08d177",
+ "hash": "sha256-dLDtcjp68caRwc3wLj80Oj7HA/SlW7/eswbY1n3aRAc="
+ },
+ "version": "unstable-2024-03-21"
},
"fceumm": {
- "owner": "libretro",
- "repo": "libretro-fceumm",
- "rev": "63643ba02c8eaea15dbe167ef907f3da7a3e6fd7",
- "hash": "sha256-xy8hzZ7nt2hHjRJmsty/w/cPzEtdlSkmNAsog3+h5YU=",
- "date": "unstable-2024-01-25"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "libretro-fceumm",
+ "rev": "40969671ce9e4b1a49165d836476cd71bb960131",
+ "hash": "sha256-wdAigh3qUzB3wmh6q/dwCHHhuyqyAmqV+NSvrzjODVM="
+ },
+ "version": "unstable-2024-03-02"
},
"flycast": {
- "owner": "flyinghead",
- "repo": "flycast",
- "rev": "44fa364f36c43bed19b055096600f075c656f78c",
- "hash": "sha256-UfASq8OXtsfubMUfke7P6HTygM/9fP421IoLQeJvPgY=",
- "fetchSubmodules": true,
- "date": "unstable-2024-02-09"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "flyinghead",
+ "repo": "flycast",
+ "rev": "40cdef6c1c9bd73bf3a55d412e30c25bbcf2b59c",
+ "hash": "sha256-+3PqeLT6i2HesVaA5b13bavNqH0mqeFIkHfhusdzWYU=",
+ "fetchSubmodules": true
+ },
+ "version": "unstable-2024-03-19"
},
"fmsx": {
- "owner": "libretro",
- "repo": "fmsx-libretro",
- "rev": "9b5cf868825a629cc4c7086768338165d3bbf706",
- "hash": "sha256-zDDAMzV+pfu+AwjgXwduPfHyW1rQnvaDpFvz++QBBkA=",
- "date": "unstable-2024-02-08"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "fmsx-libretro",
+ "rev": "9b5cf868825a629cc4c7086768338165d3bbf706",
+ "hash": "sha256-zDDAMzV+pfu+AwjgXwduPfHyW1rQnvaDpFvz++QBBkA="
+ },
+ "version": "unstable-2024-02-08"
},
"freeintv": {
- "owner": "libretro",
- "repo": "freeintv",
- "rev": "85bf25a39a34bbc39fe36677175d87c2b597dbe7",
- "hash": "sha256-4cU/YRZZb7EWNBJX8M91Lb+bCCIlks6xX2Cf6Iq/g9g=",
- "date": "unstable-2023-04-17"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "freeintv",
+ "rev": "85bf25a39a34bbc39fe36677175d87c2b597dbe7",
+ "hash": "sha256-4cU/YRZZb7EWNBJX8M91Lb+bCCIlks6xX2Cf6Iq/g9g="
+ },
+ "version": "unstable-2023-04-17"
},
"fuse": {
- "owner": "libretro",
- "repo": "fuse-libretro",
- "rev": "847dbbd6f787823ac9a5dfacdd68ab181063374e",
- "hash": "sha256-jzS7SFALV/YjI77ST+IWHwUsuhT+Zr5w4t6C7O8yzFM=",
- "date": "unstable-2023-06-23"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "fuse-libretro",
+ "rev": "847dbbd6f787823ac9a5dfacdd68ab181063374e",
+ "hash": "sha256-jzS7SFALV/YjI77ST+IWHwUsuhT+Zr5w4t6C7O8yzFM="
+ },
+ "version": "unstable-2023-06-23"
},
"gambatte": {
- "owner": "libretro",
- "repo": "gambatte-libretro",
- "rev": "05c4e10168aa3070b4ea01f7da7ab1c0d4241103",
- "hash": "sha256-W/s8FWjFOIcclLkbM5s2+2dcvr+X2My5319SvRo5/lU=",
- "date": "unstable-2024-02-09"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "gambatte-libretro",
+ "rev": "b2dadf0c4ebcc70f04245d8bc1eefc2b8d56cbe3",
+ "hash": "sha256-GvZO2TJM8L65/aWp3KRsA7VpAmS6Gz3e64LDcOt97qc="
+ },
+ "version": "unstable-2024-03-15"
},
"genesis-plus-gx": {
- "owner": "libretro",
- "repo": "Genesis-Plus-GX",
- "rev": "ecb956d914d6bc4e5deb49384bc929939e9a19e5",
- "hash": "sha256-Fk+Ldjav+yQl6fkYESR6t1JEOKiCZYCW386QL4ozE68=",
- "date": "unstable-2024-02-06"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "Genesis-Plus-GX",
+ "rev": "667158b034ce860e345b13e1ed927fea9d155b96",
+ "hash": "sha256-uerlejdqJO+vPDs1K7dAxImfJzWrEWlVhF/uTaQoo6E="
+ },
+ "version": "unstable-2024-03-15"
},
"gpsp": {
- "owner": "libretro",
- "repo": "gpsp",
- "rev": "4caf7a167d159866479ea94d6b2d13c26ceb3e72",
- "hash": "sha256-1hkxeTjY52YuphQuDMCITn/dIcNx/8w4FkhQjL8DWz8=",
- "date": "unstable-2024-02-10"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "gpsp",
+ "rev": "4caf7a167d159866479ea94d6b2d13c26ceb3e72",
+ "hash": "sha256-1hkxeTjY52YuphQuDMCITn/dIcNx/8w4FkhQjL8DWz8="
+ },
+ "version": "unstable-2024-02-10"
},
"gw": {
- "owner": "libretro",
- "repo": "gw-libretro",
- "rev": "0ecff52b11c327af52b22ea94b268c90472b6732",
- "hash": "sha256-N/nZoo+duk7XhRtNdV1paWzxYUhv8nLUcnnOs2gbZuQ=",
- "date": "unstable-2023-05-28"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "gw-libretro",
+ "rev": "0ecff52b11c327af52b22ea94b268c90472b6732",
+ "hash": "sha256-N/nZoo+duk7XhRtNdV1paWzxYUhv8nLUcnnOs2gbZuQ="
+ },
+ "version": "unstable-2023-05-28"
},
"handy": {
- "owner": "libretro",
- "repo": "libretro-handy",
- "rev": "65d6b865544cd441ef2bd18cde7bd834c23d0e48",
- "hash": "sha256-F4WyiZBNTh8hjuCooZXQkzov0vcHNni6d5mbAMgzAiA=",
- "date": "unstable-2024-01-01"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "libretro-handy",
+ "rev": "65d6b865544cd441ef2bd18cde7bd834c23d0e48",
+ "hash": "sha256-F4WyiZBNTh8hjuCooZXQkzov0vcHNni6d5mbAMgzAiA="
+ },
+ "version": "unstable-2024-01-01"
},
"hatari": {
- "owner": "libretro",
- "repo": "hatari",
- "rev": "a4c9eb0bb79e47a2870c12b04566c1f8d25e4bf3",
- "hash": "sha256-mHz2nB9Vr/PVifd6w+kz7ZCH+N8igmcS8InvevZoSpE=",
- "date": "unstable-2023-09-29"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "hatari",
+ "rev": "a4c9eb0bb79e47a2870c12b04566c1f8d25e4bf3",
+ "hash": "sha256-mHz2nB9Vr/PVifd6w+kz7ZCH+N8igmcS8InvevZoSpE="
+ },
+ "version": "unstable-2023-09-29"
},
"mame": {
- "owner": "libretro",
- "repo": "mame",
- "rev": "8ebaec4073703f5050dac3f6c8da408943e15938",
- "hash": "sha256-CFCem9MiaHW2flEZyJkcC9JEGzx7Ox/uqrTY3jue+Pk=",
- "date": "unstable-2024-02-13"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "mame",
+ "rev": "6d6d21fd9e41dab2b0e0ca0587baf3fcad18fd67",
+ "hash": "sha256-8pPDIxnEeeTQl160E+sg/wmchOR53pQmbhvEAXRFif0="
+ },
+ "version": "unstable-2024-02-29"
},
"mame2000": {
- "owner": "libretro",
- "repo": "mame2000-libretro",
- "rev": "1472da3a39ab14fff8325b1f51a1dfdb8eabb5c8",
- "hash": "sha256-Nd5OqkoMJZ8TzEZGqDT0YX6lHK/H3I5EqJ841PteLi8=",
- "date": "unstable-2023-10-31"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "mame2000-libretro",
+ "rev": "1472da3a39ab14fff8325b1f51a1dfdb8eabb5c8",
+ "hash": "sha256-Nd5OqkoMJZ8TzEZGqDT0YX6lHK/H3I5EqJ841PteLi8="
+ },
+ "version": "unstable-2023-10-31"
},
"mame2003": {
- "owner": "libretro",
- "repo": "mame2003-libretro",
- "rev": "838f84f14422529c37bbb9803eb649209c8ba4e8",
- "hash": "sha256-NiqlA4FjHS0GLypEg6QbhEJlhV0YU7VmMquzqnyr7aA=",
- "date": "unstable-2024-02-08"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "mame2003-libretro",
+ "rev": "838f84f14422529c37bbb9803eb649209c8ba4e8",
+ "hash": "sha256-NiqlA4FjHS0GLypEg6QbhEJlhV0YU7VmMquzqnyr7aA="
+ },
+ "version": "unstable-2024-02-08"
},
"mame2003-plus": {
- "owner": "libretro",
- "repo": "mame2003-plus-libretro",
- "rev": "a4d62997d332acc709c9644641863c5498e01eb0",
- "hash": "sha256-9+pxx/fhNsvAMYDqalkkdljaR8/XxuMMSrrz7KeJtDU=",
- "date": "unstable-2024-02-13"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "mame2003-plus-libretro",
+ "rev": "8e60ed0217ff6206768d7bdc6313e86c4c852405",
+ "hash": "sha256-zq143CSDMSBQ/e7kntz63cTaKk552IKfCoRGmt5jYik="
+ },
+ "version": "unstable-2024-03-21"
},
"mame2010": {
- "owner": "libretro",
- "repo": "mame2010-libretro",
- "rev": "5f524dd5fca63ec1dcf5cca63885286109937587",
- "hash": "sha256-OmJgDdlan/niGQfajv0KNG8NJfEKn7Nfe6GRQD+TZ8M=",
- "date": "unstable-2022-06-14"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "mame2010-libretro",
+ "rev": "5f524dd5fca63ec1dcf5cca63885286109937587",
+ "hash": "sha256-OmJgDdlan/niGQfajv0KNG8NJfEKn7Nfe6GRQD+TZ8M="
+ },
+ "version": "unstable-2022-06-14"
},
"mame2015": {
- "owner": "libretro",
- "repo": "mame2015-libretro",
- "rev": "316cd06349f2b34b4719f04f7c0d07569a74c764",
- "hash": "sha256-CBN04Jf26SIk8mKWlui5spQGokBvgFUCvFiC8NoBGw0=",
- "date": "unstable-2023-11-01"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "mame2015-libretro",
+ "rev": "316cd06349f2b34b4719f04f7c0d07569a74c764",
+ "hash": "sha256-CBN04Jf26SIk8mKWlui5spQGokBvgFUCvFiC8NoBGw0="
+ },
+ "version": "unstable-2023-11-01"
},
"mame2016": {
- "owner": "libretro",
- "repo": "mame2016-libretro",
- "rev": "01058613a0109424c4e7211e49ed83ac950d3993",
- "hash": "sha256-IsM7f/zlzvomVOYlinJVqZllUhDfy4NNTeTPtNmdVak=",
- "date": "unstable-2022-04-06"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "mame2016-libretro",
+ "rev": "01058613a0109424c4e7211e49ed83ac950d3993",
+ "hash": "sha256-IsM7f/zlzvomVOYlinJVqZllUhDfy4NNTeTPtNmdVak="
+ },
+ "version": "unstable-2022-04-06"
},
"melonds": {
- "owner": "libretro",
- "repo": "melonds",
- "rev": "c6488c88cb4c7583dbcd61609e0eef441572fae8",
- "hash": "sha256-kU0xPM6WBqK6UpMNMotHc3jRFTodahPJRrfbcjdCJTI=",
- "date": "unstable-2023-04-13"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "melonds",
+ "rev": "c6488c88cb4c7583dbcd61609e0eef441572fae8",
+ "hash": "sha256-kU0xPM6WBqK6UpMNMotHc3jRFTodahPJRrfbcjdCJTI="
+ },
+ "version": "unstable-2023-04-13"
},
"mesen": {
- "owner": "libretro",
- "repo": "mesen",
- "rev": "d6f2f1797694f87e698c737b068f621889e96fa9",
- "hash": "sha256-iLX9UvrjYjGjyaLD4sC10gntWUvgZrwiUqTS7S7YDdc=",
- "date": "unstable-2024-01-30"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "mesen",
+ "rev": "d6f2f1797694f87e698c737b068f621889e96fa9",
+ "hash": "sha256-iLX9UvrjYjGjyaLD4sC10gntWUvgZrwiUqTS7S7YDdc="
+ },
+ "version": "unstable-2024-01-30"
},
"mesen-s": {
- "owner": "libretro",
- "repo": "mesen-s",
- "rev": "32a7adfb4edb029324253cb3632dfc6599ad1aa8",
- "hash": "sha256-/OOMH7kt9Pmkdmy5m+I8FMvog5mqZHyrZvfjHccz8oo=",
- "date": "unstable-2022-07-25"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "mesen-s",
+ "rev": "32a7adfb4edb029324253cb3632dfc6599ad1aa8",
+ "hash": "sha256-/OOMH7kt9Pmkdmy5m+I8FMvog5mqZHyrZvfjHccz8oo="
+ },
+ "version": "unstable-2022-07-25"
},
"meteor": {
- "owner": "libretro",
- "repo": "meteor-libretro",
- "rev": "e533d300d0561564451bde55a2b73119c768453c",
- "hash": "sha256-zMkgzUz2rk0SD5ojY4AqaDlNM4k4QxuUxVBRBcn6TqQ=",
- "date": "unstable-2020-12-28"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "meteor-libretro",
+ "rev": "e533d300d0561564451bde55a2b73119c768453c",
+ "hash": "sha256-zMkgzUz2rk0SD5ojY4AqaDlNM4k4QxuUxVBRBcn6TqQ="
+ },
+ "version": "unstable-2020-12-28"
},
"mgba": {
- "owner": "libretro",
- "repo": "mgba",
- "rev": "314bf7b676f5b820f396209eb0c7d6fbe8103486",
- "hash": "sha256-Rk+glDgSa1J1IIe5NrJElX9zr59+LQynfDXuHWyZcEM=",
- "date": "unstable-2023-05-28"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "mgba",
+ "rev": "b2564482c86378581a7a43ef4e254b2a75167bc7",
+ "hash": "sha256-9qHk4V7wb9YISpZ2xO2NWCGCFMRWpE8lAKTzIldsC9M="
+ },
+ "version": "unstable-2024-02-28"
},
"mrboom": {
- "owner": "Javanaise",
- "repo": "mrboom-libretro",
- "rev": "f688664f024723e00c0d2926e51b45754a25e2da",
- "hash": "sha256-t6ArMkyGvHJ9hLc+FFoH2wTk0wRFn5etzdLipTQnGyc=",
- "fetchSubmodules": true,
- "date": "unstable-2024-02-09"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "Javanaise",
+ "repo": "mrboom-libretro",
+ "rev": "3d5a840e424df30beaf2746c98f538ea1b44bd31",
+ "hash": "sha256-emNX2U4y2CO6biJ6vwY15otRs44WbPJTGmgP+uCSUfM=",
+ "fetchSubmodules": true
+ },
+ "version": "unstable-2024-02-26"
},
"mupen64plus": {
- "owner": "libretro",
- "repo": "mupen64plus-libretro-nx",
- "rev": "fa55ddca926d3c3ad2285911646919def4aa6fa3",
- "hash": "sha256-Fn/qSQDR8FuHG9eLE0I24wUa0sdosrl6+lhnf9cD+yQ=",
- "date": "unstable-2024-02-06"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "mupen64plus-libretro-nx",
+ "rev": "3f794eec4dc4af2f22ecce507f2da324381d3d92",
+ "hash": "sha256-xO01TAjW8otnoU8fzmK69BufoQn3eY9BPamc3ISqBn8="
+ },
+ "version": "unstable-2024-03-07"
},
"neocd": {
- "owner": "libretro",
- "repo": "neocd_libretro",
- "rev": "71ebe5044639b825e5bd1bd590fef3e918133b80",
- "hash": "sha256-YVxt3bJ54DD91VHkeQyYdo/BEq//lnBKd9Y42Vby3qc=",
- "date": "unstable-2024-02-01"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "neocd_libretro",
+ "rev": "71ebe5044639b825e5bd1bd590fef3e918133b80",
+ "hash": "sha256-YVxt3bJ54DD91VHkeQyYdo/BEq//lnBKd9Y42Vby3qc="
+ },
+ "version": "unstable-2024-02-01"
},
"nestopia": {
- "owner": "libretro",
- "repo": "nestopia",
- "rev": "407df997b65cddbff9b25abae0510e6645205677",
- "hash": "sha256-Vlz69ZpXwawdE+bfjlKNrQNmFHhB53FOKhfMgq4viE0=",
- "date": "unstable-2024-02-13"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "nestopia",
+ "rev": "2cef539e0df9ae5c8e6adf830a37f5d122bf5f05",
+ "hash": "sha256-OKqD99kqpIoqRUOByQ4qwAczYlIGeAn0xfTZVC5jptc="
+ },
+ "version": "unstable-2024-02-18"
},
"np2kai": {
- "owner": "AZO234",
- "repo": "NP2kai",
- "rev": "c2ca4046860264cb307e768f529f180caee5e224",
- "hash": "sha256-RizN+NpVp0paXvdt7OudX9/5GJms1YvJ+NVe9iV3nnw=",
- "fetchSubmodules": true,
- "date": "unstable-2024-01-10"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "AZO234",
+ "repo": "NP2kai",
+ "rev": "c2ca4046860264cb307e768f529f180caee5e224",
+ "hash": "sha256-RizN+NpVp0paXvdt7OudX9/5GJms1YvJ+NVe9iV3nnw=",
+ "fetchSubmodules": true
+ },
+ "version": "unstable-2024-01-10"
},
"nxengine": {
- "owner": "libretro",
- "repo": "nxengine-libretro",
- "rev": "1f371e51c7a19049e00f4364cbe9c68ca08b303a",
- "hash": "sha256-4XBNTzgN8pLyrK9KsVxTRR1I8CQaZCnVR4gMryYpWW0=",
- "date": "unstable-2023-02-21"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "nxengine-libretro",
+ "rev": "1f371e51c7a19049e00f4364cbe9c68ca08b303a",
+ "hash": "sha256-4XBNTzgN8pLyrK9KsVxTRR1I8CQaZCnVR4gMryYpWW0="
+ },
+ "version": "unstable-2023-02-21"
},
"o2em": {
- "owner": "libretro",
- "repo": "libretro-o2em",
- "rev": "44fe5f306033242f7d74144105e19a7d4939477e",
- "hash": "sha256-zg8wplVTKRzqa47mmWlqribg+JU4Nap4Ar/iR7y87xs=",
- "date": "unstable-2023-10-19"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "libretro-o2em",
+ "rev": "44fe5f306033242f7d74144105e19a7d4939477e",
+ "hash": "sha256-zg8wplVTKRzqa47mmWlqribg+JU4Nap4Ar/iR7y87xs="
+ },
+ "version": "unstable-2023-10-19"
},
"opera": {
- "owner": "libretro",
- "repo": "opera-libretro",
- "rev": "35e16483be900ea8aa20e87d2710b677437f73ce",
- "hash": "sha256-ZNHSxI8l0KGJ6uAvOsEhNpB0IkBxtb9Imj3tA/LiOto=",
- "date": "unstable-2024-01-13"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "opera-libretro",
+ "rev": "35e16483be900ea8aa20e87d2710b677437f73ce",
+ "hash": "sha256-ZNHSxI8l0KGJ6uAvOsEhNpB0IkBxtb9Imj3tA/LiOto="
+ },
+ "version": "unstable-2024-01-13"
},
"parallel-n64": {
- "owner": "libretro",
- "repo": "parallel-n64",
- "rev": "1b57f9199b1f8a4510f7f89f14afa9cabf9b3bdd",
- "hash": "sha256-L20RGav0FJfydOICCNhAMGxIuIvPABDtCs5tWzrh768=",
- "date": "unstable-2024-01-15"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "parallel-n64",
+ "rev": "1b57f9199b1f8a4510f7f89f14afa9cabf9b3bdd",
+ "hash": "sha256-L20RGav0FJfydOICCNhAMGxIuIvPABDtCs5tWzrh768="
+ },
+ "version": "unstable-2024-01-15"
},
"pcsx2": {
- "owner": "libretro",
- "repo": "lrps2",
- "rev": "f3c8743d6a42fe429f703b476fecfdb5655a98a9",
- "hash": "sha256-0piCNWX7QbZ58KyTlWp4h1qLxXpi1z6ML8sBHMTvCY4=",
- "date": "unstable-2023-01-30"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "lrps2",
+ "rev": "f3c8743d6a42fe429f703b476fecfdb5655a98a9",
+ "hash": "sha256-0piCNWX7QbZ58KyTlWp4h1qLxXpi1z6ML8sBHMTvCY4="
+ },
+ "version": "unstable-2023-01-30"
},
"pcsx_rearmed": {
- "owner": "libretro",
- "repo": "pcsx_rearmed",
- "rev": "016c6e93f6db684211f5c8b05433cb500715ba50",
- "hash": "sha256-uYzL0uuQbxa4N0uQT8YEBiCgwkIcigvjeNU600WqSDQ=",
- "date": "unstable-2024-02-07"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "pcsx_rearmed",
+ "rev": "2b2ac30d6905b3434d822c223b36957aef96a857",
+ "hash": "sha256-jBs3ccKXGX0wumQkGeoNpbsRfWFx5lTufUE0NhEfCtk="
+ },
+ "version": "unstable-2024-03-21"
},
"picodrive": {
- "owner": "libretro",
- "repo": "picodrive",
- "rev": "d907d65692a45e126d0c7d6685cc8792b52bc577",
- "hash": "sha256-CJJcWVueg3dbBT4r6W1y8Qj7iRwH7PupvFp+CKEII7o=",
- "fetchSubmodules": true,
- "date": "unstable-2024-01-23"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "picodrive",
+ "rev": "d907d65692a45e126d0c7d6685cc8792b52bc577",
+ "hash": "sha256-CJJcWVueg3dbBT4r6W1y8Qj7iRwH7PupvFp+CKEII7o=",
+ "fetchSubmodules": true
+ },
+ "version": "unstable-2024-01-23"
},
"play": {
- "owner": "jpd002",
- "repo": "Play-",
- "rev": "34c4c74fbd0ca2223c203bffc23f57157769074b",
- "hash": "sha256-Nn2VsZOuwyBQxFBGGLVfD5BvvqJBI7g8HoShmH0hch8=",
- "fetchSubmodules": true,
- "date": "unstable-2024-02-05"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "jpd002",
+ "repo": "Play-",
+ "rev": "6e9fa384d6e94f552ba56c3233b38770c41b46af",
+ "hash": "sha256-KplGpF0d821ms/UA0GVtDVgdFQR6Xbl844GVPUId77Q=",
+ "fetchSubmodules": true
+ },
+ "version": "unstable-2024-03-21"
},
"ppsspp": {
- "owner": "hrydgard",
- "repo": "ppsspp",
- "rev": "d832f96010fa378ef0a7f7980524a61803110ad7",
- "hash": "sha256-LkngiwjRoYw+N+DCdbbWnTokDAYXbqOMJX+DQGAUl2g=",
- "fetchSubmodules": true,
- "date": "unstable-2024-02-13"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "hrydgard",
+ "repo": "ppsspp",
+ "rev": "cd85b4d5e8410dd9b5a9ee5c0e507abd0dc3ff29",
+ "hash": "sha256-a/Le2xFoLmRU8Q2WIegipt/kU49px20W7P6/zqQsspQ=",
+ "fetchSubmodules": true
+ },
+ "version": "unstable-2024-03-21"
},
"prboom": {
- "owner": "libretro",
- "repo": "libretro-prboom",
- "rev": "6ec854969fd9dec33bb2cab350f05675d1158969",
- "hash": "sha256-y0qZwYNwcO4ofWDZ7UXN9ZVMPFxjCnLDDZKBMdZLxEY=",
- "date": "unstable-2023-05-28"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "libretro-prboom",
+ "rev": "6ec854969fd9dec33bb2cab350f05675d1158969",
+ "hash": "sha256-y0qZwYNwcO4ofWDZ7UXN9ZVMPFxjCnLDDZKBMdZLxEY="
+ },
+ "version": "unstable-2023-05-28"
},
"prosystem": {
- "owner": "libretro",
- "repo": "prosystem-libretro",
- "rev": "4202ac5bdb2ce1a21f84efc0e26d75bb5aa7e248",
- "hash": "sha256-BR0DTWcB5g0rEoNSxBx+OxBmLELjdR2fgsmdPU7cK68=",
- "date": "unstable-2023-08-17"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "prosystem-libretro",
+ "rev": "4202ac5bdb2ce1a21f84efc0e26d75bb5aa7e248",
+ "hash": "sha256-BR0DTWcB5g0rEoNSxBx+OxBmLELjdR2fgsmdPU7cK68="
+ },
+ "version": "unstable-2023-08-17"
},
"puae": {
- "owner": "libretro",
- "repo": "libretro-uae",
- "rev": "2cad13f98aa4df272decf2ab99d95aa582cd4cfb",
- "hash": "sha256-8iGsQJcImL7hUK14X+u2BSq4W9BkosiLImCmzf63o4Q=",
- "date": "unstable-2024-02-03"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "libretro-uae",
+ "rev": "5f683ae67b998fcadd69fa8f65f2440fa8ef135f",
+ "hash": "sha256-SvV18vqMf9ZtGzrK3bnE0h2ImYi1QuLi7t+ZO91Lm6Y="
+ },
+ "version": "unstable-2024-02-22"
},
"quicknes": {
- "owner": "libretro",
- "repo": "QuickNES_Core",
- "rev": "cd73f021be7dd5b1a21b71155a320364c02de4ac",
- "hash": "sha256-fmTAK32ASA8M5nxUUUilm/yMNkmqSAG/gauB7fy1Kbc=",
- "date": "unstable-2024-02-01"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "QuickNES_Core",
+ "rev": "cd73f021be7dd5b1a21b71155a320364c02de4ac",
+ "hash": "sha256-fmTAK32ASA8M5nxUUUilm/yMNkmqSAG/gauB7fy1Kbc="
+ },
+ "version": "unstable-2024-02-01"
},
"same_cdi": {
- "owner": "libretro",
- "repo": "same_cdi",
- "rev": "54cf493c2dee4c46666059c452f8aaaa0bd7c8e0",
- "hash": "sha256-/+4coMzj/o82Q04Z65DQiPaykK6N56W6PRQLtyJOd8E=",
- "date": "unstable-2023-02-28"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "same_cdi",
+ "rev": "54cf493c2dee4c46666059c452f8aaaa0bd7c8e0",
+ "hash": "sha256-/+4coMzj/o82Q04Z65DQiPaykK6N56W6PRQLtyJOd8E="
+ },
+ "version": "unstable-2023-02-28"
},
"sameboy": {
- "owner": "libretro",
- "repo": "sameboy",
- "rev": "09138330990da32362246c7034cf4de2ea0a2a2b",
- "hash": "sha256-hQWIuNwCykkJR+6naNarR50kUvIFNny+bbZHR6/GA/4=",
- "date": "unstable-2022-08-19"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "sameboy",
+ "rev": "09138330990da32362246c7034cf4de2ea0a2a2b",
+ "hash": "sha256-hQWIuNwCykkJR+6naNarR50kUvIFNny+bbZHR6/GA/4="
+ },
+ "version": "unstable-2022-08-19"
},
"scummvm": {
- "owner": "libretro-mirrors",
- "repo": "scummvm",
- "rev": "2fb2e4c551c9c1510c56f6e890ee0300b7b3fca3",
- "hash": "sha256-wrlFqu+ONbYH4xMFDByOgySobGrkhVc7kYWI4JzA4ew=",
- "date": "unstable-2022-04-06"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro-mirrors",
+ "repo": "scummvm",
+ "rev": "2fb2e4c551c9c1510c56f6e890ee0300b7b3fca3",
+ "hash": "sha256-wrlFqu+ONbYH4xMFDByOgySobGrkhVc7kYWI4JzA4ew="
+ },
+ "version": "unstable-2022-04-06"
},
"smsplus-gx": {
- "owner": "libretro",
- "repo": "smsplus-gx",
- "rev": "96fa9bc65aa27a5ab2779f9f2ff0439fec7cf513",
- "hash": "sha256-tlxlI0+5QFgu2IRB4Cpz9XItbhprLNlq1YdCFGXGyIE=",
- "date": "unstable-2023-10-31"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "smsplus-gx",
+ "rev": "96fa9bc65aa27a5ab2779f9f2ff0439fec7cf513",
+ "hash": "sha256-tlxlI0+5QFgu2IRB4Cpz9XItbhprLNlq1YdCFGXGyIE="
+ },
+ "version": "unstable-2023-10-31"
},
"snes9x": {
- "owner": "snes9xgit",
- "repo": "snes9x",
- "rev": "be6372c0345c82a87b880c791703fb1929ecf72c",
- "hash": "sha256-JzUXxTJZG3LdWC+FCM/3/ynGclQ11rCj7q5fs45r5Bw=",
- "date": "unstable-2024-02-08"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "snes9xgit",
+ "repo": "snes9x",
+ "rev": "1e1c45be07bf5760e73414d9ed0253d6dedb8605",
+ "hash": "sha256-gGAsKsI5e9jU6Zo2f72TBsHWdR6Bl+3Y1Om1zsbIjqs="
+ },
+ "version": "unstable-2024-02-14"
},
"snes9x2002": {
- "owner": "libretro",
- "repo": "snes9x2002",
- "rev": "540baad622d9833bba7e0696193cb06f5f02f564",
- "hash": "sha256-WJh8Qf1/uFaL9f9d28qXsbpeAZfYGPgjoty3G6XAKSs=",
- "date": "unstable-2022-08-06"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "snes9x2002",
+ "rev": "540baad622d9833bba7e0696193cb06f5f02f564",
+ "hash": "sha256-WJh8Qf1/uFaL9f9d28qXsbpeAZfYGPgjoty3G6XAKSs="
+ },
+ "version": "unstable-2022-08-06"
},
"snes9x2005": {
- "owner": "libretro",
- "repo": "snes9x2005",
- "rev": "fd45b0e055bce6cff3acde77414558784e93e7d0",
- "hash": "sha256-zjA/G62V38/hj+WjJDGAs48AcTUIiMWL8feCqLsCRnI=",
- "date": "unstable-2022-07-25"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "snes9x2005",
+ "rev": "fd45b0e055bce6cff3acde77414558784e93e7d0",
+ "hash": "sha256-zjA/G62V38/hj+WjJDGAs48AcTUIiMWL8feCqLsCRnI="
+ },
+ "version": "unstable-2022-07-25"
},
"snes9x2010": {
- "owner": "libretro",
- "repo": "snes9x2010",
- "rev": "d8b10c4cd7606ed58f9c562864c986bc960faaaf",
- "hash": "sha256-7FmteYrAYr+pGNXGg9CBC4NFlijGRf7GdtJfiNjmonU=",
- "date": "unstable-2023-02-20"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "snes9x2010",
+ "rev": "d8b10c4cd7606ed58f9c562864c986bc960faaaf",
+ "hash": "sha256-7FmteYrAYr+pGNXGg9CBC4NFlijGRf7GdtJfiNjmonU="
+ },
+ "version": "unstable-2023-02-20"
},
"stella": {
- "owner": "stella-emu",
- "repo": "stella",
- "rev": "4557099e5d7a0c0b02424ea85d2a4b093911e048",
- "hash": "sha256-wyJExpIIScgLTALgvqW5f/QgIsMC19JU8Meh3mV4d2c=",
- "date": "unstable-2024-02-02"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "stella-emu",
+ "repo": "stella",
+ "rev": "8e8549c1c441e62c2bac0ae5a6489ba3e15412c6",
+ "hash": "sha256-gcIBtLpfmjPHxnixMOF/onNyIclC8sDrmgTi3zHW0Mc="
+ },
+ "version": "unstable-2024-03-08"
},
"stella2014": {
- "owner": "libretro",
- "repo": "stella2014-libretro",
- "rev": "8ab051edd4816f33a5631d230d54059eeed52c5f",
- "hash": "sha256-wqssB8WXXF2Lu9heII8nWLLOvI38cIfHSMA7OOd6jx0=",
- "date": "unstable-2023-02-20"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "stella2014-libretro",
+ "rev": "8ab051edd4816f33a5631d230d54059eeed52c5f",
+ "hash": "sha256-wqssB8WXXF2Lu9heII8nWLLOvI38cIfHSMA7OOd6jx0="
+ },
+ "version": "unstable-2023-02-20"
},
"swanstation": {
- "owner": "libretro",
- "repo": "swanstation",
- "rev": "77aeeea58a45cccae7a8be37645f8f5a27ff101b",
- "hash": "sha256-z+9Y9hoQ832caip5U+siQXh9GFxLMnX0HcmLa93B/lc=",
- "date": "unstable-2024-01-26"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "swanstation",
+ "rev": "77aeeea58a45cccae7a8be37645f8f5a27ff101b",
+ "hash": "sha256-z+9Y9hoQ832caip5U+siQXh9GFxLMnX0HcmLa93B/lc="
+ },
+ "version": "unstable-2024-01-26"
},
"tgbdual": {
- "owner": "libretro",
- "repo": "tgbdual-libretro",
- "rev": "a6f3018e6a23030afc1873845ee54d4b2d8ec9d3",
- "hash": "sha256-MBUgYXX/Pc+TkwoS7OwbXSPssKUf6lwWx/bKhvwDkHs=",
- "date": "unstable-2022-08-06"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "tgbdual-libretro",
+ "rev": "a6f3018e6a23030afc1873845ee54d4b2d8ec9d3",
+ "hash": "sha256-MBUgYXX/Pc+TkwoS7OwbXSPssKUf6lwWx/bKhvwDkHs="
+ },
+ "version": "unstable-2022-08-06"
},
"thepowdertoy": {
- "owner": "libretro",
- "repo": "ThePowderToy",
- "rev": "f644498193c4c8be689d8a1d2a70e37e4eff4243",
- "hash": "sha256-aPUqrrrH2Ia56A3Kx6ClMcZO9nbHGJIcEQ6nFyIMamo=",
- "date": "unstable-2023-01-17"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "ThePowderToy",
+ "rev": "f644498193c4c8be689d8a1d2a70e37e4eff4243",
+ "hash": "sha256-aPUqrrrH2Ia56A3Kx6ClMcZO9nbHGJIcEQ6nFyIMamo="
+ },
+ "version": "unstable-2023-01-17"
},
"tic80": {
- "owner": "libretro",
- "repo": "tic-80",
- "rev": "bd6ce86174fc7c9d7d3a86263acf3a7de1b62c11",
- "hash": "sha256-RFp8sTSRwD+cgW3EYk3nBeY+zVKgZVQI5mjtfe2a64Q=",
- "fetchSubmodules": true,
- "date": "unstable-2022-06-11"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "tic-80",
+ "rev": "bd6ce86174fc7c9d7d3a86263acf3a7de1b62c11",
+ "hash": "sha256-RFp8sTSRwD+cgW3EYk3nBeY+zVKgZVQI5mjtfe2a64Q=",
+ "fetchSubmodules": true
+ },
+ "version": "unstable-2022-06-11"
},
"vba-m": {
- "owner": "libretro",
- "repo": "vbam-libretro",
- "rev": "a2378f05f600a5a9cf450c60a87976b80d6a895a",
- "hash": "sha256-vWm28cSEGex5h7JkJjzNPqEGtQWHK0dpK2gVDlQ3NbM=",
- "date": "unstable-2023-08-18"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "vbam-libretro",
+ "rev": "a2378f05f600a5a9cf450c60a87976b80d6a895a",
+ "hash": "sha256-vWm28cSEGex5h7JkJjzNPqEGtQWHK0dpK2gVDlQ3NbM="
+ },
+ "version": "unstable-2023-08-18"
},
"vba-next": {
- "owner": "libretro",
- "repo": "vba-next",
- "rev": "ee92625d2f1666496be4f5662508a2430e846b00",
- "hash": "sha256-r3FKBD4GUUkobMJ33VceseyTyqxm/Wsa5Er6XcfGL2Q=",
- "date": "unstable-2023-06-03"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "vba-next",
+ "rev": "ee92625d2f1666496be4f5662508a2430e846b00",
+ "hash": "sha256-r3FKBD4GUUkobMJ33VceseyTyqxm/Wsa5Er6XcfGL2Q="
+ },
+ "version": "unstable-2023-06-03"
},
"vecx": {
- "owner": "libretro",
- "repo": "libretro-vecx",
- "rev": "56a99fa08a7601b304d752188ca573febf26faeb",
- "hash": "sha256-9/d6qzsUJZYZewAbFI4LU2FVpv09uby/5mxCZU7rVzo=",
- "date": "unstable-2024-02-10"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "libretro-vecx",
+ "rev": "3a5655ff67e161ef33f66b0f6c26aaf2e59ceda8",
+ "hash": "sha256-NGZo1bUGgw4YMyyBfTsvXPQG/P130mkXzt4GXE/yatU="
+ },
+ "version": "unstable-2024-03-17"
},
"virtualjaguar": {
- "owner": "libretro",
- "repo": "virtualjaguar-libretro",
- "rev": "8126e5c504ac7217a638f38e4cd9190822c8abdd",
- "hash": "sha256-U/qdKApE0OU3jc6ekfgEZ7VCaIqCc2h+Y+IHe7PIRY0=",
- "date": "unstable-2023-06-01"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "virtualjaguar-libretro",
+ "rev": "8126e5c504ac7217a638f38e4cd9190822c8abdd",
+ "hash": "sha256-U/qdKApE0OU3jc6ekfgEZ7VCaIqCc2h+Y+IHe7PIRY0="
+ },
+ "version": "unstable-2023-06-01"
},
"yabause": {
- "owner": "libretro",
- "repo": "yabause",
- "rev": "4c96b96f7fbe07223627c469ff33376b2a634748",
- "hash": "sha256-7hEpGh2EcrlUoRiUNntaMZEQtStglYAY1MeCub5p8f8=",
- "date": "unstable-2023-01-03"
+ "fetcher": "fetchFromGitHub",
+ "src": {
+ "owner": "libretro",
+ "repo": "yabause",
+ "rev": "4c96b96f7fbe07223627c469ff33376b2a634748",
+ "hash": "sha256-7hEpGh2EcrlUoRiUNntaMZEQtStglYAY1MeCub5p8f8="
+ },
+ "version": "unstable-2023-01-03"
}
}
diff --git a/pkgs/applications/emulators/retroarch/update_cores.py b/pkgs/applications/emulators/retroarch/update_cores.py
index 981fe5377c5d..3b4ee5f77692 100755
--- a/pkgs/applications/emulators/retroarch/update_cores.py
+++ b/pkgs/applications/emulators/retroarch/update_cores.py
@@ -1,5 +1,5 @@
#!/usr/bin/env nix-shell
-#!nix-shell -I nixpkgs=./ -i python3 -p "python3.withPackages (ps: with ps; [ requests ])" -p git -p nix-prefetch-github
+#!nix-shell -I nixpkgs=./ -i python3 -p "python3.withPackages (ps: with ps; [ ])" -p git -p nix-prefetch-github -p nix-prefetch-scripts
import json
import os
@@ -8,8 +8,6 @@ import sys
from concurrent.futures import ThreadPoolExecutor
from pathlib import Path
-import requests
-
SCRIPT_PATH = Path(__file__).absolute().parent
HASHES_PATH = SCRIPT_PATH / "hashes.json"
GET_REPO_THREADS = int(os.environ.get("GET_REPO_THREADS", 8))
@@ -19,10 +17,13 @@ GET_REPO_THREADS = int(os.environ.get("GET_REPO_THREADS", 8))
# You may set `deep_clone`, `fetch_submodules` or `leave_dot_git` options to
# `True` and they're similar to `fetchgit` options. Also if for some reason you
# need to pin a specific revision, set `rev` to a commit.
-# To generate the hash file for your new core, you can run `update_cores.py
-# `. The script needs to be run from the root of your `nixpkgs` clone.
-# Do not forget to add your core to `cores.nix` file with the proper overrides
-# so the core can be build.
+# There is also a `fetcher` option that for now only supports `fetchFromGitHub`
+# (see `get_repo_hash()`), but it may be extended in the future if there is a
+# need to support fetchers from other source hubs.
+# To generate the hash file for your new core, you can run
+# `/pkgs/applications/emulators/retroarch/update_cores.py `. Do
+# not forget to add your core to `cores.nix` file with the proper overrides so
+# the core can be build.
CORES = {
"2048": {"repo": "libretro-2048"},
"atari800": {"repo": "libretro-atari800"},
@@ -49,6 +50,11 @@ CORES = {
"dolphin": {"repo": "dolphin"},
"dosbox": {"repo": "dosbox-libretro"},
"dosbox-pure": {"repo": "dosbox-pure", "owner": "schellingb"},
+ # The EasyRPG core is pinned to 0.8 since it depends on version 0.8 of liblcf, which
+ # was released in April 2023.
+ # Update the version when a compatible liblcf is available.
+ # See pkgs/games/easyrpg-player/default.nix for details.
+ "easyrpg": {"repo": "Player", "owner": "EasyRPG", "fetch_submodules": True, "rev": "0.8"},
"eightyone": {"repo": "81-libretro"},
"fbalpha2012": {"repo": "fbalpha2012"},
"fbneo": {"repo": "fbneo"},
@@ -128,30 +134,6 @@ def info(*msg):
print(*msg, file=sys.stderr)
-def get_rev_date_fetchFromGitHub(repo, owner, rev):
- # https://docs.github.com/en/rest/commits/commits?apiVersion=2022-11-28#get-a-commit
- url = f"https://api.github.com/repos/{owner}/{repo}/commits/{rev}"
- headers = {
- "Accept": "application/vnd.github+json",
- "X-GitHub-Api-Version": "2022-11-28",
- }
- if token := os.environ.get("GITHUB_TOKEN"):
- headers["Authorization"] = f"Bearer {token}"
- r = requests.get(url, headers=headers)
-
- try:
- j = r.json()
- except requests.exceptions.JSONDecodeError:
- return None
-
- date = j.get("commit", {}).get("committer", {}).get("date")
- if date:
- # Date format returned by API: 2023-01-30T06:29:13Z
- return f"unstable-{date[:10]}"
- else:
- return None
-
-
def get_repo_hash_fetchFromGitHub(
repo,
owner="libretro",
@@ -176,18 +158,24 @@ def get_repo_hash_fetchFromGitHub(
if rev:
extra_args.append("--rev")
extra_args.append(rev)
- result = subprocess.run(
- ["nix-prefetch-github", owner, repo, *extra_args],
- check=True,
- capture_output=True,
- text=True,
- )
+ try:
+ result = subprocess.run(
+ ["nix-prefetch-github", owner, repo, "--meta", *extra_args],
+ check=True,
+ capture_output=True,
+ text=True,
+ )
+ except subprocess.CalledProcessError as ex:
+ info(f"Error while updating {owner}/{repo}:", ex.stderr)
+ raise ex
+
j = json.loads(result.stdout)
- date = get_rev_date_fetchFromGitHub(repo, owner, j["rev"])
- if date:
- j["date"] = date
- # Remove False values
- return {k: v for k, v in j.items() if v}
+ return {
+ "fetcher": "fetchFromGitHub",
+ # Remove False values
+ "src": {k: v for k, v in j["src"].items() if v},
+ "version": f"unstable-{j['meta']['commitDate']}",
+ }
def get_repo_hash(fetcher="fetchFromGitHub", **kwargs):
@@ -229,6 +217,7 @@ def main():
cores = {core: repo for core, repo in CORES.items() if core in cores_to_update}
repo_hashes = get_repo_hashes(cores)
+ repo_hashes["!comment"] = "Generated with update_cores.py script, do not edit!"
info(f"Generating '{HASHES_PATH}'...")
with open(HASHES_PATH, "w") as f:
f.write(json.dumps(dict(sorted(repo_hashes.items())), indent=4))
diff --git a/pkgs/applications/emulators/ripes/default.nix b/pkgs/applications/emulators/ripes/default.nix
index b3e58658b44f..4717a1b0601d 100644
--- a/pkgs/applications/emulators/ripes/default.nix
+++ b/pkgs/applications/emulators/ripes/default.nix
@@ -1,5 +1,5 @@
{ lib
-, mkDerivation
+, stdenv
, fetchFromGitHub
, pkg-config
, qtbase
@@ -8,19 +8,20 @@
, wrapQtAppsHook
, cmake
, python3
-, stdenv
+, unstableGitUpdater
}:
-mkDerivation rec {
+stdenv.mkDerivation rec {
pname = "ripes";
- version = "2.2.6";
+ # Pulling unstable version as latest stable does not build against gcc-13.
+ version = "2.2.6-unstable-2024-03-03";
src = fetchFromGitHub {
owner = "mortbopet";
repo = "Ripes";
- rev = "v${version}";
+ rev = "b71f0ddd5d2d346cb97b28fd3f70fef55bb9b6b7";
fetchSubmodules = true;
- sha256 = "sha256-fRkab0G2zjK1VYzH21yhL7Cr0rS4I8ir8gwH9ALy60A=";
+ hash = "sha256-zQrrWBHNIacRoAEIjR0dlgUTncBCiodcBeT/wbDClWg=";
};
nativeBuildInputs = [
@@ -49,11 +50,14 @@ mkDerivation rec {
runHook postInstall
'';
+ passthru.updateScript = unstableGitUpdater { };
+
meta = with lib; {
description = "A graphical processor simulator and assembly editor for the RISC-V ISA";
homepage = "https://github.com/mortbopet/Ripes";
license = licenses.mit;
platforms = platforms.unix;
+ mainProgram = "Ripes";
maintainers = with maintainers; [ rewine ];
};
}
diff --git a/pkgs/applications/emulators/rpcemu/default.nix b/pkgs/applications/emulators/rpcemu/default.nix
index 9fad3725203f..25930470412a 100644
--- a/pkgs/applications/emulators/rpcemu/default.nix
+++ b/pkgs/applications/emulators/rpcemu/default.nix
@@ -47,6 +47,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
homepage = "https://www.marutan.net/rpcemu/index.php";
description = "Risc PC Emulator";
+ mainProgram = "rpcemu-interpreter";
longDescription = ''
RPCEmu is an emulator of classic Acorn computer systems, such as the Risc
PC and A7000. It runs on multiple platforms including Windows, Linux and
diff --git a/pkgs/applications/emulators/sameboy/default.nix b/pkgs/applications/emulators/sameboy/default.nix
index 94c9b9562864..7433d2128a71 100644
--- a/pkgs/applications/emulators/sameboy/default.nix
+++ b/pkgs/applications/emulators/sameboy/default.nix
@@ -30,6 +30,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://sameboy.github.io";
description = "Game Boy, Game Boy Color, and Super Game Boy emulator";
+ mainProgram = "sameboy";
longDescription = ''
SameBoy is a user friendly Game Boy, Game Boy Color and Super
diff --git a/pkgs/applications/emulators/simplenes/default.nix b/pkgs/applications/emulators/simplenes/default.nix
index d073098507ee..05a00e2c9201 100644
--- a/pkgs/applications/emulators/simplenes/default.nix
+++ b/pkgs/applications/emulators/simplenes/default.nix
@@ -29,5 +29,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl3;
maintainers = with maintainers; [ ivar ];
platforms = platforms.linux;
+ mainProgram = "SimpleNES";
};
}
diff --git a/pkgs/applications/emulators/tamatool/default.nix b/pkgs/applications/emulators/tamatool/default.nix
index ce2518031b2e..cc245ebbe1fa 100644
--- a/pkgs/applications/emulators/tamatool/default.nix
+++ b/pkgs/applications/emulators/tamatool/default.nix
@@ -74,5 +74,6 @@ stdenv.mkDerivation (finalAttrs: {
license = licenses.gpl2Only;
maintainers = with maintainers; [ fgaz ];
platforms = platforms.all;
+ mainProgram = "tamatool";
};
})
diff --git a/pkgs/applications/emulators/termtekst/default.nix b/pkgs/applications/emulators/termtekst/default.nix
index 56f56cffad97..76aa0c79832b 100644
--- a/pkgs/applications/emulators/termtekst/default.nix
+++ b/pkgs/applications/emulators/termtekst/default.nix
@@ -22,6 +22,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "Console NOS Teletekst viewer in Python";
+ mainProgram = "tt";
longDescription = ''
Small Python app using curses to display Dutch NOS Teletekst on
the Linux console. The original Teletekst font includes 2x6
diff --git a/pkgs/applications/emulators/tilem/default.nix b/pkgs/applications/emulators/tilem/default.nix
index c9ba0067ee74..e6d9a1956465 100644
--- a/pkgs/applications/emulators/tilem/default.nix
+++ b/pkgs/applications/emulators/tilem/default.nix
@@ -26,5 +26,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl3Plus;
maintainers = with maintainers; [ siraben luc65r ];
platforms = platforms.linux ++ platforms.darwin;
+ mainProgram = "tilem2";
};
}
diff --git a/pkgs/applications/emulators/tiny8086/default.nix b/pkgs/applications/emulators/tiny8086/default.nix
index a0cc6bd7929b..c236cd0950f6 100644
--- a/pkgs/applications/emulators/tiny8086/default.nix
+++ b/pkgs/applications/emulators/tiny8086/default.nix
@@ -64,5 +64,6 @@ stdenv.mkDerivation rec {
license = licenses.mit;
maintainers = [ maintainers.AndersonTorres ];
platforms = platforms.linux;
+ mainProgram = "8086tiny";
};
}
diff --git a/pkgs/applications/emulators/uae/default.nix b/pkgs/applications/emulators/uae/default.nix
index 105729f62829..bfb8584aa94b 100644
--- a/pkgs/applications/emulators/uae/default.nix
+++ b/pkgs/applications/emulators/uae/default.nix
@@ -27,5 +27,6 @@ stdenv.mkDerivation rec {
homepage = "https://web.archive.org/web/20130901222855/http://www.amigaemulator.org/";
maintainers = [ lib.maintainers.sander ];
platforms = lib.platforms.linux;
+ mainProgram = "uae";
};
}
diff --git a/pkgs/applications/emulators/wibo/default.nix b/pkgs/applications/emulators/wibo/default.nix
index 102fd324cc29..f34f8d6b2f0f 100644
--- a/pkgs/applications/emulators/wibo/default.nix
+++ b/pkgs/applications/emulators/wibo/default.nix
@@ -51,5 +51,6 @@ stdenv.mkDerivation rec {
license = licenses.mit;
maintainers = with maintainers; [ r-burns ];
platforms = [ "i686-linux" ];
+ mainProgram = "wibo";
};
}
diff --git a/pkgs/applications/emulators/wine/base.nix b/pkgs/applications/emulators/wine/base.nix
index fc6d08556091..b290a324f970 100644
--- a/pkgs/applications/emulators/wine/base.nix
+++ b/pkgs/applications/emulators/wine/base.nix
@@ -134,7 +134,7 @@ stdenv.mkDerivation ((lib.optionalAttrs (buildScript != null) {
configureFlags = prevConfigFlags
++ lib.optionals supportFlags.waylandSupport [ "--with-wayland" ]
++ lib.optionals supportFlags.vulkanSupport [ "--with-vulkan" ]
- ++ lib.optionals (stdenv.isDarwin && !supportFlags.xineramaSupport) [ "--without-x" ];
+ ++ lib.optionals ((stdenv.isDarwin && !supportFlags.xineramaSupport) || !supportFlags.x11Support) [ "--without-x" ];
# Wine locates a lot of libraries dynamically through dlopen(). Add
# them to the RPATH so that the user doesn't have to set them in
diff --git a/pkgs/applications/emulators/wine/sources.nix b/pkgs/applications/emulators/wine/sources.nix
index a0195eadd090..fb9b387a7b7a 100644
--- a/pkgs/applications/emulators/wine/sources.nix
+++ b/pkgs/applications/emulators/wine/sources.nix
@@ -69,9 +69,9 @@ in rec {
unstable = fetchurl rec {
# NOTE: Don't forget to change the hash for staging as well.
- version = "9.2";
+ version = "9.4";
url = "https://dl.winehq.org/wine/source/9.x/wine-${version}.tar.xz";
- hash = "sha256-goHFoILMR6w8LJHOqt5fFzllU7Oa3LMudBJThltlgWI=";
+ hash = "sha256-xV/5lXYSVJuMfffN3HnXoA0ZFX0Fs3EUi/CNTd92jsY=";
inherit (stable) patches;
## see http://wiki.winehq.org/Gecko
@@ -117,7 +117,7 @@ in rec {
staging = fetchFromGitLab rec {
# https://gitlab.winehq.org/wine/wine-staging
inherit (unstable) version;
- hash = "sha256-VQ4j4PuXRoXbCUZ16snVO+jRvuKD4Rjn14R7bhwdAco=";
+ hash = "sha256-wij0CeAL6V8dH4nRS+UVKZMBJlSNgzr9tG1860WSbrU=";
domain = "gitlab.winehq.org";
owner = "wine";
repo = "wine-staging";
diff --git a/pkgs/applications/emulators/wine/winetricks.nix b/pkgs/applications/emulators/wine/winetricks.nix
index c5d8e9bd95b3..2b31faae18a4 100644
--- a/pkgs/applications/emulators/wine/winetricks.nix
+++ b/pkgs/applications/emulators/wine/winetricks.nix
@@ -30,6 +30,7 @@ stdenv.mkDerivation rec {
meta = {
description = "A script to install DLLs needed to work around problems in Wine";
+ mainProgram = "winetricks";
license = lib.licenses.lgpl21;
homepage = "https://github.com/Winetricks/winetricks";
platforms = with lib.platforms; linux;
diff --git a/pkgs/applications/emulators/xcpc/default.nix b/pkgs/applications/emulators/xcpc/default.nix
index 633d44bb5ae4..6482f05cf1b1 100644
--- a/pkgs/applications/emulators/xcpc/default.nix
+++ b/pkgs/applications/emulators/xcpc/default.nix
@@ -25,5 +25,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2Plus;
maintainers = [ ];
platforms = platforms.linux;
+ mainProgram = "xcpc";
};
}
diff --git a/pkgs/applications/emulators/xemu/default.nix b/pkgs/applications/emulators/xemu/default.nix
index 3fc8adde9b67..43fbd7e5f73b 100644
--- a/pkgs/applications/emulators/xemu/default.nix
+++ b/pkgs/applications/emulators/xemu/default.nix
@@ -28,13 +28,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "xemu";
- version = "0.7.118";
+ version = "0.7.119";
src = fetchFromGitHub {
owner = "xemu-project";
repo = "xemu";
rev = "v${finalAttrs.version}";
- hash = "sha256-IGzPxwNxuqMsZhQ63VUyDzPSBpAgc0U0oUjM/blEd7g=";
+ hash = "sha256-5gH1pQqy45vmgeW61peEi6+ZXpPgyQMUg3dh37oqR6s=";
fetchSubmodules = true;
};
diff --git a/pkgs/applications/emulators/yabause/default.nix b/pkgs/applications/emulators/yabause/default.nix
index 17b7b563c15f..fea9a439bb8f 100644
--- a/pkgs/applications/emulators/yabause/default.nix
+++ b/pkgs/applications/emulators/yabause/default.nix
@@ -28,6 +28,7 @@ mkDerivation rec {
meta = with lib; {
description = "An open-source Sega Saturn emulator";
+ mainProgram = "yabause";
homepage = "https://yabause.org/";
license = licenses.gpl2Plus;
maintainers = with maintainers; [ AndersonTorres ];
diff --git a/pkgs/applications/emulators/yapesdl/default.nix b/pkgs/applications/emulators/yapesdl/default.nix
index 136e6ce4eb89..652b2cff3488 100644
--- a/pkgs/applications/emulators/yapesdl/default.nix
+++ b/pkgs/applications/emulators/yapesdl/default.nix
@@ -40,5 +40,6 @@ stdenv.mkDerivation (finalAttrs: {
maintainers = with lib.maintainers; [ AndersonTorres ];
platforms = lib.platforms.unix;
broken = stdenv.isDarwin;
+ mainProgram = "yapesdl";
};
})
diff --git a/pkgs/applications/emulators/yuzu/compat-list.nix b/pkgs/applications/emulators/yuzu/compat-list.nix
deleted file mode 100644
index ee2eaa1b104c..000000000000
--- a/pkgs/applications/emulators/yuzu/compat-list.nix
+++ /dev/null
@@ -1,18 +0,0 @@
-{ stdenv, fetchFromGitHub, unstableGitUpdater }:
-stdenv.mkDerivation {
- pname = "yuzu-compatibility-list";
- version = "unstable-2024-02-04";
-
- src = fetchFromGitHub {
- owner = "flathub";
- repo = "org.yuzu_emu.yuzu";
- rev = "963c657c2f852d96b5f203fbb6fafe6c56197ac9";
- hash = "sha256-TNvAonMoGpJXjrkBFrBlYoTlwdPEMwiF/YhsOTYEB4k=";
- };
-
- buildCommand = ''
- cp $src/compatibility_list.json $out
- '';
-
- passthru.updateScript = unstableGitUpdater {};
-}
diff --git a/pkgs/applications/emulators/yuzu/default.nix b/pkgs/applications/emulators/yuzu/default.nix
deleted file mode 100644
index 6852da378650..000000000000
--- a/pkgs/applications/emulators/yuzu/default.nix
+++ /dev/null
@@ -1,12 +0,0 @@
-{ qt6Packages, makeScopeWithSplicing', generateSplicesForMkScope }:
-
-makeScopeWithSplicing' {
- otherSplices = generateSplicesForMkScope "yuzuPackages";
- f = self: qt6Packages // {
- compat-list = self.callPackage ./compat-list.nix {};
- nx_tzdb = self.callPackage ./nx_tzdb.nix {};
-
- mainline = self.callPackage ./mainline.nix {};
- early-access = self.callPackage ./early-access {};
- };
-}
diff --git a/pkgs/applications/emulators/yuzu/early-access/default.nix b/pkgs/applications/emulators/yuzu/early-access/default.nix
deleted file mode 100644
index f2ad5197d0bb..000000000000
--- a/pkgs/applications/emulators/yuzu/early-access/default.nix
+++ /dev/null
@@ -1,37 +0,0 @@
-{ mainline, fetchzip, fetchgit, runCommand, gnutar }:
-# The mirror repo for early access builds is missing submodule info,
-# but the Windows distributions include a source tarball, which in turn
-# includes the full git metadata. So, grab that and rehydrate it.
-# This has the unfortunate side effect of requiring two FODs, one
-# for the Windows download and one for the full repo with submodules.
-let
- sources = import ./sources.nix;
-
- zip = fetchzip {
- name = "yuzu-ea-windows-dist";
- url = "https://github.com/pineappleEA/pineapple-src/releases/download/EA-${sources.version}/Windows-Yuzu-EA-${sources.version}.zip";
- hash = sources.distHash;
- };
-
- gitSrc = runCommand "yuzu-ea-dist-unpacked" {
- src = zip;
- nativeBuildInputs = [ gnutar ];
- }
- ''
- mkdir $out
- tar xf $src/*.tar.xz --directory=$out --strip-components=1
- '';
-
- rehydratedSrc = fetchgit {
- name = "yuzu-ea-rehydrated";
- url = gitSrc;
- fetchSubmodules = true;
- hash = sources.fullHash;
- };
-in mainline.overrideAttrs(old: {
- pname = "yuzu-early-access";
- version = sources.version;
- src = rehydratedSrc;
- passthru.updateScript = ./update.sh;
- meta = old.meta // { description = old.meta.description + " - early access branch"; };
-})
diff --git a/pkgs/applications/emulators/yuzu/early-access/sources.nix b/pkgs/applications/emulators/yuzu/early-access/sources.nix
deleted file mode 100644
index 227e728a184d..000000000000
--- a/pkgs/applications/emulators/yuzu/early-access/sources.nix
+++ /dev/null
@@ -1,7 +0,0 @@
-# Generated by ./update.sh - do not update manually!
-# Last updated: 2024-02-05
-{
- version = "4115";
- distHash = "sha256:16dfqlgz7lw909jqa505d69kx9jm0l3hxrfikkqpnvfr2fywkfng";
- fullHash = "sha256:1506435pbpb1m8i6qz717wxbinxqbs6c8pq6ymw5zs9dnfh765ms";
-}
diff --git a/pkgs/applications/emulators/yuzu/early-access/update.sh b/pkgs/applications/emulators/yuzu/early-access/update.sh
deleted file mode 100755
index f7ea2ca34a41..000000000000
--- a/pkgs/applications/emulators/yuzu/early-access/update.sh
+++ /dev/null
@@ -1,44 +0,0 @@
-#! /usr/bin/env nix-shell
-#! nix-shell -i bash -p nix nix-prefetch-git gnutar curl jq unzip
-
-set -euo pipefail
-
-cd "$(dirname "$(readlink -f "$0")")"
-
-log() {
- tput bold
- echo "#" "$@"
- tput sgr0
-}
-
-oldVersion="$(nix --experimental-features nix-command eval -f sources.nix --raw version)"
-newVersion="$(curl "https://api.github.com/repos/pineappleEA/pineapple-src/releases?per_page=1" | jq -r '.[0].tag_name' | cut -d"-" -f2)"
-
-if [ "$oldVersion" == "$newVersion" ]; then
- log "Already up to date"
- exit 0
-fi
-
-fetched="$(nix-prefetch-url --unpack --print-path "https://github.com/pineappleEA/pineapple-src/releases/download/EA-${newVersion}/Windows-Yuzu-EA-${newVersion}.zip")"
-
-eaDistHash="$(echo "${fetched}" | head -n1)"
-eaDist="$(echo "${fetched}" | tail -n1)"
-
-eaDistUnpacked="$(mktemp -d)"
-trap 'rm -rf "$eaDistUnpacked"' EXIT
-
-log "Unpacking dist..."
-tar xf "$eaDist"/*.tar.xz --directory="$eaDistUnpacked" --strip-components=1
-
-log "Rehydrating..."
-eaFullHash="$(nix-prefetch-git --fetch-submodules --quiet "$eaDistUnpacked" | jq -r '.sha256')"
-
-cat >sources.nix <= 5.0 path
-
-- FILE(GLOB _pyqt5_metadata "${Python_SITEARCH}/PyQt5-*.dist-info/METADATA")
-+ FILE(GLOB _pyqt5_metadata "@pyQt5PackageDir@/PyQt5-*.dist-info/METADATA")
- IF(_pyqt5_metadata)
- FILE(READ ${_pyqt5_metadata} _pyqt5_metadata_contents)
- STRING(REGEX REPLACE ".*\nVersion: ([^\n]+).*$" "\\1" PYQT5_VERSION_STR ${_pyqt5_metadata_contents})
-@@ -34,8 +34,8 @@ ELSE(EXISTS PYQT5_VERSION_STR)
- ENDIF(_pyqt5_metadata)
-
- IF(PYQT5_VERSION_STR)
-- SET(PYQT5_MOD_DIR "${Python_SITEARCH}/PyQt5")
-- SET(PYQT5_SIP_DIR "${Python_SITEARCH}/PyQt5/bindings")
-+ SET(PYQT5_MOD_DIR "@pyQt5PackageDir@/PyQt5")
-+ SET(PYQT5_SIP_DIR "@pyQt5PackageDir@/PyQt5/bindings")
- FIND_PROGRAM(__pyuic5 "pyuic5")
- GET_FILENAME_COMPONENT(PYQT5_BIN_DIR ${__pyuic5} DIRECTORY)
-
-diff --git a/cmake/FindQsci.cmake b/cmake/FindQsci.cmake
-index 69e41c1fe9..5456c3d59b 100644
---- a/cmake/FindQsci.cmake
-+++ b/cmake/FindQsci.cmake
-@@ -24,7 +24,7 @@ ELSE(QSCI_MOD_VERSION_STR)
- IF(SIP_BUILD_EXECUTABLE)
- # SIP >= 5.0 path
-
-- FILE(GLOB _qsci_metadata "${Python_SITEARCH}/QScintilla*.dist-info/METADATA")
-+ FILE(GLOB _qsci_metadata "@qsciPackageDir@/QScintilla*.dist-info/METADATA")
- IF(_qsci_metadata)
- FILE(READ ${_qsci_metadata} _qsci_metadata_contents)
- STRING(REGEX REPLACE ".*\nVersion: ([^\n]+).*$" "\\1" QSCI_MOD_VERSION_STR ${_qsci_metadata_contents})
-@@ -33,7 +33,7 @@ ELSE(QSCI_MOD_VERSION_STR)
- ENDIF(_qsci_metadata)
-
- IF(QSCI_MOD_VERSION_STR)
-- SET(QSCI_SIP_DIR "${PYQT5_SIP_DIR}")
-+ SET(QSCI_SIP_DIR "@qsciPackageDir@/PyQt5/bindings")
- SET(QSCI_FOUND TRUE)
- ENDIF(QSCI_MOD_VERSION_STR)
-
-diff --git a/python/CMakeLists.txt b/python/CMakeLists.txt
-index 4cd19c3af4..668cc6a5e6 100644
---- a/python/CMakeLists.txt
-+++ b/python/CMakeLists.txt
-@@ -206,7 +206,7 @@ if (WITH_GUI)
- install(FILES ${QGIS_PYTHON_OUTPUT_DIRECTORY}/_gui.pyi DESTINATION ${QGIS_PYTHON_DIR})
- endif()
- if(QSCI_SIP_DIR)
-- set(SIP_EXTRA_OPTIONS ${SIP_EXTRA_OPTIONS} -I ${QSCI_SIP_DIR})
-+ set(SIP_BUILD_EXTRA_OPTIONS ${SIP_BUILD_EXTRA_OPTIONS} --include-dir=${QSCI_SIP_DIR})
- else()
- message(STATUS "Qsci sip file not found - disabling bindings for derived classes")
- set(SIP_DISABLE_FEATURES ${SIP_DISABLE_FEATURES} HAVE_QSCI_SIP)
diff --git a/pkgs/applications/gis/qgis/unwrapped-ltr.nix b/pkgs/applications/gis/qgis/unwrapped-ltr.nix
index 7e93c6814c7b..4a5e06c2e5e6 100644
--- a/pkgs/applications/gis/qgis/unwrapped-ltr.nix
+++ b/pkgs/applications/gis/qgis/unwrapped-ltr.nix
@@ -1,17 +1,17 @@
{ lib
-, callPackage
, fetchFromGitHub
-, fetchpatch
, makeWrapper
, mkDerivation
, substituteAll
, wrapGAppsHook
+, wrapQtAppsHook
, withGrass ? true
, withWebKit ? false
, bison
, cmake
+, draco
, exiv2
, fcgi
, flex
@@ -25,7 +25,7 @@
, netcdf
, ninja
, openssl
-# , pdal
+, pdal
, postgresql
, proj
, protobuf
@@ -36,6 +36,7 @@
, qtbase
, qtkeychain
, qtlocation
+, qtmultimedia
, qtsensors
, qtserialport
, qtwebkit
@@ -63,8 +64,8 @@ let
owslib
psycopg2
pygments
- pyqt-builder
pyqt5
+ pyqt-builder
python-dateutil
pytz
pyyaml
@@ -76,14 +77,14 @@ let
urllib3
];
in mkDerivation rec {
- version = "3.28.15";
+ version = "3.34.5";
pname = "qgis-ltr-unwrapped";
src = fetchFromGitHub {
owner = "qgis";
repo = "QGIS";
rev = "final-${lib.replaceStrings [ "." ] [ "_" ] version}";
- hash = "sha256-R6p1MVeCMbaD74Eqn+OLQkTYP+00y9mBucJR1JXPEJ4=";
+ hash = "sha256-TRSS1YclGUfBjNz+Lo8U8YlN4kdJ9JLcwd7qpgwRbG0=";
};
passthru = {
@@ -94,6 +95,7 @@ in mkDerivation rec {
nativeBuildInputs = [
makeWrapper
wrapGAppsHook
+ wrapQtAppsHook
bison
cmake
@@ -102,32 +104,34 @@ in mkDerivation rec {
];
buildInputs = [
- openssl
- proj
- geos
- sqlite
- gsl
- qwt
+ draco
exiv2
- protobuf
fcgi
+ geos
+ gsl
+ hdf5
libspatialindex
libspatialite
- postgresql
- txt2tags
libzip
- hdf5
netcdf
- qtbase
- qtsensors
+ openssl
+ pdal
+ postgresql
+ proj
+ protobuf
qca-qt5
- qtkeychain
qscintilla
+ qt3d
+ qtbase
+ qtkeychain
qtlocation
+ qtmultimedia
+ qtsensors
qtserialport
qtxmlpatterns
- qt3d
- # pdal
+ qwt
+ sqlite
+ txt2tags
zstd
] ++ lib.optional withGrass grass
++ lib.optional withWebKit qtwebkit
@@ -135,22 +139,22 @@ in mkDerivation rec {
patches = [
(substituteAll {
- src = ./set-pyqt-package-dirs-ltr.patch;
+ src = ./set-pyqt-package-dirs.patch;
pyQt5PackageDir = "${py.pkgs.pyqt5}/${py.pkgs.python.sitePackages}";
qsciPackageDir = "${py.pkgs.qscintilla-qt5}/${py.pkgs.python.sitePackages}";
})
- (fetchpatch {
- name = "qgis-3.28.9-exiv2-0.28.patch";
- url = "https://gitweb.gentoo.org/repo/gentoo.git/plain/sci-geosciences/qgis/files/qgis-3.28.9-exiv2-0.28.patch?id=002882203ad6a2b08ce035a18b95844a9f4b85d0";
- hash = "sha256-mPRo0A7ko4GCHJrfJ2Ls0dUKvkFtDmhKekI2CR9StMw=";
- })
];
- # PDAL is disabled until https://github.com/qgis/QGIS/pull/54940
- # is backported.
+ # Add path to Qt platform plugins
+ # (offscreen is needed by "${APIS_SRC_DIR}/generate_console_pap.py")
+ preBuild = ''
+ export QT_QPA_PLATFORM_PLUGIN_PATH=${qtbase.bin}/lib/qt-${qtbase.version}/plugins/platforms
+ '';
+
cmakeFlags = [
+ "-DCMAKE_BUILD_TYPE=Release"
"-DWITH_3D=True"
- "-DWITH_PDAL=False" # TODO: re-enable PDAL
+ "-DWITH_PDAL=True"
"-DENABLE_TESTS=False"
] ++ lib.optional (!withWebKit) "-DWITH_QTWEBKIT=OFF"
++ lib.optional withGrass (let
@@ -159,6 +163,10 @@ in mkDerivation rec {
in "-DGRASS_PREFIX${gmajor}=${grass}/grass${gmajor}${gminor}"
);
+ qtWrapperArgs = [
+ "--set QT_QPA_PLATFORM_PLUGIN_PATH ${qtbase.bin}/lib/qt-${qtbase.version}/plugins/platforms"
+ ];
+
dontWrapGApps = true; # wrapper params passed below
postFixup = lib.optionalString withGrass ''
diff --git a/pkgs/applications/gis/qgis/unwrapped.nix b/pkgs/applications/gis/qgis/unwrapped.nix
index 4d89cacece79..61e08ef56163 100644
--- a/pkgs/applications/gis/qgis/unwrapped.nix
+++ b/pkgs/applications/gis/qgis/unwrapped.nix
@@ -52,6 +52,7 @@ let
packageOverrides = self: super: {
pyqt5 = super.pyqt5.override {
withLocation = true;
+ withSerialPort = true;
};
};
};
@@ -77,14 +78,14 @@ let
urllib3
];
in mkDerivation rec {
- version = "3.34.3";
+ version = "3.36.1";
pname = "qgis-unwrapped";
src = fetchFromGitHub {
owner = "qgis";
repo = "QGIS";
rev = "final-${lib.replaceStrings [ "." ] [ "_" ] version}";
- hash = "sha256-uf4qUalY6LxPykgUt/atHBTu+A6ITrcnfez/jwVMLvA=";
+ hash = "sha256-/0wVPcQoliJFgY8Kn506gUHfY+kDTdLgzbp/0KLSAkI=";
};
passthru = {
@@ -97,9 +98,9 @@ in mkDerivation rec {
wrapGAppsHook
wrapQtAppsHook
+ bison
cmake
flex
- bison
ninja
];
diff --git a/pkgs/applications/graphics/ImageMagick/default.nix b/pkgs/applications/graphics/ImageMagick/default.nix
index 261df37e9aa9..568a8a0ac02e 100644
--- a/pkgs/applications/graphics/ImageMagick/default.nix
+++ b/pkgs/applications/graphics/ImageMagick/default.nix
@@ -25,6 +25,7 @@
, libwebpSupport ? !stdenv.hostPlatform.isMinGW, libwebp
, libheifSupport ? true, libheif
, potrace
+, coreutils
, curl
, ApplicationServices
, Foundation
@@ -49,13 +50,13 @@ in
stdenv.mkDerivation (finalAttrs: {
pname = "imagemagick";
- version = "7.1.1-28";
+ version = "7.1.1-29";
src = fetchFromGitHub {
owner = "ImageMagick";
repo = "ImageMagick";
rev = finalAttrs.version;
- hash = "sha256-WT058DZzMrNKn9E56dH476iCgeOi7QQ3jNBxKAqT6h4=";
+ hash = "sha256-W9WbHzmTa0dA9+mOxXu88qmN1mO9ORaH0Nj6r2s1Q+E=";
};
outputs = [ "out" "dev" "doc" ]; # bin/ isn't really big
@@ -64,6 +65,10 @@ stdenv.mkDerivation (finalAttrs: {
enableParallelBuilding = true;
configureFlags = [
+ # specify delegates explicitly otherwise `convert` will invoke the build
+ # coreutils for filetypes it doesn't natively support.
+ "MVDelegate=${lib.getExe' coreutils "mv"}"
+ "RMDelegate=${lib.getExe' coreutils "rm"}"
"--with-frozenpaths"
(lib.withFeatureAs (arch != null) "gcc-arch" arch)
(lib.withFeature librsvgSupport "rsvg")
diff --git a/pkgs/applications/graphics/ahoviewer/default.nix b/pkgs/applications/graphics/ahoviewer/default.nix
index d903ce1adf8e..4c1d309ebbab 100644
--- a/pkgs/applications/graphics/ahoviewer/default.nix
+++ b/pkgs/applications/graphics/ahoviewer/default.nix
@@ -42,6 +42,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/ahodesuka/ahoviewer";
description = "A GTK2 image viewer, manga reader, and booru browser";
+ mainProgram = "ahoviewer";
maintainers = with maintainers; [ xzfc ];
license = licenses.mit;
# Unintentionally not working on Darwin:
diff --git a/pkgs/applications/graphics/alchemy/default.nix b/pkgs/applications/graphics/alchemy/default.nix
index c37bc92a4065..2b61004ed0e7 100644
--- a/pkgs/applications/graphics/alchemy/default.nix
+++ b/pkgs/applications/graphics/alchemy/default.nix
@@ -37,5 +37,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl3Plus;
maintainers = [ maintainers.marcweber ];
platforms = platforms.linux;
+ mainProgram = "alchemy";
};
}
diff --git a/pkgs/applications/graphics/antimony/default.nix b/pkgs/applications/graphics/antimony/default.nix
index 50156802779c..56ee01f8bbe8 100644
--- a/pkgs/applications/graphics/antimony/default.nix
+++ b/pkgs/applications/graphics/antimony/default.nix
@@ -62,6 +62,7 @@ in
meta = with lib; {
description = "A computer-aided design (CAD) tool from a parallel universe";
+ mainProgram = "antimony";
homepage = "https://github.com/mkeeter/antimony";
license = licenses.mit;
maintainers = with maintainers; [ rnhmjoj ];
diff --git a/pkgs/applications/graphics/apngasm/2.nix b/pkgs/applications/graphics/apngasm/2.nix
index 81d2915c96df..f581f9dd1245 100644
--- a/pkgs/applications/graphics/apngasm/2.nix
+++ b/pkgs/applications/graphics/apngasm/2.nix
@@ -26,6 +26,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Create highly optimized Animated PNG files from PNG/TGA images";
+ mainProgram = "apngasm";
homepage = "https://apngasm.sourceforge.net/";
license = licenses.zlib;
maintainers = with maintainers; [ orivej ];
diff --git a/pkgs/applications/graphics/apngasm/default.nix b/pkgs/applications/graphics/apngasm/default.nix
index 085683b5b643..d75188b36dd6 100644
--- a/pkgs/applications/graphics/apngasm/default.nix
+++ b/pkgs/applications/graphics/apngasm/default.nix
@@ -29,6 +29,7 @@ stdenv.mkDerivation rec {
license = licenses.zlib;
maintainers = with maintainers; [ orivej ];
platforms = platforms.linux;
+ mainProgram = "apngasm";
};
}
diff --git a/pkgs/applications/graphics/artem/default.nix b/pkgs/applications/graphics/artem/default.nix
index de6f593fc453..18bc00983828 100644
--- a/pkgs/applications/graphics/artem/default.nix
+++ b/pkgs/applications/graphics/artem/default.nix
@@ -8,16 +8,16 @@
rustPlatform.buildRustPackage rec {
pname = "artem";
- version = "2.0.2";
+ version = "2.0.6";
src = fetchFromGitHub {
owner = "finefindus";
repo = "artem";
rev = "v${version}";
- hash = "sha256-t8L1lylaacEHGg3wxVgiB2XmBHDGzql774oHrg/vUC0=";
+ hash = "sha256-iio0MJG0qVndhQvF2zgZ6Jw0za6bBQYFmtk1Mbxpq1E=";
};
- cargoHash = "sha256-rsgl8g6AqNmdq2gJ3PHvKMb7eid8ewtheajGWSWbeBw=";
+ cargoHash = "sha256-47HNoAA1qr39qQqfq+qZoCFyjKHu5pnRKC2QzA60K3k=";
nativeBuildInputs = [
installShellFiles
@@ -48,5 +48,6 @@ rustPlatform.buildRustPackage rec {
changelog = "https://github.com/finefindus/artem/blob/v${version}/CHANGELOG.md";
license = licenses.mpl20;
maintainers = with maintainers; [ figsoda ];
+ mainProgram = "artem";
};
}
diff --git a/pkgs/applications/graphics/ascii-image-converter/default.nix b/pkgs/applications/graphics/ascii-image-converter/default.nix
index c4a63aa4fbeb..d0ae5420bdfe 100644
--- a/pkgs/applications/graphics/ascii-image-converter/default.nix
+++ b/pkgs/applications/graphics/ascii-image-converter/default.nix
@@ -18,5 +18,6 @@ buildGoModule rec {
homepage = "https://github.com/TheZoraiz/ascii-image-converter#readme";
license = licenses.asl20;
maintainers = [ maintainers.danth ];
+ mainProgram = "ascii-image-converter";
};
}
diff --git a/pkgs/applications/graphics/autotrace/default.nix b/pkgs/applications/graphics/autotrace/default.nix
index e611a56a6624..30cf116fed14 100644
--- a/pkgs/applications/graphics/autotrace/default.nix
+++ b/pkgs/applications/graphics/autotrace/default.nix
@@ -55,5 +55,6 @@ stdenv.mkDerivation rec {
platforms = platforms.unix;
maintainers = with maintainers; [ hodapp ];
license = licenses.gpl2;
+ mainProgram = "autotrace";
};
}
diff --git a/pkgs/applications/graphics/awesomebump/default.nix b/pkgs/applications/graphics/awesomebump/default.nix
index e7bc474e17d3..ef9a2f7c7766 100644
--- a/pkgs/applications/graphics/awesomebump/default.nix
+++ b/pkgs/applications/graphics/awesomebump/default.nix
@@ -14,7 +14,7 @@ let
qtnproperty = mkDerivation {
name = "qtnproperty";
inherit src;
- sourceRoot = "AwesomeBump/Sources/utils/QtnProperty";
+ sourceRoot = "${src.name}/Sources/utils/QtnProperty";
patches = [ ./qtnproperty-parallel-building.patch ];
buildInputs = [ qtscript qtbase qtdeclarative ];
nativeBuildInputs = [ qmake flex bison ];
@@ -59,5 +59,6 @@ in mkDerivation {
license = lib.licenses.gpl3Plus;
maintainers = [ lib.maintainers.eelco ];
platforms = lib.platforms.linux;
+ mainProgram = "AwesomeBump";
};
}
diff --git a/pkgs/applications/graphics/azpainter/default.nix b/pkgs/applications/graphics/azpainter/default.nix
index ac52af2a7d4c..05fb56bb5d7d 100644
--- a/pkgs/applications/graphics/azpainter/default.nix
+++ b/pkgs/applications/graphics/azpainter/default.nix
@@ -44,5 +44,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl3Plus;
maintainers = with maintainers; [ dtzWill ];
platforms = with platforms; linux ++ darwin;
+ mainProgram = "azpainter";
};
}
diff --git a/pkgs/applications/graphics/blockbench-electron/default.nix b/pkgs/applications/graphics/blockbench-electron/default.nix
deleted file mode 100644
index 50a10310546d..000000000000
--- a/pkgs/applications/graphics/blockbench-electron/default.nix
+++ /dev/null
@@ -1,48 +0,0 @@
-{ lib, stdenv, fetchurl, appimageTools, makeWrapper, electron_25 }:
-
-stdenv.mkDerivation rec {
- pname = "blockbench-electron";
- version = "4.8.1";
-
- src = fetchurl {
- url = "https://github.com/JannisX11/blockbench/releases/download/v${version}/Blockbench_${version}.AppImage";
- sha256 = "sha256-CE2wDOt1WBcYmPs4sEyZ3LYvKLequFZH0B3huMYHlwA=";
- name = "${pname}-${version}.AppImage";
- };
-
- appimageContents = appimageTools.extractType2 {
- name = "${pname}-${version}";
- inherit src;
- };
-
- dontUnpack = true;
- dontConfigure = true;
- dontBuild = true;
-
- nativeBuildInputs = [ makeWrapper ];
-
- installPhase = ''
- runHook preInstall
- mkdir -p $out/bin $out/share/${pname} $out/share/applications
- cp -a ${appimageContents}/{locales,resources} $out/share/${pname}
- cp -a ${appimageContents}/blockbench.desktop $out/share/applications/${pname}.desktop
- cp -a ${appimageContents}/usr/share/icons $out/share
- substituteInPlace $out/share/applications/${pname}.desktop \
- --replace 'Exec=AppRun' 'Exec=${pname}'
- runHook postInstall
- '';
-
- postFixup = ''
- makeWrapper ${electron_25}/bin/electron $out/bin/${pname} \
- --add-flags $out/share/${pname}/resources/app.asar \
- --prefix LD_LIBRARY_PATH : "${lib.makeLibraryPath [ stdenv.cc.cc ]}"
- '';
-
- meta = with lib; {
- description = "A boxy 3D model editor powered by Electron";
- homepage = "https://blockbench.net/";
- license = licenses.gpl3Only;
- maintainers = with maintainers; [ ckie ];
- platforms = [ "x86_64-linux" ];
- };
-}
diff --git a/pkgs/applications/graphics/cloudcompare/default.nix b/pkgs/applications/graphics/cloudcompare/default.nix
index 7f8d9be53b85..e78b95c363b6 100644
--- a/pkgs/applications/graphics/cloudcompare/default.nix
+++ b/pkgs/applications/graphics/cloudcompare/default.nix
@@ -2,6 +2,8 @@
, stdenv
, mkDerivation
, fetchFromGitHub
+, makeDesktopItem
+, copyDesktopItems
, cmake
, boost
, cgal
@@ -23,13 +25,13 @@
mkDerivation rec {
pname = "cloudcompare";
- version = "2.12.4";
+ version = "2.13.1";
src = fetchFromGitHub {
owner = "CloudCompare";
repo = "CloudCompare";
rev = "v${version}";
- sha256 = "sha256-rQ9/vS/fyRWGBL4UGPNSeeNsDtnRHEp9NCViBtu/QEs=";
+ hash = "sha256-QQwQt63tXxJnGaBLu+GvWkEazumYPhXnDe+giSu7wjk=";
fetchSubmodules = true;
};
@@ -37,6 +39,7 @@ mkDerivation rec {
cmake
eigen # header-only
wrapGAppsHook
+ copyDesktopItems
];
buildInputs = [
@@ -96,12 +99,15 @@ mkDerivation rec {
dontWrapGApps = true;
postInstall = ''
- install -Dm444 $src/snap/gui/{ccViewer,cloudcompare}.png -t $out/share/icons/hicolor/256x256/apps
- install -Dm444 $src/snap/gui/{ccViewer,cloudcompare}.desktop -t $out/share/applications
- substituteInPlace $out/share/applications/{ccViewer,cloudcompare}.desktop \
- --replace 'Exec=cloudcompare.' 'Exec=' \
- --replace 'Icon=''${SNAP}/meta/gui/' 'Icon=' \
- --replace '.png' ""
+ install -Dm444 $src/qCC/images/icon/cc_icon_16.png $out/share/icons/hicolor/16x16/apps/CloudCompare.png
+ install -Dm444 $src/qCC/images/icon/cc_icon_32.png $out/share/icons/hicolor/32x32/apps/CloudCompare.png
+ install -Dm444 $src/qCC/images/icon/cc_icon_64.png $out/share/icons/hicolor/64x64/apps/CloudCompare.png
+ install -Dm444 $src/qCC/images/icon/cc_icon_256.png $out/share/icons/hicolor/256x256/apps/CloudCompare.png
+
+ install -Dm444 $src/qCC/images/icon/cc_viewer_icon_16.png $out/share/icons/hicolor/16x16/apps/ccViewer.png
+ install -Dm444 $src/qCC/images/icon/cc_viewer_icon_32.png $out/share/icons/hicolor/32x32/apps/ccViewer.png
+ install -Dm444 $src/qCC/images/icon/cc_viewer_icon_64.png $out/share/icons/hicolor/64x64/apps/ccViewer.png
+ install -Dm444 $src/qCC/images/icon/cc_viewer_icon_256.png $out/share/icons/hicolor/256x256/apps/ccViewer.png
'';
# fix file dialogs crashing on non-NixOS (and avoid double wrapping)
@@ -109,11 +115,35 @@ mkDerivation rec {
qtWrapperArgs+=("''${gappsWrapperArgs[@]}")
'';
+ desktopItems = [
+ (makeDesktopItem {
+ name = "CloudCompare";
+ desktopName = "CloudCompare";
+ comment = "3D point cloud and mesh processing software";
+ exec = "CloudCompare";
+ terminal = false;
+ categories = [ "Graphics" "3DGraphics" "Viewer" ];
+ keywords = [ "3d" "processing" ];
+ icon = "CloudCompare";
+ })
+ (makeDesktopItem {
+ name = "ccViewer";
+ desktopName = "CloudCompare Viewer";
+ comment = "3D point cloud and mesh processing software";
+ exec = "ccViewer";
+ terminal = false;
+ categories = [ "Graphics" "3DGraphics" "Viewer" ];
+ keywords = [ "3d" "viewer" ];
+ icon = "ccViewer";
+ })
+ ];
+
meta = with lib; {
description = "3D point cloud and mesh processing software";
homepage = "https://cloudcompare.org";
license = licenses.gpl2Plus;
maintainers = with maintainers; [ nh2 ];
+ mainProgram = "CloudCompare";
platforms = with platforms; linux; # only tested here; might work on others
};
}
diff --git a/pkgs/applications/graphics/conjure/default.nix b/pkgs/applications/graphics/conjure/default.nix
index b0b00369ffde..634cf7132b1d 100644
--- a/pkgs/applications/graphics/conjure/default.nix
+++ b/pkgs/applications/graphics/conjure/default.nix
@@ -57,6 +57,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "Magically transform your images";
+ mainProgram = "conjure";
longDescription = ''
Resize, crop, rotate, flip images, apply various filters and effects,
adjust levels and brightness, and much more. An intuitive tool for designers,
diff --git a/pkgs/applications/graphics/curtail/default.nix b/pkgs/applications/graphics/curtail/default.nix
index 30ca2289526b..2a881c153f68 100644
--- a/pkgs/applications/graphics/curtail/default.nix
+++ b/pkgs/applications/graphics/curtail/default.nix
@@ -69,6 +69,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "Simple & useful image compressor";
+ mainProgram = "curtail";
homepage = "https://github.com/Huluti/Curtail";
license = licenses.gpl3Only;
maintainers = with maintainers; [ anselmschueler ];
diff --git a/pkgs/applications/graphics/curv/default.nix b/pkgs/applications/graphics/curv/default.nix
index 6725e525c376..71793b861508 100644
--- a/pkgs/applications/graphics/curv/default.nix
+++ b/pkgs/applications/graphics/curv/default.nix
@@ -64,5 +64,6 @@ stdenv.mkDerivation rec {
platforms = platforms.all;
broken = stdenv.isDarwin;
maintainers = with maintainers; [ pbsds ];
+ mainProgram = "curv";
};
}
diff --git a/pkgs/applications/graphics/darktable/default.nix b/pkgs/applications/graphics/darktable/default.nix
index 8983b14cb446..fdc3770676ff 100644
--- a/pkgs/applications/graphics/darktable/default.nix
+++ b/pkgs/applications/graphics/darktable/default.nix
@@ -56,12 +56,12 @@
}:
stdenv.mkDerivation rec {
- version = "4.6.0";
+ version = "4.6.1";
pname = "darktable";
src = fetchurl {
url = "https://github.com/darktable-org/darktable/releases/download/release-${version}/darktable-${version}.tar.xz";
- sha256 = "sha256-cksn4yBNGCLebcU+oJCmsc5V98MiJtNGQmiXdcaKrMI=";
+ sha256 = "sha256-Fu3AoHApPi082k6hDkm9qb3pMuI/nmLi+i56x0rPev0=";
};
nativeBuildInputs = [ cmake ninja llvmPackages.llvm pkg-config intltool perl desktop-file-utils wrapGAppsHook ];
diff --git a/pkgs/applications/graphics/deskew/default.nix b/pkgs/applications/graphics/deskew/default.nix
index ad3a20d2431c..f43a26f692a3 100644
--- a/pkgs/applications/graphics/deskew/default.nix
+++ b/pkgs/applications/graphics/deskew/default.nix
@@ -40,6 +40,7 @@ stdenv.mkDerivation rec {
license = with licenses; [ mit mpl11 ];
maintainers = with maintainers; [ryantm];
platforms = platforms.all;
+ mainProgram = "deskew";
};
}
diff --git a/pkgs/applications/graphics/dia/default.nix b/pkgs/applications/graphics/dia/default.nix
index eb9d54d5cfc1..c79f50e86d79 100644
--- a/pkgs/applications/graphics/dia/default.nix
+++ b/pkgs/applications/graphics/dia/default.nix
@@ -68,6 +68,7 @@ stdenv.mkDerivation {
meta = with lib; {
description = "Gnome Diagram drawing software";
+ mainProgram = "dia";
homepage = "http://live.gnome.org/Dia";
maintainers = with maintainers; [ raskin ];
license = licenses.gpl2;
diff --git a/pkgs/applications/graphics/djview/default.nix b/pkgs/applications/graphics/djview/default.nix
index 6386c39ce910..5bbbfc0c686c 100644
--- a/pkgs/applications/graphics/djview/default.nix
+++ b/pkgs/applications/graphics/djview/default.nix
@@ -46,6 +46,7 @@ mkDerivation rec {
meta = with lib; {
broken = stdenv.isDarwin;
description = "A portable DjVu viewer (Qt5) and browser (nsdejavu) plugin";
+ mainProgram = "djview";
homepage = "https://djvu.sourceforge.net/djview4.html";
license = licenses.gpl2;
platforms = platforms.unix;
diff --git a/pkgs/applications/graphics/dosage/default.nix b/pkgs/applications/graphics/dosage/default.nix
index 6bdf149c9b97..1aaa53948619 100644
--- a/pkgs/applications/graphics/dosage/default.nix
+++ b/pkgs/applications/graphics/dosage/default.nix
@@ -23,6 +23,7 @@ python3Packages.buildPythonApplication rec {
meta = {
description = "A comic strip downloader and archiver";
+ mainProgram = "dosage";
homepage = "https://dosage.rocks/";
license = lib.licenses.mit;
maintainers = with lib.maintainers; [ toonn ];
diff --git a/pkgs/applications/graphics/drawing/default.nix b/pkgs/applications/graphics/drawing/default.nix
index 693c0b213b54..90caf78d6313 100644
--- a/pkgs/applications/graphics/drawing/default.nix
+++ b/pkgs/applications/graphics/drawing/default.nix
@@ -63,6 +63,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "A free basic image editor, similar to Microsoft Paint, but aiming at the GNOME desktop";
+ mainProgram = "drawing";
homepage = "https://maoschanz.github.io/drawing/";
changelog = "https://github.com/maoschanz/drawing/releases/tag/${version}";
maintainers = with maintainers; [ mothsart ];
diff --git a/pkgs/applications/graphics/drawio/default.nix b/pkgs/applications/graphics/drawio/default.nix
index af64823bb35c..b9c56a01e006 100644
--- a/pkgs/applications/graphics/drawio/default.nix
+++ b/pkgs/applications/graphics/drawio/default.nix
@@ -6,6 +6,7 @@
, copyDesktopItems
, prefetch-yarn-deps
, makeWrapper
+, autoSignDarwinBinariesHook
, nodejs
, yarn
, electron
@@ -13,19 +14,24 @@
stdenv.mkDerivation rec {
pname = "drawio";
- version = "22.1.18";
+ version = "24.0.4";
src = fetchFromGitHub {
owner = "jgraph";
repo = "drawio-desktop";
rev = "v${version}";
fetchSubmodules = true;
- hash = "sha256-qOZm7XbF8QOx5rD5EJY0lJhaq2Yhp/nppOA4BIWheyE=";
+ hash = "sha256-+TCnVXcmAEpa7MiL0dyeoh2aUfIIO8eze9pEaHgKnME=";
};
+ # `@electron/fuses` tries to run `codesign` and fails. Disable and use autoSignDarwinBinariesHook instead
+ postPatch = ''
+ sed -i -e 's/resetAdHocDarwinSignature:.*/resetAdHocDarwinSignature: false,/' build/fuses.js
+ '';
+
offlineCache = fetchYarnDeps {
yarnLock = src + "/yarn.lock";
- hash = "sha256-TwI3NCIn5NnKXuwW5dBl4q6Ma5rZR7NVNb5hoKbmNLM=";
+ hash = "sha256-QS0bkDDQq3sn79TQ+pTZsmbmXgMccyLmlPLTsko7eGg=";
};
nativeBuildInputs = [
@@ -35,6 +41,8 @@ stdenv.mkDerivation rec {
yarn
] ++ lib.optionals (!stdenv.isDarwin) [
copyDesktopItems
+ ] ++ lib.optionals stdenv.isDarwin [
+ autoSignDarwinBinariesHook
];
ELECTRON_SKIP_BINARY_DOWNLOAD = true;
@@ -61,7 +69,7 @@ stdenv.mkDerivation rec {
sed -i "/afterSign/d" electron-builder-linux-mac.json
'' + ''
yarn --offline run electron-builder --dir \
- --config electron-builder-linux-mac.json \
+ ${if stdenv.isDarwin then "--config electron-builder-linux-mac.json" else ""} \
-c.electronDist=${if stdenv.isDarwin then "." else "${electron}/libexec/electron"} \
-c.electronVersion=${electron.version}
@@ -112,5 +120,6 @@ stdenv.mkDerivation rec {
changelog = "https://github.com/jgraph/drawio-desktop/releases/tag/v${version}";
maintainers = with maintainers; [ qyliss darkonion0 ];
platforms = platforms.darwin ++ platforms.linux;
+ mainProgram = "drawio";
};
}
diff --git a/pkgs/applications/graphics/drawpile/default.nix b/pkgs/applications/graphics/drawpile/default.nix
index ea07e2314217..5c43c609f31f 100644
--- a/pkgs/applications/graphics/drawpile/default.nix
+++ b/pkgs/applications/graphics/drawpile/default.nix
@@ -98,6 +98,7 @@ in mkDerivation rec {
meta = {
description = "A collaborative drawing program that allows multiple users to sketch on the same canvas simultaneously";
+ mainProgram = "drawpile-srv";
homepage = "https://drawpile.net/";
downloadPage = "https://drawpile.net/download/";
license = licenses.gpl3;
diff --git a/pkgs/applications/graphics/eddy/default.nix b/pkgs/applications/graphics/eddy/default.nix
index 58b8777bb223..6dac49fc5d15 100644
--- a/pkgs/applications/graphics/eddy/default.nix
+++ b/pkgs/applications/graphics/eddy/default.nix
@@ -40,6 +40,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
homepage = "http://www.obdasystems.com/eddy";
description = "Graphical editor for the specification and visualization of Graphol ontologies";
+ mainProgram = "eddy";
license = licenses.gpl3Only;
platforms = platforms.linux;
maintainers = with maintainers; [ koslambrou ];
diff --git a/pkgs/applications/graphics/emblem/default.nix b/pkgs/applications/graphics/emblem/default.nix
index b2df35c20c4b..6d490fc24141 100644
--- a/pkgs/applications/graphics/emblem/default.nix
+++ b/pkgs/applications/graphics/emblem/default.nix
@@ -57,6 +57,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Generate project icons and avatars from a symbolic icon";
+ mainProgram = "emblem";
homepage = "https://gitlab.gnome.org/World/design/emblem";
license = licenses.gpl3Plus;
platforms = platforms.unix;
diff --git a/pkgs/applications/graphics/emulsion/default.nix b/pkgs/applications/graphics/emulsion/default.nix
index 4964d258c11a..f970b7ffc58f 100644
--- a/pkgs/applications/graphics/emulsion/default.nix
+++ b/pkgs/applications/graphics/emulsion/default.nix
@@ -73,5 +73,6 @@ rustPlatform.buildRustPackage rec {
maintainers = [ maintainers.magnetophon ];
platforms = platforms.unix;
license = licenses.mit;
+ mainProgram = "emulsion";
};
}
diff --git a/pkgs/applications/graphics/entwine/default.nix b/pkgs/applications/graphics/entwine/default.nix
index 908d5cc08184..5a79bc38c553 100644
--- a/pkgs/applications/graphics/entwine/default.nix
+++ b/pkgs/applications/graphics/entwine/default.nix
@@ -34,5 +34,6 @@ stdenv.mkDerivation {
license = licenses.lgpl2Only;
maintainers = with maintainers; [ matthewcroughan ];
platforms = platforms.linux;
+ mainProgram = "entwine";
};
}
diff --git a/pkgs/applications/graphics/epeg/default.nix b/pkgs/applications/graphics/epeg/default.nix
index b4ba26bd015f..8134771f5688 100644
--- a/pkgs/applications/graphics/epeg/default.nix
+++ b/pkgs/applications/graphics/epeg/default.nix
@@ -31,5 +31,6 @@ stdenv.mkDerivation rec {
url = "https://github.com/mattes/epeg#license";
};
maintainers = with maintainers; [ nh2 ];
+ mainProgram = "epeg";
};
}
diff --git a/pkgs/applications/graphics/epick/default.nix b/pkgs/applications/graphics/epick/default.nix
index c27cbf94476b..dee31abdd29d 100644
--- a/pkgs/applications/graphics/epick/default.nix
+++ b/pkgs/applications/graphics/epick/default.nix
@@ -57,5 +57,6 @@ rustPlatform.buildRustPackage rec {
changelog = "https://github.com/vv9k/epick/blob/${version}/CHANGELOG.md";
license = licenses.gpl3Only;
maintainers = with maintainers; [ figsoda ];
+ mainProgram = "epick";
};
}
diff --git a/pkgs/applications/graphics/evilpixie/default.nix b/pkgs/applications/graphics/evilpixie/default.nix
index 49611f1709b7..fb397239849b 100644
--- a/pkgs/applications/graphics/evilpixie/default.nix
+++ b/pkgs/applications/graphics/evilpixie/default.nix
@@ -12,14 +12,14 @@
, impy
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "evilpixie";
version = "0.3.1";
src = fetchFromGitHub {
owner = "bcampbell";
repo = "evilpixie";
- rev = "v${version}";
+ rev = "v${finalAttrs.version}";
sha256 = "sha256-+DdAN+xDOYxLgLHUlr75piTEPrWpuOyXvxckhBEl7yU=";
};
@@ -40,6 +40,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Pixel-oriented paint program, modelled on Deluxe Paint";
+ mainProgram = "evilpixie";
homepage = "https://github.com/bcampbell/evilpixie"; # http://evilpixie.scumways.com/ is gone
downloadPage = "https://github.com/bcampbell/evilpixie/releases";
license = licenses.gpl3Only;
@@ -51,5 +52,4 @@ stdenv.mkDerivation rec {
# https://github.com/bcampbell/evilpixie/issues/28
stdenv.isAarch64;
};
-}
-
+})
diff --git a/pkgs/applications/graphics/eyedropper/default.nix b/pkgs/applications/graphics/eyedropper/default.nix
index f531f8c16271..efa60ac32826 100644
--- a/pkgs/applications/graphics/eyedropper/default.nix
+++ b/pkgs/applications/graphics/eyedropper/default.nix
@@ -54,6 +54,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Pick and format colors";
+ mainProgram = "eyedropper";
homepage = "https://github.com/FineFindus/eyedropper";
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/graphics/f3d/default.nix b/pkgs/applications/graphics/f3d/default.nix
index 2fef95222b5a..12076557acfb 100644
--- a/pkgs/applications/graphics/f3d/default.nix
+++ b/pkgs/applications/graphics/f3d/default.nix
@@ -52,5 +52,6 @@ stdenv.mkDerivation rec {
license = licenses.bsd3;
maintainers = with maintainers; [ bcdarwin pbsds ];
platforms = with platforms; unix;
+ mainProgram = "f3d";
};
}
diff --git a/pkgs/applications/graphics/figma-agent/default.nix b/pkgs/applications/graphics/figma-agent/default.nix
index 36ae271d7e16..a6bf008e8295 100644
--- a/pkgs/applications/graphics/figma-agent/default.nix
+++ b/pkgs/applications/graphics/figma-agent/default.nix
@@ -40,5 +40,6 @@ buildRustPackage {
description = "Figma Agent for Linux (a.k.a. Font Helper)";
license = licenses.mit;
maintainers = with maintainers; [ ercao ];
+ mainProgram = "figma-agent";
};
}
diff --git a/pkgs/applications/graphics/fiji/default.nix b/pkgs/applications/graphics/fiji/default.nix
index e3847b98d519..c5f4d08f30e4 100644
--- a/pkgs/applications/graphics/fiji/default.nix
+++ b/pkgs/applications/graphics/fiji/default.nix
@@ -65,6 +65,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://imagej.net/software/fiji/";
description = "batteries-included distribution of ImageJ2, bundling a lot of plugins which facilitate scientific image analysis";
+ mainProgram = "fiji";
platforms = [ "x86_64-linux" ];
sourceProvenance = with sourceTypes; [
binaryBytecode
diff --git a/pkgs/applications/graphics/flaca/default.nix b/pkgs/applications/graphics/flaca/default.nix
index 0c421618d094..912887e0e93a 100644
--- a/pkgs/applications/graphics/flaca/default.nix
+++ b/pkgs/applications/graphics/flaca/default.nix
@@ -24,5 +24,6 @@ rustPlatform.buildRustPackage rec {
maintainers = with maintainers; [ zzzsy ];
platforms = platforms.linux;
license = licenses.wtfpl;
+ mainProgram = "flaca";
};
}
diff --git a/pkgs/applications/graphics/focus-stack/default.nix b/pkgs/applications/graphics/focus-stack/default.nix
index 11de5d144452..6025aa9b7a1b 100644
--- a/pkgs/applications/graphics/focus-stack/default.nix
+++ b/pkgs/applications/graphics/focus-stack/default.nix
@@ -28,5 +28,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/PetteriAimonen/focus-stack";
license = licenses.mit;
maintainers = with maintainers; [ paperdigits ];
+ mainProgram = "focus-stack";
};
}
diff --git a/pkgs/applications/graphics/foxotron/default.nix b/pkgs/applications/graphics/foxotron/default.nix
index 079515b4b214..c5f2d9c26fcc 100644
--- a/pkgs/applications/graphics/foxotron/default.nix
+++ b/pkgs/applications/graphics/foxotron/default.nix
@@ -97,5 +97,6 @@ stdenv.mkDerivation rec {
license = licenses.unlicense;
maintainers = with maintainers; [ OPNA2608 ];
platforms = platforms.all;
+ mainProgram = "Foxotron";
};
}
diff --git a/pkgs/applications/graphics/fstl/default.nix b/pkgs/applications/graphics/fstl/default.nix
index c7faf43e24e8..a004c2dd8d77 100644
--- a/pkgs/applications/graphics/fstl/default.nix
+++ b/pkgs/applications/graphics/fstl/default.nix
@@ -24,6 +24,7 @@ mkDerivation rec {
meta = with lib; {
description = "The fastest STL file viewer";
+ mainProgram = "fstl";
homepage = "https://github.com/fstl-app/fstl";
license = licenses.mit;
platforms = platforms.linux ++ platforms.darwin;
diff --git a/pkgs/applications/graphics/gcolor2/default.nix b/pkgs/applications/graphics/gcolor2/default.nix
index 61a41abac5b0..4ee7b869eea0 100644
--- a/pkgs/applications/graphics/gcolor2/default.nix
+++ b/pkgs/applications/graphics/gcolor2/default.nix
@@ -39,5 +39,6 @@ stdenv.mkDerivation {
license = lib.licenses.gpl2Plus;
maintainers = with lib.maintainers; [ notthemessiah ];
platforms = with lib.platforms; unix;
+ mainProgram = "gcolor2";
};
}
diff --git a/pkgs/applications/graphics/gcolor3/default.nix b/pkgs/applications/graphics/gcolor3/default.nix
index 6895a334f1e5..ae36266f29c8 100644
--- a/pkgs/applications/graphics/gcolor3/default.nix
+++ b/pkgs/applications/graphics/gcolor3/default.nix
@@ -47,6 +47,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A simple color chooser written in GTK3";
+ mainProgram = "gcolor3";
homepage = "https://gitlab.gnome.org/World/gcolor3";
license = licenses.gpl2Plus;
maintainers = with maintainers; [ ];
diff --git a/pkgs/applications/graphics/geeqie/default.nix b/pkgs/applications/graphics/geeqie/default.nix
index 653dad23b638..a064e27a6657 100644
--- a/pkgs/applications/graphics/geeqie/default.nix
+++ b/pkgs/applications/graphics/geeqie/default.nix
@@ -66,6 +66,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Lightweight GTK based image viewer";
+ mainProgram = "geeqie";
longDescription =
''
diff --git a/pkgs/applications/graphics/gimp/plugins/default.nix b/pkgs/applications/graphics/gimp/plugins/default.nix
index 4ef13e7ad312..16d5ab6d33c1 100644
--- a/pkgs/applications/graphics/gimp/plugins/default.nix
+++ b/pkgs/applications/graphics/gimp/plugins/default.nix
@@ -5,7 +5,7 @@
{ config, lib, pkgs }:
let
- inherit (pkgs) stdenv fetchurl fetchpatch pkg-config intltool glib fetchFromGitHub;
+ inherit (pkgs) stdenv fetchurl fetchpatch pkg-config intltool glib fetchFromGitHub fetchFromGitLab;
in
lib.makeScope pkgs.newScope (self:
@@ -111,13 +111,18 @@ in
Video
*/
pname = "gap";
- version = "2.6.0";
+ version = "2.6.0-unstable-2023-05-20";
- src = fetchurl {
- url = "https://ftp.gimp.org/pub/gimp/plug-ins/v2.6/gap/gimp-gap-2.6.0.tar.bz2";
- sha256 = "1jic7ixcmsn4kx2cn32nc5087rk6g8xsrz022xy11yfmgvhzb0ql";
+ src = fetchFromGitLab {
+ domain = "gitlab.gnome.org";
+ owner = "Archive";
+ repo = "gimp-gap";
+ rev = "b2aa06cc7ee4ae1938f14640fe46b75ef5b15982";
+ hash = "sha256-q5TgCy0+iIfxyqJRXsKxiFrWMFSzBqC0SA9MBGTHXcA=";
};
+ nativeBuildInputs = with pkgs; [autoreconfHook];
+
hardeningDisable = [ "format" ];
env = {
diff --git a/pkgs/applications/graphics/gnome-obfuscate/default.nix b/pkgs/applications/graphics/gnome-obfuscate/default.nix
index 3ea22fff3dc1..49499f7d7322 100644
--- a/pkgs/applications/graphics/gnome-obfuscate/default.nix
+++ b/pkgs/applications/graphics/gnome-obfuscate/default.nix
@@ -18,7 +18,7 @@
, Foundation
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "gnome-obfuscate";
version = "0.0.9";
@@ -26,13 +26,13 @@ stdenv.mkDerivation rec {
domain = "gitlab.gnome.org";
owner = "World";
repo = "Obfuscate";
- rev = version;
+ rev = finalAttrs.version;
hash = "sha256-aUhzact437V/bSsG2Ddu2mC03LbyXFg+hJiuGy5NQfQ=";
};
cargoDeps = rustPlatform.fetchCargoTarball {
- inherit src;
- name = "${pname}-${version}";
+ inherit (finalAttrs) src;
+ name = "${finalAttrs.pname}-${finalAttrs.version}";
hash = "sha256-HUQvdCmzjdmuJGDLtC/86yzbRimLzx+XbW29f+Ua48w=";
};
@@ -66,4 +66,4 @@ stdenv.mkDerivation rec {
mainProgram = "obfuscate";
maintainers = with maintainers; [ fgaz ];
};
-}
+})
diff --git a/pkgs/applications/graphics/gnome-photos/default.nix b/pkgs/applications/graphics/gnome-photos/default.nix
index 7a51f037f995..fbcc5ebcf478 100644
--- a/pkgs/applications/graphics/gnome-photos/default.nix
+++ b/pkgs/applications/graphics/gnome-photos/default.nix
@@ -114,6 +114,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Access, organize and share your photos";
+ mainProgram = "gnome-photos";
homepage = "https://wiki.gnome.org/Apps/Photos";
license = licenses.gpl3Plus;
maintainers = teams.gnome.members;
diff --git a/pkgs/applications/graphics/gnuclad/default.nix b/pkgs/applications/graphics/gnuclad/default.nix
index b90735d85083..a263746ef9ac 100644
--- a/pkgs/applications/graphics/gnuclad/default.nix
+++ b/pkgs/applications/graphics/gnuclad/default.nix
@@ -20,5 +20,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl3Plus;
maintainers = with maintainers; [ mog ];
platforms = platforms.unix;
+ mainProgram = "gnuclad";
};
}
diff --git a/pkgs/applications/graphics/goxel/default.nix b/pkgs/applications/graphics/goxel/default.nix
index 968794ccec66..000fd3719263 100644
--- a/pkgs/applications/graphics/goxel/default.nix
+++ b/pkgs/applications/graphics/goxel/default.nix
@@ -1,19 +1,19 @@
{ lib, stdenv, fetchFromGitHub, scons, pkg-config, wrapGAppsHook
-, glfw3, gtk3, libpng12 }:
+, glfw3, gtk3, libpng }:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "goxel";
version = "0.14.0";
src = fetchFromGitHub {
owner = "guillaumechereau";
repo = "goxel";
- rev = "v${version}";
+ rev = "v${finalAttrs.version}";
hash = "sha256-ueA0YW2n/DXd9AytDzfPtvtXbvuUm4VDwcdvHWObKxc=";
};
nativeBuildInputs = [ scons pkg-config wrapGAppsHook ];
- buildInputs = [ glfw3 gtk3 libpng12 ];
+ buildInputs = [ glfw3 gtk3 libpng ];
buildPhase = ''
make release
@@ -33,9 +33,10 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Open Source 3D voxel editor";
+ mainProgram = "goxel";
homepage = "https://guillaumechereau.github.io/goxel/";
license = licenses.gpl3;
platforms = platforms.linux;
maintainers = with maintainers; [ tilpner fgaz ];
};
-}
+})
diff --git a/pkgs/applications/graphics/gpicview/default.nix b/pkgs/applications/graphics/gpicview/default.nix
index f19c0282b187..fcef4751eab7 100644
--- a/pkgs/applications/graphics/gpicview/default.nix
+++ b/pkgs/applications/graphics/gpicview/default.nix
@@ -26,5 +26,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2;
maintainers = with maintainers; [ lovek323 ];
platforms = platforms.unix;
+ mainProgram = "gpicview";
};
}
diff --git a/pkgs/applications/graphics/gqview/default.nix b/pkgs/applications/graphics/gqview/default.nix
index 847fa9ea5f68..8237473c79b1 100644
--- a/pkgs/applications/graphics/gqview/default.nix
+++ b/pkgs/applications/graphics/gqview/default.nix
@@ -24,5 +24,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2;
platforms = platforms.unix;
maintainers = with maintainers; [ ];
+ mainProgram = "gqview";
};
}
diff --git a/pkgs/applications/graphics/graphicsmagick/default.nix b/pkgs/applications/graphics/graphicsmagick/default.nix
index baae92b14213..893d2cebb601 100644
--- a/pkgs/applications/graphics/graphicsmagick/default.nix
+++ b/pkgs/applications/graphics/graphicsmagick/default.nix
@@ -1,6 +1,7 @@
{ lib, stdenv, fetchurl, bzip2, freetype, graphviz, ghostscript
, libjpeg, libpng, libtiff, libxml2, zlib, libtool, xz, libX11
, libwebp, quantumdepth ? 8, fixDarwinDylibNames, nukeReferences
+, coreutils
, runCommand
, graphicsmagick # for passthru.tests
}:
@@ -19,6 +20,9 @@ stdenv.mkDerivation rec {
];
configureFlags = [
+ # specify delegates explicitly otherwise `gm` will invoke the build
+ # coreutils for filetypes it doesn't natively support.
+ "MVDelegate=${lib.getExe' coreutils "mv"}"
"--enable-shared"
"--with-frozenpaths"
"--with-quantum-depth=${toString quantumdepth}"
diff --git a/pkgs/applications/graphics/gthumb/default.nix b/pkgs/applications/graphics/gthumb/default.nix
index c284774bd362..79793c688711 100644
--- a/pkgs/applications/graphics/gthumb/default.nix
+++ b/pkgs/applications/graphics/gthumb/default.nix
@@ -35,11 +35,11 @@
stdenv.mkDerivation rec {
pname = "gthumb";
- version = "3.12.4";
+ version = "3.12.6";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "sha256-rdaTrArrmjDYKboDoGIIKJ0/aGjcOwJXNUnogZDHlOg=";
+ sha256 = "sha256-YIdwxsjnMHOh1AS2W9G3YeGsXcJecBMP8HJIj6kvXDM=";
};
nativeBuildInputs = [
@@ -109,6 +109,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Gthumb";
description = "Image browser and viewer for GNOME";
+ mainProgram = "gthumb";
platforms = platforms.linux;
license = licenses.gpl2Plus;
maintainers = [ maintainers.mimame ];
diff --git a/pkgs/applications/graphics/guetzli/default.nix b/pkgs/applications/graphics/guetzli/default.nix
index 9f2f050441f1..945416e3f03d 100644
--- a/pkgs/applications/graphics/guetzli/default.nix
+++ b/pkgs/applications/graphics/guetzli/default.nix
@@ -26,5 +26,6 @@ stdenv.mkDerivation {
license = lib.licenses.asl20;
platforms = lib.platforms.x86_64;
maintainers = [ lib.maintainers.seppeljordan ];
+ mainProgram = "guetzli";
};
}
diff --git a/pkgs/applications/graphics/hdrmerge/default.nix b/pkgs/applications/graphics/hdrmerge/default.nix
index 827ee15af60a..cfd5fe516be5 100644
--- a/pkgs/applications/graphics/hdrmerge/default.nix
+++ b/pkgs/applications/graphics/hdrmerge/default.nix
@@ -72,6 +72,7 @@ mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/jcelaya/hdrmerge";
description = "Combines two or more raw images into an HDR";
+ mainProgram = "hdrmerge";
license = licenses.gpl3Plus;
platforms = platforms.linux;
maintainers = [ maintainers.paperdigits ];
diff --git a/pkgs/applications/graphics/hello-wayland/default.nix b/pkgs/applications/graphics/hello-wayland/default.nix
index 45c1e6f54163..d2ce6c57cec2 100644
--- a/pkgs/applications/graphics/hello-wayland/default.nix
+++ b/pkgs/applications/graphics/hello-wayland/default.nix
@@ -35,5 +35,6 @@ stdenv.mkDerivation {
maintainers = with maintainers; [ qyliss ];
license = licenses.mit;
platforms = platforms.linux;
+ mainProgram = "hello-wayland";
};
}
diff --git a/pkgs/applications/graphics/hugin/default.nix b/pkgs/applications/graphics/hugin/default.nix
index 29535438ec7e..6a0408f98f13 100644
--- a/pkgs/applications/graphics/hugin/default.nix
+++ b/pkgs/applications/graphics/hugin/default.nix
@@ -2,7 +2,6 @@
, stdenv
, cmake
, fetchurl
-, fetchpatch
, gnumake
, makeWrapper
, pkg-config
@@ -38,21 +37,13 @@
stdenv.mkDerivation rec {
pname = "hugin";
- version = "2022.0.0";
+ version = "2023.0.0";
src = fetchurl {
url = "mirror://sourceforge/hugin/hugin-${version}.tar.bz2";
- hash = "sha256-l8hWKgupp0PguVWkPf3gSLHGDNnl8u4rad4agWRuBac=";
+ hash = "sha256-BKOfzMYBfgVExjm9IjCUcsV001s0Vcut4fw4cOYxYys=";
};
- patches = [
- (fetchpatch {
- name = "hugin-2022.0.0-exiv2-0.28.patch";
- url = "https://gitweb.gentoo.org/repo/gentoo.git/plain/media-gfx/hugin/files/hugin-2022.0.0-exiv2-0.28.patch?id=d18335caa756f5e5c1478d5fe3ba17f011a78c80";
- hash = "sha256-Y+79bFb926GW5oLOL0e5y7kLhqU/vZcry+kLL4H2fUE=";
- })
- ];
-
buildInputs = [
boost
cairo
diff --git a/pkgs/applications/graphics/hydrus/default.nix b/pkgs/applications/graphics/hydrus/default.nix
index 89e2d8c20817..9d9b22c1cb74 100644
--- a/pkgs/applications/graphics/hydrus/default.nix
+++ b/pkgs/applications/graphics/hydrus/default.nix
@@ -12,14 +12,14 @@
python3Packages.buildPythonPackage rec {
pname = "hydrus";
- version = "559";
+ version = "564";
format = "other";
src = fetchFromGitHub {
owner = "hydrusnetwork";
repo = "hydrus";
rev = "refs/tags/v${version}";
- hash = "sha256-+aYrqt1sifCe6/qS4kZyx0CLSHEoutFk6cyxmOXmN7Q=";
+ hash = "sha256-U2Z04bFrSJBCk6RwLcKr/x+Pia9V5UHjpUi8AzaCf9o=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/graphics/icon-library/default.nix b/pkgs/applications/graphics/icon-library/default.nix
index cbd704a24a91..25cc1ebebfbc 100644
--- a/pkgs/applications/graphics/icon-library/default.nix
+++ b/pkgs/applications/graphics/icon-library/default.nix
@@ -19,11 +19,11 @@
stdenv.mkDerivation rec {
pname = "icon-library";
- version = "0.0.17";
+ version = "0.0.18";
src = fetchurl {
- url = "https://gitlab.gnome.org/World/design/icon-library/uploads/8c4cad88809cd4ddc0eeae6f5170c001/icon-library-${version}.tar.xz";
- hash = "sha256-Gspx3fJl+ZoUN3heGWaeMuxUsjWCrIdg4pJj7DeMTSY=";
+ url = "https://gitlab.gnome.org/World/design/icon-library/uploads/874b27fcf34662d9686f670d406142c2/icon-library-${version}.tar.xz";
+ hash = "sha256-qCGwTePA817mulFjyRZKw+Fw1S77+QTtA7WH+KocL48=";
};
nativeBuildInputs = [
@@ -48,6 +48,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://gitlab.gnome.org/World/design/icon-library";
description = "Symbolic icons for your apps";
+ mainProgram = "icon-library";
maintainers = with maintainers; [ qyliss ];
license = licenses.gpl3Plus;
platforms = platforms.unix;
diff --git a/pkgs/applications/graphics/identity/default.nix b/pkgs/applications/graphics/identity/default.nix
index a17ecf7a6fb2..8d9caa58543c 100644
--- a/pkgs/applications/graphics/identity/default.nix
+++ b/pkgs/applications/graphics/identity/default.nix
@@ -69,6 +69,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A program for comparing multiple versions of an image or video";
+ mainProgram = "identity";
homepage = "https://gitlab.gnome.org/YaLTeR/identity";
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/graphics/image-roll/default.nix b/pkgs/applications/graphics/image-roll/default.nix
index 461a07837d83..2c142b7bbb80 100644
--- a/pkgs/applications/graphics/image-roll/default.nix
+++ b/pkgs/applications/graphics/image-roll/default.nix
@@ -40,6 +40,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "Simple and fast GTK image viewer with basic image manipulation tools";
+ mainProgram = "image-roll";
homepage = "https://github.com/weclaw1/image-roll";
license = licenses.mit;
maintainers = with maintainers; [ figsoda ];
diff --git a/pkgs/applications/graphics/image_optim/default.nix b/pkgs/applications/graphics/image_optim/default.nix
index 94b16d57467d..1d9048cafaa6 100644
--- a/pkgs/applications/graphics/image_optim/default.nix
+++ b/pkgs/applications/graphics/image_optim/default.nix
@@ -71,5 +71,6 @@ bundlerApp {
license = licenses.mit;
maintainers = with maintainers; [ srghma nicknovitski ];
platforms = platforms.all;
+ mainProgram = "image_optim";
};
}
diff --git a/pkgs/applications/graphics/imagej/default.nix b/pkgs/applications/graphics/imagej/default.nix
index 35c8dbf2f19a..b6396c231b19 100644
--- a/pkgs/applications/graphics/imagej/default.nix
+++ b/pkgs/applications/graphics/imagej/default.nix
@@ -78,5 +78,6 @@ in stdenv.mkDerivation rec {
license = licenses.publicDomain;
platforms = platforms.unix;
maintainers = with maintainers; [ yuriaisaka ];
+ mainProgram = "imagej";
};
}
diff --git a/pkgs/applications/graphics/img-cat/default.nix b/pkgs/applications/graphics/img-cat/default.nix
index 825955c10b9e..414f4b2a3b33 100644
--- a/pkgs/applications/graphics/img-cat/default.nix
+++ b/pkgs/applications/graphics/img-cat/default.nix
@@ -18,5 +18,6 @@ buildGoModule rec {
homepage = "https://github.com/trashhalo/imgcat";
license = licenses.mit;
maintainers = with maintainers; [ penguwin ];
+ mainProgram = "imgcat";
};
}
diff --git a/pkgs/applications/graphics/imgcat/default.nix b/pkgs/applications/graphics/imgcat/default.nix
index 72167cb2b3fc..ab3d22531589 100644
--- a/pkgs/applications/graphics/imgcat/default.nix
+++ b/pkgs/applications/graphics/imgcat/default.nix
@@ -27,6 +27,7 @@ stdenv.mkDerivation rec {
license = licenses.isc;
maintainers = with maintainers; [ jwiegley ];
platforms = platforms.unix;
+ mainProgram = "imgcat";
};
}
diff --git a/pkgs/applications/graphics/imgp/default.nix b/pkgs/applications/graphics/imgp/default.nix
index 995aaf2599df..bb2334222fb7 100644
--- a/pkgs/applications/graphics/imgp/default.nix
+++ b/pkgs/applications/graphics/imgp/default.nix
@@ -31,6 +31,7 @@ buildPythonApplication rec {
meta = with lib; {
description = "High-performance CLI batch image resizer & rotator";
+ mainProgram = "imgp";
homepage = "https://github.com/jarun/imgp";
license = licenses.gpl3Plus;
platforms = platforms.unix;
diff --git a/pkgs/applications/graphics/imlibsetroot/default.nix b/pkgs/applications/graphics/imlibsetroot/default.nix
index 110a7e513e32..a4059ccba28b 100644
--- a/pkgs/applications/graphics/imlibsetroot/default.nix
+++ b/pkgs/applications/graphics/imlibsetroot/default.nix
@@ -32,5 +32,6 @@ stdenv.mkDerivation {
license = licenses.mitAdvertising;
platforms = platforms.linux;
maintainers = with maintainers; [ dwarfmaster ];
+ mainProgram = "imlibsetroot";
};
}
diff --git a/pkgs/applications/graphics/imv/default.nix b/pkgs/applications/graphics/imv/default.nix
index 044814c88a1c..98aa410e9614 100644
--- a/pkgs/applications/graphics/imv/default.nix
+++ b/pkgs/applications/graphics/imv/default.nix
@@ -16,10 +16,12 @@
, libxkbcommon
, libGLU
, wayland
-, withBackends ? [ "freeimage" "libtiff" "libjpeg" "libpng" "librsvg" "libnsgif" "libheif" ]
+# "libnsgif" is disabled until https://todo.sr.ht/~exec64/imv/55 is solved
+, withBackends ? [ "libjxl" "libtiff" "libjpeg" "libpng" "librsvg" "libheif" ]
, freeimage
, libtiff
, libjpeg_turbo
+, libjxl
, libpng
, librsvg
, netsurf
@@ -40,7 +42,7 @@ let
};
backends = {
- inherit freeimage libtiff libpng librsvg libheif;
+ inherit freeimage libtiff libpng librsvg libheif libjxl;
libjpeg = libjpeg_turbo;
inherit (netsurf) libnsgif;
};
@@ -62,14 +64,14 @@ assert builtins.all
stdenv.mkDerivation rec {
pname = "imv";
- version = "4.4.0";
+ version = "4.5.0";
outputs = [ "out" "man" ];
src = fetchFromSourcehut {
owner = "~exec64";
repo = "imv";
rev = "v${version}";
- sha256 = "sha256-LLEEbriHzZhAOQivqHqdr6g7lh4uj++ytlme8AfRjf4=";
+ sha256 = "sha256-aJ2EXgsS0WUTxMqC1Q+uOWLG8BeuwAyXPmJB/9/NCCU=";
};
mesonFlags = [
diff --git a/pkgs/applications/graphics/inkscape/extensions/textext/default.nix b/pkgs/applications/graphics/inkscape/extensions/textext/default.nix
index bb7ccd3e1896..c3ad003cc1b2 100644
--- a/pkgs/applications/graphics/inkscape/extensions/textext/default.nix
+++ b/pkgs/applications/graphics/inkscape/extensions/textext/default.nix
@@ -20,13 +20,13 @@ let
in
python3.pkgs.buildPythonApplication rec {
pname = "textext";
- version = "1.10.1";
+ version = "1.10.2";
src = fetchFromGitHub {
owner = "textext";
repo = "textext";
- rev = version;
- sha256 = "sha256-FbUfZfVOYEyQVL1YMyNwb/sIUxJ+VhevatjuJI/ocIw=";
+ rev = "refs/tags/${version}";
+ sha256 = "sha256-JbI/ScCFCvHbK9JZzHuT67uSAL3546et+gtTkwRnCSE=";
};
patches = [
diff --git a/pkgs/applications/graphics/ipe/default.nix b/pkgs/applications/graphics/ipe/default.nix
index 59fe59e910fb..fd5fb779517c 100644
--- a/pkgs/applications/graphics/ipe/default.nix
+++ b/pkgs/applications/graphics/ipe/default.nix
@@ -13,6 +13,7 @@
, libspiro
, lua5
, qtbase
+, qtsvg
, texliveSmall
, wrapQtAppsHook
, zlib
@@ -41,6 +42,7 @@ stdenv.mkDerivation rec {
libspiro
lua5
qtbase
+ qtsvg
zlib
] ++ (lib.optionals withTeXLive [
texliveSmall
diff --git a/pkgs/applications/graphics/jpeginfo/default.nix b/pkgs/applications/graphics/jpeginfo/default.nix
index 52f55a629f8a..c470082a2bb3 100644
--- a/pkgs/applications/graphics/jpeginfo/default.nix
+++ b/pkgs/applications/graphics/jpeginfo/default.nix
@@ -17,5 +17,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2Plus;
maintainers = [ maintainers.bjornfor ];
platforms = platforms.all;
+ mainProgram = "jpeginfo";
};
}
diff --git a/pkgs/applications/graphics/jpegoptim/default.nix b/pkgs/applications/graphics/jpegoptim/default.nix
index d8ec361600ea..dd5def2a5e30 100644
--- a/pkgs/applications/graphics/jpegoptim/default.nix
+++ b/pkgs/applications/graphics/jpegoptim/default.nix
@@ -22,5 +22,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl3Plus;
maintainers = [ maintainers.aristid ];
platforms = platforms.all;
+ mainProgram = "jpegoptim";
};
}
diff --git a/pkgs/applications/graphics/kgraphviewer/default.nix b/pkgs/applications/graphics/kgraphviewer/default.nix
index 4b9b93505ad8..65ec4eb71d42 100644
--- a/pkgs/applications/graphics/kgraphviewer/default.nix
+++ b/pkgs/applications/graphics/kgraphviewer/default.nix
@@ -29,6 +29,7 @@ mkDerivation rec {
meta = with lib; {
description = "A Graphviz dot graph viewer for KDE";
+ mainProgram = "kgraphviewer";
license = licenses.gpl2;
maintainers = with maintainers; [ ];
platforms = platforms.linux;
diff --git a/pkgs/applications/graphics/komikku/default.nix b/pkgs/applications/graphics/komikku/default.nix
index 91c70aa02782..d69475213439 100644
--- a/pkgs/applications/graphics/komikku/default.nix
+++ b/pkgs/applications/graphics/komikku/default.nix
@@ -19,7 +19,7 @@
python3.pkgs.buildPythonApplication rec {
pname = "komikku";
- version = "1.37.1";
+ version = "1.38.1";
format = "other";
@@ -28,7 +28,7 @@ python3.pkgs.buildPythonApplication rec {
owner = "valos";
repo = "Komikku";
rev = "v${version}";
- hash = "sha256-pGOut63+ST1Yqe1Fj0c4cI0du1q4JW7WVA4h+muWGJQ=";
+ hash = "sha256-eVNW8Iuhee9WBbiXP7ijvd0K44/IpwdrdiT4RkBNcxI=";
};
nativeBuildInputs = [
@@ -88,6 +88,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "Manga reader for GNOME";
+ mainProgram = "komikku";
homepage = "https://apps.gnome.org/Komikku/";
license = licenses.gpl3Plus;
changelog = "https://codeberg.org/valos/Komikku/releases/tag/v${version}";
diff --git a/pkgs/applications/graphics/krop/default.nix b/pkgs/applications/graphics/krop/default.nix
index 0adcf0b538d2..93e24b24b53b 100644
--- a/pkgs/applications/graphics/krop/default.nix
+++ b/pkgs/applications/graphics/krop/default.nix
@@ -37,6 +37,7 @@ python3Packages.buildPythonApplication rec {
meta = {
homepage = "http://arminstraub.com/software/krop";
description = "Graphical tool to crop the pages of PDF files";
+ mainProgram = "krop";
longDescription = ''
Krop is a tool that allows you to optimise your PDF files, and remove
sections of the page you do not want. A unique feature of krop, at least to my
diff --git a/pkgs/applications/graphics/ktikz/default.nix b/pkgs/applications/graphics/ktikz/default.nix
index d176ce38f0ad..b7fe2e205fa8 100644
--- a/pkgs/applications/graphics/ktikz/default.nix
+++ b/pkgs/applications/graphics/ktikz/default.nix
@@ -14,6 +14,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Editor for the TikZ language";
+ mainProgram = "qtikz";
homepage = "https://github.com/fhackenberger/ktikz";
license = licenses.gpl2;
platforms = platforms.linux;
diff --git a/pkgs/applications/graphics/lazpaint/default.nix b/pkgs/applications/graphics/lazpaint/default.nix
index d2d799dadaf6..1f6a9460a6a4 100644
--- a/pkgs/applications/graphics/lazpaint/default.nix
+++ b/pkgs/applications/graphics/lazpaint/default.nix
@@ -62,5 +62,6 @@ in stdenv.mkDerivation rec {
license = licenses.gpl3;
platforms = platforms.linux;
maintainers = with maintainers; [ ];
+ mainProgram = "lazpaint";
};
}
diff --git a/pkgs/applications/graphics/leocad/default.nix b/pkgs/applications/graphics/leocad/default.nix
index bb37dc5ef027..9a0bbaefe505 100644
--- a/pkgs/applications/graphics/leocad/default.nix
+++ b/pkgs/applications/graphics/leocad/default.nix
@@ -56,6 +56,7 @@ mkDerivation rec {
meta = with lib; {
description = "CAD program for creating virtual LEGO models";
+ mainProgram = "leocad";
homepage = "https://www.leocad.org/";
license = licenses.gpl2Only;
maintainers = with maintainers; [ peterhoeg ];
diff --git a/pkgs/applications/graphics/lightburn/default.nix b/pkgs/applications/graphics/lightburn/default.nix
index c686ed41752a..cd2a1f4b5184 100644
--- a/pkgs/applications/graphics/lightburn/default.nix
+++ b/pkgs/applications/graphics/lightburn/default.nix
@@ -6,7 +6,7 @@
stdenv.mkDerivation rec {
pname = "lightburn";
- version = "1.5.00";
+ version = "1.5.04";
nativeBuildInputs = [
p7zip
@@ -15,7 +15,7 @@ stdenv.mkDerivation rec {
src = fetchurl {
url = "https://github.com/LightBurnSoftware/deployment/releases/download/${version}/LightBurn-Linux64-v${version}.7z";
- sha256 = "sha256-KnhwulPpYdN6x1n9TD89Gv1Y20tSmKWT2WcuhoTMg3Y=";
+ sha256 = "sha256-cyQUv/KqdtDwCFo8CatJYdepgdWNwTSMyDLzOjvvO5c=";
};
buildInputs = [
@@ -42,5 +42,6 @@ stdenv.mkDerivation rec {
license = lib.licenses.unfree;
maintainers = with lib.maintainers; [ q3k ];
platforms = [ "x86_64-linux" ];
+ mainProgram = "LightBurn";
};
}
diff --git a/pkgs/applications/graphics/litemdview/default.nix b/pkgs/applications/graphics/litemdview/default.nix
index ebd830868f6c..9fedae23069c 100644
--- a/pkgs/applications/graphics/litemdview/default.nix
+++ b/pkgs/applications/graphics/litemdview/default.nix
@@ -55,5 +55,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2Only;
maintainers = with maintainers; [ WhiteBlackGoose ];
platforms = platforms.linux;
+ mainProgram = "litemdview";
};
}
diff --git a/pkgs/applications/graphics/lorien/default.nix b/pkgs/applications/graphics/lorien/default.nix
index ad7442a93ccb..8105e3682b59 100644
--- a/pkgs/applications/graphics/lorien/default.nix
+++ b/pkgs/applications/graphics/lorien/default.nix
@@ -129,5 +129,6 @@ stdenv.mkDerivation rec {
license = licenses.mit;
platforms = platforms.unix;
maintainers = with maintainers; [ hqurve ];
+ mainProgram = "lorien";
};
}
diff --git a/pkgs/applications/graphics/mandelbulber/default.nix b/pkgs/applications/graphics/mandelbulber/default.nix
index ca1ca5bf4cf2..1f1116096821 100644
--- a/pkgs/applications/graphics/mandelbulber/default.nix
+++ b/pkgs/applications/graphics/mandelbulber/default.nix
@@ -19,13 +19,13 @@ assert withOpenCL -> ocl-icd != null;
mkDerivation rec {
pname = "mandelbulber";
- version = "2.31";
+ version = "2.31-1";
src = fetchFromGitHub {
owner = "buddhi1980";
repo = "mandelbulber2";
rev = version;
- sha256 = "sha256-r3IuOdtBSrTK/pDChgq/M3yQkSz2R+FG6kvwjYPjR4A=";
+ sha256 = "sha256-nyIFvFe86C2ciBDSNWn1yrBYTCm1dR7sZ5RFGoTPqvQ=";
};
nativeBuildInputs = [
@@ -55,6 +55,7 @@ mkDerivation rec {
meta = with lib; {
description = "A 3D fractal rendering engine";
+ mainProgram = "mandelbulber2";
longDescription = "Mandelbulber creatively generates three-dimensional fractals. Explore trigonometric, hyper-complex, Mandelbox, IFS, and many other 3D fractals.";
homepage = "https://mandelbulber.com";
license = licenses.gpl3Plus;
diff --git a/pkgs/applications/graphics/meh/default.nix b/pkgs/applications/graphics/meh/default.nix
index d23176c2518d..992a739bb377 100644
--- a/pkgs/applications/graphics/meh/default.nix
+++ b/pkgs/applications/graphics/meh/default.nix
@@ -22,5 +22,6 @@ stdenv.mkDerivation {
homepage = "https://www.johnhawthorn.com/meh/";
license = lib.licenses.mit;
platforms = lib.platforms.linux;
+ mainProgram = "meh";
};
}
diff --git a/pkgs/applications/graphics/menyoki/default.nix b/pkgs/applications/graphics/menyoki/default.nix
index e1b7087cf73b..800ede30be42 100644
--- a/pkgs/applications/graphics/menyoki/default.nix
+++ b/pkgs/applications/graphics/menyoki/default.nix
@@ -50,5 +50,6 @@ rustPlatform.buildRustPackage rec {
changelog = "https://github.com/orhun/menyoki/blob/v${version}/CHANGELOG.md";
license = licenses.gpl3Only;
maintainers = with maintainers; [ figsoda ];
+ mainProgram = "menyoki";
};
}
diff --git a/pkgs/applications/graphics/meshlab/default.nix b/pkgs/applications/graphics/meshlab/default.nix
index bee1e35ec129..32d528831539 100644
--- a/pkgs/applications/graphics/meshlab/default.nix
+++ b/pkgs/applications/graphics/meshlab/default.nix
@@ -86,6 +86,7 @@ mkDerivation rec {
meta = {
description = "A system for processing and editing 3D triangular meshes";
+ mainProgram = "meshlab";
homepage = "https://www.meshlab.net/";
license = lib.licenses.gpl3Only;
maintainers = with lib.maintainers; [ viric ];
diff --git a/pkgs/applications/graphics/minidjvu/default.nix b/pkgs/applications/graphics/minidjvu/default.nix
index 4a9b91d9c7b8..ca9e77391eb3 100644
--- a/pkgs/applications/graphics/minidjvu/default.nix
+++ b/pkgs/applications/graphics/minidjvu/default.nix
@@ -25,5 +25,6 @@ stdenv.mkDerivation rec {
license = lib.licenses.gpl2Plus;
maintainers = [ lib.maintainers.viric ];
platforms = lib.platforms.unix;
+ mainProgram = "minidjvu";
};
}
diff --git a/pkgs/applications/graphics/monado/default.nix b/pkgs/applications/graphics/monado/default.nix
index 40e5f916f3f3..68ebaa5f9dcd 100644
--- a/pkgs/applications/graphics/monado/default.nix
+++ b/pkgs/applications/graphics/monado/default.nix
@@ -3,6 +3,7 @@
, fetchFromGitLab
, writeText
, cmake
+, cjson
, doxygen
, glslang
, pkg-config
@@ -11,6 +12,7 @@
, bluez
, dbus
, eigen
+, elfutils
, ffmpeg
, gst-plugins-base
, gstreamer
@@ -19,11 +21,13 @@
, libXau
, libXdmcp
, libXrandr
+, libXext
, libbsd
, libffi
, libjpeg
-# , librealsense
+, librealsense
, libsurvive
+, libunwind
, libusb1
, libuv
, libuvc
@@ -33,6 +37,9 @@
, opencv4
, openhmd
, openvr
+, orc
+, pcre2
+, shaderc
, udev
, vulkan-headers
, vulkan-loader
@@ -41,6 +48,8 @@
, wayland-scanner
, libdrm
, zlib
+, zstd
+, nixosTests
# Set as 'false' to build monado without service support, i.e. allow VR
# applications linking against libopenxr_monado.so to use OpenXR standalone
# instead of via the monado-service program. For more information see:
@@ -76,8 +85,10 @@ stdenv.mkDerivation {
buildInputs = [
SDL2
bluez
+ cjson
dbus
eigen
+ elfutils
ffmpeg
gst-plugins-base
gstreamer
@@ -89,8 +100,9 @@ stdenv.mkDerivation {
libbsd
libjpeg
libffi
- # librealsense.dev - see below
+ librealsense
libsurvive
+ libunwind
libusb1
libuv
libuvc
@@ -100,6 +112,9 @@ stdenv.mkDerivation {
opencv4
openhmd
openvr
+ orc
+ pcre2
+ shaderc
udev
vulkan-headers
vulkan-loader
@@ -108,24 +123,14 @@ stdenv.mkDerivation {
wayland-protocols
libdrm
zlib
+ zstd
];
- # known disabled drivers:
- # - DRIVER_DEPTHAI - Needs depthai-core https://github.com/luxonis/depthai-core
- # - DRIVER_ILLIXR - needs ILLIXR headers https://github.com/ILLIXR/ILLIXR
- # - DRIVER_REALSENSE - see below
- # - DRIVER_SIMULAVR - needs realsense
- # - DRIVER_ULV2 - needs proprietary Leapmotion SDK https://api.leapmotion.com/documentation/v2/unity/devguide/Leap_SDK_Overview.html
-
- # realsense is disabled, the build ends with the following error:
- #
- # CMake Error in src/xrt/drivers/CMakeLists.txt:
- # Imported target "realsense2::realsense2" includes non-existent path
- # "/nix/store/2v95aps14hj3jy4ryp86vl7yymv10mh0-librealsense-2.41.0/include"
- # in its INTERFACE_INCLUDE_DIRECTORIES.
- #
- # for some reason cmake is trying to use ${librealsense}/include
- # instead of ${librealsense.dev}/include as an include directory
+ # known disabled drivers/features:
+ # - DRIVER_DEPTHAI - Needs depthai-core https://github.com/luxonis/depthai-core (See https://github.com/NixOS/nixpkgs/issues/292618)
+ # - DRIVER_ILLIXR - needs ILLIXR headers https://github.com/ILLIXR/ILLIXR (See https://github.com/NixOS/nixpkgs/issues/292661)
+ # - DRIVER_ULV2 - Needs proprietary Leapmotion SDK https://api.leapmotion.com/documentation/v2/unity/devguide/Leap_SDK_Overview.html (See https://github.com/NixOS/nixpkgs/issues/292624)
+ # - DRIVER_ULV5 - Needs proprietary Leapmotion SDK https://api.leapmotion.com/documentation/v2/unity/devguide/Leap_SDK_Overview.html (See https://github.com/NixOS/nixpkgs/issues/292624)
# Help openxr-loader find this runtime
setupHook = writeText "setup-hook" ''
@@ -137,6 +142,10 @@ stdenv.mkDerivation {
./force-enable-steamvr_lh.patch
];
+ passthru.tests = {
+ basic-service = nixosTests.monado;
+ };
+
meta = with lib; {
description = "Open source XR runtime";
homepage = "https://monado.freedesktop.org/";
diff --git a/pkgs/applications/graphics/mtpaint/default.nix b/pkgs/applications/graphics/mtpaint/default.nix
index 740f9c7cb193..332acf25e498 100644
--- a/pkgs/applications/graphics/mtpaint/default.nix
+++ b/pkgs/applications/graphics/mtpaint/default.nix
@@ -36,6 +36,7 @@ stdenv.mkDerivation rec {
license = lib.licenses.gpl3Plus;
platforms = lib.platforms.linux;
maintainers = [ lib.maintainers.vklquevs ];
+ mainProgram = "mtpaint";
};
}
diff --git a/pkgs/applications/graphics/nomacs/default.nix b/pkgs/applications/graphics/nomacs/default.nix
deleted file mode 100644
index a5898a3ac007..000000000000
--- a/pkgs/applications/graphics/nomacs/default.nix
+++ /dev/null
@@ -1,68 +0,0 @@
-{ lib
-, stdenv
-, fetchFromGitHub
-, cmake
-, pkg-config
-, wrapQtAppsHook
-
-, qtbase
-, qttools
-, qtsvg
-, qtimageformats
-
-, exiv2
-, opencv4
-, libraw
-, libtiff
-, quazip
-}:
-
-stdenv.mkDerivation rec {
- pname = "nomacs";
- version = "3.17.2287";
-
- src = fetchFromGitHub {
- owner = "nomacs";
- repo = "nomacs";
- rev = version;
- hash = "sha256-OwiMB6O4+WuAt87sRbD1Qby3U7igqgCgddiWs3a4j3k=";
- };
-
- setSourceRoot = ''
- sourceRoot=$(echo */ImageLounge)
- '';
-
- nativeBuildInputs = [cmake
- pkg-config
- wrapQtAppsHook];
-
- buildInputs = [qtbase
- qttools
- qtsvg
- qtimageformats
- exiv2
- opencv4
- libraw
- libtiff
- quazip];
-
- cmakeFlags = ["-DENABLE_OPENCV=ON"
- "-DENABLE_RAW=ON"
- "-DENABLE_TIFF=ON"
- "-DENABLE_QUAZIP=ON"
- "-DENABLE_TRANSLATIONS=ON"
- "-DUSE_SYSTEM_QUAZIP=ON"];
-
- postInstall = lib.optionalString stdenv.isDarwin ''
- mkdir -p $out/lib
- mv $out/libnomacsCore.dylib $out/lib/libnomacsCore.dylib
- '';
-
- meta = with lib; {
- homepage = "https://nomacs.org";
- description = "Qt-based image viewer";
- maintainers = with lib.maintainers; [ mindavi ];
- license = licenses.gpl3Plus;
- inherit (qtbase.meta) platforms;
- };
-}
diff --git a/pkgs/applications/graphics/ocrad/default.nix b/pkgs/applications/graphics/ocrad/default.nix
index 476d56fb3fe3..8eae4fda5f8b 100644
--- a/pkgs/applications/graphics/ocrad/default.nix
+++ b/pkgs/applications/graphics/ocrad/default.nix
@@ -32,5 +32,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl3Plus;
maintainers = with maintainers; [ pSub ];
platforms = platforms.unix;
+ mainProgram = "ocrad";
};
}
diff --git a/pkgs/applications/graphics/oculante/default.nix b/pkgs/applications/graphics/oculante/default.nix
index e8a82a71aee4..f83184a488aa 100644
--- a/pkgs/applications/graphics/oculante/default.nix
+++ b/pkgs/applications/graphics/oculante/default.nix
@@ -22,16 +22,16 @@
rustPlatform.buildRustPackage rec {
pname = "oculante";
- version = "0.8.7";
+ version = "0.8.16";
src = fetchFromGitHub {
owner = "woelper";
repo = "oculante";
rev = version;
- hash = "sha256-49reMm9woxekJUqHq7biHvlYii9BmLvq6u9RFkASpUw=";
+ hash = "sha256-C8USTDW5C+mjj/fr242is/42RpmUvcK3lUeaq0/BSGA=";
};
- cargoHash = "sha256-93J0/INcQEvu14pPZeLRfwKECeEGcsch9hUZ0IjYivM=";
+ cargoHash = "sha256-w8k0QG509PRHHB1e4WThYnM6R0PwWMbSBxs2B0zQ0ww=";
nativeBuildInputs = [
cmake
diff --git a/pkgs/applications/graphics/odafileconverter/default.nix b/pkgs/applications/graphics/odafileconverter/default.nix
index 3a089febc3df..ba1d5bdce073 100644
--- a/pkgs/applications/graphics/odafileconverter/default.nix
+++ b/pkgs/applications/graphics/odafileconverter/default.nix
@@ -50,5 +50,6 @@ in mkDerivation {
license = licenses.unfree;
maintainers = with maintainers; [ nagisa ];
platforms = [ "x86_64-linux" ];
+ mainProgram = "ODAFileConverter";
};
}
diff --git a/pkgs/applications/graphics/openboard/default.nix b/pkgs/applications/graphics/openboard/default.nix
index e32e66857313..9eacdc642ce5 100644
--- a/pkgs/applications/graphics/openboard/default.nix
+++ b/pkgs/applications/graphics/openboard/default.nix
@@ -114,5 +114,6 @@ in stdenv.mkDerivation (finalAttrs: {
license = licenses.gpl3Plus;
maintainers = with maintainers; [ fufexan ];
platforms = platforms.linux;
+ mainProgram = "OpenBoard";
};
})
diff --git a/pkgs/applications/graphics/openscad/default.nix b/pkgs/applications/graphics/openscad/default.nix
index 24d1fdc06ae8..e0ea00e5c298 100644
--- a/pkgs/applications/graphics/openscad/default.nix
+++ b/pkgs/applications/graphics/openscad/default.nix
@@ -29,6 +29,7 @@
, spacenavSupport ? stdenv.isLinux, libspnav
, wayland
, wayland-protocols
+, wrapGAppsHook
, qtwayland
, cairo
}:
@@ -57,7 +58,7 @@ mkDerivation rec {
})
];
- nativeBuildInputs = [ bison flex pkg-config gettext qmake ];
+ nativeBuildInputs = [ bison flex pkg-config gettext qmake wrapGAppsHook];
buildInputs = [
eigen boost glew opencsg cgal_4 mpfr gmp glib
diff --git a/pkgs/applications/graphics/opentoonz/default.nix b/pkgs/applications/graphics/opentoonz/default.nix
index 7fd9606f3abc..1dd460c2e1d2 100644
--- a/pkgs/applications/graphics/opentoonz/default.nix
+++ b/pkgs/applications/graphics/opentoonz/default.nix
@@ -1,12 +1,93 @@
-{ boost, cmake, fetchFromGitHub, freeglut, freetype, glew, libjpeg, libmypaint
-, libpng, libtiff, libusb1, lz4, xz, lzo, openblas, opencv, pkg-config, qtbase
-, qtmultimedia, qtscript, qtserialport, lib, stdenv, superlu, wrapQtAppsHook, }:
-let source = import ./source.nix { inherit fetchFromGitHub; };
-in stdenv.mkDerivation rec {
- inherit (source) src;
+{ boost
+, cmake
+, fetchFromGitHub
+, freeglut
+, freetype
+, glew
+, libjpeg
+, libmypaint
+, libpng
+, libusb1
+, lz4
+, xz
+, lzo
+, openblas
+, opencv
+, pkg-config
+, qtbase
+, qtmultimedia
+, qtscript
+, qtserialport
+, lib
+, stdenv
+, superlu
+, wrapQtAppsHook
+, libtiff
+, zlib
+}:
+let
+ libtiff-ver = "4.0.3"; # The version in thirdparty/tiff-*
+ opentoonz-ver = "1.7.1";
+
+ src = fetchFromGitHub {
+ owner = "opentoonz";
+ repo = "opentoonz";
+ rev = "v${opentoonz-ver}";
+ hash = "sha256-5iXOvh4QTv+G0fjEHU62u7QCee+jbvKhK0+fQXbdJis=";
+ };
+
+ opentoonz-opencv = opencv.override {
+ inherit libtiff;
+ };
+
+ opentoonz-libtiff = stdenv.mkDerivation {
+ pname = "libtiff";
+ version = "${libtiff-ver}-opentoonz";
+
+ inherit src;
+ outputs = [ "bin" "dev" "out" "man" "doc" ];
+
+ nativeBuildInputs = [ pkg-config ];
+ propagatedBuildInputs = [ zlib libjpeg xz ];
+
+ postUnpack = ''
+ sourceRoot="$sourceRoot/thirdparty/tiff-${libtiff-ver}"
+ '';
+
+ # opentoonz uses internal libtiff headers
+ postInstall = ''
+ cp libtiff/{tif_config,tif_dir,tiffiop}.h $dev/include
+ '';
+
+ meta = libtiff.meta // {
+ knownVulnerabilities = [
+ ''
+ Do not open untrusted files with Opentoonz:
+ Opentoonz uses an old custom fork of tibtiff from 2012 that is known to
+ be affected by at least these 50 vulnerabilities:
+ CVE-2012-4564 CVE-2013-4232 CVE-2013-4243 CVE-2013-4244 CVE-2014-8127
+ CVE-2014-8128 CVE-2014-8129 CVE-2014-8130 CVE-2014-9330 CVE-2015-1547
+ CVE-2015-8781 CVE-2015-8782 CVE-2015-8783 CVE-2015-8784 CVE-2015-8870
+ CVE-2016-3620 CVE-2016-3621 CVE-2016-3623 CVE-2016-3624 CVE-2016-3625
+ CVE-2016-3631 CVE-2016-3632 CVE-2016-3633 CVE-2016-3634 CVE-2016-3658
+ CVE-2016-3945 CVE-2016-3990 CVE-2016-3991 CVE-2016-5102 CVE-2016-5314
+ CVE-2016-5315 CVE-2016-5316 CVE-2016-5318 CVE-2016-5319 CVE-2016-5321
+ CVE-2016-5322 CVE-2016-5323 CVE-2016-6223 CVE-2016-9453 CVE-2016-9532
+ CVE-2017-9935 CVE-2017-9937 CVE-2018-10963 CVE-2018-5360
+ CVE-2019-14973 CVE-2019-17546 CVE-2020-35521 CVE-2020-35522
+ CVE-2020-35523 CVE-2020-35524
+ More info at https://github.com/opentoonz/opentoonz/issues/4193
+ ''
+ ];
+ maintainers = with lib.maintainers; [ chkno ];
+ };
+ };
+in
+stdenv.mkDerivation {
+ inherit src;
pname = "opentoonz";
- version = source.versions.opentoonz;
+ version = opentoonz-ver;
nativeBuildInputs = [ cmake pkg-config wrapQtAppsHook ];
@@ -18,13 +99,13 @@ in stdenv.mkDerivation rec {
libjpeg
libmypaint
libpng
- libtiff
+ opentoonz-libtiff
libusb1
lz4
xz
lzo
openblas
- opencv
+ opentoonz-opencv
qtbase
qtmultimedia
qtscript
@@ -36,8 +117,10 @@ in stdenv.mkDerivation rec {
cmakeDir = "../sources";
cmakeFlags = [
- "-DTIFF_INCLUDE_DIR=${libtiff.dev}/include"
- "-DTIFF_LIBRARY=${libtiff.out}/lib/libtiff.so"
+ "-DCMAKE_SKIP_BUILD_RPATH=ON"
+ "-DTIFF_INCLUDE_DIR=${opentoonz-libtiff.dev}/include"
+ "-DTIFF_LIBRARY=${opentoonz-libtiff.out}/lib/libtiff.so"
+ (lib.cmakeBool "CMAKE_SKIP_BUILD_RPATH" true)
];
postInstall = ''
diff --git a/pkgs/applications/graphics/opentoonz/libtiff.nix b/pkgs/applications/graphics/opentoonz/libtiff.nix
deleted file mode 100644
index 43ba1592ccc2..000000000000
--- a/pkgs/applications/graphics/opentoonz/libtiff.nix
+++ /dev/null
@@ -1,56 +0,0 @@
-# Per https://github.com/opentoonz/opentoonz/blob/master/doc/how_to_build_linux.md ,
-# opentoonz requires its own modified version of libtiff. We still build it as
-# a separate package
-# 1. For visibility for tools like vulnix, and
-# 2. To avoid a diamond-dependency problem with opencv linking the normal libtiff
-# and opentoonz linking opencv and this modified libtiff, we build an opencv
-# against this modified libtiff as well.
-#
-# We use a separate mkDerivation rather than a minimal libtiff.overrideAttrs
-# because the main libtiff builds with cmake and this version of libtiff was
-# forked before libtiff gained CMake build capability (added in libtiff-4.0.5).
-
-{ lib, fetchFromGitHub, stdenv, pkg-config, zlib, libjpeg, xz, libtiff, }:
-
-let source = import ./source.nix { inherit fetchFromGitHub; };
-
-in stdenv.mkDerivation {
- pname = "libtiff";
- version = source.versions.libtiff + "-opentoonz";
-
- inherit (source) src;
- outputs = [ "bin" "dev" "out" "man" "doc" ];
-
- nativeBuildInputs = [ pkg-config ];
- propagatedBuildInputs = [ zlib libjpeg xz ];
-
- postUnpack = ''
- sourceRoot="$sourceRoot/thirdparty/tiff-${source.versions.libtiff}"
- '';
-
- # opentoonz uses internal libtiff headers
- postInstall = ''
- cp libtiff/{tif_config,tif_dir,tiffiop}.h $dev/include
- '';
-
- meta = libtiff.meta // {
- knownVulnerabilities = [''
- Do not open untrusted files with Opentoonz:
- Opentoonz uses an old custom fork of tibtiff from 2012 that is known to
- be affected by at least these 50 vulnerabilities:
- CVE-2012-4564 CVE-2013-4232 CVE-2013-4243 CVE-2013-4244 CVE-2014-8127
- CVE-2014-8128 CVE-2014-8129 CVE-2014-8130 CVE-2014-9330 CVE-2015-1547
- CVE-2015-8781 CVE-2015-8782 CVE-2015-8783 CVE-2015-8784 CVE-2015-8870
- CVE-2016-3620 CVE-2016-3621 CVE-2016-3623 CVE-2016-3624 CVE-2016-3625
- CVE-2016-3631 CVE-2016-3632 CVE-2016-3633 CVE-2016-3634 CVE-2016-3658
- CVE-2016-3945 CVE-2016-3990 CVE-2016-3991 CVE-2016-5102 CVE-2016-5314
- CVE-2016-5315 CVE-2016-5316 CVE-2016-5318 CVE-2016-5319 CVE-2016-5321
- CVE-2016-5322 CVE-2016-5323 CVE-2016-6223 CVE-2016-9453 CVE-2016-9532
- CVE-2017-9935 CVE-2017-9937 CVE-2018-10963 CVE-2018-5360
- CVE-2019-14973 CVE-2019-17546 CVE-2020-35521 CVE-2020-35522
- CVE-2020-35523 CVE-2020-35524
- More info at https://github.com/opentoonz/opentoonz/issues/4193
- ''];
- maintainers = with lib.maintainers; [ chkno ];
- };
-}
diff --git a/pkgs/applications/graphics/opentoonz/source.nix b/pkgs/applications/graphics/opentoonz/source.nix
deleted file mode 100644
index 27a4b8c0b0d2..000000000000
--- a/pkgs/applications/graphics/opentoonz/source.nix
+++ /dev/null
@@ -1,16 +0,0 @@
-# opentoonz's source archive contains both opentoonz's source and a modified
-# version of libtiff that opentoonz requires.
-
-{ fetchFromGitHub, }: rec {
- versions = {
- opentoonz = "1.5.0";
- libtiff = "4.0.3"; # The version in thirdparty/tiff-*
- };
-
- src = fetchFromGitHub {
- owner = "opentoonz";
- repo = "opentoonz";
- rev = "v${versions.opentoonz}";
- sha256 = "1rw30ksw3zjph1cwxkfvqj0330v8wd4333gn0fdf3cln1w0549lk";
- };
-}
diff --git a/pkgs/applications/graphics/ovito/default.nix b/pkgs/applications/graphics/ovito/default.nix
index 596ae0d07a11..81ce2518cea7 100644
--- a/pkgs/applications/graphics/ovito/default.nix
+++ b/pkgs/applications/graphics/ovito/default.nix
@@ -54,6 +54,7 @@ mkDerivation rec {
meta = with lib; {
description = "Scientific visualization and analysis software for atomistic and particle simulation data";
+ mainProgram = "ovito";
homepage = "https://ovito.org";
license = with licenses; [ gpl3Only mit ];
maintainers = with maintainers; [ twhitehead ];
diff --git a/pkgs/applications/graphics/paleta/default.nix b/pkgs/applications/graphics/paleta/default.nix
index 062c157c3f02..6275b3d10e4c 100644
--- a/pkgs/applications/graphics/paleta/default.nix
+++ b/pkgs/applications/graphics/paleta/default.nix
@@ -52,6 +52,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Extract the dominant colors from any image";
+ mainProgram = "paleta";
homepage = "https://github.com/nate-xyz/paleta";
license = licenses.gpl3Only;
platforms = platforms.linux;
diff --git a/pkgs/applications/graphics/pdfcpu/default.nix b/pkgs/applications/graphics/pdfcpu/default.nix
index 9fdc77ac745f..2613304b17c2 100644
--- a/pkgs/applications/graphics/pdfcpu/default.nix
+++ b/pkgs/applications/graphics/pdfcpu/default.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "pdfcpu";
- version = "0.6.0";
+ version = "0.7.0";
src = fetchFromGitHub {
owner = "pdfcpu";
repo = pname;
rev = "v${version}";
- hash = "sha256-New0+pWtKdEx/k69pNisNKYj6p998HoEjAhQEDugP/g=";
+ hash = "sha256-FzlukSQSKeQY6H53UfWXwL8bXkOXRhaA92/Kgxh4oms=";
# Apparently upstream requires that the compiled executable will know the
# commit hash and the date of the commit. This information is also presented
# in the output of `pdfcpu version` which we use as a sanity check in the
@@ -64,5 +64,6 @@ buildGoModule rec {
homepage = "https://pdfcpu.io";
license = licenses.asl20;
maintainers = with maintainers; [ doronbehar ];
+ mainProgram = "pdfcpu";
};
}
diff --git a/pkgs/applications/graphics/pencil/default.nix b/pkgs/applications/graphics/pencil/default.nix
index ffd31bf7325a..9924e0b58c14 100644
--- a/pkgs/applications/graphics/pencil/default.nix
+++ b/pkgs/applications/graphics/pencil/default.nix
@@ -98,6 +98,7 @@ in stdenv.mkDerivation rec {
meta = with lib; {
description = "GUI prototyping/mockup tool";
+ mainProgram = "pencil";
homepage = "https://pencil.evolus.vn/";
sourceProvenance = with sourceTypes; [ binaryNativeCode ];
license = licenses.gpl2; # Commercial license is also available
diff --git a/pkgs/applications/graphics/photoflare/default.nix b/pkgs/applications/graphics/photoflare/default.nix
index 6c6ea1059c73..3f47a0073d24 100644
--- a/pkgs/applications/graphics/photoflare/default.nix
+++ b/pkgs/applications/graphics/photoflare/default.nix
@@ -21,6 +21,7 @@ mkDerivation rec {
meta = with lib; {
description = "A cross-platform image editor with a powerful features and a very friendly graphical user interface";
+ mainProgram = "photoflare";
homepage = "https://photoflare.io";
maintainers = [ maintainers.omgbebebe ];
license = licenses.gpl3Plus;
diff --git a/pkgs/applications/graphics/photoqt/default.nix b/pkgs/applications/graphics/photoqt/default.nix
index 5d1ce4129791..ccc95ac94216 100644
--- a/pkgs/applications/graphics/photoqt/default.nix
+++ b/pkgs/applications/graphics/photoqt/default.nix
@@ -20,16 +20,17 @@
, qtmultimedia
, qtpositioning
, qtsvg
+, zxing-cpp
, qtwayland
}:
stdenv.mkDerivation rec {
pname = "photoqt";
- version = "4.2";
+ version = "4.3";
src = fetchurl {
url = "https://photoqt.org/pkgs/photoqt-${version}.tar.gz";
- hash = "sha256-OUqsyvmv6ccJDzcWAeS1OOmK2eXOCEgGktz6GEUzoA8=";
+ hash = "sha256-B0ZubvWIEZFnD2v74bpPv+/wr7vaHS9QP4//9/afjzQ=";
};
nativeBuildInputs = [
@@ -55,20 +56,19 @@ stdenv.mkDerivation rec {
qtmultimedia
qtpositioning
qtsvg
+ zxing-cpp
] ++ lib.optionals stdenv.isLinux [
qtwayland
];
cmakeFlags = [
- "-DDEVIL=OFF"
- "-DCHROMECAST=OFF"
- "-DFREEIMAGE=OFF"
- "-DIMAGEMAGICK=OFF"
+ (lib.cmakeBool "DEVIL" false)
+ (lib.cmakeBool "CHROMECAST" false)
+ (lib.cmakeBool "FREEIMAGE" false)
+ (lib.cmakeBool "IMAGEMAGICK" false)
];
- preConfigure = ''
- export MAGICK_LOCATION="${graphicsmagick}/include/GraphicsMagick"
- '';
+ env.MAGICK_LOCATION = "${graphicsmagick}/include/GraphicsMagick";
postInstall = lib.optionalString stdenv.isDarwin ''
mkdir -p $out/Applications
diff --git a/pkgs/applications/graphics/phototonic/default.nix b/pkgs/applications/graphics/phototonic/default.nix
index 738f78cf347d..345398435a07 100644
--- a/pkgs/applications/graphics/phototonic/default.nix
+++ b/pkgs/applications/graphics/phototonic/default.nix
@@ -28,6 +28,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "An image viewer and organizer";
+ mainProgram = "phototonic";
homepage = "https://github.com/oferkv/phototonic";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ pSub ];
diff --git a/pkgs/applications/graphics/pick-colour-picker/default.nix b/pkgs/applications/graphics/pick-colour-picker/default.nix
index a4b8bbc9c2fd..bd9ab649d633 100644
--- a/pkgs/applications/graphics/pick-colour-picker/default.nix
+++ b/pkgs/applications/graphics/pick-colour-picker/default.nix
@@ -47,6 +47,7 @@ buildPythonPackage rec {
license = licenses.mit;
platforms = platforms.linux;
description = "A colour picker that remembers where you picked colours from";
+ mainProgram = "pick-colour-picker";
maintainers = [ maintainers.mkg20001 ];
longDescription = ''
diff --git a/pkgs/applications/graphics/pikopixel/default.nix b/pkgs/applications/graphics/pikopixel/default.nix
index 816d9af62aa3..c65353143368 100644
--- a/pkgs/applications/graphics/pikopixel/default.nix
+++ b/pkgs/applications/graphics/pikopixel/default.nix
@@ -1,9 +1,10 @@
{ lib
+, clangStdenv
, fetchurl
, gnustep
}:
-gnustep.gsmakeDerivation rec {
+clangStdenv.mkDerivation rec {
pname = "pikopixel";
version = "1.0-b10";
@@ -14,6 +15,11 @@ gnustep.gsmakeDerivation rec {
sourceRoot = "PikoPixel.Sources.${version}/PikoPixel";
+ nativeBuildInputs = [
+ gnustep.make
+ gnustep.wrapGNUstepAppsHook
+ ];
+
buildInputs = [
gnustep.base
gnustep.gui
@@ -33,9 +39,10 @@ gnustep.gsmakeDerivation rec {
meta = with lib; {
description = "Application for drawing and editing pixel-art images";
+ mainProgram = "PikoPixel";
homepage = "https://twilightedge.com/mac/pikopixel/";
downloadPage = "https://twilightedge.com/mac/pikopixel/";
- license = licenses.agpl3;
+ license = licenses.agpl3Plus;
maintainers = with maintainers; [ fgaz ];
platforms = platforms.all;
};
diff --git a/pkgs/applications/graphics/pixelnuke/default.nix b/pkgs/applications/graphics/pixelnuke/default.nix
index 0666501120e6..c40a41590b70 100644
--- a/pkgs/applications/graphics/pixelnuke/default.nix
+++ b/pkgs/applications/graphics/pixelnuke/default.nix
@@ -25,5 +25,6 @@ stdenv.mkDerivation (finalAttrs: {
license = licenses.unlicense;
platforms = platforms.linux;
maintainers = with maintainers; [ mrVanDalo ];
+ mainProgram = "pixelnuke";
};
})
diff --git a/pkgs/applications/graphics/pixeluvo/default.nix b/pkgs/applications/graphics/pixeluvo/default.nix
index f3b40b515183..2c94b4645c56 100644
--- a/pkgs/applications/graphics/pixeluvo/default.nix
+++ b/pkgs/applications/graphics/pixeluvo/default.nix
@@ -53,5 +53,6 @@ stdenv.mkDerivation rec {
license = licenses.unfree;
platforms = [ "x86_64-linux" ];
maintainers = with maintainers; [ wolfangaukang ];
+ mainProgram = "pixeluvo";
};
}
diff --git a/pkgs/applications/graphics/pizarra/default.nix b/pkgs/applications/graphics/pizarra/default.nix
index f9d7fc650647..b53368439d75 100644
--- a/pkgs/applications/graphics/pizarra/default.nix
+++ b/pkgs/applications/graphics/pizarra/default.nix
@@ -41,6 +41,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "A simple blackboard written in GTK";
+ mainProgram = "pizarra";
longDescription = ''
A simple endless blackboard.
Contains various features, such as:
diff --git a/pkgs/applications/graphics/pqiv/default.nix b/pkgs/applications/graphics/pqiv/default.nix
deleted file mode 100644
index f678af706119..000000000000
--- a/pkgs/applications/graphics/pqiv/default.nix
+++ /dev/null
@@ -1,28 +0,0 @@
-{ lib, stdenv, fetchFromGitHub, pkg-config
-, ffmpeg, gtk3, imagemagick, libarchive, libspectre, libwebp, poppler
-}:
-
-stdenv.mkDerivation (rec {
- pname = "pqiv";
- version = "2.12";
-
- src = fetchFromGitHub {
- owner = "phillipberndt";
- repo = "pqiv";
- rev = version;
- sha256 = "18nvrqmlifh4m8nfs0d19sb9d1l3a95xc89qxqdr881jcxdsgflw";
- };
-
- nativeBuildInputs = [ pkg-config ];
- buildInputs = [ ffmpeg gtk3 imagemagick libarchive libspectre libwebp poppler ];
-
- prePatch = "patchShebangs .";
-
- meta = with lib; {
- description = "Powerful image viewer with minimal UI";
- homepage = "https://www.pberndt.com/Programme/Linux/pqiv";
- license = licenses.gpl3Plus;
- maintainers = [];
- platforms = platforms.linux;
- };
-})
diff --git a/pkgs/applications/graphics/processing/default.nix b/pkgs/applications/graphics/processing/default.nix
index 65cd2bea4770..3df5013cc078 100644
--- a/pkgs/applications/graphics/processing/default.nix
+++ b/pkgs/applications/graphics/processing/default.nix
@@ -1,4 +1,4 @@
-{ lib, stdenv, fetchFromGitHub, fetchurl, ant, unzip, makeWrapper, jdk, jogl, rsync, ffmpeg, batik, wrapGAppsHook }:
+{ lib, stdenv, fetchFromGitHub, fetchurl, ant, unzip, makeWrapper, jdk, jogl, rsync, ffmpeg, batik, wrapGAppsHook, libGL }:
let
buildNumber = "1293";
vaqua = fetchurl {
@@ -58,6 +58,8 @@ stdenv.mkDerivation rec {
dontWrapGApps = true;
buildPhase = ''
+ runHook preBuild
+
echo "tarring jdk"
tar --checkpoint=10000 -czf build/linux/jdk-17.0.8-${arch}.tgz ${jdk}
cp ${ant}/lib/ant/lib/{ant.jar,ant-launcher.jar} app/lib/
@@ -78,9 +80,13 @@ stdenv.mkDerivation rec {
cd build
ant build
cd ..
+
+ runHook postBuild
'';
installPhase = ''
+ runHook preInstall
+
mkdir -p $out/share/
mkdir -p $out/share/applications/
cp -dp build/linux/${pname}.desktop $out/share/applications/
@@ -89,10 +95,14 @@ stdenv.mkDerivation rec {
ln -s ${jdk} $out/share/${pname}/java
makeWrapper $out/share/${pname}/processing $out/bin/processing \
''${gappsWrapperArgs[@]} \
+ --prefix LD_LIBRARY_PATH : "${lib.makeLibraryPath [ libGL ]}" \
--prefix _JAVA_OPTIONS " " -Dawt.useSystemAAFontSettings=lcd
makeWrapper $out/share/${pname}/processing-java $out/bin/processing-java \
''${gappsWrapperArgs[@]} \
+ --prefix LD_LIBRARY_PATH : "${lib.makeLibraryPath [ libGL ]}" \
--prefix _JAVA_OPTIONS " " -Dawt.useSystemAAFontSettings=lcd
+
+ runHook postInstall
'';
meta = with lib; {
diff --git a/pkgs/applications/graphics/qcomicbook/default.nix b/pkgs/applications/graphics/qcomicbook/default.nix
index 47bf2d950283..ee2296b391bb 100644
--- a/pkgs/applications/graphics/qcomicbook/default.nix
+++ b/pkgs/applications/graphics/qcomicbook/default.nix
@@ -27,6 +27,7 @@ mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/stolowski/QComicBook";
description = "Comic book reader in Qt5";
+ mainProgram = "qcomicbook";
license = licenses.gpl2;
longDescription = ''
diff --git a/pkgs/applications/graphics/qimgv/default.nix b/pkgs/applications/graphics/qimgv/default.nix
index 2cb5f8ddde24..c6789fd05738 100644
--- a/pkgs/applications/graphics/qimgv/default.nix
+++ b/pkgs/applications/graphics/qimgv/default.nix
@@ -55,6 +55,7 @@ mkDerivation rec {
meta = with lib; {
description = "A Qt5 image viewer with optional video support";
+ mainProgram = "qimgv";
homepage = "https://github.com/easymodo/qimgv";
license = licenses.gpl3;
platforms = platforms.linux;
diff --git a/pkgs/applications/graphics/qiv/default.nix b/pkgs/applications/graphics/qiv/default.nix
index 96ec02294ffd..2758148dc1ae 100644
--- a/pkgs/applications/graphics/qiv/default.nix
+++ b/pkgs/applications/graphics/qiv/default.nix
@@ -23,5 +23,6 @@ stdenv.mkDerivation (rec {
homepage = "http://spiegl.de/qiv/";
license = licenses.gpl2;
platforms = platforms.linux;
+ mainProgram = "qiv";
};
})
diff --git a/pkgs/applications/graphics/qosmic/default.nix b/pkgs/applications/graphics/qosmic/default.nix
index 9e2248f896de..a3a688fd0366 100644
--- a/pkgs/applications/graphics/qosmic/default.nix
+++ b/pkgs/applications/graphics/qosmic/default.nix
@@ -72,6 +72,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A cosmic recursive flame fractal editor";
+ mainProgram = "qosmic";
homepage = "https://github.com/bitsed/qosmic";
license = licenses.gpl3Plus;
maintainers = [ maintainers.raboof ];
diff --git a/pkgs/applications/graphics/qscreenshot/default.nix b/pkgs/applications/graphics/qscreenshot/default.nix
index efbab2e04bbb..761bd69d3520 100644
--- a/pkgs/applications/graphics/qscreenshot/default.nix
+++ b/pkgs/applications/graphics/qscreenshot/default.nix
@@ -31,6 +31,7 @@ stdenv.mkDerivation rec {
];
meta = with lib; {
description = "Simple creation and editing of screenshots";
+ mainProgram = "qScreenshot";
homepage = "https://sourceforge.net/projects/qscreenshot/";
license = licenses.gpl2;
platforms = platforms.all;
diff --git a/pkgs/applications/graphics/qvge/default.nix b/pkgs/applications/graphics/qvge/default.nix
index 442e3200e469..e7c6def43b91 100644
--- a/pkgs/applications/graphics/qvge/default.nix
+++ b/pkgs/applications/graphics/qvge/default.nix
@@ -33,6 +33,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Qt Visual Graph Editor";
+ mainProgram = "qvgeapp";
homepage = "https://github.com/ArsMasiuk/qvge";
license = licenses.mit;
maintainers = with maintainers; [ sikmir ];
diff --git a/pkgs/applications/graphics/qview/default.nix b/pkgs/applications/graphics/qview/default.nix
index 9bba92a2b5b7..38ff606487c0 100644
--- a/pkgs/applications/graphics/qview/default.nix
+++ b/pkgs/applications/graphics/qview/default.nix
@@ -34,6 +34,7 @@ mkDerivation rec {
meta = with lib; {
description = "Practical and minimal image viewer";
+ mainProgram = "qview";
homepage = "https://interversehq.com/qview/";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ acowley ];
diff --git a/pkgs/applications/graphics/rapid-photo-downloader/default.nix b/pkgs/applications/graphics/rapid-photo-downloader/default.nix
index e6d0319950d5..494815ac930b 100644
--- a/pkgs/applications/graphics/rapid-photo-downloader/default.nix
+++ b/pkgs/applications/graphics/rapid-photo-downloader/default.nix
@@ -97,6 +97,7 @@ mkDerivationWith python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "Photo and video importer for cameras, phones, and memory cards";
+ mainProgram = "rapid-photo-downloader";
homepage = "https://www.damonlynch.net/rapid/";
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/graphics/rawtherapee/default.nix b/pkgs/applications/graphics/rawtherapee/default.nix
index cbf4efce28f5..2038b09dd822 100644
--- a/pkgs/applications/graphics/rawtherapee/default.nix
+++ b/pkgs/applications/graphics/rawtherapee/default.nix
@@ -20,17 +20,21 @@
, librsvg
, libcanberra-gtk3
, gtk-mac-integration
+, exiv2
}:
stdenv.mkDerivation rec {
pname = "rawtherapee";
- version = "5.9";
+ version = "5.10";
src = fetchFromGitHub {
owner = "Beep6581";
repo = "RawTherapee";
rev = version;
- hash = "sha256-kdctfjss/DHEcaSDPXcmT20wXTwkI8moRX/i/5wT5Hg=";
+ hash = "sha256-rIwwKNm7l7oPEt95sHyRj4aF3mtnvM4KAu8oVaIMwyE=";
+ # The developpers ask not to use the tarball from Github releases, see
+ # https://www.rawtherapee.com/downloads/5.10/#news-relevant-to-package-maintainers
+ forceFetchGit = true;
};
postPatch = ''
@@ -61,6 +65,7 @@ stdenv.mkDerivation rec {
libsigcxx
lensfun
librsvg
+ exiv2
] ++ lib.optionals stdenv.isLinux [
libcanberra-gtk3
] ++ lib.optionals stdenv.isDarwin [
diff --git a/pkgs/applications/graphics/rnote/Cargo.lock b/pkgs/applications/graphics/rnote/Cargo.lock
index af715c5955f2..07c7748de264 100644
--- a/pkgs/applications/graphics/rnote/Cargo.lock
+++ b/pkgs/applications/graphics/rnote/Cargo.lock
@@ -19,9 +19,9 @@ checksum = "f26201604c87b1e01bd3d98f8d5d9a8fcbb815e8cedb41ffccbeb4bf593a35fe"
[[package]]
name = "ahash"
-version = "0.8.6"
+version = "0.8.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "91429305e9f0a25f6205c5b8e0d2db09e0708a7a6df0f42212bb56c32c8ac97a"
+checksum = "e89da841a80418a9b391ebaea17f5c112ffaaa96f621d2c285b5174da76b9011"
dependencies = [
"cfg-if",
"once_cell",
@@ -46,14 +46,13 @@ checksum = "0942ffc6dcaadf03badf6e6a2d0228460359d5e34b57ccdc720b7382dfbd5ec5"
[[package]]
name = "alsa"
-version = "0.7.1"
+version = "0.9.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e2562ad8dcf0f789f65c6fdaad8a8a9708ed6b488e649da28c01656ad66b8b47"
+checksum = "37fe60779335388a88c01ac6c3be40304d1e349de3ada3b15f7808bb90fa9dce"
dependencies = [
"alsa-sys",
- "bitflags 1.3.2",
+ "bitflags 2.4.2",
"libc",
- "nix",
]
[[package]]
@@ -83,9 +82,9 @@ dependencies = [
[[package]]
name = "anstream"
-version = "0.6.4"
+version = "0.6.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2ab91ebe16eb252986481c5b62f6098f3b698a45e34b5b98200cf20dd2484a44"
+checksum = "d96bd03f33fe50a863e394ee9718a706f988b9079b20c3784fb726e7678b62fb"
dependencies = [
"anstyle",
"anstyle-parse",
@@ -97,9 +96,9 @@ dependencies = [
[[package]]
name = "anstyle"
-version = "1.0.4"
+version = "1.0.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7079075b41f533b8c61d2a4d073c4676e1f8b249ff94a393b0595db304e0dd87"
+checksum = "8901269c6307e8d93993578286ac0edf7f195079ffff5ebdeea6a59ffb7e36bc"
[[package]]
name = "anstyle-parse"
@@ -112,9 +111,9 @@ dependencies = [
[[package]]
name = "anstyle-query"
-version = "1.0.1"
+version = "1.0.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a3a318f1f38d2418400f8209655bfd825785afd25aa30bb7ba6cc792e4596748"
+checksum = "e28923312444cdd728e4738b3f9c9cac739500909bb3d3c94b43551b16517648"
dependencies = [
"windows-sys 0.52.0",
]
@@ -131,9 +130,9 @@ dependencies = [
[[package]]
name = "anyhow"
-version = "1.0.75"
+version = "1.0.80"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a4668cab20f66d8d020e1fbc0ebe47217433c1b6c8f2040faf858554e394ace6"
+checksum = "5ad32ce52e4161730f7098c077cd2ed6229b5804ccf99e5366be1ab72a98b4e1"
[[package]]
name = "approx"
@@ -174,24 +173,13 @@ dependencies = [
[[package]]
name = "async-channel"
-version = "1.9.0"
+version = "2.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "81953c529336010edd6d8e358f886d9581267795c61b19475b71314bffa46d35"
+checksum = "f28243a43d821d11341ab73c80bed182dc015c514b951616cf79bd4af39af0c3"
dependencies = [
"concurrent-queue",
- "event-listener 2.5.3",
- "futures-core",
-]
-
-[[package]]
-name = "async-channel"
-version = "2.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ca33f4bc4ed1babef42cad36cc1f51fa88be00420404e5b1e80ab1b18f7678c"
-dependencies = [
- "concurrent-queue",
- "event-listener 4.0.0",
- "event-listener-strategy",
+ "event-listener 5.2.0",
+ "event-listener-strategy 0.5.0",
"futures-core",
"pin-project-lite",
]
@@ -202,60 +190,39 @@ version = "1.8.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "17ae5ebefcc48e7452b4987947920dac9450be1110cadf34d1b8c116bdbaf97c"
dependencies = [
- "async-lock 3.2.0",
+ "async-lock 3.3.0",
"async-task",
"concurrent-queue",
- "fastrand 2.0.1",
- "futures-lite 2.1.0",
+ "fastrand",
+ "futures-lite",
"slab",
]
[[package]]
name = "async-fs"
-version = "1.6.0"
+version = "2.1.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "279cf904654eeebfa37ac9bb1598880884924aab82e290aa65c9e77a0e142e06"
+checksum = "bc19683171f287921f2405677dd2ed2549c3b3bda697a563ebc3a121ace2aba1"
dependencies = [
- "async-lock 2.8.0",
- "autocfg",
+ "async-lock 3.3.0",
"blocking",
- "futures-lite 1.13.0",
+ "futures-lite",
]
[[package]]
name = "async-io"
-version = "1.13.0"
+version = "2.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0fc5b45d93ef0529756f812ca52e44c221b35341892d3dcc34132ac02f3dd2af"
+checksum = "8f97ab0c5b00a7cdbe5a371b9a782ee7be1316095885c8a4ea1daf490eb0ef65"
dependencies = [
- "async-lock 2.8.0",
- "autocfg",
- "cfg-if",
- "concurrent-queue",
- "futures-lite 1.13.0",
- "log",
- "parking",
- "polling 2.8.0",
- "rustix 0.37.27",
- "slab",
- "socket2",
- "waker-fn",
-]
-
-[[package]]
-name = "async-io"
-version = "2.2.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d6d3b15875ba253d1110c740755e246537483f152fa334f91abd7fe84c88b3ff"
-dependencies = [
- "async-lock 3.2.0",
+ "async-lock 3.3.0",
"cfg-if",
"concurrent-queue",
"futures-io",
- "futures-lite 2.1.0",
+ "futures-lite",
"parking",
- "polling 3.3.1",
- "rustix 0.38.26",
+ "polling",
+ "rustix",
"slab",
"tracing",
"windows-sys 0.52.0",
@@ -272,41 +239,42 @@ dependencies = [
[[package]]
name = "async-lock"
-version = "3.2.0"
+version = "3.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7125e42787d53db9dd54261812ef17e937c95a51e4d291373b670342fa44310c"
+checksum = "d034b430882f8381900d3fe6f0aaa3ad94f2cb4ac519b429692a1bc2dda4ae7b"
dependencies = [
- "event-listener 4.0.0",
- "event-listener-strategy",
+ "event-listener 4.0.3",
+ "event-listener-strategy 0.4.0",
"pin-project-lite",
]
[[package]]
name = "async-net"
-version = "1.8.0"
+version = "2.0.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0434b1ed18ce1cf5769b8ac540e33f01fa9471058b5e89da9e06f3c882a8c12f"
+checksum = "b948000fad4873c1c9339d60f2623323a0cfd3816e5181033c6a5cb68b2accf7"
dependencies = [
- "async-io 1.13.0",
+ "async-io",
"blocking",
- "futures-lite 1.13.0",
+ "futures-lite",
]
[[package]]
name = "async-process"
-version = "1.8.1"
+version = "2.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ea6438ba0a08d81529c69b36700fa2f95837bfe3e776ab39cde9c14d9149da88"
+checksum = "451e3cf68011bd56771c79db04a9e333095ab6349f7e47592b788e9b98720cc8"
dependencies = [
- "async-io 1.13.0",
- "async-lock 2.8.0",
+ "async-channel",
+ "async-io",
+ "async-lock 3.3.0",
"async-signal",
"blocking",
"cfg-if",
- "event-listener 3.1.0",
- "futures-lite 1.13.0",
- "rustix 0.38.26",
- "windows-sys 0.48.0",
+ "event-listener 5.2.0",
+ "futures-lite",
+ "rustix",
+ "windows-sys 0.52.0",
]
[[package]]
@@ -315,13 +283,13 @@ version = "0.2.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "9e47d90f65a225c4527103a8d747001fc56e375203592b25ad103e1ca13124c5"
dependencies = [
- "async-io 2.2.1",
+ "async-io",
"async-lock 2.8.0",
"atomic-waker",
"cfg-if",
"futures-core",
"futures-io",
- "rustix 0.38.26",
+ "rustix",
"signal-hook-registry",
"slab",
"windows-sys 0.48.0",
@@ -329,18 +297,9 @@ dependencies = [
[[package]]
name = "async-task"
-version = "4.5.0"
+version = "4.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b4eb2cdb97421e01129ccb49169d8279ed21e829929144f4a22a6e54ac549ca1"
-
-[[package]]
-name = "atomic-polyfill"
-version = "1.0.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8cf2bce30dfe09ef0bfaef228b9d414faaf7e563035494d7fe092dba54b300f4"
-dependencies = [
- "critical-section",
-]
+checksum = "fbb36e985947064623dbd357f727af08ffd077f93d696782f3c56365fa2e2799"
[[package]]
name = "atomic-waker"
@@ -397,7 +356,7 @@ dependencies = [
"regex",
"rustc-hash",
"shlex",
- "syn 2.0.39",
+ "syn 2.0.52",
"which",
]
@@ -408,9 +367,9 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "955e602d2d68b79ca5d674984259234fad2c8d869ad99011699e0a3cd76f38cd"
dependencies = [
"autocxx-engine",
- "env_logger 0.9.3",
+ "env_logger",
"indexmap 1.9.3",
- "syn 2.0.39",
+ "syn 2.0.52",
]
[[package]]
@@ -437,7 +396,7 @@ dependencies = [
"rustversion",
"serde_json",
"strum_macros",
- "syn 2.0.39",
+ "syn 2.0.52",
"tempfile",
"thiserror",
"version_check",
@@ -453,7 +412,7 @@ dependencies = [
"proc-macro-error",
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.52",
]
[[package]]
@@ -470,7 +429,7 @@ dependencies = [
"quote",
"serde",
"serde_json",
- "syn 2.0.39",
+ "syn 2.0.52",
"thiserror",
]
@@ -500,28 +459,28 @@ dependencies = [
[[package]]
name = "base64"
-version = "0.21.5"
+version = "0.21.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "35636a1494ede3b646cc98f74f8e62c773a38a659ebc777a2cf26b9b74171df9"
+checksum = "9d297deb1925b89f2ccc13d7635fa0714f12c87adce1c75356b39ca9b7178567"
[[package]]
name = "bindgen"
-version = "0.69.1"
+version = "0.69.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9ffcebc3849946a7170a05992aac39da343a90676ab392c51a4280981d6379c2"
+checksum = "a00dc851838a2120612785d195287475a3ac45514741da670b735818822129a0"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"cexpr",
"clang-sys",
+ "itertools 0.12.1",
"lazy_static",
"lazycell",
- "peeking_take_while",
"proc-macro2",
"quote",
"regex",
"rustc-hash",
"shlex",
- "syn 2.0.39",
+ "syn 2.0.52",
]
[[package]]
@@ -538,9 +497,9 @@ checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a"
[[package]]
name = "bitflags"
-version = "2.4.1"
+version = "2.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "327762f6e5a765692301e5bb513e0d9fef63be86bbc14528052b1cd3e6f03e07"
+checksum = "ed570934406eb16438a4e976b1b4500774099c13b8cb96eec99f620f05090ddf"
[[package]]
name = "block"
@@ -554,27 +513,27 @@ version = "1.5.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "6a37913e8dc4ddcc604f0c6d3bf2887c995153af3611de9e23c352b44c1b9118"
dependencies = [
- "async-channel 2.1.1",
- "async-lock 3.2.0",
+ "async-channel",
+ "async-lock 3.3.0",
"async-task",
- "fastrand 2.0.1",
+ "fastrand",
"futures-io",
- "futures-lite 2.1.0",
+ "futures-lite",
"piper",
"tracing",
]
[[package]]
name = "bumpalo"
-version = "3.14.0"
+version = "3.15.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7f30e7476521f6f8af1a1c4c0b8cc94f0bee37d91763d0ca2665f299b6cd8aec"
+checksum = "7ff69b9dd49fd426c69a0db9fc04dd934cdb6645ff000864d98f7e2af8830eaa"
[[package]]
name = "bytemuck"
-version = "1.14.0"
+version = "1.14.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "374d28ec25809ee0e23827c2ab573d729e293f281dfe393500e7ad618baa61c6"
+checksum = "a2ef034f05691a48569bd920a96c81b9d91bbad1ab5ac7c4616c1f6ef36cb79f"
[[package]]
name = "byteorder"
@@ -590,23 +549,22 @@ checksum = "a2bd12c1caf447e69cd4528f47f94d203fd2582878ecb9e9465484c4148a8223"
[[package]]
name = "cairo-rs"
-version = "0.18.3"
+version = "0.19.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f33613627f0dea6a731b0605101fad59ba4f193a52c96c4687728d822605a8a1"
+checksum = "2650f66005301bd33cc486dec076e1293c4cecf768bc7ba9bf5d2b1be339b99c"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"cairo-sys-rs",
"glib",
"libc",
- "once_cell",
"thiserror",
]
[[package]]
name = "cairo-sys-rs"
-version = "0.18.2"
+version = "0.19.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "685c9fa8e590b8b3d678873528d83411db17242a73fccaed827770ea0fedda51"
+checksum = "fd3bb3119664efbd78b5e6c93957447944f16bdbced84c17a9f41c7829b81e64"
dependencies = [
"glib-sys",
"libc",
@@ -621,9 +579,9 @@ checksum = "37b2a672a2cb129a2e41c10b1224bb368f9f37a2b16b612598138befd7b37eb5"
[[package]]
name = "cc"
-version = "1.0.83"
+version = "1.0.90"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f1174fb0b6ec23863f8b971027804a42614e347eafb0a95bf0b12cdae21fc4d0"
+checksum = "8cd6604a82acf3039f1144f54b8eb34e91ffba622051189e71b781822d5ee1f5"
dependencies = [
"jobserver",
"libc",
@@ -646,9 +604,9 @@ dependencies = [
[[package]]
name = "cfg-expr"
-version = "0.15.5"
+version = "0.15.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "03915af431787e6ffdcc74c645077518c6b6e01f80b761e0fbbfa288536311b3"
+checksum = "fa50868b64a9a6fda9d593ce778849ea8715cd2a3d2cc17ffdb4a2f2f2f1961d"
dependencies = [
"smallvec",
"target-lexicon",
@@ -662,23 +620,23 @@ checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd"
[[package]]
name = "chrono"
-version = "0.4.31"
+version = "0.4.35"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7f2c685bad3eb3d45a01354cedb7d5faa66194d1d58ba6e267a8de788f79db38"
+checksum = "8eaf5903dcbc0a39312feb77df2ff4c76387d591b9fc7b04a238dcf8bb62639a"
dependencies = [
"android-tzdata",
"iana-time-zone",
"js-sys",
"num-traits",
"wasm-bindgen",
- "windows-targets 0.48.5",
+ "windows-targets 0.52.4",
]
[[package]]
name = "clang-sys"
-version = "1.6.1"
+version = "1.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c688fc74432808e3eb684cae8830a86be1d66a2bd58e1f248ed0960a590baf6f"
+checksum = "67523a3b4be3ce1989d607a828d036249522dd9c1c8de7f4dd2dae43a37369d1"
dependencies = [
"glob",
"libc",
@@ -687,9 +645,9 @@ dependencies = [
[[package]]
name = "clap"
-version = "4.4.11"
+version = "4.5.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bfaff671f6b22ca62406885ece523383b9b64022e341e53e009a62ebc47a45f2"
+checksum = "b230ab84b0ffdf890d5a10abdbc8b83ae1c4918275daea1ab8801f71536b2651"
dependencies = [
"clap_builder",
"clap_derive",
@@ -697,33 +655,33 @@ dependencies = [
[[package]]
name = "clap_builder"
-version = "4.4.11"
+version = "4.5.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a216b506622bb1d316cd51328dce24e07bdff4a6128a47c7e7fad11878d5adbb"
+checksum = "ae129e2e766ae0ec03484e609954119f123cc1fe650337e155d03b022f24f7b4"
dependencies = [
"anstream",
"anstyle",
"clap_lex",
- "strsim",
+ "strsim 0.11.0",
]
[[package]]
name = "clap_derive"
-version = "4.4.7"
+version = "4.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cf9804afaaf59a91e75b022a30fb7229a7901f60c755489cc61c9b423b836442"
+checksum = "307bc0538d5f0f83b8248db3087aa92fe504e4691294d0c96c0eabc33f47ba47"
dependencies = [
"heck",
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.52",
]
[[package]]
name = "clap_lex"
-version = "0.6.0"
+version = "0.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "702fc72eb24e5a1e48ce58027a675bc24edd52096d5397d4aea7c6dd9eca0bd1"
+checksum = "98cc8fbded0c607b7ba9dd60cd98df59af97e84d24e49c8557331cfc26d301ce"
[[package]]
name = "cmake"
@@ -777,15 +735,15 @@ dependencies = [
[[package]]
name = "console"
-version = "0.15.7"
+version = "0.15.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c926e00cc70edefdc64d3a5ff31cc65bb97a3460097762bd23afb4d8145fccf8"
+checksum = "0e1f83fc076bd6dd27517eacdf25fef6c4dfe5f1d7448bafaaf3a26f13b5e4eb"
dependencies = [
"encode_unicode",
"lazy_static",
"libc",
"unicode-width",
- "windows-sys 0.45.0",
+ "windows-sys 0.52.0",
]
[[package]]
@@ -807,32 +765,30 @@ dependencies = [
[[package]]
name = "coreaudio-sys"
-version = "0.2.14"
+version = "0.2.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f3120ebb80a9de008e638ad833d4127d50ea3d3a960ea23ea69bc66d9358a028"
+checksum = "7f01585027057ff5f0a5bf276174ae4c1594a2c5bde93d5f46a016d76270f5a9"
dependencies = [
"bindgen",
]
[[package]]
name = "cpal"
-version = "0.15.2"
+version = "0.15.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6d959d90e938c5493000514b446987c07aed46c668faaa7d34d6c7a67b1a578c"
+checksum = "873dab07c8f743075e57f524c583985fbaf745602acbe916a01539364369a779"
dependencies = [
"alsa",
"core-foundation-sys",
"coreaudio-rs",
"dasp_sample",
- "jni 0.19.0",
+ "jni",
"js-sys",
"libc",
"mach2",
"ndk",
"ndk-context",
"oboe",
- "once_cell",
- "parking_lot",
"wasm-bindgen",
"wasm-bindgen-futures",
"web-sys",
@@ -841,51 +797,46 @@ dependencies = [
[[package]]
name = "crc32fast"
-version = "1.3.2"
+version = "1.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b540bd8bc810d3885c6ea91e2018302f68baba2129ab3e88f32389ee9370880d"
+checksum = "b3855a8a784b474f333699ef2bbca9db2c4a1f6d9088a90a2d25b1eb53111eaa"
dependencies = [
"cfg-if",
]
[[package]]
-name = "critical-section"
-version = "1.1.2"
+name = "crossbeam-channel"
+version = "0.5.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7059fff8937831a9ae6f0fe4d658ffabf58f2ca96aa9dec1c889f936f705f216"
+checksum = "ab3db02a9c5b5121e1e42fbdb1aeb65f5e02624cc58c43f2884c6ccac0b82f95"
+dependencies = [
+ "crossbeam-utils",
+]
[[package]]
name = "crossbeam-deque"
-version = "0.8.3"
+version = "0.8.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ce6fd6f855243022dcecf8702fef0c297d4338e226845fe067f6341ad9fa0cef"
+checksum = "613f8cc01fe9cf1a3eb3d7f488fd2fa8388403e97039e2f73692932e291a770d"
dependencies = [
- "cfg-if",
"crossbeam-epoch",
"crossbeam-utils",
]
[[package]]
name = "crossbeam-epoch"
-version = "0.9.15"
+version = "0.9.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ae211234986c545741a7dc064309f67ee1e5ad243d0e48335adc0484d960bcc7"
+checksum = "5b82ac4a3c2ca9c3460964f020e1402edd5753411d7737aa39c3714ad1b5420e"
dependencies = [
- "autocfg",
- "cfg-if",
"crossbeam-utils",
- "memoffset",
- "scopeguard",
]
[[package]]
name = "crossbeam-utils"
-version = "0.8.16"
+version = "0.8.19"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5a22b2d63d4d1dc0b7f1b6b2747dd0088008a9be28b6ddf0b1e7d335e3037294"
-dependencies = [
- "cfg-if",
-]
+checksum = "248e3bacc7dc6baa3b21e405ee045c3047101a49145e7e9eca583ab4c2ca5345"
[[package]]
name = "crunchy"
@@ -913,14 +864,14 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "13b588ba4ac1a99f7f2964d24b3d896ddc6bf847ee3855dbd4366f058cfcd331"
dependencies = [
"quote",
- "syn 2.0.39",
+ "syn 2.0.52",
]
[[package]]
name = "cxx"
-version = "1.0.110"
+version = "1.0.119"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7129e341034ecb940c9072817cd9007974ea696844fc4dd582dc1653a7fbe2e8"
+checksum = "635179be18797d7e10edb9cd06c859580237750c7351f39ed9b298bfc17544ad"
dependencies = [
"cc",
"cxxbridge-flags",
@@ -930,31 +881,31 @@ dependencies = [
[[package]]
name = "cxx-gen"
-version = "0.7.110"
+version = "0.7.119"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a3e0fc77e9f8d61724be90deb42a7e50ba3bf37c7c16dc91cdba821f69a5e0e9"
+checksum = "5797d553b95704a6a49394acfdb93e2332b8aaa146713a1e8ebe362e86d9fa68"
dependencies = [
"codespan-reporting",
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.52",
]
[[package]]
name = "cxxbridge-flags"
-version = "1.0.110"
+version = "1.0.119"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "06fdd177fc61050d63f67f5bd6351fac6ab5526694ea8e359cd9cd3b75857f44"
+checksum = "a87ff7342ffaa54b7c61618e0ce2bbcf827eba6d55b923b83d82551acbbecfe5"
[[package]]
name = "cxxbridge-macro"
-version = "1.0.110"
+version = "1.0.119"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "587663dd5fb3d10932c8aecfe7c844db1bcf0aee93eeab08fac13dc1212c2e7f"
+checksum = "70b5b86cf65fa0626d85720619d80b288013477a91a0389fa8bc716bf4903ad1"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.52",
]
[[package]]
@@ -977,7 +928,7 @@ dependencies = [
"ident_case",
"proc-macro2",
"quote",
- "strsim",
+ "strsim 0.10.0",
"syn 1.0.109",
]
@@ -1102,9 +1053,9 @@ dependencies = [
[[package]]
name = "either"
-version = "1.9.0"
+version = "1.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a26ae43d7bcc3b814de94796a5e736d4029efb0ee900c12e2d54c993ad1a1e07"
+checksum = "11157ac094ffbdde99aa67b23417ebdd801842852b500e395a45a9c0aac03e4a"
[[package]]
name = "encode_unicode"
@@ -1134,19 +1085,6 @@ dependencies = [
"termcolor",
]
-[[package]]
-name = "env_logger"
-version = "0.10.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "95b3f3e67048839cb0d0781f445682a35113da7121f7c949db0e2be96a4fbece"
-dependencies = [
- "humantime",
- "is-terminal",
- "log",
- "regex",
- "termcolor",
-]
-
[[package]]
name = "equivalent"
version = "1.0.1"
@@ -1180,9 +1118,9 @@ checksum = "0206175f82b8d6bf6652ff7d71a1e27fd2e4efde587fd368662814d6ec1d9ce0"
[[package]]
name = "event-listener"
-version = "3.1.0"
+version = "4.0.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d93877bcde0eb80ca09131a08d23f0a5c18a620b01db137dba666d18cd9b30c2"
+checksum = "67b215c49b2b248c855fb73579eb1f4f26c38ffdc12973e20e07b91d78d5646e"
dependencies = [
"concurrent-queue",
"parking",
@@ -1191,9 +1129,9 @@ dependencies = [
[[package]]
name = "event-listener"
-version = "4.0.0"
+version = "5.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "770d968249b5d99410d61f5bf89057f3199a077a04d087092f58e7d10692baae"
+checksum = "2b5fb89194fa3cad959b833185b3063ba881dbfc7030680b314250779fb4cc91"
dependencies = [
"concurrent-queue",
"parking",
@@ -1206,15 +1144,25 @@ version = "0.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "958e4d70b6d5e81971bebec42271ec641e7ff4e170a6fa605f2b8a8b65cb97d3"
dependencies = [
- "event-listener 4.0.0",
+ "event-listener 4.0.3",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "event-listener-strategy"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "feedafcaa9b749175d5ac357452a9d41ea2911da598fde46ce1fe02c37751291"
+dependencies = [
+ "event-listener 5.2.0",
"pin-project-lite",
]
[[package]]
name = "exr"
-version = "1.71.0"
+version = "1.72.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "832a761f35ab3e6664babfbdc6cef35a4860e816ec3916dcfd0882954e98a8a8"
+checksum = "887d93f60543e9a9362ef8a21beedd0a833c5d9610e18c67abe15a5963dcb1a4"
dependencies = [
"bit_field",
"flume",
@@ -1226,21 +1174,18 @@ dependencies = [
"zune-inflate",
]
+[[package]]
+name = "extended"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "af9673d8203fcb076b19dfd17e38b3d4ae9f44959416ea532ce72415a6020365"
+
[[package]]
name = "fast-srgb8"
version = "1.0.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "dd2e7510819d6fbf51a5545c8f922716ecfb14df168a3242f7d33e0239efe6a1"
-[[package]]
-name = "fastrand"
-version = "1.9.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e51093e27b0797c359783294ca4f0a911c270184cb10f85783b118614a1501be"
-dependencies = [
- "instant",
-]
-
[[package]]
name = "fastrand"
version = "2.0.1"
@@ -1249,9 +1194,9 @@ checksum = "25cbce373ec4653f1a01a31e8a5e5ec0c622dc27ff9c4e6606eefef5cbbed4a5"
[[package]]
name = "fdeflate"
-version = "0.3.1"
+version = "0.3.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "64d6dafc854908ff5da46ff3f8f473c6984119a2876a383a860246dd7841a868"
+checksum = "4f9bfee30e4dedf0ab8b422f03af778d9612b63f502710fc500a334ebe2de645"
dependencies = [
"simd-adler32",
]
@@ -1266,6 +1211,27 @@ dependencies = [
"rustc_version",
]
+[[package]]
+name = "file-id"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6584280525fb2059cba3db2c04abf947a1a29a45ddae89f3870f8281704fafc9"
+dependencies = [
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "filetime"
+version = "0.2.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1ee447700ac8aa0b2f2bd7bc4462ad686ba06baa6727ac149a2d6277f0d240fd"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "redox_syscall",
+ "windows-sys 0.52.0",
+]
+
[[package]]
name = "flate2"
version = "1.0.28"
@@ -1308,18 +1274,18 @@ checksum = "3f9eec918d3f24069decb9af1554cad7c880e2da24a9afd88aca000531ab82c1"
[[package]]
name = "fontconfig-parser"
-version = "0.5.3"
+version = "0.5.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "674e258f4b5d2dcd63888c01c68413c51f565e8af99d2f7701c7b81d79ef41c4"
+checksum = "6a595cb550439a117696039dfc69830492058211b771a2a165379f2a1a53d84d"
dependencies = [
- "roxmltree 0.18.1",
+ "roxmltree",
]
[[package]]
name = "fontdb"
-version = "0.15.0"
+version = "0.16.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "020e203f177c0fb250fb19455a252e838d2bbbce1f80f25ecc42402aafa8cd38"
+checksum = "b0299020c3ef3f60f526a4f64ab4a3d4ce116b1acbf24cdd22da0068e5d81dc3"
dependencies = [
"fontconfig-parser",
"log",
@@ -1344,6 +1310,15 @@ version = "1.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "42703706b716c37f96a77aea830392ad231f44c9e9a67872fa5548707e11b11c"
+[[package]]
+name = "fsevent-sys"
+version = "4.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "76ee7a02da4d231650c7cea31349b889be2f45ddb3ef3032d2ec8185f6313fd2"
+dependencies = [
+ "libc",
+]
+
[[package]]
name = "futf"
version = "0.1.5"
@@ -1356,9 +1331,9 @@ dependencies = [
[[package]]
name = "futures"
-version = "0.3.29"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "da0290714b38af9b4a7b094b8a37086d1b4e61f2df9122c3cad2577669145335"
+checksum = "645c6916888f6cb6350d2550b80fb63e734897a8498abe35cfb732b6487804b0"
dependencies = [
"futures-channel",
"futures-core",
@@ -1371,9 +1346,9 @@ dependencies = [
[[package]]
name = "futures-channel"
-version = "0.3.29"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ff4dd66668b557604244583e3e1e1eada8c5c2e96a6d0d6653ede395b78bbacb"
+checksum = "eac8f7d7865dcb88bd4373ab671c8cf4508703796caa2b1985a9ca867b3fcb78"
dependencies = [
"futures-core",
"futures-sink",
@@ -1381,15 +1356,15 @@ dependencies = [
[[package]]
name = "futures-core"
-version = "0.3.29"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "eb1d22c66e66d9d72e1758f0bd7d4fd0bee04cad842ee34587d68c07e45d088c"
+checksum = "dfc6580bb841c5a68e9ef15c77ccc837b40a7504914d52e47b8b0e9bbda25a1d"
[[package]]
name = "futures-executor"
-version = "0.3.29"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0f4fb8693db0cf099eadcca0efe2a5a22e4550f98ed16aba6c48700da29597bc"
+checksum = "a576fc72ae164fca6b9db127eaa9a9dda0d61316034f33a0a0d4eda41f02b01d"
dependencies = [
"futures-core",
"futures-task",
@@ -1398,32 +1373,17 @@ dependencies = [
[[package]]
name = "futures-io"
-version = "0.3.29"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8bf34a163b5c4c52d0478a4d757da8fb65cabef42ba90515efee0f6f9fa45aaa"
+checksum = "a44623e20b9681a318efdd71c299b6b222ed6f231972bfe2f224ebad6311f0c1"
[[package]]
name = "futures-lite"
-version = "1.13.0"
+version = "2.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "49a9d51ce47660b1e808d3c990b4709f2f415d928835a17dfd16991515c46bce"
+checksum = "445ba825b27408685aaecefd65178908c36c6e96aaf6d8599419d46e624192ba"
dependencies = [
- "fastrand 1.9.0",
- "futures-core",
- "futures-io",
- "memchr",
- "parking",
- "pin-project-lite",
- "waker-fn",
-]
-
-[[package]]
-name = "futures-lite"
-version = "2.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "aeee267a1883f7ebef3700f262d2d54de95dfaf38189015a74fdc4e0c7ad8143"
-dependencies = [
- "fastrand 2.0.1",
+ "fastrand",
"futures-core",
"futures-io",
"parking",
@@ -1432,32 +1392,32 @@ dependencies = [
[[package]]
name = "futures-macro"
-version = "0.3.29"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "53b153fd91e4b0147f4aced87be237c98248656bb01050b96bf3ee89220a8ddb"
+checksum = "87750cf4b7a4c0625b1529e4c543c2182106e4dedc60a2a6455e00d212c489ac"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.52",
]
[[package]]
name = "futures-sink"
-version = "0.3.29"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e36d3378ee38c2a36ad710c5d30c2911d752cb941c00c72dbabfb786a7970817"
+checksum = "9fb8e00e87438d937621c1c6269e53f536c14d3fbd6a042bb24879e57d474fb5"
[[package]]
name = "futures-task"
-version = "0.3.29"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "efd193069b0ddadc69c46389b740bbccdd97203899b48d09c5f7969591d6bae2"
+checksum = "38d84fa142264698cdce1a9f9172cf383a0c82de1bddcf3092901442c4097004"
[[package]]
name = "futures-util"
-version = "0.3.29"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a19526d624e703a3179b3d322efec918b6246ea0fa51d41124525f00f1cc8104"
+checksum = "3d6401deb83407ab3da39eba7e33987a73c3df0c82b4bb5813ee871c19c41d48"
dependencies = [
"futures-channel",
"futures-core",
@@ -1482,22 +1442,21 @@ dependencies = [
[[package]]
name = "gdk-pixbuf"
-version = "0.18.3"
+version = "0.19.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "446f32b74d22c33b7b258d4af4ffde53c2bf96ca2e29abdf1a785fe59bd6c82c"
+checksum = "f6a23f8a0b5090494fd04924662d463f8386cc678dd3915015a838c1a3679b92"
dependencies = [
"gdk-pixbuf-sys",
"gio",
"glib",
"libc",
- "once_cell",
]
[[package]]
name = "gdk-pixbuf-sys"
-version = "0.18.0"
+version = "0.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3f9839ea644ed9c97a34d129ad56d38a25e6756f99f3a88e15cd39c20629caf7"
+checksum = "3dcbd04c1b2c4834cc008b4828bc917d062483b88d26effde6342e5622028f96"
dependencies = [
"gio-sys",
"glib-sys",
@@ -1508,9 +1467,9 @@ dependencies = [
[[package]]
name = "gdk4"
-version = "0.7.3"
+version = "0.8.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7edb019ad581f8ecf8ea8e4baa6df7c483a95b5a59be3140be6a9c3b0c632af6"
+checksum = "9100b25604183f2fd97f55ef087fae96ab4934d7215118a35303e422688e6e4b"
dependencies = [
"cairo-rs",
"gdk-pixbuf",
@@ -1523,9 +1482,9 @@ dependencies = [
[[package]]
name = "gdk4-sys"
-version = "0.7.2"
+version = "0.8.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dbab43f332a3cf1df9974da690b5bb0e26720ed09a228178ce52175372dcfef0"
+checksum = "d0b76874c40bb8d1c7d03a7231e23ac75fa577a456cd53af32ec17ec8f121626"
dependencies = [
"cairo-sys-rs",
"gdk-pixbuf-sys",
@@ -1540,9 +1499,9 @@ dependencies = [
[[package]]
name = "geo"
-version = "0.27.0"
+version = "0.28.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4841b40fdbccd4b7042bd6195e4de91da54af34c50632e371bcbfcdfb558b873"
+checksum = "f811f663912a69249fa620dcd2a005db7254529da2d8a0b23942e81f47084501"
dependencies = [
"earcutr",
"float_next_after",
@@ -1557,9 +1516,9 @@ dependencies = [
[[package]]
name = "geo-types"
-version = "0.7.12"
+version = "0.7.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "567495020b114f1ce9bed679b29975aa0bfae06ac22beacd5cfde5dabe7b05d6"
+checksum = "9ff16065e5720f376fbced200a5ae0f47ace85fd70b7e54269790281353b6d61"
dependencies = [
"approx",
"num-traits",
@@ -1569,18 +1528,18 @@ dependencies = [
[[package]]
name = "geographiclib-rs"
-version = "0.2.3"
+version = "0.2.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8ea804e7bd3c6a4ca6a01edfa35231557a8a81d4d3f3e1e2b650d028c42592be"
+checksum = "e6e5ed84f8089c70234b0a8e0aedb6dc733671612ddc0d37c6066052f9781960"
dependencies = [
- "lazy_static",
+ "libm",
]
[[package]]
name = "getrandom"
-version = "0.2.11"
+version = "0.2.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fe9006bed769170c11f845cf00c7c1e9092aeb3f268e007c3e760ac68008070f"
+checksum = "190092ea657667030ac6a35e305e62fc4dd69fd98ac98631e5d3a2b1575a12b5"
dependencies = [
"cfg-if",
"libc",
@@ -1609,9 +1568,9 @@ dependencies = [
[[package]]
name = "gif"
-version = "0.12.0"
+version = "0.13.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "80792593675e051cf94a4b111980da2ba60d4a83e43e0048c5693baab3977045"
+checksum = "3fb2d69b19215e18bb912fa30f7ce15846e301408695e44e0ef719f1da9e19f2"
dependencies = [
"color_quant",
"weezl",
@@ -1625,9 +1584,9 @@ checksum = "4271d37baee1b8c7e4b708028c57d816cf9d2434acb33a549475f78c181f6253"
[[package]]
name = "gio"
-version = "0.18.3"
+version = "0.19.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "47d809baf02bdf1b5ef4ad3bf60dd9d4977149db4612b7bbb58e56aef168193b"
+checksum = "2eae10b27b6dd27e22ed0d812c6387deba295e6fc004a8b379e459b663b05a02"
dependencies = [
"futures-channel",
"futures-core",
@@ -1636,7 +1595,6 @@ dependencies = [
"gio-sys",
"glib",
"libc",
- "once_cell",
"pin-project-lite",
"smallvec",
"thiserror",
@@ -1644,24 +1602,24 @@ dependencies = [
[[package]]
name = "gio-sys"
-version = "0.18.1"
+version = "0.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "37566df850baf5e4cb0dfb78af2e4b9898d817ed9263d1090a2df958c64737d2"
+checksum = "bcf8e1d9219bb294636753d307b030c1e8a032062cba74f493c431a5c8b81ce4"
dependencies = [
"glib-sys",
"gobject-sys",
"libc",
"system-deps",
- "winapi",
+ "windows-sys 0.52.0",
]
[[package]]
name = "glib"
-version = "0.18.3"
+version = "0.19.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "58cf801b6f7829fa76db37449ab67c9c98a2b1bf21076d9113225621e61a0fa6"
+checksum = "ab9e86540b5d8402e905ad4ce7d6aa544092131ab564f3102175af176b90a053"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"futures-channel",
"futures-core",
"futures-executor",
@@ -1673,36 +1631,37 @@ dependencies = [
"gobject-sys",
"libc",
"memchr",
- "once_cell",
"smallvec",
"thiserror",
]
[[package]]
name = "glib-build-tools"
-version = "0.18.0"
+version = "0.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3431c56f463443cba9bc3600248bc6d680cb614c2ee1cdd39dab5415bd12ac5c"
+checksum = "108f374fff60efd14b0d70d8916e7213aed18d7dd071ba3e9334ed2dac1dc86a"
+dependencies = [
+ "gio",
+]
[[package]]
name = "glib-macros"
-version = "0.18.3"
+version = "0.19.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "72793962ceece3863c2965d7f10c8786323b17c7adea75a515809fa20ab799a5"
+checksum = "0f5897ca27a83e4cdc7b4666850bade0a2e73e17689aabafcc9acddad9d823b8"
dependencies = [
"heck",
- "proc-macro-crate 2.0.1",
- "proc-macro-error",
+ "proc-macro-crate",
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.52",
]
[[package]]
name = "glib-sys"
-version = "0.18.1"
+version = "0.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "063ce2eb6a8d0ea93d2bf8ba1957e78dbab6be1c2220dd3daca57d5a9d869898"
+checksum = "630f097773d7c7a0bb3258df4e8157b47dc98bbfa0e60ad9ab56174813feced4"
dependencies = [
"libc",
"system-deps",
@@ -1716,9 +1675,9 @@ checksum = "d2fabcfbdc87f4758337ca535fb41a6d701b65693ce38287d856d1674551ec9b"
[[package]]
name = "gobject-sys"
-version = "0.18.0"
+version = "0.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0850127b514d1c4a4654ead6dedadb18198999985908e6ffe4436f53c785ce44"
+checksum = "c85e2b1080b9418dd0c58b498da3a5c826030343e0ef07bde6a955d28de54979"
dependencies = [
"glib-sys",
"libc",
@@ -1727,9 +1686,9 @@ dependencies = [
[[package]]
name = "graphene-rs"
-version = "0.18.1"
+version = "0.19.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3b2228cda1505613a7a956cca69076892cfbda84fc2b7a62b94a41a272c0c401"
+checksum = "99e4d388e96c5f29e2b2f67045d229ddf826d0a8d6d282f94ed3b34452222c91"
dependencies = [
"glib",
"graphene-sys",
@@ -1738,9 +1697,9 @@ dependencies = [
[[package]]
name = "graphene-sys"
-version = "0.18.1"
+version = "0.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cc4144cee8fc8788f2a9b73dc5f1d4e1189d1f95305c4cb7bd9c1af1cfa31f59"
+checksum = "236ed66cc9b18d8adf233716f75de803d0bf6fc806f60d14d948974a12e240d0"
dependencies = [
"glib-sys",
"libc",
@@ -1750,9 +1709,9 @@ dependencies = [
[[package]]
name = "gsk4"
-version = "0.7.3"
+version = "0.8.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0d958e351d2f210309b32d081c832d7de0aca0b077aa10d88336c6379bd01f7e"
+checksum = "c65036fc8f99579e8cb37b12487969b707ab23ec8ab953682ff347cbd15d396e"
dependencies = [
"cairo-rs",
"gdk4",
@@ -1765,9 +1724,9 @@ dependencies = [
[[package]]
name = "gsk4-sys"
-version = "0.7.3"
+version = "0.8.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "12bd9e3effea989f020e8f1ff3fa3b8c63ba93d43b899c11a118868853a56d55"
+checksum = "bd24c814379f9c3199dc53e52253ee8d0f657eae389ab282c330505289d24738"
dependencies = [
"cairo-sys-rs",
"gdk4-sys",
@@ -1781,9 +1740,9 @@ dependencies = [
[[package]]
name = "gtk4"
-version = "0.7.3"
+version = "0.8.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5aeb51aa3e9728575a053e1f43543cd9992ac2477e1b186ad824fd4adfb70842"
+checksum = "aa82753b8c26277e4af1446c70e35b19aad4fb794a7b143859e7eeb9a4025d83"
dependencies = [
"cairo-rs",
"field-offset",
@@ -1802,12 +1761,12 @@ dependencies = [
[[package]]
name = "gtk4-macros"
-version = "0.7.2"
+version = "0.8.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d57ec49cf9b657f69a05bca8027cff0a8dfd0c49e812be026fc7311f2163832f"
+checksum = "40300bf071d2fcd4c94eacc09e84ec6fe73129d2ceb635cf7e55b026b5443567"
dependencies = [
"anyhow",
- "proc-macro-crate 1.3.1",
+ "proc-macro-crate",
"proc-macro-error",
"proc-macro2",
"quote",
@@ -1816,9 +1775,9 @@ dependencies = [
[[package]]
name = "gtk4-sys"
-version = "0.7.3"
+version = "0.8.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "54d8c4aa23638ce9faa2caf7e2a27d4a1295af2155c8e8d28c4d4eeca7a65eb8"
+checksum = "0db1b104138f087ccdc81d2c332de5dd049b89de3d384437cc1093b17cd2da18"
dependencies = [
"cairo-sys-rs",
"gdk-pixbuf-sys",
@@ -1835,18 +1794,19 @@ dependencies = [
[[package]]
name = "half"
-version = "2.2.1"
+version = "2.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "02b4af3693f1b705df946e9fe5631932443781d0aabb423b62fcd4d73f6d2fd0"
+checksum = "b5eceaaeec696539ddaf7b333340f1af35a5aa87ae3e4f3ead0532f72affab2e"
dependencies = [
+ "cfg-if",
"crunchy",
]
[[package]]
name = "hash32"
-version = "0.2.1"
+version = "0.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b0c35f58762feb77d74ebe43bdbc3210f09be9fe6742234d573bacc26ed92b67"
+checksum = "47d60b12902ba28e2730cd37e95b8c9223af2808df9e902d4df49588d1470606"
dependencies = [
"byteorder",
]
@@ -1869,14 +1829,11 @@ dependencies = [
[[package]]
name = "heapless"
-version = "0.7.17"
+version = "0.8.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cdc6457c0eb62c71aac4bc17216026d8410337c4126773b9c5daba343f17964f"
+checksum = "0bfb9eb618601c89945a70e254898da93b13be0388091d42117462b265bb3fad"
dependencies = [
- "atomic-polyfill",
"hash32",
- "rustc_version",
- "spin",
"stable_deref_trait",
]
@@ -1897,17 +1854,17 @@ dependencies = [
[[package]]
name = "hermit-abi"
-version = "0.3.3"
+version = "0.3.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d77f7ec81a6d05a3abb01ab6eb7590f6083d08449fe5a1c8b1e620283546ccb7"
+checksum = "d231dfb89cfffdbc30e7fc41579ed6066ad03abda9e567ccafae602b97ec5024"
[[package]]
name = "home"
-version = "0.5.5"
+version = "0.5.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5444c27eef6923071f7ebcc33e3444508466a76f7a2b93da00ed6e19f30c1ddb"
+checksum = "e3d1354bf6b7235cb4a0576c2619fd4ed18183f689b12b006a0ee7329eeff9a5"
dependencies = [
- "windows-sys 0.48.0",
+ "windows-sys 0.52.0",
]
[[package]]
@@ -1918,16 +1875,16 @@ checksum = "9a3a5bfb195931eeb336b2a7b4d761daec841b97f947d34394601737a7bba5e4"
[[package]]
name = "iana-time-zone"
-version = "0.1.58"
+version = "0.1.60"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8326b86b6cff230b97d0d312a6c40a60726df3332e721f72a1b035f451663b20"
+checksum = "e7ffbb5a1b541ea2561f8c41c087286cc091e21e556a4f09a8f6cbf17b69b141"
dependencies = [
"android_system_properties",
"core-foundation-sys",
"iana-time-zone-haiku",
"js-sys",
"wasm-bindgen",
- "windows-core",
+ "windows-core 0.52.0",
]
[[package]]
@@ -1969,9 +1926,9 @@ dependencies = [
[[package]]
name = "image"
-version = "0.24.7"
+version = "0.24.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6f3dfdbdd72063086ff443e297b61695500514b1e41095b6fb9a5ab48a70a711"
+checksum = "5690139d2f55868e080017335e4b94cb7414274c74f1669c84fb5feba2c9f69d"
dependencies = [
"bytemuck",
"byteorder",
@@ -1979,7 +1936,6 @@ dependencies = [
"exr",
"gif",
"jpeg-decoder",
- "num-rational",
"num-traits",
"png",
"qoi",
@@ -2005,9 +1961,9 @@ dependencies = [
[[package]]
name = "indexmap"
-version = "2.1.0"
+version = "2.2.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d530e1a18b1cb4c484e6e34556a0d948706958449fca0cab753d649f2bce3d1f"
+checksum = "7b0b929d511467233429c45a44ac1dcaa21ba0f5ba11e4879e6ed28ddb4f9df4"
dependencies = [
"equivalent",
"hashbrown 0.14.3",
@@ -2015,9 +1971,9 @@ dependencies = [
[[package]]
name = "indicatif"
-version = "0.17.7"
+version = "0.17.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fb28741c9db9a713d93deb3bb9515c20788cef5815265bee4980e87bde7e0f25"
+checksum = "763a5a8f45087d6bcea4222e7b72c291a054edf80e4ef6efd2a4979878c7bea3"
dependencies = [
"console",
"instant",
@@ -2046,6 +2002,26 @@ dependencies = [
"thiserror",
]
+[[package]]
+name = "inotify"
+version = "0.9.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f8069d3ec154eb856955c1c0fbffefbf5f3c40a104ec912d4797314c1801abff"
+dependencies = [
+ "bitflags 1.3.2",
+ "inotify-sys",
+ "libc",
+]
+
+[[package]]
+name = "inotify-sys"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e05c02b5e89bff3b946cedeca278abc628fe811e604f027c45a8aa3cf793d0eb"
+dependencies = [
+ "libc",
+]
+
[[package]]
name = "instant"
version = "0.1.12"
@@ -2055,17 +2031,6 @@ dependencies = [
"cfg-if",
]
-[[package]]
-name = "io-lifetimes"
-version = "1.0.11"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "eae7b9aee968036d54dce06cebaefd919e4472e753296daccd6d344e3e2df0c2"
-dependencies = [
- "hermit-abi 0.3.3",
- "libc",
- "windows-sys 0.48.0",
-]
-
[[package]]
name = "is-docker"
version = "0.2.0"
@@ -2077,13 +2042,13 @@ dependencies = [
[[package]]
name = "is-terminal"
-version = "0.4.9"
+version = "0.4.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cb0889898416213fab133e1d33a0e5858a48177452750691bde3666d0fdbaf8b"
+checksum = "f23ff5ef2b80d608d61efee834934d862cd92461afc0560dedf493e4c033738b"
dependencies = [
- "hermit-abi 0.3.3",
- "rustix 0.38.26",
- "windows-sys 0.48.0",
+ "hermit-abi 0.3.9",
+ "libc",
+ "windows-sys 0.52.0",
]
[[package]]
@@ -2098,9 +2063,9 @@ dependencies = [
[[package]]
name = "is_ci"
-version = "1.1.1"
+version = "1.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "616cde7c720bb2bb5824a224687d8f77bfd38922027f01d825cd7453be5099fb"
+checksum = "7655c9839580ee829dfacba1d1278c2b7883e50a277ff7541299489d6bdfdc45"
[[package]]
name = "itertools"
@@ -2131,45 +2096,33 @@ dependencies = [
[[package]]
name = "itertools"
-version = "0.12.0"
+version = "0.12.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "25db6b064527c5d482d0423354fcd07a89a2dfe07b67892e62411946db7f07b0"
+checksum = "ba291022dbbd398a455acf126c1e341954079855bc60dfdda641363bd6922569"
dependencies = [
"either",
]
[[package]]
name = "itoa"
-version = "1.0.9"
+version = "1.0.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "af150ab688ff2122fcef229be89cb50dd66af9e01a4ff320cc137eecc9bacc38"
+checksum = "b1a46d1a171d865aa5f83f92695765caa047a9b4cbae2cbf37dbd613a793fd4c"
[[package]]
name = "jni"
-version = "0.19.0"
+version = "0.21.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c6df18c2e3db7e453d3c6ac5b3e9d5182664d28788126d39b91f2d1e22b017ec"
-dependencies = [
- "cesu8",
- "combine",
- "jni-sys",
- "log",
- "thiserror",
- "walkdir",
-]
-
-[[package]]
-name = "jni"
-version = "0.20.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "039022cdf4d7b1cf548d31f60ae783138e5fd42013f6271049d7df7afadef96c"
+checksum = "1a87aa2bb7d2af34197c04845522473242e1aa17c12f4935d5856491a7fb8c97"
dependencies = [
"cesu8",
+ "cfg-if",
"combine",
"jni-sys",
"log",
"thiserror",
"walkdir",
+ "windows-sys 0.45.0",
]
[[package]]
@@ -2180,31 +2133,51 @@ checksum = "8eaf4bc02d17cbdd7ff4c7438cafcdf7fb9a4613313ad11b4f8fefe7d3fa0130"
[[package]]
name = "jobserver"
-version = "0.1.27"
+version = "0.1.28"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8c37f63953c4c63420ed5fd3d6d398c719489b9f872b9fa683262f8edd363c7d"
+checksum = "ab46a6e9526ddef3ae7f787c06f0f2600639ba80ea3eade3d8e670a2230f51d6"
dependencies = [
"libc",
]
[[package]]
name = "jpeg-decoder"
-version = "0.3.0"
+version = "0.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bc0000e42512c92e31c2252315bda326620a4e034105e900c98ec492fa077b3e"
+checksum = "f5d4a7da358eff58addd2877a45865158f0d78c911d43a5784ceb7bbf52833b0"
dependencies = [
"rayon",
]
[[package]]
name = "js-sys"
-version = "0.3.66"
+version = "0.3.69"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cee9c64da59eae3b50095c18d3e74f8b73c0b86d2792824ff01bbce68ba229ca"
+checksum = "29c15563dc2726973df627357ce0c9ddddbea194836909d655df6a75d2cf296d"
dependencies = [
"wasm-bindgen",
]
+[[package]]
+name = "kqueue"
+version = "1.0.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7447f1ca1b7b563588a205fe93dea8df60fd981423a768bc1c0ded35ed147d0c"
+dependencies = [
+ "kqueue-sys",
+ "libc",
+]
+
+[[package]]
+name = "kqueue-sys"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ed9625ffda8729b85e45cf04090035ac368927b8cebc34898e7c120f52e4838b"
+dependencies = [
+ "bitflags 1.3.2",
+ "libc",
+]
+
[[package]]
name = "kurbo"
version = "0.9.5"
@@ -2214,6 +2187,16 @@ dependencies = [
"arrayvec",
]
+[[package]]
+name = "kurbo"
+version = "0.10.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1618d4ebd923e97d67e7cd363d80aef35fe961005cbbbb3d2dad8bdd1bc63440"
+dependencies = [
+ "arrayvec",
+ "smallvec",
+]
+
[[package]]
name = "language-tags"
version = "0.3.2"
@@ -2240,9 +2223,9 @@ checksum = "03087c2bad5e1034e8cace5926dec053fb3790248370865f5117a7d0213354c8"
[[package]]
name = "libadwaita"
-version = "0.5.3"
+version = "0.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2fe7e70c06507ed10a16cda707f358fbe60fe0dc237498f78c686ade92fd979c"
+checksum = "91b4990248b9e1ec5e72094a2ccaea70ec3809f88f6fd52192f2af306b87c5d9"
dependencies = [
"gdk-pixbuf",
"gdk4",
@@ -2256,9 +2239,9 @@ dependencies = [
[[package]]
name = "libadwaita-sys"
-version = "0.5.3"
+version = "0.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5e10aaa38de1d53374f90deeb4535209adc40cc5dba37f9704724169bceec69a"
+checksum = "23a748e4e92be1265cd9e93d569c0b5dfc7814107985aa6743d670ab281ea1a8"
dependencies = [
"gdk4-sys",
"gio-sys",
@@ -2272,18 +2255,18 @@ dependencies = [
[[package]]
name = "libc"
-version = "0.2.150"
+version = "0.2.153"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "89d92a4743f9a61002fae18374ed11e7973f530cb3a3255fb354818118b2203c"
+checksum = "9c198f91728a82281a64e1f4f9eeb25d82cb32a5de251c6bd1b5154d63a8e7bd"
[[package]]
name = "libloading"
-version = "0.7.4"
+version = "0.8.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b67380fd3b2fbe7527a606e18729d21c6f3951633d0500574c4dc22d2d638b9f"
+checksum = "0c2a198fb6b0eada2a8df47933734e6d35d350665a33a3593d7164fa52c75c19"
dependencies = [
"cfg-if",
- "winapi",
+ "windows-targets 0.52.4",
]
[[package]]
@@ -2294,9 +2277,9 @@ checksum = "4ec2a862134d2a7d32d7983ddcdd1c4923530833c9f2ea1a44fc5fa473989058"
[[package]]
name = "librsvg"
-version = "2.57.0"
+version = "2.58.0-beta.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c4b6285fca2e4de40d61e398408a4cd261d475a960da58ae28d94d6352088078"
+checksum = "59d441368ddd551d54ef8ebcf515cf8a22604856a66da21828fa1d57651a9f71"
dependencies = [
"cairo-rs",
"cast",
@@ -2304,17 +2287,16 @@ dependencies = [
"data-url",
"encoding_rs",
"float-cmp",
- "gdk-pixbuf",
"gio",
"glib",
- "itertools 0.11.0",
+ "image",
+ "itertools 0.12.1",
"language-tags",
"libc",
"locale_config",
"markup5ever",
"nalgebra",
"num-traits",
- "once_cell",
"pango",
"pangocairo",
"rayon",
@@ -2340,15 +2322,9 @@ dependencies = [
[[package]]
name = "linux-raw-sys"
-version = "0.3.8"
+version = "0.4.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ef53942eb7bf7ff43a617b3e2c1c4a5ecf5944a7c1bc12d7ee39bbb15e5c1519"
-
-[[package]]
-name = "linux-raw-sys"
-version = "0.4.12"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c4cd1a83af159aa67994778be9070f0ae1bd732942279cabb14f86f986a21456"
+checksum = "01cda141df6706de531b6c46c3a33ecca755538219bd484262fa09410c13539c"
[[package]]
name = "locale_config"
@@ -2375,9 +2351,9 @@ dependencies = [
[[package]]
name = "log"
-version = "0.4.20"
+version = "0.4.21"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b5e6163cb8c49088c2c36f57875e58ccd8c87c7427f7fbd50ea6710b2f3f2e8f"
+checksum = "90ed8c1e510134f979dbc4f070f87d4313098b704861a105fe34231c70a3901c"
[[package]]
name = "mac"
@@ -2387,9 +2363,9 @@ checksum = "c41e0c4fef86961ac6d6f8a82609f55f31b05e4fce149ac5710e439df7619ba4"
[[package]]
name = "mach2"
-version = "0.4.1"
+version = "0.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6d0d1830bcd151a6fc4aea1369af235b36c1528fe976b8ff678683c9995eade8"
+checksum = "19b955cdeb2a02b9117f121ce63aa52d08ade45de53e48fe6a38b39c10f6f709"
dependencies = [
"libc",
]
@@ -2417,6 +2393,15 @@ dependencies = [
"tendril",
]
+[[package]]
+name = "matchers"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8263075bb86c5a1b1427b5ae862e8889656f126e9f77c484496e8b47cf5c5558"
+dependencies = [
+ "regex-automata 0.1.10",
+]
+
[[package]]
name = "matches"
version = "0.1.10"
@@ -2435,15 +2420,15 @@ dependencies = [
[[package]]
name = "memchr"
-version = "2.6.4"
+version = "2.7.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f665ee40bc4a3c5590afb1e9677db74a508659dfd71e126420da8274909a0167"
+checksum = "523dc4f511e55ab87b694dc30d0f820d60906ef06413f93d4d7a1385599cc149"
[[package]]
name = "memmap2"
-version = "0.8.0"
+version = "0.9.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "43a5a03cefb0d953ec0be133036f14e109412fa594edc2f77227249db66cc3ed"
+checksum = "fe751422e4a8caa417e13c3ea66452215d7d63e19e604f4980461212f3ae1322"
dependencies = [
"libc",
]
@@ -2486,7 +2471,7 @@ checksum = "49e7bc1560b95a3c4a25d03de42fe76ca718ab92d1a22a55b9b4cf67b3ae635c"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.52",
]
[[package]]
@@ -2497,14 +2482,26 @@ checksum = "68354c5c6bd36d73ff3feceb05efa59b6acb7626617f4962be322a825e61f79a"
[[package]]
name = "miniz_oxide"
-version = "0.7.1"
+version = "0.7.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e7810e0be55b428ada41041c41f32c9f1a42817901b4ccf45fa3d4b6561e74c7"
+checksum = "9d811f3e15f28568be3407c8e7fdb6514c1cda3cb30683f15b6a1a1dc4ea14a7"
dependencies = [
"adler",
"simd-adler32",
]
+[[package]]
+name = "mio"
+version = "0.8.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a4a650543ca06a924e8b371db273b2756685faae30f8487da1b56505a8f78b0c"
+dependencies = [
+ "libc",
+ "log",
+ "wasi",
+ "windows-sys 0.48.0",
+]
+
[[package]]
name = "moveit"
version = "0.6.0"
@@ -2516,9 +2513,9 @@ dependencies = [
[[package]]
name = "nalgebra"
-version = "0.32.3"
+version = "0.32.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "307ed9b18cc2423f29e83f84fd23a8e73628727990181f18641a8b5dc2ab1caa"
+checksum = "4541eb06dce09c0241ebbaab7102f0a01a0c8994afed2e5d0d66775016e25ac2"
dependencies = [
"approx",
"matrixmultiply",
@@ -2544,15 +2541,15 @@ dependencies = [
[[package]]
name = "ndk"
-version = "0.7.0"
+version = "0.8.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "451422b7e4718271c8b5b3aadf5adedba43dc76312454b387e98fae0fc951aa0"
+checksum = "2076a31b7010b17a38c01907c45b945e8f11495ee4dd588309718901b1f7a5b7"
dependencies = [
- "bitflags 1.3.2",
+ "bitflags 2.4.2",
"jni-sys",
+ "log",
"ndk-sys",
"num_enum",
- "raw-window-handle",
"thiserror",
]
@@ -2564,9 +2561,9 @@ checksum = "27b02d87554356db9e9a873add8782d4ea6e3e58ea071a9adb9a2e8ddb884a8b"
[[package]]
name = "ndk-sys"
-version = "0.4.1+23.1.7779620"
+version = "0.5.0+25.2.9519653"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3cf2aae958bd232cac5069850591667ad422d263686d75b52a065f9badeee5a3"
+checksum = "8c196769dd60fd4f363e11d948139556a344e79d451aeb2fa2fd040738ef7691"
dependencies = [
"jni-sys",
]
@@ -2577,17 +2574,6 @@ version = "1.0.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "e4a24736216ec316047a1fc4252e27dabb04218aa4a3f37c6e7ddbf1f9782b54"
-[[package]]
-name = "nix"
-version = "0.24.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fa52e972a9a719cecb6864fb88568781eb706bac2cd1d4f04a648542dbf78069"
-dependencies = [
- "bitflags 1.3.2",
- "cfg-if",
- "libc",
-]
-
[[package]]
name = "no-std-compat"
version = "0.4.1"
@@ -2605,10 +2591,53 @@ dependencies = [
]
[[package]]
-name = "num-complex"
-version = "0.4.4"
+name = "notify"
+version = "6.1.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ba157ca0885411de85d6ca030ba7e2a83a28636056c7c699b07c8b6f7383214"
+checksum = "6205bd8bb1e454ad2e27422015fb5e4f2bcc7e08fa8f27058670d208324a4d2d"
+dependencies = [
+ "bitflags 2.4.2",
+ "crossbeam-channel",
+ "filetime",
+ "fsevent-sys",
+ "inotify",
+ "kqueue",
+ "libc",
+ "log",
+ "mio",
+ "walkdir",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "notify-debouncer-full"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "49f5dab59c348b9b50cf7f261960a20e389feb2713636399cd9082cd4b536154"
+dependencies = [
+ "crossbeam-channel",
+ "file-id",
+ "log",
+ "notify",
+ "parking_lot",
+ "walkdir",
+]
+
+[[package]]
+name = "nu-ansi-term"
+version = "0.46.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "77a8165726e8236064dbb45459242600304b42a5ea24ee2948e18e023bf7ba84"
+dependencies = [
+ "overload",
+ "winapi",
+]
+
+[[package]]
+name = "num-complex"
+version = "0.4.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "23c6602fda94a57c990fe0df199a035d83576b496aa29f4e634a8ac6004e68a6"
dependencies = [
"num-traits",
"serde",
@@ -2616,33 +2645,21 @@ dependencies = [
[[package]]
name = "num-derive"
-version = "0.3.3"
+version = "0.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "876a53fff98e03a936a674b29568b0e605f06b29372c2489ff4de23f1949743d"
+checksum = "ed3955f1a9c7c0c15e092f9c887db08b1fc683305fdf6eb6684f22555355e202"
dependencies = [
"proc-macro2",
"quote",
- "syn 1.0.109",
-]
-
-[[package]]
-name = "num-derive"
-version = "0.4.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cfb77679af88f8b125209d354a202862602672222e7f2313fdd6dc349bad4712"
-dependencies = [
- "proc-macro2",
- "quote",
- "syn 2.0.39",
+ "syn 2.0.52",
]
[[package]]
name = "num-integer"
-version = "0.1.45"
+version = "0.1.46"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "225d3389fb3509a24c93f5c29eb6bde2586b98d9f016636dff58d7c6f7569cd9"
+checksum = "7969661fd2958a5cb096e56c8e1ad0444ac2bbcd0061bd28660485a44879858f"
dependencies = [
- "autocfg",
"num-traits",
]
@@ -2659,9 +2676,9 @@ dependencies = [
[[package]]
name = "num-traits"
-version = "0.2.17"
+version = "0.2.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "39e3200413f237f41ab11ad6d161bc7239c84dcb631773ccd7de3dfe4b5c267c"
+checksum = "da0df0e5185db44f69b44f26786fe401b6c293d1907744beaa7fa62b2e5a517a"
dependencies = [
"autocfg",
"libm",
@@ -2673,29 +2690,29 @@ version = "1.16.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "4161fcb6d602d4d2081af7c3a45852d875a03dd337a6bfdd6e06407b61342a43"
dependencies = [
- "hermit-abi 0.3.3",
+ "hermit-abi 0.3.9",
"libc",
]
[[package]]
name = "num_enum"
-version = "0.5.11"
+version = "0.7.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1f646caf906c20226733ed5b1374287eb97e3c2a5c227ce668c1f2ce20ae57c9"
+checksum = "02339744ee7253741199f897151b38e72257d13802d4ee837285cc2990a90845"
dependencies = [
"num_enum_derive",
]
[[package]]
name = "num_enum_derive"
-version = "0.5.11"
+version = "0.7.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dcbff9bc912032c62bf65ef1d5aea88983b420f4f839db1e9b0c281a25c9c799"
+checksum = "681030a937600a36906c185595136d26abfebb4aa9c65701cefcaf8578bb982b"
dependencies = [
- "proc-macro-crate 1.3.1",
+ "proc-macro-crate",
"proc-macro2",
"quote",
- "syn 1.0.109",
+ "syn 2.0.52",
]
[[package]]
@@ -2744,32 +2761,32 @@ dependencies = [
[[package]]
name = "object"
-version = "0.32.1"
+version = "0.32.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9cf5f9dd3933bd50a9e1f149ec995f39ae2c496d31fd772c1fd45ebc27e902b0"
+checksum = "a6a622008b6e321afc04970976f62ee297fdbaa6f95318ca343e3eebb9648441"
dependencies = [
"memchr",
]
[[package]]
name = "oboe"
-version = "0.5.0"
+version = "0.6.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8868cc237ee02e2d9618539a23a8d228b9bb3fc2e7a5b11eed3831de77c395d0"
+checksum = "e8b61bebd49e5d43f5f8cc7ee2891c16e0f41ec7954d36bcb6c14c5e0de867fb"
dependencies = [
- "jni 0.20.0",
+ "jni",
"ndk",
"ndk-context",
- "num-derive 0.3.3",
+ "num-derive",
"num-traits",
"oboe-sys",
]
[[package]]
name = "oboe-sys"
-version = "0.5.0"
+version = "0.6.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7f44155e7fb718d3cfddcf70690b2b51ac4412f347cd9e4fbe511abe9cd7b5f2"
+checksum = "6c8bb09a4a2b1d668170cfe0a7d5bc103f8999fb316c98099b6a9939c9f2e79d"
dependencies = [
"cc",
]
@@ -2782,15 +2799,21 @@ checksum = "3fdb12b2476b595f9358c5161aa467c2438859caa136dec86c26fdd2efe17b92"
[[package]]
name = "open"
-version = "5.0.1"
+version = "5.1.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "90878fb664448b54c4e592455ad02831e23a3f7e157374a8b95654731aac7349"
+checksum = "449f0ff855d85ddbf1edd5b646d65249ead3f5e422aaa86b7d2d0b049b103e32"
dependencies = [
"is-wsl",
"libc",
"pathdiff",
]
+[[package]]
+name = "overload"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b15813163c1d831bf4a13c3610c05c0d03b39feb07f7e09fa234dac9b15aaf39"
+
[[package]]
name = "owo-colors"
version = "3.5.0"
@@ -2799,9 +2822,9 @@ checksum = "c1b04fb49957986fdce4d6ee7a65027d55d4b6d2265e5848bbb507b58ccfdb6f"
[[package]]
name = "palette"
-version = "0.7.3"
+version = "0.7.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b2e2f34147767aa758aa649415b50a69eeb46a67f9dc7db8011eeb3d84b351dc"
+checksum = "ebfc23a4b76642983d57e4ad00bb4504eb30a8ce3c70f4aee1f725610e36d97a"
dependencies = [
"approx",
"fast-srgb8",
@@ -2811,33 +2834,32 @@ dependencies = [
[[package]]
name = "palette_derive"
-version = "0.7.3"
+version = "0.7.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b7db010ec5ff3d4385e4f133916faacd9dad0f6a09394c92d825b3aed310fa0a"
+checksum = "e8890702dbec0bad9116041ae586f84805b13eecd1d8b1df27c29998a9969d6d"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.52",
]
[[package]]
name = "pango"
-version = "0.18.3"
+version = "0.19.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7ca27ec1eb0457ab26f3036ea52229edbdb74dee1edd29063f5b9b010e7ebee4"
+checksum = "7809e8af4df8d024a066106b72ca6bc7253a484ae3867041a96103ef8a13188d"
dependencies = [
"gio",
"glib",
"libc",
- "once_cell",
"pango-sys",
]
[[package]]
name = "pango-sys"
-version = "0.18.0"
+version = "0.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "436737e391a843e5933d6d9aa102cb126d501e815b83601365a948a518555dc5"
+checksum = "f52ef6a881c19fbfe3b1484df5cad411acaaba29dbec843941c3110d19f340ea"
dependencies = [
"glib-sys",
"gobject-sys",
@@ -2847,9 +2869,9 @@ dependencies = [
[[package]]
name = "pangocairo"
-version = "0.18.0"
+version = "0.19.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "57036589a9cfcacf83f9e606d15813fc6bf03f0e9e69aa2b5e3bb85af86b38a5"
+checksum = "6620c77967c62c7a84c6ca15ab855e8eecb248beb8ee43bc0eeaadd39123f687"
dependencies = [
"cairo-rs",
"glib",
@@ -2860,9 +2882,9 @@ dependencies = [
[[package]]
name = "pangocairo-sys"
-version = "0.18.0"
+version = "0.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fc3c8ff676a37e7a72ec1d5fc029f91c407278083d2752784ff9f5188c108833"
+checksum = "01bd0597ae45983f9e8b7f73afc42238426cd3fbb44a9cf14fd881a4ae08f1e4"
dependencies = [
"cairo-sys-rs",
"glib-sys",
@@ -2902,9 +2924,9 @@ dependencies = [
[[package]]
name = "parry2d-f64"
-version = "0.13.5"
+version = "0.13.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "82bb5868f03fac0eb9ff77ab24dd6c2e7606b44f0ff745784a49de4e67486e78"
+checksum = "542444b3ef557470c352ca13ba34a3d5e558b34265339320b8fb560bc82c427e"
dependencies = [
"approx",
"arrayvec",
@@ -2912,7 +2934,7 @@ dependencies = [
"downcast-rs",
"either",
"nalgebra",
- "num-derive 0.3.3",
+ "num-derive",
"num-traits",
"rustc-hash",
"serde",
@@ -2928,6 +2950,24 @@ version = "1.0.14"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "de3145af08024dea9fa9914f381a17b8fc6034dfb00f3a84013f7ff43f29ed4c"
+[[package]]
+name = "path-absolutize"
+version = "3.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e4af381fe79fa195b4909485d99f73a80792331df0625188e707854f0b3383f5"
+dependencies = [
+ "path-dedot",
+]
+
+[[package]]
+name = "path-dedot"
+version = "3.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "07ba0ad7e047712414213ff67533e6dd477af0a4e1d14fb52343e53d30ea9397"
+dependencies = [
+ "once_cell",
+]
+
[[package]]
name = "path-slash"
version = "0.2.1"
@@ -3011,7 +3051,7 @@ dependencies = [
"phf_shared 0.11.2",
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.52",
]
[[package]]
@@ -3041,16 +3081,16 @@ checksum = "5be167a7af36ee22fe3115051bc51f6e6c7054c9348e28deb4f49bd6f705a315"
[[package]]
name = "piet"
version = "0.6.2"
-source = "git+https://github.com/linebender/piet?rev=88e8e6c6fe41d8c99e3bccbf3a076b3661c4472a#88e8e6c6fe41d8c99e3bccbf3a076b3661c4472a"
+source = "git+https://github.com/linebender/piet?rev=02eb5f0152e893626c43980bf37eeb0ccb1acb46#02eb5f0152e893626c43980bf37eeb0ccb1acb46"
dependencies = [
- "kurbo",
+ "kurbo 0.10.4",
"unic-bidi",
]
[[package]]
name = "piet-cairo"
version = "0.6.2"
-source = "git+https://github.com/linebender/piet?rev=88e8e6c6fe41d8c99e3bccbf3a076b3661c4472a#88e8e6c6fe41d8c99e3bccbf3a076b3661c4472a"
+source = "git+https://github.com/linebender/piet?rev=02eb5f0152e893626c43980bf37eeb0ccb1acb46#02eb5f0152e893626c43980bf37eeb0ccb1acb46"
dependencies = [
"cairo-rs",
"pango",
@@ -3079,21 +3119,21 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "668d31b1c4eba19242f2088b2bf3316b82ca31082a8335764db4e083db7485d4"
dependencies = [
"atomic-waker",
- "fastrand 2.0.1",
+ "fastrand",
"futures-io",
]
[[package]]
name = "pkg-config"
-version = "0.3.27"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "26072860ba924cbfa98ea39c8c19b4dd6a4a25423dbdf219c1eca91aa0cf6964"
+checksum = "d231b230927b5e4ad203db57bbcbee2802f6bce620b1e4a9024a07d94e2907ec"
[[package]]
name = "png"
-version = "0.17.10"
+version = "0.17.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dd75bf2d8dd3702b9707cdbc56a5b9ef42cec752eb8b3bafc01234558442aa64"
+checksum = "06e4b0d3d1312775e782c86c91a111aa1f910cbb65e1337f9975b5f9a554b5e1"
dependencies = [
"bitflags 1.3.2",
"crc32fast",
@@ -3114,39 +3154,23 @@ dependencies = [
[[package]]
name = "polling"
-version = "2.8.0"
+version = "3.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4b2d323e8ca7996b3e23126511a523f7e62924d93ecd5ae73b333815b0eb3dce"
-dependencies = [
- "autocfg",
- "bitflags 1.3.2",
- "cfg-if",
- "concurrent-queue",
- "libc",
- "log",
- "pin-project-lite",
- "windows-sys 0.48.0",
-]
-
-[[package]]
-name = "polling"
-version = "3.3.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cf63fa624ab313c11656b4cda960bfc46c410187ad493c41f6ba2d8c1e991c9e"
+checksum = "24f040dee2588b4963afb4e420540439d126f73fdacf4a9c486a96d840bac3c9"
dependencies = [
"cfg-if",
"concurrent-queue",
"pin-project-lite",
- "rustix 0.38.26",
+ "rustix",
"tracing",
"windows-sys 0.52.0",
]
[[package]]
name = "poppler-rs"
-version = "0.22.0"
+version = "0.23.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8eeee26af64d7c1bfdb436d831fb78e65a325ade17f380e6bee7af2bc9859b8e"
+checksum = "9421853a6cc8dfaea2e31bd751fb037abdc3a727f04d0eb10fcf7061f6eff562"
dependencies = [
"cairo-rs",
"gio",
@@ -3157,9 +3181,9 @@ dependencies = [
[[package]]
name = "poppler-sys-rs"
-version = "0.22.0"
+version = "0.23.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "568f80975a5d4270c97bbfd6283f873b2204c92b67b803237c2e705fde4362a1"
+checksum = "10f6737da38a7bb0126931c4a7b23b7bea517410bd48676f18af6b38c5f88d51"
dependencies = [
"cairo-sys-rs",
"gio-sys",
@@ -3187,44 +3211,23 @@ version = "0.1.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "925383efa346730478fb4838dbe9137d2a47675ad789c546d150a6e1dd4ab31c"
-[[package]]
-name = "pretty_env_logger"
-version = "0.5.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "865724d4dbe39d9f3dd3b52b88d859d66bcb2d6a0acfd5ea68a65fb66d4bdc1c"
-dependencies = [
- "env_logger 0.10.1",
- "log",
-]
-
[[package]]
name = "prettyplease"
-version = "0.2.15"
+version = "0.2.16"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ae005bd773ab59b4725093fd7df83fd7892f7d8eafb48dbd7de6e024e4215f9d"
+checksum = "a41cf62165e97c7f814d2221421dbb9afcbcdb0a88068e5ea206e19951c2cbb5"
dependencies = [
"proc-macro2",
- "syn 2.0.39",
+ "syn 2.0.52",
]
[[package]]
name = "proc-macro-crate"
-version = "1.3.1"
+version = "3.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7f4c021e1093a56626774e81216a4ce732a735e5bad4868a03f3ed65ca0c3919"
+checksum = "6d37c51ca738a55da99dc0c4a34860fd675453b8b36209178c2249bb13651284"
dependencies = [
- "once_cell",
- "toml_edit 0.19.15",
-]
-
-[[package]]
-name = "proc-macro-crate"
-version = "2.0.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "97dc5fea232fc28d2f597b37c4876b348a40e33f3b02cc975c8d006d78d94b1a"
-dependencies = [
- "toml_datetime",
- "toml_edit 0.20.2",
+ "toml_edit 0.21.1",
]
[[package]]
@@ -3253,9 +3256,9 @@ dependencies = [
[[package]]
name = "proc-macro2"
-version = "1.0.70"
+version = "1.0.78"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "39278fbbf5fb4f646ce651690877f89d1c5811a3d4acb27700c1cb3cdb78fd3b"
+checksum = "e2422ad645d89c99f8f3e6b88a9fdeca7fabeac836b1002371c4367c8f984aae"
dependencies = [
"unicode-ident",
]
@@ -3271,9 +3274,9 @@ dependencies = [
[[package]]
name = "quote"
-version = "1.0.33"
+version = "1.0.35"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5267fca4496028628a95160fc423a33e8b2e6af8a5302579e322e4b520293cae"
+checksum = "291ec9ab5efd934aaf503a6466c5d5251535d108ee747472c3977cc5acc868ef"
dependencies = [
"proc-macro2",
]
@@ -3327,12 +3330,6 @@ dependencies = [
"rand_core",
]
-[[package]]
-name = "raw-window-handle"
-version = "0.5.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f2ff9a1f06a88b01621b7ae906ef0211290d1c8a168a15542486a8f61c0833b9"
-
[[package]]
name = "rawpointer"
version = "0.2.1"
@@ -3341,9 +3338,9 @@ checksum = "60a357793950651c4ed0f3f52338f53b2f809f32d83a07f72909fa13e4c6c1e3"
[[package]]
name = "rayon"
-version = "1.8.0"
+version = "1.9.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9c27db03db7734835b3f53954b534c91069375ce6ccaa2e065441e07d9b6cdb1"
+checksum = "e4963ed1bc86e4f3ee217022bd855b297cef07fb9eac5dfa1f788b220b49b3bd"
dependencies = [
"either",
"rayon-core",
@@ -3351,9 +3348,9 @@ dependencies = [
[[package]]
name = "rayon-core"
-version = "1.12.0"
+version = "1.12.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5ce3fb6ad83f861aac485e76e1985cd109d9a3713802152be56c3b1f0e0658ed"
+checksum = "1465873a3dfdaa8ae7cb14b4383657caab0b3e8a0aa9ae8e04b044854c8dfce2"
dependencies = [
"crossbeam-deque",
"crossbeam-utils",
@@ -3361,9 +3358,9 @@ dependencies = [
[[package]]
name = "rctree"
-version = "0.5.0"
+version = "0.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3b42e27ef78c35d3998403c1d26f3efd9e135d3e5121b0a4845cc5cc27547f4f"
+checksum = "e03e7866abec1101869ffa8e2c8355c4c2419d0214ece0cc3e428e5b94dea6e9"
[[package]]
name = "redox_syscall"
@@ -3376,27 +3373,42 @@ dependencies = [
[[package]]
name = "regex"
-version = "1.10.2"
+version = "1.10.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "380b951a9c5e80ddfd6136919eef32310721aa4aacd4889a8d39124b026ab343"
+checksum = "b62dbe01f0b06f9d8dc7d49e05a0785f153b00b2c227856282f671e0318c9b15"
dependencies = [
"aho-corasick",
"memchr",
- "regex-automata",
- "regex-syntax",
+ "regex-automata 0.4.6",
+ "regex-syntax 0.8.2",
]
[[package]]
name = "regex-automata"
-version = "0.4.3"
+version = "0.1.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5f804c7828047e88b2d32e2d7fe5a105da8ee3264f01902f796c8e067dc2483f"
+checksum = "6c230d73fb8d8c1b9c0b3135c5142a8acee3a0558fb8db5cf1cb65f8d7862132"
+dependencies = [
+ "regex-syntax 0.6.29",
+]
+
+[[package]]
+name = "regex-automata"
+version = "0.4.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "86b83b8b9847f9bf95ef68afb0b8e6cdb80f498442f5179a29fad448fcc1eaea"
dependencies = [
"aho-corasick",
"memchr",
- "regex-syntax",
+ "regex-syntax 0.8.2",
]
+[[package]]
+name = "regex-syntax"
+version = "0.6.29"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f162c6dd7b008981e4d40210aca20b4bd0f9b60ca9271061b07f78537722f2e1"
+
[[package]]
name = "regex-syntax"
version = "0.8.2"
@@ -3414,9 +3426,10 @@ dependencies = [
[[package]]
name = "rnote"
-version = "0.9.4"
+version = "0.10.1"
dependencies = [
"anyhow",
+ "async-fs",
"base64",
"cairo-rs",
"fs_extra",
@@ -3426,21 +3439,21 @@ dependencies = [
"gtk4",
"ijson",
"image",
- "itertools 0.12.0",
- "kurbo",
+ "itertools 0.12.1",
+ "kurbo 0.10.4",
"libadwaita",
- "log",
"nalgebra",
- "num-derive 0.4.1",
+ "notify-debouncer-full",
+ "num-derive",
"num-traits",
"numeric-sort",
"once_cell",
"open",
"parry2d-f64",
+ "path-absolutize",
"piet",
"piet-cairo",
"poppler-rs",
- "pretty_env_logger",
"rand",
"rand_distr",
"rand_pcg",
@@ -3450,11 +3463,12 @@ dependencies = [
"rnote-engine",
"rough_piet",
"roughr",
- "same-file",
"serde",
"serde_json",
"svg",
"thiserror",
+ "tracing",
+ "tracing-subscriber",
"unicode-segmentation",
"url",
"winresource",
@@ -3462,35 +3476,35 @@ dependencies = [
[[package]]
name = "rnote-cli"
-version = "0.9.4"
+version = "0.10.1"
dependencies = [
"anyhow",
"atty",
"clap",
"dialoguer",
"indicatif",
- "log",
"nalgebra",
"open",
"parry2d-f64",
"rnote-compose",
"rnote-engine",
"smol",
+ "tracing",
+ "tracing-subscriber",
]
[[package]]
name = "rnote-compose"
-version = "0.9.4"
+version = "0.10.1"
dependencies = [
"anyhow",
"approx",
"base64",
"clap",
"ink-stroke-modeler-rs",
- "kurbo",
- "log",
+ "kurbo 0.10.4",
"nalgebra",
- "num-derive 0.4.1",
+ "num-derive",
"num-traits",
"once_cell",
"palette",
@@ -3505,11 +3519,12 @@ dependencies = [
"roughr",
"serde",
"svg",
+ "tracing",
]
[[package]]
name = "rnote-engine"
-version = "0.9.4"
+version = "0.10.1"
dependencies = [
"anyhow",
"approx",
@@ -3525,12 +3540,11 @@ dependencies = [
"gtk4",
"ijson",
"image",
- "itertools 0.12.0",
- "kurbo",
+ "itertools 0.12.1",
+ "kurbo 0.10.4",
"librsvg",
- "log",
"nalgebra",
- "num-derive 0.4.1",
+ "num-derive",
"num-traits",
"once_cell",
"parry2d-f64",
@@ -3546,7 +3560,7 @@ dependencies = [
"rodio",
"rough_piet",
"roughr",
- "roxmltree 0.19.0",
+ "roxmltree",
"rstar",
"semver",
"serde",
@@ -3554,6 +3568,7 @@ dependencies = [
"slotmap",
"svg",
"thiserror",
+ "tracing",
"unicode-segmentation",
"usvg",
"xmlwriter",
@@ -3604,15 +3619,6 @@ dependencies = [
"svgtypes 0.8.2",
]
-[[package]]
-name = "roxmltree"
-version = "0.18.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "862340e351ce1b271a378ec53f304a5558f7db87f3769dc655a8f6ecbb68b302"
-dependencies = [
- "xmlparser",
-]
-
[[package]]
name = "roxmltree"
version = "0.19.0"
@@ -3621,9 +3627,9 @@ checksum = "3cd14fd5e3b777a7422cca79358c57a8f6e3a703d9ac187448d0daf220c2407f"
[[package]]
name = "rstar"
-version = "0.11.0"
+version = "0.12.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "73111312eb7a2287d229f06c00ff35b51ddee180f017ab6dec1f69d62ac098d6"
+checksum = "133315eb94c7b1e8d0cb097e5a710d850263372fd028fff18969de708afc7008"
dependencies = [
"heapless",
"num-traits",
@@ -3653,28 +3659,14 @@ dependencies = [
[[package]]
name = "rustix"
-version = "0.37.27"
+version = "0.38.31"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fea8ca367a3a01fe35e6943c400addf443c0f57670e6ec51196f71a4b8762dd2"
+checksum = "6ea3e1a662af26cd7a3ba09c0297a31af215563ecf42817c98df621387f4e949"
dependencies = [
- "bitflags 1.3.2",
- "errno",
- "io-lifetimes",
- "libc",
- "linux-raw-sys 0.3.8",
- "windows-sys 0.48.0",
-]
-
-[[package]]
-name = "rustix"
-version = "0.38.26"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9470c4bf8246c8daf25f9598dca807fb6510347b1e1cfa55749113850c79d88a"
-dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"errno",
"libc",
- "linux-raw-sys 0.4.12",
+ "linux-raw-sys",
"windows-sys 0.52.0",
]
@@ -3686,11 +3678,11 @@ checksum = "7ffc183a10b4478d04cbbbfc96d0873219d962dd5accaff2ffbd4ceb7df837f4"
[[package]]
name = "rustybuzz"
-version = "0.10.0"
+version = "0.12.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "71cd15fef9112a1f94ac64b58d1e4628192631ad6af4dc69997f995459c874e7"
+checksum = "f0ae5692c5beaad6a9e22830deeed7874eae8a4e3ba4076fb48e12c56856222c"
dependencies = [
- "bitflags 1.3.2",
+ "bitflags 2.4.2",
"bytemuck",
"smallvec",
"ttf-parser",
@@ -3702,9 +3694,9 @@ dependencies = [
[[package]]
name = "ryu"
-version = "1.0.15"
+version = "1.0.17"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ad4cc8da4ef723ed60bced201181d83791ad433213d8c24efffda1eec85d741"
+checksum = "e86697c916019a8588c99b5fac3cead74ec0b4b819707a682fd4d23fa0ce1ba1"
[[package]]
name = "safe_arch"
@@ -3736,7 +3728,7 @@ version = "0.25.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "4eb30575f3638fc8f6815f448d50cb1a2e255b0897985c8c59f4d37b72a07b06"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"cssparser",
"derive_more",
"fxhash",
@@ -3751,38 +3743,38 @@ dependencies = [
[[package]]
name = "semver"
-version = "1.0.20"
+version = "1.0.22"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "836fa6a3e1e547f9a2c4040802ec865b5d85f4014efe00555d7090a3dcaa1090"
+checksum = "92d43fe69e652f3df9bdc2b85b2854a0825b86e4fb76bc44d945137d053639ca"
dependencies = [
"serde",
]
[[package]]
name = "serde"
-version = "1.0.193"
+version = "1.0.197"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "25dd9975e68d0cb5aa1120c288333fc98731bd1dd12f561e468ea4728c042b89"
+checksum = "3fb1c873e1b9b056a4dc4c0c198b24c3ffa059243875552b2bd0933b1aee4ce2"
dependencies = [
"serde_derive",
]
[[package]]
name = "serde_derive"
-version = "1.0.193"
+version = "1.0.197"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "43576ca501357b9b071ac53cdc7da8ef0cbd9493d8df094cd821777ea6e894d3"
+checksum = "7eb0b34b42edc17f6b7cac84a52a1c5f0e1bb2227e997ca9011ea3dd34e8610b"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.52",
]
[[package]]
name = "serde_json"
-version = "1.0.108"
+version = "1.0.114"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3d1c7e3eac408d115102c4c24ad393e0821bb3a5df4d506a80f85f7a742a526b"
+checksum = "c5f09b1bd632ef549eaa9f60a1f8de742bdbc698e6cee2095fc84dde5f549ae0"
dependencies = [
"itoa",
"ryu",
@@ -3791,9 +3783,9 @@ dependencies = [
[[package]]
name = "serde_spanned"
-version = "0.6.4"
+version = "0.6.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "12022b835073e5b11e90a14f86838ceb1c8fb0325b72416845c487ac0fa95e80"
+checksum = "eb3622f419d1296904700073ea6cc23ad690adbd66f13ea683df73298736f0c1"
dependencies = [
"serde",
]
@@ -3807,6 +3799,15 @@ dependencies = [
"stable_deref_trait",
]
+[[package]]
+name = "sharded-slab"
+version = "0.1.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f40ca3c46823713e0d4209592e8d6e826aa57e928f09752619fc696c499637f6"
+dependencies = [
+ "lazy_static",
+]
+
[[package]]
name = "shell-words"
version = "1.1.0"
@@ -3815,9 +3816,9 @@ checksum = "24188a676b6ae68c3b2cb3a01be17fbf7240ce009799bb56d5b1409051e78fde"
[[package]]
name = "shlex"
-version = "1.2.0"
+version = "1.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a7cee0529a6d40f580e7a5e6c495c8fbfe21b7b52795ed4bb5e62cdf92bc6380"
+checksum = "0fda2ff0d084019ba4d7c6f371c95d8fd75ce3524c3cb8fb653a3023f6323e64"
[[package]]
name = "signal-hook-registry"
@@ -3883,9 +3884,9 @@ dependencies = [
[[package]]
name = "smallvec"
-version = "1.11.2"
+version = "1.13.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4dccd0940a2dcdf68d092b8cbab7dc0ad8fa938bf95787e1b916b0e3d0e8e970"
+checksum = "e6ecd384b10a64542d77071bd64bd7b231f4ed5940fba55e98c3de13824cf3d7"
[[package]]
name = "smawk"
@@ -3895,36 +3896,26 @@ checksum = "b7c388c1b5e93756d0c740965c41e8822f866621d41acbdf6336a6a168f8840c"
[[package]]
name = "smol"
-version = "1.3.0"
+version = "2.0.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "13f2b548cd8447f8de0fdf1c592929f70f4fc7039a05e47404b0d096ec6987a1"
+checksum = "e635339259e51ef85ac7aa29a1cd991b957047507288697a690e80ab97d07cad"
dependencies = [
- "async-channel 1.9.0",
+ "async-channel",
"async-executor",
"async-fs",
- "async-io 1.13.0",
- "async-lock 2.8.0",
+ "async-io",
+ "async-lock 3.3.0",
"async-net",
"async-process",
"blocking",
- "futures-lite 1.13.0",
-]
-
-[[package]]
-name = "socket2"
-version = "0.4.10"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9f7916fc008ca5542385b89a3d3ce689953c143e9304a9bf8beec1de48994c0d"
-dependencies = [
- "libc",
- "winapi",
+ "futures-lite",
]
[[package]]
name = "spade"
-version = "2.4.1"
+version = "2.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "87a3ef2efbc408c9051c1a27ce7edff430d74531d31a480b7ca4f618072c2670"
+checksum = "61addf9117b11d1f5b4bf6fe94242ba25f59d2d4b2080544b771bd647024fd00"
dependencies = [
"hashbrown 0.14.3",
"num-traits",
@@ -3988,6 +3979,12 @@ version = "0.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "73473c0e59e6d5812c5dfe2a064a6444949f089e20eec9a2e5506596494e4623"
+[[package]]
+name = "strsim"
+version = "0.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5ee073c9e4cd00e28217186dbe12796d692868f432bf2e97ee73bed0c56dfa01"
+
[[package]]
name = "strum_macros"
version = "0.24.3"
@@ -4022,18 +4019,18 @@ dependencies = [
[[package]]
name = "supports-unicode"
-version = "2.0.0"
+version = "2.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4b6c2cb240ab5dd21ed4906895ee23fe5a48acdbd15a3ce388e7b62a9b66baf7"
+checksum = "f850c19edd184a205e883199a261ed44471c81e39bd95b1357f5febbef00e77a"
dependencies = [
"is-terminal",
]
[[package]]
name = "svg"
-version = "0.14.0"
+version = "0.16.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0d703a3635418d4e4d0e410009ddbfb65047ef9468b1d29afd3b057a5bc4c217"
+checksum = "583e1c5c326fd6fede8797006de3b95ad6bcd60a592952952c5ba7ddd7e84c83"
[[package]]
name = "svg_path_ops"
@@ -4055,33 +4052,33 @@ dependencies = [
[[package]]
name = "svgtypes"
-version = "0.12.0"
+version = "0.14.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d71499ff2d42f59d26edb21369a308ede691421f79ebc0f001e2b1fd3a7c9e52"
+checksum = "59d7618f12b51be8171a7cfdda1e7a93f79cbc57c4e7adf89a749cf671125241"
dependencies = [
- "kurbo",
+ "kurbo 0.10.4",
"siphasher",
]
[[package]]
name = "symphonia"
-version = "0.5.3"
+version = "0.5.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "62e48dba70095f265fdb269b99619b95d04c89e619538138383e63310b14d941"
+checksum = "815c942ae7ee74737bb00f965fa5b5a2ac2ce7b6c01c0cc169bbeaf7abd5f5a9"
dependencies = [
"lazy_static",
"symphonia-codec-adpcm",
"symphonia-codec-pcm",
"symphonia-core",
- "symphonia-format-wav",
+ "symphonia-format-riff",
"symphonia-metadata",
]
[[package]]
name = "symphonia-codec-adpcm"
-version = "0.5.3"
+version = "0.5.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "870e7dc1865d818c7b6318879d060553a73a3b2a3b8443dff90910f10ac41150"
+checksum = "c94e1feac3327cd616e973d5be69ad36b3945f16b06f19c6773fc3ac0b426a0f"
dependencies = [
"log",
"symphonia-core",
@@ -4089,9 +4086,9 @@ dependencies = [
[[package]]
name = "symphonia-codec-pcm"
-version = "0.5.3"
+version = "0.5.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "47f1fbd220a06a641c8ce2ddad10f5ef6ee5cc0c54d9044d25d43b0d3119deaa"
+checksum = "f395a67057c2ebc5e84d7bb1be71cce1a7ba99f64e0f0f0e303a03f79116f89b"
dependencies = [
"log",
"symphonia-core",
@@ -4099,9 +4096,9 @@ dependencies = [
[[package]]
name = "symphonia-core"
-version = "0.5.3"
+version = "0.5.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f7c73eb88fee79705268cc7b742c7bc93a7b76e092ab751d0833866970754142"
+checksum = "798306779e3dc7d5231bd5691f5a813496dc79d3f56bf82e25789f2094e022c3"
dependencies = [
"arrayvec",
"bitflags 1.3.2",
@@ -4111,11 +4108,12 @@ dependencies = [
]
[[package]]
-name = "symphonia-format-wav"
-version = "0.5.3"
+name = "symphonia-format-riff"
+version = "0.5.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "da76614728fa27c003bdcdfbac51396bd8fcbf94c95fe8e62f1d2bac58ef03a4"
+checksum = "05f7be232f962f937f4b7115cbe62c330929345434c834359425e043bfd15f50"
dependencies = [
+ "extended",
"log",
"symphonia-core",
"symphonia-metadata",
@@ -4123,9 +4121,9 @@ dependencies = [
[[package]]
name = "symphonia-metadata"
-version = "0.5.3"
+version = "0.5.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "89c3e1937e31d0e068bbe829f66b2f2bfaa28d056365279e0ef897172c3320c0"
+checksum = "bc622b9841a10089c5b18e99eb904f4341615d5aa55bbf4eedde1be721a4023c"
dependencies = [
"encoding_rs",
"lazy_static",
@@ -4146,9 +4144,9 @@ dependencies = [
[[package]]
name = "syn"
-version = "2.0.39"
+version = "2.0.52"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "23e78b90f2fcf45d3e842032ce32e3f2d1545ba6636271dcbf24fa306d87be7a"
+checksum = "b699d15b36d1f02c3e7c69f8ffef53de37aefae075d8488d4ba1a7788d574a07"
dependencies = [
"proc-macro2",
"quote",
@@ -4164,33 +4162,32 @@ dependencies = [
"cfg-expr",
"heck",
"pkg-config",
- "toml 0.8.2",
+ "toml 0.8.10",
"version-compare",
]
[[package]]
name = "target-lexicon"
-version = "0.12.12"
+version = "0.12.14"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "14c39fd04924ca3a864207c66fc2cd7d22d7c016007f9ce846cbb9326331930a"
+checksum = "e1fc403891a21bcfb7c37834ba66a547a8f402146eba7265b5a6d88059c9ff2f"
[[package]]
name = "temp-dir"
-version = "0.1.11"
+version = "0.1.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "af547b166dd1ea4b472165569fc456cfb6818116f854690b0ff205e636523dab"
+checksum = "dd16aa9ffe15fe021c6ee3766772132c6e98dfa395a167e16864f61a9cfb71d6"
[[package]]
name = "tempfile"
-version = "3.8.1"
+version = "3.10.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7ef1adac450ad7f4b3c28589471ade84f25f731a7a0fe30d71dfa9f60fd808e5"
+checksum = "85b77fafb263dd9d05cbeac119526425676db3784113aa9295c88498cbf8bff1"
dependencies = [
"cfg-if",
- "fastrand 2.0.1",
- "redox_syscall",
- "rustix 0.38.26",
- "windows-sys 0.48.0",
+ "fastrand",
+ "rustix",
+ "windows-sys 0.52.0",
]
[[package]]
@@ -4206,9 +4203,9 @@ dependencies = [
[[package]]
name = "termcolor"
-version = "1.4.0"
+version = "1.4.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ff1bc3d3f05aff0403e8ac0d92ced918ec05b666a43f83297ccef5bea8a3d449"
+checksum = "06794f8f6c5c898b3275aebefa6b8a1cb24cd2c6c79397ab15774837a0bc5755"
dependencies = [
"winapi-util",
]
@@ -4236,29 +4233,39 @@ dependencies = [
[[package]]
name = "thiserror"
-version = "1.0.50"
+version = "1.0.57"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f9a7210f5c9a7156bb50aa36aed4c95afb51df0df00713949448cf9e97d382d2"
+checksum = "1e45bcbe8ed29775f228095caf2cd67af7a4ccf756ebff23a306bf3e8b47b24b"
dependencies = [
"thiserror-impl",
]
[[package]]
name = "thiserror-impl"
-version = "1.0.50"
+version = "1.0.57"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "266b2e40bc00e5a6c09c3584011e08b06f123c00362c92b975ba9843aaaa14b8"
+checksum = "a953cb265bef375dae3de6663da4d3804eee9682ea80d8e2542529b73c531c81"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "thread_local"
+version = "1.1.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8b9ef9bad013ada3808854ceac7b46812a6465ba368859a37e2100283d2d719c"
+dependencies = [
+ "cfg-if",
+ "once_cell",
]
[[package]]
name = "tiff"
-version = "0.9.0"
+version = "0.9.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6d172b0f4d3fba17ba89811858b9d3d97f928aece846475bbda076ca46736211"
+checksum = "ba1310fcea54c6a9a4fd1aad794ecc02c31682f6bfbecdf460bf19533eed1e3e"
dependencies = [
"flate2",
"jpeg-decoder",
@@ -4267,9 +4274,9 @@ dependencies = [
[[package]]
name = "tiny-skia-path"
-version = "0.11.3"
+version = "0.11.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5de35e8a90052baaaf61f171680ac2f8e925a1e43ea9d2e3a00514772250e541"
+checksum = "9c9e7fc0c2e86a30b117d0462aa261b72b7a99b7ebd7deb3a14ceda95c5bdc93"
dependencies = [
"arrayref",
"bytemuck",
@@ -4305,21 +4312,21 @@ dependencies = [
[[package]]
name = "toml"
-version = "0.8.2"
+version = "0.8.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "185d8ab0dfbb35cf1399a6344d8484209c088f75f8f68230da55d48d95d43e3d"
+checksum = "9a9aad4a3066010876e8dcf5a8a06e70a558751117a145c6ce2b82c2e2054290"
dependencies = [
"serde",
"serde_spanned",
"toml_datetime",
- "toml_edit 0.20.2",
+ "toml_edit 0.22.6",
]
[[package]]
name = "toml_datetime"
-version = "0.6.3"
+version = "0.6.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7cda73e2f1397b1262d6dfdcef8aafae14d1de7748d66822d3bfeeb6d03e5e4b"
+checksum = "3550f4e9685620ac18a50ed434eb3aec30db8ba93b0287467bca5826ea25baf1"
dependencies = [
"serde",
]
@@ -4330,24 +4337,35 @@ version = "0.19.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "1b5bb770da30e5cbfde35a2d7b9b8a2c4b8ef89548a7a6aeab5c9a576e3e7421"
dependencies = [
- "indexmap 2.1.0",
+ "indexmap 2.2.5",
"serde",
"serde_spanned",
"toml_datetime",
- "winnow",
+ "winnow 0.5.40",
]
[[package]]
name = "toml_edit"
-version = "0.20.2"
+version = "0.21.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "396e4d48bbb2b7554c944bde63101b5ae446cff6ec4a24227428f15eb72ef338"
+checksum = "6a8534fd7f78b5405e860340ad6575217ce99f38d4d5c8f2442cb5ecb50090e1"
dependencies = [
- "indexmap 2.1.0",
+ "indexmap 2.2.5",
+ "toml_datetime",
+ "winnow 0.5.40",
+]
+
+[[package]]
+name = "toml_edit"
+version = "0.22.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2c1b5fd4128cc8d3e0cb74d4ed9a9cc7c7284becd4df68f5f940e1ad123606f6"
+dependencies = [
+ "indexmap 2.2.5",
"serde",
"serde_spanned",
"toml_datetime",
- "winnow",
+ "winnow 0.6.5",
]
[[package]]
@@ -4357,20 +4375,65 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "c3523ab5a71916ccf420eebdf5521fcef02141234bbc0b8a49f2fdc4544364ef"
dependencies = [
"pin-project-lite",
+ "tracing-attributes",
"tracing-core",
]
+[[package]]
+name = "tracing-attributes"
+version = "0.1.27"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "34704c8d6ebcbc939824180af020566b01a7c01f80641264eba0999f6c2b6be7"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
[[package]]
name = "tracing-core"
version = "0.1.32"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "c06d3da6113f116aaee68e4d601191614c9053067f9ab7f6edbcb161237daa54"
+dependencies = [
+ "once_cell",
+ "valuable",
+]
+
+[[package]]
+name = "tracing-log"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ee855f1f400bd0e5c02d150ae5de3840039a3f54b025156404e34c23c03f47c3"
+dependencies = [
+ "log",
+ "once_cell",
+ "tracing-core",
+]
+
+[[package]]
+name = "tracing-subscriber"
+version = "0.3.18"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ad0f048c97dbd9faa9b7df56362b8ebcaa52adb06b498c050d2f4e32f90a7a8b"
+dependencies = [
+ "matchers",
+ "nu-ansi-term",
+ "once_cell",
+ "regex",
+ "sharded-slab",
+ "smallvec",
+ "thread_local",
+ "tracing",
+ "tracing-core",
+ "tracing-log",
+]
[[package]]
name = "ttf-parser"
-version = "0.19.2"
+version = "0.20.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "49d64318d8311fc2668e48b63969f4343e0a85c4a109aa8460d6672e364b8bd1"
+checksum = "17f77d76d837a7830fe1d4f12b7b4ba4192c1888001c7164257e4bc6d21d96b4"
[[package]]
name = "typenum"
@@ -4431,9 +4494,9 @@ dependencies = [
[[package]]
name = "unicode-bidi"
-version = "0.3.14"
+version = "0.3.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6f2528f27a9eb2b21e69c95319b30bd0efd85d09c379741b0f78ea1d86be2416"
+checksum = "08f95100a766bf4f8f28f90d77e0a5461bbdb219042e7679bebe79004fed8d75"
[[package]]
name = "unicode-bidi-mirroring"
@@ -4461,30 +4524,30 @@ checksum = "3b09c83c3c29d37506a3e260c08c03743a6bb66a9cd432c6934ab501a190571f"
[[package]]
name = "unicode-normalization"
-version = "0.1.22"
+version = "0.1.23"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5c5713f0fc4b5db668a2ac63cdb7bb4469d8c9fed047b1d0292cc7b0ce2ba921"
+checksum = "a56d1686db2308d901306f92a263857ef59ea39678a5458e7cb17f01415101f5"
dependencies = [
"tinyvec",
]
[[package]]
name = "unicode-properties"
-version = "0.1.0"
+version = "0.1.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c7f91c8b21fbbaa18853c3d0801c78f4fc94cdb976699bb03e832e75f7fd22f0"
+checksum = "e4259d9d4425d9f0661581b804cb85fe66a4c631cadd8f490d1c13a35d5d9291"
[[package]]
name = "unicode-script"
-version = "0.5.5"
+version = "0.5.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7d817255e1bed6dfd4ca47258685d14d2bdcfbc64fdc9e3819bd5848057b8ecc"
+checksum = "ad8d71f5726e5f285a935e9fe8edfd53f0491eb6e9a5774097fdabee7cd8c9cd"
[[package]]
name = "unicode-segmentation"
-version = "1.10.1"
+version = "1.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1dd624098567895118886609431a7c3b8f516e41d30e0643f03d94592a147e36"
+checksum = "d4c87d22b6e3f4a18d4d40ef354e97c90fcb14dd91d7dc0aa9d8a1172ebf7202"
[[package]]
name = "unicode-vo"
@@ -4511,63 +4574,29 @@ dependencies = [
[[package]]
name = "usvg"
-version = "0.36.0"
+version = "0.40.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c51daa774fe9ee5efcf7b4fec13019b8119cda764d9a8b5b06df02bb1445c656"
+checksum = "c04150a94f0bfc3b2c15d4e151524d14cd06765fc6641d8b1c59a248360d4474"
dependencies = [
"base64",
- "log",
- "pico-args",
- "usvg-parser",
- "usvg-text-layout",
- "usvg-tree",
- "xmlwriter",
-]
-
-[[package]]
-name = "usvg-parser"
-version = "0.36.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "45c88a5ffaa338f0e978ecf3d4e00d8f9f493e29bed0752e1a808a1db16afc40"
-dependencies = [
"data-url",
"flate2",
+ "fontdb",
"imagesize",
- "kurbo",
+ "kurbo 0.9.5",
"log",
- "roxmltree 0.18.1",
+ "pico-args",
+ "roxmltree",
+ "rustybuzz",
"simplecss",
"siphasher",
- "svgtypes 0.12.0",
- "usvg-tree",
-]
-
-[[package]]
-name = "usvg-text-layout"
-version = "0.36.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4d2374378cb7a3fb8f33894e0fdb8625e1bbc4f25312db8d91f862130b541593"
-dependencies = [
- "fontdb",
- "kurbo",
- "log",
- "rustybuzz",
+ "strict-num",
+ "svgtypes 0.14.0",
+ "tiny-skia-path",
"unicode-bidi",
"unicode-script",
"unicode-vo",
- "usvg-tree",
-]
-
-[[package]]
-name = "usvg-tree"
-version = "0.36.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6cacb0c5edeaf3e80e5afcf5b0d4004cc1d36318befc9a7c6606507e5d0f4062"
-dependencies = [
- "rctree",
- "strict-num",
- "svgtypes 0.12.0",
- "tiny-skia-path",
+ "xmlwriter",
]
[[package]]
@@ -4582,6 +4611,12 @@ version = "0.2.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "711b9620af191e0cdc7468a8d14e709c3dcdb115b36f838e601583af800a370a"
+[[package]]
+name = "valuable"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "830b7e5d4d90034032940e4ace0d9a9a057e7a45cd94e6c007832e39edb82f6d"
+
[[package]]
name = "version-compare"
version = "0.1.1"
@@ -4594,17 +4629,11 @@ version = "0.9.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "49874b5167b65d7193b8aba1567f5c7d93d001cafc34600cee003eda787e483f"
-[[package]]
-name = "waker-fn"
-version = "1.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f3c4517f54858c779bbcbf228f4fca63d121bf85fbecb2dc578cdf4a39395690"
-
[[package]]
name = "walkdir"
-version = "2.4.0"
+version = "2.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d71d857dc86794ca4c280d616f7da00d2dbfd8cd788846559a6813e6aa4b54ee"
+checksum = "29790946404f91d9c5d06f9874efddea1dc06c5efe94541a7d6863108e3a5e4b"
dependencies = [
"same-file",
"winapi-util",
@@ -4618,9 +4647,9 @@ checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
[[package]]
name = "wasm-bindgen"
-version = "0.2.89"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0ed0d4f68a3015cc185aff4db9506a015f4b96f95303897bfa23f846db54064e"
+checksum = "4be2531df63900aeb2bca0daaaddec08491ee64ceecbee5076636a3b026795a8"
dependencies = [
"cfg-if",
"wasm-bindgen-macro",
@@ -4628,24 +4657,24 @@ dependencies = [
[[package]]
name = "wasm-bindgen-backend"
-version = "0.2.89"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1b56f625e64f3a1084ded111c4d5f477df9f8c92df113852fa5a374dbda78826"
+checksum = "614d787b966d3989fa7bb98a654e369c762374fd3213d212cfc0251257e747da"
dependencies = [
"bumpalo",
"log",
"once_cell",
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.52",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-futures"
-version = "0.4.39"
+version = "0.4.42"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ac36a15a220124ac510204aec1c3e5db8a22ab06fd6706d881dc6149f8ed9a12"
+checksum = "76bc14366121efc8dbb487ab05bcc9d346b3b5ec0eaa76e46594cabbe51762c0"
dependencies = [
"cfg-if",
"js-sys",
@@ -4655,9 +4684,9 @@ dependencies = [
[[package]]
name = "wasm-bindgen-macro"
-version = "0.2.89"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0162dbf37223cd2afce98f3d0785506dcb8d266223983e4b5b525859e6e182b2"
+checksum = "a1f8823de937b71b9460c0c34e25f3da88250760bec0ebac694b49997550d726"
dependencies = [
"quote",
"wasm-bindgen-macro-support",
@@ -4665,28 +4694,28 @@ dependencies = [
[[package]]
name = "wasm-bindgen-macro-support"
-version = "0.2.89"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f0eb82fcb7930ae6219a7ecfd55b217f5f0893484b7a13022ebb2b2bf20b5283"
+checksum = "e94f17b526d0a461a191c78ea52bbce64071ed5c04c9ffe424dcb38f74171bb7"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.52",
"wasm-bindgen-backend",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-shared"
-version = "0.2.89"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7ab9b36309365056cd639da3134bf87fa8f3d86008abf99e612384a6eecd459f"
+checksum = "af190c94f2773fdb3729c55b007a722abb5384da03bc0986df4c289bf5567e96"
[[package]]
name = "web-sys"
-version = "0.3.66"
+version = "0.3.69"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "50c24a44ec86bb68fbecd1b3efed7e85ea5621b39b35ef2766b66cd984f8010f"
+checksum = "77afa9a11836342370f4817622a2f0f418b134426d91a82dfb48f532d2ec13ef"
dependencies = [
"js-sys",
"wasm-bindgen",
@@ -4694,9 +4723,9 @@ dependencies = [
[[package]]
name = "weezl"
-version = "0.1.7"
+version = "0.1.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9193164d4de03a926d909d3bc7c30543cecb35400c02114792c2cae20d5e2dbb"
+checksum = "53a85b86a771b1c87058196170769dd264f66c0782acf1ae6cc51bfd64b39082"
[[package]]
name = "which"
@@ -4707,14 +4736,14 @@ dependencies = [
"either",
"home",
"once_cell",
- "rustix 0.38.26",
+ "rustix",
]
[[package]]
name = "wide"
-version = "0.7.13"
+version = "0.7.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c68938b57b33da363195412cfc5fc37c9ed49aa9cfe2156fde64b8d2c9498242"
+checksum = "89beec544f246e679fc25490e3f8e08003bc4bf612068f325120dad4cea02c1c"
dependencies = [
"bytemuck",
"safe_arch",
@@ -4753,20 +4782,40 @@ checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
[[package]]
name = "windows"
-version = "0.46.0"
+version = "0.54.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cdacb41e6a96a052c6cb63a144f24900236121c6f63f4f8219fef5977ecb0c25"
+checksum = "9252e5725dbed82865af151df558e754e4a3c2c30818359eb17465f1346a1b49"
dependencies = [
- "windows-targets 0.42.2",
+ "windows-core 0.54.0",
+ "windows-targets 0.52.4",
]
[[package]]
name = "windows-core"
-version = "0.51.1"
+version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f1f8cf84f35d2db49a46868f947758c7a1138116f7fac3bc844f43ade1292e64"
+checksum = "33ab640c8d7e35bf8ba19b884ba838ceb4fba93a4e8c65a9059d08afcfc683d9"
dependencies = [
- "windows-targets 0.48.5",
+ "windows-targets 0.52.4",
+]
+
+[[package]]
+name = "windows-core"
+version = "0.54.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "12661b9c89351d684a50a8a643ce5f608e20243b9fb84687800163429f161d65"
+dependencies = [
+ "windows-result",
+ "windows-targets 0.52.4",
+]
+
+[[package]]
+name = "windows-result"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cd19df78e5168dfb0aedc343d1d1b8d422ab2db6756d2dc3fef75035402a3f64"
+dependencies = [
+ "windows-targets 0.52.4",
]
[[package]]
@@ -4793,7 +4842,7 @@ version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "282be5f36a8ce781fad8c8ae18fa3f9beff57ec1b52cb3de0789201425d9a33d"
dependencies = [
- "windows-targets 0.52.0",
+ "windows-targets 0.52.4",
]
[[package]]
@@ -4828,17 +4877,17 @@ dependencies = [
[[package]]
name = "windows-targets"
-version = "0.52.0"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8a18201040b24831fbb9e4eb208f8892e1f50a37feb53cc7ff887feb8f50e7cd"
+checksum = "7dd37b7e5ab9018759f893a1952c9420d060016fc19a472b4bb20d1bdd694d1b"
dependencies = [
- "windows_aarch64_gnullvm 0.52.0",
- "windows_aarch64_msvc 0.52.0",
- "windows_i686_gnu 0.52.0",
- "windows_i686_msvc 0.52.0",
- "windows_x86_64_gnu 0.52.0",
- "windows_x86_64_gnullvm 0.52.0",
- "windows_x86_64_msvc 0.52.0",
+ "windows_aarch64_gnullvm 0.52.4",
+ "windows_aarch64_msvc 0.52.4",
+ "windows_i686_gnu 0.52.4",
+ "windows_i686_msvc 0.52.4",
+ "windows_x86_64_gnu 0.52.4",
+ "windows_x86_64_gnullvm 0.52.4",
+ "windows_x86_64_msvc 0.52.4",
]
[[package]]
@@ -4855,9 +4904,9 @@ checksum = "2b38e32f0abccf9987a4e3079dfb67dcd799fb61361e53e2882c3cbaf0d905d8"
[[package]]
name = "windows_aarch64_gnullvm"
-version = "0.52.0"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cb7764e35d4db8a7921e09562a0304bf2f93e0a51bfccee0bd0bb0b666b015ea"
+checksum = "bcf46cf4c365c6f2d1cc93ce535f2c8b244591df96ceee75d8e83deb70a9cac9"
[[package]]
name = "windows_aarch64_msvc"
@@ -4873,9 +4922,9 @@ checksum = "dc35310971f3b2dbbf3f0690a219f40e2d9afcf64f9ab7cc1be722937c26b4bc"
[[package]]
name = "windows_aarch64_msvc"
-version = "0.52.0"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bbaa0368d4f1d2aaefc55b6fcfee13f41544ddf36801e793edbbfd7d7df075ef"
+checksum = "da9f259dd3bcf6990b55bffd094c4f7235817ba4ceebde8e6d11cd0c5633b675"
[[package]]
name = "windows_i686_gnu"
@@ -4891,9 +4940,9 @@ checksum = "a75915e7def60c94dcef72200b9a8e58e5091744960da64ec734a6c6e9b3743e"
[[package]]
name = "windows_i686_gnu"
-version = "0.52.0"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a28637cb1fa3560a16915793afb20081aba2c92ee8af57b4d5f28e4b3e7df313"
+checksum = "b474d8268f99e0995f25b9f095bc7434632601028cf86590aea5c8a5cb7801d3"
[[package]]
name = "windows_i686_msvc"
@@ -4909,9 +4958,9 @@ checksum = "8f55c233f70c4b27f66c523580f78f1004e8b5a8b659e05a4eb49d4166cca406"
[[package]]
name = "windows_i686_msvc"
-version = "0.52.0"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ffe5e8e31046ce6230cc7215707b816e339ff4d4d67c65dffa206fd0f7aa7b9a"
+checksum = "1515e9a29e5bed743cb4415a9ecf5dfca648ce85ee42e15873c3cd8610ff8e02"
[[package]]
name = "windows_x86_64_gnu"
@@ -4927,9 +4976,9 @@ checksum = "53d40abd2583d23e4718fddf1ebec84dbff8381c07cae67ff7768bbf19c6718e"
[[package]]
name = "windows_x86_64_gnu"
-version = "0.52.0"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3d6fa32db2bc4a2f5abeacf2b69f7992cd09dca97498da74a151a3132c26befd"
+checksum = "5eee091590e89cc02ad514ffe3ead9eb6b660aedca2183455434b93546371a03"
[[package]]
name = "windows_x86_64_gnullvm"
@@ -4945,9 +4994,9 @@ checksum = "0b7b52767868a23d5bab768e390dc5f5c55825b6d30b86c844ff2dc7414044cc"
[[package]]
name = "windows_x86_64_gnullvm"
-version = "0.52.0"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1a657e1e9d3f514745a572a6846d3c7aa7dbe1658c056ed9c3344c4109a6949e"
+checksum = "77ca79f2451b49fa9e2af39f0747fe999fcda4f5e241b2898624dca97a1f2177"
[[package]]
name = "windows_x86_64_msvc"
@@ -4963,15 +5012,24 @@ checksum = "ed94fce61571a4006852b7389a063ab983c02eb1bb37b47f8272ce92d06d9538"
[[package]]
name = "windows_x86_64_msvc"
-version = "0.52.0"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dff9641d1cd4be8d1a070daf9e3773c5f67e78b4d9d42263020c057706765c04"
+checksum = "32b752e52a2da0ddfbdbcc6fceadfeede4c939ed16d13e648833a61dfb611ed8"
[[package]]
name = "winnow"
-version = "0.5.25"
+version = "0.5.40"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b7e87b8dfbe3baffbe687eef2e164e32286eff31a5ee16463ce03d991643ec94"
+checksum = "f593a95398737aeed53e489c785df13f3618e41dbcd6718c6addbf1395aa6876"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "winnow"
+version = "0.6.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dffa400e67ed5a4dd237983829e66475f0a4a26938c4b04c21baede6262215b8"
dependencies = [
"memchr",
]
@@ -5003,12 +5061,6 @@ dependencies = [
"markup5ever",
]
-[[package]]
-name = "xmlparser"
-version = "0.13.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "66fee0b777b0f5ac1c69bb06d361268faafa61cd4682ae064a171c16c433e9e4"
-
[[package]]
name = "xmlwriter"
version = "0.1.0"
@@ -5017,22 +5069,22 @@ checksum = "ec7a2a501ed189703dba8b08142f057e887dfc4b2cc4db2d343ac6376ba3e0b9"
[[package]]
name = "zerocopy"
-version = "0.7.29"
+version = "0.7.32"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5d075cf85bbb114e933343e087b92f2146bac0d55b534cbb8188becf0039948e"
+checksum = "74d4d3961e53fa4c9a25a8637fc2bfaf2595b3d3ae34875568a5cf64787716be"
dependencies = [
"zerocopy-derive",
]
[[package]]
name = "zerocopy-derive"
-version = "0.7.29"
+version = "0.7.32"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "86cd5ca076997b97ef09d3ad65efe811fa68c9e874cb636ccb211223a813b0c2"
+checksum = "9ce1b18ccd8e73a9321186f97e46f9f04b778851177567b1975109d26a08d2a6"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.52",
]
[[package]]
diff --git a/pkgs/applications/graphics/rnote/default.nix b/pkgs/applications/graphics/rnote/default.nix
index 7940e574dc50..cf4c2a54af07 100644
--- a/pkgs/applications/graphics/rnote/default.nix
+++ b/pkgs/applications/graphics/rnote/default.nix
@@ -2,6 +2,7 @@
, stdenv
, fetchFromGitHub
, alsa-lib
+, appstream
, appstream-glib
, cargo
, cmake
@@ -26,20 +27,20 @@
stdenv.mkDerivation rec {
pname = "rnote";
- version = "0.9.4";
+ version = "0.10.1";
src = fetchFromGitHub {
owner = "flxzt";
repo = "rnote";
rev = "v${version}";
- hash = "sha256-twysPSuCu++dVqoRKTNSvxwrO1ljUu4k2vPZEBkaj10=";
+ hash = "sha256-J9M1d6C40EpqcSU5vYVfsCruhECkPJOdhzG2IX1tTQ0=";
};
cargoDeps = rustPlatform.importCargoLock {
lockFile = ./Cargo.lock;
outputHashes = {
"ink-stroke-modeler-rs-0.1.0" = "sha256-WfZwezohm8+ZXiKZlssTX+b/Izk1M4jFwxQejeTfc6M=";
- "piet-0.6.2" = "sha256-WrQok0T7uVQEp8SvNWlgqwQHfS7q0510bnP1ecr+s1Q=";
+ "piet-0.6.2" = "sha256-3juXzuKwoLuxia6MoVwbcBJ3jXBQ9QRNVoxo3yFp2Iw=";
};
};
@@ -67,6 +68,7 @@ stdenv.mkDerivation rec {
];
buildInputs = [
+ appstream
glib
gstreamer
gtk4
diff --git a/pkgs/applications/graphics/round/default.nix b/pkgs/applications/graphics/round/default.nix
index a41ecc8db73d..b65567dcab40 100644
--- a/pkgs/applications/graphics/round/default.nix
+++ b/pkgs/applications/graphics/round/default.nix
@@ -23,5 +23,6 @@ buildGoModule rec {
homepage = "https://github.com/mingrammer/round";
license = licenses.mit;
maintainers = with maintainers; [ addict3d ];
+ mainProgram = "round";
};
}
diff --git a/pkgs/applications/graphics/rx/default.nix b/pkgs/applications/graphics/rx/default.nix
index fe3d10bae635..77ed3f49117c 100644
--- a/pkgs/applications/graphics/rx/default.nix
+++ b/pkgs/applications/graphics/rx/default.nix
@@ -37,6 +37,7 @@ rustPlatform.buildRustPackage rec {
meta = {
description = "Modern and extensible pixel editor implemented in Rust";
+ mainProgram = "rx";
homepage = "https://rx.cloudhead.io/";
license = licenses.gpl3;
maintainers = with maintainers; [ minijackson Br1ght0ne ];
diff --git a/pkgs/applications/graphics/sane/backends/airscan/default.nix b/pkgs/applications/graphics/sane/backends/airscan/default.nix
index d88c7352d574..83530518aa7d 100644
--- a/pkgs/applications/graphics/sane/backends/airscan/default.nix
+++ b/pkgs/applications/graphics/sane/backends/airscan/default.nix
@@ -1,22 +1,23 @@
-{ lib, stdenv, fetchFromGitHub, meson, ninja, pkg-config, avahi, libjpeg, libpng
+{ lib, stdenv, fetchFromGitHub, meson, ninja, pkg-config, avahi, libjpeg, libpng, libtiff
, libxml2, gnutls, sane-backends }:
stdenv.mkDerivation rec {
pname = "sane-airscan";
- version = "0.99.27";
+ version = "0.99.29";
nativeBuildInputs = [ meson ninja pkg-config ];
- buildInputs = [ avahi gnutls libjpeg libpng libxml2 sane-backends ];
+ buildInputs = [ avahi gnutls libjpeg libpng libxml2 libtiff sane-backends ];
src = fetchFromGitHub {
owner = "alexpevzner";
repo = pname;
rev = version;
- sha256 = "sha256-29IPoLF4rmq8sGTi5RmpT1Fq8RJJlaepTt+2GWDU3es=";
+ sha256 = "sha256-9ErTC9NztyO9o6y2FjQPl2lu1gICasZYm2tnaCVCLt8=";
};
meta = with lib; {
homepage = "https://github.com/alexpevzner/sane-airscan";
description = "Scanner Access Now Easy - Apple AirScan (eSCL) driver";
+ mainProgram = "airscan-discover";
longDescription = ''
sane-airscan: Linux support of Apple AirScan (eSCL) compatible document scanners.
'';
diff --git a/pkgs/applications/graphics/sane/xsane.nix b/pkgs/applications/graphics/sane/xsane.nix
index 471e2141b5b8..e9a1ca2c40de 100644
--- a/pkgs/applications/graphics/sane/xsane.nix
+++ b/pkgs/applications/graphics/sane/xsane.nix
@@ -48,6 +48,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "http://www.sane-project.org/";
description = "Graphical scanning frontend for sane";
+ mainProgram = "xsane";
license = licenses.gpl2Plus;
platforms = platforms.linux;
maintainers = with maintainers; [ melling ];
diff --git a/pkgs/applications/graphics/scantailor/advanced.nix b/pkgs/applications/graphics/scantailor/advanced.nix
index 2ab5c5562670..b83b9df83b01 100644
--- a/pkgs/applications/graphics/scantailor/advanced.nix
+++ b/pkgs/applications/graphics/scantailor/advanced.nix
@@ -19,6 +19,7 @@ mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/vigri/scantailor-advanced";
description = "Interactive post-processing tool for scanned pages (vigri's fork)";
+ mainProgram = "scantailor";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ ];
platforms = with platforms; gnu ++ linux ++ darwin;
diff --git a/pkgs/applications/graphics/shotwell/default.nix b/pkgs/applications/graphics/shotwell/default.nix
index d93423e07521..e6d6eb41b9e0 100644
--- a/pkgs/applications/graphics/shotwell/default.nix
+++ b/pkgs/applications/graphics/shotwell/default.nix
@@ -11,7 +11,6 @@
, libxml2
, vala
, sqlite
-, webkitgtk_4_1
, pkg-config
, gnome
, gst_all_1
@@ -40,11 +39,11 @@
stdenv.mkDerivation (finalAttrs: {
pname = "shotwell";
- version = "0.32.4";
+ version = "0.32.6";
src = fetchurl {
url = "mirror://gnome/sources/shotwell/${lib.versions.majorMinor finalAttrs.version}/shotwell-${finalAttrs.version}.tar.xz";
- sha256 = "sha256-3iqUUIRtHOwUxqEDA3X9SeGvJNySCtZIA0QST5zLhW8=";
+ sha256 = "sha256-dZek/6yR4YzYFEsS8tCDE6P0Bbs2gkOnMmgm99kqcLY=";
};
nativeBuildInputs = [
@@ -67,7 +66,6 @@ stdenv.mkDerivation (finalAttrs: {
libsoup_3
libxml2
sqlite
- webkitgtk_4_1
gst_all_1.gstreamer
gst_all_1.gst-libav
gst_all_1.gst-plugins-base
@@ -98,6 +96,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "Popular photo organizer for the GNOME desktop";
+ mainProgram = "shotwell";
homepage = "https://wiki.gnome.org/Apps/Shotwell";
license = licenses.lgpl21Plus;
maintainers = with maintainers; [];
diff --git a/pkgs/applications/graphics/shutter/default.nix b/pkgs/applications/graphics/shutter/default.nix
index e5659fb7fe2a..d10e8487796f 100644
--- a/pkgs/applications/graphics/shutter/default.nix
+++ b/pkgs/applications/graphics/shutter/default.nix
@@ -104,6 +104,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Screenshot and annotation tool";
+ mainProgram = "shutter";
homepage = "https://shutter-project.org/";
license = licenses.gpl3Plus;
platforms = platforms.all;
diff --git a/pkgs/applications/graphics/smartdeblur/default.nix b/pkgs/applications/graphics/smartdeblur/default.nix
index 51c0f306f92d..592244455e98 100644
--- a/pkgs/applications/graphics/smartdeblur/default.nix
+++ b/pkgs/applications/graphics/smartdeblur/default.nix
@@ -28,6 +28,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/Y-Vladimir/SmartDeblur";
description = "Tool for restoring blurry and defocused images";
+ mainProgram = "SmartDeblur";
license = licenses.gpl3;
maintainers = with maintainers; [ ];
platforms = platforms.linux;
diff --git a/pkgs/applications/graphics/structorizer/default.nix b/pkgs/applications/graphics/structorizer/default.nix
index ca3b17a3ed37..6bf2cf24d80e 100644
--- a/pkgs/applications/graphics/structorizer/default.nix
+++ b/pkgs/applications/graphics/structorizer/default.nix
@@ -10,7 +10,7 @@
stdenv.mkDerivation rec {
pname = "structorizer";
- version = "3.32-15";
+ version = "3.32-18";
desktopItems = [
(makeDesktopItem {
@@ -38,7 +38,7 @@ stdenv.mkDerivation rec {
owner = "fesch";
repo = "Structorizer.Desktop";
rev = version;
- hash = "sha256-ZCVvMvbXMQIcZRk1F7QiRtNeuLicHe/aEvwp4FvhwoM=";
+ hash = "sha256-CA87j11TFUd0nmuPc1qyqdITkTPE/jauf31cO2iBQVg=";
};
patches = [ ./makeStructorizer.patch ./makeBigJar.patch ];
diff --git a/pkgs/applications/graphics/swingsane/default.nix b/pkgs/applications/graphics/swingsane/default.nix
index 6d2b6e4bb7a7..998c3d211031 100644
--- a/pkgs/applications/graphics/swingsane/default.nix
+++ b/pkgs/applications/graphics/swingsane/default.nix
@@ -58,5 +58,6 @@ stdenv.mkDerivation rec {
sourceProvenance = with sourceTypes; [ binaryBytecode ];
license = licenses.asl20;
platforms = platforms.all;
+ mainProgram = "swingsane";
};
}
diff --git a/pkgs/applications/graphics/sxiv/default.nix b/pkgs/applications/graphics/sxiv/default.nix
index 65450d515668..f3d7086d4a09 100644
--- a/pkgs/applications/graphics/sxiv/default.nix
+++ b/pkgs/applications/graphics/sxiv/default.nix
@@ -30,5 +30,6 @@ stdenv.mkDerivation rec {
license = lib.licenses.gpl2Plus;
platforms = lib.platforms.linux;
maintainers = with maintainers; [ h7x4 ];
+ mainProgram = "sxiv";
};
}
diff --git a/pkgs/applications/graphics/symbolic-preview/default.nix b/pkgs/applications/graphics/symbolic-preview/default.nix
index b73d481966b1..6f3103ecb8a6 100644
--- a/pkgs/applications/graphics/symbolic-preview/default.nix
+++ b/pkgs/applications/graphics/symbolic-preview/default.nix
@@ -20,6 +20,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://gitlab.gnome.org/World/design/symbolic-preview";
description = "Symbolics made easy";
+ mainProgram = "symbolic-preview";
maintainers = with maintainers; [ qyliss ];
license = licenses.gpl3Plus;
platforms = platforms.unix;
diff --git a/pkgs/applications/graphics/tesseract/tesseract3.nix b/pkgs/applications/graphics/tesseract/tesseract3.nix
index bf19d0f77eb6..d796e506a877 100644
--- a/pkgs/applications/graphics/tesseract/tesseract3.nix
+++ b/pkgs/applications/graphics/tesseract/tesseract3.nix
@@ -44,5 +44,6 @@ stdenv.mkDerivation rec {
license = lib.licenses.asl20;
maintainers = with lib.maintainers; [ viric erikarvstedt ];
platforms = with lib.platforms; linux ++ darwin;
+ mainProgram = "tesseract";
};
}
diff --git a/pkgs/applications/graphics/tesseract/tesseract4.nix b/pkgs/applications/graphics/tesseract/tesseract4.nix
index 5bd682988526..88cda12a9c5e 100644
--- a/pkgs/applications/graphics/tesseract/tesseract4.nix
+++ b/pkgs/applications/graphics/tesseract/tesseract4.nix
@@ -49,5 +49,6 @@ stdenv.mkDerivation rec {
license = lib.licenses.asl20;
maintainers = with lib.maintainers; [ viric erikarvstedt ];
platforms = with lib.platforms; linux ++ darwin;
+ mainProgram = "tesseract";
};
}
diff --git a/pkgs/applications/graphics/tev/default.nix b/pkgs/applications/graphics/tev/default.nix
index ff97eb65f17c..ca70027eb202 100644
--- a/pkgs/applications/graphics/tev/default.nix
+++ b/pkgs/applications/graphics/tev/default.nix
@@ -35,6 +35,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A high dynamic range (HDR) image comparison tool";
+ mainProgram = "tev";
longDescription = ''
A high dynamic range (HDR) image comparison tool for graphics people. tev
allows viewing images through various tonemapping operators and inspecting
diff --git a/pkgs/applications/graphics/timelapse-deflicker/default.nix b/pkgs/applications/graphics/timelapse-deflicker/default.nix
index f1757d51b1b3..511ed8a0134a 100644
--- a/pkgs/applications/graphics/timelapse-deflicker/default.nix
+++ b/pkgs/applications/graphics/timelapse-deflicker/default.nix
@@ -25,6 +25,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Simple script to deflicker images taken for timelapses";
+ mainProgram = "timelapse-deflicker";
homepage = "https://github.com/cyberang3l/timelapse-deflicker";
license = licenses.gpl3;
maintainers = with maintainers; [ valeriangalliat ];
diff --git a/pkgs/applications/graphics/unigine-superposition/default.nix b/pkgs/applications/graphics/unigine-superposition/default.nix
index bc8e128ba44a..07813cbc415e 100644
--- a/pkgs/applications/graphics/unigine-superposition/default.nix
+++ b/pkgs/applications/graphics/unigine-superposition/default.nix
@@ -143,5 +143,6 @@ buildFHSEnv {
license = lib.licenses.unfree;
maintainers = [ lib.maintainers.BarinovMaxim ];
platforms = [ "x86_64-linux" ];
+ mainProgram = "Superposition";
};
}
diff --git a/pkgs/applications/graphics/upscayl/default.nix b/pkgs/applications/graphics/upscayl/default.nix
index 24b8bc1483b2..9675f4cf17dc 100644
--- a/pkgs/applications/graphics/upscayl/default.nix
+++ b/pkgs/applications/graphics/upscayl/default.nix
@@ -4,11 +4,11 @@
lib,
}: let
pname = "upscayl";
- version = "2.9.8";
+ version = "2.10.0";
src = fetchurl {
url = "https://github.com/upscayl/upscayl/releases/download/v${version}/upscayl-${version}-linux.AppImage";
- hash = "sha256-hLK9AX87WbJdKTV/rzEzNeaUWeDz1+bvp/R2LkjHp+w=";
+ hash = "sha256-nRYNYNHIkbvvQZd1zRDCCsCadgRgV/yn9WfaKjt44O8=";
};
appimageContents = appimageTools.extractType2 {
@@ -38,5 +38,6 @@ in
maintainers = with maintainers; [icy-thought];
license = licenses.agpl3Plus;
platforms = platforms.linux;
+ mainProgram = "upscayl";
};
}
diff --git a/pkgs/applications/graphics/vengi-tools/default.nix b/pkgs/applications/graphics/vengi-tools/default.nix
index 23b6f5009ce4..1c3bf8b6ede3 100644
--- a/pkgs/applications/graphics/vengi-tools/default.nix
+++ b/pkgs/applications/graphics/vengi-tools/default.nix
@@ -27,15 +27,15 @@
, nixosTests
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "vengi-tools";
- version = "0.0.28";
+ version = "0.0.29";
src = fetchFromGitHub {
owner = "mgerhardy";
repo = "vengi";
- rev = "v${version}";
- hash = "sha256-UjSm/J/y7MUg3Exmw0P56+bcjiLxXdGS2brocdzgJ+c=";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-VGgmJPNLEsD1y6e6CRw1Wipmy9MKAQkydyHNNjPyvhQ=";
};
nativeBuildInputs = [
@@ -107,4 +107,4 @@ stdenv.mkDerivation rec {
maintainers = with maintainers; [ fgaz ];
platforms = platforms.all;
};
-}
+})
diff --git a/pkgs/applications/graphics/vengi-tools/test-voxconvert-all-formats.nix b/pkgs/applications/graphics/vengi-tools/test-voxconvert-all-formats.nix
index fc99ceb07144..c3e6e47adfba 100644
--- a/pkgs/applications/graphics/vengi-tools/test-voxconvert-all-formats.nix
+++ b/pkgs/applications/graphics/vengi-tools/test-voxconvert-all-formats.nix
@@ -9,7 +9,7 @@ stdenv.mkDerivation {
mkdir $out
for format in vox qef qbt qb vxm vxr binvox gox cub vxl csv; do
echo Testing $format export
- ${vengi-tools}/bin/vengi-voxconvert --input ${vengi-tools.src}/data/voxedit/chr_knight.qb --output $out/chr_knight.$format
+ ${vengi-tools}/bin/vengi-voxconvert --input ${vengi-tools.src}/data/tests/chr_knight.qb --output $out/chr_knight.$format
done
'';
}
diff --git a/pkgs/applications/graphics/vengi-tools/test-voxconvert-roundtrip.nix b/pkgs/applications/graphics/vengi-tools/test-voxconvert-roundtrip.nix
index a6fbb65fedfd..a683bff7a10b 100644
--- a/pkgs/applications/graphics/vengi-tools/test-voxconvert-roundtrip.nix
+++ b/pkgs/applications/graphics/vengi-tools/test-voxconvert-roundtrip.nix
@@ -6,7 +6,7 @@ stdenv.mkDerivation {
name = "vengi-tools-test-voxconvert-roundtrip";
meta.timeout = 10;
buildCommand = ''
- ${vengi-tools}/bin/vengi-voxconvert --input ${vengi-tools.src}/data/voxedit/chr_knight.qb --output chr_knight.vox
+ ${vengi-tools}/bin/vengi-voxconvert --input ${vengi-tools.src}/data/tests/chr_knight.qb --output chr_knight.vox
${vengi-tools}/bin/vengi-voxconvert --input chr_knight.vox --output chr_knight.qb
${vengi-tools}/bin/vengi-voxconvert --input chr_knight.qb --output chr_knight1.vox
diff chr_knight.vox chr_knight1.vox
diff --git a/pkgs/applications/graphics/veusz/default.nix b/pkgs/applications/graphics/veusz/default.nix
index d630ceda6a58..67f5a76e5e97 100644
--- a/pkgs/applications/graphics/veusz/default.nix
+++ b/pkgs/applications/graphics/veusz/default.nix
@@ -62,6 +62,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "A scientific plotting and graphing program with a GUI";
+ mainProgram = "veusz";
homepage = "https://veusz.github.io/";
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/graphics/viewnior/default.nix b/pkgs/applications/graphics/viewnior/default.nix
index 7f90c815837a..bfd707f55132 100644
--- a/pkgs/applications/graphics/viewnior/default.nix
+++ b/pkgs/applications/graphics/viewnior/default.nix
@@ -58,5 +58,6 @@ stdenv.mkDerivation rec {
homepage = "https://siyanpanayotov.com/project/viewnior/";
maintainers = with maintainers; [ smironov artturin ];
platforms = platforms.gnu ++ platforms.linux;
+ mainProgram = "viewnior";
};
}
diff --git a/pkgs/applications/graphics/vpv/default.nix b/pkgs/applications/graphics/vpv/default.nix
index 27957a5fa86f..1bbdbd4a35e7 100644
--- a/pkgs/applications/graphics/vpv/default.nix
+++ b/pkgs/applications/graphics/vpv/default.nix
@@ -59,5 +59,6 @@ stdenv.mkDerivation (finalAttrs: {
maintainers = [ lib.maintainers.kidanger ];
license = lib.licenses.gpl3;
broken = stdenv.isDarwin; # the CMake expects the SDL2::SDL2main target for darwin
+ mainProgram = "vpv";
};
})
diff --git a/pkgs/applications/graphics/weylus/default.nix b/pkgs/applications/graphics/weylus/default.nix
index 27021eab2132..36647a62aef2 100644
--- a/pkgs/applications/graphics/weylus/default.nix
+++ b/pkgs/applications/graphics/weylus/default.nix
@@ -99,6 +99,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
broken = stdenv.isDarwin;
description = "Use your tablet as graphic tablet/touch screen on your computer";
+ mainProgram = "weylus";
homepage = "https://github.com/H-M-H/Weylus";
license = with licenses; [ agpl3Only ];
maintainers = with maintainers; [ lom ];
diff --git a/pkgs/applications/graphics/wings/default.nix b/pkgs/applications/graphics/wings/default.nix
index 094a483ae7b8..87e0a47cc5ae 100644
--- a/pkgs/applications/graphics/wings/default.nix
+++ b/pkgs/applications/graphics/wings/default.nix
@@ -50,5 +50,6 @@ stdenv.mkDerivation rec {
license = lib.licenses.tcltk;
maintainers = with lib.maintainers; [ viric ];
platforms = with lib.platforms; linux;
+ mainProgram = "wings";
};
}
diff --git a/pkgs/applications/graphics/xaos/default.nix b/pkgs/applications/graphics/xaos/default.nix
index e79f322be5e4..dd717223fdbb 100644
--- a/pkgs/applications/graphics/xaos/default.nix
+++ b/pkgs/applications/graphics/xaos/default.nix
@@ -42,6 +42,7 @@ in stdenv.mkDerivation rec {
meta = src.meta // {
description = "Real-time interactive fractal zoomer";
+ mainProgram = "xaos";
homepage = "https://xaos-project.github.io/";
license = lib.licenses.gpl2Plus;
platforms = [ "x86_64-linux" ];
diff --git a/pkgs/applications/graphics/xfig/default.nix b/pkgs/applications/graphics/xfig/default.nix
index 00e736731f2f..cb96daeb1270 100644
--- a/pkgs/applications/graphics/xfig/default.nix
+++ b/pkgs/applications/graphics/xfig/default.nix
@@ -61,6 +61,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
changelog = "https://sourceforge.net/p/mcj/xfig/ci/${version}/tree/CHANGES";
description = "An interactive drawing tool for X11";
+ mainProgram = "xfig";
longDescription = ''
Note that you need to have the netpbm tools
in your path to export bitmaps.
diff --git a/pkgs/applications/graphics/xfractint/default.nix b/pkgs/applications/graphics/xfractint/default.nix
index c43127bfa993..d4873dd92689 100644
--- a/pkgs/applications/graphics/xfractint/default.nix
+++ b/pkgs/applications/graphics/xfractint/default.nix
@@ -24,5 +24,6 @@ stdenv.mkDerivation rec {
maintainers = [lib.maintainers.raskin];
platforms = lib.platforms.linux;
homepage = "https://www.fractint.net/";
+ mainProgram = "xfractint";
};
}
diff --git a/pkgs/applications/graphics/xmountains/default.nix b/pkgs/applications/graphics/xmountains/default.nix
index 61020e678c30..d7c70f18b5b6 100644
--- a/pkgs/applications/graphics/xmountains/default.nix
+++ b/pkgs/applications/graphics/xmountains/default.nix
@@ -21,5 +21,6 @@ stdenv.mkDerivation rec {
homepage = "https://spbooth.github.io/xmountains";
license = licenses.hpndSellVariant;
maintainers = with maintainers; [ djanatyn ];
+ mainProgram = "xmountains";
};
}
diff --git a/pkgs/applications/graphics/xournal/default.nix b/pkgs/applications/graphics/xournal/default.nix
index 288f0446b34a..7f618b257387 100644
--- a/pkgs/applications/graphics/xournal/default.nix
+++ b/pkgs/applications/graphics/xournal/default.nix
@@ -59,5 +59,6 @@ stdenv.mkDerivation rec {
maintainers = [ maintainers.guibert ];
license = licenses.gpl2;
platforms = with platforms; linux ++ darwin;
+ mainProgram = "xournal";
};
}
diff --git a/pkgs/applications/graphics/xournalpp/default.nix b/pkgs/applications/graphics/xournalpp/default.nix
index 923ee5deda13..7ff575acab66 100644
--- a/pkgs/applications/graphics/xournalpp/default.nix
+++ b/pkgs/applications/graphics/xournalpp/default.nix
@@ -7,6 +7,7 @@
, pkg-config
, alsa-lib
+, binutils
, glib
, gsettings-desktop-schemas
, gtk3
@@ -25,16 +26,22 @@
stdenv.mkDerivation rec {
pname = "xournalpp";
- version = "1.2.2";
+ version = "1.2.3";
src = fetchFromGitHub {
owner = "xournalpp";
- repo = pname;
+ repo = "xournalpp";
rev = "v${version}";
- sha256 = "sha256-6ND0Y+TzdN2rRI10cusgSK1sYMC55Wn5qFCHP4hsdes=";
+ sha256 = "sha256-8UAAX/kixqiY9zEYs5eva0G2K2vlfnYd1yyVHMSfSeY=";
};
+ postPatch = ''
+ substituteInPlace src/util/Stacktrace.cpp \
+ --replace-fail "addr2line" "${binutils}/bin/addr2line"
+ '';
+
nativeBuildInputs = [ cmake gettext pkg-config wrapGAppsHook ];
+
buildInputs =
lib.optionals stdenv.isLinux [
alsa-lib
@@ -56,8 +63,6 @@ stdenv.mkDerivation rec {
buildFlags = [ "translations" ];
- hardeningDisable = [ "format" ];
-
meta = with lib; {
description = "Xournal++ is a handwriting Notetaking software with PDF annotation support";
homepage = "https://xournalpp.github.io/";
@@ -65,5 +70,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2Plus;
maintainers = with maintainers; [ andrew-d sikmir ];
platforms = platforms.unix;
+ mainProgram = "xournalpp";
};
}
diff --git a/pkgs/applications/graphics/xpano/default.nix b/pkgs/applications/graphics/xpano/default.nix
index 3ba27e66e66f..9842aca43dd8 100644
--- a/pkgs/applications/graphics/xpano/default.nix
+++ b/pkgs/applications/graphics/xpano/default.nix
@@ -53,6 +53,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A panorama stitching tool";
+ mainProgram = "Xpano";
homepage = "https://krupkat.github.io/xpano/";
changelog = "https://github.com/krupkat/xpano/releases/tag/v${version}";
license = licenses.gpl3Plus;
diff --git a/pkgs/applications/graphics/xrgears/default.nix b/pkgs/applications/graphics/xrgears/default.nix
index ac73412117cf..2f367e8a70d5 100644
--- a/pkgs/applications/graphics/xrgears/default.nix
+++ b/pkgs/applications/graphics/xrgears/default.nix
@@ -52,6 +52,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://gitlab.freedesktop.org/monado/demos/xrgears";
description = "An OpenXR example using Vulkan for rendering";
+ mainProgram = "xrgears";
platforms = platforms.linux;
license = licenses.mit;
maintainers = with maintainers; [ expipiplus1 ];
diff --git a/pkgs/applications/graphics/xzgv/default.nix b/pkgs/applications/graphics/xzgv/default.nix
index d26f6eccf76a..1617b6459d33 100644
--- a/pkgs/applications/graphics/xzgv/default.nix
+++ b/pkgs/applications/graphics/xzgv/default.nix
@@ -24,5 +24,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2;
maintainers = [ maintainers.womfoo ];
platforms = platforms.linux;
+ mainProgram = "xzgv";
};
}
diff --git a/pkgs/applications/graphics/yacreader/default.nix b/pkgs/applications/graphics/yacreader/default.nix
index b70fdfc9d74c..9487e0c352fe 100644
--- a/pkgs/applications/graphics/yacreader/default.nix
+++ b/pkgs/applications/graphics/yacreader/default.nix
@@ -5,13 +5,13 @@
mkDerivation rec {
pname = "yacreader";
- version = "9.13.1";
+ version = "9.14.2";
src = fetchFromGitHub {
owner = "YACReader";
repo = pname;
rev = version;
- sha256 = "sha256-kiacyHA/G0TnRH/96RqDTF7vdDnf2POMw/iSgtSRbmM=";
+ sha256 = "sha256-gQ4Aaapini6j3lCtowFbrfwbe91aFl50hp1EfxTO8uY=";
};
nativeBuildInputs = [ qmake pkg-config ];
@@ -22,6 +22,7 @@ mkDerivation rec {
description = "A comic reader for cross-platform reading and managing your digital comic collection";
homepage = "http://www.yacreader.com";
license = lib.licenses.gpl3;
+ mainProgram = "YACReader";
maintainers = with lib.maintainers; [ ];
};
}
diff --git a/pkgs/applications/graphics/yed/default.nix b/pkgs/applications/graphics/yed/default.nix
index ccb6b087215a..4e70c9d37aba 100644
--- a/pkgs/applications/graphics/yed/default.nix
+++ b/pkgs/applications/graphics/yed/default.nix
@@ -36,5 +36,6 @@ stdenv.mkDerivation rec {
description = "A powerful desktop application that can be used to quickly and effectively generate high-quality diagrams";
platforms = jre.meta.platforms;
maintainers = with maintainers; [ abbradar ];
+ mainProgram = "yed";
};
}
diff --git a/pkgs/applications/graphics/yeetgif/default.nix b/pkgs/applications/graphics/yeetgif/default.nix
index d464b56cb265..6e3b7ecee39f 100644
--- a/pkgs/applications/graphics/yeetgif/default.nix
+++ b/pkgs/applications/graphics/yeetgif/default.nix
@@ -29,5 +29,6 @@ buildGoModule rec {
homepage = "https://github.com/sgreben/yeetgif";
license = with licenses; [ mit asl20 cc-by-nc-sa-40 ];
maintainers = with maintainers; [ ajs124 ];
+ mainProgram = "gif";
};
}
diff --git a/pkgs/applications/graphics/zgrviewer/default.nix b/pkgs/applications/graphics/zgrviewer/default.nix
index cf0dd52ee73c..980e631ad41f 100644
--- a/pkgs/applications/graphics/zgrviewer/default.nix
+++ b/pkgs/applications/graphics/zgrviewer/default.nix
@@ -28,5 +28,6 @@ stdenv.mkDerivation rec {
license = lib.licenses.lgpl21Plus;
description = "GraphViz graph viewer/navigator";
platforms = with lib.platforms; unix;
+ mainProgram = "zgrviewer";
};
}
diff --git a/pkgs/applications/graphics/zgv/default.nix b/pkgs/applications/graphics/zgv/default.nix
index a4e5e725490f..0b63f4673190 100644
--- a/pkgs/applications/graphics/zgv/default.nix
+++ b/pkgs/applications/graphics/zgv/default.nix
@@ -38,5 +38,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2;
maintainers = [ maintainers.vrthra ];
platforms = platforms.linux;
+ mainProgram = "zgv";
};
}
diff --git a/pkgs/applications/kde/alligator.nix b/pkgs/applications/kde/alligator.nix
index 4470141af296..425f9733b38a 100644
--- a/pkgs/applications/kde/alligator.nix
+++ b/pkgs/applications/kde/alligator.nix
@@ -33,6 +33,7 @@ mkDerivation rec {
meta = with lib; {
description = "RSS reader made with kirigami";
+ mainProgram = "alligator";
homepage = "https://invent.kde.org/plasma-mobile/alligator";
# https://invent.kde.org/plasma-mobile/alligator/-/commit/db30f159c4700244532b17a260deb95551045b7a
# * SPDX-License-Identifier: GPL-2.0-only OR GPL-3.0-only OR LicenseRef-KDE-Accepted-GPL
diff --git a/pkgs/applications/kde/angelfish.nix b/pkgs/applications/kde/angelfish.nix
index 6983d3eb14a5..1bd6084a1883 100644
--- a/pkgs/applications/kde/angelfish.nix
+++ b/pkgs/applications/kde/angelfish.nix
@@ -24,7 +24,7 @@
, srcs
# provided as callPackage input to enable easier overrides through overlays
-, cargoSha256 ? "sha256-EXsAvI8dKgCGmLbGr9fdk/F9UwtSfd/aIyqAy5tvFSI="
+, cargoSha256 ? "sha256-PSrTo7nGgH0KxA82RlBEwtOu80WMCBeaCxHj3n7SgEE="
}:
mkDerivation rec {
diff --git a/pkgs/applications/kde/arianna.nix b/pkgs/applications/kde/arianna.nix
index e1a099800380..ba88e4ecbded 100644
--- a/pkgs/applications/kde/arianna.nix
+++ b/pkgs/applications/kde/arianna.nix
@@ -16,6 +16,7 @@
, kfilemetadata
, ki18n
, kirigami-addons
+, kitemmodels
, kquickcharts
, kwindowsystem
, qqc2-desktop-style
@@ -44,6 +45,7 @@ mkDerivation {
kfilemetadata
ki18n
kirigami-addons
+ kitemmodels
kquickcharts
kwindowsystem
qqc2-desktop-style
@@ -51,6 +53,7 @@ mkDerivation {
meta = with lib; {
description = "An Epub Reader for Plasma and Plasma Mobile";
+ mainProgram = "arianna";
homepage = "https://invent.kde.org/graphics/arianna";
license = licenses.gpl3Plus;
platforms = platforms.unix;
diff --git a/pkgs/applications/kde/ark/default.nix b/pkgs/applications/kde/ark/default.nix
index f6dd70682742..815bee892d6d 100644
--- a/pkgs/applications/kde/ark/default.nix
+++ b/pkgs/applications/kde/ark/default.nix
@@ -31,6 +31,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://apps.kde.org/ark/";
description = "Graphical file compression/decompression utility";
+ mainProgram = "ark";
license = with licenses; [ gpl2 lgpl3 ] ++ optional unfreeEnableUnrar unfree;
maintainers = [ maintainers.ttuegel ];
};
diff --git a/pkgs/applications/kde/audiotube.nix b/pkgs/applications/kde/audiotube.nix
index 9ba9745c6b4f..bf1ec3099029 100644
--- a/pkgs/applications/kde/audiotube.nix
+++ b/pkgs/applications/kde/audiotube.nix
@@ -62,6 +62,7 @@ mkDerivation rec {
meta = with lib; {
description = "Client for YouTube Music";
+ mainProgram = "audiotube";
homepage = "https://invent.kde.org/plasma-mobile/audiotube";
# https://invent.kde.org/plasma-mobile/audiotube/-/tree/c503d0607a3386112beaa9cf990ab85fe33ef115/LICENSES
license = with licenses; [ bsd2 cc0 gpl2Only gpl3Only ];
diff --git a/pkgs/applications/kde/bomber.nix b/pkgs/applications/kde/bomber.nix
index d8840e1bfece..1e52a6d87727 100644
--- a/pkgs/applications/kde/bomber.nix
+++ b/pkgs/applications/kde/bomber.nix
@@ -8,6 +8,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://apps.kde.org/bomber/";
description = "A single player arcade game";
+ mainProgram = "bomber";
longDescription = ''
Bomber is a single player arcade game. The player is invading various
cities in a plane that is decreasing in height.
diff --git a/pkgs/applications/kde/bovo.nix b/pkgs/applications/kde/bovo.nix
index ae89a8e396f6..d1788bd5e234 100644
--- a/pkgs/applications/kde/bovo.nix
+++ b/pkgs/applications/kde/bovo.nix
@@ -8,6 +8,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://apps.kde.org/bovo/";
description = "Five in a row application";
+ mainProgram = "bovo";
longDescription = ''
Bovo is a Gomoku (from Japanese 五目並べ - lit. "five points") like game for two players,
where the opponents alternate in placing their respective pictogram on the game board.
diff --git a/pkgs/applications/kde/cantor.nix b/pkgs/applications/kde/cantor.nix
index 0acfa430eaa7..1805ed8a5234 100644
--- a/pkgs/applications/kde/cantor.nix
+++ b/pkgs/applications/kde/cantor.nix
@@ -95,6 +95,9 @@ mkDerivation {
"--prefix PATH : ${placeholder "out"}/bin"
] ++ lib.optional withSage "--prefix PATH : ${sage-with-env}/bin";
+ # Causes failures on Hydra and ofborg from some reason
+ enableParallelBuilding = false;
+
meta = with lib; {
description = "Front end to powerful mathematics and statistics packages";
homepage = "https://cantor.kde.org/";
diff --git a/pkgs/applications/kde/default.nix b/pkgs/applications/kde/default.nix
index 3fd389471975..8e04700b83fd 100644
--- a/pkgs/applications/kde/default.nix
+++ b/pkgs/applications/kde/default.nix
@@ -13,7 +13,7 @@ IF YOUR PACKAGE IS NOT LISTED IN `./srcs.nix`, IT DOES NOT GO HERE.
Many of the packages released upstream are not yet built in Nixpkgs due to lack
of demand. To add a Nixpkgs build for an upstream package, copy one of the
existing packages here and modify it as necessary. A simple example package that
-still shows most of the available features is in `./gwenview.nix`.
+still shows most of the available features is in `./gwenview`.
# Updates
@@ -92,7 +92,7 @@ let
ghostwriter = callPackage ./ghostwriter.nix {};
granatier = callPackage ./granatier.nix {};
grantleetheme = callPackage ./grantleetheme {};
- gwenview = callPackage ./gwenview.nix {};
+ gwenview = callPackage ./gwenview {};
incidenceeditor = callPackage ./incidenceeditor.nix {};
itinerary = callPackage ./itinerary.nix {};
juk = callPackage ./juk.nix {};
diff --git a/pkgs/applications/kde/dragon.nix b/pkgs/applications/kde/dragon.nix
index 399205da6caa..a9e9425b7bb5 100644
--- a/pkgs/applications/kde/dragon.nix
+++ b/pkgs/applications/kde/dragon.nix
@@ -13,6 +13,7 @@ mkDerivation {
homepage = "https://apps.kde.org/dragonplayer/";
license = with lib.licenses; [ gpl2Plus fdl12Plus ];
description = "A simple media player for KDE";
+ mainProgram = "dragon";
maintainers = [ lib.maintainers.jonathanreeve ];
};
nativeBuildInputs = [ extra-cmake-modules kdoctools ];
diff --git a/pkgs/applications/kde/elisa.nix b/pkgs/applications/kde/elisa.nix
index 5073d869a3aa..b9a384616416 100644
--- a/pkgs/applications/kde/elisa.nix
+++ b/pkgs/applications/kde/elisa.nix
@@ -42,6 +42,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://apps.kde.org/elisa/";
description = "A simple media player for KDE";
+ mainProgram = "elisa";
license = licenses.gpl3;
maintainers = with maintainers; [ peterhoeg ];
};
diff --git a/pkgs/applications/kde/falkon.nix b/pkgs/applications/kde/falkon.nix
index 94cf6f5cfd25..d949b5ce1b2d 100644
--- a/pkgs/applications/kde/falkon.nix
+++ b/pkgs/applications/kde/falkon.nix
@@ -32,6 +32,7 @@ mkDerivation rec {
meta = with lib; {
description = "QtWebEngine based cross-platform web browser";
+ mainProgram = "falkon";
homepage = "https://www.falkon.org";
license = licenses.gpl3;
maintainers = with maintainers; [ peterhoeg ];
diff --git a/pkgs/applications/kde/fetch.sh b/pkgs/applications/kde/fetch.sh
index 2d6455fad777..de4f09e09a0c 100644
--- a/pkgs/applications/kde/fetch.sh
+++ b/pkgs/applications/kde/fetch.sh
@@ -1 +1 @@
-WGET_ARGS=( https://download.kde.org/stable/release-service/23.08.4/src -A '*.tar.xz' )
+WGET_ARGS=( https://download.kde.org/stable/release-service/23.08.5/src -A '*.tar.xz' )
diff --git a/pkgs/applications/kde/filelight.nix b/pkgs/applications/kde/filelight.nix
index af1b131b5c76..017a5eb2f532 100644
--- a/pkgs/applications/kde/filelight.nix
+++ b/pkgs/applications/kde/filelight.nix
@@ -17,6 +17,7 @@ mkDerivation {
pname = "filelight";
meta = {
description = "Disk usage statistics";
+ mainProgram = "filelight";
homepage = "https://apps.kde.org/filelight/";
license = with lib.licenses; [ gpl2 ];
maintainers = with lib.maintainers; [ fridh vcunat ];
diff --git a/pkgs/applications/kde/ghostwriter.nix b/pkgs/applications/kde/ghostwriter.nix
index 1fad4669dc62..d9399986959e 100644
--- a/pkgs/applications/kde/ghostwriter.nix
+++ b/pkgs/applications/kde/ghostwriter.nix
@@ -33,6 +33,7 @@ mkDerivation {
meta = with lib; {
description = "A cross-platform, aesthetic, distraction-free Markdown editor";
+ mainProgram = "ghostwriter";
homepage = "https://ghostwriter.kde.org/";
changelog = "https://invent.kde.org/office/ghostwriter/-/blob/master/CHANGELOG.md";
license = licenses.gpl3Plus;
diff --git a/pkgs/applications/kde/granatier.nix b/pkgs/applications/kde/granatier.nix
index b4aa2168e0d1..ac050e014794 100644
--- a/pkgs/applications/kde/granatier.nix
+++ b/pkgs/applications/kde/granatier.nix
@@ -8,6 +8,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://kde.org/applications/en/games/org.kde.granatier";
description = "Clone of the classic Bomberman game";
+ mainProgram = "granatier";
maintainers = with maintainers; [ freezeboy ];
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/kde/gwenview.nix b/pkgs/applications/kde/gwenview/default.nix
similarity index 91%
rename from pkgs/applications/kde/gwenview.nix
rename to pkgs/applications/kde/gwenview/default.nix
index 2913f7a46455..d697778f4726 100644
--- a/pkgs/applications/kde/gwenview.nix
+++ b/pkgs/applications/kde/gwenview/default.nix
@@ -16,6 +16,10 @@ mkDerivation {
maintainers = [ lib.maintainers.ttuegel ];
mainProgram = "gwenview";
};
+
+ # Fix build with versioned kImageAnnotator
+ patches = [./kimageannotator.patch];
+
nativeBuildInputs = [ extra-cmake-modules kdoctools ];
buildInputs = [
baloo kactivities kio kitemmodels kparts libkdcraw libkipi phonon
diff --git a/pkgs/applications/kde/gwenview/kimageannotator.patch b/pkgs/applications/kde/gwenview/kimageannotator.patch
new file mode 100644
index 000000000000..83cba93e49c1
--- /dev/null
+++ b/pkgs/applications/kde/gwenview/kimageannotator.patch
@@ -0,0 +1,56 @@
+diff --git a/CMakeLists.txt b/CMakeLists.txt
+index 01db0fb1..06319c54 100644
+--- a/CMakeLists.txt
++++ b/CMakeLists.txt
+@@ -166,12 +166,12 @@ if(NOT WITHOUT_X11)
+ endif()
+
+ if (QT_MAJOR_VERSION STREQUAL "5")
+- find_package(kImageAnnotator)
+- set_package_properties(kImageAnnotator PROPERTIES URL "https://github.com/ksnip/kImageAnnotator" DESCRIPTION "The kImageAnnotator library provides tools to annotate" TYPE REQUIRED)
+- if(kImageAnnotator_FOUND)
++ find_package(kImageAnnotator-Qt5)
++ set_package_properties(kImageAnnotator-Qt5 PROPERTIES URL "https://github.com/ksnip/kImageAnnotator" DESCRIPTION "The kImageAnnotator library provides tools to annotate" TYPE REQUIRED)
++ if(kImageAnnotator-Qt5_FOUND)
+ set(KIMAGEANNOTATOR_FOUND 1)
+- find_package(kColorPicker REQUIRED)
+- if(NOT kImageAnnotator_VERSION VERSION_LESS 0.5.0)
++ find_package(kColorPicker-Qt5 REQUIRED)
++ if(NOT kImageAnnotator-Qt5_VERSION VERSION_LESS 0.5.0)
+ set(KIMAGEANNOTATOR_CAN_LOAD_TRANSLATIONS 1)
+ endif()
+ endif()
+diff --git a/app/CMakeLists.txt b/app/CMakeLists.txt
+index 8c136835..ef4cff74 100644
+--- a/app/CMakeLists.txt
++++ b/app/CMakeLists.txt
+@@ -157,6 +157,6 @@ target_link_libraries(slideshowfileitemaction
+ KF${QT_MAJOR_VERSION}::KIOWidgets
+ KF${QT_MAJOR_VERSION}::Notifications)
+
+-if(kImageAnnotator_FOUND)
++if(kImageAnnotator-Qt5_FOUND)
+ target_link_libraries(gwenview kImageAnnotator::kImageAnnotator)
+ endif()
+diff --git a/lib/CMakeLists.txt b/lib/CMakeLists.txt
+index 05a2ea67..4167a1bb 100644
+--- a/lib/CMakeLists.txt
++++ b/lib/CMakeLists.txt
+@@ -157,7 +157,7 @@ set(gwenviewlib_SRCS
+ touch/touch_helper.cpp
+ ${GV_JPEG_DIR}/transupp.c
+ )
+-if (kImageAnnotator_FOUND)
++if (kImageAnnotator-Qt5_FOUND)
+ set(gwenviewlib_SRCS ${gwenviewlib_SRCS}
+ annotate/annotatedialog.cpp
+ annotate/annotateoperation.cpp
+@@ -338,7 +338,7 @@ if (GWENVIEW_SEMANTICINFO_BACKEND_BALOO)
+ )
+ endif()
+
+-if(kImageAnnotator_FOUND)
++if(kImageAnnotator-Qt5_FOUND)
+ target_link_libraries(gwenviewlib kImageAnnotator::kImageAnnotator)
+ endif()
+
diff --git a/pkgs/applications/kde/itinerary.nix b/pkgs/applications/kde/itinerary.nix
index c08aa346cde0..7ac03f0800ff 100644
--- a/pkgs/applications/kde/itinerary.nix
+++ b/pkgs/applications/kde/itinerary.nix
@@ -19,6 +19,7 @@
, kunitconversion
, libquotient
, networkmanager-qt
+, prison
, qqc2-desktop-style
, qtpositioning
, qtquickcontrols2
@@ -53,6 +54,7 @@ mkDerivation {
kunitconversion
libquotient
networkmanager-qt
+ prison
qqc2-desktop-style
qtpositioning
qtquickcontrols2
diff --git a/pkgs/applications/kde/juk.nix b/pkgs/applications/kde/juk.nix
index 70bd90b7e9ed..dd428a014487 100644
--- a/pkgs/applications/kde/juk.nix
+++ b/pkgs/applications/kde/juk.nix
@@ -30,6 +30,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://invent.kde.org/multimedia/juk";
description = "Audio jukebox app, supporting collections of MP3, Ogg Vorbis and FLAC audio files";
+ mainProgram = "juk";
license = licenses.gpl2Only;
platforms = platforms.linux;
maintainers = with maintainers; [ zendo ];
diff --git a/pkgs/applications/kde/k3b.nix b/pkgs/applications/kde/k3b.nix
index 673567fbef69..643c5e80b10f 100644
--- a/pkgs/applications/kde/k3b.nix
+++ b/pkgs/applications/kde/k3b.nix
@@ -12,6 +12,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://apps.kde.org/k3b/";
description = "Disk burning application";
+ mainProgram = "k3b";
license = with licenses; [ gpl2Plus ];
maintainers = with maintainers; [ sander ];
platforms = platforms.linux;
diff --git a/pkgs/applications/kde/kaddressbook.nix b/pkgs/applications/kde/kaddressbook.nix
index 6e3201837fd0..0d5df31781c3 100644
--- a/pkgs/applications/kde/kaddressbook.nix
+++ b/pkgs/applications/kde/kaddressbook.nix
@@ -14,6 +14,7 @@ mkDerivation {
meta = {
homepage = "https://apps.kde.org/kaddressbook/";
description = "KDE contact manager";
+ mainProgram = "kaddressbook";
license = with lib.licenses; [ gpl2Plus lgpl21Plus fdl12Plus ];
maintainers = kdepimTeam;
};
diff --git a/pkgs/applications/kde/kalk.nix b/pkgs/applications/kde/kalk.nix
index 8d63991fb080..c151078e910e 100644
--- a/pkgs/applications/kde/kalk.nix
+++ b/pkgs/applications/kde/kalk.nix
@@ -43,6 +43,7 @@ mkDerivation rec {
meta = with lib; {
description = "Calculator built with kirigami";
+ mainProgram = "kalk";
homepage = "https://invent.kde.org/plasma-mobile/kalk";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ samueldr ];
diff --git a/pkgs/applications/kde/kalzium.nix b/pkgs/applications/kde/kalzium.nix
index 045c9a3c8d6e..c365f6e7e7d4 100644
--- a/pkgs/applications/kde/kalzium.nix
+++ b/pkgs/applications/kde/kalzium.nix
@@ -5,6 +5,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://edu.kde.org/kalzium/";
description = "Program that shows you the Periodic Table of Elements";
+ mainProgram = "kalzium";
maintainers = with maintainers; [ freezeboy ];
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/kde/kamoso.nix b/pkgs/applications/kde/kamoso.nix
index c80ed0c511e4..878c127a977c 100644
--- a/pkgs/applications/kde/kamoso.nix
+++ b/pkgs/applications/kde/kamoso.nix
@@ -40,6 +40,7 @@ mkDerivation {
meta = {
homepage = "https://apps.kde.org/kamoso/";
description = "A simple and friendly program to use your camera";
+ mainProgram = "kamoso";
license = with lib.licenses; [ lgpl21Only gpl3Only ];
};
}
diff --git a/pkgs/applications/kde/kapman.nix b/pkgs/applications/kde/kapman.nix
index 01fa984e5933..cb0187e97639 100644
--- a/pkgs/applications/kde/kapman.nix
+++ b/pkgs/applications/kde/kapman.nix
@@ -5,6 +5,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://kde.org/applications/en/games/org.kde.kapman";
description = "Clone of the well known game Pac-Man";
+ mainProgram = "kapman";
maintainers = with maintainers; [ freezeboy ];
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/kde/kapptemplate.nix b/pkgs/applications/kde/kapptemplate.nix
index 447b2d009b60..38b671bc382d 100644
--- a/pkgs/applications/kde/kapptemplate.nix
+++ b/pkgs/applications/kde/kapptemplate.nix
@@ -15,6 +15,7 @@ mkDerivation {
meta = with lib; {
description = "KDE App Code Template Generator";
+ mainProgram = "kapptemplate";
license = licenses.gpl2;
homepage = "https://kde.org/applications/en/development/org.kde.kapptemplate";
maintainers = [ maintainers.shamilton ];
diff --git a/pkgs/applications/kde/kasts.nix b/pkgs/applications/kde/kasts.nix
index 59b455398203..e0f00a1db204 100644
--- a/pkgs/applications/kde/kasts.nix
+++ b/pkgs/applications/kde/kasts.nix
@@ -59,6 +59,7 @@ mkDerivation rec {
meta = with lib; {
description = "Mobile podcast application";
+ mainProgram = "kasts";
homepage = "https://apps.kde.org/kasts/";
# https://invent.kde.org/plasma-mobile/kasts/-/tree/master/LICENSES
license = with licenses; [ bsd2 cc-by-sa-40 cc0 gpl2Only gpl2Plus gpl3Only gpl3Plus lgpl3Plus ];
diff --git a/pkgs/applications/kde/katomic.nix b/pkgs/applications/kde/katomic.nix
index aa00d58c417e..29e67635fb3e 100644
--- a/pkgs/applications/kde/katomic.nix
+++ b/pkgs/applications/kde/katomic.nix
@@ -5,6 +5,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://kde.org/applications/en/games/org.kde.katomic";
description = "Fun educational game built around molecular geometry";
+ mainProgram = "katomic";
maintainers = with maintainers; [ freezeboy ];
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/kde/kblackbox.nix b/pkgs/applications/kde/kblackbox.nix
index 9f2f652f5586..c85184dcc109 100644
--- a/pkgs/applications/kde/kblackbox.nix
+++ b/pkgs/applications/kde/kblackbox.nix
@@ -5,6 +5,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://kde.org/applications/en/games/org.kde.kblackbox";
description = "Game of hide and seek played on a grid of boxes";
+ mainProgram = "kblackbox";
maintainers = with maintainers; [ freezeboy ];
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/kde/kblocks.nix b/pkgs/applications/kde/kblocks.nix
index 971901687f02..61e49a69999c 100644
--- a/pkgs/applications/kde/kblocks.nix
+++ b/pkgs/applications/kde/kblocks.nix
@@ -5,6 +5,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://kde.org/applications/en/games/org.kde.kblocks";
description = "Classic falling blocks game";
+ mainProgram = "kblocks";
maintainers = with maintainers; [ freezeboy ];
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/kde/kbounce.nix b/pkgs/applications/kde/kbounce.nix
index d96c0f7f8273..f6f6ad43829b 100644
--- a/pkgs/applications/kde/kbounce.nix
+++ b/pkgs/applications/kde/kbounce.nix
@@ -5,6 +5,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://kde.org/applications/en/games/org.kde.kbounce";
description = "Single player arcade game with the elements of puzzle";
+ mainProgram = "kbounce";
maintainers = with maintainers; [ freezeboy ];
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/kde/kbreakout.nix b/pkgs/applications/kde/kbreakout.nix
index ad58bde5838b..eb448d1a7400 100644
--- a/pkgs/applications/kde/kbreakout.nix
+++ b/pkgs/applications/kde/kbreakout.nix
@@ -14,6 +14,7 @@ mkDerivation {
meta = {
homepage = "https://apps.kde.org/kbreakout/";
description = "Breakout-like game";
+ mainProgram = "kbreakout";
license = with lib.licenses; [ lgpl21 gpl3 ];
};
outputs = [ "out" "dev" ];
diff --git a/pkgs/applications/kde/kcachegrind.nix b/pkgs/applications/kde/kcachegrind.nix
index 61ff38f31684..3648c1893449 100644
--- a/pkgs/applications/kde/kcachegrind.nix
+++ b/pkgs/applications/kde/kcachegrind.nix
@@ -2,7 +2,7 @@
mkDerivation, lib,
extra-cmake-modules, kdoctools,
karchive, ki18n, kio, perl, python3, php, qttools,
- kdbusaddons
+ kdbusaddons, makeBinaryWrapper, graphviz
}:
mkDerivation {
@@ -13,6 +13,10 @@ mkDerivation {
license = with lib.licenses; [ gpl2 ];
maintainers = with lib.maintainers; [ orivej ];
};
- nativeBuildInputs = [ extra-cmake-modules kdoctools ];
+ nativeBuildInputs = [ extra-cmake-modules kdoctools makeBinaryWrapper ];
buildInputs = [ karchive ki18n kio perl python3 php qttools kdbusaddons ];
+ postInstall = ''
+ wrapProgram $out/bin/kcachegrind \
+ --suffix PATH : "${lib.makeBinPath [ graphviz ]}"
+ '';
}
diff --git a/pkgs/applications/kde/kcalc.nix b/pkgs/applications/kde/kcalc.nix
index 20ae678dc011..fdd8bb89f96e 100644
--- a/pkgs/applications/kde/kcalc.nix
+++ b/pkgs/applications/kde/kcalc.nix
@@ -10,6 +10,7 @@ mkDerivation {
meta = {
homepage = "https://apps.kde.org/kcalc/";
description = "Scientific calculator";
+ mainProgram = "kcalc";
license = with lib.licenses; [ gpl2 ];
maintainers = [ lib.maintainers.fridh ];
};
diff --git a/pkgs/applications/kde/kcharselect.nix b/pkgs/applications/kde/kcharselect.nix
index 0bc76b420874..54549aeb73ca 100644
--- a/pkgs/applications/kde/kcharselect.nix
+++ b/pkgs/applications/kde/kcharselect.nix
@@ -11,6 +11,7 @@ mkDerivation {
license = lib.licenses.gpl2Plus;
maintainers = [ lib.maintainers.schmittlauch ];
description = "A tool to select special characters from all installed fonts and copy them into the clipboard";
+ mainProgram = "kcharselect";
};
nativeBuildInputs = [ extra-cmake-modules kdoctools ];
buildInputs = [
diff --git a/pkgs/applications/kde/kcolorchooser.nix b/pkgs/applications/kde/kcolorchooser.nix
index 26601bb37e3c..601fe705d47a 100644
--- a/pkgs/applications/kde/kcolorchooser.nix
+++ b/pkgs/applications/kde/kcolorchooser.nix
@@ -9,6 +9,7 @@ mkDerivation {
meta = {
homepage = "https://apps.kde.org/kcolorchooser/";
description = "Color chooser";
+ mainProgram = "kcolorchooser";
license = with lib.licenses; [ mit ];
maintainers = [ lib.maintainers.ttuegel ];
};
diff --git a/pkgs/applications/kde/kde-inotify-survey.nix b/pkgs/applications/kde/kde-inotify-survey.nix
index d90d789c5776..470e225ea0ab 100644
--- a/pkgs/applications/kde/kde-inotify-survey.nix
+++ b/pkgs/applications/kde/kde-inotify-survey.nix
@@ -23,6 +23,7 @@ mkDerivation {
meta = {
description = "Tooling for monitoring inotify limits and informing the user when they have been or about to be reached";
+ mainProgram = "kde-inotify-survey";
homepage = "https://invent.kde.org/system/kde-inotify-survey";
license = lib.licenses.gpl2Plus;
maintainers = [];
diff --git a/pkgs/applications/kde/kdebugsettings.nix b/pkgs/applications/kde/kdebugsettings.nix
index 829f7a26eb30..792d2dc04896 100644
--- a/pkgs/applications/kde/kdebugsettings.nix
+++ b/pkgs/applications/kde/kdebugsettings.nix
@@ -11,6 +11,7 @@ mkDerivation {
meta = {
homepage = "https://apps.kde.org/kdebugsettings/";
description = "KDE debug settings";
+ mainProgram = "kdebugsettings";
license = with lib.licenses; [ gpl2 ];
maintainers = [ ];
};
diff --git a/pkgs/applications/kde/kdevelop/kdevelop-pg-qt.nix b/pkgs/applications/kde/kdevelop/kdevelop-pg-qt.nix
index 468e264915c8..908c21bba8a6 100644
--- a/pkgs/applications/kde/kdevelop/kdevelop-pg-qt.nix
+++ b/pkgs/applications/kde/kdevelop/kdevelop-pg-qt.nix
@@ -19,6 +19,7 @@ stdenv.mkDerivation rec {
maintainers = [ maintainers.ambrop72 ];
platforms = platforms.linux;
description = "Parser-generator from KDevplatform";
+ mainProgram = "kdev-pg-qt";
longDescription = ''
KDevelop-PG-Qt is the parser-generator from KDevplatform.
It is used for some KDevelop-languagesupport-plugins (Ruby, PHP, CSS...).
diff --git a/pkgs/applications/kde/kdiamond.nix b/pkgs/applications/kde/kdiamond.nix
index 040806fd08e0..d5b18530aff9 100644
--- a/pkgs/applications/kde/kdiamond.nix
+++ b/pkgs/applications/kde/kdiamond.nix
@@ -5,6 +5,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://kde.org/applications/en/games/org.kde.kdiamond";
description = "A single player puzzle game";
+ mainProgram = "kdiamond";
maintainers = with maintainers; [ freezeboy ];
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/kde/keysmith.nix b/pkgs/applications/kde/keysmith.nix
index eaca7f68e24b..cca4d2ece563 100644
--- a/pkgs/applications/kde/keysmith.nix
+++ b/pkgs/applications/kde/keysmith.nix
@@ -31,6 +31,7 @@ mkDerivation rec {
meta = with lib; {
description = "OTP client for Plasma Mobile and Desktop";
+ mainProgram = "keysmith";
license = licenses.gpl3;
homepage = "https://github.com/KDE/keysmith";
maintainers = with maintainers; [ samueldr shamilton ];
diff --git a/pkgs/applications/kde/kfind.nix b/pkgs/applications/kde/kfind.nix
index 2c96b17dea19..e7449660fcd5 100644
--- a/pkgs/applications/kde/kfind.nix
+++ b/pkgs/applications/kde/kfind.nix
@@ -9,6 +9,7 @@ mkDerivation {
meta = {
homepage = "https://apps.kde.org/kfind/";
description = "Find files/folders";
+ mainProgram = "kfind";
license = with lib.licenses; [ gpl2 ];
maintainers = [ lib.maintainers.iblech ];
};
diff --git a/pkgs/applications/kde/kgeography.nix b/pkgs/applications/kde/kgeography.nix
index b832ffcfa2cf..9e55ed5879d8 100644
--- a/pkgs/applications/kde/kgeography.nix
+++ b/pkgs/applications/kde/kgeography.nix
@@ -9,6 +9,7 @@ mkDerivation {
meta = {
homepage = "https://apps.kde.org/kgeography/";
description = "Geography trainer";
+ mainProgram = "kgeography";
license = with lib.licenses; [ gpl2 ];
maintainers = [ lib.maintainers.globin ];
};
diff --git a/pkgs/applications/kde/kget.nix b/pkgs/applications/kde/kget.nix
index 2f59e3aaf963..1c537e970711 100644
--- a/pkgs/applications/kde/kget.nix
+++ b/pkgs/applications/kde/kget.nix
@@ -18,6 +18,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://apps.kde.org/kget/";
description = "Download manager";
+ mainProgram = "kget";
license = with licenses; [ gpl2 ];
maintainers = with maintainers; [ peterhoeg ];
};
diff --git a/pkgs/applications/kde/kgpg.nix b/pkgs/applications/kde/kgpg.nix
index 3db757b497dd..c39e521802e4 100644
--- a/pkgs/applications/kde/kgpg.nix
+++ b/pkgs/applications/kde/kgpg.nix
@@ -20,6 +20,7 @@ mkDerivation {
meta = {
homepage = "https://apps.kde.org/kgpg/";
description = "A KDE based interface for GnuPG, a powerful encryption utility";
+ mainProgram = "kgpg";
license = [ lib.licenses.gpl2 ];
maintainers = [ lib.maintainers.ttuegel ];
};
diff --git a/pkgs/applications/kde/khelpcenter.nix b/pkgs/applications/kde/khelpcenter.nix
index eeafc1e5285e..1638c3223de5 100644
--- a/pkgs/applications/kde/khelpcenter.nix
+++ b/pkgs/applications/kde/khelpcenter.nix
@@ -21,6 +21,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://apps.kde.org/help/";
description = "Help center";
+ mainProgram = "khelpcenter";
license = licenses.gpl2Plus;
};
}
diff --git a/pkgs/applications/kde/kigo.nix b/pkgs/applications/kde/kigo.nix
index 238158b524b3..1fcedb393864 100644
--- a/pkgs/applications/kde/kigo.nix
+++ b/pkgs/applications/kde/kigo.nix
@@ -5,6 +5,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://kde.org/applications/en/games/org.kde.kigo";
description = "An open-source implementation of the popular Go game";
+ mainProgram = "kigo";
maintainers = with maintainers; [ freezeboy ];
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/kde/killbots.nix b/pkgs/applications/kde/killbots.nix
index ef81c3b5ec11..6ac75851619f 100644
--- a/pkgs/applications/kde/killbots.nix
+++ b/pkgs/applications/kde/killbots.nix
@@ -5,6 +5,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://kde.org/applications/en/games/org.kde.killbots";
description = "A game where you avoid robots";
+ mainProgram = "killbots";
maintainers = with maintainers; [ freezeboy ];
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/kde/kirigami-gallery.nix b/pkgs/applications/kde/kirigami-gallery.nix
index c22cc16aaf91..492d687e01d7 100644
--- a/pkgs/applications/kde/kirigami-gallery.nix
+++ b/pkgs/applications/kde/kirigami-gallery.nix
@@ -23,6 +23,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://apps.kde.org/kirigami2.gallery/";
description = "View examples of Kirigami components";
+ mainProgram = "kirigami2gallery";
license = licenses.lgpl2;
maintainers = with maintainers; [ shadowrz ];
};
diff --git a/pkgs/applications/kde/klettres.nix b/pkgs/applications/kde/klettres.nix
index a87090a794b5..583edfb8a56c 100644
--- a/pkgs/applications/kde/klettres.nix
+++ b/pkgs/applications/kde/klettres.nix
@@ -5,6 +5,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://invent.kde.org/education/klettres";
description = "An application specially designed to help the user to learn an alphabet";
+ mainProgram = "klettres";
maintainers = with maintainers; [ freezeboy ];
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/kde/klines.nix b/pkgs/applications/kde/klines.nix
index 336ea9a2506e..a7b4d81f5fe4 100644
--- a/pkgs/applications/kde/klines.nix
+++ b/pkgs/applications/kde/klines.nix
@@ -5,6 +5,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://kde.org/applications/en/games/org.kde.klines";
description = "A simple but highly addictive one player game";
+ mainProgram = "klines";
maintainers = with maintainers; [ freezeboy ];
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/kde/kmag.nix b/pkgs/applications/kde/kmag.nix
index ba1bb8d18515..dc6cec7e5a47 100644
--- a/pkgs/applications/kde/kmag.nix
+++ b/pkgs/applications/kde/kmag.nix
@@ -5,6 +5,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://kde.org/applications/en/utilities/org.kde.kmag";
description = "A small Linux utility to magnify a part of the screen";
+ mainProgram = "kmag";
maintainers = with maintainers; [ freezeboy ];
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/kde/kmahjongg.nix b/pkgs/applications/kde/kmahjongg.nix
index a0c277ec0917..1c2e46c21124 100644
--- a/pkgs/applications/kde/kmahjongg.nix
+++ b/pkgs/applications/kde/kmahjongg.nix
@@ -14,6 +14,7 @@ mkDerivation {
buildInputs = [ kdeclarative libkmahjongg knewstuff libkdegames ];
meta = {
description = "Mahjongg solitaire";
+ mainProgram = "kmahjongg";
homepage = "https://apps.kde.org/kmahjongg/";
license = with lib.licenses; [ gpl2 ];
maintainers = with lib.maintainers; [ ];
diff --git a/pkgs/applications/kde/kmines.nix b/pkgs/applications/kde/kmines.nix
index 66131df26c16..108c7f049b08 100644
--- a/pkgs/applications/kde/kmines.nix
+++ b/pkgs/applications/kde/kmines.nix
@@ -5,6 +5,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://kde.org/applications/en/games/org.kde.kmines";
description = "A classic Minesweeper game";
+ mainProgram = "kmines";
maintainers = with maintainers; [ freezeboy ];
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/kde/kmousetool.nix b/pkgs/applications/kde/kmousetool.nix
index 4bac765dc4b9..bedcbb6bc4dc 100644
--- a/pkgs/applications/kde/kmousetool.nix
+++ b/pkgs/applications/kde/kmousetool.nix
@@ -28,6 +28,7 @@ mkDerivation {
meta = {
homepage = "https://github.com/KDE/kmousetool";
description = "Program that clicks the mouse for you";
+ mainProgram = "kmousetool";
license = with lib.licenses; [ gpl2Plus fdl12Plus ];
maintainers = [ lib.maintainers.jayesh-bhoot ];
};
diff --git a/pkgs/applications/kde/kmplot.nix b/pkgs/applications/kde/kmplot.nix
index 9f1ab4d28119..478198215396 100644
--- a/pkgs/applications/kde/kmplot.nix
+++ b/pkgs/applications/kde/kmplot.nix
@@ -7,6 +7,7 @@ mkDerivation {
meta = {
homepage = "https://apps.kde.org/kmplot/";
description = "Mathematical function plotter";
+ mainProgram = "kmplot";
license = with lib.licenses; [ gpl2Plus fdl12Plus ];
maintainers = [ lib.maintainers.orivej ];
};
diff --git a/pkgs/applications/kde/knavalbattle.nix b/pkgs/applications/kde/knavalbattle.nix
index 155faf4e5399..b405bfe1834d 100644
--- a/pkgs/applications/kde/knavalbattle.nix
+++ b/pkgs/applications/kde/knavalbattle.nix
@@ -5,6 +5,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://kde.org/applications/en/games/org.kde.knavalbattle";
description = "Naval Battle is a ship sinking game";
+ mainProgram = "knavalbattle";
maintainers = with maintainers; [ freezeboy ];
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/kde/knetwalk.nix b/pkgs/applications/kde/knetwalk.nix
index 3a863b40a1c6..ae25146b41b6 100644
--- a/pkgs/applications/kde/knetwalk.nix
+++ b/pkgs/applications/kde/knetwalk.nix
@@ -5,6 +5,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://kde.org/applications/en/games/org.kde.knetwalk";
description = "A single player logic game";
+ mainProgram = "knetwalk";
maintainers = with maintainers; [ freezeboy ];
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/kde/knights.nix b/pkgs/applications/kde/knights.nix
index 084a2349fc1f..589f409907d7 100644
--- a/pkgs/applications/kde/knights.nix
+++ b/pkgs/applications/kde/knights.nix
@@ -5,6 +5,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://kde.org/applications/en/games/org.kde.knights";
description = "A chess game";
+ mainProgram = "knights";
maintainers = with maintainers; [ freezeboy ];
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/kde/koko.nix b/pkgs/applications/kde/koko.nix
index 3543a7284bff..826125f91d10 100644
--- a/pkgs/applications/kde/koko.nix
+++ b/pkgs/applications/kde/koko.nix
@@ -73,6 +73,7 @@ mkDerivation rec {
meta = with lib; {
description = "Image gallery mobile application";
+ mainProgram = "koko";
homepage = "https://apps.kde.org/koko/";
# LGPL-2.1-only OR LGPL-3.0-only OR LicenseRef-KDE-Accepted-LGPL
license = [ licenses.lgpl3Only licenses.lgpl21Only ];
diff --git a/pkgs/applications/kde/kolf.nix b/pkgs/applications/kde/kolf.nix
index c9a5a61e2812..7d966e60c679 100644
--- a/pkgs/applications/kde/kolf.nix
+++ b/pkgs/applications/kde/kolf.nix
@@ -12,6 +12,7 @@ mkDerivation {
meta = {
homepage = "https://apps.kde.org/kolf/";
description = "Miniature golf";
+ mainProgram = "kolf";
license = with lib.licenses; [ gpl2 ];
maintainers = with lib.maintainers; [ peterhoeg ];
};
diff --git a/pkgs/applications/kde/kollision.nix b/pkgs/applications/kde/kollision.nix
index 806b2f592338..4aa01245f1ae 100644
--- a/pkgs/applications/kde/kollision.nix
+++ b/pkgs/applications/kde/kollision.nix
@@ -5,6 +5,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://kde.org/applications/en/games/org.kde.kollision";
description = "A casual game";
+ mainProgram = "kollision";
maintainers = with maintainers; [ freezeboy ];
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/kde/kolourpaint.nix b/pkgs/applications/kde/kolourpaint.nix
index b02c91e8641f..4af7cd12b896 100644
--- a/pkgs/applications/kde/kolourpaint.nix
+++ b/pkgs/applications/kde/kolourpaint.nix
@@ -19,6 +19,7 @@ mkDerivation {
meta = {
homepage = "https://apps.kde.org/kolourpaint/";
description = "Paint program";
+ mainProgram = "kolourpaint";
maintainers = [ lib.maintainers.fridh ];
license = with lib.licenses; [ gpl2 ];
};
diff --git a/pkgs/applications/kde/kompare.nix b/pkgs/applications/kde/kompare.nix
index 097661d58021..27c2d646413b 100644
--- a/pkgs/applications/kde/kompare.nix
+++ b/pkgs/applications/kde/kompare.nix
@@ -9,6 +9,7 @@ mkDerivation {
meta = {
homepage = "https://apps.kde.org/kompare/";
description = "Diff/patch frontend";
+ mainProgram = "kompare";
license = with lib.licenses; [ gpl2 ];
};
nativeBuildInputs = [ extra-cmake-modules kdoctools ];
diff --git a/pkgs/applications/kde/konquest.nix b/pkgs/applications/kde/konquest.nix
index 7c4ac20f4a51..ba400bd5da2e 100644
--- a/pkgs/applications/kde/konquest.nix
+++ b/pkgs/applications/kde/konquest.nix
@@ -24,6 +24,7 @@ mkDerivation {
meta = {
homepage = "https://apps.kde.org/konquest/";
description = "Galactic strategy game";
+ mainProgram = "konquest";
license = with lib.licenses; [ gpl2 ];
maintainers = with lib.maintainers; [ lheckemann ];
};
diff --git a/pkgs/applications/kde/konsole.nix b/pkgs/applications/kde/konsole.nix
index 1ef8da3d385d..e063743186dc 100644
--- a/pkgs/applications/kde/konsole.nix
+++ b/pkgs/applications/kde/konsole.nix
@@ -14,6 +14,7 @@ mkDerivation {
description = "KDE terminal emulator";
license = with lib.licenses; [ gpl2Plus lgpl21Plus fdl12Plus ];
maintainers = with lib.maintainers; [ ttuegel ];
+ mainProgram = "konsole";
};
nativeBuildInputs = [ extra-cmake-modules kdoctools ];
buildInputs = [
diff --git a/pkgs/applications/kde/kontact.nix b/pkgs/applications/kde/kontact.nix
index 2218e19c231a..f405b9af20ab 100644
--- a/pkgs/applications/kde/kontact.nix
+++ b/pkgs/applications/kde/kontact.nix
@@ -13,6 +13,7 @@ mkDerivation {
meta = {
homepage = "https://apps.kde.org/kontact/";
description = "Personal information manager";
+ mainProgram = "kontact";
license = with lib.licenses; [ gpl2Plus lgpl21Plus fdl12Plus ];
maintainers = kdepimTeam;
};
diff --git a/pkgs/applications/kde/konversation.nix b/pkgs/applications/kde/konversation.nix
index 4b224827e839..9b995629460f 100644
--- a/pkgs/applications/kde/konversation.nix
+++ b/pkgs/applications/kde/konversation.nix
@@ -66,6 +66,7 @@ mkDerivation {
meta = {
description = "Integrated IRC client for KDE";
+ mainProgram = "konversation";
license = with lib.licenses; [ gpl2 ];
homepage = "https://konversation.kde.org";
};
diff --git a/pkgs/applications/kde/korganizer.nix b/pkgs/applications/kde/korganizer.nix
index e4c5a8dc5176..c1febe58f45a 100644
--- a/pkgs/applications/kde/korganizer.nix
+++ b/pkgs/applications/kde/korganizer.nix
@@ -17,6 +17,7 @@ mkDerivation {
meta = {
homepage = "https://apps.kde.org/korganizer/";
description = "Personal organizer";
+ mainProgram = "korganizer";
license = with lib.licenses; [ gpl2Plus lgpl21Plus fdl12Plus ];
maintainers = kdepimTeam;
};
diff --git a/pkgs/applications/kde/krdc.nix b/pkgs/applications/kde/krdc.nix
index dfa84dae31bc..5d3fd18706db 100644
--- a/pkgs/applications/kde/krdc.nix
+++ b/pkgs/applications/kde/krdc.nix
@@ -19,6 +19,7 @@ mkDerivation {
meta = with lib; {
homepage = "http://www.kde.org";
description = "Remote desktop client";
+ mainProgram = "krdc";
license = with licenses; [ gpl2Plus lgpl21Plus fdl12Plus bsd3 ];
maintainers = with maintainers; [ peterhoeg ];
platforms = platforms.linux;
diff --git a/pkgs/applications/kde/krecorder.nix b/pkgs/applications/kde/krecorder.nix
index 71164d1b02d4..afa5ddee2ed6 100644
--- a/pkgs/applications/kde/krecorder.nix
+++ b/pkgs/applications/kde/krecorder.nix
@@ -35,6 +35,7 @@ mkDerivation rec {
meta = with lib; {
description = "Audio recorder for Plasma Mobile";
+ mainProgram = "krecorder";
homepage = "https://invent.kde.org/plasma-mobile/krecorder";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ samueldr ];
diff --git a/pkgs/applications/kde/kreversi.nix b/pkgs/applications/kde/kreversi.nix
index 351555b5f338..91756d2e15d7 100644
--- a/pkgs/applications/kde/kreversi.nix
+++ b/pkgs/applications/kde/kreversi.nix
@@ -5,6 +5,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://kde.org/applications/en/games/org.kde.kreversi";
description = "A simple one player strategy game played against the computer";
+ mainProgram = "kreversi";
maintainers = with maintainers; [ freezeboy ];
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/kde/kruler.nix b/pkgs/applications/kde/kruler.nix
index 918c0c55b625..965ef278542b 100644
--- a/pkgs/applications/kde/kruler.nix
+++ b/pkgs/applications/kde/kruler.nix
@@ -9,6 +9,7 @@ mkDerivation {
meta = {
homepage = "https://apps.kde.org/kruler/";
description = "Screen ruler";
+ mainProgram = "kruler";
license = with lib.licenses; [ gpl2 ];
maintainers = [ lib.maintainers.vandenoever ];
};
diff --git a/pkgs/applications/kde/kshisen.nix b/pkgs/applications/kde/kshisen.nix
index 791e95af6905..b15dbfa8f9fa 100644
--- a/pkgs/applications/kde/kshisen.nix
+++ b/pkgs/applications/kde/kshisen.nix
@@ -5,6 +5,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://kde.org/applications/en/games/org.kde.kshisen";
description = "A solitaire-like game played using the standard set of Mahjong tiles";
+ mainProgram = "kshisen";
maintainers = with maintainers; [ freezeboy ];
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/kde/kspaceduel.nix b/pkgs/applications/kde/kspaceduel.nix
index bf174546f2f6..500f2813b8f4 100644
--- a/pkgs/applications/kde/kspaceduel.nix
+++ b/pkgs/applications/kde/kspaceduel.nix
@@ -14,6 +14,7 @@ mkDerivation {
meta = {
homepage = "https://apps.kde.org/kspaceduel/";
description = "Space arcade game";
+ mainProgram = "kspaceduel";
license = with lib.licenses; [ lgpl21 gpl3 ];
};
outputs = [ "out" "dev" ];
diff --git a/pkgs/applications/kde/ksquares.nix b/pkgs/applications/kde/ksquares.nix
index b48ee473523c..326482c3aa8c 100644
--- a/pkgs/applications/kde/ksquares.nix
+++ b/pkgs/applications/kde/ksquares.nix
@@ -5,6 +5,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://kde.org/applications/en/games/org.kde.ksquares";
description = "A game of Dots and Boxes";
+ mainProgram = "ksquares";
maintainers = with maintainers; [ freezeboy ];
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/kde/ksudoku.nix b/pkgs/applications/kde/ksudoku.nix
index 1cfb3884ff59..64567ad9d88f 100644
--- a/pkgs/applications/kde/ksudoku.nix
+++ b/pkgs/applications/kde/ksudoku.nix
@@ -14,6 +14,7 @@ mkDerivation {
meta = {
homepage = "https://apps.kde.org/ksudoku/";
description = "Suduko game";
+ mainProgram = "ksudoku";
license = with lib.licenses; [ gpl2 ];
maintainers = with lib.maintainers; [ ];
};
diff --git a/pkgs/applications/kde/ksystemlog.nix b/pkgs/applications/kde/ksystemlog.nix
index 1b78c16b49dd..c886acc65f5a 100644
--- a/pkgs/applications/kde/ksystemlog.nix
+++ b/pkgs/applications/kde/ksystemlog.nix
@@ -13,6 +13,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://apps.kde.org/ksystemlog/";
description = "System log viewer";
+ mainProgram = "ksystemlog";
license = with licenses; [ gpl2 ];
maintainers = with maintainers; [ peterhoeg ];
};
diff --git a/pkgs/applications/kde/kteatime.nix b/pkgs/applications/kde/kteatime.nix
index 639bd933103f..056df2fa263d 100644
--- a/pkgs/applications/kde/kteatime.nix
+++ b/pkgs/applications/kde/kteatime.nix
@@ -5,6 +5,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://kde.org/applications/en/utilities/org.kde.kteatime";
description = "A handy timer for steeping tea";
+ mainProgram = "kteatime";
maintainers = with maintainers; [ freezeboy ];
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/kde/ktimer.nix b/pkgs/applications/kde/ktimer.nix
index 7eab059f1a72..31535af1d7ad 100644
--- a/pkgs/applications/kde/ktimer.nix
+++ b/pkgs/applications/kde/ktimer.nix
@@ -5,6 +5,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://kde.org/applications/en/utilities/org.kde.ktimer";
description = "A little tool to execute programs after some time";
+ mainProgram = "ktimer";
maintainers = with maintainers; [ freezeboy ];
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/kde/ktouch.nix b/pkgs/applications/kde/ktouch.nix
index df727c43a166..1a5f14bbc9e3 100644
--- a/pkgs/applications/kde/ktouch.nix
+++ b/pkgs/applications/kde/ktouch.nix
@@ -14,6 +14,7 @@ mkDerivation {
license = lib.licenses.gpl2;
maintainers = [ lib.maintainers.schmittlauch ];
description = "A touch typing tutor from the KDE software collection";
+ mainProgram = "ktouch";
};
nativeBuildInputs = [ extra-cmake-modules kdoctools qtdeclarative ];
buildInputs = [
diff --git a/pkgs/applications/kde/ktrip.nix b/pkgs/applications/kde/ktrip.nix
index 5377dd106586..ebcb85d67d4a 100644
--- a/pkgs/applications/kde/ktrip.nix
+++ b/pkgs/applications/kde/ktrip.nix
@@ -39,6 +39,7 @@ mkDerivation rec {
meta = with lib; {
description = "Public transport trip planner";
+ mainProgram = "ktrip";
homepage = "https://apps.kde.org/ktrip/";
# GPL-2.0-or-later
license = licenses.gpl2Plus;
diff --git a/pkgs/applications/kde/kturtle.nix b/pkgs/applications/kde/kturtle.nix
index cf4b2c91ffed..1f03f7fcb407 100644
--- a/pkgs/applications/kde/kturtle.nix
+++ b/pkgs/applications/kde/kturtle.nix
@@ -5,6 +5,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://invent.kde.org/education/kturtle";
description = "An educational programming environment for learning how to program";
+ mainProgram = "kturtle";
maintainers = with maintainers; [ freezeboy ];
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/kde/kwalletmanager.nix b/pkgs/applications/kde/kwalletmanager.nix
index 8d56adc41326..63534d584d9f 100644
--- a/pkgs/applications/kde/kwalletmanager.nix
+++ b/pkgs/applications/kde/kwalletmanager.nix
@@ -17,6 +17,7 @@ mkDerivation {
homepage = "https://apps.kde.org/kwalletmanager5/";
description = "KDE wallet management tool";
+ mainProgram = "kwalletmanager5";
license = with lib.licenses; [ gpl2 ];
maintainers = with lib.maintainers; [ fridh ];
};
diff --git a/pkgs/applications/kde/kwave.nix b/pkgs/applications/kde/kwave.nix
index a315ce6bd9bd..b0eda04de709 100644
--- a/pkgs/applications/kde/kwave.nix
+++ b/pkgs/applications/kde/kwave.nix
@@ -8,6 +8,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://kde.org/applications/en/multimedia/org.kde.kwave";
description = "A simple media player";
+ mainProgram = "kwave";
maintainers = with maintainers; [ freezeboy ];
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/kde/kweather.nix b/pkgs/applications/kde/kweather.nix
index d6a1f999ce65..b9a5fc04f6df 100644
--- a/pkgs/applications/kde/kweather.nix
+++ b/pkgs/applications/kde/kweather.nix
@@ -41,6 +41,7 @@ mkDerivation rec {
meta = with lib; {
description = "Weather application for Plasma Mobile";
+ mainProgram = "kweather";
homepage = "https://invent.kde.org/plasma-mobile/kweather";
license = with licenses; [ gpl2Plus cc-by-40 ];
maintainers = with maintainers; [ samueldr ];
diff --git a/pkgs/applications/kde/minuet.nix b/pkgs/applications/kde/minuet.nix
index 0aaa5012a073..8e5285ac57d8 100644
--- a/pkgs/applications/kde/minuet.nix
+++ b/pkgs/applications/kde/minuet.nix
@@ -10,6 +10,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://apps.kde.org/minuet/";
description = "Music Education Software";
+ mainProgram = "minuet";
license = with licenses; [ lgpl21 gpl3 ];
maintainers = with maintainers; [ peterhoeg HaoZeke ];
};
diff --git a/pkgs/applications/kde/neochat.nix b/pkgs/applications/kde/neochat.nix
index 03c6f19e68f5..840ab864cd95 100644
--- a/pkgs/applications/kde/neochat.nix
+++ b/pkgs/applications/kde/neochat.nix
@@ -67,6 +67,7 @@ mkDerivation {
meta = with lib; {
description = "A client for matrix, the decentralized communication protocol";
+ mainProgram = "neochat";
homepage = "https://apps.kde.org/en/neochat";
license = licenses.gpl3Only;
maintainers = with maintainers; [ peterhoeg ];
diff --git a/pkgs/applications/kde/okular.nix b/pkgs/applications/kde/okular.nix
index 8079232b926c..927cdb737b98 100644
--- a/pkgs/applications/kde/okular.nix
+++ b/pkgs/applications/kde/okular.nix
@@ -34,6 +34,7 @@ mkDerivation {
meta = with lib; {
homepage = "http://www.kde.org";
description = "KDE document viewer";
+ mainProgram = "okular";
license = with licenses; [ gpl2Plus lgpl21Plus fdl12Plus bsd3 ];
maintainers = with maintainers; [ ttuegel ];
platforms = lib.platforms.linux;
diff --git a/pkgs/applications/kde/palapeli.nix b/pkgs/applications/kde/palapeli.nix
index 13aa24df27d4..9effbc2674f7 100644
--- a/pkgs/applications/kde/palapeli.nix
+++ b/pkgs/applications/kde/palapeli.nix
@@ -16,6 +16,7 @@ mkDerivation {
meta = {
homepage = "https://apps.kde.org/palapeli/";
description = "A single-player jigsaw puzzle game";
+ mainProgram = "palapeli";
license = with lib.licenses; [ gpl2 ];
maintainers = with lib.maintainers; [ harrisonthorne ];
};
diff --git a/pkgs/applications/kde/picmi.nix b/pkgs/applications/kde/picmi.nix
index 30ee9fa84369..3f5e36886836 100644
--- a/pkgs/applications/kde/picmi.nix
+++ b/pkgs/applications/kde/picmi.nix
@@ -8,6 +8,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://apps.kde.org/picmi/";
description = "Nonogram game";
+ mainProgram = "picmi";
longDescription = ''The goal is to reveal the hidden pattern in the board by coloring or
leaving blank the cells in a grid according to numbers given at the side of the grid.
'';
diff --git a/pkgs/applications/kde/plasmatube/default.nix b/pkgs/applications/kde/plasmatube/default.nix
index d7f0e337e509..cc83254cb2ac 100644
--- a/pkgs/applications/kde/plasmatube/default.nix
+++ b/pkgs/applications/kde/plasmatube/default.nix
@@ -50,6 +50,7 @@ mkDerivation {
meta = {
description = "Youtube player powered by an invidious server";
+ mainProgram = "plasmatube";
homepage = "https://invent.kde.org/plasma-mobile/plasmatube";
license = lib.licenses.gpl3Plus;
maintainers = with lib.maintainers; [ dotlambda ];
diff --git a/pkgs/applications/kde/qmlkonsole.nix b/pkgs/applications/kde/qmlkonsole.nix
index da892fb47c0e..1071fce7e752 100644
--- a/pkgs/applications/kde/qmlkonsole.nix
+++ b/pkgs/applications/kde/qmlkonsole.nix
@@ -35,6 +35,7 @@ mkDerivation {
meta = with lib; {
description = "Terminal app for Plasma Mobile";
+ mainProgram = "qmlkonsole";
homepage = "https://invent.kde.org/plasma-mobile/qmlkonsole";
license = with licenses; [ gpl2Plus gpl3Plus cc0 ];
maintainers = with maintainers; [ balsoft ];
diff --git a/pkgs/applications/kde/rocs.nix b/pkgs/applications/kde/rocs.nix
index 80f5f4bb6d65..732814c42f69 100644
--- a/pkgs/applications/kde/rocs.nix
+++ b/pkgs/applications/kde/rocs.nix
@@ -11,6 +11,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://edu.kde.org/rocs/";
description = "A graph theory IDE.";
+ mainProgram = "rocs";
license = with licenses; [ gpl2Plus lgpl21Plus fdl12Plus ];
platforms = lib.platforms.linux;
maintainers = with maintainers; [ knairda ];
diff --git a/pkgs/applications/kde/skanlite.nix b/pkgs/applications/kde/skanlite.nix
index e31c775c3d86..2d2ca212d00c 100644
--- a/pkgs/applications/kde/skanlite.nix
+++ b/pkgs/applications/kde/skanlite.nix
@@ -9,6 +9,7 @@ mkDerivation {
pname = "skanlite";
meta = with lib; {
description = "KDE simple image scanning application";
+ mainProgram = "skanlite";
homepage = "https://apps.kde.org/skanlite";
license = licenses.gpl2Plus;
maintainers = with maintainers; [ polendri ];
diff --git a/pkgs/applications/kde/skanpage.nix b/pkgs/applications/kde/skanpage.nix
index fc16723ce4de..89094a10a87b 100644
--- a/pkgs/applications/kde/skanpage.nix
+++ b/pkgs/applications/kde/skanpage.nix
@@ -25,6 +25,7 @@ mkDerivation {
meta = with lib; {
description = "KDE utility to scan images and multi-page documents";
+ mainProgram = "skanpage";
homepage = "https://apps.kde.org/skanpage";
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/kde/spectacle.nix b/pkgs/applications/kde/spectacle.nix
index 8505e34920df..f5471e2d1086 100644
--- a/pkgs/applications/kde/spectacle.nix
+++ b/pkgs/applications/kde/spectacle.nix
@@ -33,6 +33,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://apps.kde.org/spectacle/";
description = "Screenshot capture utility";
+ mainProgram = "spectacle";
maintainers = with maintainers; [ ttuegel ];
};
}
diff --git a/pkgs/applications/kde/srcs.nix b/pkgs/applications/kde/srcs.nix
index c88723d9c909..af83cc951be8 100644
--- a/pkgs/applications/kde/srcs.nix
+++ b/pkgs/applications/kde/srcs.nix
@@ -4,1955 +4,1955 @@
{
akonadi = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/akonadi-23.08.4.tar.xz";
- sha256 = "0dj9xn0bpcq409kfd61zh5wdhbh4yrlviwhlmxawrm1mx5r07yv3";
- name = "akonadi-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/akonadi-23.08.5.tar.xz";
+ sha256 = "0f2gkifli8aslcrcqclai6kv9vrimmsj2afp378nljh8q4ldpnxb";
+ name = "akonadi-23.08.5.tar.xz";
};
};
akonadi-calendar = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/akonadi-calendar-23.08.4.tar.xz";
- sha256 = "0xayrqrragk1vp0rsghdpx482c3f23iri0rd70v86393qdhb59mq";
- name = "akonadi-calendar-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/akonadi-calendar-23.08.5.tar.xz";
+ sha256 = "1jirjckcix5ny3dqqk7qf1089kwfvzibk2jaxr437v8jji0ak3fg";
+ name = "akonadi-calendar-23.08.5.tar.xz";
};
};
akonadi-calendar-tools = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/akonadi-calendar-tools-23.08.4.tar.xz";
- sha256 = "17s24ijhk68dw7ailk992a7xkdjl6dj5nwr06zlvdhskxx9z3xrc";
- name = "akonadi-calendar-tools-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/akonadi-calendar-tools-23.08.5.tar.xz";
+ sha256 = "1al0b11cln9axh3fhv4hlns73v7z36yq24z1v8i6ka4n81445fw3";
+ name = "akonadi-calendar-tools-23.08.5.tar.xz";
};
};
akonadi-contacts = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/akonadi-contacts-23.08.4.tar.xz";
- sha256 = "1nxm1lwk6jazfv684gb4w1y9r8xaj0y14xvsslljf018l20wqr4q";
- name = "akonadi-contacts-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/akonadi-contacts-23.08.5.tar.xz";
+ sha256 = "0la2rxcngxffm7pz6xmmv3zv2qzand88194q8c3xpnxlddyb7977";
+ name = "akonadi-contacts-23.08.5.tar.xz";
};
};
akonadi-import-wizard = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/akonadi-import-wizard-23.08.4.tar.xz";
- sha256 = "08pk36hw9v9bs8scgxzbwlhlcyikbcliybp1p6ga2j7p8mjm6fg2";
- name = "akonadi-import-wizard-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/akonadi-import-wizard-23.08.5.tar.xz";
+ sha256 = "19jdk7bcb0cyd28lwzfm1nyzsvh9wm664c27mhfadsin0jy9dj9w";
+ name = "akonadi-import-wizard-23.08.5.tar.xz";
};
};
akonadi-mime = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/akonadi-mime-23.08.4.tar.xz";
- sha256 = "1bzsddyr784a4dw1fqp57xp8az6dysqy7xmfygm5r5bbbdnlxdpb";
- name = "akonadi-mime-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/akonadi-mime-23.08.5.tar.xz";
+ sha256 = "0cy8wl6r9arzy6zb4mmzy7nxy7j647kklrwms43q3zkkxacyah7x";
+ name = "akonadi-mime-23.08.5.tar.xz";
};
};
akonadi-notes = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/akonadi-notes-23.08.4.tar.xz";
- sha256 = "0vlcbb783jj3zx8nsrd0r7si28463rsd2xcxb3z5m4il8xmr0smh";
- name = "akonadi-notes-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/akonadi-notes-23.08.5.tar.xz";
+ sha256 = "13l3wnmbips201xpa8wk7gj35m4fnw1aqd8js15sinc7r768wfpy";
+ name = "akonadi-notes-23.08.5.tar.xz";
};
};
akonadi-search = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/akonadi-search-23.08.4.tar.xz";
- sha256 = "0ipwxa0xv8bwvx9ngpq2i3ivq0s97m0x2kj4n4dw4sil31x2yzq5";
- name = "akonadi-search-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/akonadi-search-23.08.5.tar.xz";
+ sha256 = "1d5dh5jn1a7l1w0ab0vabrcbhj3sy18g9ya9p50agvk8fh5ka8gg";
+ name = "akonadi-search-23.08.5.tar.xz";
};
};
akonadiconsole = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/akonadiconsole-23.08.4.tar.xz";
- sha256 = "1xnvrpd7xy2cz6m8x41lki3gnvfq74gvi9vlyfpf9v85z4ri6jhv";
- name = "akonadiconsole-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/akonadiconsole-23.08.5.tar.xz";
+ sha256 = "171apc4vdwlg4904am5cnb3rcsv4f9bfcpk4y46ki0dvi3x4vj31";
+ name = "akonadiconsole-23.08.5.tar.xz";
};
};
akregator = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/akregator-23.08.4.tar.xz";
- sha256 = "1psgzcw84xnh7xgi1s1yxk41sndshy8j1mvvz42gasrpqdfzrpjv";
- name = "akregator-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/akregator-23.08.5.tar.xz";
+ sha256 = "12q2d3w4jk6mzglabzx8djmsd6y5b5bfx02gnncgpm2n5a3iydsj";
+ name = "akregator-23.08.5.tar.xz";
};
};
alligator = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/alligator-23.08.4.tar.xz";
- sha256 = "0g8ps4vkrc1wdprand6y8h99zh4flhg4mcqn1552nk5p3kcyvzh1";
- name = "alligator-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/alligator-23.08.5.tar.xz";
+ sha256 = "17h0h2gl3ybawnnlj1v1mz7izb6vj3rkan3fkdvjb1w63fm7pgaa";
+ name = "alligator-23.08.5.tar.xz";
};
};
analitza = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/analitza-23.08.4.tar.xz";
- sha256 = "0d0qaz08xaiy1whg9vgd4316fvzfhm1wnmy17b83p8ihd80p8agk";
- name = "analitza-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/analitza-23.08.5.tar.xz";
+ sha256 = "1h06nr5fclkp6f98pdw45ibn03bv29js294czi0y7n3w729kxzs6";
+ name = "analitza-23.08.5.tar.xz";
};
};
angelfish = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/angelfish-23.08.4.tar.xz";
- sha256 = "1nggkgwkvgczpn3aq8isphzhykjihdd8a6nfrghfnsbfjmnz1y7s";
- name = "angelfish-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/angelfish-23.08.5.tar.xz";
+ sha256 = "0rpc4kqvmxmx393vbj92303phzf72k5djgy1c6fmmbx87myj2aic";
+ name = "angelfish-23.08.5.tar.xz";
};
};
arianna = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/arianna-23.08.4.tar.xz";
- sha256 = "04rj2p1s75na1yac4swlanqh6ijsn4d4wy5vn0f0lkgbbd9pgc0z";
- name = "arianna-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/arianna-23.08.5.tar.xz";
+ sha256 = "0rf3538940zxkgfsi34zha0k0k1895dj9sbl86kr0bsqjsjvpzgg";
+ name = "arianna-23.08.5.tar.xz";
};
};
ark = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/ark-23.08.4.tar.xz";
- sha256 = "17makvdjycjxxykmxm0kw3amdwp55296zvhrqs3a4fwbg352c912";
- name = "ark-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/ark-23.08.5.tar.xz";
+ sha256 = "1sygmsbrd6ps8zjy29n7nsfilij3737x50qld49m3qnlw9jcb0b0";
+ name = "ark-23.08.5.tar.xz";
};
};
artikulate = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/artikulate-23.08.4.tar.xz";
- sha256 = "1vxd0k30qviz1qp2308dp3d4627dfvl86114d9x2xlwgyf78mmfw";
- name = "artikulate-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/artikulate-23.08.5.tar.xz";
+ sha256 = "18bb67l0hklmyaxciwpfd92n4xyqlmr6qismf7kzsksjv2k9n2d7";
+ name = "artikulate-23.08.5.tar.xz";
};
};
audiocd-kio = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/audiocd-kio-23.08.4.tar.xz";
- sha256 = "011g4g5c5mbhdiqsc9rl8wsjvcbyxn4ikmiz0jcn7v7rjg91z7zc";
- name = "audiocd-kio-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/audiocd-kio-23.08.5.tar.xz";
+ sha256 = "1ir383qwfcabdc0x3203x60k6vpkzcjmay5dk6vk4ra5hglvrj2m";
+ name = "audiocd-kio-23.08.5.tar.xz";
};
};
audiotube = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/audiotube-23.08.4.tar.xz";
- sha256 = "1jbnwk16awsqg08xarx1gpxix0fjy1jfifhpvd6gww70p6m6d1kr";
- name = "audiotube-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/audiotube-23.08.5.tar.xz";
+ sha256 = "06bx8bsz784z19937vf723dylpfk7xah2w0p4c1vhv47mznqn991";
+ name = "audiotube-23.08.5.tar.xz";
};
};
baloo-widgets = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/baloo-widgets-23.08.4.tar.xz";
- sha256 = "0mqnfavcickq07kz2xiq1j1mn4prv7jhhfbl635zg4s95anz6f7y";
- name = "baloo-widgets-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/baloo-widgets-23.08.5.tar.xz";
+ sha256 = "1m1q77qagyiv9bnnsyzwi6mh48slwdgb725k1awkisyzfiznq6a9";
+ name = "baloo-widgets-23.08.5.tar.xz";
};
};
blinken = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/blinken-23.08.4.tar.xz";
- sha256 = "0g85bzm4nx4jialscjxva6438s6q24pr4cbqs52q1c3hkh90qn26";
- name = "blinken-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/blinken-23.08.5.tar.xz";
+ sha256 = "1im3gci81bdh3il0fyf9d2pxdkdcp1pkn9ib5z8isyy9ffclpl2a";
+ name = "blinken-23.08.5.tar.xz";
};
};
bomber = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/bomber-23.08.4.tar.xz";
- sha256 = "0zj31z3zkgv34x42378v5l7gcq5k530x2adpi476zxmx0nwmwsmk";
- name = "bomber-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/bomber-23.08.5.tar.xz";
+ sha256 = "12mk93y3y006n6rm4p1n9xcx6wq84rnxgjc9rnvf46hg99fb37kn";
+ name = "bomber-23.08.5.tar.xz";
};
};
bovo = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/bovo-23.08.4.tar.xz";
- sha256 = "1kk0vkd6vrlnrhxrkdacxvm60rqm5j0rkwm1vnssg6j90405wq22";
- name = "bovo-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/bovo-23.08.5.tar.xz";
+ sha256 = "0jqy3yjq9qjl52bcph3pycslqs7rbw40axzmznr4h4wzj36b6yfv";
+ name = "bovo-23.08.5.tar.xz";
};
};
calendarsupport = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/calendarsupport-23.08.4.tar.xz";
- sha256 = "1r018kcxk90ykwvf7bw6lammx2kkzz6jnqp8fl2cfj36pfc84w3w";
- name = "calendarsupport-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/calendarsupport-23.08.5.tar.xz";
+ sha256 = "1wrydz0nn6k9f8vwcfcsd95dc9b0y5y6xycwaynmsl8rgskmryk5";
+ name = "calendarsupport-23.08.5.tar.xz";
};
};
calindori = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/calindori-23.08.4.tar.xz";
- sha256 = "1sjxjmpc69l26635wg28cmbif2z3jszanmzgpc93s39fgi47mw97";
- name = "calindori-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/calindori-23.08.5.tar.xz";
+ sha256 = "03ls91vr495i3qxs49whl4ks7sx8frnfqw4prs9nxpx9gjysn13a";
+ name = "calindori-23.08.5.tar.xz";
};
};
cantor = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/cantor-23.08.4.tar.xz";
- sha256 = "01nihsmjfmiipzh7371a7msb3gc0fiw6wh4a2g800c380nvd4ix9";
- name = "cantor-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/cantor-23.08.5.tar.xz";
+ sha256 = "07fq3zfcd3hxgi1pa6ma7gw852ry4x9fzj1yy7a2bk2lz2b0p5mz";
+ name = "cantor-23.08.5.tar.xz";
};
};
cervisia = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/cervisia-23.08.4.tar.xz";
- sha256 = "1mlydja8652rk9jg2gz3xzsj09kmgwb6miq9fyifv8p024ml9gj1";
- name = "cervisia-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/cervisia-23.08.5.tar.xz";
+ sha256 = "07vzn6g87m737nbxb8qqsds3bc5spkn9z060jjwyzdpjj3sld2b0";
+ name = "cervisia-23.08.5.tar.xz";
};
};
colord-kde = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/colord-kde-23.08.4.tar.xz";
- sha256 = "1a3lx7ahjcl05jkbril7lx6x12qfmh7w7kxm2gplfhfnii9hvmxv";
- name = "colord-kde-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/colord-kde-23.08.5.tar.xz";
+ sha256 = "1f80dqax0wk4g94140qd0lij2vf9083kbsdl7hkc19ric6y2fss6";
+ name = "colord-kde-23.08.5.tar.xz";
};
};
dolphin = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/dolphin-23.08.4.tar.xz";
- sha256 = "11c5i2bm33cm84kww4p5jmi64pmfxafjrzri06vl8cwg05w0nqva";
- name = "dolphin-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/dolphin-23.08.5.tar.xz";
+ sha256 = "1wziw71xyjz2457hb5l8f9sg5l4f340z341pd87qkzkdavdan2b3";
+ name = "dolphin-23.08.5.tar.xz";
};
};
dolphin-plugins = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/dolphin-plugins-23.08.4.tar.xz";
- sha256 = "1m1kmdgx6isyff4i9nj6mv16lsg08rzivd0p42avj1pm17giyhcw";
- name = "dolphin-plugins-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/dolphin-plugins-23.08.5.tar.xz";
+ sha256 = "0pf0ddg8dz8l959yd6sig54411gylp8il1wjpfr7ihcd8zm8wi1g";
+ name = "dolphin-plugins-23.08.5.tar.xz";
};
};
dragon = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/dragon-23.08.4.tar.xz";
- sha256 = "1h5c29fkarqcbbhirj9q88v5spsp1l4hkjs0akp21j212b309dd5";
- name = "dragon-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/dragon-23.08.5.tar.xz";
+ sha256 = "0w8ml7087z4vikp92mh6cm2mzxp4zjk0cr8mxzvap745vbxj21j1";
+ name = "dragon-23.08.5.tar.xz";
};
};
elisa = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/elisa-23.08.4.tar.xz";
- sha256 = "0hsikp4ya26gq0v1f259mbwahl5rv1lfjj3cwh579rwabk8vpj5a";
- name = "elisa-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/elisa-23.08.5.tar.xz";
+ sha256 = "1hml0bmp1cfqc9x9q2a1lz2f6ab7ygblf6xz0qlwjxripvqw8b47";
+ name = "elisa-23.08.5.tar.xz";
};
};
eventviews = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/eventviews-23.08.4.tar.xz";
- sha256 = "0zl527fzz9brhk0gqvgfnzmqhqc3phxg97nafadvasrj8fz8nv9h";
- name = "eventviews-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/eventviews-23.08.5.tar.xz";
+ sha256 = "06qwmzxayfxsyzmg90j1xycvfs6ynyggvk0xkrf7gfp682ckba99";
+ name = "eventviews-23.08.5.tar.xz";
};
};
falkon = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/falkon-23.08.4.tar.xz";
- sha256 = "0qvd53klxfmfm4b3apwvywwzi1k9qv6c2wyljz0cziycd2vq917h";
- name = "falkon-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/falkon-23.08.5.tar.xz";
+ sha256 = "0xxhhdqlxfs97qphfpkb8gfmsi1gk3cbpd2y4rj0zrd668a5y2l0";
+ name = "falkon-23.08.5.tar.xz";
};
};
ffmpegthumbs = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/ffmpegthumbs-23.08.4.tar.xz";
- sha256 = "0jv8fy68fwikn3vlf4hxvnyqv1a1hs18zdj2ds112ymlmw846bsh";
- name = "ffmpegthumbs-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/ffmpegthumbs-23.08.5.tar.xz";
+ sha256 = "1pz5bc52z5lkydl1w9c6bhvbdjn07p3r4qgx36xl3wfc5zi3rn6s";
+ name = "ffmpegthumbs-23.08.5.tar.xz";
};
};
filelight = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/filelight-23.08.4.tar.xz";
- sha256 = "1irn8kbbka1p9dzh9yl87fc7gz9486bq3wxbiw4gh11pkrm246dg";
- name = "filelight-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/filelight-23.08.5.tar.xz";
+ sha256 = "08kmy39r6l6akkkl00snjvw5zf5115gc5czf1m5xr189zjp4vz5p";
+ name = "filelight-23.08.5.tar.xz";
};
};
ghostwriter = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/ghostwriter-23.08.4.tar.xz";
- sha256 = "0w8cjrvsibhp7q4b2wqhi5pmbvir6p1z283k3pq6qhl72fg9cpd8";
- name = "ghostwriter-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/ghostwriter-23.08.5.tar.xz";
+ sha256 = "1nfhnjf627p3qgfamy1nb09dvqavv0qh5cs6czpy4ghz8i4mddx0";
+ name = "ghostwriter-23.08.5.tar.xz";
};
};
granatier = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/granatier-23.08.4.tar.xz";
- sha256 = "1n6x7nlrxdlj54rwbdv440sf6g5a56mnhlsf5x54z97il3jrvxxm";
- name = "granatier-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/granatier-23.08.5.tar.xz";
+ sha256 = "1vi9cws499g9962k4hyjzl13sbsrga0qyjqdp9i0v5pr3mi4l1zh";
+ name = "granatier-23.08.5.tar.xz";
};
};
grantlee-editor = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/grantlee-editor-23.08.4.tar.xz";
- sha256 = "0aczzf4bgg9gsh83nzifia2vrmk5xr3y0nxsw0dk061s1g1d04yc";
- name = "grantlee-editor-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/grantlee-editor-23.08.5.tar.xz";
+ sha256 = "130a57bmg6ydcj0jn21i39ilf61prsisz2f2lw9gcq5g1s2xbk9j";
+ name = "grantlee-editor-23.08.5.tar.xz";
};
};
grantleetheme = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/grantleetheme-23.08.4.tar.xz";
- sha256 = "0bf3llh7y9n7wlgmpb9ydpm4grfhcwgf7nsjz0c84mkgv1a9876q";
- name = "grantleetheme-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/grantleetheme-23.08.5.tar.xz";
+ sha256 = "1xa2y8zxn6s9hvs6nsf2bzkifg1xcdk9mz7r2pj2h3gvl2rq2qv8";
+ name = "grantleetheme-23.08.5.tar.xz";
};
};
gwenview = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/gwenview-23.08.4.tar.xz";
- sha256 = "01ddradjrcamkpjzskyiwm53i1iisk9y5v2vjffhgmvldjkrnm28";
- name = "gwenview-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/gwenview-23.08.5.tar.xz";
+ sha256 = "0f4h2vf8nkz1jcrxw98n52divvdmxh434659m1pd4l5pag0d3z54";
+ name = "gwenview-23.08.5.tar.xz";
};
};
incidenceeditor = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/incidenceeditor-23.08.4.tar.xz";
- sha256 = "0d8y9fvmr1mbi6virz9vsiaz4vhy5v74ngilmy3s488s99mhbm4f";
- name = "incidenceeditor-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/incidenceeditor-23.08.5.tar.xz";
+ sha256 = "153kh0syw4v67sfjfhq45s34mlsz6lz96mvmfrl9lm9dn5bwyq6z";
+ name = "incidenceeditor-23.08.5.tar.xz";
};
};
itinerary = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/itinerary-23.08.4.tar.xz";
- sha256 = "0jdb2m35r20bc8w2iq5xdjzfmdvaw0di4sp6wxl8vhcj0py1ryhw";
- name = "itinerary-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/itinerary-23.08.5.tar.xz";
+ sha256 = "19r7k64a4dalarxm7j2jf2p86cnxqxah0iqj68ibazhl1sdqrqs2";
+ name = "itinerary-23.08.5.tar.xz";
};
};
juk = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/juk-23.08.4.tar.xz";
- sha256 = "1ar9418j11sy213nis0i0l4nabqcrbxck7rzkn961cvaflw22par";
- name = "juk-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/juk-23.08.5.tar.xz";
+ sha256 = "0wddl5sp2sbi8c8vxrqikipv2d6b65w28nxzsinz703cliyjcx67";
+ name = "juk-23.08.5.tar.xz";
};
};
k3b = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/k3b-23.08.4.tar.xz";
- sha256 = "096bv6jphlq3ch32q30d6h9qg5q8iphhkdpgb4hgmgz8pp2qgsrh";
- name = "k3b-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/k3b-23.08.5.tar.xz";
+ sha256 = "16ihb7xnzjbcywfki6vx932m3wi691n70ribzl85fl688n5m32f7";
+ name = "k3b-23.08.5.tar.xz";
};
};
kaccounts-integration = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kaccounts-integration-23.08.4.tar.xz";
- sha256 = "0ln9f46kbhy7xpbvbbiv3i0kav0w5siqdbhj3s951a6c0mj1dv3v";
- name = "kaccounts-integration-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kaccounts-integration-23.08.5.tar.xz";
+ sha256 = "1f99s7hiix1ccp8zz2z6vb1xf13ffpaan6sqqz4xz1y3jmaf4bn0";
+ name = "kaccounts-integration-23.08.5.tar.xz";
};
};
kaccounts-providers = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kaccounts-providers-23.08.4.tar.xz";
- sha256 = "11cg52vh6bapim4g2s1h7ds59kffrsidq5xg0w3cn9aqhh8hpi89";
- name = "kaccounts-providers-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kaccounts-providers-23.08.5.tar.xz";
+ sha256 = "1ig5k4aalqcq6jjj0y6kg914zj2a0bc3pvws6kjhcyc1kq1q0g88";
+ name = "kaccounts-providers-23.08.5.tar.xz";
};
};
kaddressbook = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kaddressbook-23.08.4.tar.xz";
- sha256 = "11j2a10xc0hmdmsqc6zqv2bjqj5msf3lqk5qq3dkkcgnwipr4v0d";
- name = "kaddressbook-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kaddressbook-23.08.5.tar.xz";
+ sha256 = "08lbkbscqaa5ir7knby457zi0ig79280rcan1fak7gapvpipwhd8";
+ name = "kaddressbook-23.08.5.tar.xz";
};
};
kajongg = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kajongg-23.08.4.tar.xz";
- sha256 = "0nlhh99nsndjd3gzc95dfmn1gzxnq8gg2l9m1mm90hnp4d655jbm";
- name = "kajongg-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kajongg-23.08.5.tar.xz";
+ sha256 = "05ji28lld3y80smj6krwrv5hb74j4wchv65b2q046snk5i5hlf0p";
+ name = "kajongg-23.08.5.tar.xz";
};
};
kalarm = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kalarm-23.08.4.tar.xz";
- sha256 = "0vhjx29i5ikk427md3i8kcf9s6q150hi01gsb64y5lx8jk2hpci1";
- name = "kalarm-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kalarm-23.08.5.tar.xz";
+ sha256 = "1g85pm0l5wjd1hp10klsz8prnic9g7jcbp56a1wkf0f25pzg1pq9";
+ name = "kalarm-23.08.5.tar.xz";
};
};
kalgebra = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kalgebra-23.08.4.tar.xz";
- sha256 = "04wx0ai2xsq8br7hpvm2hcdf5fg0c982bshqsrbxvj1dhrb4mmwd";
- name = "kalgebra-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kalgebra-23.08.5.tar.xz";
+ sha256 = "0fjkx5m34qwgad9amjbgql4awbl8irqhfyrfrxjpwp773lhifbq4";
+ name = "kalgebra-23.08.5.tar.xz";
};
};
kalk = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kalk-23.08.4.tar.xz";
- sha256 = "1ngckrp6lsgb6cnp2d6fca0ywqddwacmr9ac4w6zfzyfjpiyxmic";
- name = "kalk-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kalk-23.08.5.tar.xz";
+ sha256 = "1q4p6f4xrd73iqw1dqk2z65sly123dh9gwvi07i71dk49r9ykrfr";
+ name = "kalk-23.08.5.tar.xz";
};
};
kalzium = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kalzium-23.08.4.tar.xz";
- sha256 = "0gkvschn9la909907xa52hkp55rnbjm3rhrb6gjj07xxf1qrq358";
- name = "kalzium-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kalzium-23.08.5.tar.xz";
+ sha256 = "1134q2z6vx8p244grk8szxnlw942ry50a72j2qfyf96ksrs5bz4v";
+ name = "kalzium-23.08.5.tar.xz";
};
};
kamera = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kamera-23.08.4.tar.xz";
- sha256 = "148pa22gmcb87hp9mxwchc32zjrc7j0n60np5g46h4czjmcppsca";
- name = "kamera-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kamera-23.08.5.tar.xz";
+ sha256 = "1chddpy4larjavd2c2blzxk23kay7hbpsm06fxfa052344qqd5j6";
+ name = "kamera-23.08.5.tar.xz";
};
};
kamoso = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kamoso-23.08.4.tar.xz";
- sha256 = "0ravp92i6q5cn4n6w89991yckjgjp6asm4bsnhnl434gv3q8dj6f";
- name = "kamoso-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kamoso-23.08.5.tar.xz";
+ sha256 = "00cdy2yyaw3p6vv0hg4zgc70yyggy6v6yzp97m8c21i9v8w4bk44";
+ name = "kamoso-23.08.5.tar.xz";
};
};
kanagram = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kanagram-23.08.4.tar.xz";
- sha256 = "0whnpy0yib7gdy8fj9gk21vs7q3lgr0il6ghzzykjq8s65xav4mm";
- name = "kanagram-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kanagram-23.08.5.tar.xz";
+ sha256 = "0163sja60kysny0zbq76q438hxfmv2a9hxrbzhqsniy38w5zr44j";
+ name = "kanagram-23.08.5.tar.xz";
};
};
kapman = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kapman-23.08.4.tar.xz";
- sha256 = "0cyp29nw0cgri2yw0rsc7y5bg37df331s8m1xajsaq2i2f15yk0y";
- name = "kapman-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kapman-23.08.5.tar.xz";
+ sha256 = "1rx1rrka76r4y5d71kxin8zb8b4xgfndf8g5875ygfij0l05yxg3";
+ name = "kapman-23.08.5.tar.xz";
};
};
kapptemplate = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kapptemplate-23.08.4.tar.xz";
- sha256 = "1g7r8fj2jyisk1f1fv7q9bfmmhz08xmbs9wx3xk67ijkmzbpszyx";
- name = "kapptemplate-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kapptemplate-23.08.5.tar.xz";
+ sha256 = "0lan9219l29vdg974cpnchndwsl9g59w13kdkz8hmcb1fycxcy4v";
+ name = "kapptemplate-23.08.5.tar.xz";
};
};
kasts = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kasts-23.08.4.tar.xz";
- sha256 = "18vj02zdzi01r004jv8hpkmgjm0m74kypdsk8xcvw54vqzb5krmi";
- name = "kasts-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kasts-23.08.5.tar.xz";
+ sha256 = "1n5n2rlfsp4fn34xsmcsvmacgy3h88md5aynsxaw8hf8mhl7hrwh";
+ name = "kasts-23.08.5.tar.xz";
};
};
kate = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kate-23.08.4.tar.xz";
- sha256 = "18pvnfzd09ffxrz5g0whd7m342x14zpm0xmic4n7zxh5namaqzr9";
- name = "kate-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kate-23.08.5.tar.xz";
+ sha256 = "0dsfiwd0v0chmcc0v2s193fdyals4ijpnq0bcssd9axjqkcljg38";
+ name = "kate-23.08.5.tar.xz";
};
};
katomic = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/katomic-23.08.4.tar.xz";
- sha256 = "1vksy7qpc12r1y6ss42lqbxqigzbvlvlkggcs2jx399bafs6kf0l";
- name = "katomic-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/katomic-23.08.5.tar.xz";
+ sha256 = "0hmc873kydzgrz0shz53qaii5bqm4rwh2c12w1d9xrml38yxpchd";
+ name = "katomic-23.08.5.tar.xz";
};
};
kbackup = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kbackup-23.08.4.tar.xz";
- sha256 = "12sdg1c3bzyd25vf7z4d9a4z13hjhv7hhdzy9nq2w6v4qmkp28z9";
- name = "kbackup-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kbackup-23.08.5.tar.xz";
+ sha256 = "0pxyqvn2m9q6qh77156vx7spjj53a4shn3sqqyvlqv7acxd4sv51";
+ name = "kbackup-23.08.5.tar.xz";
};
};
kblackbox = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kblackbox-23.08.4.tar.xz";
- sha256 = "0c7bzkib99xx3gqah4j463rdvl39aq7nxh4wda0glik28s9w21kg";
- name = "kblackbox-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kblackbox-23.08.5.tar.xz";
+ sha256 = "0n918g1146fpi2h86sphaxjqpad3ff9mawkh8wzr9jqb91bjw200";
+ name = "kblackbox-23.08.5.tar.xz";
};
};
kblocks = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kblocks-23.08.4.tar.xz";
- sha256 = "0slpkdkwcffq71l4r5vsd0fh73y90zwxfyp0lm69n99p9ni8gjzq";
- name = "kblocks-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kblocks-23.08.5.tar.xz";
+ sha256 = "09xadysjcxpkab805a4hdg9qsp9wv1jkbrmmy4dmbghv7rl9fjcg";
+ name = "kblocks-23.08.5.tar.xz";
};
};
kbounce = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kbounce-23.08.4.tar.xz";
- sha256 = "0lp4cgjrm80355w4xa15ji91z5dsd55m43fhg8zw5yn6kp2hi2mg";
- name = "kbounce-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kbounce-23.08.5.tar.xz";
+ sha256 = "1yxcy10bkz3wj48dys9ag4nm2r7acn7syfj76ss508mdysxw00gi";
+ name = "kbounce-23.08.5.tar.xz";
};
};
kbreakout = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kbreakout-23.08.4.tar.xz";
- sha256 = "0czgfk93xhy9yhc84x2rdbf54dgyqjlhm71vfi5cs8858nacbwk5";
- name = "kbreakout-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kbreakout-23.08.5.tar.xz";
+ sha256 = "0j5gcqvbpr9973bkzxsl0pcic4rbc3x5f9ry20cqb3z311mkhbyh";
+ name = "kbreakout-23.08.5.tar.xz";
};
};
kbruch = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kbruch-23.08.4.tar.xz";
- sha256 = "1rnk6k1rs0nf8470mykas7srwyfpkw71sckgwz1mw9vd4v4mkb2w";
- name = "kbruch-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kbruch-23.08.5.tar.xz";
+ sha256 = "10hiw23kpil059vsscpz0xssxj5x7036jvm84icgzj9vhbklfzfv";
+ name = "kbruch-23.08.5.tar.xz";
};
};
kcachegrind = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kcachegrind-23.08.4.tar.xz";
- sha256 = "011bsb9yxrjmazqs1s6fvzvga4mlhjpdvkifbxblqavwp3ipmwbw";
- name = "kcachegrind-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kcachegrind-23.08.5.tar.xz";
+ sha256 = "1dmpvg1h6zfwg25zl4rkkf43n7q5lyawyf1pa2q9s15hmnvqfrh5";
+ name = "kcachegrind-23.08.5.tar.xz";
};
};
kcalc = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kcalc-23.08.4.tar.xz";
- sha256 = "107q804sn2pvvw0l2wjqwaqiyqnn0cgfxxi5i4nbjvbcm28iwjbr";
- name = "kcalc-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kcalc-23.08.5.tar.xz";
+ sha256 = "0zj32xipmzq7bipdi5yj2wkig5sfgdhl0b7z9q5lhnzji5rxcig5";
+ name = "kcalc-23.08.5.tar.xz";
};
};
kcalutils = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kcalutils-23.08.4.tar.xz";
- sha256 = "17an9cnlcwgi1yqgy7qzw83y4a7jwkzlf0gd976hk90i6yz4krd4";
- name = "kcalutils-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kcalutils-23.08.5.tar.xz";
+ sha256 = "0gbahhzx14zd0rkwkpxxfhvs6dd9m3ajzajwrqyy6kd9zbfwgdlx";
+ name = "kcalutils-23.08.5.tar.xz";
};
};
kcharselect = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kcharselect-23.08.4.tar.xz";
- sha256 = "15cdm98cx74smkfdwwg5y1pi8wwaavp2088x5r99p8vhdccr782k";
- name = "kcharselect-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kcharselect-23.08.5.tar.xz";
+ sha256 = "11k3x06r9p7jgjl2rpkm10gkqkjj0ysrb7116482d20i09n348mz";
+ name = "kcharselect-23.08.5.tar.xz";
};
};
kclock = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kclock-23.08.4.tar.xz";
- sha256 = "0ifd04hsjr2rsn7vb94p3yvf5znqij3i6w30w2nvykyrds0yrnsl";
- name = "kclock-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kclock-23.08.5.tar.xz";
+ sha256 = "1cdqpcngg096vig7q04n0p9blrrxynphmkhq9y13vaywjvq744yx";
+ name = "kclock-23.08.5.tar.xz";
};
};
kcolorchooser = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kcolorchooser-23.08.4.tar.xz";
- sha256 = "135289j58pw2gh0vf3sjq4fz38jw9l4n4h7dqn7jm5ibc36f3iqg";
- name = "kcolorchooser-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kcolorchooser-23.08.5.tar.xz";
+ sha256 = "08dvjaczf88kv8ii754v30b6r1p8cm0l4r81jds7ffs23wcphan6";
+ name = "kcolorchooser-23.08.5.tar.xz";
};
};
kcron = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kcron-23.08.4.tar.xz";
- sha256 = "06w518p82sclwychrzk9y0xmx1ir87yf9irqvvqq62bm479dq92k";
- name = "kcron-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kcron-23.08.5.tar.xz";
+ sha256 = "0hnwkn2pvmmx9cqfchbwiw1pka893izs9pw7ina2am7x6x0y7s82";
+ name = "kcron-23.08.5.tar.xz";
};
};
kde-dev-scripts = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kde-dev-scripts-23.08.4.tar.xz";
- sha256 = "056xymrzb6x7ixj75dcplhr198b0mw78kdfygf9ry0h8ma84gdmb";
- name = "kde-dev-scripts-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kde-dev-scripts-23.08.5.tar.xz";
+ sha256 = "1wn1g8sgxw2hhc4w2xs0fh45yr6vbfizx5npxsr7qqnl9d2q5c8c";
+ name = "kde-dev-scripts-23.08.5.tar.xz";
};
};
kde-dev-utils = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kde-dev-utils-23.08.4.tar.xz";
- sha256 = "06fzj033lm3jmidkkpywdl2sqymarvy97mhlb5kslsl7g9vkkili";
- name = "kde-dev-utils-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kde-dev-utils-23.08.5.tar.xz";
+ sha256 = "10zfdznf0n57q18q9nqn3ckgx200m10laylyl20qv65kh4zzbp96";
+ name = "kde-dev-utils-23.08.5.tar.xz";
};
};
kde-inotify-survey = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kde-inotify-survey-23.08.4.tar.xz";
- sha256 = "0ym04p4647y9amjypqv24mvgf6n0xmjm3zix8v0ywzmlxyd2fkjw";
- name = "kde-inotify-survey-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kde-inotify-survey-23.08.5.tar.xz";
+ sha256 = "0qwcwzx25hvvais13bq2mdvhk0lsj8k8mw34h075rkhrbgir5j1q";
+ name = "kde-inotify-survey-23.08.5.tar.xz";
};
};
kdebugsettings = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kdebugsettings-23.08.4.tar.xz";
- sha256 = "1nhi0cq195bmg6hj4x4c59crjnpfkwsazz5wf52gdh2dmn4dxsk0";
- name = "kdebugsettings-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kdebugsettings-23.08.5.tar.xz";
+ sha256 = "042bw5jmdg9ahwxv24yg8yzcd7fr2xdnph4r83z4jiz7z8f01ccq";
+ name = "kdebugsettings-23.08.5.tar.xz";
};
};
kdeconnect-kde = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kdeconnect-kde-23.08.4.tar.xz";
- sha256 = "0wgqg3di4s8n43q6znr7lzphidi3mnghac4rjgjx08fs65da9m8b";
- name = "kdeconnect-kde-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kdeconnect-kde-23.08.5.tar.xz";
+ sha256 = "0r0d604nki60g0x06131hsn0fqdy59xi9iq9vlnvmf94z1kcshjb";
+ name = "kdeconnect-kde-23.08.5.tar.xz";
};
};
kdeedu-data = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kdeedu-data-23.08.4.tar.xz";
- sha256 = "002b6yvq0f2anr6avpawg8byx78mds0pw550ga5x0dikyp0xwzaj";
- name = "kdeedu-data-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kdeedu-data-23.08.5.tar.xz";
+ sha256 = "0d139xqm3iv5h7ns57wgxxm3rynvb80f991aa1dsc768170nbnli";
+ name = "kdeedu-data-23.08.5.tar.xz";
};
};
kdegraphics-mobipocket = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kdegraphics-mobipocket-23.08.4.tar.xz";
- sha256 = "1rqx2y9xfqn32xv4vb7j2pp6i0nc9a64llmbp6jzvazbv7yzlw7q";
- name = "kdegraphics-mobipocket-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kdegraphics-mobipocket-23.08.5.tar.xz";
+ sha256 = "1z53132pll7w0z2p4iifcny19ahgvqnk0bm0pdgi815hqwdsjkvi";
+ name = "kdegraphics-mobipocket-23.08.5.tar.xz";
};
};
kdegraphics-thumbnailers = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kdegraphics-thumbnailers-23.08.4.tar.xz";
- sha256 = "0i8h3whf4hh8ff0rivbkkr8v58y1jzwh4jpwb47vb1d0hlskzvw6";
- name = "kdegraphics-thumbnailers-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kdegraphics-thumbnailers-23.08.5.tar.xz";
+ sha256 = "0c3gk3badbparz327a1d2i78qwg335i2k36y4sh9s1zs74008nmh";
+ name = "kdegraphics-thumbnailers-23.08.5.tar.xz";
};
};
kdenetwork-filesharing = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kdenetwork-filesharing-23.08.4.tar.xz";
- sha256 = "1v2zvn228jc3s6rf362zbbrxilxqkjvvnjw1y5yhdnk9c3l5nglj";
- name = "kdenetwork-filesharing-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kdenetwork-filesharing-23.08.5.tar.xz";
+ sha256 = "1pkq11dn0gf841am57bg0i3m8dzx8bkbh2n3fp9452qbg0i6319z";
+ name = "kdenetwork-filesharing-23.08.5.tar.xz";
};
};
kdenlive = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kdenlive-23.08.4.tar.xz";
- sha256 = "04yk092z6hwblfn8y61ny72pxb7czd20lw2jmvrs05lf1l4i3ik5";
- name = "kdenlive-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kdenlive-23.08.5.tar.xz";
+ sha256 = "1nw338bfak806p77329z1wk401ql190l2lw4z4iw6mx2wrc69scs";
+ name = "kdenlive-23.08.5.tar.xz";
};
};
kdepim-addons = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kdepim-addons-23.08.4.tar.xz";
- sha256 = "1qxbz97gpn6gwqq40bxx6w75bd0slaah0wvf8mrir3x1b1h5kvrv";
- name = "kdepim-addons-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kdepim-addons-23.08.5.tar.xz";
+ sha256 = "1c24vlvqvfk0rfbq7z9mvjywjmf52h8xdziha8drgzk64spyklsq";
+ name = "kdepim-addons-23.08.5.tar.xz";
};
};
kdepim-runtime = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kdepim-runtime-23.08.4.tar.xz";
- sha256 = "188xgj7g5i76h6d6n8zw3qn965rm64aa8wiza92bq2hxihgj3hn2";
- name = "kdepim-runtime-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kdepim-runtime-23.08.5.tar.xz";
+ sha256 = "1xvpqlx1n3hcigdd19q3g1l86wvz1bdr0d9szilc2yqn5zb0f6zy";
+ name = "kdepim-runtime-23.08.5.tar.xz";
};
};
kdesdk-kio = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kdesdk-kio-23.08.4.tar.xz";
- sha256 = "1vz44a7261a538qhpn7ria56wa3zabbxgb33dqpzxapmsgnm0q01";
- name = "kdesdk-kio-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kdesdk-kio-23.08.5.tar.xz";
+ sha256 = "0dfgzm8q4raycjwc38g651gkz3m4jfl0hhc3ppvnpq71wapdjdvy";
+ name = "kdesdk-kio-23.08.5.tar.xz";
};
};
kdesdk-thumbnailers = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kdesdk-thumbnailers-23.08.4.tar.xz";
- sha256 = "0ibbfy5l1d5iv8m6sjwbw2f9s0kvzrvbi6k2j3gcf2a41y5hay3a";
- name = "kdesdk-thumbnailers-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kdesdk-thumbnailers-23.08.5.tar.xz";
+ sha256 = "1yz44jf3sm7ja2ifqqjdiipjz4g77dj9ywkzjrcbh0qby56497i5";
+ name = "kdesdk-thumbnailers-23.08.5.tar.xz";
};
};
kdev-php = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kdev-php-23.08.4.tar.xz";
- sha256 = "1l0g6jx3iz6k8vmjziazm4h21myi3qb80nflaydddcrd6bzrgh10";
- name = "kdev-php-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kdev-php-23.08.5.tar.xz";
+ sha256 = "0xrfgrs14mq7dkw4k90srkxxhrwq0r321s006qfpjyd4za7jjqr6";
+ name = "kdev-php-23.08.5.tar.xz";
};
};
kdev-python = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kdev-python-23.08.4.tar.xz";
- sha256 = "14xj96yv24qdsyz9cdiy1b14wp8w72iayyvqyp0w050p4i6cjvm3";
- name = "kdev-python-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kdev-python-23.08.5.tar.xz";
+ sha256 = "02knvrppybs76xmsyyz1q21lacdkxna14ws6mfcmb1rhpghlkgvs";
+ name = "kdev-python-23.08.5.tar.xz";
};
};
kdevelop = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kdevelop-23.08.4.tar.xz";
- sha256 = "1w3s6ncrbldsfm0ca6cryxf0d53d87k4iifcahq12acgrqmjpl4i";
- name = "kdevelop-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kdevelop-23.08.5.tar.xz";
+ sha256 = "1y71rvz19akdzsq7ky6w5aarj65lpbwa47nyyabi0vicyy3z4d6n";
+ name = "kdevelop-23.08.5.tar.xz";
};
};
kdf = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kdf-23.08.4.tar.xz";
- sha256 = "038ckjiikiy23gihxnznxlaf8wmni83hi9q2i4dms4956776rlfi";
- name = "kdf-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kdf-23.08.5.tar.xz";
+ sha256 = "0zqpxam34s22wv08cd4x49raswyqpvx0pcbszhgng8bb162bi3ma";
+ name = "kdf-23.08.5.tar.xz";
};
};
kdialog = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kdialog-23.08.4.tar.xz";
- sha256 = "0y6dchl3nv183hlkmwkmj654mhm3dvad3mkq8d2622jl73w9byld";
- name = "kdialog-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kdialog-23.08.5.tar.xz";
+ sha256 = "1p56dmndvaqbm9mw6hki5k4jr4p5w9sg26wvr13s7jcnyca21hqj";
+ name = "kdialog-23.08.5.tar.xz";
};
};
kdiamond = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kdiamond-23.08.4.tar.xz";
- sha256 = "02ws8wr7adwcm3rl70zchl3kgc5jgr6j5wz07hswdwwl2nl2pd69";
- name = "kdiamond-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kdiamond-23.08.5.tar.xz";
+ sha256 = "19kjg5r0260rim4gl5d1bi547p4mm2ac56pn6w423my8cjzdrgri";
+ name = "kdiamond-23.08.5.tar.xz";
};
};
keditbookmarks = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/keditbookmarks-23.08.4.tar.xz";
- sha256 = "14xzjlyaqvg6qkjmw4d6540f5xawkd7sjxrvfa5bas623c1888ks";
- name = "keditbookmarks-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/keditbookmarks-23.08.5.tar.xz";
+ sha256 = "1h8al2kryvfm7a45axxg0n72nr5myampbqyjgfqm1ibzkfgf4skd";
+ name = "keditbookmarks-23.08.5.tar.xz";
};
};
keysmith = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/keysmith-23.08.4.tar.xz";
- sha256 = "11fm64h7pzsm63hw3cji033m9xk2hcnc0d74rzyvpn1ql3whn43n";
- name = "keysmith-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/keysmith-23.08.5.tar.xz";
+ sha256 = "0nix18xvy3kdz1kw9a7annl8yy43f1x9a50him85dbkk9bn7731g";
+ name = "keysmith-23.08.5.tar.xz";
};
};
kfind = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kfind-23.08.4.tar.xz";
- sha256 = "1psf1lwbnpnqp8snm4880awnxapv27b42nax6b8nxzf7mb5lqlkh";
- name = "kfind-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kfind-23.08.5.tar.xz";
+ sha256 = "1j1fihfhdg1x5glayfz57xz2k9j54lyrnkj3i9x8pzvrkznfj55s";
+ name = "kfind-23.08.5.tar.xz";
};
};
kfourinline = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kfourinline-23.08.4.tar.xz";
- sha256 = "0g5hml3jzz7p1jacxqqb2llvhv5p2g4j9wdvrplxf8cycwwd941n";
- name = "kfourinline-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kfourinline-23.08.5.tar.xz";
+ sha256 = "1fnprcpm6jpdl0kzwjq2jq36swv3z3vvmxcnz5mzjl5gnh51223d";
+ name = "kfourinline-23.08.5.tar.xz";
};
};
kgeography = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kgeography-23.08.4.tar.xz";
- sha256 = "06nvqn9mrpk1i14xwh9qv6g22hs2s64ip7lxjms4j3js304606wg";
- name = "kgeography-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kgeography-23.08.5.tar.xz";
+ sha256 = "1wcy2fxrj73sa283n0xbj6zyrbgmhkxw4dn01w7kqix2afwa1wdm";
+ name = "kgeography-23.08.5.tar.xz";
};
};
kget = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kget-23.08.4.tar.xz";
- sha256 = "0gmkizhgi2fwvvkycpcvj46ws3w5hgsz5ajy58857y1nam23293r";
- name = "kget-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kget-23.08.5.tar.xz";
+ sha256 = "13pkvcp8sfl23l34lwnrgl80d8wcg7k5rvvzvzyafvkjy1xjpaif";
+ name = "kget-23.08.5.tar.xz";
};
};
kgoldrunner = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kgoldrunner-23.08.4.tar.xz";
- sha256 = "02nslz7fz4d6d8gg4qb2flsciirqq0yxfgc0rp8r677gv2nfarmk";
- name = "kgoldrunner-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kgoldrunner-23.08.5.tar.xz";
+ sha256 = "032v02z825d363yhbbyb6blaff7zwrg41k2jlzhhqldcnd814qpc";
+ name = "kgoldrunner-23.08.5.tar.xz";
};
};
kgpg = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kgpg-23.08.4.tar.xz";
- sha256 = "12x2vyn63agqryjzk9dm9jdgxiaw685nv86ysbmmnf621qcvl13m";
- name = "kgpg-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kgpg-23.08.5.tar.xz";
+ sha256 = "14l51g4m9vfwzmja3qknb6jdx43sqhgrdy5xnng401gfjhir2b1q";
+ name = "kgpg-23.08.5.tar.xz";
};
};
khangman = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/khangman-23.08.4.tar.xz";
- sha256 = "1jj2ahaw9i5xv8jkqd8s9vrxnaz5aamq86ryq43jssrppm11r88x";
- name = "khangman-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/khangman-23.08.5.tar.xz";
+ sha256 = "1xjnrlgwpccgjf0cawy7vh554l6jpnp4b2x3lp6s226s39y021s3";
+ name = "khangman-23.08.5.tar.xz";
};
};
khelpcenter = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/khelpcenter-23.08.4.tar.xz";
- sha256 = "0j7dlnc5yn0bjvcc5811chy74rdkj1431lrl1czmyb1xl66bp52i";
- name = "khelpcenter-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/khelpcenter-23.08.5.tar.xz";
+ sha256 = "1mvzflhiqgpvgk7a1av9hf6x2halxb32ppcy7f34q3m8apxnj3sc";
+ name = "khelpcenter-23.08.5.tar.xz";
};
};
kidentitymanagement = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kidentitymanagement-23.08.4.tar.xz";
- sha256 = "16z86wi9n7l4ly4l3l0yzirqyrsqz1fngmad0cjcfhjkd29ncwwj";
- name = "kidentitymanagement-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kidentitymanagement-23.08.5.tar.xz";
+ sha256 = "00bjswh55aciphzifmakw118v1pknk4bsfbpi8cjsjx24vpzgmxw";
+ name = "kidentitymanagement-23.08.5.tar.xz";
};
};
kig = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kig-23.08.4.tar.xz";
- sha256 = "1bykhflf9xr408c9z7g76q5kczi4ara7wbv4hy6abwmn4qsaw52k";
- name = "kig-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kig-23.08.5.tar.xz";
+ sha256 = "0pkh5l5nn70ag5fcld30n43i6mwfk9wxdq1bpm741pa0ji6vsq5g";
+ name = "kig-23.08.5.tar.xz";
};
};
kigo = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kigo-23.08.4.tar.xz";
- sha256 = "1clb12pjlsqb2l4n7zp292gv7nd8bh543x75cz41d2l6zv59jlnd";
- name = "kigo-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kigo-23.08.5.tar.xz";
+ sha256 = "1x4anmxcgd7jb39cmfc1klg1vqmp9lxpbwlab1m60542r5s7rh0a";
+ name = "kigo-23.08.5.tar.xz";
};
};
killbots = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/killbots-23.08.4.tar.xz";
- sha256 = "1crcv5pr2avadrzv4vh9gls0gwflqvz8w2cjan7hb2xfnszphhp4";
- name = "killbots-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/killbots-23.08.5.tar.xz";
+ sha256 = "0j1m8f3zmskk7m47i9vqfvrf3c7fd6bi23pwhlhraabixpd9wv9i";
+ name = "killbots-23.08.5.tar.xz";
};
};
kimagemapeditor = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kimagemapeditor-23.08.4.tar.xz";
- sha256 = "1c3yclj7rrvkc3pis06h00i9cwll9grqvadrdfixmzfcdg7glf1w";
- name = "kimagemapeditor-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kimagemapeditor-23.08.5.tar.xz";
+ sha256 = "036zj278mpfnh35h0qvwcjgb7661xkxnqccib3v55w0vdpn8y9hg";
+ name = "kimagemapeditor-23.08.5.tar.xz";
};
};
kimap = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kimap-23.08.4.tar.xz";
- sha256 = "036liwpz7yzl192lbkhmasi5irw5884vrhj7qnnp6ihmh400b8as";
- name = "kimap-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kimap-23.08.5.tar.xz";
+ sha256 = "0gbq8pc91a1ak0yg55m4xpi4zgz2dfajvxgwq0simnm7mhcj1za2";
+ name = "kimap-23.08.5.tar.xz";
};
};
kio-admin = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kio-admin-23.08.4.tar.xz";
- sha256 = "1xlr94p6yh65v8spdp80hrjbw74iazzpwvxz94sizfv7vl64i3q5";
- name = "kio-admin-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kio-admin-23.08.5.tar.xz";
+ sha256 = "0bksn8vpqwp0qfwyapbm33karf46hlmcmkhsybn6d8wljb44cq48";
+ name = "kio-admin-23.08.5.tar.xz";
};
};
kio-extras = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kio-extras-23.08.4.tar.xz";
- sha256 = "0qfd92di1z59i8258640vsgrikkij73bjdxkfpp495cyrlhvr37n";
- name = "kio-extras-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kio-extras-23.08.5.tar.xz";
+ sha256 = "0gr63gmnivxz5rfhfmky1skx8r5krqljdjyq8vxd97r3qwffrq0s";
+ name = "kio-extras-23.08.5.tar.xz";
};
};
kio-gdrive = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kio-gdrive-23.08.4.tar.xz";
- sha256 = "17ihwp04ips0gyvvwyhkwilad47c2qxkik5bsi6gxafh8f0jb0d8";
- name = "kio-gdrive-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kio-gdrive-23.08.5.tar.xz";
+ sha256 = "19pdspi0ysx9589zqrdlkj3hly9rxl80pgqvas1iwhw4aahkx66m";
+ name = "kio-gdrive-23.08.5.tar.xz";
};
};
kio-zeroconf = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kio-zeroconf-23.08.4.tar.xz";
- sha256 = "1p2h6v9ymcdc0szb0cy35c6jwp71arr4h8b8mr5hdwgyrg0zrfa9";
- name = "kio-zeroconf-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kio-zeroconf-23.08.5.tar.xz";
+ sha256 = "0d0an6i63gkrr2gxpi6xdzdpzwav9wvghcy299dc1xqipdk939h9";
+ name = "kio-zeroconf-23.08.5.tar.xz";
};
};
kipi-plugins = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kipi-plugins-23.08.4.tar.xz";
- sha256 = "12mzclfmi4vj5rl39dmj6qqp1g3008kpn1vr8f0qsyphjpr82syv";
- name = "kipi-plugins-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kipi-plugins-23.08.5.tar.xz";
+ sha256 = "0sjkxsaxhns0d21n36zlzhxzysr3y3675z9vbc4ji10gjlskxq10";
+ name = "kipi-plugins-23.08.5.tar.xz";
};
};
kirigami-gallery = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kirigami-gallery-23.08.4.tar.xz";
- sha256 = "0fxw9c0543qxqsaibkxjmhsgvyhny6yy5krbfk73fs6a7klvbqma";
- name = "kirigami-gallery-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kirigami-gallery-23.08.5.tar.xz";
+ sha256 = "0my44hmjgn551bm1j3ij6dynmxag7pxlkxvvvdizr1imcd0p1qy4";
+ name = "kirigami-gallery-23.08.5.tar.xz";
};
};
kiriki = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kiriki-23.08.4.tar.xz";
- sha256 = "1rh4ypql69rgwj7cn166qgr5irp8mdm7757r08gi065kz0lxhfgw";
- name = "kiriki-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kiriki-23.08.5.tar.xz";
+ sha256 = "1mnyd9w5cf0sm4m8fg6fhg1cxrwmhmbjhn2k8h7zxp1k80k4gcy6";
+ name = "kiriki-23.08.5.tar.xz";
};
};
kiten = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kiten-23.08.4.tar.xz";
- sha256 = "142rmapzybmzqmnx6j4j8vgxmzs8y00rp18ax7s8kfs7gn03ad39";
- name = "kiten-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kiten-23.08.5.tar.xz";
+ sha256 = "0fk264sm6yfiwikrjpva8ybxh2bnwh42mqsyryng76vwxdmm3s0y";
+ name = "kiten-23.08.5.tar.xz";
};
};
kitinerary = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kitinerary-23.08.4.tar.xz";
- sha256 = "090q9mlf7i8ydi458gvbgvmxm77ys97az7lknl16pz4gfmf0ld71";
- name = "kitinerary-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kitinerary-23.08.5.tar.xz";
+ sha256 = "1a3qw7s5qwd4x4f4phxwis0y13yf5j463wjai2awr641zq121gdf";
+ name = "kitinerary-23.08.5.tar.xz";
};
};
kjournald = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kjournald-23.08.4.tar.xz";
- sha256 = "0b1gv5yypkk3vhgvw2g4clk8mipz3bv5pdnqidzjwhl5z2lisgzl";
- name = "kjournald-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kjournald-23.08.5.tar.xz";
+ sha256 = "1l7d4zqsxak2c2yvsqx1x1mw8b6sxx54svg0lxznjrk4va1h55zp";
+ name = "kjournald-23.08.5.tar.xz";
};
};
kjumpingcube = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kjumpingcube-23.08.4.tar.xz";
- sha256 = "17km7y89b637f7r9g90a684fsc4lsdnwqm7m3w6qy89h3r74kd2x";
- name = "kjumpingcube-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kjumpingcube-23.08.5.tar.xz";
+ sha256 = "0w4wsc1n6qlz8m3kjdqbjw6ccfqzc3fpa2n11k5vhb1vysxa3vld";
+ name = "kjumpingcube-23.08.5.tar.xz";
};
};
kldap = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kldap-23.08.4.tar.xz";
- sha256 = "0gh5sg11qcg40wns7i3g7bwwdkmqzb1kqrfrgdg08j7vqjwhwj30";
- name = "kldap-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kldap-23.08.5.tar.xz";
+ sha256 = "1gkc31028fqdvf5yf7nwhyqii1zy3sxggnid74xxwfknr0pxqacx";
+ name = "kldap-23.08.5.tar.xz";
};
};
kleopatra = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kleopatra-23.08.4.tar.xz";
- sha256 = "0mwicqry4h3q2bq138flxag5x5l8qpwlbg97jifjc32yqlxpg3jm";
- name = "kleopatra-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kleopatra-23.08.5.tar.xz";
+ sha256 = "19pivdjnq6b0m79gy4mfqyrl604mnlhd41c3zr432xnkkrcidi59";
+ name = "kleopatra-23.08.5.tar.xz";
};
};
klettres = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/klettres-23.08.4.tar.xz";
- sha256 = "16304hdzz78nyqqpcq3sf4f6wlk26imffag17cap3fs9l0qpq5pn";
- name = "klettres-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/klettres-23.08.5.tar.xz";
+ sha256 = "0zl1r4b84a5yq593lbla6wfw823l1qnqg9zxpzip10vrzji2gjga";
+ name = "klettres-23.08.5.tar.xz";
};
};
klickety = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/klickety-23.08.4.tar.xz";
- sha256 = "0vhf069mlv6xkdzyj4dp251k0rinslaai6kkm7kjim0dal7ykk37";
- name = "klickety-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/klickety-23.08.5.tar.xz";
+ sha256 = "11wir03ci5x4s2m4j14qbmid5m9grgd4n7zqrvjrsr9mipbm5p39";
+ name = "klickety-23.08.5.tar.xz";
};
};
klines = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/klines-23.08.4.tar.xz";
- sha256 = "0f2a8vgnpg2mf9hc4gbz4ld5lw5jwbw1vyais6c9djykp552rjx9";
- name = "klines-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/klines-23.08.5.tar.xz";
+ sha256 = "07ipifmjpfszifi8jy8g1rmbi0jx4l4jqf81wvhv80llbna48ypx";
+ name = "klines-23.08.5.tar.xz";
};
};
kmag = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kmag-23.08.4.tar.xz";
- sha256 = "12djnbl0l9z2vilndchx4z4mznrkwqvjdlgdj2gynj2wa08flprd";
- name = "kmag-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kmag-23.08.5.tar.xz";
+ sha256 = "1jaf97dyc8lcdmmlva11ivkylkcpbim48lrrm08cvsvs3iw66vr5";
+ name = "kmag-23.08.5.tar.xz";
};
};
kmahjongg = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kmahjongg-23.08.4.tar.xz";
- sha256 = "17gnl34x5dq8lqws19m2cqf7k3sc8hs3290pnjmnxcgb29fy0mv0";
- name = "kmahjongg-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kmahjongg-23.08.5.tar.xz";
+ sha256 = "0id838z75xppc7lwg94w1a7xy5jzy331xz2x80nsdn425fhgyhw7";
+ name = "kmahjongg-23.08.5.tar.xz";
};
};
kmail = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kmail-23.08.4.tar.xz";
- sha256 = "10p5diprnhmgji4k9vm4bfhvjllah75j728cljvacdbmcqw879jv";
- name = "kmail-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kmail-23.08.5.tar.xz";
+ sha256 = "0mdp5ax7215x3mfi90cspp181l1cmhdwlhpijcnqq842gdjaqf3i";
+ name = "kmail-23.08.5.tar.xz";
};
};
kmail-account-wizard = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kmail-account-wizard-23.08.4.tar.xz";
- sha256 = "05n1c0piblrr3032hpy4zcqw3kkp4shy08qbq3rw1kdzaibmc86a";
- name = "kmail-account-wizard-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kmail-account-wizard-23.08.5.tar.xz";
+ sha256 = "1fjxzyg8sb16kd85nqrw6xql143mmm4wz463flc0hsjdpcnfb297";
+ name = "kmail-account-wizard-23.08.5.tar.xz";
};
};
kmailtransport = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kmailtransport-23.08.4.tar.xz";
- sha256 = "18kkm56q336hh0j0lfnk871bzjsjfii0r69v9b6gsh1nni2lygks";
- name = "kmailtransport-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kmailtransport-23.08.5.tar.xz";
+ sha256 = "05f4kp4rwb4lk82av4aqzllbcizam25994wsvyxcpddfv37jpd63";
+ name = "kmailtransport-23.08.5.tar.xz";
};
};
kmbox = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kmbox-23.08.4.tar.xz";
- sha256 = "1n9243aw9wvg1zr89djk98k14pik1h0z182jksb8mw8vv7xqqafm";
- name = "kmbox-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kmbox-23.08.5.tar.xz";
+ sha256 = "007lrmzbm44mrp46n7j510hqgg9wq947g0b7zbxfp5dr1rxvi0z5";
+ name = "kmbox-23.08.5.tar.xz";
};
};
kmime = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kmime-23.08.4.tar.xz";
- sha256 = "04qgr8lws48m56lffbdqxkas7p97jm9scq2ccdksrq05dh6jl5hd";
- name = "kmime-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kmime-23.08.5.tar.xz";
+ sha256 = "1nizvbjn3prbcgzgg03vfgffpjqmpxy7pqvxzjs8yfmz79rlx2dn";
+ name = "kmime-23.08.5.tar.xz";
};
};
kmines = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kmines-23.08.4.tar.xz";
- sha256 = "0wym7v9cmd4y2z3i7rqwvvpcm1hdkwi8rqwzhqcmh5i3xk3j5pmv";
- name = "kmines-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kmines-23.08.5.tar.xz";
+ sha256 = "0lwkiq5vcw10h8lvqsb4jri8pghdsp3b8jp4c5ihwawjzwl29cyb";
+ name = "kmines-23.08.5.tar.xz";
};
};
kmix = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kmix-23.08.4.tar.xz";
- sha256 = "0i2ywcipcprxmi23f501jjzic00nri0ss20dfcicgf0bpc8ybh71";
- name = "kmix-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kmix-23.08.5.tar.xz";
+ sha256 = "10415kj94d63fpx2i5xhbrj93i4d91hn8d1bbj484375vflsqwc6";
+ name = "kmix-23.08.5.tar.xz";
};
};
kmousetool = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kmousetool-23.08.4.tar.xz";
- sha256 = "12i73smzx3kjj75hza424cr5nnq80xvgfv2kwmf32a3k9436jx15";
- name = "kmousetool-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kmousetool-23.08.5.tar.xz";
+ sha256 = "01wmhd0kb0xbyg5lr0vbj8nrk1ri5nllq5fd9pyq9whxvsar4fyz";
+ name = "kmousetool-23.08.5.tar.xz";
};
};
kmouth = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kmouth-23.08.4.tar.xz";
- sha256 = "08f1yb7m3c3pyp6glwwg9lya3a6j30wvxga2j2ik9hwfs9jx5x9j";
- name = "kmouth-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kmouth-23.08.5.tar.xz";
+ sha256 = "15sa5q37fd9228m78d7w7xdfsy18hyd43snvrngiiw4317x9km4n";
+ name = "kmouth-23.08.5.tar.xz";
};
};
kmplot = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kmplot-23.08.4.tar.xz";
- sha256 = "1zmxaahpj6k5fk5fzrxqzrkki080mkxgz2a73ajsfjs387qvxg92";
- name = "kmplot-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kmplot-23.08.5.tar.xz";
+ sha256 = "05rdpjc7hlwkh2klhvybjjq73g15apysk31wph2pljg46mwh9sc4";
+ name = "kmplot-23.08.5.tar.xz";
};
};
knavalbattle = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/knavalbattle-23.08.4.tar.xz";
- sha256 = "106hjf4ji4c9gvly916dbslvpxgc04qwp403srknqgrbx8ixsacg";
- name = "knavalbattle-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/knavalbattle-23.08.5.tar.xz";
+ sha256 = "09s7lax3yd4vx6rp29540vzy555b2yp1m7lq5pd8ighiww78pznb";
+ name = "knavalbattle-23.08.5.tar.xz";
};
};
knetwalk = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/knetwalk-23.08.4.tar.xz";
- sha256 = "1vk1z9jk77qn8abckdj3mv3a4xyaz9r44b5hxzsf79870p4ss2ix";
- name = "knetwalk-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/knetwalk-23.08.5.tar.xz";
+ sha256 = "0d47650cc4cabycilhbc6zbrbbbsn4awiswsk91lzkp47jpvjfqb";
+ name = "knetwalk-23.08.5.tar.xz";
};
};
knights = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/knights-23.08.4.tar.xz";
- sha256 = "08flarcc72hrv59ahiwh6c9cfyrjr1lhk42xv0arnvf87w0a6dr9";
- name = "knights-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/knights-23.08.5.tar.xz";
+ sha256 = "10xy3cr2z10l6zp2fp5kv8s94wbizz39afcg2i7n30w1r9pj6csn";
+ name = "knights-23.08.5.tar.xz";
};
};
knotes = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/knotes-23.08.4.tar.xz";
- sha256 = "1xm9sjm0kqsyj8ah3mhb66hb80icfs45byz0lvbw7idridv22bpd";
- name = "knotes-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/knotes-23.08.5.tar.xz";
+ sha256 = "1bh2f10z2djvf77rsdlrwg0s4crkirjqaw0cwjapv2d2y03blgx6";
+ name = "knotes-23.08.5.tar.xz";
};
};
koko = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/koko-23.08.4.tar.xz";
- sha256 = "12inpjdbf4xa569wb4rc0qckkm6g2wy3ggls2wdf2pr3k36yhx9m";
- name = "koko-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/koko-23.08.5.tar.xz";
+ sha256 = "0drs0yj7r5qm762x2y5ixczvcnlk8gy7qsh3h88k0cb95wxgz7dq";
+ name = "koko-23.08.5.tar.xz";
};
};
kolf = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kolf-23.08.4.tar.xz";
- sha256 = "0mxs7vif7zwgbmrm3h20km9pf2cl129zbc4fvxzkwvksa982b92w";
- name = "kolf-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kolf-23.08.5.tar.xz";
+ sha256 = "1cfmdbplhabaz62zs0jrf0p146rm688riiapckg19mcqzcvqq8cq";
+ name = "kolf-23.08.5.tar.xz";
};
};
kollision = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kollision-23.08.4.tar.xz";
- sha256 = "1ywl689cr0673xs5aflg689k5n9rnmgp0ggwmv56czrm8fkp015a";
- name = "kollision-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kollision-23.08.5.tar.xz";
+ sha256 = "15amfmyma1p0gpq0xx3yix6n0wj469gws8pydpynmn75z89r61zz";
+ name = "kollision-23.08.5.tar.xz";
};
};
kolourpaint = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kolourpaint-23.08.4.tar.xz";
- sha256 = "1rpq355q2ghhxx3hvqqygr92vwlrm5vcpgvl422xr456ljn7jarc";
- name = "kolourpaint-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kolourpaint-23.08.5.tar.xz";
+ sha256 = "1kjaxab9iasszgn7zfq5lhb2nkxrkd42x16y6pqs9ar4ixc6nbwl";
+ name = "kolourpaint-23.08.5.tar.xz";
};
};
kompare = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kompare-23.08.4.tar.xz";
- sha256 = "14rwav520s95zf83jaa1xqbskaj74pqx7di8i5f36fw0ap5llgvr";
- name = "kompare-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kompare-23.08.5.tar.xz";
+ sha256 = "0yajvzm98rqs214lp2rfrzz925ddgqgjmdxq7zm74qarixq3kyic";
+ name = "kompare-23.08.5.tar.xz";
};
};
kongress = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kongress-23.08.4.tar.xz";
- sha256 = "03qn8cqnsm6267p5yk86z22k6j2mwdnf31v93v6x496yfmhg89xa";
- name = "kongress-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kongress-23.08.5.tar.xz";
+ sha256 = "04mb4siivza5gjcyb68cv34vlkd9xsk79nv0z6g7f2l7ir7q9l42";
+ name = "kongress-23.08.5.tar.xz";
};
};
konqueror = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/konqueror-23.08.4.tar.xz";
- sha256 = "1bz6v320kwv9sz86zv1icqi6la4pml79mq0hya43x0i709nqdrli";
- name = "konqueror-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/konqueror-23.08.5.tar.xz";
+ sha256 = "1yhc6yyw8549qmask70rqja1p70wcwbkg8hiln16bxsb6ngl9aw4";
+ name = "konqueror-23.08.5.tar.xz";
};
};
konquest = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/konquest-23.08.4.tar.xz";
- sha256 = "08wal5q84nbdlnb7f850bkfypk09dbw467416038p340fnjyvxzq";
- name = "konquest-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/konquest-23.08.5.tar.xz";
+ sha256 = "0c04lzmacmx5ch5awsxn2wx0vyv632qazypak0vp45jm885fg059";
+ name = "konquest-23.08.5.tar.xz";
};
};
konsole = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/konsole-23.08.4.tar.xz";
- sha256 = "1dwg4x8xmzy5kh4szzl814hgjpvn4vi6pwfz1abmnqa9qz85n2vc";
- name = "konsole-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/konsole-23.08.5.tar.xz";
+ sha256 = "1jn1c01cc6xsgd5b6c2q0fbr9fdn0nqzfc9fwsy4cyn279sj1yy6";
+ name = "konsole-23.08.5.tar.xz";
};
};
kontact = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kontact-23.08.4.tar.xz";
- sha256 = "12bk6rr1lj3b036l341lh5na2cw6r6khd4dq220pyrf1i75fnizp";
- name = "kontact-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kontact-23.08.5.tar.xz";
+ sha256 = "1p205y9z0y7khvpbl9lq9yl1z6pvnpl98yj8baj42rfynnvj5sx6";
+ name = "kontact-23.08.5.tar.xz";
};
};
kontactinterface = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kontactinterface-23.08.4.tar.xz";
- sha256 = "0ar57i4cdmpqsqsgnpavs3rs4hfj39wnddacvxpcj33ifzq40dln";
- name = "kontactinterface-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kontactinterface-23.08.5.tar.xz";
+ sha256 = "1gxjb3g3a2prbiki6f980vm9jdkiicnw138p8clvarw1zqr6vwgd";
+ name = "kontactinterface-23.08.5.tar.xz";
};
};
kontrast = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kontrast-23.08.4.tar.xz";
- sha256 = "1c4z9kh38njvb06i47gfyz85hai7kcvfrrz1ab6ipnx73ci2j7fp";
- name = "kontrast-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kontrast-23.08.5.tar.xz";
+ sha256 = "1azx1x3136z2qzf3drw52k9l8g8vffc0jx0pvfpqhgkpi471l4vy";
+ name = "kontrast-23.08.5.tar.xz";
};
};
konversation = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/konversation-23.08.4.tar.xz";
- sha256 = "1wl7rnipv7spnlkcz5fcc272m5h8q9q0s56ln04wdybvqnwmvk2g";
- name = "konversation-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/konversation-23.08.5.tar.xz";
+ sha256 = "1gi57pk10cs8cnaw26xjp8ffyqi77azvns99c5mmk29pfwb6ymv0";
+ name = "konversation-23.08.5.tar.xz";
};
};
kopeninghours = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kopeninghours-23.08.4.tar.xz";
- sha256 = "0w6nflzhhasdm2sbgx8nlqp95y1yklwrpvm5q6njivxwfi68abwg";
- name = "kopeninghours-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kopeninghours-23.08.5.tar.xz";
+ sha256 = "0ihrjdyxaw5a5wvyjx6n0gl5l37djrqlc30mwaf9ihwrbvvlqb16";
+ name = "kopeninghours-23.08.5.tar.xz";
};
};
kopete = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kopete-23.08.4.tar.xz";
- sha256 = "0lp58zribmp6iaia97m6a2p8bizxy1wan7f9k968gpg8ykpv7vhx";
- name = "kopete-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kopete-23.08.5.tar.xz";
+ sha256 = "0ccf3flphc1zh59np8y0pl6rvq0ff9qfrqqmaqzfqmn2y02piy0a";
+ name = "kopete-23.08.5.tar.xz";
};
};
korganizer = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/korganizer-23.08.4.tar.xz";
- sha256 = "10rbrdv55cjac5hv94k0dp82dnd0176ykw1df4lnzccmk047kxsk";
- name = "korganizer-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/korganizer-23.08.5.tar.xz";
+ sha256 = "1hgdrnax7m5ngjh8qcxsxr2aq3cdx56bkzl747byh08klrmbx9n4";
+ name = "korganizer-23.08.5.tar.xz";
};
};
kosmindoormap = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kosmindoormap-23.08.4.tar.xz";
- sha256 = "1w7wa0ma8gnyawiaidcwa5hm5zx9pd8vfh18srwb2f1dffx0hzic";
- name = "kosmindoormap-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kosmindoormap-23.08.5.tar.xz";
+ sha256 = "00xb91x3d3r3wmlyw83975f4h2igmbybi3ac951jal1nfpix8yv4";
+ name = "kosmindoormap-23.08.5.tar.xz";
};
};
kpat = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kpat-23.08.4.tar.xz";
- sha256 = "0r7krvl4cm1vzaprm5vzyw8wx04idqssv6p606avsvp39jcv4g24";
- name = "kpat-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kpat-23.08.5.tar.xz";
+ sha256 = "1grilk4jdaygfi63h7km8q1iv82sz2azsmgzbzz67alg4add1k6m";
+ name = "kpat-23.08.5.tar.xz";
};
};
kpimtextedit = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kpimtextedit-23.08.4.tar.xz";
- sha256 = "1r8df5qyhq8r30i2p55q8wsm1znnvmzif06axzazxhl2x0n94mfs";
- name = "kpimtextedit-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kpimtextedit-23.08.5.tar.xz";
+ sha256 = "1ir7wxlbfmagnnmh15b0k7gqhvlrl2mzmin9nf9c20l21hmrdp2f";
+ name = "kpimtextedit-23.08.5.tar.xz";
};
};
kpkpass = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kpkpass-23.08.4.tar.xz";
- sha256 = "17wsgmanim8ab8hya3vihwwry4s6zl6s24mqplhax79jng9lcp52";
- name = "kpkpass-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kpkpass-23.08.5.tar.xz";
+ sha256 = "1cfsgky40zszyjbil7xjf12dbg1aymza2db70ghkvjjsp2xn17nn";
+ name = "kpkpass-23.08.5.tar.xz";
};
};
kpmcore = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kpmcore-23.08.4.tar.xz";
- sha256 = "0kmnvwilvc3nysi9dywkfkdxxh5fynxm29knxahw1a9xgr4dha6i";
- name = "kpmcore-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kpmcore-23.08.5.tar.xz";
+ sha256 = "0yj1hpg53w3rfahhchslhgiw7yakxc99jyf59kzdv4z55mql0jml";
+ name = "kpmcore-23.08.5.tar.xz";
};
};
kpublictransport = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kpublictransport-23.08.4.tar.xz";
- sha256 = "178l4skgx44zilpggrlcmn3v8a8bqs9f0j6qmkx4mrif52lvy8w1";
- name = "kpublictransport-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kpublictransport-23.08.5.tar.xz";
+ sha256 = "0n2s4l5vrsnmyj0p2icqrjc8qc3g5cm8nkhq4q6k29lbkrpfbxz3";
+ name = "kpublictransport-23.08.5.tar.xz";
};
};
kqtquickcharts = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kqtquickcharts-23.08.4.tar.xz";
- sha256 = "1qfsjc414nxzl3rvzizxxg7kbi16v0mxpabj1sy6scz24x8ff68v";
- name = "kqtquickcharts-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kqtquickcharts-23.08.5.tar.xz";
+ sha256 = "1zikypr3v8kqs2qxc1x09acr25i6blcqfhqlgy65k26gb9qk1xk2";
+ name = "kqtquickcharts-23.08.5.tar.xz";
};
};
krdc = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/krdc-23.08.4.tar.xz";
- sha256 = "0ka8m8yz6485vi1sqbr7n5pg67i1csk9yqjvv5fni9g2z5m6ynlq";
- name = "krdc-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/krdc-23.08.5.tar.xz";
+ sha256 = "1x2ry209mqazv2l9cx51x86ivpw5wia5cc3cbp7034ianbmprif2";
+ name = "krdc-23.08.5.tar.xz";
};
};
krecorder = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/krecorder-23.08.4.tar.xz";
- sha256 = "0wsn7kjk73619w24233s0and2q0li8yy7zlpfx4v8h9c926431di";
- name = "krecorder-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/krecorder-23.08.5.tar.xz";
+ sha256 = "0198wy6pa9nc1lly4szfxyma2np693pkg408iljxx3pxxi8vvvn8";
+ name = "krecorder-23.08.5.tar.xz";
};
};
kreversi = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kreversi-23.08.4.tar.xz";
- sha256 = "13lf6hbg0a4ni119j3c21x72n7wpjb9g1f6dbdazrjmjvz5bfql5";
- name = "kreversi-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kreversi-23.08.5.tar.xz";
+ sha256 = "1mddxiawjyzjpwvb72jrh10012kq3q7nlvi33v02xs4qlw1npyy0";
+ name = "kreversi-23.08.5.tar.xz";
};
};
krfb = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/krfb-23.08.4.tar.xz";
- sha256 = "1139rgd77k53i0gglbjb5lwcnrs3fik19a953lrzhicc881a65dv";
- name = "krfb-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/krfb-23.08.5.tar.xz";
+ sha256 = "0xmkzrg408qab1nrv48kkpghxds6vm981iipqrfc2fv8b2khmr46";
+ name = "krfb-23.08.5.tar.xz";
};
};
kross-interpreters = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kross-interpreters-23.08.4.tar.xz";
- sha256 = "1f0vqj1yl5knw55cy2ldxz090n7gxw3m7lwb5z7mr6mazaf06l4z";
- name = "kross-interpreters-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kross-interpreters-23.08.5.tar.xz";
+ sha256 = "0yzs6y42m9dx02ig9i2m932q6qcclg0r67sd4k53c038giri0y1m";
+ name = "kross-interpreters-23.08.5.tar.xz";
};
};
kruler = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kruler-23.08.4.tar.xz";
- sha256 = "08q5pnwiprnj4rwl9brw2r28gaxl9acfd61dkl9kigcasijwz75m";
- name = "kruler-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kruler-23.08.5.tar.xz";
+ sha256 = "0gilrz96yidqx698vs42gymb552d16vjwynmnpxs8hsr2z8snsqs";
+ name = "kruler-23.08.5.tar.xz";
};
};
ksanecore = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/ksanecore-23.08.4.tar.xz";
- sha256 = "1r00sl09cn4vryv11cc080f6r9qrh0q6va66d2a9sdkqfa8idlap";
- name = "ksanecore-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/ksanecore-23.08.5.tar.xz";
+ sha256 = "18lv3lvh4cx4jwsdwa2ip9qngf7bd1vdf62xhfyb969py75c869x";
+ name = "ksanecore-23.08.5.tar.xz";
};
};
kshisen = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kshisen-23.08.4.tar.xz";
- sha256 = "1pdjy5zx7q4ajk00y5mgm6kxq17qmf0rwnakwfi938lzlvv06cir";
- name = "kshisen-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kshisen-23.08.5.tar.xz";
+ sha256 = "1xa6nmgcavxxsiw0igjqfkzlr6qv5d620mp606afi890qw2firzj";
+ name = "kshisen-23.08.5.tar.xz";
};
};
ksirk = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/ksirk-23.08.4.tar.xz";
- sha256 = "05cy7iv80zfqa44gi0w29i5njbp7idjli5699b15as2nadmzbfxv";
- name = "ksirk-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/ksirk-23.08.5.tar.xz";
+ sha256 = "0rggnzv6kaabqb6nhr6ldxfbn4lndr60vfch34lhwwgpb5f06d3a";
+ name = "ksirk-23.08.5.tar.xz";
};
};
ksmtp = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/ksmtp-23.08.4.tar.xz";
- sha256 = "1hwyf1idk0a9a77gk7l2d7k3l6jy34pz6j7vcw1a7wfniz3ri8wz";
- name = "ksmtp-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/ksmtp-23.08.5.tar.xz";
+ sha256 = "1i8vmk1cmill8arglq1af0ck2r0j3bzx4sfz8r94bh0ybfarh1nx";
+ name = "ksmtp-23.08.5.tar.xz";
};
};
ksnakeduel = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/ksnakeduel-23.08.4.tar.xz";
- sha256 = "0ydsplqw4sijq2rfdyhzdzf95bnp3mpflwk8p4r3iahay3kcihk3";
- name = "ksnakeduel-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/ksnakeduel-23.08.5.tar.xz";
+ sha256 = "1whqx45pg8kzhwvip20i408j6qk622cvisbpv91kfd0ab76p2k4b";
+ name = "ksnakeduel-23.08.5.tar.xz";
};
};
kspaceduel = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kspaceduel-23.08.4.tar.xz";
- sha256 = "01fqs6izbm34ypjrlbsqwvac7kqrc4vjkx6i04kq5zsaybawzy1i";
- name = "kspaceduel-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kspaceduel-23.08.5.tar.xz";
+ sha256 = "0cf1yi05l0s05p8p38m6ygqjxb9zyiijf89raw8y2kjhp30cnjsn";
+ name = "kspaceduel-23.08.5.tar.xz";
};
};
ksquares = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/ksquares-23.08.4.tar.xz";
- sha256 = "0j3vivk6ci1k7pfv441rq915zdpzrf9a6fsh06igci34v1vm9yni";
- name = "ksquares-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/ksquares-23.08.5.tar.xz";
+ sha256 = "055wkwr7nhwlzzqbz8m34yi4zgsnnw8pbxdn30d2rndra9kxmmx0";
+ name = "ksquares-23.08.5.tar.xz";
};
};
ksudoku = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/ksudoku-23.08.4.tar.xz";
- sha256 = "05zklr7mm81xz3m5lriyll1vkphwq5hz8ry423733ryaqqyjsdzs";
- name = "ksudoku-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/ksudoku-23.08.5.tar.xz";
+ sha256 = "0rhpjhmqk4xhcjxi2l0v7yzhsa8b8mmgsylmxl4hw4lsvp7vx5lj";
+ name = "ksudoku-23.08.5.tar.xz";
};
};
ksystemlog = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/ksystemlog-23.08.4.tar.xz";
- sha256 = "1fgnyi8aqr8b6zw91k049ljvs6jgl5frjw6zrz0jnc75lijc9zzc";
- name = "ksystemlog-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/ksystemlog-23.08.5.tar.xz";
+ sha256 = "0mn36n3g5g7sihw2r2y1a79ggmxpwikvxkh1rlhpavx721jh7rl0";
+ name = "ksystemlog-23.08.5.tar.xz";
};
};
kteatime = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kteatime-23.08.4.tar.xz";
- sha256 = "194c4q5pc9h5v1v6k80xchd3ysj42khwaq912dinvy43py1rw8sw";
- name = "kteatime-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kteatime-23.08.5.tar.xz";
+ sha256 = "1rn23hlnn9grjrx5kh2c9dsx8pm3gd0rg6i49wwrml2hvmkmg1af";
+ name = "kteatime-23.08.5.tar.xz";
};
};
ktimer = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/ktimer-23.08.4.tar.xz";
- sha256 = "08bcs3xh1yr2p2nnklb72jkfdxlrqp47pva4f886micanqfxhhjb";
- name = "ktimer-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/ktimer-23.08.5.tar.xz";
+ sha256 = "05nxbzh4ka0w8f40q15wm7lj0vpgq70q2qb3vfliv7xdz4b59yjm";
+ name = "ktimer-23.08.5.tar.xz";
};
};
ktnef = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/ktnef-23.08.4.tar.xz";
- sha256 = "0ffg2qc5nlnhmdhnq9ry2b34kis0z0bsk0iqp1mk5fs8ksasli75";
- name = "ktnef-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/ktnef-23.08.5.tar.xz";
+ sha256 = "0a5ld53az9k8csb6psb622xx4nm96f6wz96z5rfdbnamqmyci7rp";
+ name = "ktnef-23.08.5.tar.xz";
};
};
ktorrent = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/ktorrent-23.08.4.tar.xz";
- sha256 = "0q8a3xsxk1sxaa90vj4fpq35xlmjwj86kki46w572dsx7bmcpwym";
- name = "ktorrent-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/ktorrent-23.08.5.tar.xz";
+ sha256 = "10npi12qdibzpxjx102fh8fxiv5gk89xlp1s43aq01mckcnsvf0n";
+ name = "ktorrent-23.08.5.tar.xz";
};
};
ktouch = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/ktouch-23.08.4.tar.xz";
- sha256 = "18zfmmanz1f8jqhckb23pspsmprl1ran6vbxdqcfl4pb928b63fr";
- name = "ktouch-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/ktouch-23.08.5.tar.xz";
+ sha256 = "0pcwypzfn5kh1byvj902vcsxsiyqqbp8w4xv51k6g90darrjl41d";
+ name = "ktouch-23.08.5.tar.xz";
};
};
ktrip = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/ktrip-23.08.4.tar.xz";
- sha256 = "1c9j0hqhq6hpsacm05qmkbiyr3bq57llqsvq1a9qy6yd1fldn4xg";
- name = "ktrip-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/ktrip-23.08.5.tar.xz";
+ sha256 = "17kn0jqhraxp5anj18lhv4v6xwjx3qybnsvz47biwbfiy8b715yl";
+ name = "ktrip-23.08.5.tar.xz";
};
};
ktuberling = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/ktuberling-23.08.4.tar.xz";
- sha256 = "12q07w5s3bv3rx1irypzw83l4y47j1zqk67akkrhcgf9f69jz71w";
- name = "ktuberling-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/ktuberling-23.08.5.tar.xz";
+ sha256 = "1263qkjvbg0dcrrr7w847vm9mq249glwgvxn9i5yck5qdk3cb4wm";
+ name = "ktuberling-23.08.5.tar.xz";
};
};
kturtle = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kturtle-23.08.4.tar.xz";
- sha256 = "1782pnybvjqpzdppsz5284dibasz7rk03pbz6il7dz86l701phlz";
- name = "kturtle-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kturtle-23.08.5.tar.xz";
+ sha256 = "1mhd8b4rdysvvcjh37vr36ykg2avzdl3sgdsn5svzdga808vc8z4";
+ name = "kturtle-23.08.5.tar.xz";
};
};
kubrick = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kubrick-23.08.4.tar.xz";
- sha256 = "12p6k800chp7qhj6shj1xp2pvfxm0cw50598s95c8jxhv44d4fg2";
- name = "kubrick-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kubrick-23.08.5.tar.xz";
+ sha256 = "000cc8rf63y2km0zzykpdxv24d5jp83p71kf4f3jxqr1lan2gxbm";
+ name = "kubrick-23.08.5.tar.xz";
};
};
kwalletmanager = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kwalletmanager-23.08.4.tar.xz";
- sha256 = "0p62nl2y1fdlgzinzafhd1xgndn93c4qcl0gn6gicjfi9a37qjd1";
- name = "kwalletmanager-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kwalletmanager-23.08.5.tar.xz";
+ sha256 = "1f45jqzn5j23adxb8p7z468klbn42kg2idcjqjm616kia348l7rr";
+ name = "kwalletmanager-23.08.5.tar.xz";
};
};
kwave = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kwave-23.08.4.tar.xz";
- sha256 = "0wicp8zpk1q45aqx1zhwq8v9yh173zvmz5ncv4nr3dm1jgvh4l4q";
- name = "kwave-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kwave-23.08.5.tar.xz";
+ sha256 = "0264rz92198pa6rdjiim95z50wlp0myyr2f56m82cig5x69kl666";
+ name = "kwave-23.08.5.tar.xz";
};
};
kweather = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kweather-23.08.4.tar.xz";
- sha256 = "1fr19q59577pml6wj1di72yvdk0q6brd637vqn7xwbdvjhhk6vvn";
- name = "kweather-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kweather-23.08.5.tar.xz";
+ sha256 = "04qab954y4mlz7ng1giyc20ndmihi0plli4wqjl6clzip7wi99l7";
+ name = "kweather-23.08.5.tar.xz";
};
};
kwordquiz = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/kwordquiz-23.08.4.tar.xz";
- sha256 = "1gnwmba6b08a1wgw40c71h7qgqk7sknj495hh66rxgm03injnpj0";
- name = "kwordquiz-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/kwordquiz-23.08.5.tar.xz";
+ sha256 = "1w5hczhn0cv7r89s5kq1smwc1kkpsxrd7bqan4v26jd0d4r28jjy";
+ name = "kwordquiz-23.08.5.tar.xz";
};
};
libgravatar = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/libgravatar-23.08.4.tar.xz";
- sha256 = "1w9z0d85gdkghx7k9506rg0fzgzvah9wfvyq16hhjgfb4cv0292w";
- name = "libgravatar-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/libgravatar-23.08.5.tar.xz";
+ sha256 = "15ynbjn2lrz08iriqf2il2b7hqwvypb758p24z1d6hj68hjgl9dc";
+ name = "libgravatar-23.08.5.tar.xz";
};
};
libkcddb = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/libkcddb-23.08.4.tar.xz";
- sha256 = "130ajnb4k1i48r015xfw5yp8ys72hgz5gqgvpa4vfqy71hbhndr9";
- name = "libkcddb-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/libkcddb-23.08.5.tar.xz";
+ sha256 = "1igrrhzvs1rvn8p1cmiwl68h3bza4wc1pkllphksq5vjb9w9plj3";
+ name = "libkcddb-23.08.5.tar.xz";
};
};
libkcompactdisc = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/libkcompactdisc-23.08.4.tar.xz";
- sha256 = "166nj97pars5anx1k8dc7f92cfnbb0mvwgi9cpsz138bxpqvn3zi";
- name = "libkcompactdisc-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/libkcompactdisc-23.08.5.tar.xz";
+ sha256 = "1zwn9nic6fm2wkyhdc8ssyq0jjc6jrvc7aym422fzkmhr104llkg";
+ name = "libkcompactdisc-23.08.5.tar.xz";
};
};
libkdcraw = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/libkdcraw-23.08.4.tar.xz";
- sha256 = "1mm3gsp7lfqxb9irk59hrzaxdqjv28iwaa1xmpazw4q62nmlj7mi";
- name = "libkdcraw-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/libkdcraw-23.08.5.tar.xz";
+ sha256 = "04cgjz0f580v8nszki2qk6ms7p0wp8zj0pxsnwr80ipz97j8045b";
+ name = "libkdcraw-23.08.5.tar.xz";
};
};
libkdegames = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/libkdegames-23.08.4.tar.xz";
- sha256 = "1v4xskpclyr7qv94xrmw33zvcff1ymb2y6b658rdxari1gjpamja";
- name = "libkdegames-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/libkdegames-23.08.5.tar.xz";
+ sha256 = "1vggyamhr15k29zkyyjp0kgvq8n9a4yyxaal41w06q3x6bs87i8a";
+ name = "libkdegames-23.08.5.tar.xz";
};
};
libkdepim = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/libkdepim-23.08.4.tar.xz";
- sha256 = "0rga1s5y3lsq8awgdpd3yaqjczgjakhf12v553hg0nz8b1cl4s7z";
- name = "libkdepim-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/libkdepim-23.08.5.tar.xz";
+ sha256 = "17yvnpgrmwi23b3ia3c73nzma2n46jh7n9a1vjgivjx32rs2w7kf";
+ name = "libkdepim-23.08.5.tar.xz";
};
};
libkeduvocdocument = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/libkeduvocdocument-23.08.4.tar.xz";
- sha256 = "1jvbkyb2gnh8a47724m95nb30g58jnzlwja5p9gx1rwjha28jkcp";
- name = "libkeduvocdocument-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/libkeduvocdocument-23.08.5.tar.xz";
+ sha256 = "05lyycpx6yz6xg0z88fmlf1zzlxwiy9nkk1ma88p8f06kz1qkbmx";
+ name = "libkeduvocdocument-23.08.5.tar.xz";
};
};
libkexiv2 = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/libkexiv2-23.08.4.tar.xz";
- sha256 = "1xpki1b3007wm17pnjvfrqb2qlx7wkps5bzhn1m4k30i6vxa2q50";
- name = "libkexiv2-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/libkexiv2-23.08.5.tar.xz";
+ sha256 = "1wlv3byg8lkc57mr1mf1ymc1ghg49im6xr6bgvqzlrchg4q30h9i";
+ name = "libkexiv2-23.08.5.tar.xz";
};
};
libkgapi = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/libkgapi-23.08.4.tar.xz";
- sha256 = "1dvfj1pgfw2sysr2x06fzfxbr2xb949kgy0b52aq0akq5534qrfc";
- name = "libkgapi-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/libkgapi-23.08.5.tar.xz";
+ sha256 = "18yp81mbq0dvpmi1yiab6nnjg65n89fl3l2iw9rnm8m8lcr9y90h";
+ name = "libkgapi-23.08.5.tar.xz";
};
};
libkipi = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/libkipi-23.08.4.tar.xz";
- sha256 = "0z3xlsyms58l84fvgjxf6y83a82p81s6gk8757y9aiidjihzih88";
- name = "libkipi-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/libkipi-23.08.5.tar.xz";
+ sha256 = "11b2c3qwb47ijr7q04hcc50kwdclig9n72injadw7df6fnp18h3j";
+ name = "libkipi-23.08.5.tar.xz";
};
};
libkleo = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/libkleo-23.08.4.tar.xz";
- sha256 = "01sspgd1sf3dzds05jbvylif4vza3zb0fz2hfrrvmjvflswgbazi";
- name = "libkleo-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/libkleo-23.08.5.tar.xz";
+ sha256 = "09a6ihlia4wpj5lwwih94w92xw277fk6bdj1ngbzix8cnzjd6c23";
+ name = "libkleo-23.08.5.tar.xz";
};
};
libkmahjongg = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/libkmahjongg-23.08.4.tar.xz";
- sha256 = "0cp2zpk0444jf1rr6jnn0v62sbx1baf646ki8g2hpmsmvqwvslih";
- name = "libkmahjongg-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/libkmahjongg-23.08.5.tar.xz";
+ sha256 = "0rf37nbxr6m2l7dgj8alfh57zmp39d76swrvv98k9hn5dh5v923s";
+ name = "libkmahjongg-23.08.5.tar.xz";
};
};
libkomparediff2 = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/libkomparediff2-23.08.4.tar.xz";
- sha256 = "07kfsnijj7xm4mvz95dzn3xmmczxl6bqzdr7d5jcv33kvp46mdbn";
- name = "libkomparediff2-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/libkomparediff2-23.08.5.tar.xz";
+ sha256 = "1l2awsm0ikf1kba72j67k0x5jfc48398pw406saq86l1mcfl23fr";
+ name = "libkomparediff2-23.08.5.tar.xz";
};
};
libksane = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/libksane-23.08.4.tar.xz";
- sha256 = "1gsd5wlvh9c8xaprp0sjxknjc8yzz2f04kla5012a0a2761ym7d0";
- name = "libksane-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/libksane-23.08.5.tar.xz";
+ sha256 = "0vig4iws3c1kl1749gfig9g7fjz31g35lysb9ijdbzck46czzpfy";
+ name = "libksane-23.08.5.tar.xz";
};
};
libksieve = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/libksieve-23.08.4.tar.xz";
- sha256 = "0ixriyls3ynwkyfjyvax4r851nh5xb1hvvcyphjd6apzy9zci08f";
- name = "libksieve-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/libksieve-23.08.5.tar.xz";
+ sha256 = "0p422lvgvm1ma0vm4wf24d1bhjj4jns7qaxp8nkhwhsvs0nlh1js";
+ name = "libksieve-23.08.5.tar.xz";
};
};
libktorrent = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/libktorrent-23.08.4.tar.xz";
- sha256 = "0vyv8m2s37zmhs145n8lk1p8npxcrd2xvw1aciyjc0nrxrx46z1v";
- name = "libktorrent-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/libktorrent-23.08.5.tar.xz";
+ sha256 = "1gcpsa49g35jymy9162pjanx8ih0q7viygqwdvvylslfb8zkr8hg";
+ name = "libktorrent-23.08.5.tar.xz";
};
};
lokalize = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/lokalize-23.08.4.tar.xz";
- sha256 = "0ca52y67sqcjmzmxz3s6vpdlycr9k8sm8v07xmkxw6m77l97f5rq";
- name = "lokalize-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/lokalize-23.08.5.tar.xz";
+ sha256 = "0v1yhcljbzlm4jgk5bc7d6bp13s6si7issi7h4mz92awpp0a6fc5";
+ name = "lokalize-23.08.5.tar.xz";
};
};
lskat = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/lskat-23.08.4.tar.xz";
- sha256 = "1qcp2mxwddqxv8pzzaqq6sq8qyvxfy55k3pz8x7zml0iswspbv3r";
- name = "lskat-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/lskat-23.08.5.tar.xz";
+ sha256 = "1qg1y9lhk9x573gwzs6c84bcx7nsmn80il29w5gxf88hkngznlsd";
+ name = "lskat-23.08.5.tar.xz";
};
};
mailcommon = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/mailcommon-23.08.4.tar.xz";
- sha256 = "11z9zvwlqiylrkmw1hfsvqjwgiil7g2gzfh3avrrf3p4d8mc3y3g";
- name = "mailcommon-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/mailcommon-23.08.5.tar.xz";
+ sha256 = "1h0gsrgxxvyhjy7vsh21wch1j1lwadjnyvssvvdzncw2ky63ppb5";
+ name = "mailcommon-23.08.5.tar.xz";
};
};
mailimporter = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/mailimporter-23.08.4.tar.xz";
- sha256 = "14w82drnf5vzjcqwhs34i82ismmbbkmp6ryw64xvv70idi64gyid";
- name = "mailimporter-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/mailimporter-23.08.5.tar.xz";
+ sha256 = "0njkw27ag6z21n6sp1395mv4khf9r6qi5333nfspqw690gfjp5wl";
+ name = "mailimporter-23.08.5.tar.xz";
};
};
marble = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/marble-23.08.4.tar.xz";
- sha256 = "13vsrfzcff64wxgi0rfsmlca62kbbny4c8rn7j90jbzdrjw5aafg";
- name = "marble-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/marble-23.08.5.tar.xz";
+ sha256 = "120b987irps4i80amri7d7ci28vi6zjd74nc0m5n9y954wqzyv45";
+ name = "marble-23.08.5.tar.xz";
};
};
markdownpart = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/markdownpart-23.08.4.tar.xz";
- sha256 = "0gr2hd7jnx6s3fsssasf7c2hl6mk3j2ccb6552k6bpdvl1ianmfc";
- name = "markdownpart-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/markdownpart-23.08.5.tar.xz";
+ sha256 = "1wpbspb5xhxqybanc5ckwrb2h5fqa3ivj564i31jbxlkwdvmp41j";
+ name = "markdownpart-23.08.5.tar.xz";
};
};
mbox-importer = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/mbox-importer-23.08.4.tar.xz";
- sha256 = "1pninrlpwpakpc3a50aqfjvm1y828xsqm51vbblx41yrs9sfkbmz";
- name = "mbox-importer-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/mbox-importer-23.08.5.tar.xz";
+ sha256 = "1ar06iz73qs81k6bd2n77qj4390ql37j37w50jvjpbysbxk2knjz";
+ name = "mbox-importer-23.08.5.tar.xz";
};
};
merkuro = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/merkuro-23.08.4.tar.xz";
- sha256 = "1rdfbvir3aadgkpqnh5j3b2snwkfgay130qnr2w0lhfs3dx3q4pj";
- name = "merkuro-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/merkuro-23.08.5.tar.xz";
+ sha256 = "15s2hwwh9b4jf11am6v7llsgvix11y6qnlwdspyzpq45378hwpcs";
+ name = "merkuro-23.08.5.tar.xz";
};
};
messagelib = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/messagelib-23.08.4.tar.xz";
- sha256 = "1nahb2zhwi6scx5pc2abgjxz13m5rnsaknvcmiarih4zald5vncs";
- name = "messagelib-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/messagelib-23.08.5.tar.xz";
+ sha256 = "1y6xa3z6j04gxdwcfk3y4pskx7blvpxwrixxgjadba51x4lsydys";
+ name = "messagelib-23.08.5.tar.xz";
};
};
minuet = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/minuet-23.08.4.tar.xz";
- sha256 = "1wrqwgcibqifgwzz0q40rmasykxilknx9rv60yzqjlc1bh1611xg";
- name = "minuet-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/minuet-23.08.5.tar.xz";
+ sha256 = "1bk5y99gb1qmvyf48vk6gfwyqi6nk535868k3jm375bvd956sd3m";
+ name = "minuet-23.08.5.tar.xz";
};
};
neochat = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/neochat-23.08.4.tar.xz";
- sha256 = "1sdcg24s0gvim8s6mzqn6s5cjf8sag52w0wnicm2y15w0210763d";
- name = "neochat-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/neochat-23.08.5.tar.xz";
+ sha256 = "0cj6j08g5ng1vifpb49rks9kp4mfb3h466n6afw9dk0xxgccc06k";
+ name = "neochat-23.08.5.tar.xz";
};
};
okular = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/okular-23.08.4.tar.xz";
- sha256 = "04dga15sj8gm2l1j6qpqcm3scc5awap63g5jqbfdr1z2i8bp7z9p";
- name = "okular-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/okular-23.08.5.tar.xz";
+ sha256 = "0r73ki98lv3293s7zvz3rq2xgj9z2jbqy3p7gs8518knn5lizmfm";
+ name = "okular-23.08.5.tar.xz";
};
};
palapeli = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/palapeli-23.08.4.tar.xz";
- sha256 = "0cb63gazax3ii78n5bir061aw20s4vkm6pgahz75kvwhfsyky4qa";
- name = "palapeli-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/palapeli-23.08.5.tar.xz";
+ sha256 = "1lc9dc25bbagqz6iklwvk81pknwvc2a7kjicmyj8zz5432d7psps";
+ name = "palapeli-23.08.5.tar.xz";
};
};
parley = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/parley-23.08.4.tar.xz";
- sha256 = "1y6mb5k3nhra4p35dml9x5nw40b21cnz7nkirxf3wjrg7jjx0iac";
- name = "parley-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/parley-23.08.5.tar.xz";
+ sha256 = "0rr0dn714khrrgda0lmsd81l0fyc84q3f3xc4fhblz6icj37b5an";
+ name = "parley-23.08.5.tar.xz";
};
};
partitionmanager = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/partitionmanager-23.08.4.tar.xz";
- sha256 = "1mj6pbrv9lj7jg3rfj4jncaclm70nzxw6dqbd52m48fa8vz0xpy5";
- name = "partitionmanager-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/partitionmanager-23.08.5.tar.xz";
+ sha256 = "0d08sgml90minr2y1k8niz6d74hh5lavaaa1j0bvyj8gfgkdwflq";
+ name = "partitionmanager-23.08.5.tar.xz";
};
};
picmi = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/picmi-23.08.4.tar.xz";
- sha256 = "1dbygyydawp6982asn015l20c4g479nl63a4yvs1hlv0vs261ry6";
- name = "picmi-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/picmi-23.08.5.tar.xz";
+ sha256 = "0f1zvl7sidpaw1y93xrqg704s44l8wg405c5pas4yahl6nrs1i1x";
+ name = "picmi-23.08.5.tar.xz";
};
};
pim-data-exporter = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/pim-data-exporter-23.08.4.tar.xz";
- sha256 = "0nhwvg2wp25nkwgizc9zp4b5zww4ap8clfbm4s6n9c851dslh3s3";
- name = "pim-data-exporter-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/pim-data-exporter-23.08.5.tar.xz";
+ sha256 = "0axzlzam82c70868dc93lwljbc5rllkrslyn4cnc33fvz1xf41kc";
+ name = "pim-data-exporter-23.08.5.tar.xz";
};
};
pim-sieve-editor = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/pim-sieve-editor-23.08.4.tar.xz";
- sha256 = "1bkll224v8pqcph33qnvi0sk5zymdz6jy5s4rqdd13nqzzv6cyhb";
- name = "pim-sieve-editor-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/pim-sieve-editor-23.08.5.tar.xz";
+ sha256 = "1r756987lwzl27mcdsb0k2wa8crm2lw1xvr197f73j3bnd4a3njx";
+ name = "pim-sieve-editor-23.08.5.tar.xz";
};
};
pimcommon = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/pimcommon-23.08.4.tar.xz";
- sha256 = "0lfxd76rg4gx98xiwhzvv6bi97rqpxppc0qs1zgzd94hnar7kafl";
- name = "pimcommon-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/pimcommon-23.08.5.tar.xz";
+ sha256 = "0bjdbz89141rh1895c4ghx3s2v93wpdghpymi50203rark1iqnsz";
+ name = "pimcommon-23.08.5.tar.xz";
};
};
plasmatube = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/plasmatube-23.08.4.tar.xz";
- sha256 = "0mdljamssq8609mxfm1whdpf0jd3lvyrd5cf7rhnq35hvrncm4b1";
- name = "plasmatube-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/plasmatube-23.08.5.tar.xz";
+ sha256 = "178vgir5j2535q6gh2p11c7gjsm61f368lmysr8jdmsr43f4zjk6";
+ name = "plasmatube-23.08.5.tar.xz";
};
};
poxml = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/poxml-23.08.4.tar.xz";
- sha256 = "0irp4ns0p25navasgdpgi35xxa1n5jf9aly32604dddx2mrh1w0r";
- name = "poxml-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/poxml-23.08.5.tar.xz";
+ sha256 = "1i371b4x4a1ciklxicpwghajzzg7qnvssqgzr6lqnfy9gi8p4p3s";
+ name = "poxml-23.08.5.tar.xz";
};
};
print-manager = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/print-manager-23.08.4.tar.xz";
- sha256 = "0hsxawswgpaidm2wg5s8mzzh46cascr1pfjiqplqndzixff77grd";
- name = "print-manager-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/print-manager-23.08.5.tar.xz";
+ sha256 = "0jssp0nczr928v1dz9fg5ycsr5s1f0x9yr60lpxa33mgmyrrkvgp";
+ name = "print-manager-23.08.5.tar.xz";
};
};
qmlkonsole = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/qmlkonsole-23.08.4.tar.xz";
- sha256 = "1qjszxh9wxpl1is2k065s9w18frvpnz2x6sk36r3c87xaxn7pzxi";
- name = "qmlkonsole-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/qmlkonsole-23.08.5.tar.xz";
+ sha256 = "0fjw7781a5qzfbkamcvfz3dl1sf793phmjlcp8bdgj3ha4kk9ffl";
+ name = "qmlkonsole-23.08.5.tar.xz";
};
};
rocs = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/rocs-23.08.4.tar.xz";
- sha256 = "0182szpicdg353gapjsghg35sw638kcddwaycn3jkc50mwfy9jg1";
- name = "rocs-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/rocs-23.08.5.tar.xz";
+ sha256 = "1c9yrn42bs3r50nzdmib3v6z80kykd271paqbgj4isi2hamw3g7r";
+ name = "rocs-23.08.5.tar.xz";
};
};
signon-kwallet-extension = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/signon-kwallet-extension-23.08.4.tar.xz";
- sha256 = "1fpqjzpj7dhcp1km5fg65jpa1v78hsgpgrriyvpqpvaxfn0ylifi";
- name = "signon-kwallet-extension-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/signon-kwallet-extension-23.08.5.tar.xz";
+ sha256 = "1z4vwmgh102jxbacf40sp9x1bjy2bvnamhi6lv387rpx7snwlmp5";
+ name = "signon-kwallet-extension-23.08.5.tar.xz";
};
};
skanlite = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/skanlite-23.08.4.tar.xz";
- sha256 = "0wh5c3w8sbggpcln6arqagfxffyjns4v2v1d8drawfn66q5pqzvk";
- name = "skanlite-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/skanlite-23.08.5.tar.xz";
+ sha256 = "1fhd10gr7pya08l98cylc4dkh0hisa0zgj32djkzb64pr16wlyk6";
+ name = "skanlite-23.08.5.tar.xz";
};
};
skanpage = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/skanpage-23.08.4.tar.xz";
- sha256 = "1mdvh7qknlfrcq8v7hbjdbns7327czch95dh5w6w86r1a5by2mxn";
- name = "skanpage-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/skanpage-23.08.5.tar.xz";
+ sha256 = "1fvj1ckh67sch4m0dfz8wficmsr12b8jk74q66skpi362h731qiq";
+ name = "skanpage-23.08.5.tar.xz";
};
};
spectacle = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/spectacle-23.08.4.tar.xz";
- sha256 = "04yd00p0rkpswrcj13ckp38ii185g1dab82vm58inm8i8cyyarbq";
- name = "spectacle-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/spectacle-23.08.5.tar.xz";
+ sha256 = "0g3n3n42jp2vi1jv3d8j8rf9362axf9pfpsphbsag15jdppk1y2l";
+ name = "spectacle-23.08.5.tar.xz";
};
};
step = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/step-23.08.4.tar.xz";
- sha256 = "0dmnc9kvg2hxi2mf4d55k4sm82lfb4xs6apq4m8fxqd3zh0jxr9n";
- name = "step-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/step-23.08.5.tar.xz";
+ sha256 = "0lafzlnjaiqvkz4jcyc6nghiv182x5rlwrn5qrhhvmf5r4qlxnxm";
+ name = "step-23.08.5.tar.xz";
};
};
svgpart = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/svgpart-23.08.4.tar.xz";
- sha256 = "111zdzp5knm1x13d1mhhn48l72lfa28jwn69023skgav35fa50wh";
- name = "svgpart-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/svgpart-23.08.5.tar.xz";
+ sha256 = "1fbqfzn9nppvx51kvam08w9kcfz9y3l86bddvlmyj1j0v26kf6ll";
+ name = "svgpart-23.08.5.tar.xz";
};
};
sweeper = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/sweeper-23.08.4.tar.xz";
- sha256 = "0csqrkbx86ppfddqc5qvzp0svw0ngf9129p3xz5birhcdvq3bpic";
- name = "sweeper-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/sweeper-23.08.5.tar.xz";
+ sha256 = "1mf1s8725pfbh4s6cl4nmi6dk0kl5l9ldjkwgb7dh15dli37gpss";
+ name = "sweeper-23.08.5.tar.xz";
};
};
telly-skout = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/telly-skout-23.08.4.tar.xz";
- sha256 = "1ipy5vl9vj800cy7axag4y1gnnszgbyvw22ns1j2x1z7m3rhp5vi";
- name = "telly-skout-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/telly-skout-23.08.5.tar.xz";
+ sha256 = "1a196ychw81k1m5kql3nnzkzhz98cpn35d257sa8qah0hz3ad4bx";
+ name = "telly-skout-23.08.5.tar.xz";
};
};
tokodon = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/tokodon-23.08.4.tar.xz";
- sha256 = "1hsdwmnrsqm5mi1c7lsa6p7r29w8iz27129yf6j1491yw3cv9lqw";
- name = "tokodon-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/tokodon-23.08.5.tar.xz";
+ sha256 = "0r8jx2k5znv6pi3wnss0rng870ky3d1c8bd7lhd7fakihsjpm22b";
+ name = "tokodon-23.08.5.tar.xz";
};
};
umbrello = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/umbrello-23.08.4.tar.xz";
- sha256 = "0vms6wflbybpaxwng29hsw5mcjrxw24kp8b6i1chavqryhci7s0k";
- name = "umbrello-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/umbrello-23.08.5.tar.xz";
+ sha256 = "1067chdyxfb6h5ma628dia1fjrs8yz3204jn5iprfhasxqi44h2c";
+ name = "umbrello-23.08.5.tar.xz";
};
};
yakuake = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/yakuake-23.08.4.tar.xz";
- sha256 = "1igzc110fvzwa9817hclv7whwmfvp4hvcn636a6l4s5vsajm940y";
- name = "yakuake-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/yakuake-23.08.5.tar.xz";
+ sha256 = "097bl6rjs5pj7arypcmncwb8ji9jfd8gli0y65454b0aafa5hnac";
+ name = "yakuake-23.08.5.tar.xz";
};
};
zanshin = {
- version = "23.08.4";
+ version = "23.08.5";
src = fetchurl {
- url = "${mirror}/stable/release-service/23.08.4/src/zanshin-23.08.4.tar.xz";
- sha256 = "0axrh9g6drxp5sjmcbv7vqbi0n7q6qpnlgs546186z25kwq80xm0";
- name = "zanshin-23.08.4.tar.xz";
+ url = "${mirror}/stable/release-service/23.08.5/src/zanshin-23.08.5.tar.xz";
+ sha256 = "0vpmcmik362b6i232awd0f695w5q82bi4x2lq3x3plnh0wf5xyf0";
+ name = "zanshin-23.08.5.tar.xz";
};
};
}
diff --git a/pkgs/applications/kde/telly-skout.nix b/pkgs/applications/kde/telly-skout.nix
index 4c84768a693a..9ecff11c0e08 100644
--- a/pkgs/applications/kde/telly-skout.nix
+++ b/pkgs/applications/kde/telly-skout.nix
@@ -17,6 +17,7 @@ mkDerivation {
meta = {
description = "A convergent Kirigami TV guide";
+ mainProgram = "telly-skout";
homepage = "https://apps.kde.org/telly-skout/";
license = lib.licenses.gpl2Plus;
maintainers = [];
diff --git a/pkgs/applications/kde/tokodon.nix b/pkgs/applications/kde/tokodon.nix
index 43da82e89018..c11bb05dae0f 100644
--- a/pkgs/applications/kde/tokodon.nix
+++ b/pkgs/applications/kde/tokodon.nix
@@ -53,6 +53,7 @@ mkDerivation {
meta = with lib; {
description = "A Mastodon client for Plasma and Plasma Mobile";
+ mainProgram = "tokodon";
homepage = "https://invent.kde.org/network/tokodon";
license = licenses.gpl3Plus;
platforms = platforms.unix;
diff --git a/pkgs/applications/kde/yakuake.nix b/pkgs/applications/kde/yakuake.nix
index 7c98275b9173..ce1cf3d161ff 100644
--- a/pkgs/applications/kde/yakuake.nix
+++ b/pkgs/applications/kde/yakuake.nix
@@ -28,6 +28,7 @@ mkDerivation {
meta = {
homepage = "https://yakuake.kde.org";
description = "Quad-style terminal emulator for KDE";
+ mainProgram = "yakuake";
maintainers = with lib.maintainers; [ fridh ];
license = lib.licenses.gpl2;
};
diff --git a/pkgs/applications/logging/humioctl/default.nix b/pkgs/applications/logging/humioctl/default.nix
index b0744505d09e..d9f780c0b3a2 100644
--- a/pkgs/applications/logging/humioctl/default.nix
+++ b/pkgs/applications/logging/humioctl/default.nix
@@ -28,5 +28,6 @@ buildGoModule rec {
description = "A CLI for managing and sending data to Humio";
license = licenses.asl20;
maintainers = with maintainers; [ lucperkins ];
+ mainProgram = "humioctl";
};
}
diff --git a/pkgs/applications/logging/sosreport/default.nix b/pkgs/applications/logging/sosreport/default.nix
index 467feb4b496e..f28396470789 100644
--- a/pkgs/applications/logging/sosreport/default.nix
+++ b/pkgs/applications/logging/sosreport/default.nix
@@ -10,13 +10,13 @@
buildPythonPackage rec {
pname = "sosreport";
- version = "4.6.1";
+ version = "4.7.0";
src = fetchFromGitHub {
owner = "sosreport";
repo = "sos";
rev = "refs/tags/${version}";
- sha256 = "sha256-IW3b+zAxXnr7H+/XxJA+tJZYNte1nVdDaMhW3TcGxzo=";
+ sha256 = "sha256-SB8qLpa9ncAJjUkbPRuSY2eJ1fNMaLSR7BR/tgO+ZUs=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/maui/booth.nix b/pkgs/applications/maui/booth.nix
index f82055895854..bca0c23a8543 100644
--- a/pkgs/applications/maui/booth.nix
+++ b/pkgs/applications/maui/booth.nix
@@ -47,6 +47,7 @@ mkDerivation {
meta = with lib; {
description = "Camera application";
+ mainProgram = "booth";
homepage = "https://invent.kde.org/maui/booth";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ milahu ];
diff --git a/pkgs/applications/maui/buho.nix b/pkgs/applications/maui/buho.nix
index 481779a6cab7..b9f9fb446fb5 100644
--- a/pkgs/applications/maui/buho.nix
+++ b/pkgs/applications/maui/buho.nix
@@ -41,6 +41,7 @@ mkDerivation {
meta = with lib; {
description = "Task and Note Keeper";
+ mainProgram = "buho";
homepage = "https://invent.kde.org/maui/buho";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ onny ];
diff --git a/pkgs/applications/maui/clip.nix b/pkgs/applications/maui/clip.nix
index 10df8c47dfc3..88d874c04e12 100644
--- a/pkgs/applications/maui/clip.nix
+++ b/pkgs/applications/maui/clip.nix
@@ -41,6 +41,7 @@ mkDerivation {
meta = with lib; {
description = "Video player and video collection manager";
+ mainProgram = "clip";
homepage = "https://invent.kde.org/maui/clip";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ onny ];
diff --git a/pkgs/applications/maui/communicator.nix b/pkgs/applications/maui/communicator.nix
index 3fec87d1f512..4ee5f59dc3cd 100644
--- a/pkgs/applications/maui/communicator.nix
+++ b/pkgs/applications/maui/communicator.nix
@@ -50,6 +50,7 @@ mkDerivation {
meta = with lib; {
description = "Contacts and dialer application";
+ mainProgram = "communicator";
homepage = "https://invent.kde.org/maui/communicator";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ onny ];
diff --git a/pkgs/applications/maui/index.nix b/pkgs/applications/maui/index.nix
index bc223adb4b1c..4e12123074fd 100644
--- a/pkgs/applications/maui/index.nix
+++ b/pkgs/applications/maui/index.nix
@@ -40,6 +40,7 @@ mkDerivation {
meta = with lib; {
description = "Multi-platform file manager";
+ mainProgram = "index";
homepage = "https://invent.kde.org/maui/index-fm";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ dotlambda ];
diff --git a/pkgs/applications/maui/mauiman.nix b/pkgs/applications/maui/mauiman.nix
index 626d045b115c..7566c7d6ed7d 100644
--- a/pkgs/applications/maui/mauiman.nix
+++ b/pkgs/applications/maui/mauiman.nix
@@ -20,6 +20,7 @@ mkDerivation {
meta = with lib; {
homepage = "https://invent.kde.org/maui/mauiman";
description = "Maui Manager Library. Server and public library API";
+ mainProgram = "MauiManServer3";
maintainers = with maintainers; [ dotlambda ];
};
}
diff --git a/pkgs/applications/maui/nota.nix b/pkgs/applications/maui/nota.nix
index cdf4943df5fe..5e579c8cbdcb 100644
--- a/pkgs/applications/maui/nota.nix
+++ b/pkgs/applications/maui/nota.nix
@@ -39,6 +39,7 @@ mkDerivation {
meta = with lib; {
description = "Multi-platform text editor";
+ mainProgram = "nota";
homepage = "https://invent.kde.org/maui/nota";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ onny ];
diff --git a/pkgs/applications/maui/pix.nix b/pkgs/applications/maui/pix.nix
index 6794da74c3a7..b19c5dff7148 100644
--- a/pkgs/applications/maui/pix.nix
+++ b/pkgs/applications/maui/pix.nix
@@ -45,6 +45,7 @@ mkDerivation {
meta = with lib; {
description = "Image gallery application";
+ mainProgram = "pix";
homepage = "https://invent.kde.org/maui/pix";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ onny ];
diff --git a/pkgs/applications/maui/shelf.nix b/pkgs/applications/maui/shelf.nix
index 3ac804512531..1c1b53a8e1e9 100644
--- a/pkgs/applications/maui/shelf.nix
+++ b/pkgs/applications/maui/shelf.nix
@@ -43,6 +43,7 @@ mkDerivation {
meta = with lib; {
description = "Document and EBook collection manager";
+ mainProgram = "shelf";
homepage = "https://invent.kde.org/maui/shelf";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ onny ];
diff --git a/pkgs/applications/maui/station.nix b/pkgs/applications/maui/station.nix
index 474e52c63a2b..2ca994397ccd 100644
--- a/pkgs/applications/maui/station.nix
+++ b/pkgs/applications/maui/station.nix
@@ -35,6 +35,7 @@ mkDerivation {
meta = with lib; {
description = "Convergent terminal emulator";
+ mainProgram = "station";
homepage = "https://invent.kde.org/maui/station";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ onny ];
diff --git a/pkgs/applications/maui/vvave.nix b/pkgs/applications/maui/vvave.nix
index bd5b97292010..e10d33df0eb5 100644
--- a/pkgs/applications/maui/vvave.nix
+++ b/pkgs/applications/maui/vvave.nix
@@ -41,6 +41,7 @@ mkDerivation {
meta = with lib; {
description = "Multi-platform media player";
+ mainProgram = "vvave";
homepage = "https://invent.kde.org/maui/vvave";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ onny ];
diff --git a/pkgs/applications/misc/1password-gui/default.nix b/pkgs/applications/misc/1password-gui/default.nix
index 7fccd80e71c7..32825d3ba523 100644
--- a/pkgs/applications/misc/1password-gui/default.nix
+++ b/pkgs/applications/misc/1password-gui/default.nix
@@ -9,43 +9,43 @@
let
pname = "1password";
- version = if channel == "stable" then "8.10.24" else "8.10.26-1.BETA";
+ version = if channel == "stable" then "8.10.27" else "8.10.28-21.BETA";
sources = {
stable = {
x86_64-linux = {
url = "https://downloads.1password.com/linux/tar/stable/x86_64/1password-${version}.x64.tar.gz";
- hash = "sha256-vYk7WHGVOzrrep6vmA58ELa6aDsZFUw5D2StCYP0Ioc=";
+ hash = "sha256-xQQXPDC8mvQyC+z3y0n5KpRpLjrBeslwXPf28wfKVSM=";
};
aarch64-linux = {
url = "https://downloads.1password.com/linux/tar/stable/aarch64/1password-${version}.arm64.tar.gz";
- hash = "sha256-HuhoGG2aQ2NcbZlQfUmGUl0IvhXPO5uV7x4WKJRR7Ew=";
+ hash = "sha256-c26G/Zp+1Y6ZzGYeybFBJOB2gDx3k+4/Uu7sMlXHYjM=";
};
x86_64-darwin = {
url = "https://downloads.1password.com/mac/1Password-${version}-x86_64.zip";
- hash = "sha256-RHn1JJoRLWfqOTx0Di0nfHM7fbLs54DdWlI+PTQs1sQ=";
+ hash = "sha256-9LrSJ9PLRXFbA7xkBbqFIZVtAuy7UrDBh7e6rlLqrM0=";
};
aarch64-darwin = {
url = "https://downloads.1password.com/mac/1Password-${version}-aarch64.zip";
- hash = "sha256-ZjmgkGTY6KQ0vv7ILMMLYsK7N2YLmJGCBS6954v0JX8=";
+ hash = "sha256-4oqpsRZ10y2uh2gp4QyHfUdKER8v8n8mjNFVwKRYkpo=";
};
};
beta = {
x86_64-linux = {
url = "https://downloads.1password.com/linux/tar/beta/x86_64/1password-${version}.x64.tar.gz";
- hash = "sha256-dAasy1D5HXQ8Eu5cx0u9exobNMf2TIV4iCTcys/uCtQ=";
+ hash = "sha256-Pz9tpGsKLmf37r0fnBxcE7qGjN3RZSF/iwQUnqev0Jk=";
};
aarch64-linux = {
url = "https://downloads.1password.com/linux/tar/beta/aarch64/1password-${version}.arm64.tar.gz";
- hash = "sha256-sIPNv4HiU/6CLaER6deMG88zOOFwu6cm5XoB2Cr4qLQ=";
+ hash = "sha256-ezzdwUUcSBqJUKlG1By5HXbgrTFDpaGIJipU+V1FUBc=";
};
x86_64-darwin = {
url = "https://downloads.1password.com/mac/1Password-${version}-x86_64.zip";
- hash = "sha256-Va4WgbPKrI7u+GYzVmA8Gp6NRY4EdJuoz00Pc5HsMIg=";
+ hash = "sha256-zFO8ypDqPGcJY/2eKke/ljQ4S3syI7jyZSexbYzBA+c=";
};
aarch64-darwin = {
url = "https://downloads.1password.com/mac/1Password-${version}-aarch64.zip";
- hash = "sha256-Dj96QoEcmJxV7qBkb68ovonr+XYqQdScb9GMeL8OCJo=";
+ hash = "sha256-LAhGmXqfcgjiDbE0RLhzpi15rluM8/fZ3GZ52yHdMKg=";
};
};
};
diff --git a/pkgs/applications/misc/1password-gui/linux.nix b/pkgs/applications/misc/1password-gui/linux.nix
index 751e94c38f7f..140adde918fc 100644
--- a/pkgs/applications/misc/1password-gui/linux.nix
+++ b/pkgs/applications/misc/1password-gui/linux.nix
@@ -110,8 +110,8 @@ in stdenv.mkDerivation {
cp -a resources/icons $out/share
interp="$(cat $NIX_CC/nix-support/dynamic-linker)"
- patchelf --set-interpreter $interp $out/share/1password/{1password,1Password-BrowserSupport,1Password-HIDHelper,1Password-KeyringHelper,1Password-LastPass-Exporter,op-ssh-sign}
- patchelf --set-rpath ${rpath}:$out/share/1password $out/share/1password/{1password,1Password-BrowserSupport,1Password-HIDHelper,1Password-KeyringHelper,1Password-LastPass-Exporter,op-ssh-sign}
+ patchelf --set-interpreter $interp $out/share/1password/{1password,1Password-BrowserSupport,1Password-LastPass-Exporter,op-ssh-sign}
+ patchelf --set-rpath ${rpath}:$out/share/1password $out/share/1password/{1password,1Password-BrowserSupport,1Password-LastPass-Exporter,op-ssh-sign}
for file in $(find $out -type f -name \*.so\* ); do
patchelf --set-rpath ${rpath}:$out/share/1password $file
done
diff --git a/pkgs/applications/misc/1password/default.nix b/pkgs/applications/misc/1password/default.nix
index 70ac99f20a49..9e9465f9c6ed 100644
--- a/pkgs/applications/misc/1password/default.nix
+++ b/pkgs/applications/misc/1password/default.nix
@@ -12,12 +12,12 @@ let
if extension == "zip" then fetchzip args else fetchurl args;
pname = "1password-cli";
- version = "2.24.0";
+ version = "2.26.0";
sources = rec {
- aarch64-linux = fetch "linux_arm64" "sha256-wISQ4528+rYxaIvxAa9jrF6E6A3SvMGbLyqB4JO3Mbw=" "zip";
- i686-linux = fetch "linux_386" "sha256-A+sQY6Q0JfHuusdP96M7BqjMCn2YobAekieN3HdRJac=" "zip";
- x86_64-linux = fetch "linux_amd64" "sha256-hgMZ3gSqpb04ixTwMnEg0EpYgzuTF1CMEGGl6LbYKjY=" "zip";
- aarch64-darwin = fetch "apple_universal" "sha256-R0gGUgN+f5DQF57AyAI6P4X3ySktxQ60DCPJPknwxPY=" "pkg";
+ aarch64-linux = fetch "linux_arm64" "sha256-zWmWeAPtgSR8/3l40K4DPdMm0Pan+J1uNjUaEx+geO4=" "zip";
+ i686-linux = fetch "linux_386" "sha256-OOjAMfRTSW+RuD0PPosvxMIPJcPQQok5Wn209sa0tuU=" "zip";
+ x86_64-linux = fetch "linux_amd64" "sha256-RwdEeqBFNj5dgBsmC2fiDwUGFWhuqeEL7g60ogFEq1Y=" "zip";
+ aarch64-darwin = fetch "apple_universal" "sha256-pwXHax0DBx1UpVmwYytpSikt5xdKZJXrdqvjWyWdUBM=" "pkg";
x86_64-darwin = aarch64-darwin;
};
platforms = builtins.attrNames sources;
diff --git a/pkgs/applications/misc/9menu/default.nix b/pkgs/applications/misc/9menu/default.nix
index 8e6b7b3bcbec..e383a2eb6b6f 100644
--- a/pkgs/applications/misc/9menu/default.nix
+++ b/pkgs/applications/misc/9menu/default.nix
@@ -25,6 +25,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/arnoldrobbins/9menu";
description = "Simple X11 menu program for running commands";
+ mainProgram = "9menu";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ AndersonTorres ];
platforms = libX11.meta.platforms;
diff --git a/pkgs/applications/misc/ArchiSteamFarm/default.nix b/pkgs/applications/misc/ArchiSteamFarm/default.nix
index d01908a43dc9..13620b254a58 100644
--- a/pkgs/applications/misc/ArchiSteamFarm/default.nix
+++ b/pkgs/applications/misc/ArchiSteamFarm/default.nix
@@ -11,13 +11,13 @@
buildDotnetModule rec {
pname = "ArchiSteamFarm";
# nixpkgs-update: no auto update
- version = "5.5.0.11";
+ version = "5.5.3.4";
src = fetchFromGitHub {
owner = "JustArchiNET";
repo = "ArchiSteamFarm";
rev = version;
- hash = "sha256-VlJiTCdoH6hlVtQgECIlbsQvg3S58B5IIy1zRxh1eOg=";
+ hash = "sha256-9ISEIKrAK6UTDM3TPizBRMU+wfiinhnaWmS5CkXpkYo=";
};
dotnet-runtime = dotnetCorePackages.aspnetcore_8_0;
@@ -76,6 +76,6 @@ buildDotnetModule rec {
homepage = "https://github.com/JustArchiNET/ArchiSteamFarm";
license = licenses.asl20;
mainProgram = "ArchiSteamFarm";
- maintainers = with maintainers; [ SuperSandro2000 lom ];
+ maintainers = with maintainers; [ SuperSandro2000 ];
};
}
diff --git a/pkgs/applications/misc/ArchiSteamFarm/deps.nix b/pkgs/applications/misc/ArchiSteamFarm/deps.nix
index e89c38109379..c5e4557d81e5 100644
--- a/pkgs/applications/misc/ArchiSteamFarm/deps.nix
+++ b/pkgs/applications/misc/ArchiSteamFarm/deps.nix
@@ -58,10 +58,11 @@
(fetchNuGet { pname = "Humanizer.Core.zh-Hant"; version = "2.14.1"; sha256 = "0qxjnbdj645l5sd6y3100yyrq1jy5misswg6xcch06x8jv7zaw1p"; })
(fetchNuGet { pname = "JetBrains.Annotations"; version = "2023.3.0"; sha256 = "0vp4mpn6gfckn8grzjm1jxlbqiq2fglm2rk9wq787adw7rxs8k7w"; })
(fetchNuGet { pname = "Markdig.Signed"; version = "0.34.0"; sha256 = "1jrs5fc8k99mh1kipvvlgwm0qlacrsh82bbpdclb84xz0h6nwwrh"; })
+ (fetchNuGet { pname = "Microsoft.ApplicationInsights"; version = "2.21.0"; sha256 = "1q034jbqkxb8lddkd0ijp0wp0ymnnf3bg2mjpay027zv7jswnc4x"; })
(fetchNuGet { pname = "Microsoft.AspNetCore.JsonPatch"; version = "7.0.0"; sha256 = "1f13vsfs1rp9bmdp3khk4mk2fif932d72yxm2wszpsr239x4s2bf"; })
(fetchNuGet { pname = "Microsoft.AspNetCore.Mvc.NewtonsoftJson"; version = "7.0.0"; sha256 = "1w49rg0n5wb1m5wnays2mmym7qy7bsi2b1zxz97af2rkbw3s3hbd"; })
(fetchNuGet { pname = "Microsoft.Bcl.AsyncInterfaces"; version = "6.0.0"; sha256 = "15gqy2m14fdlvy1g59207h5kisznm355kbw010gy19vh47z8gpz3"; })
- (fetchNuGet { pname = "Microsoft.CodeCoverage"; version = "17.8.0"; sha256 = "173wjadp3gan4x2jfjchngnc4ca4mb95h1sbb28jydfkfw0z1zvj"; })
+ (fetchNuGet { pname = "Microsoft.CodeCoverage"; version = "17.9.0"; sha256 = "1gljgi69k0fz8vy8bn6xlyxabj6q4vls2zza9wz7ng6ix3irm89r"; })
(fetchNuGet { pname = "Microsoft.CSharp"; version = "4.7.0"; sha256 = "0gd67zlw554j098kabg887b5a6pq9kzavpa3jjy5w53ccjzjfy8j"; })
(fetchNuGet { pname = "Microsoft.Extensions.ApiDescription.Server"; version = "6.0.5"; sha256 = "1pi2bm3cm0a7jzqzmfc2r7bpcdkmk3hhjfvb2c81j7wl7xdw3624"; })
(fetchNuGet { pname = "Microsoft.Extensions.Configuration.Abstractions"; version = "8.0.0"; sha256 = "1jlpa4ggl1gr5fs7fdcw04li3y3iy05w3klr9lrrlc7v8w76kq71"; })
@@ -71,19 +72,24 @@
(fetchNuGet { pname = "Microsoft.Extensions.Logging.Abstractions"; version = "8.0.0"; sha256 = "1klcqhg3hk55hb6vmjiq2wgqidsl81aldw0li2z98lrwx26msrr6"; })
(fetchNuGet { pname = "Microsoft.Extensions.Options"; version = "8.0.0"; sha256 = "0p50qn6zhinzyhq9sy5svnmqqwhw2jajs2pbjh9sah504wjvhscz"; })
(fetchNuGet { pname = "Microsoft.Extensions.Primitives"; version = "8.0.0"; sha256 = "0aldaz5aapngchgdr7dax9jw5wy7k7hmjgjpfgfv1wfif27jlkqm"; })
- (fetchNuGet { pname = "Microsoft.IdentityModel.Abstractions"; version = "7.0.3"; sha256 = "0njmg2lygnirnfjv9gck2f5lq4ly5rgws9cpf8qj3kwcwxfp0b9s"; })
- (fetchNuGet { pname = "Microsoft.IdentityModel.JsonWebTokens"; version = "7.0.3"; sha256 = "1ayh85xqdq8rqjk2iqcn7iaczcl7d8qg6bxk0b4rgx59fmsmbqj7"; })
- (fetchNuGet { pname = "Microsoft.IdentityModel.Logging"; version = "7.0.3"; sha256 = "13cjqmf59k895q6gkd5ycl89mnpalckda7rhsdl11jdyr32hsfnv"; })
- (fetchNuGet { pname = "Microsoft.IdentityModel.Tokens"; version = "7.0.3"; sha256 = "1pmhd0imh9wlhvbvvwjrpjsqvzagi2ly22nddwr4r0pi234khyz1"; })
- (fetchNuGet { pname = "Microsoft.NET.ILLink.Tasks"; version = "8.0.1"; sha256 = "1drbgqdcvbpisjn8mqfgba1pwb6yri80qc4mfvyczqwrcsj5k2ja"; })
- (fetchNuGet { pname = "Microsoft.NET.Test.Sdk"; version = "17.8.0"; sha256 = "1syvl3g0hbrcgfi9rq6pld8s8hqqww4dflf1lxn59ccddyyx0gmv"; })
+ (fetchNuGet { pname = "Microsoft.IdentityModel.Abstractions"; version = "7.3.1"; sha256 = "0dvknvmnzi3jn2kz0fbcb3ilryd75dwyiwqn6cwc6nd5gdz4mdlm"; })
+ (fetchNuGet { pname = "Microsoft.IdentityModel.JsonWebTokens"; version = "7.3.1"; sha256 = "03pd6b35a5j1qxmcf9552rp43fwddn1z3czyb6wlf1w1f95b5fqb"; })
+ (fetchNuGet { pname = "Microsoft.IdentityModel.Logging"; version = "7.3.1"; sha256 = "0368p59kf6r9dyk511phl65wvk76n8885d2m9812fpj0ifqcdqg8"; })
+ (fetchNuGet { pname = "Microsoft.IdentityModel.Tokens"; version = "7.3.1"; sha256 = "0i8mqrvzx9xi9pg1c92iy6cr756nnc3lr5cdawj0yh1x919wvx59"; })
+ (fetchNuGet { pname = "Microsoft.NET.Test.Sdk"; version = "17.9.0"; sha256 = "1lls1fly2gr1n9n1xyl9k33l2v4pwfmylyzkq8v4v5ldnwkl1zdb"; })
(fetchNuGet { pname = "Microsoft.NETCore.Platforms"; version = "5.0.0"; sha256 = "0mwpwdflidzgzfx2dlpkvvnkgkr2ayaf0s80737h4wa35gaj11rc"; })
(fetchNuGet { pname = "Microsoft.OpenApi"; version = "1.2.3"; sha256 = "07b19k89whj69j87afkz86gp9b3iybw8jqwvlgcn43m7fb2y99rr"; })
- (fetchNuGet { pname = "Microsoft.TestPlatform.ObjectModel"; version = "17.8.0"; sha256 = "0b0i7lmkrcfvim8i3l93gwqvkhhhfzd53fqfnygdqvkg6np0cg7m"; })
- (fetchNuGet { pname = "Microsoft.TestPlatform.TestHost"; version = "17.8.0"; sha256 = "0f5jah93kjkvxwmhwb78lw11m9pkkq9fvf135hpymmmpxqbdh97q"; })
+ (fetchNuGet { pname = "Microsoft.Testing.Extensions.Telemetry"; version = "1.0.0"; sha256 = "1b52s7z01wkg83dpkpyg7girjflm84zr65pacsfwm2hvhb9xa2w6"; })
+ (fetchNuGet { pname = "Microsoft.Testing.Extensions.TrxReport.Abstractions"; version = "1.0.0"; sha256 = "0pvr4yga99fqr4z8s8js9hxki5c92qy7scvpqwslws5mri625m38"; })
+ (fetchNuGet { pname = "Microsoft.Testing.Extensions.VSTestBridge"; version = "1.0.0"; sha256 = "0zzrwp5in56fhc2cdmn4i44v2jf13frbjwpb9v8s7fkr9ky4wh5w"; })
+ (fetchNuGet { pname = "Microsoft.Testing.Platform"; version = "1.0.0"; sha256 = "1qbf922frk4c0cam57d98f3d5q5226pgrgjm7pfcamwy5whvx5sh"; })
+ (fetchNuGet { pname = "Microsoft.Testing.Platform.MSBuild"; version = "1.0.0"; sha256 = "0my1fihyh86rckfzbrvl5kdcq34yp0ywl8azs2gx3c27sg4pjrp2"; })
+ (fetchNuGet { pname = "Microsoft.TestPlatform.ObjectModel"; version = "17.5.0"; sha256 = "0qkjyf3ky6xpjg5is2sdsawm99ka7fzgid2bvpglwmmawqgm8gls"; })
+ (fetchNuGet { pname = "Microsoft.TestPlatform.ObjectModel"; version = "17.9.0"; sha256 = "1kgsl9w9fganbm9wvlkqgk0ag9hfi58z88rkfybc6kvg78bx89ca"; })
+ (fetchNuGet { pname = "Microsoft.TestPlatform.TestHost"; version = "17.9.0"; sha256 = "19ffh31a1jxzn8j69m1vnk5hyfz3dbxmflq77b8x82zybiilh5nl"; })
(fetchNuGet { pname = "Microsoft.Win32.Registry"; version = "5.0.0"; sha256 = "102hvhq2gmlcbq8y2cb7hdr2dnmjzfp2k3asr1ycwrfacwyaak7n"; })
- (fetchNuGet { pname = "MSTest.TestAdapter"; version = "3.1.1"; sha256 = "0y3ic8jv5jhld6gan2qfa2wyk4z57f7y4y5a47njr0jvxxnarg2c"; })
- (fetchNuGet { pname = "MSTest.TestFramework"; version = "3.1.1"; sha256 = "1lbgkrbrkmw4c54g61cwbmwc4zl8hyqmp283ymvj93lq7chbxasn"; })
+ (fetchNuGet { pname = "MSTest.TestAdapter"; version = "3.2.0"; sha256 = "0n7iw8ppjyps4sg0rfh5pags4wq58yg1g9vnxfwa73z38jws2c10"; })
+ (fetchNuGet { pname = "MSTest.TestFramework"; version = "3.2.0"; sha256 = "0n9aab1cxf8w23dl4yw7rqpi47v7gd02csq3zisc5whsrb9i0xbq"; })
(fetchNuGet { pname = "Newtonsoft.Json"; version = "13.0.1"; sha256 = "0fijg0w6iwap8gvzyjnndds0q4b8anwxxvik7y8vgq97dram4srb"; })
(fetchNuGet { pname = "Newtonsoft.Json"; version = "13.0.3"; sha256 = "0xrwysmrn4midrjal8g2hr1bbg38iyisl0svamb11arqws4w2bw7"; })
(fetchNuGet { pname = "Newtonsoft.Json.Bson"; version = "1.0.2"; sha256 = "0c27bhy9x3c2n26inq32kmp6drpm71n6mqnmcr19wrlcaihglj35"; })
@@ -91,10 +97,10 @@
(fetchNuGet { pname = "Nito.AsyncEx.Tasks"; version = "5.1.2"; sha256 = "11wp47kc69sjdxrbg5pgx0wlffqlp0x5kr54ggnz2v19kmjz362v"; })
(fetchNuGet { pname = "Nito.Collections.Deque"; version = "1.1.1"; sha256 = "152564q3s0n5swfv5p5rx0ghn2sm0g2xsnbd7gv8vb9yfklv7yg8"; })
(fetchNuGet { pname = "Nito.Disposables"; version = "2.2.1"; sha256 = "1hx5k8497j34kxxgh060bvij0vfnraw90dmm3h9bmamcdi8wp80l"; })
- (fetchNuGet { pname = "NLog"; version = "5.2.7"; sha256 = "1gq5l9qv3vnl0rvxa110bbqsq6m43h8h912xijqab1hsjdpb46q3"; })
- (fetchNuGet { pname = "NLog.Extensions.Logging"; version = "5.3.7"; sha256 = "1hv2v4hqqq86vjvxa0cbk4klaii8n8h1wjrlsfzbp9nnxnzg9pzi"; })
- (fetchNuGet { pname = "NLog.Web.AspNetCore"; version = "5.3.7"; sha256 = "1jifwnvkfi3jankan7543q985gzrywddvajlqrf573aa2dbp5n1f"; })
- (fetchNuGet { pname = "NuGet.Frameworks"; version = "6.5.0"; sha256 = "0s37d1p4md0k6d4cy6sq36f2dgkd9qfbzapxhkvi8awwh0vrynhj"; })
+ (fetchNuGet { pname = "NLog"; version = "5.2.8"; sha256 = "1z3h20m5rjnizm1jbf5j0vpdc1f373rzzkg6478p1lxv5j385c12"; })
+ (fetchNuGet { pname = "NLog.Extensions.Logging"; version = "5.3.8"; sha256 = "1qnz91099f51vk7f5g2ig0041maw5hcbyqllxvj5zj7zkp0qw9b8"; })
+ (fetchNuGet { pname = "NLog.Web.AspNetCore"; version = "5.3.8"; sha256 = "05a6bzvdf63lbnn6sj3yfggxcgv96j91kdbcw0ac5hxl58df58r6"; })
+ (fetchNuGet { pname = "NuGet.Frameworks"; version = "5.11.0"; sha256 = "0wv26gq39hfqw9md32amr5771s73f5zn1z9vs4y77cgynxr73s4z"; })
(fetchNuGet { pname = "protobuf-net"; version = "3.2.26"; sha256 = "1mcg46xnhgqwjacy6j8kvp3rylpi26wjnmhwv8mh5cwjya9nynqb"; })
(fetchNuGet { pname = "protobuf-net.Core"; version = "3.2.26"; sha256 = "1wrr38ygdanf121bkl8b1d4kz1pawm064z69bqf3qbr46h4j575w"; })
(fetchNuGet { pname = "SteamKit2"; version = "2.5.0"; sha256 = "06rdagrxqws5yq1nrsd8chv3n9kgrb8rg894vcc40a8w6v27222w"; })
@@ -112,7 +118,7 @@
(fetchNuGet { pname = "System.Composition.Hosting"; version = "8.0.0"; sha256 = "1gbfimhxx6v6073pblv4rl5shz3kgx8lvfif5db26ak8pl5qj4kb"; })
(fetchNuGet { pname = "System.Composition.Runtime"; version = "8.0.0"; sha256 = "0snljpgfmg0wlkwilkvn9qjjghq1pjdfgdpnwhvl2qw6vzdij703"; })
(fetchNuGet { pname = "System.Composition.TypedParts"; version = "8.0.0"; sha256 = "0skwla26d8clfz3alr8m42qbzsrbi7dhg74z6ha832b6730mm4pr"; })
- (fetchNuGet { pname = "System.IdentityModel.Tokens.Jwt"; version = "7.0.3"; sha256 = "1fls88ffq34j1gr6zay1crm27v3sjs5fa4mvj9akqjq05bxanlhk"; })
+ (fetchNuGet { pname = "System.Diagnostics.DiagnosticSource"; version = "5.0.0"; sha256 = "0phd2qizshjvglhzws1jd0cq4m54gscz4ychzr3x6wbgl4vvfrga"; })
(fetchNuGet { pname = "System.Linq.Async"; version = "6.0.1"; sha256 = "10ira8hmv0i54yp9ggrrdm1c06j538sijfjpn1kmnh9j2xk5yzmq"; })
(fetchNuGet { pname = "System.Reflection.Metadata"; version = "1.6.0"; sha256 = "1wdbavrrkajy7qbdblpbpbalbdl48q3h34cchz24gvdgyrlf15r4"; })
(fetchNuGet { pname = "System.Security.AccessControl"; version = "5.0.0"; sha256 = "17n3lrrl6vahkqmhlpn3w20afgz09n7i6rv0r3qypngwi7wqdr5r"; })
diff --git a/pkgs/applications/misc/ArchiSteamFarm/web-ui/default.nix b/pkgs/applications/misc/ArchiSteamFarm/web-ui/default.nix
index e4e2c652fefe..d7f70bb8c62d 100644
--- a/pkgs/applications/misc/ArchiSteamFarm/web-ui/default.nix
+++ b/pkgs/applications/misc/ArchiSteamFarm/web-ui/default.nix
@@ -2,7 +2,7 @@
buildNpmPackage rec {
pname = "asf-ui";
- version = "f84a296f0ab029e56baba3cca45e5cf21129fd76";
+ version = "7406f7126a8351db67aad9f3a0f90c9dc123d80d";
src = fetchFromGitHub {
owner = "JustArchiNET";
@@ -10,10 +10,10 @@ buildNpmPackage rec {
# updated by the update script
# this is always the commit that should be used with asf-ui from the latest asf version
rev = version;
- hash = "sha256-NISUhxClFAzLQp4o9AzMzasPV9+aBAyDd1tuNT7HJw4=";
+ hash = "sha256-yTBJoihDc4z4+a03S56MQORvz/l6aqBDzLEi0UrM1N4=";
};
- npmDepsHash = "sha256-kI7kgSw0xs8Hsa/5lhLteDo8TgwyxIxKE1QK92D1Qio=";
+ npmDepsHash = "sha256-S/OwjmfAyEVZfWQ7vqKFctbJRqED0HVJlWEGXrqB1Ys=";
installPhase = ''
runHook preInstall
diff --git a/pkgs/applications/misc/HentaiAtHome/default.nix b/pkgs/applications/misc/HentaiAtHome/default.nix
index d5023c64fd1b..e34c2434a4f5 100644
--- a/pkgs/applications/misc/HentaiAtHome/default.nix
+++ b/pkgs/applications/misc/HentaiAtHome/default.nix
@@ -52,5 +52,6 @@ stdenvNoCC.mkDerivation rec {
"Hentai@Home is an open-source P2P gallery distribution system which reduces the load on the E-Hentai Galleries";
license = licenses.gpl3;
maintainers = with maintainers; [ terrorjack ];
+ mainProgram = "HentaiAtHome";
};
}
diff --git a/pkgs/applications/misc/OSCAR/default.nix b/pkgs/applications/misc/OSCAR/default.nix
index 6e20a855acb7..223fff1080e5 100644
--- a/pkgs/applications/misc/OSCAR/default.nix
+++ b/pkgs/applications/misc/OSCAR/default.nix
@@ -39,6 +39,7 @@ mkDerivation rec {
meta = with lib; {
homepage = "https://www.sleepfiles.com/OSCAR/";
description = "Software for reviewing and exploring data produced by CPAP and related machines used in the treatment of sleep apnea";
+ mainProgram = "OSCAR";
license = licenses.gpl3Only;
maintainers = [ maintainers.roconnor ];
# Someone needs to create a suitable installPhase for Darwin and Windows.
diff --git a/pkgs/applications/misc/ablog/default.nix b/pkgs/applications/misc/ablog/default.nix
index a9cc6670e899..932db21317c6 100644
--- a/pkgs/applications/misc/ablog/default.nix
+++ b/pkgs/applications/misc/ablog/default.nix
@@ -41,6 +41,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "ABlog for blogging with Sphinx";
+ mainProgram = "ablog";
homepage = "https://ablog.readthedocs.io/en/latest/";
license = licenses.mit;
maintainers = with maintainers; [ rgrinberg ];
diff --git a/pkgs/applications/misc/abook/default.nix b/pkgs/applications/misc/abook/default.nix
index e822f869619b..358ecbcef39b 100644
--- a/pkgs/applications/misc/abook/default.nix
+++ b/pkgs/applications/misc/abook/default.nix
@@ -26,5 +26,6 @@ stdenv.mkDerivation rec {
license = lib.licenses.gpl2;
maintainers = [ lib.maintainers.edwtjo ];
platforms = with lib.platforms; unix;
+ mainProgram = "abook";
};
}
diff --git a/pkgs/applications/misc/acpic/default.nix b/pkgs/applications/misc/acpic/default.nix
index 7d05f0a05702..7a53e564c57c 100644
--- a/pkgs/applications/misc/acpic/default.nix
+++ b/pkgs/applications/misc/acpic/default.nix
@@ -28,6 +28,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "Daemon extending acpid event handling capabilities.";
+ mainProgram = "acpic";
homepage = "https://github.com/psliwka/acpic";
license = licenses.wtfpl;
maintainers = with maintainers; [ aacebedo ];
diff --git a/pkgs/applications/misc/aitrack/default.nix b/pkgs/applications/misc/aitrack/default.nix
index 48e0afe13ebd..b9bb69623487 100644
--- a/pkgs/applications/misc/aitrack/default.nix
+++ b/pkgs/applications/misc/aitrack/default.nix
@@ -44,6 +44,7 @@
meta = with lib; {
description = "6DoF Head tracking software";
+ mainProgram = "aitrack";
maintainers = with maintainers; [ ck3d ];
platforms = platforms.linux;
license = licenses.mit;
diff --git a/pkgs/applications/misc/almanah/default.nix b/pkgs/applications/misc/almanah/default.nix
index 3b27f5b96e30..35677f56cccb 100644
--- a/pkgs/applications/misc/almanah/default.nix
+++ b/pkgs/applications/misc/almanah/default.nix
@@ -93,6 +93,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Small GTK application to allow to keep a diary of your life";
+ mainProgram = "almanah";
homepage = "https://wiki.gnome.org/Apps/Almanah_Diary";
license = licenses.gpl3Plus;
platforms = platforms.unix;
diff --git a/pkgs/applications/misc/antfs-cli/default.nix b/pkgs/applications/misc/antfs-cli/default.nix
index 516b786222db..43fcab337a05 100644
--- a/pkgs/applications/misc/antfs-cli/default.nix
+++ b/pkgs/applications/misc/antfs-cli/default.nix
@@ -7,6 +7,7 @@ python3Packages.buildPythonApplication {
meta = with lib; {
homepage = "https://github.com/Tigge/antfs-cli";
description = "Extracts FIT files from ANT-FS based sport watches";
+ mainProgram = "antfs-cli";
license = licenses.mit;
platforms = platforms.linux;
};
diff --git a/pkgs/applications/misc/anup/default.nix b/pkgs/applications/misc/anup/default.nix
index f7e560a32c52..94c21164184d 100644
--- a/pkgs/applications/misc/anup/default.nix
+++ b/pkgs/applications/misc/anup/default.nix
@@ -30,5 +30,6 @@ rustPlatform.buildRustPackage rec {
description = "An anime tracker for AniList featuring a TUI";
license = licenses.agpl3Only;
maintainers = with maintainers; [ natto1784 ];
+ mainProgram = "anup";
};
}
diff --git a/pkgs/applications/misc/ape/default.nix b/pkgs/applications/misc/ape/default.nix
index c359d606a859..e50d766a88b2 100644
--- a/pkgs/applications/misc/ape/default.nix
+++ b/pkgs/applications/misc/ape/default.nix
@@ -42,5 +42,6 @@ stdenv.mkDerivation rec {
license = license;
platforms = platforms.unix;
maintainers = with maintainers; [ yrashk ];
+ mainProgram = "ape";
};
}
diff --git a/pkgs/applications/misc/apvlv/default.nix b/pkgs/applications/misc/apvlv/default.nix
index 78d353ce2a85..f45fb95aba59 100644
--- a/pkgs/applications/misc/apvlv/default.nix
+++ b/pkgs/applications/misc/apvlv/default.nix
@@ -61,6 +61,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "http://naihe2010.github.io/apvlv/";
description = "PDF viewer with Vim-like behaviour";
+ mainProgram = "apvlv";
longDescription = ''
apvlv is a PDF/DJVU/UMD/TXT Viewer Under Linux/WIN32
with Vim-like behaviour.
diff --git a/pkgs/applications/misc/archivebox/default.nix b/pkgs/applications/misc/archivebox/default.nix
index 4979a683ebe0..996c11292ab1 100644
--- a/pkgs/applications/misc/archivebox/default.nix
+++ b/pkgs/applications/misc/archivebox/default.nix
@@ -43,8 +43,9 @@ let
rev = "e43f383dae3a35237e42f6acfe1207a8e7e7bdf5";
hash = "sha256-NAMa78KhAuoJfp0Cb0Codz84sRfRQ1JhSLNYRI4GBPM=";
};
+
# possibly a real issue, but that version is not supported anymore
- disabledTests = [ "test_should_highlight_bash_syntax_without_name" ];
+ doCheck = false;
});
};
};
diff --git a/pkgs/applications/misc/artha/default.nix b/pkgs/applications/misc/artha/default.nix
index ac3e9c7c5a1d..0dfc3285c061 100644
--- a/pkgs/applications/misc/artha/default.nix
+++ b/pkgs/applications/misc/artha/default.nix
@@ -18,5 +18,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2;
maintainers = [ maintainers.goibhniu ];
platforms = platforms.linux;
+ mainProgram = "artha";
};
}
diff --git a/pkgs/applications/misc/asciiquarium/default.nix b/pkgs/applications/misc/asciiquarium/default.nix
index a4276dac1d1f..0ab79bb2134a 100644
--- a/pkgs/applications/misc/asciiquarium/default.nix
+++ b/pkgs/applications/misc/asciiquarium/default.nix
@@ -22,6 +22,7 @@ in stdenv.mkDerivation {
meta = with lib; {
description = "Enjoy the mysteries of the sea from the safety of your own terminal!";
+ mainProgram = "asciiquarium";
homepage = "https://robobunny.com/projects/asciiquarium/html/";
license = licenses.gpl2;
platforms = platforms.unix;
diff --git a/pkgs/applications/misc/audio/wavrsocvt/default.nix b/pkgs/applications/misc/audio/wavrsocvt/default.nix
index c9f97c5602b2..7b805496c2d8 100644
--- a/pkgs/applications/misc/audio/wavrsocvt/default.nix
+++ b/pkgs/applications/misc/audio/wavrsocvt/default.nix
@@ -20,6 +20,7 @@ stdenv.mkDerivation {
meta = with lib; {
description = "Convert .wav files into sound files for Lego NXT brick";
+ mainProgram = "wavrsocvt";
longDescription = ''
wavrsocvt is a command-line utility which can be used from a
terminal window or script to convert .wav files into sound
diff --git a/pkgs/applications/misc/ausweisapp/default.nix b/pkgs/applications/misc/ausweisapp/default.nix
index 25599f383819..f00271bf7233 100644
--- a/pkgs/applications/misc/ausweisapp/default.nix
+++ b/pkgs/applications/misc/ausweisapp/default.nix
@@ -15,13 +15,13 @@
}:
stdenv.mkDerivation (finalAttrs: {
pname = "ausweisapp";
- version = "2.0.3";
+ version = "2.1.0";
src = fetchFromGitHub {
owner = "Governikus";
repo = "AusweisApp2";
rev = finalAttrs.version;
- hash = "sha256-pnGtlNXwYNG+m3mmo815dqp2i098I/i7EKdLrDm/Su8=";
+ hash = "sha256-wgVu5Yr65Gu1z5SEWy5l4B6UiI5bIobBfZLhL7s+SRE=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/misc/authenticator/default.nix b/pkgs/applications/misc/authenticator/default.nix
index dac943fee677..57e8b7620731 100644
--- a/pkgs/applications/misc/authenticator/default.nix
+++ b/pkgs/applications/misc/authenticator/default.nix
@@ -70,6 +70,7 @@ stdenv.mkDerivation rec {
meta = {
description = "Two-factor authentication code generator for GNOME";
+ mainProgram = "authenticator";
homepage = "https://gitlab.gnome.org/World/Authenticator";
license = lib.licenses.gpl3Plus;
maintainers = with lib.maintainers; [ austinbutler ];
diff --git a/pkgs/applications/misc/auto-multiple-choice/default.nix b/pkgs/applications/misc/auto-multiple-choice/default.nix
index 43aad6d5be16..bdd1e6fbb854 100644
--- a/pkgs/applications/misc/auto-multiple-choice/default.nix
+++ b/pkgs/applications/misc/auto-multiple-choice/default.nix
@@ -144,6 +144,7 @@ stdenv.mkDerivation (finalAttrs: rec {
meta = with lib; {
description = "Create and manage multiple choice questionnaires with automated marking.";
+ mainProgram = "auto-multiple-choice";
longDescription = ''
Create, manage and mark multiple-choice questionnaires.
auto-multiple-choice features automated or manual formatting with
diff --git a/pkgs/applications/misc/avell-unofficial-control-center/default.nix b/pkgs/applications/misc/avell-unofficial-control-center/default.nix
index 45d4f69075d2..c37d2c42b64f 100644
--- a/pkgs/applications/misc/avell-unofficial-control-center/default.nix
+++ b/pkgs/applications/misc/avell-unofficial-control-center/default.nix
@@ -20,6 +20,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
homepage = "https://github.com/rodgomesc/avell-unofficial-control-center";
description = "Software for controlling RGB keyboard lights on some gaming laptops that use ITE Device(8291) Rev 0.03";
+ mainProgram = "aucc";
license = licenses.mit;
maintainers = with maintainers; [ rkitover ];
};
diff --git a/pkgs/applications/misc/avrdudess/default.nix b/pkgs/applications/misc/avrdudess/default.nix
index ff171f457409..af12e6b2f4b8 100644
--- a/pkgs/applications/misc/avrdudess/default.nix
+++ b/pkgs/applications/misc/avrdudess/default.nix
@@ -41,5 +41,6 @@ stdenv.mkDerivation {
license = licenses.gpl3;
platforms = platforms.linux;
maintainers = [ maintainers.bjornfor ];
+ mainProgram = "avrdudess";
};
}
diff --git a/pkgs/applications/misc/azuredatastudio/default.nix b/pkgs/applications/misc/azuredatastudio/default.nix
index f90bed6390f2..ff441f672a50 100644
--- a/pkgs/applications/misc/azuredatastudio/default.nix
+++ b/pkgs/applications/misc/azuredatastudio/default.nix
@@ -193,5 +193,6 @@ stdenv.mkDerivation rec {
sourceProvenance = with lib.sourceTypes; [ binaryNativeCode ];
license = lib.licenses.unfreeRedistributable;
platforms = [ "x86_64-linux" ];
+ mainProgram = "azuredatastudio";
};
}
diff --git a/pkgs/applications/misc/bambu-studio/default.nix b/pkgs/applications/misc/bambu-studio/default.nix
index 1455558dcc2e..f58283564c13 100644
--- a/pkgs/applications/misc/bambu-studio/default.nix
+++ b/pkgs/applications/misc/bambu-studio/default.nix
@@ -113,6 +113,8 @@ stdenv.mkDerivation rec {
patches = [
# Fix for webkitgtk linking
./0001-not-for-upstream-CMakeLists-Link-against-webkit2gtk-.patch
+ # Fix build with cgal-5.6.1+
+ ./meshboolean-const.patch
];
doCheck = true;
@@ -167,7 +169,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "PC Software for BambuLab's 3D printers";
homepage = "https://github.com/bambulab/BambuStudio";
- license = licenses.agpl3;
+ license = licenses.agpl3Plus;
maintainers = with maintainers; [ zhaofengli ];
mainProgram = "bambu-studio";
platforms = platforms.linux;
diff --git a/pkgs/applications/misc/bambu-studio/meshboolean-const.patch b/pkgs/applications/misc/bambu-studio/meshboolean-const.patch
new file mode 100644
index 000000000000..68e72591d8f4
--- /dev/null
+++ b/pkgs/applications/misc/bambu-studio/meshboolean-const.patch
@@ -0,0 +1,21 @@
+Fix build with cgal 5.6.1+
+
+diff --git a/src/libslic3r/MeshBoolean.cpp b/src/libslic3r/MeshBoolean.cpp
+index 50bbc099..b05245d3 100644
+--- a/src/libslic3r/MeshBoolean.cpp
++++ b/src/libslic3r/MeshBoolean.cpp
+@@ -200,12 +200,12 @@ indexed_triangle_set cgal_to_indexed_triangle_set(const _Mesh &cgalmesh)
+ const auto &vertices = cgalmesh.vertices();
+ int vsize = int(vertices.size());
+
+- for (auto &vi : vertices) {
++ for (const auto &vi : vertices) {
+ auto &v = cgalmesh.point(vi); // Don't ask...
+ its.vertices.emplace_back(to_vec3f(v));
+ }
+
+- for (auto &face : faces) {
++ for (const auto &face : faces) {
+ auto vtc = cgalmesh.vertices_around_face(cgalmesh.halfedge(face));
+
+ int i = 0;
diff --git a/pkgs/applications/misc/bambu-studio/orca-slicer.nix b/pkgs/applications/misc/bambu-studio/orca-slicer.nix
index 251423d24d35..dc55a4d02f69 100644
--- a/pkgs/applications/misc/bambu-studio/orca-slicer.nix
+++ b/pkgs/applications/misc/bambu-studio/orca-slicer.nix
@@ -1,24 +1,27 @@
{ lib, fetchFromGitHub, makeDesktopItem, bambu-studio }:
-let
- orca-slicer = bambu-studio.overrideAttrs (finalAttrs: previousAttrs: {
- version = "1.9.0";
- pname = "orca-slicer";
- src = fetchFromGitHub {
- owner = "SoftFever";
- repo = "OrcaSlicer";
- rev = "v${finalAttrs.version}";
- hash = "sha256-v6REKDlFhyW6kEEfpcm8Sjezkh6uLaBusMuVk8n3Ts0=";
- };
+bambu-studio.overrideAttrs (finalAttrs: previousAttrs: {
+ version = "1.9.1";
+ pname = "orca-slicer";
- meta = with lib; {
- description = "G-code generator for 3D printers (Bambu, Prusa, Voron, VzBot, RatRig, Creality, etc";
- homepage = "https://github.com/SoftFever/OrcaSlicer";
- license = licenses.agpl3Only;
- maintainers = with maintainers; [ zhaofengli ovlach pinpox ];
- mainProgram = "orca-slicer";
- platforms = platforms.linux;
- };
- });
-in
-orca-slicer
+ # Don't inherit patches from bambu-studio
+ patches = [
+ ./0001-not-for-upstream-CMakeLists-Link-against-webkit2gtk-.patch
+ ];
+
+ src = fetchFromGitHub {
+ owner = "SoftFever";
+ repo = "OrcaSlicer";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-+JYUpyEr3xraJEb1wDkyle+jAQiNE+AMUTT1fhh4Clw=";
+ };
+
+ meta = with lib; {
+ description = "G-code generator for 3D printers (Bambu, Prusa, Voron, VzBot, RatRig, Creality, etc";
+ homepage = "https://github.com/SoftFever/OrcaSlicer";
+ license = licenses.agpl3Only;
+ maintainers = with maintainers; [ zhaofengli ovlach pinpox ];
+ mainProgram = "orca-slicer";
+ platforms = platforms.linux;
+ };
+})
diff --git a/pkgs/applications/misc/base16-universal-manager/default.nix b/pkgs/applications/misc/base16-universal-manager/default.nix
index 2ecbc5f41809..98a255f87398 100644
--- a/pkgs/applications/misc/base16-universal-manager/default.nix
+++ b/pkgs/applications/misc/base16-universal-manager/default.nix
@@ -18,5 +18,6 @@ buildGoModule rec {
homepage = "https://github.com/pinpox/base16-universal-manager";
license = licenses.mit;
maintainers = with maintainers; [ jo1gi ];
+ mainProgram = "base16-universal-manager";
};
}
diff --git a/pkgs/applications/misc/bb/default.nix b/pkgs/applications/misc/bb/default.nix
index 97bd2a1f21ca..89a0d75fc2b5 100644
--- a/pkgs/applications/misc/bb/default.nix
+++ b/pkgs/applications/misc/bb/default.nix
@@ -28,5 +28,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2Plus;
maintainers = [ maintainers.rnhmjoj ];
platforms = platforms.unix;
+ mainProgram = "bb";
};
}
diff --git a/pkgs/applications/misc/bemenu/default.nix b/pkgs/applications/misc/bemenu/default.nix
index 2640cbd154d2..5fac61399127 100644
--- a/pkgs/applications/misc/bemenu/default.nix
+++ b/pkgs/applications/misc/bemenu/default.nix
@@ -7,13 +7,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "bemenu";
- version = "0.6.17";
+ version = "0.6.21";
src = fetchFromGitHub {
owner = "Cloudef";
repo = finalAttrs.pname;
rev = finalAttrs.version;
- sha256 = "sha256-HfA8VtYP8YHMQNXrg3E6IwX7rR3rp/gyE62InsddjZE=";
+ hash = "sha256-E/5wN7HpdBt//jFe9yAB8fuHKiFJ7D1UAJEvG8KBJ6k=";
};
strictDeps = true;
diff --git a/pkgs/applications/misc/bfcal/default.nix b/pkgs/applications/misc/bfcal/default.nix
index 695f5bc69b0c..0f801aa2e130 100644
--- a/pkgs/applications/misc/bfcal/default.nix
+++ b/pkgs/applications/misc/bfcal/default.nix
@@ -29,6 +29,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Quickly display a calendar";
+ mainProgram = "bfcal";
homepage = "https://git.sr.ht/~bitfehler/bfcal";
license = licenses.gpl3Plus;
platforms = qtbase.meta.platforms;
diff --git a/pkgs/applications/misc/bibletime/default.nix b/pkgs/applications/misc/bibletime/default.nix
index f5b027a9b2de..f49477fae234 100644
--- a/pkgs/applications/misc/bibletime/default.nix
+++ b/pkgs/applications/misc/bibletime/default.nix
@@ -58,6 +58,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
homepage = "http://www.bibletime.info/";
description = "A powerful cross platform Bible study tool";
+ mainProgram = "bibletime";
license = licenses.gpl2Plus;
maintainers = with maintainers; [ AndersonTorres ];
platforms = platforms.linux;
diff --git a/pkgs/applications/misc/bikeshed/default.nix b/pkgs/applications/misc/bikeshed/default.nix
index f3e58b778718..21c6ac8c523d 100644
--- a/pkgs/applications/misc/bikeshed/default.nix
+++ b/pkgs/applications/misc/bikeshed/default.nix
@@ -63,6 +63,7 @@ buildPythonApplication rec {
meta = with lib; {
description = "Preprocessor for anyone writing specifications that converts source files into actual specs";
+ mainProgram = "bikeshed";
longDescription = ''
Bikeshed is a pre-processor for spec documents, turning a source document
(containing only the actual spec content, plus several shorthands for linking
diff --git a/pkgs/applications/misc/binocle/default.nix b/pkgs/applications/misc/binocle/default.nix
index 02b1b4c7b5d5..92ccc4112993 100644
--- a/pkgs/applications/misc/binocle/default.nix
+++ b/pkgs/applications/misc/binocle/default.nix
@@ -42,6 +42,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "Graphical tool to visualize binary data";
+ mainProgram = "binocle";
homepage = "https://github.com/sharkdp/binocle";
license = with licenses; [ asl20 /* or */ mit ];
maintainers = with maintainers; [ figsoda ];
diff --git a/pkgs/applications/misc/birdtray/default.nix b/pkgs/applications/misc/birdtray/default.nix
index 4865f9f29eda..cbdb165a6484 100644
--- a/pkgs/applications/misc/birdtray/default.nix
+++ b/pkgs/applications/misc/birdtray/default.nix
@@ -31,6 +31,7 @@ mkDerivation rec {
meta = with lib; {
description = "Mail system tray notification icon for Thunderbird";
+ mainProgram = "birdtray";
homepage = "https://github.com/gyunaev/birdtray";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ Flakebi ];
diff --git a/pkgs/applications/misc/bitwarden-menu/default.nix b/pkgs/applications/misc/bitwarden-menu/default.nix
index d6c7faab4da5..5e067ac807d9 100644
--- a/pkgs/applications/misc/bitwarden-menu/default.nix
+++ b/pkgs/applications/misc/bitwarden-menu/default.nix
@@ -25,6 +25,7 @@ buildPythonApplication rec {
meta = with lib; {
changelog = "https://github.com/firecat53/bitwarden-menu/releases/tag/v${version}";
description = "Dmenu/Rofi frontend for managing Bitwarden vaults. Uses the Bitwarden CLI tool to interact with the Bitwarden database.";
+ mainProgram = "bwm";
homepage = "https://github.com/firecat53/bitwarden-menu";
license = licenses.mit;
maintainers = [ ];
diff --git a/pkgs/applications/misc/bklk/default.nix b/pkgs/applications/misc/bklk/default.nix
index 62aeb7c91a5f..a62eed5f8e1b 100644
--- a/pkgs/applications/misc/bklk/default.nix
+++ b/pkgs/applications/misc/bklk/default.nix
@@ -27,5 +27,6 @@ stdenv.mkDerivation rec {
license = licenses.mit;
maintainers = with maintainers; [ j0hax ];
platforms = platforms.all;
+ mainProgram = "bklk";
};
}
diff --git a/pkgs/applications/misc/blender/default.nix b/pkgs/applications/misc/blender/default.nix
index 996e982bdc59..c0fb3b336d0f 100644
--- a/pkgs/applications/misc/blender/default.nix
+++ b/pkgs/applications/misc/blender/default.nix
@@ -1,149 +1,182 @@
-{ config, stdenv, lib, fetchurl, fetchzip, boost, cmake, ffmpeg, gettext, glew
-, libepoxy, libXi, libX11, libXext, libXrender
-, libjpeg, libpng, libsamplerate, libsndfile
-, libtiff, libwebp, libGLU, libGL, openal, opencolorio, openexr, openimagedenoise, openimageio, openjpeg, python310Packages
-, openvdb, libXxf86vm, tbb, alembic
-, zlib, zstd, fftw, fftwFloat, opensubdiv, freetype, jemalloc, ocl-icd, addOpenGLRunpath
-, jackaudioSupport ? false, libjack2
-, cudaSupport ? config.cudaSupport, cudaPackages ? { }
-, hipSupport ? false, rocmPackages # comes with a significantly larger closure size
-, colladaSupport ? true, opencollada
-, spaceNavSupport ? stdenv.isLinux, libspnav
-, makeWrapper
-, pugixml, llvmPackages, SDL, Cocoa, CoreGraphics, ForceFeedback, OpenAL, OpenGL
-, waylandSupport ? stdenv.isLinux, pkg-config, wayland, wayland-protocols, libffi, libdecor, libxkbcommon, dbus
-, potrace
-, openxr-loader
-, embree, gmp, libharu
-, openpgl
-, mesa
-, runCommand
-, callPackage
+{
+ Cocoa,
+ CoreGraphics,
+ ForceFeedback,
+ OpenAL,
+ OpenGL,
+ SDL,
+ addOpenGLRunpath,
+ alembic,
+ boost,
+ callPackage,
+ cmake,
+ colladaSupport ? true,
+ config,
+ cudaPackages,
+ cudaSupport ? config.cudaSupport,
+ dbus,
+ embree,
+ fetchpatch,
+ fetchurl,
+ fetchzip,
+ ffmpeg,
+ fftw,
+ fftwFloat,
+ freetype,
+ gettext,
+ glew,
+ gmp,
+ hipSupport ? false,
+ jackaudioSupport ? false,
+ jemalloc,
+ lib,
+ libGL,
+ libGLU,
+ libX11,
+ libXext,
+ libXi,
+ libXrender,
+ libXxf86vm,
+ libdecor,
+ libepoxy,
+ libffi,
+ libharu,
+ libjack2,
+ libjpeg,
+ libpng,
+ libsamplerate,
+ libsndfile,
+ libspnav,
+ libtiff,
+ libwebp,
+ libxkbcommon,
+ llvmPackages,
+ makeWrapper,
+ mesa,
+ ocl-icd,
+ openal,
+ opencollada,
+ opencolorio,
+ openexr,
+ openimagedenoise,
+ openimageio,
+ openjpeg,
+ openpgl,
+ opensubdiv,
+ openvdb,
+ openxr-loader,
+ pkg-config,
+ potrace,
+ pugixml,
+ python310Packages, # must use instead of python3.pkgs, see https://github.com/NixOS/nixpkgs/issues/211340
+ rocmPackages, # comes with a significantly larger closure size
+ runCommand,
+ spaceNavSupport ? stdenv.isLinux,
+ stdenv,
+ tbb,
+ wayland,
+ wayland-protocols,
+ waylandSupport ? stdenv.isLinux,
+ zlib,
+ zstd,
}:
let
- pythonPackages = python310Packages;
- inherit (pythonPackages) python;
- buildEnv = callPackage ./wrapper.nix {};
- optix = fetchzip {
- # url taken from the archlinux blender PKGBUILD
- url = "https://developer.download.nvidia.com/redist/optix/v7.3/OptiX-7.3.0-Include.zip";
- sha256 = "0max1j4822mchj0xpz9lqzh91zkmvsn4py0r174cvqfz8z8ykjk8";
- };
+ python3Packages = python310Packages;
+ python3 = python3Packages.python;
+ pyPkgsOpenusd = python3Packages.openusd.override { withOsl = false; };
+
libdecor' = libdecor.overrideAttrs (old: {
# Blender uses private APIs, need to patch to expose them
patches = (old.patches or [ ]) ++ [ ./libdecor.patch ];
});
+ optix = fetchzip {
+ # URL from https://gitlab.archlinux.org/archlinux/packaging/packages/blender/-/commit/333add667b43255dcb011215a2d2af48281e83cf#9b9baac1eb9b72790eef5540a1685306fc43fd6c_30_30
+ url = "https://developer.download.nvidia.com/redist/optix/v7.3/OptiX-7.3.0-Include.zip";
+ hash = "sha256-aMrp0Uff4c3ICRn4S6zedf6Q4Mc0/duBhKwKgYgMXVU=";
+ };
in
-stdenv.mkDerivation (finalAttrs: rec {
+
+stdenv.mkDerivation (finalAttrs: {
pname = "blender";
version = "4.0.2";
src = fetchurl {
- url = "https://download.blender.org/source/${pname}-${version}.tar.xz";
+ url = "https://download.blender.org/source/${finalAttrs.pname}-${finalAttrs.version}.tar.xz";
hash = "sha256-qqDnKdp1kc+/RXcq92NFl32qp7EaCvNdmPkxPiRgd6M=";
};
patches = [
./draco.patch
+ (fetchpatch {
+ url = "https://projects.blender.org/blender/blender/commit/cf4365e555a759d5b3225bce77858374cb07faad.diff";
+ hash = "sha256-Nypd04yFSHYa7RBa8kNmoApqJrU4qpaOle3tkj44d4g=";
+ })
+ (fetchpatch {
+ # https://projects.blender.org/blender/blender/issues/117145
+ url = "https://projects.blender.org/blender/blender/commit/eb99895c972b6c713294f68a34798aa51d36034a.patch";
+ hash = "sha256-95nG5mW408lhKJ2BppgaUwBMMeXeGyBqho6mCfB53GI=";
+ })
] ++ lib.optional stdenv.isDarwin ./darwin.patch;
- nativeBuildInputs =
- [ cmake makeWrapper python310Packages.wrapPython llvmPackages.llvm.dev
- ]
- ++ lib.optionals cudaSupport [
- addOpenGLRunpath
- cudaPackages.cuda_nvcc
- ]
- ++ lib.optionals waylandSupport [ pkg-config ];
- buildInputs =
- [ boost ffmpeg gettext glew
- freetype libjpeg libpng libsamplerate libsndfile libtiff libwebp
- opencolorio openexr openimageio openjpeg python zlib zstd fftw fftwFloat jemalloc
- alembic
- (opensubdiv.override { inherit cudaSupport; })
- tbb
- gmp
- pugixml
- potrace
- libharu
- libepoxy
- openpgl
- ]
- ++ lib.optionals waylandSupport [
- wayland wayland-protocols libffi libdecor' libxkbcommon dbus
- ]
- ++ lib.optionals (!stdenv.isAarch64) [
- openimagedenoise
- embree
- ]
- ++ (if (!stdenv.isDarwin) then [
- libXi libX11 libXext libXrender
- libGLU libGL openal
- libXxf86vm
- openxr-loader
- # OpenVDB currently doesn't build on darwin
- openvdb
- ]
- else [
- llvmPackages.openmp SDL Cocoa CoreGraphics ForceFeedback OpenAL OpenGL
- ])
- ++ lib.optional jackaudioSupport libjack2
- ++ lib.optionals cudaSupport [ cudaPackages.cuda_cudart ]
- ++ lib.optional colladaSupport opencollada
- ++ lib.optional spaceNavSupport libspnav;
- pythonPath = with python310Packages; [ numpy requests zstandard ];
-
- postPatch = ''
- '' +
- (if stdenv.isDarwin then ''
- : > build_files/cmake/platform/platform_apple_xcode.cmake
- substituteInPlace source/creator/CMakeLists.txt \
- --replace '${"$"}{LIBDIR}/python' \
- '${python}'
- substituteInPlace build_files/cmake/platform/platform_apple.cmake \
- --replace '${"$"}{LIBDIR}/python' \
- '${python}' \
- --replace '${"$"}{LIBDIR}/opencollada' \
- '${opencollada}' \
- --replace '${"$"}{PYTHON_LIBPATH}/site-packages/numpy' \
- '${python310Packages.numpy}/${python.sitePackages}/numpy'
- '' else ''
- substituteInPlace extern/clew/src/clew.c --replace '"libOpenCL.so"' '"${ocl-icd}/lib/libOpenCL.so"'
- '') +
- (lib.optionalString hipSupport ''
+ postPatch =
+ (
+ if stdenv.isDarwin then
+ ''
+ : > build_files/cmake/platform/platform_apple_xcode.cmake
+ substituteInPlace source/creator/CMakeLists.txt \
+ --replace '${"$"}{LIBDIR}/python' \
+ '${python3}'
+ substituteInPlace build_files/cmake/platform/platform_apple.cmake \
+ --replace '${"$"}{LIBDIR}/python' \
+ '${python3}' \
+ --replace '${"$"}{LIBDIR}/opencollada' \
+ '${opencollada}' \
+ --replace '${"$"}{PYTHON_LIBPATH}/site-packages/numpy' \
+ '${python3Packages.numpy}/${python3.sitePackages}/numpy'
+ ''
+ else
+ ''
+ substituteInPlace extern/clew/src/clew.c --replace '"libOpenCL.so"' '"${ocl-icd}/lib/libOpenCL.so"'
+ ''
+ )
+ + (lib.optionalString hipSupport ''
substituteInPlace extern/hipew/src/hipew.c --replace '"/opt/rocm/hip/lib/libamdhip64.so"' '"${rocmPackages.clr}/lib/libamdhip64.so"'
substituteInPlace extern/hipew/src/hipew.c --replace '"opt/rocm/hip/bin"' '"${rocmPackages.clr}/bin"'
'');
+ env.NIX_CFLAGS_COMPILE = "-I${python3}/include/${python3.libPrefix}";
+
cmakeFlags =
[
+ "-DPYTHON_INCLUDE_DIR=${python3}/include/${python3.libPrefix}"
+ "-DPYTHON_LIBPATH=${python3}/lib"
+ "-DPYTHON_LIBRARY=${python3.libPrefix}"
+ "-DPYTHON_NUMPY_INCLUDE_DIRS=${python3Packages.numpy}/${python3.sitePackages}/numpy/core/include"
+ "-DPYTHON_NUMPY_PATH=${python3Packages.numpy}/${python3.sitePackages}"
+ "-DPYTHON_VERSION=${python3.pythonVersion}"
"-DWITH_ALEMBIC=ON"
+ "-DWITH_CODEC_FFMPEG=ON"
+ "-DWITH_CODEC_SNDFILE=ON"
+ "-DWITH_FFTW3=ON"
+ "-DWITH_IMAGE_OPENJPEG=ON"
+ "-DWITH_INSTALL_PORTABLE=OFF"
+ "-DWITH_MOD_OCEANSIM=ON"
+ "-DWITH_OPENCOLLADA=${if colladaSupport then "ON" else "OFF"}"
+ "-DWITH_OPENCOLORIO=ON"
+ "-DWITH_OPENSUBDIV=ON"
+ "-DWITH_OPENVDB=ON"
+ "-DWITH_PYTHON_INSTALL=OFF"
+ "-DWITH_PYTHON_INSTALL_NUMPY=OFF"
+ "-DWITH_PYTHON_INSTALL_REQUESTS=OFF"
+ "-DWITH_SDL=OFF"
+ "-DWITH_TBB=ON"
+ "-DWITH_USD=ON"
+
# Blender supplies its own FindAlembic.cmake (incompatible with the Alembic-supplied config file)
"-DALEMBIC_INCLUDE_DIR=${lib.getDev alembic}/include"
"-DALEMBIC_LIBRARY=${lib.getLib alembic}/lib/libAlembic.so"
- "-DWITH_MOD_OCEANSIM=ON"
- "-DWITH_CODEC_FFMPEG=ON"
- "-DWITH_CODEC_SNDFILE=ON"
- "-DWITH_INSTALL_PORTABLE=OFF"
- "-DWITH_FFTW3=ON"
- "-DWITH_SDL=OFF"
- "-DWITH_OPENCOLORIO=ON"
- "-DWITH_OPENSUBDIV=ON"
- "-DPYTHON_LIBRARY=${python.libPrefix}"
- "-DPYTHON_LIBPATH=${python}/lib"
- "-DPYTHON_INCLUDE_DIR=${python}/include/${python.libPrefix}"
- "-DPYTHON_VERSION=${python.pythonVersion}"
- "-DWITH_PYTHON_INSTALL=OFF"
- "-DWITH_PYTHON_INSTALL_NUMPY=OFF"
- "-DPYTHON_NUMPY_PATH=${python310Packages.numpy}/${python.sitePackages}"
- "-DPYTHON_NUMPY_INCLUDE_DIRS=${python310Packages.numpy}/${python.sitePackages}/numpy/core/include"
- "-DWITH_PYTHON_INSTALL_REQUESTS=OFF"
- "-DWITH_OPENVDB=ON"
- "-DWITH_TBB=ON"
- "-DWITH_IMAGE_OPENJPEG=ON"
- "-DWITH_OPENCOLLADA=${if colladaSupport then "ON" else "OFF"}"
]
++ lib.optionals waylandSupport [
"-DWITH_GHOST_WAYLAND=ON"
@@ -151,43 +184,137 @@ stdenv.mkDerivation (finalAttrs: rec {
"-DWITH_GHOST_WAYLAND_DYNLOAD=OFF"
"-DWITH_GHOST_WAYLAND_LIBDECOR=ON"
]
- ++ lib.optionals stdenv.hostPlatform.isAarch64 [
- "-DWITH_CYCLES_EMBREE=OFF"
- ]
+ ++ lib.optionals stdenv.hostPlatform.isAarch64 [ "-DWITH_CYCLES_EMBREE=OFF" ]
++ lib.optionals stdenv.isDarwin [
+ "-DLIBDIR=/does-not-exist"
"-DWITH_CYCLES_OSL=OFF" # requires LLVM
"-DWITH_OPENVDB=OFF" # OpenVDB currently doesn't build on darwin
-
- "-DLIBDIR=/does-not-exist"
]
- # Clang doesn't support "-export-dynamic"
- ++ lib.optional stdenv.cc.isClang "-DPYTHON_LINKFLAGS="
+ ++ lib.optional stdenv.cc.isClang "-DPYTHON_LINKFLAGS=" # Clang doesn't support "-export-dynamic"
++ lib.optional jackaudioSupport "-DWITH_JACK=ON"
++ lib.optionals cudaSupport [
+ "-DOPTIX_ROOT_DIR=${optix}"
"-DWITH_CYCLES_CUDA_BINARIES=ON"
"-DWITH_CYCLES_DEVICE_OPTIX=ON"
- "-DOPTIX_ROOT_DIR=${optix}"
];
- env.NIX_CFLAGS_COMPILE = "-I${python}/include/${python.libPrefix}";
+ nativeBuildInputs =
+ [
+ cmake
+ llvmPackages.llvm.dev
+ makeWrapper
+ python3Packages.wrapPython
+ ]
+ ++ lib.optionals cudaSupport [
+ addOpenGLRunpath
+ cudaPackages.cuda_nvcc
+ ]
+ ++ lib.optionals waylandSupport [ pkg-config ];
- # Since some dependencies are built with gcc 6, we need gcc 6's
- # libstdc++ in our RPATH. Sigh.
- NIX_LDFLAGS = lib.optionalString cudaSupport "-rpath ${stdenv.cc.cc.lib}/lib";
+ buildInputs =
+ [
+ alembic
+ boost
+ ffmpeg
+ fftw
+ fftwFloat
+ freetype
+ gettext
+ glew
+ gmp
+ jemalloc
+ libepoxy
+ libharu
+ libjpeg
+ libpng
+ libsamplerate
+ libsndfile
+ libtiff
+ libwebp
+ opencolorio
+ openexr
+ openimageio
+ openjpeg
+ openpgl
+ (opensubdiv.override { inherit cudaSupport; })
+ potrace
+ pugixml
+ pyPkgsOpenusd
+ python3
+ tbb
+ zlib
+ zstd
+ ]
+ ++ lib.optionals (!stdenv.isAarch64) [
+ embree
+ openimagedenoise
+ ]
+ ++ (
+ if (!stdenv.isDarwin) then
+ [
+ libGL
+ libGLU
+ libX11
+ libXext
+ libXi
+ libXrender
+ libXxf86vm
+ openal
+ openvdb # OpenVDB currently doesn't build on darwin
+ openxr-loader
+ ]
+ else
+ [
+ Cocoa
+ CoreGraphics
+ ForceFeedback
+ OpenAL
+ OpenGL
+ SDL
+ llvmPackages.openmp
+ ]
+ )
+ ++ lib.optionals cudaSupport [ cudaPackages.cuda_cudart ]
+ ++ lib.optionals waylandSupport [
+ dbus
+ libdecor'
+ libffi
+ libxkbcommon
+ wayland
+ wayland-protocols
+ ]
+ ++ lib.optional colladaSupport opencollada
+ ++ lib.optional jackaudioSupport libjack2
+ ++ lib.optional spaceNavSupport libspnav;
+
+ pythonPath =
+ let
+ ps = python3Packages;
+ in
+ [
+ ps.numpy
+ ps.requests
+ ps.zstandard
+ pyPkgsOpenusd
+ ];
blenderExecutable =
- placeholder "out" + (if stdenv.isDarwin then "/Applications/Blender.app/Contents/MacOS/Blender" else "/bin/blender");
- postInstall = lib.optionalString stdenv.isDarwin ''
- mkdir $out/Applications
- mv $out/Blender.app $out/Applications
- '' + ''
- mv $out/share/blender/${lib.versions.majorMinor version}/python{,-ext}
- buildPythonPath "$pythonPath"
- wrapProgram $blenderExecutable \
- --prefix PATH : $program_PATH \
- --prefix PYTHONPATH : "$program_PYTHONPATH" \
- --add-flags '--python-use-system-env'
- '';
+ placeholder "out"
+ + (if stdenv.isDarwin then "/Applications/Blender.app/Contents/MacOS/Blender" else "/bin/blender");
+
+ postInstall =
+ lib.optionalString stdenv.isDarwin ''
+ mkdir $out/Applications
+ mv $out/Blender.app $out/Applications
+ ''
+ + ''
+ mv $out/share/blender/${lib.versions.majorMinor finalAttrs.version}/python{,-ext}
+ buildPythonPath "$pythonPath"
+ wrapProgram $blenderExecutable \
+ --prefix PATH : $program_PATH \
+ --prefix PYTHONPATH : "$program_PYTHONPATH" \
+ --add-flags '--python-use-system-env'
+ '';
# Set RUNPATH so that libcuda and libnvrtc in /run/opengl-driver(-32)/lib can be
# found. See the explanation in libglvnd.
@@ -199,12 +326,18 @@ stdenv.mkDerivation (finalAttrs: rec {
'';
passthru = {
- inherit python pythonPackages;
+ python = python3;
+ pythonPackages = python3Packages;
- withPackages = f: let packages = f pythonPackages; in buildEnv.override { blender = finalAttrs.finalPackage; extraModules = packages; };
+ withPackages =
+ f:
+ (callPackage ./wrapper.nix { }).override {
+ blender = finalAttrs.finalPackage;
+ extraModules = (f python3Packages);
+ };
tests = {
- render = runCommand "${pname}-test" { } ''
+ render = runCommand "${finalAttrs.pname}-test" { } ''
set -euo pipefail
export LIBGL_DRIVERS_PATH=${mesa.drivers}/lib/dri
@@ -240,16 +373,23 @@ stdenv.mkDerivation (finalAttrs: rec {
};
};
- meta = with lib; {
+ meta = {
description = "3D Creation/Animation/Publishing System";
homepage = "https://www.blender.org";
# They comment two licenses: GPLv2 and Blender License, but they
# say: "We've decided to cancel the BL offering for an indefinite period."
# OptiX, enabled with cudaSupport, is non-free.
- license = with licenses; [ gpl2Plus ] ++ optional cudaSupport unfree;
- platforms = [ "x86_64-linux" "x86_64-darwin" "aarch64-linux" ];
+ license = with lib.licenses; [ gpl2Plus ] ++ lib.optional cudaSupport unfree;
+ platforms = [
+ "aarch64-linux"
+ "x86_64-darwin"
+ "x86_64-linux"
+ ];
broken = stdenv.isDarwin;
- maintainers = with maintainers; [ goibhniu veprbl ];
+ maintainers = with lib.maintainers; [
+ goibhniu
+ veprbl
+ ];
mainProgram = "blender";
};
})
diff --git a/pkgs/applications/misc/blucontrol/wrapper.nix b/pkgs/applications/misc/blucontrol/wrapper.nix
index c0c76b4ef49d..3ace71b7644a 100644
--- a/pkgs/applications/misc/blucontrol/wrapper.nix
+++ b/pkgs/applications/misc/blucontrol/wrapper.nix
@@ -19,6 +19,7 @@ in
meta = with lib; {
description = "Configurable blue light filter";
+ mainProgram = "blucontrol";
longDescription = ''
This application is a blue light filter, with the main focus on configurability.
Configuration is done in Haskell in the style of xmonad.
diff --git a/pkgs/applications/misc/bluetooth_battery/default.nix b/pkgs/applications/misc/bluetooth_battery/default.nix
index d9c3f5bc2a43..4158cdf8cc55 100644
--- a/pkgs/applications/misc/bluetooth_battery/default.nix
+++ b/pkgs/applications/misc/bluetooth_battery/default.nix
@@ -22,6 +22,7 @@ buildPythonApplication rec {
meta = with lib; {
description = "Fetch the battery charge level of some Bluetooth headsets";
+ mainProgram = "bluetooth_battery";
homepage = "https://github.com/TheWeirdDev/Bluetooth_Headset_Battery_Level";
license = licenses.gpl3Plus;
platforms = platforms.unix;
diff --git a/pkgs/applications/misc/blugon/default.nix b/pkgs/applications/misc/blugon/default.nix
index d11a61e440fb..5aab73ef1467 100644
--- a/pkgs/applications/misc/blugon/default.nix
+++ b/pkgs/applications/misc/blugon/default.nix
@@ -33,5 +33,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/jumper149/blugon";
platforms = platforms.unix;
maintainers = with maintainers; [ jumper149 ];
+ mainProgram = "blugon";
};
}
diff --git a/pkgs/applications/misc/bottles/default.nix b/pkgs/applications/misc/bottles/default.nix
index eb0c6a841425..434ffd76852e 100644
--- a/pkgs/applications/misc/bottles/default.nix
+++ b/pkgs/applications/misc/bottles/default.nix
@@ -28,13 +28,13 @@
python3Packages.buildPythonApplication rec {
pname = "bottles-unwrapped";
- version = "51.9";
+ version = "51.11";
src = fetchFromGitHub {
owner = "bottlesdevs";
repo = "bottles";
rev = version;
- sha256 = "sha256-iZUszwVcbVn6Xsqou6crSp9gJBRmm5vEqxS87h/s3PQ=";
+ sha256 = "sha256-uS3xmTu+LrVFX93bYcJvYjl6179d3IjpxLKrOXn8Z8Y=";
};
patches = [
diff --git a/pkgs/applications/misc/break-time/default.nix b/pkgs/applications/misc/break-time/default.nix
index e72f08fd381e..a4bbf4d4c104 100644
--- a/pkgs/applications/misc/break-time/default.nix
+++ b/pkgs/applications/misc/break-time/default.nix
@@ -43,6 +43,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "Break timer that forces you to take a break";
+ mainProgram = "break-time";
homepage = "https://github.com/cdepillabout/break-time";
license = with licenses; [ mit ];
maintainers = with maintainers; [ cdepillabout ];
diff --git a/pkgs/applications/misc/brewtarget/default.nix b/pkgs/applications/misc/brewtarget/default.nix
index 13a5681ebd37..d46cf7e0d5dd 100644
--- a/pkgs/applications/misc/brewtarget/default.nix
+++ b/pkgs/applications/misc/brewtarget/default.nix
@@ -33,6 +33,7 @@ mkDerivation rec {
meta = with lib; {
description = "Open source beer recipe creation tool";
+ mainProgram = "brewtarget";
homepage = "http://www.brewtarget.org/";
license = licenses.gpl3;
maintainers = [ maintainers.mmahut ];
diff --git a/pkgs/applications/misc/buku/default.nix b/pkgs/applications/misc/buku/default.nix
index ddf2b9f528da..4e2a5e29999c 100644
--- a/pkgs/applications/misc/buku/default.nix
+++ b/pkgs/applications/misc/buku/default.nix
@@ -78,6 +78,7 @@ with python3.pkgs; buildPythonApplication rec {
meta = with lib; {
description = "Private cmdline bookmark manager";
+ mainProgram = "buku";
homepage = "https://github.com/jarun/Buku";
license = licenses.gpl3;
platforms = platforms.unix;
diff --git a/pkgs/applications/misc/caerbannog/default.nix b/pkgs/applications/misc/caerbannog/default.nix
index 2825b371e06d..0d21c7e16570 100644
--- a/pkgs/applications/misc/caerbannog/default.nix
+++ b/pkgs/applications/misc/caerbannog/default.nix
@@ -52,6 +52,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "Mobile-friendly Gtk frontend for password-store";
+ mainProgram = "caerbannog";
homepage = "https://sr.ht/~craftyguy/caerbannog/";
changelog = "https://git.sr.ht/~craftyguy/caerbannog/refs/${version}";
license = licenses.gpl3Plus;
diff --git a/pkgs/applications/misc/calcoo/default.nix b/pkgs/applications/misc/calcoo/default.nix
index 5f90b4bb2363..462b56d50ce0 100644
--- a/pkgs/applications/misc/calcoo/default.nix
+++ b/pkgs/applications/misc/calcoo/default.nix
@@ -2,7 +2,7 @@
, stdenv
, fetchzip
, ant
-, canonicalize-jars-hook
+, stripJavaArchivesHook
, jdk
, makeWrapper
}:
@@ -18,7 +18,7 @@ stdenv.mkDerivation (finalAttrs: {
nativeBuildInputs = [
ant
- canonicalize-jars-hook
+ stripJavaArchivesHook
jdk
makeWrapper
];
diff --git a/pkgs/applications/misc/calcure/default.nix b/pkgs/applications/misc/calcure/default.nix
index dcd2c62d2185..a9fd65a4d99d 100644
--- a/pkgs/applications/misc/calcure/default.nix
+++ b/pkgs/applications/misc/calcure/default.nix
@@ -32,6 +32,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "Modern TUI calendar and task manager with minimal and customizable UI";
+ mainProgram = "calcure";
homepage = "https://github.com/anufrievroman/calcure";
changelog = "https://github.com/anufrievroman/calcure/releases/tag/${version}";
license = licenses.mit;
diff --git a/pkgs/applications/misc/calibre/default.nix b/pkgs/applications/misc/calibre/default.nix
index a0966e5555b3..da1e263107b3 100644
--- a/pkgs/applications/misc/calibre/default.nix
+++ b/pkgs/applications/misc/calibre/default.nix
@@ -32,11 +32,11 @@
stdenv.mkDerivation (finalAttrs: {
pname = "calibre";
- version = "7.5.1";
+ version = "7.7.0";
src = fetchurl {
url = "https://download.calibre-ebook.com/${finalAttrs.version}/calibre-${finalAttrs.version}.tar.xz";
- hash = "sha256-pGo9fWyeX5hpw5YOV05tWy/0YxHShStKN96LMPnqIiA=";
+ hash = "sha256-+kz3Fz1RDQ+ROgv7y0WNAWvIESKvHKID8UnSeCh8yA4=";
};
patches = [
diff --git a/pkgs/applications/misc/cambrinary/default.nix b/pkgs/applications/misc/cambrinary/default.nix
index 1fecfe1c17cb..15bb60d4c3f7 100644
--- a/pkgs/applications/misc/cambrinary/default.nix
+++ b/pkgs/applications/misc/cambrinary/default.nix
@@ -31,6 +31,7 @@ buildPythonApplication rec {
meta = with lib; {
description = "Cambridge dictionary in a terminal";
+ mainProgram = "cambrinary";
homepage = "https://github.com/xueyuanl/cambrinary";
license = licenses.gpl3Only;
platforms = platforms.unix;
diff --git a/pkgs/applications/misc/camunda-modeler/default.nix b/pkgs/applications/misc/camunda-modeler/default.nix
index bf9c06efb54f..82b96777d324 100644
--- a/pkgs/applications/misc/camunda-modeler/default.nix
+++ b/pkgs/applications/misc/camunda-modeler/default.nix
@@ -9,11 +9,11 @@
stdenvNoCC.mkDerivation rec {
pname = "camunda-modeler";
- version = "5.19.0";
+ version = "5.20.0";
src = fetchurl {
url = "https://github.com/camunda/camunda-modeler/releases/download/v${version}/camunda-modeler-${version}-linux-x64.tar.gz";
- hash = "sha256-EKtdja55KFF394sHIh1C/cXxdjedBPbmHzicDVrbXCA=";
+ hash = "sha256-W8//7sU/ewA99ea3lDPi+IbdAdswt9rukdjoQWj2H9Q=";
};
sourceRoot = "camunda-modeler-${version}-linux-x64";
@@ -66,6 +66,7 @@ stdenvNoCC.mkDerivation rec {
maintainers = teams.wdz.members;
license = licenses.mit;
inherit (electron.meta) platforms;
+ mainProgram = "camunda-modeler";
};
}
diff --git a/pkgs/applications/misc/candle/default.nix b/pkgs/applications/misc/candle/default.nix
index 3f9f2ec678b5..7b62e50e9006 100644
--- a/pkgs/applications/misc/candle/default.nix
+++ b/pkgs/applications/misc/candle/default.nix
@@ -25,6 +25,7 @@ mkDerivation rec {
meta = with lib; {
description = "GRBL controller application with G-Code visualizer written in Qt";
+ mainProgram = "candle";
homepage = "https://github.com/Denvi/Candle";
license = licenses.gpl3;
maintainers = with maintainers; [ matti-kariluoma ];
diff --git a/pkgs/applications/misc/cardpeek/default.nix b/pkgs/applications/misc/cardpeek/default.nix
index adabcdac9542..4cf13498be94 100644
--- a/pkgs/applications/misc/cardpeek/default.nix
+++ b/pkgs/applications/misc/cardpeek/default.nix
@@ -46,5 +46,6 @@ stdenv.mkDerivation {
license = licenses.gpl3Plus;
platforms = with platforms; linux ++ darwin;
maintainers = with maintainers; [ embr ];
+ mainProgram = "cardpeek";
};
}
diff --git a/pkgs/applications/misc/cartridges/default.nix b/pkgs/applications/misc/cartridges/default.nix
index c0ed598b653a..008004aa3c8e 100644
--- a/pkgs/applications/misc/cartridges/default.nix
+++ b/pkgs/applications/misc/cartridges/default.nix
@@ -13,13 +13,13 @@
}:
stdenv.mkDerivation (finalAttrs: {
pname = "cartridges";
- version = "2.7.2";
+ version = "2.7.4";
src = fetchFromGitHub {
owner = "kra-mo";
repo = "cartridges";
rev = "v${finalAttrs.version}";
- hash = "sha256-+18TWtxKT87CZ8vTtYac9aQ0wIbhJEXbXFZrSj5BmjI=";
+ hash = "sha256-AfO+vLJSWdaMqqbzRZWrY94nu/9BM7mqdad9rkiq1pg=";
};
pythonPath = with python3Packages; [
@@ -29,6 +29,9 @@ stdenv.mkDerivation (finalAttrs: {
requests
];
+ # TODO: remove this when #286814 hits master
+ mesonFlags = [ "-Dtiff_compression=jpeg" ];
+
buildInputs = [
libadwaita
(python3Packages.python.withPackages (_: finalAttrs.pythonPath))
@@ -57,6 +60,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "A GTK4 + Libadwaita game launcher";
+ mainProgram = "cartridges";
longDescription = ''
A simple game launcher for all of your games.
It has support for importing games from Steam, Lutris, Heroic
diff --git a/pkgs/applications/misc/cask-server/default.nix b/pkgs/applications/misc/cask-server/default.nix
index 603060ec5241..462696348839 100644
--- a/pkgs/applications/misc/cask-server/default.nix
+++ b/pkgs/applications/misc/cask-server/default.nix
@@ -24,6 +24,7 @@ mkDerivation rec {
meta = with lib; {
description = "Public server and API to interface with Cask features";
+ mainProgram = "CaskServer";
homepage = "https://github.com/Nitrux/cask-server";
license = with licenses; [
bsd2
diff --git a/pkgs/applications/misc/cbatticon/default.nix b/pkgs/applications/misc/cbatticon/default.nix
index 4d189d1c37a4..665957ca0ea1 100644
--- a/pkgs/applications/misc/cbatticon/default.nix
+++ b/pkgs/applications/misc/cbatticon/default.nix
@@ -23,6 +23,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Lightweight and fast battery icon that sits in the system tray";
+ mainProgram = "cbatticon";
homepage = "https://github.com/valr/cbatticon";
license = licenses.gpl2;
platforms = platforms.linux;
diff --git a/pkgs/applications/misc/charm/default.nix b/pkgs/applications/misc/charm/default.nix
index eac305bd399e..bf3520d4634e 100644
--- a/pkgs/applications/misc/charm/default.nix
+++ b/pkgs/applications/misc/charm/default.nix
@@ -21,5 +21,6 @@ buildGoModule rec {
changelog = "https://github.com/charmbracelet/charm/releases/tag/v${version}";
license = licenses.mit;
maintainers = with maintainers; [ penguwin ];
+ mainProgram = "charm";
};
}
diff --git a/pkgs/applications/misc/chatblade/default.nix b/pkgs/applications/misc/chatblade/default.nix
index a285c88a36f9..9ec41576c1a8 100644
--- a/pkgs/applications/misc/chatblade/default.nix
+++ b/pkgs/applications/misc/chatblade/default.nix
@@ -2,12 +2,12 @@
python3Packages.buildPythonApplication rec {
pname = "chatblade";
- version = "0.3.4";
+ version = "0.4.0";
format = "setuptools";
src = fetchPypi {
inherit pname version;
- sha256 = "sha256-ODC8n4JS7IOfAJMn7CPzJcBNMhfD5A3eEqVUK1e4mZY=";
+ sha256 = "sha256-AjE+1MkSkZOtEUPKEPBKQ3n+aOB8cwsorBpL5skNskU=";
};
doCheck = false; # there are no tests
@@ -41,6 +41,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
homepage = "https://github.com/npiv/chatblade/";
description = "A CLI Swiss Army Knife for ChatGPT";
+ mainProgram = "chatblade";
license = licenses.gpl3Only;
maintainers = with maintainers; [ deejayem ];
};
diff --git a/pkgs/applications/misc/cheat/default.nix b/pkgs/applications/misc/cheat/default.nix
index da39da86ef7b..ef8780f1e88b 100644
--- a/pkgs/applications/misc/cheat/default.nix
+++ b/pkgs/applications/misc/cheat/default.nix
@@ -30,5 +30,6 @@ buildGoModule rec {
maintainers = with maintainers; [ mic92 ];
license = with licenses; [ gpl3 mit ];
inherit (src.meta) homepage;
+ mainProgram = "cheat";
};
}
diff --git a/pkgs/applications/misc/cherrytree/default.nix b/pkgs/applications/misc/cherrytree/default.nix
index 11944a21e0ef..74623b544af1 100644
--- a/pkgs/applications/misc/cherrytree/default.nix
+++ b/pkgs/applications/misc/cherrytree/default.nix
@@ -52,6 +52,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "An hierarchical note taking application";
+ mainProgram = "cherrytree";
longDescription = ''
Cherrytree is an hierarchical note taking application, featuring rich
text, syntax highlighting and powerful search capabilities. It organizes
diff --git a/pkgs/applications/misc/chewing-editor/default.nix b/pkgs/applications/misc/chewing-editor/default.nix
index 13ee17999fe1..9cf163055a4b 100644
--- a/pkgs/applications/misc/chewing-editor/default.nix
+++ b/pkgs/applications/misc/chewing-editor/default.nix
@@ -19,6 +19,7 @@ mkDerivation rec {
meta = with lib; {
description = "Cross platform chewing user phrase editor";
+ mainProgram = "chewing-editor";
longDescription = ''
chewing-editor is a cross platform chewing user phrase editor. It provides a easy way to manage user phrase. With it, user can customize their user phrase to increase input performance.
'';
diff --git a/pkgs/applications/misc/clight/clightd.nix b/pkgs/applications/misc/clight/clightd.nix
index 7e6b84913a7a..b6958d86bbd0 100644
--- a/pkgs/applications/misc/clight/clightd.nix
+++ b/pkgs/applications/misc/clight/clightd.nix
@@ -70,6 +70,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Linux bus interface that changes screen brightness/temperature";
+ mainProgram = "clightd";
homepage = "https://github.com/FedeDP/Clightd";
platforms = platforms.linux;
license = licenses.gpl3;
diff --git a/pkgs/applications/misc/clight/default.nix b/pkgs/applications/misc/clight/default.nix
index e198bdc9fc72..3b0189ddb5c1 100644
--- a/pkgs/applications/misc/clight/default.nix
+++ b/pkgs/applications/misc/clight/default.nix
@@ -6,13 +6,13 @@
stdenv.mkDerivation rec {
pname = "clight";
- version = "4.10";
+ version = "4.11";
src = fetchFromGitHub {
owner = "FedeDP";
repo = "Clight";
rev = version;
- sha256 = "sha256-IAoz4f4XrX8bgesWL4yLK6m5F+c75WNIMFgKBj+W61Q=";
+ sha256 = "sha256-Fu38HRP83Yn2jsq9xnCWOXNlV/0hJKD1/cOOp3EV45Q=";
};
nativeBuildInputs = [
@@ -48,5 +48,6 @@ stdenv.mkDerivation rec {
maintainers = with maintainers; [
eadwu
];
+ mainProgram = "clight";
};
}
diff --git a/pkgs/applications/misc/clipit/default.nix b/pkgs/applications/misc/clipit/default.nix
index fafcf3d54404..b40bc4fec930 100644
--- a/pkgs/applications/misc/clipit/default.nix
+++ b/pkgs/applications/misc/clipit/default.nix
@@ -28,8 +28,9 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Lightweight GTK Clipboard Manager";
inherit (src.meta) homepage;
- license = licenses.gpl3;
+ license = licenses.gpl3Plus;
platforms = platforms.linux;
+ mainProgram = "clipit";
maintainers = with maintainers; [ kamilchm ];
};
}
diff --git a/pkgs/applications/misc/clipqr/default.nix b/pkgs/applications/misc/clipqr/default.nix
index d9265b2d1135..8bbfcc6d4209 100644
--- a/pkgs/applications/misc/clipqr/default.nix
+++ b/pkgs/applications/misc/clipqr/default.nix
@@ -68,5 +68,6 @@ buildGoModule rec {
maintainers = with maintainers; [ MatthieuBarthel ];
homepage = "https://gitlab.com/imatt-foss/clipqr";
broken = stdenv.isDarwin;
+ mainProgram = "clipqr";
};
}
diff --git a/pkgs/applications/misc/cloak/default.nix b/pkgs/applications/misc/cloak/default.nix
index 7c362961b4be..bf3dd3c4a081 100644
--- a/pkgs/applications/misc/cloak/default.nix
+++ b/pkgs/applications/misc/cloak/default.nix
@@ -20,5 +20,6 @@ rustPlatform.buildRustPackage rec {
description = "Command-line OTP authenticator application";
license = licenses.mit;
maintainers = with maintainers; [ mvs ];
+ mainProgram = "cloak";
};
}
diff --git a/pkgs/applications/misc/cmatrix/default.nix b/pkgs/applications/misc/cmatrix/default.nix
index 8008edf09969..7aca6851df21 100644
--- a/pkgs/applications/misc/cmatrix/default.nix
+++ b/pkgs/applications/misc/cmatrix/default.nix
@@ -24,5 +24,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/abishekvashok/cmatrix";
platforms = ncurses.meta.platforms;
maintainers = [ maintainers.AndersonTorres ];
+ mainProgram = "cmatrix";
};
}
diff --git a/pkgs/applications/misc/cobalt/default.nix b/pkgs/applications/misc/cobalt/default.nix
index 0cdd77b4293c..e35939536a61 100644
--- a/pkgs/applications/misc/cobalt/default.nix
+++ b/pkgs/applications/misc/cobalt/default.nix
@@ -2,16 +2,16 @@
rustPlatform.buildRustPackage rec {
pname = "cobalt";
- version = "0.19.0";
+ version = "0.19.2";
src = fetchFromGitHub {
owner = "cobalt-org";
repo = "cobalt.rs";
rev = "v${version}";
- sha256 = "sha256-cW9Pj4dTBZ0UmHvrWpx0SREBBaEIb2aaX2cdCUdlFLw=";
+ sha256 = "sha256-W0XbNZDSeSK6oxOD1JeLNF+c6/6cy/WQrrmQbSKeqk4=";
};
- cargoHash = "sha256-/xkZuGyinQdUGWix/SRtJMJ5nmpXJu39/LxJoTHnT4Q=";
+ cargoHash = "sha256-IM2SKovb8FYwA/c4R3N7M+oVuGfuc5sK+r6FP9YMk+I=";
buildInputs = lib.optionals stdenv.isDarwin [ CoreServices ];
@@ -21,5 +21,6 @@ rustPlatform.buildRustPackage rec {
license = licenses.mit;
maintainers = with maintainers; [ ethancedwards8 ];
platforms = platforms.unix;
+ mainProgram = "cobalt";
};
}
diff --git a/pkgs/applications/misc/cobang/default.nix b/pkgs/applications/misc/cobang/default.nix
index d3d203b6ae01..e36ece60d751 100644
--- a/pkgs/applications/misc/cobang/default.nix
+++ b/pkgs/applications/misc/cobang/default.nix
@@ -1,72 +1,72 @@
{ lib
-, atk
, buildPythonApplication
, fetchFromGitHub
-, gdk-pixbuf
-, gobject-introspection
-, gst-plugins-good
+, brotlicffi
, gst-python
-, gtk3
, kiss-headers
-, libhandy
-, librsvg
, logbook
-, networkmanager
-, pango
, pillow
-, poetry-core
, pygobject3
-, pytestCheckHook
-, python
, python-zbar
-, pythonRelaxDepsHook
, requests
, single-version
+, gobject-introspection
+, gst-plugins-good
+, gtk3
+, libhandy
+, librsvg
+, networkmanager
+, setuptools
+, python
+, pytestCheckHook
, wrapGAppsHook
}:
buildPythonApplication rec {
pname = "cobang";
- version = "0.10.1";
- format = "pyproject";
+ version = "0.10.5";
+ pyproject = true;
src = fetchFromGitHub {
owner = "hongquan";
repo = "CoBang";
rev = "refs/tags/v${version}";
- hash = "sha256-yNDnBTBmwcP3g51UkkLNyF4eHYjblwxPxS2lMwbFKUM=";
+ hash = "sha256-CfT/farNOJiWIioFBPx2q7bAFAE4khcojdZ7AsYaU6o=";
};
- pythonRelaxDeps = [
- "logbook"
- "Pillow"
- ];
+ postPatch = ''
+ # Fixes "Multiple top-level packages discovered in a flat-layout"
+ sed -i '$ a\[tool.setuptools]' pyproject.toml
+ sed -i '$ a\packages = ["cobang"]' pyproject.toml
+ '';
nativeBuildInputs = [
+ # Needed to recognize gobject namespaces
gobject-introspection
- pythonRelaxDepsHook
wrapGAppsHook
+ setuptools
];
buildInputs = [
- atk
- gdk-pixbuf
+ # Requires v4l2src
gst-plugins-good
+ # For gobject namespaces
libhandy
networkmanager
- pango
];
propagatedBuildInputs = [
- gst-python
+ brotlicffi
kiss-headers
logbook
pillow
- poetry-core
- pygobject3
- python-zbar
requests
single-version
+ # Unlisted dependencies
+ pygobject3
+ python-zbar
+ # Needed as a gobject namespace and to fix 'Caps' object is not subscriptable
+ gst-python
];
nativeCheckInputs = [
@@ -82,9 +82,8 @@ buildPythonApplication rec {
# Icons and applications
install -Dm 644 $out/${python.sitePackages}/data/vn.hoabinh.quan.CoBang.svg -t $out/share/pixmaps/
- install -Dm 644 $out/${python.sitePackages}/data/vn.hoabinh.quan.CoBang.desktop -t $out/share/applications/
- substituteInPlace $out/share/applications/vn.hoabinh.quan.CoBang.desktop \
- --replace "Exec=" "Exec=$out/bin/"
+ install -Dm 644 $out/${python.sitePackages}/data/vn.hoabinh.quan.CoBang.desktop.in -t $out/share/applications/
+ mv $out/${python.sitePackages}/data/vn.hoabinh.quan.CoBang.desktop{.in,}
'';
preFixup = ''
@@ -99,6 +98,7 @@ buildPythonApplication rec {
homepage = "https://github.com/hongquan/CoBang";
license = licenses.gpl3Only;
maintainers = with maintainers; [ wolfangaukang ];
+ mainProgram = "cobang";
platforms = [ "x86_64-linux" ];
};
}
diff --git a/pkgs/applications/misc/cointop/default.nix b/pkgs/applications/misc/cointop/default.nix
index 6e22b443a573..16a59b2fdb71 100644
--- a/pkgs/applications/misc/cointop/default.nix
+++ b/pkgs/applications/misc/cointop/default.nix
@@ -27,5 +27,6 @@ buildGoModule rec {
homepage = "https://cointop.sh";
maintainers = [ maintainers.marsam ];
license = licenses.asl20;
+ mainProgram = "cointop";
};
}
diff --git a/pkgs/applications/misc/colorstorm/default.nix b/pkgs/applications/misc/colorstorm/default.nix
index 0f78510aa2f6..ddb429dae33f 100644
--- a/pkgs/applications/misc/colorstorm/default.nix
+++ b/pkgs/applications/misc/colorstorm/default.nix
@@ -25,5 +25,6 @@ stdenv.mkDerivation (finalAttrs: {
license = lib.licenses.mit;
maintainers = with lib.maintainers; [ ];
inherit (zig_0_9.meta) platforms;
+ mainProgram = "colorstorm";
};
})
diff --git a/pkgs/applications/misc/colort/default.nix b/pkgs/applications/misc/colort/default.nix
index 7a1ae7a9e2f4..2716e2d464bc 100644
--- a/pkgs/applications/misc/colort/default.nix
+++ b/pkgs/applications/misc/colort/default.nix
@@ -19,5 +19,6 @@ stdenv.mkDerivation {
license = licenses.mit;
platforms = platforms.all;
maintainers = [ maintainers.neeasade ];
+ mainProgram = "colort";
};
}
diff --git a/pkgs/applications/misc/coltrane/default.nix b/pkgs/applications/misc/coltrane/default.nix
index 81d095160e07..5d77f8dd6d56 100644
--- a/pkgs/applications/misc/coltrane/default.nix
+++ b/pkgs/applications/misc/coltrane/default.nix
@@ -19,5 +19,6 @@ bundlerApp rec {
'';
license = licenses.mit;
maintainers = [ maintainers.panaeon ];
+ mainProgram = "coltrane";
};
}
diff --git a/pkgs/applications/misc/comodoro/default.nix b/pkgs/applications/misc/comodoro/default.nix
index f03b27ea51ca..2d780d5d514b 100644
--- a/pkgs/applications/misc/comodoro/default.nix
+++ b/pkgs/applications/misc/comodoro/default.nix
@@ -43,5 +43,6 @@ rustPlatform.buildRustPackage rec {
changelog = "https://github.com/soywod/comodoro/blob/v${version}/CHANGELOG.md";
license = licenses.mit;
maintainers = with maintainers; [ soywod ];
+ mainProgram = "comodoro";
};
}
diff --git a/pkgs/applications/misc/confclerk/default.nix b/pkgs/applications/misc/confclerk/default.nix
index 84095c416541..7646cb9ae87b 100644
--- a/pkgs/applications/misc/confclerk/default.nix
+++ b/pkgs/applications/misc/confclerk/default.nix
@@ -19,6 +19,7 @@ mkDerivation rec {
meta = {
description = "Offline conference schedule viewer";
+ mainProgram = "confclerk";
homepage = "http://www.toastfreeware.priv.at/confclerk";
license = lib.licenses.gpl2;
maintainers = with lib.maintainers; [ ehmry ];
diff --git a/pkgs/applications/misc/confetty/default.nix b/pkgs/applications/misc/confetty/default.nix
index 77269ef85354..a9e838c84b01 100644
--- a/pkgs/applications/misc/confetty/default.nix
+++ b/pkgs/applications/misc/confetty/default.nix
@@ -23,5 +23,6 @@ buildGoModule {
homepage = "https://github.com/maaslalani/confetty";
license = licenses.mit;
maintainers = with maintainers; [ figsoda ];
+ mainProgram = "confetty";
};
}
diff --git a/pkgs/applications/misc/coolreader/default.nix b/pkgs/applications/misc/coolreader/default.nix
index a1a63992349e..d75cdd4843fa 100644
--- a/pkgs/applications/misc/coolreader/default.nix
+++ b/pkgs/applications/misc/coolreader/default.nix
@@ -20,6 +20,7 @@ mkDerivation rec {
broken = stdenv.isDarwin;
homepage = "https://github.com/buggins/coolreader";
description = "Cross platform open source e-book reader";
+ mainProgram = "cr3";
license = licenses.gpl2Plus; # see https://github.com/buggins/coolreader/issues/80
maintainers = with maintainers; [ gebner ];
platforms = platforms.all;
diff --git a/pkgs/applications/misc/copyq/default.nix b/pkgs/applications/misc/copyq/default.nix
index dacb6c3af93d..79472e3840a4 100644
--- a/pkgs/applications/misc/copyq/default.nix
+++ b/pkgs/applications/misc/copyq/default.nix
@@ -1,7 +1,6 @@
{ lib
, stdenv
, fetchFromGitHub
-, fetchpatch2
, cmake
, ninja
, extra-cmake-modules
@@ -14,32 +13,24 @@
, qtwayland
, wayland
, wrapQtAppsHook
+, kdePackages
}:
stdenv.mkDerivation rec {
pname = "CopyQ";
- version = "7.1.0";
+ version = "8.0.0";
src = fetchFromGitHub {
owner = "hluk";
repo = "CopyQ";
rev = "v${version}";
- hash = "sha256-aAmpFKIIFZLPWUaOcf4V1d/wVQ7xRcnXFsqFjROsabg=";
+ hash = "sha256-Ewunl4k9f0aDjilhKAsVxwR3S6uSZ1xwtu6ccNsNOgk=";
};
- patches = [
- # itemfakevim: fix build with qt 6.6.0
- # https://github.com/hluk/CopyQ/pull/2508
- (fetchpatch2 {
- url = "https://github.com/hluk/CopyQ/commit/a20bfff0d78296b334ff8cabb047ab5d842b7311.patch";
- hash = "sha256-F/6cQ8+O1Ttd4EFFxQas5ES6U+qxWdmYqUWRQLsVMa4=";
- })
- ];
-
nativeBuildInputs = [
cmake
ninja
- extra-cmake-modules
+ kdePackages.extra-cmake-modules
wrapQtAppsHook
];
@@ -52,6 +43,9 @@ stdenv.mkDerivation rec {
libXtst
qtwayland
wayland
+ kdePackages.kconfig
+ kdePackages.kstatusnotifieritem
+ kdePackages.knotifications
];
postPatch = ''
diff --git a/pkgs/applications/misc/corectrl/default.nix b/pkgs/applications/misc/corectrl/default.nix
index 2b17ea756878..a7397da8d7cf 100644
--- a/pkgs/applications/misc/corectrl/default.nix
+++ b/pkgs/applications/misc/corectrl/default.nix
@@ -9,6 +9,8 @@
, glxinfo
, polkit
, procps
+, pugixml
+, spdlog
, util-linux
, vulkan-tools
, qtbase
@@ -23,13 +25,13 @@
stdenv.mkDerivation rec{
pname = "corectrl";
- version = "1.3.10";
+ version = "1.4.0";
src = fetchFromGitLab {
owner = "corectrl";
repo = "corectrl";
rev = "v${version}";
- sha256 = "sha256-fN4dX0Ya2DvPEkuwtKIw1zT+JEbC2zyJKtcGwLVdAUs=";
+ sha256 = "sha256-zTH7iSPN7VIhXvWFndOulvGnfUZ+uGWnW53WcnSW+e4=";
};
patches = [
./polkit-dir.patch
@@ -47,6 +49,8 @@ stdenv.mkDerivation rec{
glxinfo
polkit
procps
+ pugixml
+ spdlog
util-linux
vulkan-tools
qtbase
diff --git a/pkgs/applications/misc/cotp/default.nix b/pkgs/applications/misc/cotp/default.nix
index 054823b86f2a..10ec80724739 100644
--- a/pkgs/applications/misc/cotp/default.nix
+++ b/pkgs/applications/misc/cotp/default.nix
@@ -8,16 +8,16 @@
rustPlatform.buildRustPackage rec {
pname = "cotp";
- version = "1.3.0";
+ version = "1.5.0";
src = fetchFromGitHub {
owner = "replydev";
repo = "cotp";
rev = "v${version}";
- hash = "sha256-IGk7akmHGQXLHfCCq6GXOIUnh63/sE2Ds+8H91uMKnw=";
+ hash = "sha256-Zs/RUpyu8GG4koprC+8aSzpPUSLc19p/XinY5fR5Z4A=";
};
- cargoHash = "sha256-2SD62zlWck+DPFs8bQipd8G09134L6LotrzfAiM1Pc8=";
+ cargoHash = "sha256-jYKu1sAzPUfv8gQj3V4zxarRj3XUhyD/5n1WqMuLF/g=";
buildInputs = lib.optionals stdenv.isLinux [ libxcb ]
++ lib.optionals stdenv.isDarwin [ AppKit ];
@@ -27,5 +27,6 @@ rustPlatform.buildRustPackage rec {
description = "Trustworthy, encrypted, command-line TOTP/HOTP authenticator app with import functionality";
license = licenses.gpl3Only;
maintainers = with maintainers; [ davsanchez ];
+ mainProgram = "cotp";
};
}
diff --git a/pkgs/applications/misc/coursera-dl/default.nix b/pkgs/applications/misc/coursera-dl/default.nix
index f95633f0c896..67848ea9354e 100644
--- a/pkgs/applications/misc/coursera-dl/default.nix
+++ b/pkgs/applications/misc/coursera-dl/default.nix
@@ -75,6 +75,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "CLI for downloading Coursera.org videos and naming them";
+ mainProgram = "coursera-dl";
homepage = "https://github.com/coursera-dl/coursera-dl";
changelog = "https://github.com/coursera-dl/coursera-dl/blob/0.11.5/CHANGELOG.md";
license = licenses.lgpl3Plus;
diff --git a/pkgs/applications/misc/crumbs/default.nix b/pkgs/applications/misc/crumbs/default.nix
index 5bb422058168..7dbcf74faf7e 100644
--- a/pkgs/applications/misc/crumbs/default.nix
+++ b/pkgs/applications/misc/crumbs/default.nix
@@ -29,5 +29,6 @@ stdenv.mkDerivation rec {
license = licenses.wtfpl;
platforms = platforms.all;
maintainers = with maintainers; [ thesola10 ];
+ mainProgram = "crumbs";
};
}
diff --git a/pkgs/applications/misc/ctodo/default.nix b/pkgs/applications/misc/ctodo/default.nix
index 224a79881e04..315d5ffc34cf 100644
--- a/pkgs/applications/misc/ctodo/default.nix
+++ b/pkgs/applications/misc/ctodo/default.nix
@@ -20,5 +20,6 @@ stdenv.mkDerivation rec {
license = licenses.mit;
maintainers = [ maintainers.matthiasbeyer ];
platforms = platforms.unix;
+ mainProgram = "ctodo";
};
}
diff --git a/pkgs/applications/misc/cubiomes-viewer/default.nix b/pkgs/applications/misc/cubiomes-viewer/default.nix
index 3600680782d4..fd07542b7fa9 100644
--- a/pkgs/applications/misc/cubiomes-viewer/default.nix
+++ b/pkgs/applications/misc/cubiomes-viewer/default.nix
@@ -9,13 +9,13 @@
stdenv.mkDerivation rec {
pname = "cubiomes-viewer";
- version = "3.4.2";
+ version = "4.0.1";
src = fetchFromGitHub {
owner = "Cubitect";
repo = pname;
rev = version;
- sha256 = "sha256-bZXsCRT2qBq7N3h2C7WQDDoQsJGlz3rDT7OZ0fUGtiI=";
+ sha256 = "sha256-UUvNSTM98r8D/Q+/pPTXwGzW4Sl1qhgem4WsFRfybuo=";
fetchSubmodules = true;
};
@@ -57,6 +57,7 @@ stdenv.mkDerivation rec {
broken = stdenv.isDarwin;
homepage = "https://github.com/Cubitect/cubiomes-viewer";
description = "A graphical Minecraft seed finder and map viewer";
+ mainProgram = "cubiomes-viewer";
longDescription = ''
Cubiomes Viewer provides a graphical interface for the efficient and flexible seed-finding
utilities provided by cubiomes and a map viewer for the Minecraft biomes and structure generation.
diff --git a/pkgs/applications/misc/cubocore-packages/coreaction/default.nix b/pkgs/applications/misc/cubocore-packages/coreaction/default.nix
index b21f001a0a80..818e9da4494e 100644
--- a/pkgs/applications/misc/cubocore-packages/coreaction/default.nix
+++ b/pkgs/applications/misc/cubocore-packages/coreaction/default.nix
@@ -25,6 +25,7 @@ mkDerivation rec {
meta = with lib; {
description = "A side bar for showing widgets from the C Suite";
+ mainProgram = "coreaction";
homepage = "https://gitlab.com/cubocore/coreapps/coreaction";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ dan4ik605743 ];
diff --git a/pkgs/applications/misc/cubocore-packages/corearchiver/default.nix b/pkgs/applications/misc/cubocore-packages/corearchiver/default.nix
index 590f41a764d0..691917e28da9 100644
--- a/pkgs/applications/misc/cubocore-packages/corearchiver/default.nix
+++ b/pkgs/applications/misc/cubocore-packages/corearchiver/default.nix
@@ -26,6 +26,7 @@ mkDerivation rec {
meta = with lib; {
description = "Archiver from the C Suite to create and extract archives";
+ mainProgram = "corearchiver";
homepage = "https://gitlab.com/cubocore/coreapps/corearchiver";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ dan4ik605743 ];
diff --git a/pkgs/applications/misc/cubocore-packages/corefm/default.nix b/pkgs/applications/misc/cubocore-packages/corefm/default.nix
index c618ee100a28..6bbd8ed8f785 100644
--- a/pkgs/applications/misc/cubocore-packages/corefm/default.nix
+++ b/pkgs/applications/misc/cubocore-packages/corefm/default.nix
@@ -24,6 +24,7 @@ mkDerivation rec {
meta = with lib; {
description = "A lightwight filemanager from the C Suite";
+ mainProgram = "corefm";
homepage = "https://gitlab.com/cubocore/coreapps/corefm";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ dan4ik605743 ];
diff --git a/pkgs/applications/misc/cubocore-packages/coregarage/default.nix b/pkgs/applications/misc/cubocore-packages/coregarage/default.nix
index 4c332c4a9a2b..8a7050e5538a 100644
--- a/pkgs/applications/misc/cubocore-packages/coregarage/default.nix
+++ b/pkgs/applications/misc/cubocore-packages/coregarage/default.nix
@@ -26,6 +26,7 @@ mkDerivation rec {
meta = with lib; {
description = "A settings manager for the C Suite";
+ mainProgram = "coregarage";
homepage = "https://gitlab.com/cubocore/coreapps/coregarage";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ dan4ik605743 ];
diff --git a/pkgs/applications/misc/cubocore-packages/corehunt/default.nix b/pkgs/applications/misc/cubocore-packages/corehunt/default.nix
index 52c39752afae..d802a6f448af 100644
--- a/pkgs/applications/misc/cubocore-packages/corehunt/default.nix
+++ b/pkgs/applications/misc/cubocore-packages/corehunt/default.nix
@@ -24,6 +24,7 @@ mkDerivation rec {
meta = with lib; {
description = "A file finder utility from the C Suite";
+ mainProgram = "corehunt";
homepage = "https://gitlab.com/cubocore/coreapps/corehunt";
license = licenses.gpl3Only;
maintainers = with maintainers; [ dan4ik605743 ];
diff --git a/pkgs/applications/misc/cubocore-packages/coreimage/default.nix b/pkgs/applications/misc/cubocore-packages/coreimage/default.nix
index 8ba09d6c9416..cbb5fba861fb 100644
--- a/pkgs/applications/misc/cubocore-packages/coreimage/default.nix
+++ b/pkgs/applications/misc/cubocore-packages/coreimage/default.nix
@@ -24,6 +24,7 @@ mkDerivation rec {
meta = with lib; {
description = "An image viewer from the C Suite";
+ mainProgram = "coreimage";
homepage = "https://gitlab.com/cubocore/coreapps/coreimage";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ dan4ik605743 ];
diff --git a/pkgs/applications/misc/cubocore-packages/coreinfo/default.nix b/pkgs/applications/misc/cubocore-packages/coreinfo/default.nix
index 69dbcd33a85f..6093c1176b80 100644
--- a/pkgs/applications/misc/cubocore-packages/coreinfo/default.nix
+++ b/pkgs/applications/misc/cubocore-packages/coreinfo/default.nix
@@ -27,6 +27,7 @@ mkDerivation rec {
meta = with lib; {
description = "A file information tool from the C Suite";
+ mainProgram = "coreinfo";
homepage = "https://gitlab.com/cubocore/coreapps/coreinfo";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ dan4ik605743 ];
diff --git a/pkgs/applications/misc/cubocore-packages/corekeyboard/default.nix b/pkgs/applications/misc/cubocore-packages/corekeyboard/default.nix
index 734b183c844d..dcd05b4bf77f 100644
--- a/pkgs/applications/misc/cubocore-packages/corekeyboard/default.nix
+++ b/pkgs/applications/misc/cubocore-packages/corekeyboard/default.nix
@@ -27,6 +27,7 @@ mkDerivation rec {
meta = with lib; {
description = "A virtual keyboard for X11 from the C Suite";
+ mainProgram = "corekeyboard";
homepage = "https://gitlab.com/cubocore/coreapps/corekeyboard";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ dan4ik605743 ];
diff --git a/pkgs/applications/misc/cubocore-packages/corepad/default.nix b/pkgs/applications/misc/cubocore-packages/corepad/default.nix
index 4b27137980ea..8db13988b0b0 100644
--- a/pkgs/applications/misc/cubocore-packages/corepad/default.nix
+++ b/pkgs/applications/misc/cubocore-packages/corepad/default.nix
@@ -24,6 +24,7 @@ mkDerivation rec {
meta = with lib; {
description = "A document editor from the C Suite";
+ mainProgram = "corepad";
homepage = "https://gitlab.com/cubocore/coreapps/corepad";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ dan4ik605743 ];
diff --git a/pkgs/applications/misc/cubocore-packages/corepaint/default.nix b/pkgs/applications/misc/cubocore-packages/corepaint/default.nix
index 958532bb6fce..345a9b26e666 100644
--- a/pkgs/applications/misc/cubocore-packages/corepaint/default.nix
+++ b/pkgs/applications/misc/cubocore-packages/corepaint/default.nix
@@ -24,6 +24,7 @@ mkDerivation rec {
meta = with lib; {
description = "A paint app from the C Suite";
+ mainProgram = "corepaint";
homepage = "https://gitlab.com/cubocore/coreapps/corepaint";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ dan4ik605743 ];
diff --git a/pkgs/applications/misc/cubocore-packages/corepdf/default.nix b/pkgs/applications/misc/cubocore-packages/corepdf/default.nix
index b7679827f321..242422fd8a3f 100644
--- a/pkgs/applications/misc/cubocore-packages/corepdf/default.nix
+++ b/pkgs/applications/misc/cubocore-packages/corepdf/default.nix
@@ -26,6 +26,7 @@ mkDerivation rec {
meta = with lib; {
description = "A PDF viewer from the C Suite";
+ mainProgram = "corepdf";
homepage = "https://gitlab.com/cubocore/coreapps/corepdf";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ dan4ik605743 ];
diff --git a/pkgs/applications/misc/cubocore-packages/corepins/default.nix b/pkgs/applications/misc/cubocore-packages/corepins/default.nix
index e1274c6d6dfe..cb31415ef5d0 100644
--- a/pkgs/applications/misc/cubocore-packages/corepins/default.nix
+++ b/pkgs/applications/misc/cubocore-packages/corepins/default.nix
@@ -24,6 +24,7 @@ mkDerivation rec {
meta = with lib; {
description = "A bookmarking app from the C Suite";
+ mainProgram = "corepins";
homepage = "https://gitlab.com/cubocore/coreapps/corepins";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ dan4ik605743 ];
diff --git a/pkgs/applications/misc/cubocore-packages/corerenamer/default.nix b/pkgs/applications/misc/cubocore-packages/corerenamer/default.nix
index 7d87fc6f4526..6d48c65fc95f 100644
--- a/pkgs/applications/misc/cubocore-packages/corerenamer/default.nix
+++ b/pkgs/applications/misc/cubocore-packages/corerenamer/default.nix
@@ -24,6 +24,7 @@ mkDerivation rec {
meta = with lib; {
description = "A batch file renamer from the C Suite";
+ mainProgram = "corerenamer";
homepage = "https://gitlab.com/cubocore/coreapps/corerenamer";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ dan4ik605743 ];
diff --git a/pkgs/applications/misc/cubocore-packages/coreshot/default.nix b/pkgs/applications/misc/cubocore-packages/coreshot/default.nix
index 183765f63511..3603becfc1e3 100644
--- a/pkgs/applications/misc/cubocore-packages/coreshot/default.nix
+++ b/pkgs/applications/misc/cubocore-packages/coreshot/default.nix
@@ -25,6 +25,7 @@ mkDerivation rec {
meta = with lib; {
description = "A screen capture utility from the C Suite";
+ mainProgram = "coreshot";
homepage = "https://gitlab.com/cubocore/coreapps/coreshot";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ dan4ik605743 ];
diff --git a/pkgs/applications/misc/cubocore-packages/corestats/default.nix b/pkgs/applications/misc/cubocore-packages/corestats/default.nix
index 8dd6f1089d45..e01691b9f618 100644
--- a/pkgs/applications/misc/cubocore-packages/corestats/default.nix
+++ b/pkgs/applications/misc/cubocore-packages/corestats/default.nix
@@ -25,6 +25,7 @@ mkDerivation rec {
meta = with lib; {
description = "A system resource viewer from the C Suite";
+ mainProgram = "corestats";
homepage = "https://gitlab.com/cubocore/coreapps/corestats";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ dan4ik605743 ];
diff --git a/pkgs/applications/misc/cubocore-packages/corestuff/default.nix b/pkgs/applications/misc/cubocore-packages/corestuff/default.nix
index a2946485ab56..933a09bbd188 100644
--- a/pkgs/applications/misc/cubocore-packages/corestuff/default.nix
+++ b/pkgs/applications/misc/cubocore-packages/corestuff/default.nix
@@ -32,6 +32,7 @@ mkDerivation rec {
meta = with lib; {
description = "An activity viewer from the C Suite";
+ mainProgram = "corestuff";
homepage = "https://gitlab.com/cubocore/coreapps/corestuff";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ dan4ik605743 ];
diff --git a/pkgs/applications/misc/cubocore-packages/coreterminal/default.nix b/pkgs/applications/misc/cubocore-packages/coreterminal/default.nix
index 30570f5e91e5..c4a8ea5c90e9 100644
--- a/pkgs/applications/misc/cubocore-packages/coreterminal/default.nix
+++ b/pkgs/applications/misc/cubocore-packages/coreterminal/default.nix
@@ -36,6 +36,7 @@ mkDerivation rec {
meta = with lib; {
description = "A terminal emulator from the C Suite";
+ mainProgram = "coreterminal";
homepage = "https://gitlab.com/cubocore/coreapps/coreterminal";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ dan4ik605743 ];
diff --git a/pkgs/applications/misc/cubocore-packages/coretime/default.nix b/pkgs/applications/misc/cubocore-packages/coretime/default.nix
index c2a717453e78..b8ac4c39a1a3 100644
--- a/pkgs/applications/misc/cubocore-packages/coretime/default.nix
+++ b/pkgs/applications/misc/cubocore-packages/coretime/default.nix
@@ -25,6 +25,7 @@ mkDerivation rec {
meta = with lib; {
description = "A time related task manager from the C Suite";
+ mainProgram = "coretime";
homepage = "https://gitlab.com/cubocore/coreapps/coretime";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ dan4ik605743 ];
diff --git a/pkgs/applications/misc/cubocore-packages/coretoppings/default.nix b/pkgs/applications/misc/cubocore-packages/coretoppings/default.nix
index 920850cc6021..1296c8f57564 100644
--- a/pkgs/applications/misc/cubocore-packages/coretoppings/default.nix
+++ b/pkgs/applications/misc/cubocore-packages/coretoppings/default.nix
@@ -79,6 +79,7 @@ mkDerivation rec {
meta = with lib; {
description = "Additional features,plugins etc for CuboCore Application Suite";
+ mainProgram = "shareIT";
homepage = "https://gitlab.com/cubocore/coreapps/coretoppings";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ dan4ik605743 ];
diff --git a/pkgs/applications/misc/cubocore-packages/coreuniverse/default.nix b/pkgs/applications/misc/cubocore-packages/coreuniverse/default.nix
index 8eb056f7772c..df84578090f8 100644
--- a/pkgs/applications/misc/cubocore-packages/coreuniverse/default.nix
+++ b/pkgs/applications/misc/cubocore-packages/coreuniverse/default.nix
@@ -24,6 +24,7 @@ mkDerivation rec {
meta = with lib; {
description = "Shows information about apps from the C Suite";
+ mainProgram = "coreuniverse";
homepage = "https://gitlab.com/cubocore/coreapps/coreuniverse";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ dan4ik605743 ];
diff --git a/pkgs/applications/misc/cum/default.nix b/pkgs/applications/misc/cum/default.nix
index d49987c82858..b06f77787ac0 100644
--- a/pkgs/applications/misc/cum/default.nix
+++ b/pkgs/applications/misc/cum/default.nix
@@ -28,6 +28,7 @@ buildPythonApplication rec {
meta = with lib; {
description = "comic updater, mangafied";
+ mainProgram = "cum";
homepage = "https://github.com/Hamuko/cum";
license = licenses.asl20;
maintainers = with maintainers; [ tadeokondrak ];
diff --git a/pkgs/applications/misc/cura/default.nix b/pkgs/applications/misc/cura/default.nix
index 31202a4be6e3..a385d31ec74b 100644
--- a/pkgs/applications/misc/cura/default.nix
+++ b/pkgs/applications/misc/cura/default.nix
@@ -57,6 +57,7 @@ mkDerivation rec {
meta = with lib; {
description = "3D printer / slicing GUI built on top of the Uranium framework";
+ mainProgram = "cura";
homepage = "https://github.com/Ultimaker/Cura";
license = licenses.lgpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/misc/cura/plugins.nix b/pkgs/applications/misc/cura/plugins.nix
index 95d3a2aa9333..12f7920d3bf7 100644
--- a/pkgs/applications/misc/cura/plugins.nix
+++ b/pkgs/applications/misc/cura/plugins.nix
@@ -27,7 +27,7 @@ let
meta = with lib; {
description = "Enables printing directly to OctoPrint and monitoring the process";
homepage = "https://github.com/fieldOfView/Cura-OctoPrintPlugin";
- license = licenses.agpl3;
+ license = licenses.agpl3Plus;
maintainers = with maintainers; [ gebner ];
};
};
diff --git a/pkgs/applications/misc/curaengine/default.nix b/pkgs/applications/misc/curaengine/default.nix
index 2abe82913a4e..1155ce8e8e8e 100644
--- a/pkgs/applications/misc/curaengine/default.nix
+++ b/pkgs/applications/misc/curaengine/default.nix
@@ -31,5 +31,6 @@ stdenv.mkDerivation rec {
license = licenses.agpl3Only;
platforms = platforms.linux;
maintainers = with maintainers; [ abbradar gebner ];
+ mainProgram = "CuraEngine";
};
}
diff --git a/pkgs/applications/misc/curaengine/stable.nix b/pkgs/applications/misc/curaengine/stable.nix
index 6bddfabfb5bb..0de7e18f172d 100644
--- a/pkgs/applications/misc/curaengine/stable.nix
+++ b/pkgs/applications/misc/curaengine/stable.nix
@@ -22,8 +22,9 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Engine for processing 3D models into 3D printing instructions";
+ mainProgram = "CuraEngine";
homepage = "https://github.com/Ultimaker/CuraEngine";
- license = licenses.agpl3;
+ license = licenses.agpl3Plus;
platforms = platforms.linux;
};
}
diff --git a/pkgs/applications/misc/dasel/default.nix b/pkgs/applications/misc/dasel/default.nix
index fb954cef5c65..56bd8bce5bc0 100644
--- a/pkgs/applications/misc/dasel/default.nix
+++ b/pkgs/applications/misc/dasel/default.nix
@@ -6,16 +6,16 @@
buildGoModule rec {
pname = "dasel";
- version = "2.5.0";
+ version = "2.7.0";
src = fetchFromGitHub {
owner = "TomWright";
repo = "dasel";
rev = "v${version}";
- hash = "sha256-frd4jNn5uruz9oX40ly/AR5I/uKRIfQ8IjOlIvlsOlY=";
+ hash = "sha256-N3WeU+8KJwYKvuN4I1ZNEtIgLTmh/XgnhwATwV7dsvY=";
};
- vendorHash = "sha256-B3d+pbk0smBXqcJnac5he0TZPLiT1cLtz02OAGfqhC0=";
+ vendorHash = "sha256-G9IdTMF5Lnwq38rdJtuvUjD4RBaSmCYs3g+ETz29Mec=";
ldflags = [
"-s" "-w" "-X github.com/tomwright/dasel/v2/internal.Version=${version}"
diff --git a/pkgs/applications/misc/ddcui/default.nix b/pkgs/applications/misc/ddcui/default.nix
index ffcd26afc9e5..045e37cef160 100644
--- a/pkgs/applications/misc/ddcui/default.nix
+++ b/pkgs/applications/misc/ddcui/default.nix
@@ -10,13 +10,13 @@
mkDerivation rec {
pname = "ddcui";
- version = "0.4.2";
+ version = "0.5.4";
src = fetchFromGitHub {
owner = "rockowitz";
repo = "ddcui";
rev = "v${version}";
- sha256 = "sha256-T4/c8K1P/o91DWJik/9HtHav948vbVa40qPdy7nKmos=";
+ sha256 = "sha256-/20gPMUTRhC58YFlblahOEdDHLVhbzwpU3n55NtLAcM=";
};
nativeBuildInputs = [
@@ -34,6 +34,7 @@ mkDerivation rec {
meta = with lib; {
description = "Graphical user interface for ddcutil - control monitor settings";
+ mainProgram = "ddcui";
homepage = "https://www.ddcutil.com/ddcui_main/";
license = licenses.gpl2;
maintainers = with maintainers; [ nh2 ];
diff --git a/pkgs/applications/misc/ddgr/default.nix b/pkgs/applications/misc/ddgr/default.nix
index 0e7800b9bbb3..04f27117f8e2 100644
--- a/pkgs/applications/misc/ddgr/default.nix
+++ b/pkgs/applications/misc/ddgr/default.nix
@@ -29,5 +29,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl3;
maintainers = with maintainers; [ ceedubs markus1189 ];
platforms = python3.meta.platforms;
+ mainProgram = "ddgr";
};
}
diff --git a/pkgs/applications/misc/deadd-notification-center/default.nix b/pkgs/applications/misc/deadd-notification-center/default.nix
index edc1f8ba9e1c..5551ebe1750f 100644
--- a/pkgs/applications/misc/deadd-notification-center/default.nix
+++ b/pkgs/applications/misc/deadd-notification-center/default.nix
@@ -60,4 +60,5 @@ in mkDerivation rec {
license = lib.licenses.bsd3;
maintainers = with lib.maintainers; [ melkor333 sna ];
platforms = lib.platforms.linux;
+ mainProgram = "deadd-notification-center";
}
diff --git a/pkgs/applications/misc/deckmaster/default.nix b/pkgs/applications/misc/deckmaster/default.nix
index 773b1b36e514..f977fd1980bb 100644
--- a/pkgs/applications/misc/deckmaster/default.nix
+++ b/pkgs/applications/misc/deckmaster/default.nix
@@ -38,6 +38,7 @@ buildGoModule rec {
meta = with lib; {
description = "An application to control your Elgato Stream Deck on Linux";
+ mainProgram = "deckmaster";
homepage = "https://github.com/muesli/deckmaster";
changelog = "https://github.com/muesli/deckmaster/releases/tag/v${version}";
license = licenses.mit;
diff --git a/pkgs/applications/misc/deco/default.nix b/pkgs/applications/misc/deco/default.nix
index b19f3f38dac7..a39f6b4f0bad 100644
--- a/pkgs/applications/misc/deco/default.nix
+++ b/pkgs/applications/misc/deco/default.nix
@@ -29,6 +29,7 @@ stdenv.mkDerivation rec {
license = licenses.mit;
maintainers = [ maintainers.ebzzry ];
platforms = platforms.unix;
+ mainProgram = "deco";
};
dontBuild = true;
diff --git a/pkgs/applications/misc/devdocs-desktop/default.nix b/pkgs/applications/misc/devdocs-desktop/default.nix
index 97ed9ed72962..55fe2b9e2cf7 100644
--- a/pkgs/applications/misc/devdocs-desktop/default.nix
+++ b/pkgs/applications/misc/devdocs-desktop/default.nix
@@ -35,5 +35,6 @@ in appimageTools.wrapType2 rec {
license = licenses.mit;
maintainers = with maintainers; [ ymarkus ];
platforms = [ "x86_64-linux" ];
+ mainProgram = "devdocs-desktop";
};
}
diff --git a/pkgs/applications/misc/devilspie2/default.nix b/pkgs/applications/misc/devilspie2/default.nix
index 581a8126cada..8ea982f6059f 100644
--- a/pkgs/applications/misc/devilspie2/default.nix
+++ b/pkgs/applications/misc/devilspie2/default.nix
@@ -31,5 +31,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl3;
maintainers = [ maintainers.ebzzry ];
platforms = platforms.linux;
+ mainProgram = "devilspie2";
};
}
diff --git a/pkgs/applications/misc/dialect/default.nix b/pkgs/applications/misc/dialect/default.nix
index 519f12490f18..61414a9b307b 100644
--- a/pkgs/applications/misc/dialect/default.nix
+++ b/pkgs/applications/misc/dialect/default.nix
@@ -13,24 +13,33 @@
, gobject-introspection
, gst_all_1
, libsoup_3
+, glib-networking
, libadwaita
, nix-update-script
}:
python3.pkgs.buildPythonApplication rec {
pname = "dialect";
- version = "2.1.1";
+ version = "2.2.0";
format = "other";
src = fetchFromGitHub {
owner = "dialect-app";
- repo = pname;
+ repo = "dialect";
rev = version;
fetchSubmodules = true;
- hash = "sha256-ytZnolQTOj0dpv+ouN1N7sypr1LxSN/Uhp7qP0ZOTHE=";
+ hash = "sha256-+0qA+jFYrK3K3mJNvxTvnT/3q4c51H0KgEMjzvV34Zs=";
};
+ # FIXME: remove in next release
+ postPatch = ''
+ substituteInPlace dialect/providers/lingva.py \
+ --replace-fail 'lingva.ml' 'lingva.dialectapp.org'
+ substituteInPlace dialect/providers/libretrans.py \
+ --replace-fail 'libretranslate.de' 'lt.dialectapp.org'
+ '';
+
nativeBuildInputs = [
appstream-glib
blueprint-compiler
@@ -47,7 +56,9 @@ python3.pkgs.buildPythonApplication rec {
glib
gst_all_1.gstreamer
gst_all_1.gst-plugins-base
+ gst_all_1.gst-plugins-good
libsoup_3
+ glib-networking
libadwaita
];
@@ -55,6 +66,7 @@ python3.pkgs.buildPythonApplication rec {
dbus-python
gtts
pygobject3
+ beautifulsoup4
];
# Prevent double wrapping, let the Python wrapper use the args in preFixup.
@@ -74,8 +86,9 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
homepage = "https://github.com/dialect-app/dialect";
description = "A translation app for GNOME";
- maintainers = with maintainers; [ linsui ];
+ maintainers = with maintainers; [ aleksana ];
license = licenses.gpl3Plus;
platforms = platforms.linux;
+ mainProgram = "dialect";
};
}
diff --git a/pkgs/applications/misc/dict-cc-py/default.nix b/pkgs/applications/misc/dict-cc-py/default.nix
index cbacf1191275..9d4c40084f7f 100644
--- a/pkgs/applications/misc/dict-cc-py/default.nix
+++ b/pkgs/applications/misc/dict-cc-py/default.nix
@@ -25,6 +25,7 @@ python3.pkgs.buildPythonPackage rec {
meta = with lib; {
description = "Unofficial command line client for dict.cc";
+ mainProgram = "dict.cc.py";
homepage = "https://github.com/rbaron/dict.cc.py";
license = with licenses; [ cc0 ];
maintainers = with maintainers; [ ];
diff --git a/pkgs/applications/misc/diebahn/default.nix b/pkgs/applications/misc/diebahn/default.nix
index 7e07a05748ca..a934f0349cd2 100644
--- a/pkgs/applications/misc/diebahn/default.nix
+++ b/pkgs/applications/misc/diebahn/default.nix
@@ -21,19 +21,19 @@
stdenv.mkDerivation rec {
pname = "diebahn";
- version = "2.3.0";
+ version = "2.4.0";
src = fetchFromGitLab {
owner = "schmiddi-on-mobile";
repo = "railway";
rev = version;
- hash = "sha256-o1WJJslZLg3UlMLmHDeEozsP8CmMU9e7MqONpIKuq80=";
+ hash = "sha256-2iLxErEP0OG+BcG7fvJBzNjh95EkNoC3NC7rKxPLhYk=";
};
cargoDeps = rustPlatform.fetchCargoTarball {
name = "${pname}-${src}";
inherit src;
- hash = "sha256-/DSbkZev9A7TqRgnCop3PDd8vzSvyOevvl+pBCk1ri0=";
+ hash = "sha256-TyafdFWCaZgLEW2yVfm9+9kXRKoiyCAbRndcb7XCVdI=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/misc/diff-pdf/default.nix b/pkgs/applications/misc/diff-pdf/default.nix
index f88af3be2721..1d54b57c9b2f 100644
--- a/pkgs/applications/misc/diff-pdf/default.nix
+++ b/pkgs/applications/misc/diff-pdf/default.nix
@@ -23,5 +23,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2;
platforms = platforms.all;
maintainers = with maintainers; [ dtzWill ];
+ mainProgram = "diff-pdf";
};
}
diff --git a/pkgs/applications/misc/diffpdf/default.nix b/pkgs/applications/misc/diffpdf/default.nix
index 370f41b1b831..4792003b792e 100644
--- a/pkgs/applications/misc/diffpdf/default.nix
+++ b/pkgs/applications/misc/diffpdf/default.nix
@@ -51,6 +51,7 @@ mkDerivation rec {
meta = {
homepage = "http://www.qtrac.eu/diffpdfc.html";
description = "Tool for diffing pdf files visually or textually";
+ mainProgram = "diffpdf";
license = lib.licenses.gpl2Plus;
maintainers = with lib.maintainers; [ ];
platforms = with lib.platforms; linux;
diff --git a/pkgs/applications/misc/diffuse/default.nix b/pkgs/applications/misc/diffuse/default.nix
index 75e5f34174bc..0f5cf3c0adc1 100644
--- a/pkgs/applications/misc/diffuse/default.nix
+++ b/pkgs/applications/misc/diffuse/default.nix
@@ -71,6 +71,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
homepage = "https://github.com/MightyCreak/diffuse";
description = "Graphical tool for merging and comparing text files";
+ mainProgram = "diffuse";
license = licenses.gpl2;
maintainers = with maintainers; [ k3a ];
platforms = platforms.unix;
diff --git a/pkgs/applications/misc/ding/default.nix b/pkgs/applications/misc/ding/default.nix
index 3c193d3f569a..d0791b492f09 100644
--- a/pkgs/applications/misc/ding/default.nix
+++ b/pkgs/applications/misc/ding/default.nix
@@ -49,6 +49,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Simple and fast dictionary lookup tool";
+ mainProgram = "ding";
homepage = "https://www-user.tu-chemnitz.de/~fri/ding/";
license = licenses.gpl2Plus;
platforms = platforms.linux; # homepage says: unix-like except darwin
diff --git a/pkgs/applications/misc/dmensamenu/default.nix b/pkgs/applications/misc/dmensamenu/default.nix
index d5208ea7b02d..75c9302e0791 100644
--- a/pkgs/applications/misc/dmensamenu/default.nix
+++ b/pkgs/applications/misc/dmensamenu/default.nix
@@ -28,6 +28,7 @@ buildPythonApplication rec {
meta = with lib; {
homepage = "https://github.com/dotlambda/dmensamenu";
description = "Print German canteen menus using dmenu and OpenMensa";
+ mainProgram = "dmensamenu";
license = licenses.mit;
maintainers = with maintainers; [ dotlambda ];
};
diff --git a/pkgs/applications/misc/dmenu-rs/Cargo.lock b/pkgs/applications/misc/dmenu-rs/Cargo.lock
index f3da4c99885d..33e74c4e7ddf 100644
--- a/pkgs/applications/misc/dmenu-rs/Cargo.lock
+++ b/pkgs/applications/misc/dmenu-rs/Cargo.lock
@@ -4,9 +4,9 @@ version = 3
[[package]]
name = "aho-corasick"
-version = "0.7.20"
+version = "1.1.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cc936419f96fa211c1b9166887b38e5e40b19958e5b895be7c1f93adec7071ac"
+checksum = "b2969dcb958b36655471fc61f7e416fa76033bdd4bfed0678d8fee1e2d07a1f0"
dependencies = [
"memchr",
]
@@ -22,39 +22,47 @@ dependencies = [
[[package]]
name = "anstream"
-version = "0.2.6"
+version = "0.6.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "342258dd14006105c2b75ab1bd7543a03bdf0cfc94383303ac212a04939dff6f"
+checksum = "2ab91ebe16eb252986481c5b62f6098f3b698a45e34b5b98200cf20dd2484a44"
dependencies = [
"anstyle",
"anstyle-parse",
+ "anstyle-query",
"anstyle-wincon",
- "concolor-override",
- "concolor-query",
- "is-terminal",
+ "colorchoice",
"utf8parse",
]
[[package]]
name = "anstyle"
-version = "0.3.5"
+version = "1.0.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "23ea9e81bd02e310c216d080f6223c179012256e5151c41db88d12c88a1684d2"
+checksum = "7079075b41f533b8c61d2a4d073c4676e1f8b249ff94a393b0595db304e0dd87"
[[package]]
name = "anstyle-parse"
-version = "0.1.1"
+version = "0.2.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a7d1bb534e9efed14f3e5f44e7dd1a4f709384023a4165199a4241e18dff0116"
+checksum = "317b9a89c1868f5ea6ff1d9539a69f45dffc21ce321ac1fd1160dfa48c8e2140"
dependencies = [
"utf8parse",
]
[[package]]
-name = "anstyle-wincon"
-version = "0.2.0"
+name = "anstyle-query"
+version = "1.0.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c3127af6145b149f3287bb9a0d10ad9c5692dba8c53ad48285e5bec4063834fa"
+checksum = "5ca11d4be1bab0c8bc8734a9aa7bf4ee8316d462a08c6ac5052f888fef5b494b"
+dependencies = [
+ "windows-sys",
+]
+
+[[package]]
+name = "anstyle-wincon"
+version = "3.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f0699d10d2f4d628a98ee7b57b289abbc98ff3bad977cb3152709d4bf2330628"
dependencies = [
"anstyle",
"windows-sys",
@@ -77,7 +85,7 @@ version = "0.64.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "c4243e6031260db77ede97ad86c27e501d646a27ab57b59a574f725d98ab1fb4"
dependencies = [
- "bitflags",
+ "bitflags 1.3.2",
"cexpr",
"clang-sys",
"lazy_static",
@@ -99,6 +107,12 @@ version = "1.3.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a"
+[[package]]
+name = "bitflags"
+version = "2.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "327762f6e5a765692301e5bb513e0d9fef63be86bbc14528052b1cd3e6f03e07"
+
[[package]]
name = "block"
version = "0.1.6"
@@ -107,9 +121,12 @@ checksum = "0d8c1fef690941d3e7788d328517591fecc684c084084702d6ff1641e993699a"
[[package]]
name = "cc"
-version = "1.0.79"
+version = "1.0.83"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "50d30906286121d95be3d479533b458f87493b30a4b5f79a607db8f5d11aa91f"
+checksum = "f1174fb0b6ec23863f8b971027804a42614e347eafb0a95bf0b12cdae21fc4d0"
+dependencies = [
+ "libc",
+]
[[package]]
name = "cexpr"
@@ -128,9 +145,9 @@ checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd"
[[package]]
name = "clang-sys"
-version = "1.6.0"
+version = "1.6.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "77ed9a53e5d4d9c573ae844bfac6872b159cb1d1585a83b29e7a64b7eef7332a"
+checksum = "c688fc74432808e3eb684cae8830a86be1d66a2bd58e1f248ed0960a590baf6f"
dependencies = [
"glob",
"libc",
@@ -145,7 +162,7 @@ checksum = "a0610544180c38b88101fecf2dd634b174a62eef6946f84dfc6a7127512b381c"
dependencies = [
"ansi_term",
"atty",
- "bitflags",
+ "bitflags 1.3.2",
"strsim 0.8.0",
"textwrap",
"unicode-width",
@@ -155,45 +172,43 @@ dependencies = [
[[package]]
name = "clap"
-version = "4.2.0"
+version = "4.4.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6efb5f0a41b5ef5b50c5da28c07609c20091df0c1fc33d418fa2a7e693c2b624"
+checksum = "2275f18819641850fa26c89acc84d465c1bf91ce57bc2748b28c420473352f64"
dependencies = [
"clap_builder",
"clap_derive",
- "once_cell",
]
[[package]]
name = "clap_builder"
-version = "4.2.0"
+version = "4.4.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "671fcaa5debda4b9a84aa7fde49c907c8986c0e6ab927e04217c9cb74e7c8bc9"
+checksum = "07cdf1b148b25c1e1f7a42225e30a0d99a615cd4637eae7365548dd4529b95bc"
dependencies = [
"anstream",
"anstyle",
- "bitflags",
"clap_lex",
"strsim 0.10.0",
]
[[package]]
name = "clap_derive"
-version = "4.2.0"
+version = "4.4.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3f9644cd56d6b87dbe899ef8b053e331c0637664e9e21a33dfcdc36093f5c5c4"
+checksum = "cf9804afaaf59a91e75b022a30fb7229a7901f60c755489cc61c9b423b836442"
dependencies = [
"heck",
"proc-macro2",
"quote",
- "syn 2.0.11",
+ "syn 2.0.39",
]
[[package]]
name = "clap_lex"
-version = "0.4.1"
+version = "0.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8a2dd5a6fe8c6e3502f568a6353e5273bbb15193ad9a89e457b9970798efbea1"
+checksum = "702fc72eb24e5a1e48ce58027a675bc24edd52096d5397d4aea7c6dd9eca0bd1"
[[package]]
name = "clipboard"
@@ -227,19 +242,10 @@ dependencies = [
]
[[package]]
-name = "concolor-override"
+name = "colorchoice"
version = "1.0.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a855d4a1978dc52fb0536a04d384c2c0c1aa273597f08b77c8c4d3b2eec6037f"
-
-[[package]]
-name = "concolor-query"
-version = "0.3.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "88d11d52c3d7ca2e6d0040212be9e4dbbcd78b6447f535b6b561f449427944cf"
-dependencies = [
- "windows-sys",
-]
+checksum = "acbf1af155f9b9ef647e42cdc158db4b64a1b61f743629225fde6f3e0be2a7c7"
[[package]]
name = "config"
@@ -255,9 +261,9 @@ dependencies = [
[[package]]
name = "csv"
-version = "1.2.1"
+version = "1.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0b015497079b9a9d69c02ad25de6c0a6edef051ea6360a327d0bd05802ef64ad"
+checksum = "ac574ff4d437a7b5ad237ef331c17ccca63c46479e5b5453eb8e10bb99a759fe"
dependencies = [
"csv-core",
"itoa",
@@ -267,9 +273,9 @@ dependencies = [
[[package]]
name = "csv-core"
-version = "0.1.10"
+version = "0.1.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2b2466559f260f48ad25fe6317b3c8dac77b5bdb5763ac7d9d6103530663bc90"
+checksum = "5efa2b3d7902f4b634a20cae3c9c4e6209dc4779feb6863329607560143efa70"
dependencies = [
"memchr",
]
@@ -319,9 +325,9 @@ dependencies = [
[[package]]
name = "either"
-version = "1.8.1"
+version = "1.9.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7fcaabb2fef8c910e7f4c7ce9f67a1283a1715879a7c230ca9d6d1ae31f16d91"
+checksum = "a26ae43d7bcc3b814de94796a5e736d4029efb0ee900c12e2d54c993ad1a1e07"
[[package]]
name = "encode_unicode"
@@ -331,23 +337,12 @@ checksum = "34aa73646ffb006b8f5147f3dc182bd4bcb190227ce861fc4a4844bf8e3cb2c0"
[[package]]
name = "errno"
-version = "0.2.8"
+version = "0.3.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f639046355ee4f37944e44f60642c6f3a7efa3cf6b78c78a0d989a8ce6c396a1"
+checksum = "f258a7194e7f7c2a7837a8913aeab7fd8c383457034fa20ce4dd3dcb813e8eb8"
dependencies = [
- "errno-dragonfly",
- "libc",
- "winapi",
-]
-
-[[package]]
-name = "errno-dragonfly"
-version = "0.1.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "aa68f1b12764fab894d2755d2518754e71b4fd80ecfb822714a1206c2aab39bf"
-dependencies = [
- "cc",
"libc",
+ "windows-sys",
]
[[package]]
@@ -373,9 +368,9 @@ dependencies = [
[[package]]
name = "getrandom"
-version = "0.2.8"
+version = "0.2.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c05aeb6a22b8f62540c194aac980f2115af067bfe15a0734d7277a768d396b31"
+checksum = "fe9006bed769170c11f845cf00c7c1e9092aeb3f268e007c3e760ac68008070f"
dependencies = [
"cfg-if",
"libc",
@@ -413,29 +408,26 @@ dependencies = [
[[package]]
name = "hermit-abi"
-version = "0.3.1"
+version = "0.3.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fed44880c466736ef9a5c5b5facefb5ed0785676d0c02d612db14e54f0d84286"
+checksum = "d77f7ec81a6d05a3abb01ab6eb7590f6083d08449fe5a1c8b1e620283546ccb7"
[[package]]
-name = "io-lifetimes"
-version = "1.0.9"
+name = "home"
+version = "0.5.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "09270fd4fa1111bc614ed2246c7ef56239a3063d5be0d1ec3b589c505d400aeb"
+checksum = "5444c27eef6923071f7ebcc33e3444508466a76f7a2b93da00ed6e19f30c1ddb"
dependencies = [
- "hermit-abi 0.3.1",
- "libc",
"windows-sys",
]
[[package]]
name = "is-terminal"
-version = "0.4.5"
+version = "0.4.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8687c819457e979cc940d09cb16e42a1bf70aa6b60a549de6d3a62a0ee90c69e"
+checksum = "cb0889898416213fab133e1d33a0e5858a48177452750691bde3666d0fdbaf8b"
dependencies = [
- "hermit-abi 0.3.1",
- "io-lifetimes",
+ "hermit-abi 0.3.3",
"rustix",
"windows-sys",
]
@@ -460,9 +452,9 @@ dependencies = [
[[package]]
name = "itoa"
-version = "1.0.6"
+version = "1.0.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "453ad9f582a441959e5f0d088b02ce04cfe8d51a8eaf077f12ac6d3e94164ca6"
+checksum = "af150ab688ff2122fcef229be89cb50dd66af9e01a4ff320cc137eecc9bacc38"
[[package]]
name = "lazy_static"
@@ -478,9 +470,9 @@ checksum = "830d08ce1d1d941e6b30645f1a0eb5643013d835ce3779a5fc208261dbe10f55"
[[package]]
name = "libc"
-version = "0.2.140"
+version = "0.2.150"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "99227334921fae1a979cf0bfdfcc6b3e5ce376ef57e16fb6fb3ea2ed6095f80c"
+checksum = "89d92a4743f9a61002fae18374ed11e7973f530cb3a3255fb354818118b2203c"
[[package]]
name = "libloading"
@@ -492,6 +484,17 @@ dependencies = [
"winapi",
]
+[[package]]
+name = "libredox"
+version = "0.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "85c833ca1e66078851dba29046874e38f08b2c883700aa29a03ddd3b23814ee8"
+dependencies = [
+ "bitflags 2.4.1",
+ "libc",
+ "redox_syscall",
+]
+
[[package]]
name = "linked-hash-map"
version = "0.5.6"
@@ -500,18 +503,15 @@ checksum = "0717cef1bc8b636c6e1c1bbdefc09e6322da8a9321966e8928ef80d20f7f770f"
[[package]]
name = "linux-raw-sys"
-version = "0.1.4"
+version = "0.4.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f051f77a7c8e6957c0696eac88f26b0117e54f52d3fc682ab19397a8812846a4"
+checksum = "969488b55f8ac402214f3f5fd243ebb7206cf82de60d3172994707a4bcc2b829"
[[package]]
name = "log"
-version = "0.4.17"
+version = "0.4.20"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "abb12e687cfb44aa40f41fc3978ef76448f9b6038cad6aef4259d3c095a2382e"
-dependencies = [
- "cfg-if",
-]
+checksum = "b5e6163cb8c49088c2c36f57875e58ccd8c87c7427f7fbd50ea6710b2f3f2e8f"
[[package]]
name = "malloc_buf"
@@ -531,9 +531,9 @@ dependencies = [
[[package]]
name = "memchr"
-version = "2.5.0"
+version = "2.6.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2dffe52ecf27772e601905b7522cb4ef790d2cc203488bbd0e2fe85fcb74566d"
+checksum = "f665ee40bc4a3c5590afb1e9677db74a508659dfd71e126420da8274909a0167"
[[package]]
name = "minimal-lexical"
@@ -582,9 +582,9 @@ dependencies = [
[[package]]
name = "once_cell"
-version = "1.17.1"
+version = "1.18.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b7e5500299e16ebb147ae15a00a942af264cf3688f47923b8fc2cd5858f23ad3"
+checksum = "dd8b5dd2ae5ed71462c540258bedcb51965123ad7e7ccf4b9a8cafaa4a63576d"
[[package]]
name = "overrider"
@@ -615,9 +615,9 @@ checksum = "19b17cddbe7ec3f8bc800887bab5e717348c95ea2ca0b1bf0837fb964dc67099"
[[package]]
name = "pkg-config"
-version = "0.3.26"
+version = "0.3.27"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6ac9a59f73473f1b8d852421e59e64809f025994837ef743615c6d0c5b305160"
+checksum = "26072860ba924cbfa98ea39c8c19b4dd6a4a25423dbdf219c1eca91aa0cf6964"
[[package]]
name = "pledge"
@@ -644,9 +644,9 @@ dependencies = [
[[package]]
name = "proc-macro2"
-version = "1.0.54"
+version = "1.0.69"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e472a104799c74b514a57226160104aa483546de37e839ec50e3c2e41dd87534"
+checksum = "134c189feb4956b20f6f547d2cf727d4c0fe06722b20a0eec87ed445a97f92da"
dependencies = [
"unicode-ident",
]
@@ -663,38 +663,50 @@ dependencies = [
[[package]]
name = "quote"
-version = "1.0.26"
+version = "1.0.33"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4424af4bf778aae2051a77b60283332f386554255d722233d09fbfc7e30da2fc"
+checksum = "5267fca4496028628a95160fc423a33e8b2e6af8a5302579e322e4b520293cae"
dependencies = [
"proc-macro2",
]
[[package]]
name = "redox_syscall"
-version = "0.2.16"
+version = "0.4.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fb5a58c1855b4b6819d59012155603f0b22ad30cad752600aadfcb695265519a"
+checksum = "4722d768eff46b75989dd134e5c353f0d6296e5aaa3132e776cbdb56be7731aa"
dependencies = [
- "bitflags",
+ "bitflags 1.3.2",
]
[[package]]
name = "redox_users"
-version = "0.4.3"
+version = "0.4.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b033d837a7cf162d7993aded9304e30a83213c648b6e389db233191f891e5c2b"
+checksum = "a18479200779601e498ada4e8c1e1f50e3ee19deb0259c25825a98b5603b2cb4"
dependencies = [
"getrandom",
- "redox_syscall",
+ "libredox",
"thiserror",
]
[[package]]
name = "regex"
-version = "1.7.3"
+version = "1.10.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8b1f693b24f6ac912f4893ef08244d70b6067480d2f1a46e950c9691e6749d1d"
+checksum = "380b951a9c5e80ddfd6136919eef32310721aa4aacd4889a8d39124b026ab343"
+dependencies = [
+ "aho-corasick",
+ "memchr",
+ "regex-automata",
+ "regex-syntax",
+]
+
+[[package]]
+name = "regex-automata"
+version = "0.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5f804c7828047e88b2d32e2d7fe5a105da8ee3264f01902f796c8e067dc2483f"
dependencies = [
"aho-corasick",
"memchr",
@@ -703,9 +715,9 @@ dependencies = [
[[package]]
name = "regex-syntax"
-version = "0.6.29"
+version = "0.8.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f162c6dd7b008981e4d40210aca20b4bd0f9b60ca9271061b07f78537722f2e1"
+checksum = "c08c74e62047bb2de4ff487b251e4a92e24f48745648451635cec7d591162d9f"
[[package]]
name = "rustc-hash"
@@ -734,13 +746,12 @@ dependencies = [
[[package]]
name = "rustix"
-version = "0.36.11"
+version = "0.38.25"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "db4165c9963ab29e422d6c26fbc1d37f15bace6b2810221f9d925023480fcf0e"
+checksum = "dc99bc2d4f1fed22595588a013687477aedf3cdcfb26558c559edb67b4d9b22e"
dependencies = [
- "bitflags",
+ "bitflags 2.4.1",
"errno",
- "io-lifetimes",
"libc",
"linux-raw-sys",
"windows-sys",
@@ -748,15 +759,15 @@ dependencies = [
[[package]]
name = "rustversion"
-version = "1.0.12"
+version = "1.0.14"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4f3208ce4d8448b3f3e7d168a73f5e0c43a61e32930de3bceeccedb388b6bf06"
+checksum = "7ffc183a10b4478d04cbbbfc96d0873219d962dd5accaff2ffbd4ceb7df837f4"
[[package]]
name = "ryu"
-version = "1.0.13"
+version = "1.0.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f91339c0467de62360649f8d3e185ca8de4224ff281f66000de5eb2a77a79041"
+checksum = "1ad4cc8da4ef723ed60bced201181d83791ad433213d8c24efffda1eec85d741"
[[package]]
name = "semver"
@@ -775,9 +786,23 @@ checksum = "388a1df253eca08550bef6c72392cfe7c30914bf41df5269b68cbd6ff8f570a3"
[[package]]
name = "serde"
-version = "1.0.159"
+version = "1.0.192"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3c04e8343c3daeec41f58990b9d77068df31209f2af111e059e9fe9646693065"
+checksum = "bca2a08484b285dcb282d0f67b26cadc0df8b19f8c12502c13d966bf9482f001"
+dependencies = [
+ "serde_derive",
+]
+
+[[package]]
+name = "serde_derive"
+version = "1.0.192"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d6c7207fbec9faa48073f3e3074cbe553af6ea512d7c21ba46e434e70ea9fbc1"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.39",
+]
[[package]]
name = "servo-fontconfig"
@@ -802,15 +827,15 @@ dependencies = [
[[package]]
name = "shlex"
-version = "1.1.0"
+version = "1.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "43b2853a4d09f215c24cc5489c992ce46052d359b5109343cbafbf26bc62f8a3"
+checksum = "a7cee0529a6d40f580e7a5e6c495c8fbfe21b7b52795ed4bb5e62cdf92bc6380"
[[package]]
name = "stest"
version = "0.0.0"
dependencies = [
- "clap 4.2.0",
+ "clap 4.4.8",
]
[[package]]
@@ -838,9 +863,9 @@ dependencies = [
[[package]]
name = "syn"
-version = "2.0.11"
+version = "2.0.39"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "21e3787bb71465627110e7d87ed4faaa36c1f61042ee67badb9e2ef173accc40"
+checksum = "23e78b90f2fcf45d3e842032ce32e3f2d1545ba6636271dcbf24fa306d87be7a"
dependencies = [
"proc-macro2",
"quote",
@@ -860,9 +885,9 @@ dependencies = [
[[package]]
name = "termcolor"
-version = "1.2.0"
+version = "1.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "be55cf8942feac5c765c2c993422806843c9a9a45d4d5c407ad6dd2ea95eb9b6"
+checksum = "ff1bc3d3f05aff0403e8ac0d92ced918ec05b666a43f83297ccef5bea8a3d449"
dependencies = [
"winapi-util",
]
@@ -878,29 +903,29 @@ dependencies = [
[[package]]
name = "thiserror"
-version = "1.0.40"
+version = "1.0.50"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "978c9a314bd8dc99be594bc3c175faaa9794be04a5a5e153caba6915336cebac"
+checksum = "f9a7210f5c9a7156bb50aa36aed4c95afb51df0df00713949448cf9e97d382d2"
dependencies = [
"thiserror-impl",
]
[[package]]
name = "thiserror-impl"
-version = "1.0.40"
+version = "1.0.50"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f9456a42c5b0d803c8cd86e73dd7cc9edd429499f37a3550d286d5e86720569f"
+checksum = "266b2e40bc00e5a6c09c3584011e08b06f123c00362c92b975ba9843aaaa14b8"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.11",
+ "syn 2.0.39",
]
[[package]]
name = "unicode-ident"
-version = "1.0.8"
+version = "1.0.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e5464a87b239f13a63a501f2701565754bae92d243d4bb7eb12f6d57d2269bf4"
+checksum = "3354b9ac3fae1ff6755cb6db53683adb661634f67557942dea4facebec0fee4b"
[[package]]
name = "unicode-segmentation"
@@ -910,9 +935,9 @@ checksum = "1dd624098567895118886609431a7c3b8f516e41d30e0643f03d94592a147e36"
[[package]]
name = "unicode-width"
-version = "0.1.10"
+version = "0.1.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c0edd1e5b14653f783770bce4a4dabb4a5108a5370a5f5d8cfe8710c361f6c8b"
+checksum = "e51733f11c9c4f72aa0c160008246859e340b00807569a0da0e7a1079b27ba85"
[[package]]
name = "utf8parse"
@@ -934,13 +959,14 @@ checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
[[package]]
name = "which"
-version = "4.4.0"
+version = "4.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2441c784c52b289a054b7201fc93253e288f094e2f4be9058343127c4226a269"
+checksum = "87ba24419a2078cd2b0f2ede2691b6c66d8e47836da3b6db8265ebad47afbfc7"
dependencies = [
"either",
- "libc",
+ "home",
"once_cell",
+ "rustix",
]
[[package]]
@@ -961,9 +987,9 @@ checksum = "ac3b87c63620426dd9b991e5ce0329eff545bccbbb34f3be09ff6fb6ab51b7b6"
[[package]]
name = "winapi-util"
-version = "0.1.5"
+version = "0.1.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "70ec6ce85bb158151cae5e5c87f95a8e97d2c0c4b001223f33a334e3ce5de178"
+checksum = "f29e6f9198ba0d26b4c9f07dbe6f9ed633e1f3d5b8b414090084349e46a52596"
dependencies = [
"winapi",
]
@@ -976,18 +1002,18 @@ checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
[[package]]
name = "windows-sys"
-version = "0.45.0"
+version = "0.48.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "75283be5efb2831d37ea142365f009c02ec203cd29a3ebecbc093d52315b66d0"
+checksum = "677d2418bec65e3338edb076e806bc1ec15693c5d0104683f2efe857f61056a9"
dependencies = [
"windows-targets",
]
[[package]]
name = "windows-targets"
-version = "0.42.2"
+version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8e5180c00cd44c9b1c88adb3693291f1cd93605ded80c250a75d472756b4d071"
+checksum = "9a2fa6e2155d7247be68c096456083145c183cbbbc2764150dda45a87197940c"
dependencies = [
"windows_aarch64_gnullvm",
"windows_aarch64_msvc",
@@ -1000,45 +1026,45 @@ dependencies = [
[[package]]
name = "windows_aarch64_gnullvm"
-version = "0.42.2"
+version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "597a5118570b68bc08d8d59125332c54f1ba9d9adeedeef5b99b02ba2b0698f8"
+checksum = "2b38e32f0abccf9987a4e3079dfb67dcd799fb61361e53e2882c3cbaf0d905d8"
[[package]]
name = "windows_aarch64_msvc"
-version = "0.42.2"
+version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e08e8864a60f06ef0d0ff4ba04124db8b0fb3be5776a5cd47641e942e58c4d43"
+checksum = "dc35310971f3b2dbbf3f0690a219f40e2d9afcf64f9ab7cc1be722937c26b4bc"
[[package]]
name = "windows_i686_gnu"
-version = "0.42.2"
+version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c61d927d8da41da96a81f029489353e68739737d3beca43145c8afec9a31a84f"
+checksum = "a75915e7def60c94dcef72200b9a8e58e5091744960da64ec734a6c6e9b3743e"
[[package]]
name = "windows_i686_msvc"
-version = "0.42.2"
+version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "44d840b6ec649f480a41c8d80f9c65108b92d89345dd94027bfe06ac444d1060"
+checksum = "8f55c233f70c4b27f66c523580f78f1004e8b5a8b659e05a4eb49d4166cca406"
[[package]]
name = "windows_x86_64_gnu"
-version = "0.42.2"
+version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8de912b8b8feb55c064867cf047dda097f92d51efad5b491dfb98f6bbb70cb36"
+checksum = "53d40abd2583d23e4718fddf1ebec84dbff8381c07cae67ff7768bbf19c6718e"
[[package]]
name = "windows_x86_64_gnullvm"
-version = "0.42.2"
+version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "26d41b46a36d453748aedef1486d5c7a85db22e56aff34643984ea85514e94a3"
+checksum = "0b7b52767868a23d5bab768e390dc5f5c55825b6d30b86c844ff2dc7414044cc"
[[package]]
name = "windows_x86_64_msvc"
-version = "0.42.2"
+version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9aec5da331524158c6d1a4ac0ab1541149c0b9505fde06423b02f5ef0106b9f0"
+checksum = "ed94fce61571a4006852b7389a063ab983c02eb1bb37b47f8272ce92d06d9538"
[[package]]
name = "x11"
diff --git a/pkgs/applications/misc/dmenu-rs/default.nix b/pkgs/applications/misc/dmenu-rs/default.nix
index 1635e383e9bc..3340171c2da6 100644
--- a/pkgs/applications/misc/dmenu-rs/default.nix
+++ b/pkgs/applications/misc/dmenu-rs/default.nix
@@ -2,7 +2,6 @@
, rustPlatform
, lib
, fetchFromGitHub
-, fetchpatch
, cargo
, expat
, fontconfig
@@ -19,13 +18,13 @@
# See: https://github.com/Shizcow/dmenu-rs#plugins
stdenv.mkDerivation rec {
pname = "dmenu-rs";
- version = "5.5.2";
+ version = "5.5.3";
src = fetchFromGitHub {
owner = "Shizcow";
- repo = pname;
+ repo = "dmenu-rs";
rev = version;
- sha256 = "sha256-6yO2S6j/BD6x/bsuTFKAKvARl1n94KRiPwpmswmUOPU=";
+ hash = "sha256-05Ia+GHeL8PzOwR7H+NEVhKJVMPhlIaQLwGfvwOAl0g=";
};
nativeBuildInputs = [
@@ -52,17 +51,6 @@ stdenv.mkDerivation rec {
lockFile = ./Cargo.lock;
};
- # Fix a bug in the makefile when installing.
- # See https://github.com/Shizcow/dmenu-rs/pull/50
- patches = let
- fix-broken-make-install-patch = fetchpatch {
- url = "https://github.com/Shizcow/dmenu-rs/commit/1f4b3f8a07d73272f8c6f19bfb6ff3de5e042815.patch";
- sha256 = "sha256-hmXApWg8qngc1vHkHUnB7Lt7wQUOyCSsBmn4HC1j53M=";
- };
- in [
- fix-broken-make-install-patch
- ];
-
# Copy the Cargo.lock stored here in nixpkgs into the build directory.
postPatch = ''
cp ${./Cargo.lock} src/Cargo.lock
diff --git a/pkgs/applications/misc/dnd-tools/default.nix b/pkgs/applications/misc/dnd-tools/default.nix
index 3b0d0ec90e25..130b4bd63126 100644
--- a/pkgs/applications/misc/dnd-tools/default.nix
+++ b/pkgs/applications/misc/dnd-tools/default.nix
@@ -22,6 +22,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
homepage = "https://github.com/savagezen/dnd-tools";
description = "A set of interactive command line tools for Dungeons and Dragons 5th Edition";
+ mainProgram = "dnd-tools";
license = licenses.agpl3Only;
maintainers = [ maintainers.urlordjames ];
};
diff --git a/pkgs/applications/misc/doctave/default.nix b/pkgs/applications/misc/doctave/default.nix
index 454c6bea3315..3ebe50311d57 100644
--- a/pkgs/applications/misc/doctave/default.nix
+++ b/pkgs/applications/misc/doctave/default.nix
@@ -26,5 +26,6 @@ rustPlatform.buildRustPackage rec {
changelog = "https://github.com/doctave/doctave/blob/${version}/CHANGELOG.md";
license = licenses.mit;
maintainers = with maintainers; [ figsoda ];
+ mainProgram = "doctave";
};
}
diff --git a/pkgs/applications/misc/doing/default.nix b/pkgs/applications/misc/doing/default.nix
index e539cf3ae19e..c5114eccc77f 100644
--- a/pkgs/applications/misc/doing/default.nix
+++ b/pkgs/applications/misc/doing/default.nix
@@ -21,5 +21,6 @@ bundlerEnv {
license = licenses.mit;
maintainers = with maintainers; [ ktf nicknovitski ];
platforms = platforms.unix;
+ mainProgram = "doing";
};
}
diff --git a/pkgs/applications/misc/done/default.nix b/pkgs/applications/misc/done/default.nix
index a52ebda61945..cbc23dc9eb4f 100644
--- a/pkgs/applications/misc/done/default.nix
+++ b/pkgs/applications/misc/done/default.nix
@@ -21,21 +21,19 @@
stdenv.mkDerivation rec {
pname = "done";
- version = "0.2.0";
+ version = "0.2.2";
src = fetchFromGitHub {
owner = "done-devs";
repo = "done";
rev = "v${version}";
- hash = "sha256-97bWBayEyhCMjTxxxFVdO8V2pBZuVzss1Tp9/TnfDB0=";
+ hash = "sha256-SbeP7PnJd7jjdXa9uDIAlMAJLOrYHqNP5p9gQclb6RU=";
};
- cargoDeps = rustPlatform.importCargoLock {
- lockFile = ./Cargo.lock;
- outputHashes = {
- "directories-4.0.1" = "sha256-4M8WstNq5I7UduIUZI9q1R9oazp7MDBRBRBHZv6iGWI=";
- "libset-0.1.2" = "sha256-+eA6pqafIYomXdlvwSzT/b/T4Je5HgPPmGL2M11VpMU=";
- };
+ cargoDeps = rustPlatform.fetchCargoTarball {
+ inherit src;
+ name = "${pname}-${version}";
+ hash = "sha256-YJJGQR1tkK5z7vQQgkd8xPSqYhtiZIN+s9Xnwjn0z5A=";
};
nativeBuildInputs = [
@@ -62,8 +60,6 @@ stdenv.mkDerivation rec {
env = lib.optionalAttrs stdenv.isDarwin {
GETTEXT_DIR = gettext;
- # Work around https://github.com/NixOS/nixpkgs/issues/166205.
- NIX_LDFLAGS = "-l${stdenv.cc.libcxx.cxxabi.libName}";
};
meta = with lib; {
@@ -71,6 +67,7 @@ stdenv.mkDerivation rec {
homepage = "https://done.edfloreshz.dev/";
changelog = "https://github.com/done-devs/done/blob/${src.rev}/CHANGES.md";
license = licenses.mpl20;
+ mainProgram = "done";
maintainers = with maintainers; [ figsoda ];
};
}
diff --git a/pkgs/applications/misc/dotfiles/default.nix b/pkgs/applications/misc/dotfiles/default.nix
index 52d5016200f9..017d18b0bce8 100644
--- a/pkgs/applications/misc/dotfiles/default.nix
+++ b/pkgs/applications/misc/dotfiles/default.nix
@@ -17,6 +17,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "Easily manage your dotfiles";
+ mainProgram = "dotfiles";
homepage = "https://github.com/jbernard/dotfiles";
license = licenses.isc;
};
diff --git a/pkgs/applications/misc/downonspot/default.nix b/pkgs/applications/misc/downonspot/default.nix
index 23747102fecb..5a7c6ce6933b 100644
--- a/pkgs/applications/misc/downonspot/default.nix
+++ b/pkgs/applications/misc/downonspot/default.nix
@@ -41,5 +41,6 @@ rustPlatform.buildRustPackage rec {
license = licenses.gpl3Only;
platforms = platforms.linux;
maintainers = with maintainers; [ onny ];
+ mainProgram = "down_on_spot";
};
}
diff --git a/pkgs/applications/misc/duden/default.nix b/pkgs/applications/misc/duden/default.nix
deleted file mode 100644
index 0d310e8c23dc..000000000000
--- a/pkgs/applications/misc/duden/default.nix
+++ /dev/null
@@ -1,40 +0,0 @@
-{ lib
-, python3
-, fetchFromGitHub
-}:
-
-python3.pkgs.buildPythonPackage rec {
- pname = "duden";
- version = "0.18.0";
- format = "pyproject";
-
- src = fetchFromGitHub {
- owner = "radomirbosak";
- repo = "duden";
- rev = version;
- hash = "sha256-ZrarN09Znw4m6YiZxD7q7dTJ49WjmHDobLnOt8JCwvc=";
- };
-
- nativeBuildInputs = [
- python3.pkgs.poetry-core
- ];
-
- propagatedBuildInputs = with python3.pkgs; [
- beautifulsoup4
- crayons
- pyxdg
- pyyaml
- requests
- setuptools
- ];
-
- pythonImportsCheck = [ "duden" ];
-
- meta = with lib; {
- description = "CLI for http://duden.de dictionary written in Python";
- homepage = "https://github.com/radomirbosak/duden";
- changelog = "https://github.com/radomirbosak/duden/blob/${src.rev}/CHANGELOG.md";
- license = licenses.mit;
- maintainers = with maintainers; [ ];
- };
-}
diff --git a/pkgs/applications/misc/dwmbar/default.nix b/pkgs/applications/misc/dwmbar/default.nix
index 5559f4a6e5af..0d942eff73d7 100644
--- a/pkgs/applications/misc/dwmbar/default.nix
+++ b/pkgs/applications/misc/dwmbar/default.nix
@@ -33,5 +33,6 @@ stdenv.mkDerivation {
license = licenses.gpl3Plus;
maintainers = with maintainers; [ baitinq ];
platforms = platforms.linux;
+ mainProgram = "dwmbar";
};
}
diff --git a/pkgs/applications/misc/dwmblocks/default.nix b/pkgs/applications/misc/dwmblocks/default.nix
index c31a1a4b0630..0b7abc7e3dfc 100644
--- a/pkgs/applications/misc/dwmblocks/default.nix
+++ b/pkgs/applications/misc/dwmblocks/default.nix
@@ -31,5 +31,6 @@ stdenv.mkDerivation {
license = licenses.isc;
maintainers = with maintainers; [ sophrosyne ];
platforms = platforms.linux;
+ mainProgram = "dwmblocks";
};
}
diff --git a/pkgs/applications/misc/effitask/default.nix b/pkgs/applications/misc/effitask/default.nix
index 50172b9d1cb4..345f87d84a1c 100644
--- a/pkgs/applications/misc/effitask/default.nix
+++ b/pkgs/applications/misc/effitask/default.nix
@@ -44,5 +44,6 @@ rustPlatform.buildRustPackage rec {
homepage = "https://github.com/sanpii/effitask";
maintainers = with maintainers; [ davidak ];
license = with licenses; [ mit ];
+ mainProgram = "effitask";
};
}
diff --git a/pkgs/applications/misc/electron-cash/default.nix b/pkgs/applications/misc/electron-cash/default.nix
index 7760b57dd3b9..f28d1bf7e043 100644
--- a/pkgs/applications/misc/electron-cash/default.nix
+++ b/pkgs/applications/misc/electron-cash/default.nix
@@ -82,6 +82,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "A Bitcoin Cash SPV Wallet";
+ mainProgram = "electron-cash";
longDescription = ''
An easy-to-use Bitcoin Cash client featuring wallets generated from
mnemonic seeds (in addition to other, more advanced, wallet options)
diff --git a/pkgs/applications/misc/electrum/default.nix b/pkgs/applications/misc/electrum/default.nix
index 91820ce964dd..eb24885ca142 100644
--- a/pkgs/applications/misc/electrum/default.nix
+++ b/pkgs/applications/misc/electrum/default.nix
@@ -9,10 +9,27 @@
, enableQt ? true
, callPackage
, qtwayland
+, fetchPypi
}:
let
- version = "4.5.0";
+ version = "4.5.4";
+
+ python = python3.override {
+ self = python;
+ packageOverrides = self: super: {
+ # Pin ledger-bitcoin to 0.2.1
+ ledger-bitcoin = super.ledger-bitcoin.overridePythonAttrs (oldAttrs: rec {
+ version = "0.2.1";
+ format = "pyproject";
+ src = fetchPypi {
+ pname = "ledger_bitcoin";
+ inherit version;
+ hash = "sha256-AWl/q2MzzspNIo6yf30S92PgM/Ygsb+1lJsg7Asztso=";
+ };
+ });
+ };
+ };
libsecp256k1_name =
if stdenv.isLinux then "libsecp256k1.so.{v}"
@@ -29,34 +46,34 @@ let
owner = "spesmilo";
repo = "electrum";
rev = version;
- sha256 = "sha256-IEKuHUlH+dg+8w+n7XV7hdDOPOFZ/lpUsIlYldwR44Y=";
+ sha256 = "sha256-fDu2PlEQOF7ftlS6dYw15S2XiAx+D/bng4zC9ELj6uk=";
postFetch = ''
mv $out ./all
- mv ./all/electrum/tests $out
+ mv ./all/tests $out
'';
};
in
-python3.pkgs.buildPythonApplication {
+python.pkgs.buildPythonApplication {
pname = "electrum";
inherit version;
src = fetchurl {
url = "https://download.electrum.org/${version}/Electrum-${version}.tar.gz";
- sha256 = "sha256-s4FH8FtPg4wepU/5XI062dAN9fCYR1xJGwrxftCSKzw=";
+ sha256 = "sha256-lDuwXhOjcbCx8x/oIoigrklDwCbhn1trf5lDf/X/1Qc=";
};
postUnpack = ''
# can't symlink, tests get confused
- cp -ar ${tests} $sourceRoot/electrum/tests
+ cp -ar ${tests} $sourceRoot/tests
'';
nativeBuildInputs = lib.optionals enableQt [ wrapQtAppsHook ];
buildInputs = lib.optional (stdenv.isLinux && enableQt) qtwayland;
- propagatedBuildInputs = with python3.pkgs; [
+ propagatedBuildInputs = with python.pkgs; [
aiohttp
aiohttp-socks
aiorpcx
@@ -80,11 +97,18 @@ python3.pkgs.buildPythonApplication {
ckcc-protocol
keepkey
trezor
+ bitbox02
+ cbor
+ pyserial
] ++ lib.optionals enableQt [
pyqt5
qdarkstyle
];
+ checkInputs = with python.pkgs; lib.optionals enableQt [
+ pyqt6
+ ];
+
postPatch = ''
# make compatible with protobuf4 by easing dependencies ...
substituteInPlace ./contrib/requirements/requirements.txt \
@@ -113,9 +137,9 @@ python3.pkgs.buildPythonApplication {
wrapQtApp $out/bin/electrum
'';
- nativeCheckInputs = with python3.pkgs; [ pytestCheckHook pyaes pycryptodomex ];
+ nativeCheckInputs = with python.pkgs; [ pytestCheckHook pyaes pycryptodomex ];
- pytestFlagsArray = [ "electrum/tests" ];
+ pytestFlagsArray = [ "tests" ];
postCheck = ''
$out/bin/electrum help >/dev/null
@@ -136,7 +160,7 @@ python3.pkgs.buildPythonApplication {
changelog = "https://github.com/spesmilo/electrum/blob/master/RELEASE-NOTES";
license = licenses.mit;
platforms = platforms.all;
- maintainers = with maintainers; [ joachifm np prusnak ];
+ maintainers = with maintainers; [ joachifm np prusnak chewblacka ];
mainProgram = "electrum";
};
}
diff --git a/pkgs/applications/misc/electrum/grs.nix b/pkgs/applications/misc/electrum/grs.nix
index 87a85e9f986d..4ca911126c5b 100644
--- a/pkgs/applications/misc/electrum/grs.nix
+++ b/pkgs/applications/misc/electrum/grs.nix
@@ -10,7 +10,7 @@
}:
let
- version = "4.4.4";
+ version = "4.5.4";
libsecp256k1_name =
if stdenv.isLinux then "libsecp256k1.so.{v}"
@@ -32,11 +32,11 @@ python3.pkgs.buildPythonApplication {
owner = "Groestlcoin";
repo = "electrum-grs";
rev = "refs/tags/v${version}";
- sha256 = "0fl01qdvb1z6l6kwipj1lj0qmjk3mzw25wv7yh5j1hh1f5lng0s8";
+ sha256 = "1k078jg3bw4n3kcxy917m30x1skxm679w8hcw8mlxb94ikrjc66h";
};
nativeBuildInputs = lib.optionals enableQt [ wrapQtAppsHook ];
- buildInputs = lib.optional stdenv.isLinux qtwayland;
+ buildInputs = lib.optional (stdenv.isLinux && enableQt) qtwayland;
propagatedBuildInputs = with python3.pkgs; [
aiohttp
@@ -56,17 +56,25 @@ python3.pkgs.buildPythonApplication {
requests
tlslite-ng
certifi
+ jsonpatch
# plugins
btchip-python
ledger-bitcoin
ckcc-protocol
keepkey
trezor
+ bitbox02
+ cbor
+ pyserial
] ++ lib.optionals enableQt [
pyqt5
qdarkstyle
];
+ checkInputs = with python3.pkgs; lib.optionals enableQt [
+ pyqt6
+ ];
+
postPatch = ''
# make compatible with protobuf4 by easing dependencies ...
substituteInPlace ./contrib/requirements/requirements.txt \
@@ -115,5 +123,6 @@ python3.pkgs.buildPythonApplication {
license = licenses.mit;
platforms = platforms.all;
maintainers = with maintainers; [ gruve-p ];
+ mainProgram = "electrum-grs";
};
}
diff --git a/pkgs/applications/misc/electrum/ltc.nix b/pkgs/applications/misc/electrum/ltc.nix
index 844a49974b8f..a85252027e96 100644
--- a/pkgs/applications/misc/electrum/ltc.nix
+++ b/pkgs/applications/misc/electrum/ltc.nix
@@ -127,6 +127,7 @@ python3.pkgs.buildPythonApplication {
meta = with lib; {
description = "Lightweight Litecoin Client";
+ mainProgram = "electrum-ltc";
longDescription = ''
Electrum-LTC is a simple, but powerful Litecoin wallet. A unique secret
phrase (or “seed”) leaves intruders stranded and your peace of mind
@@ -136,6 +137,6 @@ python3.pkgs.buildPythonApplication {
homepage = "https://electrum-ltc.org/";
license = licenses.mit;
platforms = platforms.all;
- maintainers = with maintainers; [ lourkeur ];
+ maintainers = with maintainers; [ bbjubjub ];
};
}
diff --git a/pkgs/applications/misc/electrum/update.nix b/pkgs/applications/misc/electrum/update.nix
index 7a0fb8fd3eb6..509a64378088 100644
--- a/pkgs/applications/misc/electrum/update.nix
+++ b/pkgs/applications/misc/electrum/update.nix
@@ -4,6 +4,7 @@
, bash
, coreutils
, curl
+, fetchurl
, gnugrep
, gnupg
, gnused
@@ -13,7 +14,22 @@
let
downloadPageUrl = "https://download.electrum.org";
- signingKeys = ["6694 D8DE 7BE8 EE56 31BE D950 2BD5 824B 7F94 70E6"];
+ signingKeys = lib.lists.map fetchurl [
+ {
+ url = "https://github.com/spesmilo/electrum/raw/master/pubkeys/Emzy.asc";
+ hash = "sha256-QG0cM6AKlSKFacVlhcso/xvrooUdF7oqoppyezt0hjE=";
+ }
+ {
+ url = "https://github.com/spesmilo/electrum/raw/master/pubkeys/ThomasV.asc";
+ hash = "sha256-37ApVZlI+2EevxQIKXVKVpktt1Ls3UbWq4dfio2ORdo=";
+ }
+ {
+ url = "https://github.com/spesmilo/electrum/raw/master/pubkeys/sombernight_releasekey.asc";
+ hash = "sha256-GgdPJ9TB5hh5SPCcTZURfqXkrU4qwl0dCci52V/wpdQ=";
+ }
+ ];
+
+ gpgImportPaths = lib.concatStringsSep " " signingKeys;
in
writeScript "update-electrum" ''
@@ -48,7 +64,7 @@ sigFile=$srcFile.asc
export GNUPGHOME=$PWD/gnupg
mkdir -m 700 -p "$GNUPGHOME"
-gpg --batch --recv-keys ${lib.concatStringsSep " " (map (x: "'${x}'") signingKeys)}
+gpg --batch --import ${gpgImportPaths}
gpg --batch --verify "$sigFile" "$srcFile"
sha256=$(nix-prefetch-url --type sha256 "file://$PWD/$srcFile")
diff --git a/pkgs/applications/misc/elfx86exts/default.nix b/pkgs/applications/misc/elfx86exts/default.nix
index cf0711ca64e8..7e11d90f5d2e 100644
--- a/pkgs/applications/misc/elfx86exts/default.nix
+++ b/pkgs/applications/misc/elfx86exts/default.nix
@@ -26,5 +26,6 @@ rustPlatform.buildRustPackage rec {
homepage = "https://github.com/pkgw/elfx86exts";
maintainers = with maintainers; [ rmcgibbo ];
license = with licenses; [ mit ];
+ mainProgram = "elfx86exts";
};
}
diff --git a/pkgs/applications/misc/emem/default.nix b/pkgs/applications/misc/emem/default.nix
index 77a8adc69257..ef429ac4c084 100644
--- a/pkgs/applications/misc/emem/default.nix
+++ b/pkgs/applications/misc/emem/default.nix
@@ -35,5 +35,6 @@ EOF
license = licenses.epl10;
maintainers = [ maintainers.ebzzry ];
platforms = platforms.unix;
+ mainProgram = "emem";
};
}
diff --git a/pkgs/applications/misc/epdfview/default.nix b/pkgs/applications/misc/epdfview/default.nix
index 9eea30e74f86..840165dcedee 100644
--- a/pkgs/applications/misc/epdfview/default.nix
+++ b/pkgs/applications/misc/epdfview/default.nix
@@ -37,5 +37,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2;
maintainers = [ maintainers.astsmtl ];
platforms = platforms.linux;
+ mainProgram = "epdfview";
};
}
diff --git a/pkgs/applications/misc/epr/default.nix b/pkgs/applications/misc/epr/default.nix
index 20b2b4ba8d90..5728972f2bd8 100644
--- a/pkgs/applications/misc/epr/default.nix
+++ b/pkgs/applications/misc/epr/default.nix
@@ -13,6 +13,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "CLI Epub Reader";
+ mainProgram = "epr";
homepage = "https://github.com/wustho/epr";
license = licenses.mit;
maintainers = [ maintainers.Br1ght0ne ];
diff --git a/pkgs/applications/misc/etesync-dav/default.nix b/pkgs/applications/misc/etesync-dav/default.nix
index a4bbdc2eb7b3..85ff399ac83f 100644
--- a/pkgs/applications/misc/etesync-dav/default.nix
+++ b/pkgs/applications/misc/etesync-dav/default.nix
@@ -46,6 +46,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
homepage = "https://www.etesync.com/";
description = "Secure, end-to-end encrypted, and privacy respecting sync for contacts, calendars and tasks";
+ mainProgram = "etesync-dav";
license = licenses.gpl3;
maintainers = with maintainers; [ thyol valodim ];
broken = stdenv.isDarwin; # pyobjc-framework-Cocoa is missing
diff --git a/pkgs/applications/misc/evtest-qt/default.nix b/pkgs/applications/misc/evtest-qt/default.nix
index 86f2d0070923..42000afd3d7e 100644
--- a/pkgs/applications/misc/evtest-qt/default.nix
+++ b/pkgs/applications/misc/evtest-qt/default.nix
@@ -1,22 +1,36 @@
-{ mkDerivation, lib, qtbase, cmake, fetchFromGitHub }:
+{ mkDerivation, lib, qtbase, cmake, fetchFromGitHub, fetchpatch, unstableGitUpdater }:
mkDerivation rec {
pname = "evtest-qt";
- version = "0.2.0";
+ version = "0.2.0-unstable-2023-09-13";
src = fetchFromGitHub {
owner = "Grumbel";
repo = pname;
- rev = "v${version}";
- sha256 = "1wfzkgq81764qzxgk0y5vvpxcrb3icvrr4dd4mj8njrqgbwmn0mw";
+ rev = "fb087f4d3d51377790f1ff30681c48031bf23145";
+ hash = "sha256-gE47x1J13YZUVyB0b4VRyESIVCm3GbOXp2bX0TP97UU=";
+ fetchSubmodules = true;
};
+ patches = [
+ # Fix build against gcc-13:
+ # https://github.com/Grumbel/evtest-qt/pull/14
+ (fetchpatch {
+ name = "gcc-13.patch";
+ url = "https://github.com/Grumbel/evtest-qt/commit/975dedcfd60853bd329f34d48ce4740add8866eb.patch";
+ hash = "sha256-gR/9oVhO4G9i7dn+CjvDAQN0KLXoX/fatpE0W3gXDc0=";
+ })
+ ];
+
nativeBuildInputs = [ cmake ];
buildInputs = [ qtbase ];
+ passthru.updateScript = unstableGitUpdater {};
+
meta = with lib; {
description = "Simple input device tester for linux with Qt GUI";
+ mainProgram = "evtest-qt";
homepage = "https://github.com/Grumbel/evtest-qt";
maintainers = with maintainers; [ alexarice ];
platforms = platforms.linux;
diff --git a/pkgs/applications/misc/evtest/default.nix b/pkgs/applications/misc/evtest/default.nix
index 0e4a0f5be00e..39f8ea8c0f83 100644
--- a/pkgs/applications/misc/evtest/default.nix
+++ b/pkgs/applications/misc/evtest/default.nix
@@ -18,5 +18,6 @@ stdenv.mkDerivation rec {
license = lib.licenses.gpl2;
platforms = platforms.linux;
maintainers = [ maintainers.bjornfor ];
+ mainProgram = "evtest";
};
}
diff --git a/pkgs/applications/misc/exercism/default.nix b/pkgs/applications/misc/exercism/default.nix
index aa54b29dab1c..d91f35980404 100644
--- a/pkgs/applications/misc/exercism/default.nix
+++ b/pkgs/applications/misc/exercism/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "exercism";
- version = "3.2.0";
+ version = "3.3.0";
src = fetchFromGitHub {
owner = "exercism";
repo = "cli";
rev = "refs/tags/v${version}";
- hash = "sha256-+DXmbbs9oo667o5P0OVcfBMMIvyBzEAdbrq9i+U7p0k=";
+ hash = "sha256-Mtb5c1/k8kp7bETOSE0X969BV176jpoprr1/mQ3E4Vg=";
};
- vendorHash = "sha256-wQGnGshsRJLe3niHDoyr3BTxbwrV3L66EjJ8x633uHY=";
+ vendorHash = "sha256-fnsSvbuVGRAndU88su2Ck7mV8QBDhxozdmwI3XGtxcA=";
doCheck = false;
@@ -24,5 +24,6 @@ buildGoModule rec {
description = "A Go based command line tool for exercism.io";
license = licenses.mit;
maintainers = [ maintainers.rbasso maintainers.nobbz ];
+ mainProgram = "exercism";
};
}
diff --git a/pkgs/applications/misc/expenses/default.nix b/pkgs/applications/misc/expenses/default.nix
index 220cd1178564..0bca959b8b04 100644
--- a/pkgs/applications/misc/expenses/default.nix
+++ b/pkgs/applications/misc/expenses/default.nix
@@ -40,5 +40,6 @@ buildGoModule rec {
description = "An interactive command line expense logger";
license = licenses.mit;
maintainers = [ maintainers.manojkarthick ];
+ mainProgram = "expenses";
};
}
diff --git a/pkgs/applications/misc/extract_url/default.nix b/pkgs/applications/misc/extract_url/default.nix
index 35ea33bde3a2..b47fca90b9f6 100644
--- a/pkgs/applications/misc/extract_url/default.nix
+++ b/pkgs/applications/misc/extract_url/default.nix
@@ -34,6 +34,7 @@ in stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://www.memoryhole.net/~kyle/extract_url/";
description = "Extracts URLs from MIME messages or plain text";
+ mainProgram = "extract_url";
license = licenses.bsd2;
maintainers = [ maintainers.qyliss ];
platforms = platforms.unix;
diff --git a/pkgs/applications/misc/faircamp/Cargo.lock b/pkgs/applications/misc/faircamp/Cargo.lock
index 4371f7dc12c9..3c9a2765b2a2 100644
--- a/pkgs/applications/misc/faircamp/Cargo.lock
+++ b/pkgs/applications/misc/faircamp/Cargo.lock
@@ -21,16 +21,15 @@ dependencies = [
[[package]]
name = "actix-files"
-version = "0.6.2"
+version = "0.6.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d832782fac6ca7369a70c9ee9a20554623c5e51c76e190ad151780ebea1cf689"
+checksum = "bf0bdd6ff79de7c9a021f5d9ea79ce23e108d8bfc9b49b5b4a2cf6fad5a35212"
dependencies = [
"actix-http",
"actix-service",
"actix-utils",
"actix-web",
- "askama_escape",
- "bitflags 1.3.2",
+ "bitflags 2.4.1",
"bytes",
"derive_more",
"futures-core",
@@ -40,13 +39,14 @@ dependencies = [
"mime_guess",
"percent-encoding",
"pin-project-lite",
+ "v_htmlescape",
]
[[package]]
name = "actix-http"
-version = "3.4.0"
+version = "3.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a92ef85799cba03f76e4f7c10f533e66d87c9a7e7055f3391f09000ad8351bc9"
+checksum = "d223b13fd481fc0d1f83bb12659ae774d9e3601814c68a0bc539731698cca743"
dependencies = [
"actix-codec",
"actix-rt",
@@ -78,7 +78,7 @@ dependencies = [
"tokio",
"tokio-util",
"tracing",
- "zstd 0.12.4",
+ "zstd 0.13.0",
]
[[package]]
@@ -88,7 +88,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "e01ed3140b2f8d422c68afa1ed2e85d996ea619c988ac834d255db32138655cb"
dependencies = [
"quote",
- "syn 2.0.39",
+ "syn 2.0.48",
]
[[package]]
@@ -154,9 +154,9 @@ dependencies = [
[[package]]
name = "actix-web"
-version = "4.4.0"
+version = "4.5.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0e4a5b5e29603ca8c94a77c65cf874718ceb60292c5a5c3e5f4ace041af462b9"
+checksum = "43a6556ddebb638c2358714d853257ed226ece6023ef9364f23f0c70737ea984"
dependencies = [
"actix-codec",
"actix-http",
@@ -201,7 +201,7 @@ dependencies = [
"actix-router",
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.48",
]
[[package]]
@@ -252,6 +252,15 @@ dependencies = [
"memchr",
]
+[[package]]
+name = "alac"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "498a34d3cad5f3b23cc217ab489424ebcfffed186e30ad5ac02624e50df2c2b8"
+dependencies = [
+ "mp4parse 0.10.1",
+]
+
[[package]]
name = "alloc-no-stdlib"
version = "2.0.4"
@@ -284,9 +293,9 @@ dependencies = [
[[package]]
name = "anstream"
-version = "0.6.4"
+version = "0.6.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2ab91ebe16eb252986481c5b62f6098f3b698a45e34b5b98200cf20dd2484a44"
+checksum = "6e2e1ebcb11de5c03c67de28a7df593d32191b44939c482e97702baaaa6ab6a5"
dependencies = [
"anstyle",
"anstyle-parse",
@@ -342,12 +351,6 @@ version = "1.2.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "fe8e2a586ecd6eb29477a0c25b19742acca4fa5e39c92e127656616810c20579"
-[[package]]
-name = "askama_escape"
-version = "0.10.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "619743e34b5ba4e9703bba34deac3427c72507c7159f5fd030aea8cac0cfe341"
-
[[package]]
name = "atomic-polyfill"
version = "0.1.11"
@@ -397,9 +400,9 @@ dependencies = [
[[package]]
name = "base64"
-version = "0.21.5"
+version = "0.21.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "35636a1494ede3b646cc98f74f8e62c773a38a659ebc777a2cf26b9b74171df9"
+checksum = "9d297deb1925b89f2ccc13d7635fa0714f12c87adce1c75356b39ca9b7178567"
[[package]]
name = "base64ct"
@@ -434,6 +437,15 @@ version = "2.4.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "327762f6e5a765692301e5bb513e0d9fef63be86bbc14528052b1cd3e6f03e07"
+[[package]]
+name = "bitreader"
+version = "0.3.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bdd859c9d97f7c468252795b35aeccc412bdbb1e90ee6969c4fa6328272eaeff"
+dependencies = [
+ "cfg-if",
+]
+
[[package]]
name = "block-buffer"
version = "0.10.4"
@@ -542,9 +554,9 @@ checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd"
[[package]]
name = "chrono"
-version = "0.4.31"
+version = "0.4.33"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7f2c685bad3eb3d45a01354cedb7d5faa66194d1d58ba6e267a8de788f79db38"
+checksum = "9f13690e35a5e4ace198e7beea2895d29f3a9cc55015fcebe6336bd2010af9eb"
dependencies = [
"android-tzdata",
"iana-time-zone",
@@ -552,7 +564,7 @@ dependencies = [
"num-traits",
"serde",
"wasm-bindgen",
- "windows-targets 0.48.5",
+ "windows-targets 0.52.0",
]
[[package]]
@@ -567,9 +579,9 @@ dependencies = [
[[package]]
name = "clap"
-version = "4.4.10"
+version = "4.4.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "41fffed7514f420abec6d183b1d3acfd9099c79c3a10a06ade4f8203f1411272"
+checksum = "1e578d6ec4194633722ccf9544794b71b1385c3c027efe0c55db226fc880865c"
dependencies = [
"clap_builder",
"clap_derive",
@@ -577,9 +589,9 @@ dependencies = [
[[package]]
name = "clap_builder"
-version = "4.4.9"
+version = "4.4.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "63361bae7eef3771745f02d8d892bec2fee5f6e34af316ba556e7f97a7069ff1"
+checksum = "4df4df40ec50c46000231c914968278b1eb05098cf8f1b3a518a95030e71d1c7"
dependencies = [
"anstream",
"anstyle",
@@ -596,7 +608,7 @@ dependencies = [
"heck",
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.48",
]
[[package]]
@@ -810,8 +822,8 @@ dependencies = [
[[package]]
name = "enolib"
-version = "0.4.0"
-source = "git+https://codeberg.org/simonrepp/enolib-rs?tag=0.4.0#dc22b9114b210a6f3e16815c0d1d43bcbed551d5"
+version = "0.4.1"
+source = "git+https://codeberg.org/simonrepp/enolib-rs?tag=0.4.1#1ca85dc8af9f74f65b199b2ab6c5c94f5b119b93"
[[package]]
name = "equivalent"
@@ -837,10 +849,11 @@ dependencies = [
[[package]]
name = "faircamp"
-version = "0.12.0"
+version = "0.13.0"
dependencies = [
"actix-files",
"actix-web",
+ "alac",
"base64",
"bincode",
"chrono",
@@ -855,8 +868,9 @@ dependencies = [
"lewton",
"libvips",
"metaflac",
+ "mp4parse 0.17.0",
"nanoid",
- "ogg 0.9.0",
+ "ogg 0.9.1",
"opus",
"opus_headers",
"pacmog",
@@ -873,6 +887,15 @@ dependencies = [
"zip",
]
+[[package]]
+name = "fallible_collections"
+version = "0.4.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a88c69768c0a15262df21899142bc6df9b9b823546d4b4b9a7bc2d6c448ec6fd"
+dependencies = [
+ "hashbrown 0.13.2",
+]
+
[[package]]
name = "fdeflate"
version = "0.3.1"
@@ -1003,9 +1026,9 @@ checksum = "4271d37baee1b8c7e4b708028c57d816cf9d2434acb33a549475f78c181f6253"
[[package]]
name = "h2"
-version = "0.3.22"
+version = "0.3.24"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4d6250322ef6e60f93f9a2162799302cd6f68f79f6e5d85c8c16f14d1d958178"
+checksum = "bb2c4422095b67ee78da96fbb51a4cc413b3b25883c7717ff7ca1ab31022c9c9"
dependencies = [
"bytes",
"fnv",
@@ -1039,6 +1062,15 @@ dependencies = [
"byteorder",
]
+[[package]]
+name = "hashbrown"
+version = "0.13.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "43a3c133739dddd0d2990f9a4bdf8eb4b21ef50e4851ca85ab661199821d510e"
+dependencies = [
+ "ahash",
+]
+
[[package]]
name = "hashbrown"
version = "0.14.3"
@@ -1154,9 +1186,9 @@ dependencies = [
[[package]]
name = "id3"
-version = "1.10.0"
+version = "1.12.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bf8550abe8a02c044e8f4162c8ddb4020fc65b5e7771ad9b80c25e13ed4536b6"
+checksum = "2ba0a11a3cf6f08d58a5629531bdb4e7c3b8b595e9812a31a7058b1176c4631e"
dependencies = [
"bitflags 2.4.1",
"byteorder",
@@ -1175,9 +1207,9 @@ dependencies = [
[[package]]
name = "image"
-version = "0.24.7"
+version = "0.24.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6f3dfdbdd72063086ff443e297b61695500514b1e41095b6fb9a5ab48a70a711"
+checksum = "034bbe799d1909622a74d1193aa50147769440040ff36cb2baa947609b0a4e23"
dependencies = [
"bytemuck",
"byteorder",
@@ -1185,7 +1217,6 @@ dependencies = [
"exr",
"gif",
"jpeg-decoder",
- "num-rational",
"num-traits",
"png",
"qoi",
@@ -1199,7 +1230,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "d530e1a18b1cb4c484e6e34556a0d948706958449fca0cab753d649f2bce3d1f"
dependencies = [
"equivalent",
- "hashbrown",
+ "hashbrown 0.14.3",
]
[[package]]
@@ -1447,6 +1478,32 @@ dependencies = [
"windows-sys 0.48.0",
]
+[[package]]
+name = "mp4parse"
+version = "0.10.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7316728464443fe5793a805dde3257864e9690cf46374daff3ce93de1df2f254"
+dependencies = [
+ "bitreader",
+ "byteorder",
+ "log",
+ "num-traits",
+]
+
+[[package]]
+name = "mp4parse"
+version = "0.17.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "63a35203d3c6ce92d5251c77520acb2e57108c88728695aa883f70023624c570"
+dependencies = [
+ "bitreader",
+ "byteorder",
+ "fallible_collections",
+ "log",
+ "num-traits",
+ "static_assertions",
+]
+
[[package]]
name = "nanoid"
version = "0.4.0"
@@ -1492,27 +1549,6 @@ dependencies = [
"syn 1.0.109",
]
-[[package]]
-name = "num-integer"
-version = "0.1.45"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "225d3389fb3509a24c93f5c29eb6bde2586b98d9f016636dff58d7c6f7569cd9"
-dependencies = [
- "autocfg",
- "num-traits",
-]
-
-[[package]]
-name = "num-rational"
-version = "0.4.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0638a1c9d0a3c0914158145bc76cff373a75a627e6ecbfb71cbe6f453a5a19b0"
-dependencies = [
- "autocfg",
- "num-integer",
- "num-traits",
-]
-
[[package]]
name = "num-traits"
version = "0.2.17"
@@ -1561,9 +1597,9 @@ dependencies = [
[[package]]
name = "ogg"
-version = "0.9.0"
+version = "0.9.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "960d0efc0531a452c442c777288f704b300a5f743c04a14eba71f9aabc4897ac"
+checksum = "5477016638150530ba21dec7caac835b29ef69b20865751d2973fce6be386cf1"
dependencies = [
"byteorder",
]
@@ -1678,7 +1714,7 @@ checksum = "4359fd9c9171ec6e8c62926d6faaf553a8dc3f64e1507e76da7911b4f6a04405"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.48",
]
[[package]]
@@ -1726,24 +1762,31 @@ checksum = "5b40af805b3121feab8a3c29f04d8ad262fa8e0561883e7653e024ae4479e6de"
[[package]]
name = "proc-macro2"
-version = "1.0.70"
+version = "1.0.78"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "39278fbbf5fb4f646ce651690877f89d1c5811a3d4acb27700c1cb3cdb78fd3b"
+checksum = "e2422ad645d89c99f8f3e6b88a9fdeca7fabeac836b1002371c4367c8f984aae"
dependencies = [
"unicode-ident",
]
[[package]]
name = "pulldown-cmark"
-version = "0.9.3"
+version = "0.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "77a1a2f1f0a7ecff9c31abbe177637be0e97a0aef46cf8738ece09327985d998"
+checksum = "dce76ce678ffc8e5675b22aa1405de0b7037e2fdf8913fea40d1926c6fe1e6e7"
dependencies = [
- "bitflags 1.3.2",
+ "bitflags 2.4.1",
"memchr",
+ "pulldown-cmark-escape",
"unicase",
]
+[[package]]
+name = "pulldown-cmark-escape"
+version = "0.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d5d8f9aa0e3cbcfaf8bf00300004ee3b72f74770f9cbac93f6928771f613276b"
+
[[package]]
name = "qoi"
version = "0.4.1"
@@ -1755,9 +1798,9 @@ dependencies = [
[[package]]
name = "quote"
-version = "1.0.33"
+version = "1.0.35"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5267fca4496028628a95160fc423a33e8b2e6af8a5302579e322e4b520293cae"
+checksum = "291ec9ab5efd934aaf503a6466c5d5251535d108ee747472c3977cc5acc868ef"
dependencies = [
"proc-macro2",
]
@@ -1920,22 +1963,22 @@ checksum = "836fa6a3e1e547f9a2c4040802ec865b5d85f4014efe00555d7090a3dcaa1090"
[[package]]
name = "serde"
-version = "1.0.193"
+version = "1.0.196"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "25dd9975e68d0cb5aa1120c288333fc98731bd1dd12f561e468ea4728c042b89"
+checksum = "870026e60fa08c69f064aa766c10f10b1d62db9ccd4d0abb206472bee0ce3b32"
dependencies = [
"serde_derive",
]
[[package]]
name = "serde_derive"
-version = "1.0.193"
+version = "1.0.196"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "43576ca501357b9b071ac53cdc7da8ef0cbd9493d8df094cd821777ea6e894d3"
+checksum = "33c85360c95e7d137454dc81d9a4ed2b8efd8fbe19cee57357b32b9771fccb67"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.48",
]
[[package]]
@@ -2048,6 +2091,12 @@ version = "1.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "a8f112729512f8e442d81f95a8a7ddf2b7c6b8a1a6f509a95864142b30cab2d3"
+[[package]]
+name = "static_assertions"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a2eb9349b6444b326872e140eb1cf5e7c522154d69e7a0ffb0fb81c06b37543f"
+
[[package]]
name = "strsim"
version = "0.10.0"
@@ -2073,9 +2122,9 @@ dependencies = [
[[package]]
name = "syn"
-version = "2.0.39"
+version = "2.0.48"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "23e78b90f2fcf45d3e842032ce32e3f2d1545ba6636271dcbf24fa306d87be7a"
+checksum = "0f3531638e407dfc0814761abb7c00a5b54992b849452a0646b7f65c9f770f3f"
dependencies = [
"proc-macro2",
"quote",
@@ -2099,7 +2148,7 @@ checksum = "266b2e40bc00e5a6c09c3584011e08b06f123c00362c92b975ba9843aaaa14b8"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.48",
]
[[package]]
@@ -2159,9 +2208,9 @@ checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20"
[[package]]
name = "tokio"
-version = "1.34.0"
+version = "1.36.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d0c014766411e834f7af5b8f4cf46257aab4036ca95e9d2c144a10f59ad6f5b9"
+checksum = "61285f6515fa018fb2d1e46eb21223fff441ee8db5d0f1435e8ab4f5cdb80931"
dependencies = [
"backtrace",
"bytes",
@@ -2184,7 +2233,7 @@ checksum = "5b8a1e28f2deaa14e508979454cb3a223b10b938b45af148bc0986de36f1923b"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.48",
]
[[package]]
@@ -2280,6 +2329,12 @@ version = "0.2.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "711b9620af191e0cdc7468a8d14e709c3dcdb115b36f838e601583af800a370a"
+[[package]]
+name = "v_htmlescape"
+version = "0.15.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4e8257fbc510f0a46eb602c10215901938b5c2a7d5e70fc11483b1d3c9b5b18c"
+
[[package]]
name = "version_check"
version = "0.9.4"
@@ -2323,7 +2378,7 @@ dependencies = [
"once_cell",
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.48",
"wasm-bindgen-shared",
]
@@ -2345,7 +2400,7 @@ checksum = "f0eb82fcb7930ae6219a7ecfd55b217f5f0893484b7a13022ebb2b2bf20b5283"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.48",
"wasm-bindgen-backend",
"wasm-bindgen-shared",
]
@@ -2477,6 +2532,21 @@ dependencies = [
"windows_x86_64_msvc 0.48.5",
]
+[[package]]
+name = "windows-targets"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8a18201040b24831fbb9e4eb208f8892e1f50a37feb53cc7ff887feb8f50e7cd"
+dependencies = [
+ "windows_aarch64_gnullvm 0.52.0",
+ "windows_aarch64_msvc 0.52.0",
+ "windows_i686_gnu 0.52.0",
+ "windows_i686_msvc 0.52.0",
+ "windows_x86_64_gnu 0.52.0",
+ "windows_x86_64_gnullvm 0.52.0",
+ "windows_x86_64_msvc 0.52.0",
+]
+
[[package]]
name = "windows_aarch64_gnullvm"
version = "0.42.2"
@@ -2489,6 +2559,12 @@ version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "2b38e32f0abccf9987a4e3079dfb67dcd799fb61361e53e2882c3cbaf0d905d8"
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cb7764e35d4db8a7921e09562a0304bf2f93e0a51bfccee0bd0bb0b666b015ea"
+
[[package]]
name = "windows_aarch64_msvc"
version = "0.42.2"
@@ -2501,6 +2577,12 @@ version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "dc35310971f3b2dbbf3f0690a219f40e2d9afcf64f9ab7cc1be722937c26b4bc"
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bbaa0368d4f1d2aaefc55b6fcfee13f41544ddf36801e793edbbfd7d7df075ef"
+
[[package]]
name = "windows_i686_gnu"
version = "0.42.2"
@@ -2513,6 +2595,12 @@ version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "a75915e7def60c94dcef72200b9a8e58e5091744960da64ec734a6c6e9b3743e"
+[[package]]
+name = "windows_i686_gnu"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a28637cb1fa3560a16915793afb20081aba2c92ee8af57b4d5f28e4b3e7df313"
+
[[package]]
name = "windows_i686_msvc"
version = "0.42.2"
@@ -2525,6 +2613,12 @@ version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "8f55c233f70c4b27f66c523580f78f1004e8b5a8b659e05a4eb49d4166cca406"
+[[package]]
+name = "windows_i686_msvc"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ffe5e8e31046ce6230cc7215707b816e339ff4d4d67c65dffa206fd0f7aa7b9a"
+
[[package]]
name = "windows_x86_64_gnu"
version = "0.42.2"
@@ -2537,6 +2631,12 @@ version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "53d40abd2583d23e4718fddf1ebec84dbff8381c07cae67ff7768bbf19c6718e"
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3d6fa32db2bc4a2f5abeacf2b69f7992cd09dca97498da74a151a3132c26befd"
+
[[package]]
name = "windows_x86_64_gnullvm"
version = "0.42.2"
@@ -2549,6 +2649,12 @@ version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "0b7b52767868a23d5bab768e390dc5f5c55825b6d30b86c844ff2dc7414044cc"
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1a657e1e9d3f514745a572a6846d3c7aa7dbe1658c056ed9c3344c4109a6949e"
+
[[package]]
name = "windows_x86_64_msvc"
version = "0.42.2"
@@ -2561,6 +2667,12 @@ version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "ed94fce61571a4006852b7389a063ab983c02eb1bb37b47f8272ce92d06d9538"
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dff9641d1cd4be8d1a070daf9e3773c5f67e78b4d9d42263020c057706765c04"
+
[[package]]
name = "zerocopy"
version = "0.7.27"
@@ -2578,7 +2690,7 @@ checksum = "e1012d89e3acb79fad7a799ce96866cfb8098b74638465ea1b1533d35900ca90"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.48",
]
[[package]]
@@ -2612,11 +2724,11 @@ dependencies = [
[[package]]
name = "zstd"
-version = "0.12.4"
+version = "0.13.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1a27595e173641171fc74a1232b7b1c7a7cb6e18222c11e9dfb9888fa424c53c"
+checksum = "bffb3309596d527cfcba7dfc6ed6052f1d39dfbd7c867aa2e865e4a449c10110"
dependencies = [
- "zstd-safe 6.0.6",
+ "zstd-safe 7.0.0",
]
[[package]]
@@ -2631,11 +2743,10 @@ dependencies = [
[[package]]
name = "zstd-safe"
-version = "6.0.6"
+version = "7.0.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ee98ffd0b48ee95e6c5168188e44a54550b1564d9d530ee21d5f0eaed1069581"
+checksum = "43747c7422e2924c11144d5229878b98180ef8b06cca4ab5af37afc8a8d8ea3e"
dependencies = [
- "libc",
"zstd-sys",
]
diff --git a/pkgs/applications/misc/faircamp/default.nix b/pkgs/applications/misc/faircamp/default.nix
index 497c6e7d58fc..9aa92101a1cc 100644
--- a/pkgs/applications/misc/faircamp/default.nix
+++ b/pkgs/applications/misc/faircamp/default.nix
@@ -16,20 +16,20 @@
rustPlatform.buildRustPackage rec {
pname = "faircamp";
- version = "0.12.0";
+ version = "0.13.0";
src = fetchFromGitea {
domain = "codeberg.org";
owner = "simonrepp";
repo = "faircamp";
rev = version;
- hash = "sha256-AYvykiPdVeWMEoDgPHlTHDcPrk3VgNQJFXulbO/3Ars=";
+ hash = "sha256-Q0jsqOWeXEfekegxYvq3oIIuVMGyeKL1no2Jh4JByD0=";
};
cargoLock = {
lockFile = ./Cargo.lock;
outputHashes = {
- "enolib-0.4.0" = "sha256-lfvQHdQSHAzeOULrvIj7MIYtNaIwc0bXC5q1KK9UGvU=";
+ "enolib-0.4.1" = "sha256-Uz9AXksD3YO6PjSr29RZCQjdoPiFBTXecbE0fluA0LU=";
};
};
@@ -60,6 +60,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "A self-hostable, statically generated bandcamp alternative";
+ mainProgram = "faircamp";
longDescription = ''
Faircamp takes a directory on your disk - your Catalog - and from it
produces a fancy-looking (and technically simple and completely static)
diff --git a/pkgs/applications/misc/far2l/default.nix b/pkgs/applications/misc/far2l/default.nix
index bca4a1d86a72..ad2cc905d9cf 100644
--- a/pkgs/applications/misc/far2l/default.nix
+++ b/pkgs/applications/misc/far2l/default.nix
@@ -14,13 +14,13 @@
stdenv.mkDerivation rec {
pname = "far2l";
- version = "2.5.3";
+ version = "2.6.0";
src = fetchFromGitHub {
owner = "elfmz";
repo = "far2l";
rev = "v_${version}";
- sha256 = "sha256-aK6+7ChFAkeDiEYU2llBb//PBej2Its/wBeuG7ys/ew=";
+ sha256 = "sha256-fLBWHhvfqEiaZkFyNs8CKr5vFMQ5mrbo/X3oGwJmFoo=";
};
nativeBuildInputs = [ cmake ninja pkg-config m4 perl makeWrapper ];
diff --git a/pkgs/applications/misc/fbmenugen/default.nix b/pkgs/applications/misc/fbmenugen/default.nix
index 161991f03264..90d3f3b01424 100644
--- a/pkgs/applications/misc/fbmenugen/default.nix
+++ b/pkgs/applications/misc/fbmenugen/default.nix
@@ -71,6 +71,7 @@ perlPackages.buildPerlPackage rec {
meta = with lib; {
homepage = "https://github.com/trizen/fbmenugen";
description = "Simple menu generator for the Fluxbox Window Manager";
+ mainProgram = "fbmenugen";
license = licenses.gpl3Only;
platforms = platforms.linux;
maintainers = [ maintainers.romildo ];
diff --git a/pkgs/applications/misc/fead/default.nix b/pkgs/applications/misc/fead/default.nix
index bfd645c43313..73907a175881 100644
--- a/pkgs/applications/misc/fead/default.nix
+++ b/pkgs/applications/misc/fead/default.nix
@@ -33,5 +33,6 @@ stdenv.mkDerivation rec {
license = licenses.agpl3Plus;
changelog = "https://git.sr.ht/~cnx/fead/refs/${version}";
maintainers = with maintainers; [ McSinyx ];
+ mainProgram = "fead";
};
}
diff --git a/pkgs/applications/misc/fehlstart/default.nix b/pkgs/applications/misc/fehlstart/default.nix
index 69050ca661f9..4d3903bbb6ec 100644
--- a/pkgs/applications/misc/fehlstart/default.nix
+++ b/pkgs/applications/misc/fehlstart/default.nix
@@ -25,5 +25,6 @@ stdenv.mkDerivation {
license = licenses.gpl3;
maintainers = [ maintainers.mounium ];
platforms = platforms.all;
+ mainProgram = "fehlstart";
};
}
diff --git a/pkgs/applications/misc/fetchmail/default.nix b/pkgs/applications/misc/fetchmail/default.nix
index c6280232b8e9..e1a3e8e405b6 100644
--- a/pkgs/applications/misc/fetchmail/default.nix
+++ b/pkgs/applications/misc/fetchmail/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "fetchmail";
- version = "6.4.37";
+ version = "6.4.38";
src = fetchurl {
url = "mirror://sourceforge/fetchmail/fetchmail-${version}.tar.xz";
- sha256 = "sha256-ShguXYk+mr5qw3rnHlQmUfzm1gYjT8c1wqquGGV+aeo=";
+ sha256 = "sha256-pstOqGOsYdJC/7LbVko5EjdhV40+QNcc57bykFvmCdk=";
};
buildInputs = [ openssl python3 ];
diff --git a/pkgs/applications/misc/ff2mpv/default.nix b/pkgs/applications/misc/ff2mpv/default.nix
index 2a1ff0458a5d..a87e84ea3892 100644
--- a/pkgs/applications/misc/ff2mpv/default.nix
+++ b/pkgs/applications/misc/ff2mpv/default.nix
@@ -30,5 +30,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/woodruffw/ff2mpv";
license = lib.licenses.mit;
maintainers = with lib.maintainers; [ Enzime ];
+ mainProgram = "ff2mpv.py";
};
}
diff --git a/pkgs/applications/misc/fff/default.nix b/pkgs/applications/misc/fff/default.nix
index a3f791940cbf..351796a036d2 100644
--- a/pkgs/applications/misc/fff/default.nix
+++ b/pkgs/applications/misc/fff/default.nix
@@ -25,6 +25,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Fucking Fast File-Manager";
+ mainProgram = "fff";
homepage = "https://github.com/dylanaraps/fff";
license = licenses.mit;
maintainers = [ maintainers.tadeokondrak ];
diff --git a/pkgs/applications/misc/filet/default.nix b/pkgs/applications/misc/filet/default.nix
index 0ee3f6fb221a..b13f24be307d 100644
--- a/pkgs/applications/misc/filet/default.nix
+++ b/pkgs/applications/misc/filet/default.nix
@@ -19,5 +19,6 @@ stdenv.mkDerivation rec {
license = licenses.mpl20;
platforms = platforms.all;
maintainers = with maintainers; [ buffet ];
+ mainProgram = "filet";
};
}
diff --git a/pkgs/applications/misc/firefly-desktop/default.nix b/pkgs/applications/misc/firefly-desktop/default.nix
index f039a31a9eb9..9df2118b02fb 100644
--- a/pkgs/applications/misc/firefly-desktop/default.nix
+++ b/pkgs/applications/misc/firefly-desktop/default.nix
@@ -30,5 +30,6 @@ in appimageTools.wrapType2 {
license = licenses.asl20;
maintainers = with maintainers; [ wolfangaukang ];
platforms = [ "x86_64-linux" ];
+ mainProgram = "firefly-desktop";
};
}
diff --git a/pkgs/applications/misc/firestarter/default.nix b/pkgs/applications/misc/firestarter/default.nix
index 4e8e4ff61306..a8372b643bd3 100644
--- a/pkgs/applications/misc/firestarter/default.nix
+++ b/pkgs/applications/misc/firestarter/default.nix
@@ -105,5 +105,6 @@ stdenv.mkDerivation rec {
platforms = platforms.linux;
maintainers = with maintainers; [ astro marenz ];
license = licenses.gpl3;
+ mainProgram = "FIRESTARTER";
};
}
diff --git a/pkgs/applications/misc/flamerobin/default.nix b/pkgs/applications/misc/flamerobin/default.nix
index 5fd805994a6e..de0f06fa5a00 100644
--- a/pkgs/applications/misc/flamerobin/default.nix
+++ b/pkgs/applications/misc/flamerobin/default.nix
@@ -43,5 +43,6 @@ stdenv.mkDerivation rec {
license = licenses.bsdOriginal;
maintainers = with maintainers; [ uralbash ];
platforms = platforms.unix;
+ mainProgram = "flamerobin";
};
}
diff --git a/pkgs/applications/misc/flavours/default.nix b/pkgs/applications/misc/flavours/default.nix
index db625793adc7..ca3c5956e3c2 100644
--- a/pkgs/applications/misc/flavours/default.nix
+++ b/pkgs/applications/misc/flavours/default.nix
@@ -30,5 +30,6 @@ rustPlatform.buildRustPackage rec {
changelog = "https://github.com/Misterio77/flavours/releases/tag/v${version}";
license = licenses.mit;
maintainers = with maintainers; [ moni misterio77 ];
+ mainProgram = "flavours";
};
}
diff --git a/pkgs/applications/misc/flowtime/default.nix b/pkgs/applications/misc/flowtime/default.nix
index 6637cb878191..06962d75452b 100644
--- a/pkgs/applications/misc/flowtime/default.nix
+++ b/pkgs/applications/misc/flowtime/default.nix
@@ -48,6 +48,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Get what motivates you done, without losing concentration";
+ mainProgram = "flowtime";
homepage = "https://github.com/Diego-Ivan/Flowtime";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ foo-dogsquared pokon548 ];
diff --git a/pkgs/applications/misc/fluidd/default.nix b/pkgs/applications/misc/fluidd/default.nix
index b364bc31bbf2..d77c658f9617 100644
--- a/pkgs/applications/misc/fluidd/default.nix
+++ b/pkgs/applications/misc/fluidd/default.nix
@@ -2,12 +2,12 @@
stdenvNoCC.mkDerivation rec {
pname = "fluidd";
- version = "1.27.1";
+ version = "1.28.1";
src = fetchurl {
name = "fluidd-v${version}.zip";
url = "https://github.com/cadriel/fluidd/releases/download/v${version}/fluidd.zip";
- sha256 = "sha256-yBxbN6Pd92HjhJ0wMaTDXETcdV4a795wAhv06JcYjJM=";
+ sha256 = "sha256-mLi0Nvy26PRusdzVrwzuj7UcYN+NGLap+fEAYMpm48w=";
};
nativeBuildInputs = [ unzip ];
diff --git a/pkgs/applications/misc/fluxboxlauncher/default.nix b/pkgs/applications/misc/fluxboxlauncher/default.nix
index 719f08db6bcd..19e70ad7c54c 100644
--- a/pkgs/applications/misc/fluxboxlauncher/default.nix
+++ b/pkgs/applications/misc/fluxboxlauncher/default.nix
@@ -53,6 +53,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "A Gui editor (gtk) to configure applications launching on a fluxbox session";
+ mainProgram = "fluxboxlauncher";
homepage = "https://github.com/mothsART/fluxboxlauncher";
maintainers = with maintainers; [ mothsart ];
license = licenses.bsdOriginal;
diff --git a/pkgs/applications/misc/font-manager/default.nix b/pkgs/applications/misc/font-manager/default.nix
index 40cbeaea6130..309d8fa2faf5 100644
--- a/pkgs/applications/misc/font-manager/default.nix
+++ b/pkgs/applications/misc/font-manager/default.nix
@@ -74,6 +74,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://fontmanager.github.io/";
description = "Simple font management for GTK desktop environments";
+ mainProgram = "font-manager";
longDescription = ''
Font Manager is intended to provide a way for average users to
easily manage desktop fonts, without having to resort to command
diff --git a/pkgs/applications/misc/freemind/default.nix b/pkgs/applications/misc/freemind/default.nix
index ff84ecdf55f0..0bdf8df306a8 100644
--- a/pkgs/applications/misc/freemind/default.nix
+++ b/pkgs/applications/misc/freemind/default.nix
@@ -35,6 +35,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Mind-mapping software";
+ mainProgram = "freemind";
homepage = "https://freemind.sourceforge.net/wiki/index.php/Main_Page";
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/misc/freeplane/default.nix b/pkgs/applications/misc/freeplane/default.nix
index 4c790c5e814f..77918baff376 100644
--- a/pkgs/applications/misc/freeplane/default.nix
+++ b/pkgs/applications/misc/freeplane/default.nix
@@ -147,5 +147,6 @@ in stdenv.mkDerivation rec {
license = licenses.gpl2Plus;
platforms = platforms.linux;
maintainers = with maintainers; [ chaduffy ];
+ mainProgram = "freeplane";
};
}
diff --git a/pkgs/applications/misc/fsv/default.nix b/pkgs/applications/misc/fsv/default.nix
index 9ffd520a7d56..e49610116f71 100644
--- a/pkgs/applications/misc/fsv/default.nix
+++ b/pkgs/applications/misc/fsv/default.nix
@@ -57,5 +57,6 @@ stdenv.mkDerivation rec {
license = licenses.lgpl2;
platforms = platforms.linux;
maintainers = with maintainers; [ rnhmjoj ];
+ mainProgram = "fsv";
};
}
diff --git a/pkgs/applications/misc/furtherance/default.nix b/pkgs/applications/misc/furtherance/default.nix
index f6fea4c633d0..15616f32bebd 100644
--- a/pkgs/applications/misc/furtherance/default.nix
+++ b/pkgs/applications/misc/furtherance/default.nix
@@ -43,6 +43,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "Track your time without being tracked";
+ mainProgram = "furtherance";
homepage = "https://github.com/lakoliu/Furtherance";
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/misc/fusee-interfacee-tk/default.nix b/pkgs/applications/misc/fusee-interfacee-tk/default.nix
index 813b8113ee30..c69b7c0633f4 100644
--- a/pkgs/applications/misc/fusee-interfacee-tk/default.nix
+++ b/pkgs/applications/misc/fusee-interfacee-tk/default.nix
@@ -32,6 +32,7 @@ in stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/nh-server/fusee-interfacee-tk";
description = "A tool to send .bin files to a Nintendo Switch in RCM mode";
+ mainProgram = "fusee-interfacee-tk";
longDescription = "A mod of falquinhos Fusée Launcher for use with Nintendo Homebrew Switch Guide. It also adds the ability to mount SD while in RCM.
Must be run as sudo.";
maintainers = with maintainers; [ kristian-brucaj ];
diff --git a/pkgs/applications/misc/gImageReader/default.nix b/pkgs/applications/misc/gImageReader/default.nix
index 04efe6f52dcd..bc293b455b2a 100644
--- a/pkgs/applications/misc/gImageReader/default.nix
+++ b/pkgs/applications/misc/gImageReader/default.nix
@@ -62,6 +62,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A simple Gtk/Qt front-end to tesseract-ocr";
+ mainProgram = "gimagereader-gtk";
homepage = "https://github.com/manisandro/gImageReader";
license = licenses.gpl3Plus;
maintainers = with maintainers; [teto];
diff --git a/pkgs/applications/misc/galculator/default.nix b/pkgs/applications/misc/galculator/default.nix
index a96cbb566106..9128906fe9c5 100644
--- a/pkgs/applications/misc/galculator/default.nix
+++ b/pkgs/applications/misc/galculator/default.nix
@@ -44,5 +44,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2Plus;
maintainers = [ maintainers.AndersonTorres ];
platforms = platforms.linux;
+ mainProgram = "galculator";
};
}
diff --git a/pkgs/applications/misc/gallery-dl/default.nix b/pkgs/applications/misc/gallery-dl/default.nix
index 772bd2d7a77b..09c0cdd0d1c4 100644
--- a/pkgs/applications/misc/gallery-dl/default.nix
+++ b/pkgs/applications/misc/gallery-dl/default.nix
@@ -2,13 +2,13 @@
buildPythonApplication rec {
pname = "gallery-dl";
- version = "1.26.7";
+ version = "1.26.8";
format = "setuptools";
src = fetchPypi {
inherit version;
pname = "gallery_dl";
- sha256 = "sha256-+aoXcxJVBp9nXKS+3+CG7XkDMemSgvExMXtnR2FDhYs=";
+ sha256 = "sha256-tfNmKgWKr2TGQNgvC/qo2+Dvij4LUL0Zy77mfTcci2k=";
};
propagatedBuildInputs = [
diff --git a/pkgs/applications/misc/ganttproject-bin/default.nix b/pkgs/applications/misc/ganttproject-bin/default.nix
index 985a67ab963f..abf63bece807 100644
--- a/pkgs/applications/misc/ganttproject-bin/default.nix
+++ b/pkgs/applications/misc/ganttproject-bin/default.nix
@@ -54,5 +54,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl3Plus;
platforms = platforms.linux;
maintainers = [ maintainers.vidbina ];
+ mainProgram = "ganttproject";
};
}
diff --git a/pkgs/applications/misc/gcalcli/default.nix b/pkgs/applications/misc/gcalcli/default.nix
index 90b4883ec290..47950616578e 100644
--- a/pkgs/applications/misc/gcalcli/default.nix
+++ b/pkgs/applications/misc/gcalcli/default.nix
@@ -30,6 +30,7 @@ buildPythonApplication rec {
meta = with lib; {
description = "CLI for Google Calendar";
+ mainProgram = "gcalcli";
homepage = "https://github.com/insanum/gcalcli";
license = licenses.mit;
maintainers = with maintainers; [ nocoolnametom ];
diff --git a/pkgs/applications/misc/gcfflasher/default.nix b/pkgs/applications/misc/gcfflasher/default.nix
index 917a66576017..b9a49e172329 100644
--- a/pkgs/applications/misc/gcfflasher/default.nix
+++ b/pkgs/applications/misc/gcfflasher/default.nix
@@ -8,13 +8,13 @@
stdenv.mkDerivation rec {
pname = "gcfflasher";
- version = "4.3.0-beta";
+ version = "4.4.0";
src = fetchFromGitHub {
owner = "dresden-elektronik";
repo = pname;
rev = "refs/tags/v${version}";
- hash = "sha256-H1CZ7rAM1QpdmSnUpvg6ytln/0MQKju/C4aIk3xl0PA=";
+ hash = "sha256-o0S4NtgQ/Rlpmkk8pTocmP0N+5F7BX8lUsczOKjujwk=";
};
nativeBuildInputs = [
@@ -38,5 +38,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/dresden-elektronik/gcfflasher";
maintainers = with maintainers; [ fleaz ];
platforms = platforms.all;
+ mainProgram = "GCFFlasher";
};
}
diff --git a/pkgs/applications/misc/gcstar/default.nix b/pkgs/applications/misc/gcstar/default.nix
index 4a6b45469d27..0f6478f50fcd 100644
--- a/pkgs/applications/misc/gcstar/default.nix
+++ b/pkgs/applications/misc/gcstar/default.nix
@@ -59,6 +59,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://gitlab.com/Kerenoc/GCstar";
description = "Manage your collections of movies, games, books, music and more";
+ mainProgram = "gcstar";
longDescription = ''
GCstar is an application for managing your collections.
It supports many types of collections, including movies, books, games, comics, stamps, coins, and many more.
diff --git a/pkgs/applications/misc/geek-life/default.nix b/pkgs/applications/misc/geek-life/default.nix
index ae0fa298594d..0e8e3899bb2a 100644
--- a/pkgs/applications/misc/geek-life/default.nix
+++ b/pkgs/applications/misc/geek-life/default.nix
@@ -22,5 +22,6 @@ buildGoModule rec {
description = "The Todo List / Task Manager for Geeks in command line";
maintainers = with maintainers; [ noisersup ];
license = licenses.mit;
+ mainProgram = "geek-life";
};
}
diff --git a/pkgs/applications/misc/genact/default.nix b/pkgs/applications/misc/genact/default.nix
index c508b3400e24..43dee3baca4b 100644
--- a/pkgs/applications/misc/genact/default.nix
+++ b/pkgs/applications/misc/genact/default.nix
@@ -2,16 +2,16 @@
rustPlatform.buildRustPackage rec {
pname = "genact";
- version = "1.3.0";
+ version = "1.4.2";
src = fetchFromGitHub {
owner = "svenstaro";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-iPDIbfbRNhgmTQHw9gNczXTcUaJ0dQpBDHg5ZOQQJ4M=";
+ sha256 = "sha256-Rn9kJWutWKPj9cLu2ZJKITmC+I8/ikhCAoIp00Yg6ZA=";
};
- cargoHash = "sha256-Hg8Xlcx0j70Z8IwlJPCwm+qhurXjtKGLI3ZUCeHL1KY=";
+ cargoHash = "sha256-kmXtwS5pCLEq5dbNHtWYGzDKjOUlVlr5xippVd2wl8k=";
nativeBuildInputs = [ installShellFiles ];
@@ -31,5 +31,6 @@ rustPlatform.buildRustPackage rec {
changelog = "https://github.com/svenstaro/genact/blob/v${version}/CHANGELOG.md";
license = licenses.mit;
maintainers = with maintainers; [ figsoda ];
+ mainProgram = "genact";
};
}
diff --git a/pkgs/applications/misc/geoipupdate/default.nix b/pkgs/applications/misc/geoipupdate/default.nix
index 2d0bd2ca594c..939205017fe7 100644
--- a/pkgs/applications/misc/geoipupdate/default.nix
+++ b/pkgs/applications/misc/geoipupdate/default.nix
@@ -22,5 +22,6 @@ buildGoModule rec {
homepage = "https://github.com/maxmind/geoipupdate";
license = with licenses; [ asl20 ];
maintainers = teams.helsinki-systems.members;
+ mainProgram = "geoipupdate";
};
}
diff --git a/pkgs/applications/misc/get_iplayer/default.nix b/pkgs/applications/misc/get_iplayer/default.nix
index 12d056b01ec6..4f455d901c2d 100644
--- a/pkgs/applications/misc/get_iplayer/default.nix
+++ b/pkgs/applications/misc/get_iplayer/default.nix
@@ -46,6 +46,7 @@ perlPackages.buildPerlPackage rec {
meta = with lib; {
description = "Downloads TV and radio programmes from BBC iPlayer and BBC Sounds";
+ mainProgram = "get_iplayer";
license = licenses.gpl3Plus;
homepage = "https://github.com/get-iplayer/get_iplayer";
platforms = platforms.all;
diff --git a/pkgs/applications/misc/ghosttohugo/default.nix b/pkgs/applications/misc/ghosttohugo/default.nix
index 29091a8447c2..5ec5cc6870ca 100644
--- a/pkgs/applications/misc/ghosttohugo/default.nix
+++ b/pkgs/applications/misc/ghosttohugo/default.nix
@@ -21,5 +21,6 @@ buildGoModule rec {
homepage = "https://github.com/jbarone/ghostToHugo";
license = licenses.mit;
maintainers = with maintainers; [ clerie ];
+ mainProgram = "ghostToHugo";
};
}
diff --git a/pkgs/applications/misc/gimoji/default.nix b/pkgs/applications/misc/gimoji/default.nix
index e16d7312f5df..71582e3acc39 100644
--- a/pkgs/applications/misc/gimoji/default.nix
+++ b/pkgs/applications/misc/gimoji/default.nix
@@ -7,16 +7,16 @@
rustPlatform.buildRustPackage rec {
pname = "gimoji";
- version = "0.7.3";
+ version = "1.1.0";
src = fetchFromGitHub {
owner = "zeenix";
repo = "gimoji";
rev = version;
- hash = "sha256-xQ02jmPuu1IHkQCCJn2FVPcJRbwN+k8FhsZyDX0oHaw=";
+ hash = "sha256-0mLFrFxMbX9Gl72W3EC7kfXHqDBo5QU+ut+1psntphY=";
};
- cargoHash = "sha256-DSLIH6swVQXHrqKBxlrhNTG5maRmUi6Ndmuuv0Vo3Ak=";
+ cargoHash = "sha256-pKHhYWEF9L0UX9hc2Ga3WOUWzISA8ONwn3rcI9u2/n0=";
buildInputs = lib.optionals stdenv.isDarwin [
darwin.apple_sdk.frameworks.AppKit
diff --git a/pkgs/applications/misc/girara/default.nix b/pkgs/applications/misc/girara/default.nix
index 18cfd01229ae..d33560c4f16a 100644
--- a/pkgs/applications/misc/girara/default.nix
+++ b/pkgs/applications/misc/girara/default.nix
@@ -18,7 +18,7 @@
stdenv.mkDerivation rec {
pname = "girara";
- version = "0.4.2";
+ version = "0.4.3";
outputs = [ "out" "dev" ];
@@ -27,7 +27,7 @@ stdenv.mkDerivation rec {
owner = "pwmt";
repo = "girara";
rev = version;
- hash = "sha256-/9pj6gB46sKIilImDGdJ8H7UHip/z5ckZWZnJLw/0YU=";
+ hash = "sha256-/bJXdLXksTxUFC3w7zuBZY6Zh7tJxUJVbS87ENDQbDE=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/misc/glava/default.nix b/pkgs/applications/misc/glava/default.nix
index 14173fc7057f..df5d6138d35f 100644
--- a/pkgs/applications/misc/glava/default.nix
+++ b/pkgs/applications/misc/glava/default.nix
@@ -80,6 +80,7 @@ in
description = ''
OpenGL audio spectrum visualizer
'';
+ mainProgram = "glava";
homepage = "https://github.com/wacossusca34/glava";
platforms = platforms.linux;
license = licenses.gpl3;
diff --git a/pkgs/applications/misc/gmnitohtml/default.nix b/pkgs/applications/misc/gmnitohtml/default.nix
index 463d1d50ab54..837379890b4c 100644
--- a/pkgs/applications/misc/gmnitohtml/default.nix
+++ b/pkgs/applications/misc/gmnitohtml/default.nix
@@ -42,5 +42,6 @@ buildGoModule rec {
'';
license = licenses.mit;
maintainers = with maintainers; [ jk sikmir ];
+ mainProgram = "gmnitohtml";
};
}
diff --git a/pkgs/applications/misc/gmrun/default.nix b/pkgs/applications/misc/gmrun/default.nix
index bd99adf94359..e71b1a6484d3 100644
--- a/pkgs/applications/misc/gmrun/default.nix
+++ b/pkgs/applications/misc/gmrun/default.nix
@@ -38,5 +38,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2;
maintainers = [];
platforms = platforms.all;
+ mainProgram = "gmrun";
};
}
diff --git a/pkgs/applications/misc/gmtp/default.nix b/pkgs/applications/misc/gmtp/default.nix
index a8465538b50a..31c62f53423c 100644
--- a/pkgs/applications/misc/gmtp/default.nix
+++ b/pkgs/applications/misc/gmtp/default.nix
@@ -30,6 +30,7 @@ stdenv.mkDerivation {
meta = {
description = "A simple MP3 and Media player client for UNIX and UNIX like systems";
+ mainProgram = "gmtp";
homepage = "https://gmtp.sourceforge.io";
platforms = lib.platforms.linux;
maintainers = [ ];
diff --git a/pkgs/applications/misc/gnome-firmware/default.nix b/pkgs/applications/misc/gnome-firmware/default.nix
index defaad0d2c97..4f94a5bc7f6b 100644
--- a/pkgs/applications/misc/gnome-firmware/default.nix
+++ b/pkgs/applications/misc/gnome-firmware/default.nix
@@ -61,6 +61,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://gitlab.gnome.org/World/gnome-firmware";
description = "Tool for installing firmware on devices";
+ mainProgram = "gnome-firmware";
license = licenses.gpl2Plus;
maintainers = teams.gnome.members;
platforms = platforms.linux;
diff --git a/pkgs/applications/misc/gnome-multi-writer/default.nix b/pkgs/applications/misc/gnome-multi-writer/default.nix
index 31d81a332790..b4cca2f3bf63 100644
--- a/pkgs/applications/misc/gnome-multi-writer/default.nix
+++ b/pkgs/applications/misc/gnome-multi-writer/default.nix
@@ -54,6 +54,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Tool for writing an ISO file to multiple USB devices at once";
+ mainProgram = "gnome-multi-writer";
homepage = "https://wiki.gnome.org/Apps/MultiWriter";
license = licenses.gpl2Plus;
maintainers = teams.gnome.members;
diff --git a/pkgs/applications/misc/gnome-recipes/default.nix b/pkgs/applications/misc/gnome-recipes/default.nix
index 818ef8fb96a0..dc4df70bc00e 100644
--- a/pkgs/applications/misc/gnome-recipes/default.nix
+++ b/pkgs/applications/misc/gnome-recipes/default.nix
@@ -69,6 +69,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Recipe management application for GNOME";
+ mainProgram = "gnome-recipes";
homepage = "https://wiki.gnome.org/Apps/Recipes";
maintainers = teams.gnome.members;
license = licenses.gpl3Plus;
diff --git a/pkgs/applications/misc/gnome-usage/default.nix b/pkgs/applications/misc/gnome-usage/default.nix
index 179facc64fd5..c969c92ffdd2 100644
--- a/pkgs/applications/misc/gnome-usage/default.nix
+++ b/pkgs/applications/misc/gnome-usage/default.nix
@@ -60,6 +60,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A nice way to view information about use of system resources, like memory and disk space";
+ mainProgram = "gnome-usage";
homepage = "https://gitlab.gnome.org/GNOME/gnome-usage";
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/misc/go-org/default.nix b/pkgs/applications/misc/go-org/default.nix
index 6ab70d0fe307..8aa5a3be717b 100644
--- a/pkgs/applications/misc/go-org/default.nix
+++ b/pkgs/applications/misc/go-org/default.nix
@@ -22,5 +22,6 @@ buildGoModule rec {
homepage = "https://niklasfasching.github.io/go-org";
license = licenses.mit;
maintainers = with maintainers; [ payas ];
+ mainProgram = "go-org";
};
}
diff --git a/pkgs/applications/misc/golden-cheetah/default.nix b/pkgs/applications/misc/golden-cheetah/default.nix
index 1345dc51593d..9cc9b3bf6d47 100644
--- a/pkgs/applications/misc/golden-cheetah/default.nix
+++ b/pkgs/applications/misc/golden-cheetah/default.nix
@@ -76,6 +76,7 @@ in mkDerivation rec {
meta = {
description = "Performance software for cyclists, runners and triathletes. Built from source and without API tokens";
+ mainProgram = "GoldenCheetah";
platforms = lib.platforms.linux;
maintainers = with lib.maintainers; [ adamcstephens ];
license = lib.licenses.gpl2Plus;
diff --git a/pkgs/applications/misc/gollum/default.nix b/pkgs/applications/misc/gollum/default.nix
index cafebfad39a2..a345896ca8d9 100644
--- a/pkgs/applications/misc/gollum/default.nix
+++ b/pkgs/applications/misc/gollum/default.nix
@@ -19,5 +19,6 @@ bundlerApp rec {
license = licenses.mit;
maintainers = with maintainers; [ erictapen jgillich nicknovitski bbenno ];
platforms = platforms.unix;
+ mainProgram = "gollum";
};
}
diff --git a/pkgs/applications/misc/gomatrix/default.nix b/pkgs/applications/misc/gomatrix/default.nix
index 2b9ee3a15553..001b57d06eec 100644
--- a/pkgs/applications/misc/gomatrix/default.nix
+++ b/pkgs/applications/misc/gomatrix/default.nix
@@ -20,5 +20,6 @@ buildGoModule rec {
license = licenses.bsd2;
maintainers = with maintainers; [ skykanin ];
homepage = "https://github.com/GeertJohan/gomatrix";
+ mainProgram = "gomatrix";
};
}
diff --git a/pkgs/applications/misc/googler/default.nix b/pkgs/applications/misc/googler/default.nix
index a7cd5ec64abd..9e204ed636f3 100644
--- a/pkgs/applications/misc/googler/default.nix
+++ b/pkgs/applications/misc/googler/default.nix
@@ -29,5 +29,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl3Plus;
maintainers = with maintainers; [ koral Br1ght0ne ];
platforms = python.meta.platforms;
+ mainProgram = "googler";
};
}
diff --git a/pkgs/applications/misc/gopacked/default.nix b/pkgs/applications/misc/gopacked/default.nix
index 1af03a1528a2..aef599323b81 100644
--- a/pkgs/applications/misc/gopacked/default.nix
+++ b/pkgs/applications/misc/gopacked/default.nix
@@ -17,7 +17,7 @@ buildGoModule rec {
meta = with lib; {
description = "A simple text-based Minecraft modpack manager";
- license = licenses.agpl3;
+ license = licenses.agpl3Plus;
homepage = src.meta.homepage;
maintainers = with maintainers; [ ];
};
diff --git a/pkgs/applications/misc/gostatic/default.nix b/pkgs/applications/misc/gostatic/default.nix
index 240df1a91363..b7967f6f4e4f 100644
--- a/pkgs/applications/misc/gostatic/default.nix
+++ b/pkgs/applications/misc/gostatic/default.nix
@@ -21,5 +21,6 @@ buildGoModule rec {
homepage = "https://github.com/piranha/gostatic";
license = licenses.isc;
maintainers = with maintainers; [ urandom ];
+ mainProgram = "gostatic";
};
}
diff --git a/pkgs/applications/misc/gpa/default.nix b/pkgs/applications/misc/gpa/default.nix
index 0d567dd79a10..cf6a915e29c1 100644
--- a/pkgs/applications/misc/gpa/default.nix
+++ b/pkgs/applications/misc/gpa/default.nix
@@ -17,5 +17,6 @@ stdenv.mkDerivation rec {
homepage = "https://www.gnupg.org/related_software/gpa/";
license = licenses.gpl3Plus;
platforms = platforms.unix;
+ mainProgram = "gpa";
};
}
diff --git a/pkgs/applications/misc/gphoto2/default.nix b/pkgs/applications/misc/gphoto2/default.nix
index b65904006751..96eca30a4f49 100644
--- a/pkgs/applications/misc/gphoto2/default.nix
+++ b/pkgs/applications/misc/gphoto2/default.nix
@@ -46,5 +46,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2Plus;
platforms = platforms.unix;
maintainers = [ maintainers.jcumming ];
+ mainProgram = "gphoto2";
};
}
diff --git a/pkgs/applications/misc/gphoto2/gphotofs.nix b/pkgs/applications/misc/gphoto2/gphotofs.nix
index afb02c9937f9..0f1e60ff0165 100644
--- a/pkgs/applications/misc/gphoto2/gphotofs.nix
+++ b/pkgs/applications/misc/gphoto2/gphotofs.nix
@@ -15,6 +15,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Fuse FS to mount a digital camera";
+ mainProgram = "gphotofs";
homepage = "http://www.gphoto.org/";
maintainers = [ maintainers.raskin ];
platforms = platforms.linux;
diff --git a/pkgs/applications/misc/gpsbabel/default.nix b/pkgs/applications/misc/gpsbabel/default.nix
index 0898c3ccee9a..fbacfb6984c6 100644
--- a/pkgs/applications/misc/gpsbabel/default.nix
+++ b/pkgs/applications/misc/gpsbabel/default.nix
@@ -114,5 +114,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2Plus;
platforms = platforms.unix;
maintainers = with maintainers; [ sikmir ];
+ mainProgram = "gpsbabel";
};
}
diff --git a/pkgs/applications/misc/gpsprune/default.nix b/pkgs/applications/misc/gpsprune/default.nix
index 8ea6091894e8..293c3c5691ec 100644
--- a/pkgs/applications/misc/gpsprune/default.nix
+++ b/pkgs/applications/misc/gpsprune/default.nix
@@ -50,5 +50,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2Plus;
maintainers = with maintainers; [ rycee ];
platforms = platforms.all;
+ mainProgram = "gpsprune";
};
}
diff --git a/pkgs/applications/misc/gpu-burn/default.nix b/pkgs/applications/misc/gpu-burn/default.nix
index 4d6abb585c30..fe7bcf3dcb71 100644
--- a/pkgs/applications/misc/gpu-burn/default.nix
+++ b/pkgs/applications/misc/gpu-burn/default.nix
@@ -41,5 +41,6 @@ stdenv.mkDerivation {
platforms = platforms.linux;
maintainers = with maintainers; [ elohmeier ];
license = licenses.bsd2;
+ mainProgram = "gpu_burn";
};
}
diff --git a/pkgs/applications/misc/gpu-viewer/default.nix b/pkgs/applications/misc/gpu-viewer/default.nix
index 03ca5ee9ec17..5d703f7d6e37 100644
--- a/pkgs/applications/misc/gpu-viewer/default.nix
+++ b/pkgs/applications/misc/gpu-viewer/default.nix
@@ -71,5 +71,6 @@ python3.pkgs.buildPythonApplication rec {
maintainers = with maintainers; [ GaetanLepage ];
license = licenses.gpl3;
platforms = platforms.linux;
+ mainProgram = "gpu-viewer";
};
}
diff --git a/pkgs/applications/misc/gpx-viewer/default.nix b/pkgs/applications/misc/gpx-viewer/default.nix
index 887a469397e1..9a18c9d5c9d9 100644
--- a/pkgs/applications/misc/gpx-viewer/default.nix
+++ b/pkgs/applications/misc/gpx-viewer/default.nix
@@ -52,6 +52,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://blog.sarine.nl/tag/gpxviewer/";
description = "Simple tool to visualize tracks and waypoints stored in a gpx file";
+ mainProgram = "gpx-viewer";
changelog = "https://github.com/DaveDavenport/gpx-viewer/blob/${src.rev}/NEWS";
platforms = with platforms; linux;
license = licenses.gpl2Plus;
diff --git a/pkgs/applications/misc/gpxlab/default.nix b/pkgs/applications/misc/gpxlab/default.nix
index 9d3d7c27ec8c..18c31afef6b5 100644
--- a/pkgs/applications/misc/gpxlab/default.nix
+++ b/pkgs/applications/misc/gpxlab/default.nix
@@ -27,6 +27,7 @@ mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/BourgeoisLab/GPXLab";
description = "Program to show and manipulate GPS tracks";
+ mainProgram = "gpxlab";
longDescription = ''
GPXLab is an application to display and manage GPS tracks
previously recorded with a GPS tracker.
diff --git a/pkgs/applications/misc/gpxsee/default.nix b/pkgs/applications/misc/gpxsee/default.nix
index fc756f7cd747..227177d14369 100644
--- a/pkgs/applications/misc/gpxsee/default.nix
+++ b/pkgs/applications/misc/gpxsee/default.nix
@@ -18,13 +18,13 @@ let
in
stdenv.mkDerivation (finalAttrs: {
pname = "gpxsee";
- version = "13.15";
+ version = "13.17";
src = fetchFromGitHub {
owner = "tumic0";
repo = "GPXSee";
rev = finalAttrs.version;
- hash = "sha256-+JxxJKHOCz1Ccii27II4L4owo/qvb7RQ6STqJ+PEEBA=";
+ hash = "sha256-pk6PMQDPvyfUS5PMRu6pz/QrRrOfbq9oGsMk0ZDawDM=";
};
buildInputs = [
@@ -63,6 +63,7 @@ stdenv.mkDerivation (finalAttrs: {
broken = isQt6 && stdenv.isDarwin;
changelog = "https://build.opensuse.org/package/view_file/home:tumic:GPXSee/gpxsee/gpxsee.changes";
description = "GPS log file viewer and analyzer";
+ mainProgram = "gpxsee";
homepage = "https://www.gpxsee.org/";
license = lib.licenses.gpl3Only;
longDescription = ''
diff --git a/pkgs/applications/misc/gramps/default.nix b/pkgs/applications/misc/gramps/default.nix
index 7539b22087c3..bd0690b381a9 100644
--- a/pkgs/applications/misc/gramps/default.nix
+++ b/pkgs/applications/misc/gramps/default.nix
@@ -109,6 +109,7 @@ buildPythonApplication rec {
meta = with lib; {
description = "Genealogy software";
+ mainProgram = "gramps";
homepage = "https://gramps-project.org";
maintainers = with maintainers; [ jk pinpox ];
changelog = "https://github.com/gramps-project/gramps/blob/v${version}/ChangeLog";
diff --git a/pkgs/applications/misc/grb/default.nix b/pkgs/applications/misc/grb/default.nix
index 0a3d3dfd83b9..9d4774b42a2c 100644
--- a/pkgs/applications/misc/grb/default.nix
+++ b/pkgs/applications/misc/grb/default.nix
@@ -20,5 +20,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/LukeSmithxyz/grb";
license = licenses.publicDomain;
maintainers = [ maintainers.cafkafk ];
+ mainProgram = "grb";
};
}
diff --git a/pkgs/applications/misc/green-pdfviewer/default.nix b/pkgs/applications/misc/green-pdfviewer/default.nix
index fe21631c0d67..9f649b07ff8c 100644
--- a/pkgs/applications/misc/green-pdfviewer/default.nix
+++ b/pkgs/applications/misc/green-pdfviewer/default.nix
@@ -34,5 +34,6 @@ stdenv.mkDerivation {
platforms = platforms.unix;
license = licenses.gpl3;
maintainers = [ maintainers.vrthra ];
+ mainProgram = "green";
};
}
diff --git a/pkgs/applications/misc/gremlin-console/default.nix b/pkgs/applications/misc/gremlin-console/default.nix
index 367387f54ec8..ee7d7d3a95b9 100644
--- a/pkgs/applications/misc/gremlin-console/default.nix
+++ b/pkgs/applications/misc/gremlin-console/default.nix
@@ -27,5 +27,6 @@ stdenv.mkDerivation rec {
license = licenses.asl20;
maintainers = [ maintainers.lewo ];
platforms = platforms.all;
+ mainProgram = "gremlin-console";
};
}
diff --git a/pkgs/applications/misc/gremlin-server/default.nix b/pkgs/applications/misc/gremlin-server/default.nix
index 82b7bf1e9fe0..00cd3bf79091 100644
--- a/pkgs/applications/misc/gremlin-server/default.nix
+++ b/pkgs/applications/misc/gremlin-server/default.nix
@@ -29,5 +29,6 @@ stdenv.mkDerivation rec {
license = licenses.asl20;
maintainers = [ maintainers.jrpotter ];
platforms = platforms.all;
+ mainProgram = "gremlin-server";
};
}
diff --git a/pkgs/applications/misc/grip/default.nix b/pkgs/applications/misc/grip/default.nix
index 281f8dec2272..f993cb387b74 100644
--- a/pkgs/applications/misc/grip/default.nix
+++ b/pkgs/applications/misc/grip/default.nix
@@ -34,5 +34,6 @@ stdenv.mkDerivation rec {
maintainers = with lib.maintainers; [ marcweber ];
platforms = lib.platforms.linux;
+ mainProgram = "grip";
};
}
diff --git a/pkgs/applications/misc/gsctl/default.nix b/pkgs/applications/misc/gsctl/default.nix
index 31f8881127d1..1e88b837f4a8 100644
--- a/pkgs/applications/misc/gsctl/default.nix
+++ b/pkgs/applications/misc/gsctl/default.nix
@@ -38,5 +38,6 @@ buildGoModule rec {
homepage = "https://github.com/giantswarm/gsctl";
license = licenses.asl20;
maintainers = with maintainers; [ joesalisbury ];
+ mainProgram = "gsctl";
};
}
diff --git a/pkgs/applications/misc/gsimplecal/default.nix b/pkgs/applications/misc/gsimplecal/default.nix
index 2cb72575a4bf..224f2f359d77 100644
--- a/pkgs/applications/misc/gsimplecal/default.nix
+++ b/pkgs/applications/misc/gsimplecal/default.nix
@@ -40,5 +40,6 @@ stdenv.mkDerivation rec {
license = lib.licenses.bsd3;
maintainers = [ lib.maintainers.romildo ];
platforms = lib.platforms.linux;
+ mainProgram = "gsimplecal";
};
}
diff --git a/pkgs/applications/misc/gtk2fontsel/default.nix b/pkgs/applications/misc/gtk2fontsel/default.nix
index 53344338bd03..83be4fe82393 100644
--- a/pkgs/applications/misc/gtk2fontsel/default.nix
+++ b/pkgs/applications/misc/gtk2fontsel/default.nix
@@ -25,5 +25,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2;
maintainers = [ maintainers.prikhi ];
platforms = platforms.linux;
+ mainProgram = "gtk2fontsel";
};
}
diff --git a/pkgs/applications/misc/gummi/default.nix b/pkgs/applications/misc/gummi/default.nix
index d9e0204be322..7131c5cd1227 100644
--- a/pkgs/applications/misc/gummi/default.nix
+++ b/pkgs/applications/misc/gummi/default.nix
@@ -29,6 +29,7 @@ stdenv.mkDerivation rec {
meta = {
homepage = "https://gummi.app";
description = "Simple LaTex editor for GTK users";
+ mainProgram = "gummi";
license = lib.licenses.mit;
maintainers = with lib.maintainers; [ flokli ];
platforms = with lib.platforms; linux;
diff --git a/pkgs/applications/misc/gxkb/default.nix b/pkgs/applications/misc/gxkb/default.nix
index 350e33144760..201cbdb0a11a 100644
--- a/pkgs/applications/misc/gxkb/default.nix
+++ b/pkgs/applications/misc/gxkb/default.nix
@@ -25,5 +25,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2Plus;
maintainers = [ maintainers.omgbebebe ];
platforms = platforms.linux;
+ mainProgram = "gxkb";
};
}
diff --git a/pkgs/applications/misc/gxmessage/default.nix b/pkgs/applications/misc/gxmessage/default.nix
index fb172eb22b01..ae5c7d1d07a4 100644
--- a/pkgs/applications/misc/gxmessage/default.nix
+++ b/pkgs/applications/misc/gxmessage/default.nix
@@ -18,5 +18,6 @@ stdenv.mkDerivation rec {
license = lib.licenses.gpl3Plus;
maintainers = with lib.maintainers; [jfb];
platforms = with lib.platforms; linux;
+ mainProgram = "gxmessage";
};
}
diff --git a/pkgs/applications/misc/gxneur/default.nix b/pkgs/applications/misc/gxneur/default.nix
index 53873af2b07a..0bfc3ce91f65 100644
--- a/pkgs/applications/misc/gxneur/default.nix
+++ b/pkgs/applications/misc/gxneur/default.nix
@@ -31,5 +31,6 @@ stdenv.mkDerivation rec {
description = "GUI for XNEUR keyboard layout switcher";
platforms = platforms.linux;
license = with licenses; [ gpl2 gpl3 ];
+ mainProgram = "gxneur";
};
}
diff --git a/pkgs/applications/misc/hamster/default.nix b/pkgs/applications/misc/hamster/default.nix
index 5f7b51bb6ee6..c425014e24ed 100644
--- a/pkgs/applications/misc/hamster/default.nix
+++ b/pkgs/applications/misc/hamster/default.nix
@@ -51,6 +51,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "Time tracking application";
+ mainProgram = "hamster";
homepage = "http://projecthamster.org/";
license = licenses.gpl3Plus;
platforms = platforms.all;
diff --git a/pkgs/applications/misc/harsh/default.nix b/pkgs/applications/misc/harsh/default.nix
index 068a03d41cfb..fe6ec4f677aa 100644
--- a/pkgs/applications/misc/harsh/default.nix
+++ b/pkgs/applications/misc/harsh/default.nix
@@ -5,16 +5,16 @@
buildGoModule rec {
pname = "harsh";
- version = "0.9.1";
+ version = "0.9.2";
src = fetchFromGitHub {
owner = "wakatara";
repo = pname;
rev = "v${version}";
- hash = "sha256-MpKfUvDqwkvPsnjTxR3fohzYfSLQ2Nx25czYOE8LpK4=";
+ hash = "sha256-NgYmzRoJCoFpfo4NXnQKCp/gvN9g076Y9Pq8CnMrC/s=";
};
- vendorHash = "sha256-zjLXq64uC5iRm9uxUGDW5127z25gNSVV2qhVVXuYqY0=";
+ vendorHash = "sha256-Xzyu6jy4sbZPZv0EIksA2snlsivc0jp02QoOYpmFtQw=";
meta = with lib; {
description = "CLI habit tracking for geeks";
@@ -22,5 +22,6 @@ buildGoModule rec {
changelog = "https://github.com/wakatara/harsh/releases/tag/v${version}";
license = licenses.mit;
maintainers = with maintainers; [ laurailway ];
+ mainProgram = "harsh";
};
}
diff --git a/pkgs/applications/misc/has/default.nix b/pkgs/applications/misc/has/default.nix
index 144d8073ff0e..a18a996f92aa 100644
--- a/pkgs/applications/misc/has/default.nix
+++ b/pkgs/applications/misc/has/default.nix
@@ -25,5 +25,6 @@ stdenvNoCC.mkDerivation (finalAttrs: rec {
license = licenses.mit;
maintainers = with maintainers; [ Freed-Wu ];
platforms = platforms.unix;
+ mainProgram = "has";
};
})
diff --git a/pkgs/applications/misc/hcl2json/default.nix b/pkgs/applications/misc/hcl2json/default.nix
index d2c2bb319f8b..40bfede8551f 100644
--- a/pkgs/applications/misc/hcl2json/default.nix
+++ b/pkgs/applications/misc/hcl2json/default.nix
@@ -5,16 +5,16 @@
buildGoModule rec {
pname = "hcl2json";
- version = "0.6.1";
+ version = "0.6.2";
src = fetchFromGitHub {
owner = "tmccombs";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-6DCxpnTizTg3uhHIIze2IyA8IKcjIv44XoId7exdQZI=";
+ sha256 = "sha256-lnmWIyS1byXvShR1/ej8PAuo+WJBEBykQwJ79439Fus=";
};
- vendorHash = "sha256-Ay6Sgdm7X+NxtLkFM0AT8aoWLdASjUhcidRUiV2K+us=";
+ vendorHash = "sha256-HbdectUQgyQZ9qcfBarwRTF3VjzSqaM2vhVekThv2+k=";
subPackages = [ "." ];
@@ -23,5 +23,6 @@ buildGoModule rec {
homepage = "https://github.com/tmccombs/hcl2json";
license = licenses.asl20;
maintainers = with maintainers; [ jonringer ];
+ mainProgram = "hcl2json";
};
}
diff --git a/pkgs/applications/misc/heimer/default.nix b/pkgs/applications/misc/heimer/default.nix
index 69f45cb407b9..b044c7fedbed 100644
--- a/pkgs/applications/misc/heimer/default.nix
+++ b/pkgs/applications/misc/heimer/default.nix
@@ -28,6 +28,7 @@ mkDerivation rec {
meta = with lib; {
description = "Simple cross-platform mind map and note-taking tool written in Qt";
+ mainProgram = "heimer";
homepage = "https://github.com/juzzlin/Heimer";
changelog = "https://github.com/juzzlin/Heimer/blob/${version}/CHANGELOG";
license = licenses.gpl3Plus;
diff --git a/pkgs/applications/misc/hello-unfree/default.nix b/pkgs/applications/misc/hello-unfree/default.nix
index 5c9a507fc071..6fae0eca1399 100644
--- a/pkgs/applications/misc/hello-unfree/default.nix
+++ b/pkgs/applications/misc/hello-unfree/default.nix
@@ -19,5 +19,6 @@ stdenv.mkDerivation {
description = "An example package with unfree license (for testing)";
license = lib.licenses.unfree;
maintainers = [ lib.maintainers.oxij ];
+ mainProgram = "hello-unfree";
};
}
diff --git a/pkgs/applications/misc/herbe/default.nix b/pkgs/applications/misc/herbe/default.nix
index 0d05228b63eb..9ea08f0dc83c 100644
--- a/pkgs/applications/misc/herbe/default.nix
+++ b/pkgs/applications/misc/herbe/default.nix
@@ -29,5 +29,6 @@ stdenv.mkDerivation rec {
# NOTE: Could also work on 'unix'.
platforms = platforms.linux;
maintainers = with maintainers; [ wishfort36 ];
+ mainProgram = "herbe";
};
}
diff --git a/pkgs/applications/misc/hivemind/default.nix b/pkgs/applications/misc/hivemind/default.nix
index adc6aa3642ef..589c6fa80736 100644
--- a/pkgs/applications/misc/hivemind/default.nix
+++ b/pkgs/applications/misc/hivemind/default.nix
@@ -22,5 +22,6 @@ buildGoModule rec {
description = "Process manager for Procfile-based applications";
license = with licenses; [ mit ];
maintainers = [ maintainers.sveitser ];
+ mainProgram = "hivemind";
};
}
diff --git a/pkgs/applications/misc/hollywood/default.nix b/pkgs/applications/misc/hollywood/default.nix
index f3b721bc4959..1b655e5afacc 100644
--- a/pkgs/applications/misc/hollywood/default.nix
+++ b/pkgs/applications/misc/hollywood/default.nix
@@ -87,6 +87,7 @@ stdenv.mkDerivation {
meta = {
description = "Fill your console with Hollywood melodrama technobabble";
+ mainProgram = "hollywood";
homepage = "https://a.hollywood.computer/";
license = lib.licenses.asl20;
maintainers = [ lib.maintainers.anselmschueler ];
diff --git a/pkgs/applications/misc/holochain-launcher/default.nix b/pkgs/applications/misc/holochain-launcher/default.nix
index f2be253e3fac..111a36e3ee02 100644
--- a/pkgs/applications/misc/holochain-launcher/default.nix
+++ b/pkgs/applications/misc/holochain-launcher/default.nix
@@ -14,12 +14,12 @@
stdenv.mkDerivation rec {
name = "holochain-launcher";
- version = "0.11.0";
+ version = "0.11.5";
prerelease = "beta-2";
src = fetchurl {
url = "https://github.com/holochain/launcher/releases/download/v${version}/holochain-launcher-${prerelease}_${version}_amd64.deb";
- sha256 = "sha256-yxovSsPyIzFONa1ACeLkZqDCElDI3uTm81YOYW0/FXE=";
+ sha256 = "sha256-MRFQJRfvJ+dLmPDfPQX9wErIwEr07EAUqxic8kbKVdo=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/misc/hovercraft/default.nix b/pkgs/applications/misc/hovercraft/default.nix
index 25e541cf3d48..c5623d2dac16 100644
--- a/pkgs/applications/misc/hovercraft/default.nix
+++ b/pkgs/applications/misc/hovercraft/default.nix
@@ -36,6 +36,7 @@ buildPythonApplication rec {
meta = with lib; {
description = "Makes impress.js presentations from reStructuredText";
+ mainProgram = "hovercraft";
homepage = "https://github.com/regebro/hovercraft";
license = licenses.mit;
maintainers = with maintainers; [ goibhniu makefu ];
diff --git a/pkgs/applications/misc/hr/default.nix b/pkgs/applications/misc/hr/default.nix
index 7435d02c99c8..f0a43de700fc 100644
--- a/pkgs/applications/misc/hr/default.nix
+++ b/pkgs/applications/misc/hr/default.nix
@@ -24,5 +24,6 @@ stdenv.mkDerivation rec {
license = licenses.mit;
maintainers = [ maintainers.matthiasbeyer ];
platforms = platforms.unix;
+ mainProgram = "hr";
};
}
diff --git a/pkgs/applications/misc/html5validator/default.nix b/pkgs/applications/misc/html5validator/default.nix
index 6c9c65cf4270..cee564d512d5 100644
--- a/pkgs/applications/misc/html5validator/default.nix
+++ b/pkgs/applications/misc/html5validator/default.nix
@@ -33,6 +33,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "Command line tool that tests files for HTML5 validity";
+ mainProgram = "html5validator";
homepage = "https://github.com/svenkreiss/html5validator";
changelog = "https://github.com/svenkreiss/html5validator/releases/tag/v${version}";
license = licenses.mit;
diff --git a/pkgs/applications/misc/huggle/default.nix b/pkgs/applications/misc/huggle/default.nix
index 3a6f25ede695..37d43a90d05d 100644
--- a/pkgs/applications/misc/huggle/default.nix
+++ b/pkgs/applications/misc/huggle/default.nix
@@ -56,6 +56,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Anti-vandalism tool for use on MediaWiki-based projects";
+ mainProgram = "huggle";
homepage = "https://github.com/huggle/huggle3-qt-lx";
license = licenses.gpl3Only;
maintainers = [ maintainers.fee1-dead ];
diff --git a/pkgs/applications/misc/imaginer/default.nix b/pkgs/applications/misc/imaginer/default.nix
index 3f2b99954e08..1b00bd0614bd 100644
--- a/pkgs/applications/misc/imaginer/default.nix
+++ b/pkgs/applications/misc/imaginer/default.nix
@@ -62,6 +62,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
homepage = "https://github.com/ImaginerApp/Imaginer";
description = "Imaginer with AI";
+ mainProgram = "imaginer";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ _0xMRTT ];
};
diff --git a/pkgs/applications/misc/inkcut/default.nix b/pkgs/applications/misc/inkcut/default.nix
index 36d001d62d46..b711fd9330dc 100644
--- a/pkgs/applications/misc/inkcut/default.nix
+++ b/pkgs/applications/misc/inkcut/default.nix
@@ -89,6 +89,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
homepage = "https://www.codelv.com/projects/inkcut/";
description = "Control 2D plotters, cutters, engravers, and CNC machines";
+ mainProgram = "inkcut";
license = licenses.gpl3;
maintainers = with maintainers; [ raboof ];
};
diff --git a/pkgs/applications/misc/inlyne/default.nix b/pkgs/applications/misc/inlyne/default.nix
index 01ce66abc7fb..f20f70c3b028 100644
--- a/pkgs/applications/misc/inlyne/default.nix
+++ b/pkgs/applications/misc/inlyne/default.nix
@@ -6,6 +6,8 @@
, pkg-config
, fontconfig
, xorg
+, libxkbcommon
+, wayland
, libGL
, openssl
, darwin
@@ -13,16 +15,16 @@
rustPlatform.buildRustPackage rec {
pname = "inlyne";
- version = "0.3.2";
+ version = "0.4.1";
src = fetchFromGitHub {
owner = "trimental";
repo = pname;
rev = "v${version}";
- hash = "sha256-DSi6iS1ySdvGf6FxZpsDOAFpAKx/APcZjxA3Qy0gQBU=";
+ hash = "sha256-kZQREYnauR8xusyX6enBPUKHSe39aBLlrZjKEjJlfx0=";
};
- cargoHash = "sha256-UzegSJGAOBUDN8WluN7fLWS7NfHhm9YY0Zuq6DCIqHo=";
+ cargoHash = "sha256-2mQFr2nLJ/iBLpdOUmerY6F2C8Kt+/vMEjS6THpmJic=";
nativeBuildInputs = [
installShellFiles
@@ -36,6 +38,8 @@ rustPlatform.buildRustPackage rec {
xorg.libXi
xorg.libXrandr
xorg.libxcb
+ wayland
+ libxkbcommon
openssl
] ++ lib.optionals stdenv.isDarwin [
darwin.apple_sdk_11_0.frameworks.AppKit
@@ -65,5 +69,6 @@ rustPlatform.buildRustPackage rec {
changelog = "https://github.com/trimental/inlyne/releases/tag/${src.rev}";
license = licenses.mit;
maintainers = with maintainers; [ figsoda ];
+ mainProgram = "inlyne";
};
}
diff --git a/pkgs/applications/misc/insulator2/default.nix b/pkgs/applications/misc/insulator2/default.nix
index 456ca5d2448e..65b8cb505f72 100644
--- a/pkgs/applications/misc/insulator2/default.nix
+++ b/pkgs/applications/misc/insulator2/default.nix
@@ -94,6 +94,7 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/andrewinci/insulator2";
license = licenses.gpl3Only;
maintainers = with maintainers; [ tc-kaluza ];
+ mainProgram = "insulator-2";
};
}
diff --git a/pkgs/applications/misc/ipatool/default.nix b/pkgs/applications/misc/ipatool/default.nix
index 6c3ffc5455e5..0b9e7f8a9415 100644
--- a/pkgs/applications/misc/ipatool/default.nix
+++ b/pkgs/applications/misc/ipatool/default.nix
@@ -47,5 +47,6 @@ buildGoModule rec {
changelog = "https://github.com/majd/ipatool/blob/${src.rev}/CHANGELOG.md";
license = licenses.mit;
maintainers = with maintainers; [ gaelreyrol ];
+ mainProgram = "ipatool";
};
}
diff --git a/pkgs/applications/misc/ipmiview/default.nix b/pkgs/applications/misc/ipmiview/default.nix
index d1162052cebb..ff8db5339f65 100644
--- a/pkgs/applications/misc/ipmiview/default.nix
+++ b/pkgs/applications/misc/ipmiview/default.nix
@@ -79,5 +79,6 @@ stdenv.mkDerivation rec {
license = licenses.unfree;
maintainers = with maintainers; [ vlaci ];
platforms = [ "x86_64-linux" "i686-linux" ];
+ mainProgram = "IPMIView";
};
}
diff --git a/pkgs/applications/misc/jekyll/basic/Gemfile.lock b/pkgs/applications/misc/jekyll/basic/Gemfile.lock
index 5fc41261bbf7..2c1206ca7004 100644
--- a/pkgs/applications/misc/jekyll/basic/Gemfile.lock
+++ b/pkgs/applications/misc/jekyll/basic/Gemfile.lock
@@ -1,29 +1,40 @@
GEM
remote: https://rubygems.org/
specs:
- activesupport (7.0.4)
+ activesupport (7.1.3.2)
+ base64
+ bigdecimal
concurrent-ruby (~> 1.0, >= 1.0.2)
+ connection_pool (>= 2.2.5)
+ drb
i18n (>= 1.6, < 2)
minitest (>= 5.1)
+ mutex_m
tzinfo (~> 2.0)
- addressable (2.8.1)
+ addressable (2.8.6)
public_suffix (>= 2.0.2, < 6.0)
+ base64 (0.2.0)
+ bigdecimal (3.1.6)
colorator (1.1.0)
- concurrent-ruby (1.1.10)
+ concurrent-ruby (1.2.3)
+ connection_pool (2.4.1)
+ drb (2.2.0)
+ ruby2_keywords
em-websocket (0.5.3)
eventmachine (>= 0.12.9)
http_parser.rb (~> 0)
eventmachine (1.2.7)
- ffi (1.15.5)
+ ffi (1.16.3)
forwardable-extended (2.6.0)
- gemoji (4.0.1)
+ gemoji (4.1.0)
+ google-protobuf (3.25.3)
html-pipeline (2.14.3)
activesupport (>= 2)
nokogiri (>= 1.4)
http_parser.rb (0.8.0)
- i18n (1.12.0)
+ i18n (1.14.1)
concurrent-ruby (~> 1.0)
- jekyll (4.3.1)
+ jekyll (4.3.3)
addressable (~> 2.4)
colorator (~> 1.0)
em-websocket (~> 0.5)
@@ -44,8 +55,8 @@ GEM
jekyll-mentions (1.6.0)
html-pipeline (~> 2.3)
jekyll (>= 3.7, < 5.0)
- jekyll-sass-converter (2.2.0)
- sassc (> 2.0.1, < 3.0)
+ jekyll-sass-converter (3.0.0)
+ sass-embedded (~> 1.54)
jekyll-seo-tag (2.8.0)
jekyll (>= 3.8, < 5.0)
jekyll-sitemap (1.4.0)
@@ -60,34 +71,38 @@ GEM
rexml
kramdown-parser-gfm (1.1.0)
kramdown (~> 2.0)
- liquid (4.0.3)
- listen (3.7.1)
+ liquid (4.0.4)
+ listen (3.9.0)
rb-fsevent (~> 0.10, >= 0.10.3)
rb-inotify (~> 0.9, >= 0.9.10)
mercenary (0.4.0)
- mini_portile2 (2.8.0)
- minitest (5.16.3)
- nokogiri (1.13.9)
- mini_portile2 (~> 2.8.0)
+ mini_portile2 (2.8.5)
+ minitest (5.22.2)
+ mutex_m (0.2.0)
+ nokogiri (1.16.2)
+ mini_portile2 (~> 2.8.2)
racc (~> 1.4)
pathutil (0.16.2)
forwardable-extended (~> 2.6)
- public_suffix (5.0.0)
- racc (1.6.0)
+ public_suffix (5.0.4)
+ racc (1.7.3)
+ rake (13.1.0)
rb-fsevent (0.11.2)
rb-inotify (0.10.1)
ffi (~> 1.0)
- rexml (3.2.5)
- rouge (4.0.0)
+ rexml (3.2.6)
+ rouge (4.2.0)
+ ruby2_keywords (0.0.5)
safe_yaml (1.0.5)
- sassc (2.4.0)
- ffi (~> 1.9)
+ sass-embedded (1.71.1)
+ google-protobuf (~> 3.25)
+ rake (>= 13.0.0)
terminal-table (3.0.2)
unicode-display_width (>= 1.1.1, < 3)
- tzinfo (2.0.5)
+ tzinfo (2.0.6)
concurrent-ruby (~> 1.0)
- unicode-display_width (2.3.0)
- webrick (1.7.0)
+ unicode-display_width (2.5.0)
+ webrick (1.8.1)
PLATFORMS
ruby
@@ -101,4 +116,4 @@ DEPENDENCIES
jemoji
BUNDLED WITH
- 2.3.9
+ 2.5.6
diff --git a/pkgs/applications/misc/jekyll/basic/gemset.nix b/pkgs/applications/misc/jekyll/basic/gemset.nix
index 2e20dc241dc0..07acae17bc6f 100644
--- a/pkgs/applications/misc/jekyll/basic/gemset.nix
+++ b/pkgs/applications/misc/jekyll/basic/gemset.nix
@@ -1,14 +1,14 @@
{
activesupport = {
- dependencies = ["concurrent-ruby" "i18n" "minitest" "tzinfo"];
+ dependencies = ["base64" "bigdecimal" "concurrent-ruby" "connection_pool" "drb" "i18n" "minitest" "mutex_m" "tzinfo"];
groups = ["default"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "183az13i4fsm28d0l5xhbjpmcj3l1lxzcxlx8pi8zrbd933jwqd0";
+ sha256 = "0blbbf2x7dn7ar4g9aij403582zb6zscbj48bz63lvaamsvlb15d";
type = "gem";
};
- version = "7.0.4";
+ version = "7.1.3.2";
};
addressable = {
dependencies = ["public_suffix"];
@@ -16,10 +16,30 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1ypdmpdn20hxp5vwxz3zc04r5xcwqc25qszdlg41h8ghdqbllwmw";
+ sha256 = "0irbdwkkjwzajq1ip6ba46q49sxnrl2cw7ddkdhsfhb6aprnm3vr";
type = "gem";
};
- version = "2.8.1";
+ version = "2.8.6";
+ };
+ base64 = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "01qml0yilb9basf7is2614skjp8384h2pycfx86cr8023arfj98g";
+ type = "gem";
+ };
+ version = "0.2.0";
+ };
+ bigdecimal = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "00db5v09k1z3539g1zrk7vkjrln9967k08adh6qx33ng97a2gg5w";
+ type = "gem";
+ };
+ version = "3.1.6";
};
colorator = {
groups = ["default"];
@@ -36,10 +56,31 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0s4fpn3mqiizpmpy2a24k4v365pv75y50292r8ajrv4i1p5b2k14";
+ sha256 = "1qh1b14jwbbj242klkyz5fc7npd4j0mvndz62gajhvl1l3wd7zc2";
type = "gem";
};
- version = "1.1.10";
+ version = "1.2.3";
+ };
+ connection_pool = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1x32mcpm2cl5492kd6lbjbaf17qsssmpx9kdyr7z1wcif2cwyh0g";
+ type = "gem";
+ };
+ version = "2.4.1";
+ };
+ drb = {
+ dependencies = ["ruby2_keywords"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "03ylflxbp9jrs1hx3d4wvx05yb9hdq4a0r706zz6qc6kvqfazr79";
+ type = "gem";
+ };
+ version = "2.2.0";
};
em-websocket = {
dependencies = ["eventmachine" "http_parser.rb"];
@@ -67,10 +108,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1862ydmclzy1a0cjbvm8dz7847d9rch495ib0zb64y84d3xd4bkg";
+ sha256 = "1yvii03hcgqj30maavddqamqy50h7y6xcn2wcyq72wn823zl4ckd";
type = "gem";
};
- version = "1.15.5";
+ version = "1.16.3";
};
forwardable-extended = {
groups = ["default"];
@@ -87,10 +128,20 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "07lkqllgn7161rvnhnfy7adnfqv0xvr4c3ncsfxixdgmzi6acn5h";
+ sha256 = "06nw5mfscjmpap7f0bc9f2hj6zd4jy3pk1lhs6llx5my1h138i3k";
type = "gem";
};
- version = "4.0.1";
+ version = "4.1.0";
+ };
+ google-protobuf = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1mnxzcq8kmyfb9bkzqnp019d1hx1vprip3yzdkkha6b3qz5rgg9r";
+ type = "gem";
+ };
+ version = "3.25.3";
};
html-pipeline = {
dependencies = ["activesupport" "nokogiri"];
@@ -119,10 +170,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1vdcchz7jli1p0gnc669a7bj3q1fv09y9ppf0y3k0vb1jwdwrqwi";
+ sha256 = "0qaamqsh5f3szhcakkak8ikxlzxqnv49n2p7504hcz2l0f4nj0wx";
type = "gem";
};
- version = "1.12.0";
+ version = "1.14.1";
};
jekyll = {
dependencies = ["addressable" "colorator" "em-websocket" "i18n" "jekyll-sass-converter" "jekyll-watch" "kramdown" "kramdown-parser-gfm" "liquid" "mercenary" "pathutil" "rouge" "safe_yaml" "terminal-table" "webrick"];
@@ -130,10 +181,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0m866i41j7y5ipvl7f0vz82mypv5irqz9xxbx44s5pdsmi3dyawy";
+ sha256 = "0638cvpmk3py1w2dxpav6l0c854y6l94b6gyc2aa16i7r897z64a";
type = "gem";
};
- version = "4.3.1";
+ version = "4.3.3";
};
jekyll-avatar = {
dependencies = ["jekyll"];
@@ -158,15 +209,15 @@
version = "1.6.0";
};
jekyll-sass-converter = {
- dependencies = ["sassc"];
+ dependencies = ["sass-embedded"];
groups = ["default"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "077xkkkb592vg8kxdia9jwsaz1bc70lkpf4hdvazjqphn5hlz2bi";
+ sha256 = "00n9v19h0qgjijygfdkdh2gwpmdlz49nw1mqk6fnp43f317ngrz2";
type = "gem";
};
- version = "2.2.0";
+ version = "3.0.0";
};
jekyll-seo-tag = {
dependencies = ["jekyll"];
@@ -239,10 +290,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0zhg5ha8zy8zw9qr3fl4wgk4r5940n4128xm2pn4shpbzdbsj5by";
+ sha256 = "1czxv2i1gv3k7hxnrgfjb0z8khz74l4pmfwd70c7kr25l2qypksg";
type = "gem";
};
- version = "4.0.3";
+ version = "4.0.4";
};
listen = {
dependencies = ["rb-fsevent" "rb-inotify"];
@@ -250,10 +301,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0agybr37wpjv3xy4ipcmsvsibgdgphzrwbvcj4vfiykpmakwm01v";
+ sha256 = "0rwwsmvq79qwzl6324yc53py02kbrcww35si720490z5w0j497nv";
type = "gem";
};
- version = "3.7.1";
+ version = "3.9.0";
};
mercenary = {
groups = ["default"];
@@ -270,20 +321,30 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0rapl1sfmfi3bfr68da4ca16yhc0pp93vjwkj7y3rdqrzy3b41hy";
+ sha256 = "1kl9c3kdchjabrihdqfmcplk3lq4cw1rr9f378y6q22qwy5dndvs";
type = "gem";
};
- version = "2.8.0";
+ version = "2.8.5";
};
minitest = {
groups = ["default"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0516ypqlx0mlcfn5xh7qppxqc3xndn1fnadxawa8wld5dkcimy30";
+ sha256 = "0667vf0zglacry87nkcl3ns8421aydvz71vfa3g3yjhiq8zh19f5";
type = "gem";
};
- version = "5.16.3";
+ version = "5.22.2";
+ };
+ mutex_m = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1ma093ayps1m92q845hmpk0dmadicvifkbf05rpq9pifhin0rvxn";
+ type = "gem";
+ };
+ version = "0.2.0";
};
nokogiri = {
dependencies = ["mini_portile2" "racc"];
@@ -291,10 +352,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0cam1455nmi3fzzpa9ixn2hsim10fbprmj62ajpd6d02mwdprwwn";
+ sha256 = "173zavvxlwyi48lfskk48wcrdbkvjlhjhvy4jpcrfx72rpjjx4k8";
type = "gem";
};
- version = "1.13.9";
+ version = "1.16.2";
};
pathutil = {
dependencies = ["forwardable-extended"];
@@ -312,20 +373,30 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0sqw1zls6227bgq38sxb2hs8nkdz4hn1zivs27mjbniswfy4zvi6";
+ sha256 = "1bni4qjrsh2q49pnmmd6if4iv3ak36bd2cckrs6npl111n769k9m";
type = "gem";
};
- version = "5.0.0";
+ version = "5.0.4";
};
racc = {
groups = ["default"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0la56m0z26j3mfn1a9lf2l03qx1xifanndf9p3vx1azf6sqy7v9d";
+ sha256 = "01b9662zd2x9bp4rdjfid07h09zxj7kvn7f5fghbqhzc625ap1dp";
type = "gem";
};
- version = "1.6.0";
+ version = "1.7.3";
+ };
+ rake = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1ilr853hawi09626axx0mps4rkkmxcs54mapz9jnqvpnlwd3wsmy";
+ type = "gem";
+ };
+ version = "13.1.0";
};
rb-fsevent = {
groups = ["default"];
@@ -353,20 +424,30 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "08ximcyfjy94pm1rhcx04ny1vx2sk0x4y185gzn86yfsbzwkng53";
+ sha256 = "05i8518ay14kjbma550mv0jm8a6di8yp5phzrd8rj44z9qnrlrp0";
type = "gem";
};
- version = "3.2.5";
+ version = "3.2.6";
};
rouge = {
groups = ["default"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "066w2wf3mwkzynz9h7qqvvr0w6rq6q45ngjfh9z0s08ny2gpdbmq";
+ sha256 = "1fkfa0iq3r9b0zzrxpxha17avmyzci3kidzmfbf6fd1279mndpb0";
type = "gem";
};
- version = "4.0.0";
+ version = "4.2.0";
+ };
+ ruby2_keywords = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1vz322p8n39hz3b4a9gkmz9y7a5jaz41zrm2ywf31dvkqm03glgz";
+ type = "gem";
+ };
+ version = "0.0.5";
};
safe_yaml = {
groups = ["default"];
@@ -378,16 +459,16 @@
};
version = "1.0.5";
};
- sassc = {
- dependencies = ["ffi"];
+ sass-embedded = {
+ dependencies = ["google-protobuf" "rake"];
groups = ["default"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0gpqv48xhl8mb8qqhcifcp0pixn206a7imc07g48armklfqa4q2c";
+ sha256 = "1ccqqkmicqs2nbawyknb17qfafwqq0k6jxibcm86vqd1jp185pxa";
type = "gem";
};
- version = "2.4.0";
+ version = "1.71.1";
};
terminal-table = {
dependencies = ["unicode-display_width"];
@@ -406,29 +487,29 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0rx114mpqnw2k4h98vc0rs0x0bmf0img84yh8mkkjkal07cjydf5";
+ sha256 = "16w2g84dzaf3z13gxyzlzbf748kylk5bdgg3n1ipvkvvqy685bwd";
type = "gem";
};
- version = "2.0.5";
+ version = "2.0.6";
};
unicode-display_width = {
groups = ["default"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0ra70s8prfacpqwj5v2mqn1rbfz6xds3n9nsr9cwzs3z2c0wm5j7";
+ sha256 = "1d0azx233nags5jx3fqyr23qa2rhgzbhv8pxp46dgbg1mpf82xky";
type = "gem";
};
- version = "2.3.0";
+ version = "2.5.0";
};
webrick = {
groups = ["default"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1d4cvgmxhfczxiq5fr534lmizkhigd15bsx5719r5ds7k7ivisc7";
+ sha256 = "13qm7s0gr2pmfcl7dxrmq38asaza4w0i2n9my4yzs499j731wh8r";
type = "gem";
};
- version = "1.7.0";
+ version = "1.8.1";
};
}
diff --git a/pkgs/applications/misc/jekyll/default.nix b/pkgs/applications/misc/jekyll/default.nix
index 510e06ab53bf..2b9f1497b765 100644
--- a/pkgs/applications/misc/jekyll/default.nix
+++ b/pkgs/applications/misc/jekyll/default.nix
@@ -53,5 +53,6 @@ in bundlerApp {
license = licenses.mit;
maintainers = with maintainers; [ ];
platforms = platforms.unix;
+ mainProgram = "jekyll";
};
}
diff --git a/pkgs/applications/misc/jekyll/full/Gemfile.lock b/pkgs/applications/misc/jekyll/full/Gemfile.lock
index 6b1cc609e080..04d0e9d0cf1e 100644
--- a/pkgs/applications/misc/jekyll/full/Gemfile.lock
+++ b/pkgs/applications/misc/jekyll/full/Gemfile.lock
@@ -1,13 +1,20 @@
GEM
remote: https://rubygems.org/
specs:
- activesupport (7.0.4)
+ activesupport (7.1.3.2)
+ base64
+ bigdecimal
concurrent-ruby (~> 1.0, >= 1.0.2)
+ connection_pool (>= 2.2.5)
+ drb
i18n (>= 1.6, < 2)
minitest (>= 5.1)
+ mutex_m
tzinfo (~> 2.0)
- addressable (2.8.1)
+ addressable (2.8.6)
public_suffix (>= 2.0.2, < 6.0)
+ base64 (0.2.0)
+ bigdecimal (3.1.6)
classifier-reborn (2.3.0)
fast-stemmer (~> 1.0)
matrix (~> 0.4)
@@ -17,27 +24,31 @@ GEM
execjs
coffee-script-source (1.12.2)
colorator (1.1.0)
- concurrent-ruby (1.1.10)
+ concurrent-ruby (1.2.3)
+ connection_pool (2.4.1)
+ drb (2.2.0)
+ ruby2_keywords
em-websocket (0.5.3)
eventmachine (>= 0.12.9)
http_parser.rb (~> 0)
eventmachine (1.2.7)
- execjs (2.8.1)
- faraday (2.7.1)
- faraday-net_http (>= 2.0, < 3.1)
- ruby2_keywords (>= 0.0.4)
- faraday-net_http (3.0.2)
+ execjs (2.9.1)
+ faraday (2.9.0)
+ faraday-net_http (>= 2.0, < 3.2)
+ faraday-net_http (3.1.0)
+ net-http
fast-stemmer (1.0.2)
- ffi (1.15.5)
+ ffi (1.16.3)
forwardable-extended (2.6.0)
- gemoji (4.0.1)
+ gemoji (4.1.0)
+ google-protobuf (3.25.3)
html-pipeline (2.14.3)
activesupport (>= 2)
nokogiri (>= 1.4)
http_parser.rb (0.8.0)
- i18n (1.12.0)
+ i18n (1.14.1)
concurrent-ruby (~> 1.0)
- jekyll (4.3.1)
+ jekyll (4.3.3)
addressable (~> 2.4)
colorator (~> 1.0)
em-websocket (~> 0.5)
@@ -70,12 +81,12 @@ GEM
html-pipeline (~> 2.3)
jekyll (>= 3.7, < 5.0)
jekyll-paginate (1.1.0)
- jekyll-polyglot (1.5.1)
- jekyll (>= 3.0)
+ jekyll-polyglot (1.7.0)
+ jekyll (>= 4.0, >= 3.0)
jekyll-redirect-from (0.16.0)
jekyll (>= 3.3, < 5.0)
- jekyll-sass-converter (2.2.0)
- sassc (> 2.0.1, < 3.0)
+ jekyll-sass-converter (3.0.0)
+ sass-embedded (~> 1.54)
jekyll-seo-tag (2.8.0)
jekyll (>= 3.8, < 5.0)
jekyll-sitemap (1.4.0)
@@ -93,54 +104,60 @@ GEM
kramdown-syntax-coderay (1.0.1)
coderay (~> 1.1)
kramdown (~> 2.0)
- liquid (4.0.3)
- liquid-c (4.0.0)
+ liquid (4.0.4)
+ liquid-c (4.0.1)
liquid (>= 3.0.0)
- listen (3.7.1)
+ listen (3.9.0)
rb-fsevent (~> 0.10, >= 0.10.3)
rb-inotify (~> 0.9, >= 0.9.10)
matrix (0.4.2)
mercenary (0.4.0)
- mime-types (3.4.1)
+ mime-types (3.5.2)
mime-types-data (~> 3.2015)
- mime-types-data (3.2022.0105)
- mini_magick (4.11.0)
- mini_portile2 (2.8.0)
- minitest (5.16.3)
- nokogiri (1.13.9)
- mini_portile2 (~> 2.8.0)
+ mime-types-data (3.2024.0206)
+ mini_magick (4.12.0)
+ mini_portile2 (2.8.5)
+ minitest (5.22.2)
+ mutex_m (0.2.0)
+ net-http (0.4.1)
+ uri
+ nokogiri (1.16.2)
+ mini_portile2 (~> 2.8.2)
racc (~> 1.4)
octokit (4.25.1)
faraday (>= 1, < 3)
sawyer (~> 0.9)
pathutil (0.16.2)
forwardable-extended (~> 2.6)
- psych (4.0.6)
+ psych (5.1.2)
stringio
- public_suffix (5.0.0)
- racc (1.6.0)
+ public_suffix (5.0.4)
+ racc (1.7.3)
+ rake (13.1.0)
rb-fsevent (0.11.2)
rb-inotify (0.10.1)
ffi (~> 1.0)
- rdoc (6.4.0)
+ rdoc (6.6.2)
psych (>= 4.0.0)
- rexml (3.2.5)
- rouge (4.0.0)
+ rexml (3.2.6)
+ rouge (4.2.0)
ruby2_keywords (0.0.5)
safe_yaml (1.0.5)
- sassc (2.4.0)
- ffi (~> 1.9)
+ sass-embedded (1.71.1)
+ google-protobuf (~> 3.25)
+ rake (>= 13.0.0)
sawyer (0.9.2)
addressable (>= 2.3.5)
faraday (>= 0.17.3, < 3)
- stringio (3.0.2)
+ stringio (3.1.0)
terminal-table (3.0.2)
unicode-display_width (>= 1.1.1, < 3)
tomlrb (1.3.0)
- tzinfo (2.0.5)
+ tzinfo (2.0.6)
concurrent-ruby (~> 1.0)
- unicode-display_width (2.3.0)
- webrick (1.7.0)
+ unicode-display_width (2.5.0)
+ uri (0.13.0)
+ webrick (1.8.1)
yajl-ruby (1.4.3)
PLATFORMS
@@ -169,4 +186,4 @@ DEPENDENCIES
yajl-ruby (~> 1.4)
BUNDLED WITH
- 2.3.9
+ 2.5.6
diff --git a/pkgs/applications/misc/jekyll/full/gemset.nix b/pkgs/applications/misc/jekyll/full/gemset.nix
index 3607b507cd9d..b6eaa1a1eede 100644
--- a/pkgs/applications/misc/jekyll/full/gemset.nix
+++ b/pkgs/applications/misc/jekyll/full/gemset.nix
@@ -1,14 +1,14 @@
{
activesupport = {
- dependencies = ["concurrent-ruby" "i18n" "minitest" "tzinfo"];
+ dependencies = ["base64" "bigdecimal" "concurrent-ruby" "connection_pool" "drb" "i18n" "minitest" "mutex_m" "tzinfo"];
groups = ["default"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "183az13i4fsm28d0l5xhbjpmcj3l1lxzcxlx8pi8zrbd933jwqd0";
+ sha256 = "0blbbf2x7dn7ar4g9aij403582zb6zscbj48bz63lvaamsvlb15d";
type = "gem";
};
- version = "7.0.4";
+ version = "7.1.3.2";
};
addressable = {
dependencies = ["public_suffix"];
@@ -16,10 +16,30 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1ypdmpdn20hxp5vwxz3zc04r5xcwqc25qszdlg41h8ghdqbllwmw";
+ sha256 = "0irbdwkkjwzajq1ip6ba46q49sxnrl2cw7ddkdhsfhb6aprnm3vr";
type = "gem";
};
- version = "2.8.1";
+ version = "2.8.6";
+ };
+ base64 = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "01qml0yilb9basf7is2614skjp8384h2pycfx86cr8023arfj98g";
+ type = "gem";
+ };
+ version = "0.2.0";
+ };
+ bigdecimal = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "00db5v09k1z3539g1zrk7vkjrln9967k08adh6qx33ng97a2gg5w";
+ type = "gem";
+ };
+ version = "3.1.6";
};
classifier-reborn = {
dependencies = ["fast-stemmer" "matrix"];
@@ -90,10 +110,31 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0s4fpn3mqiizpmpy2a24k4v365pv75y50292r8ajrv4i1p5b2k14";
+ sha256 = "1qh1b14jwbbj242klkyz5fc7npd4j0mvndz62gajhvl1l3wd7zc2";
type = "gem";
};
- version = "1.1.10";
+ version = "1.2.3";
+ };
+ connection_pool = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1x32mcpm2cl5492kd6lbjbaf17qsssmpx9kdyr7z1wcif2cwyh0g";
+ type = "gem";
+ };
+ version = "2.4.1";
+ };
+ drb = {
+ dependencies = ["ruby2_keywords"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "03ylflxbp9jrs1hx3d4wvx05yb9hdq4a0r706zz6qc6kvqfazr79";
+ type = "gem";
+ };
+ version = "2.2.0";
};
em-websocket = {
dependencies = ["eventmachine" "http_parser.rb"];
@@ -121,31 +162,32 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "121h6af4i6wr3wxvv84y53jcyw2sk71j5wsncm6wq6yqrwcrk4vd";
+ sha256 = "1yywajqlpjhrj1m43s3lfg3i4lkb6pxwccmwps7qw37ndmphdzg8";
type = "gem";
};
- version = "2.8.1";
+ version = "2.9.1";
};
faraday = {
- dependencies = ["faraday-net_http" "ruby2_keywords"];
+ dependencies = ["faraday-net_http"];
groups = ["default"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1wyz9ab0mzi84gpf81fs19vrixglmmxi25k6n1mn9h141qmsp590";
+ sha256 = "1qqb1rmk0f9m82iijjlqadh5yby1bhnr6svjk9vxdvh6f181988s";
type = "gem";
};
- version = "2.7.1";
+ version = "2.9.0";
};
faraday-net_http = {
+ dependencies = ["net-http"];
groups = ["default"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "13byv3mp1gsjyv8k0ih4612y6vw5kqva6i03wcg4w2fqpsd950k8";
+ sha256 = "17w51yk4rrm9rpnbc3x509s619kba0jga3qrj4b17l30950vw9qn";
type = "gem";
};
- version = "3.0.2";
+ version = "3.1.0";
};
fast-stemmer = {
groups = ["default"];
@@ -174,10 +216,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1862ydmclzy1a0cjbvm8dz7847d9rch495ib0zb64y84d3xd4bkg";
+ sha256 = "1yvii03hcgqj30maavddqamqy50h7y6xcn2wcyq72wn823zl4ckd";
type = "gem";
};
- version = "1.15.5";
+ version = "1.16.3";
};
forwardable-extended = {
groups = ["default"];
@@ -194,10 +236,20 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "07lkqllgn7161rvnhnfy7adnfqv0xvr4c3ncsfxixdgmzi6acn5h";
+ sha256 = "06nw5mfscjmpap7f0bc9f2hj6zd4jy3pk1lhs6llx5my1h138i3k";
type = "gem";
};
- version = "4.0.1";
+ version = "4.1.0";
+ };
+ google-protobuf = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1mnxzcq8kmyfb9bkzqnp019d1hx1vprip3yzdkkha6b3qz5rgg9r";
+ type = "gem";
+ };
+ version = "3.25.3";
};
html-pipeline = {
dependencies = ["activesupport" "nokogiri"];
@@ -226,10 +278,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1vdcchz7jli1p0gnc669a7bj3q1fv09y9ppf0y3k0vb1jwdwrqwi";
+ sha256 = "0qaamqsh5f3szhcakkak8ikxlzxqnv49n2p7504hcz2l0f4nj0wx";
type = "gem";
};
- version = "1.12.0";
+ version = "1.14.1";
};
jekyll = {
dependencies = ["addressable" "colorator" "em-websocket" "i18n" "jekyll-sass-converter" "jekyll-watch" "kramdown" "kramdown-parser-gfm" "liquid" "mercenary" "pathutil" "rouge" "safe_yaml" "terminal-table" "webrick"];
@@ -237,10 +289,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0m866i41j7y5ipvl7f0vz82mypv5irqz9xxbx44s5pdsmi3dyawy";
+ sha256 = "0638cvpmk3py1w2dxpav6l0c854y6l94b6gyc2aa16i7r897z64a";
type = "gem";
};
- version = "4.3.1";
+ version = "4.3.3";
};
jekyll-avatar = {
dependencies = ["jekyll"];
@@ -324,10 +376,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0lx24z2smi6isbdx0afjy68wla579alvljmq8z137b9f7ja2ww0y";
+ sha256 = "189scj27hczbxp02s5v27r4civfqq2fr981jrp0xldwvcw5qfbll";
type = "gem";
};
- version = "1.5.1";
+ version = "1.7.0";
};
jekyll-redirect-from = {
dependencies = ["jekyll"];
@@ -341,15 +393,15 @@
version = "0.16.0";
};
jekyll-sass-converter = {
- dependencies = ["sassc"];
+ dependencies = ["sass-embedded"];
groups = ["default"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "077xkkkb592vg8kxdia9jwsaz1bc70lkpf4hdvazjqphn5hlz2bi";
+ sha256 = "00n9v19h0qgjijygfdkdh2gwpmdlz49nw1mqk6fnp43f317ngrz2";
type = "gem";
};
- version = "2.2.0";
+ version = "3.0.0";
};
jekyll-seo-tag = {
dependencies = ["jekyll"];
@@ -445,10 +497,10 @@
}];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0zhg5ha8zy8zw9qr3fl4wgk4r5940n4128xm2pn4shpbzdbsj5by";
+ sha256 = "1czxv2i1gv3k7hxnrgfjb0z8khz74l4pmfwd70c7kr25l2qypksg";
type = "gem";
};
- version = "4.0.3";
+ version = "4.0.4";
};
liquid-c = {
dependencies = ["liquid"];
@@ -468,10 +520,10 @@
}];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0ibcpajsgq530xrz3dk578mfvivrlfd624j6ifz6ms4w69j8jqj6";
+ sha256 = "07psn4z99738x2vqgl097pgdnanz0pilfp6nla405y1l802nfq7j";
type = "gem";
};
- version = "4.0.0";
+ version = "4.0.1";
};
listen = {
dependencies = ["rb-fsevent" "rb-inotify"];
@@ -479,10 +531,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0agybr37wpjv3xy4ipcmsvsibgdgphzrwbvcj4vfiykpmakwm01v";
+ sha256 = "0rwwsmvq79qwzl6324yc53py02kbrcww35si720490z5w0j497nv";
type = "gem";
};
- version = "3.7.1";
+ version = "3.9.0";
};
matrix = {
groups = ["default"];
@@ -522,50 +574,71 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0ipw892jbksbxxcrlx9g5ljq60qx47pm24ywgfbyjskbcl78pkvb";
+ sha256 = "1r64z0m5zrn4k37wabfnv43wa6yivgdfk6cf2rpmmirlz889yaf1";
type = "gem";
};
- version = "3.4.1";
+ version = "3.5.2";
};
mime-types-data = {
groups = ["default"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "003gd7mcay800k2q4pb2zn8lwwgci4bhi42v2jvlidm8ksx03i6q";
+ sha256 = "0zpn5brxdf5akh7ij511bkrd30fxd7697shmxxszahqj9m62zvn5";
type = "gem";
};
- version = "3.2022.0105";
+ version = "3.2024.0206";
};
mini_magick = {
groups = ["default"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1aj604x11d9pksbljh0l38f70b558rhdgji1s9i763hiagvvx2hs";
+ sha256 = "0slh78f9z6n0l1i2km7m48yz7l4fjrk88sj1f4mh1wb39sl2yc37";
type = "gem";
};
- version = "4.11.0";
+ version = "4.12.0";
};
mini_portile2 = {
groups = ["default"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0rapl1sfmfi3bfr68da4ca16yhc0pp93vjwkj7y3rdqrzy3b41hy";
+ sha256 = "1kl9c3kdchjabrihdqfmcplk3lq4cw1rr9f378y6q22qwy5dndvs";
type = "gem";
};
- version = "2.8.0";
+ version = "2.8.5";
};
minitest = {
groups = ["default"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0516ypqlx0mlcfn5xh7qppxqc3xndn1fnadxawa8wld5dkcimy30";
+ sha256 = "0667vf0zglacry87nkcl3ns8421aydvz71vfa3g3yjhiq8zh19f5";
type = "gem";
};
- version = "5.16.3";
+ version = "5.22.2";
+ };
+ mutex_m = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1ma093ayps1m92q845hmpk0dmadicvifkbf05rpq9pifhin0rvxn";
+ type = "gem";
+ };
+ version = "0.2.0";
+ };
+ net-http = {
+ dependencies = ["uri"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "10n2n9aq00ih8v881af88l1zyrqgs5cl3njdw8argjwbl5ggqvm9";
+ type = "gem";
+ };
+ version = "0.4.1";
};
nokogiri = {
dependencies = ["mini_portile2" "racc"];
@@ -573,10 +646,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0cam1455nmi3fzzpa9ixn2hsim10fbprmj62ajpd6d02mwdprwwn";
+ sha256 = "173zavvxlwyi48lfskk48wcrdbkvjlhjhvy4jpcrfx72rpjjx4k8";
type = "gem";
};
- version = "1.13.9";
+ version = "1.16.2";
};
octokit = {
dependencies = ["faraday" "sawyer"];
@@ -606,30 +679,40 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0xmq609h7j0xjr7jwayg8kmvcpp347cp0wnyq7jgpn58vk1ja17p";
+ sha256 = "0s5383m6004q76xm3lb732bp4sjzb6mxb6rbgn129gy2izsj4wrk";
type = "gem";
};
- version = "4.0.6";
+ version = "5.1.2";
};
public_suffix = {
groups = ["default"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0sqw1zls6227bgq38sxb2hs8nkdz4hn1zivs27mjbniswfy4zvi6";
+ sha256 = "1bni4qjrsh2q49pnmmd6if4iv3ak36bd2cckrs6npl111n769k9m";
type = "gem";
};
- version = "5.0.0";
+ version = "5.0.4";
};
racc = {
groups = ["default"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0la56m0z26j3mfn1a9lf2l03qx1xifanndf9p3vx1azf6sqy7v9d";
+ sha256 = "01b9662zd2x9bp4rdjfid07h09zxj7kvn7f5fghbqhzc625ap1dp";
type = "gem";
};
- version = "1.6.0";
+ version = "1.7.3";
+ };
+ rake = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1ilr853hawi09626axx0mps4rkkmxcs54mapz9jnqvpnlwd3wsmy";
+ type = "gem";
+ };
+ version = "13.1.0";
};
rb-fsevent = {
groups = ["default"];
@@ -658,30 +741,30 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1bxzcvxvrmb1ngxz0bgz1va4q9c4w8m6gc8lmdhi6gnvaaf98gsy";
+ sha256 = "14wnrpd1kl43ynk1wwwgv9avsw84d1lrvlfyrjy3d4h7h7ndnqzp";
type = "gem";
};
- version = "6.4.0";
+ version = "6.6.2";
};
rexml = {
groups = ["default"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "08ximcyfjy94pm1rhcx04ny1vx2sk0x4y185gzn86yfsbzwkng53";
+ sha256 = "05i8518ay14kjbma550mv0jm8a6di8yp5phzrd8rj44z9qnrlrp0";
type = "gem";
};
- version = "3.2.5";
+ version = "3.2.6";
};
rouge = {
groups = ["default"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "066w2wf3mwkzynz9h7qqvvr0w6rq6q45ngjfh9z0s08ny2gpdbmq";
+ sha256 = "1fkfa0iq3r9b0zzrxpxha17avmyzci3kidzmfbf6fd1279mndpb0";
type = "gem";
};
- version = "4.0.0";
+ version = "4.2.0";
};
ruby2_keywords = {
groups = ["default"];
@@ -703,16 +786,16 @@
};
version = "1.0.5";
};
- sassc = {
- dependencies = ["ffi"];
+ sass-embedded = {
+ dependencies = ["google-protobuf" "rake"];
groups = ["default"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0gpqv48xhl8mb8qqhcifcp0pixn206a7imc07g48armklfqa4q2c";
+ sha256 = "1ccqqkmicqs2nbawyknb17qfafwqq0k6jxibcm86vqd1jp185pxa";
type = "gem";
};
- version = "2.4.0";
+ version = "1.71.1";
};
sawyer = {
dependencies = ["addressable" "faraday"];
@@ -730,10 +813,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1jns0x5lbafyqyx7pgzfs6i4ykc7p6zg7gxa6hd82w40n6z9rdvi";
+ sha256 = "063psvsn1aq6digpznxfranhcpmi0sdv2jhra5g0459sw0x2dxn1";
type = "gem";
};
- version = "3.0.2";
+ version = "3.1.0";
};
terminal-table = {
dependencies = ["unicode-display_width"];
@@ -762,30 +845,40 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0rx114mpqnw2k4h98vc0rs0x0bmf0img84yh8mkkjkal07cjydf5";
+ sha256 = "16w2g84dzaf3z13gxyzlzbf748kylk5bdgg3n1ipvkvvqy685bwd";
type = "gem";
};
- version = "2.0.5";
+ version = "2.0.6";
};
unicode-display_width = {
groups = ["default"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0ra70s8prfacpqwj5v2mqn1rbfz6xds3n9nsr9cwzs3z2c0wm5j7";
+ sha256 = "1d0azx233nags5jx3fqyr23qa2rhgzbhv8pxp46dgbg1mpf82xky";
type = "gem";
};
- version = "2.3.0";
+ version = "2.5.0";
+ };
+ uri = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "094gk72ckazf495qc76gk09b5i318d5l9m7bicg2wxlrjcm3qm96";
+ type = "gem";
+ };
+ version = "0.13.0";
};
webrick = {
groups = ["default"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1d4cvgmxhfczxiq5fr534lmizkhigd15bsx5719r5ds7k7ivisc7";
+ sha256 = "13qm7s0gr2pmfcl7dxrmq38asaza4w0i2n9my4yzs499j731wh8r";
type = "gem";
};
- version = "1.7.0";
+ version = "1.8.1";
};
yajl-ruby = {
groups = ["default"];
diff --git a/pkgs/applications/misc/jekyll/update.sh b/pkgs/applications/misc/jekyll/update.sh
index bc3c0d5248b0..650f3993f51e 100755
--- a/pkgs/applications/misc/jekyll/update.sh
+++ b/pkgs/applications/misc/jekyll/update.sh
@@ -1,5 +1,5 @@
#!/usr/bin/env nix-shell
-#!nix-shell -i bash -p bundix zlib
+#!nix-shell -i bash -p bundix zlib libyaml
set -o errexit
set -o nounset
diff --git a/pkgs/applications/misc/jetbrains-toolbox/default.nix b/pkgs/applications/misc/jetbrains-toolbox/default.nix
index ca8394e0dbdb..6019ffed2b89 100644
--- a/pkgs/applications/misc/jetbrains-toolbox/default.nix
+++ b/pkgs/applications/misc/jetbrains-toolbox/default.nix
@@ -2,7 +2,6 @@
, lib
, fetchzip
, copyDesktopItems
-, makeDesktopItem
, makeWrapper
, runCommand
, appimageTools
@@ -10,11 +9,11 @@
}:
let
pname = "jetbrains-toolbox";
- version = "2.1.3.18901";
+ version = "2.2.3.20090";
src = fetchzip {
url = "https://download.jetbrains.com/toolbox/jetbrains-toolbox-${version}.tar.gz";
- sha256 = "sha256-XZEpzzFm0DA6iiPGOKbmsuNlpIlt7Qa2A+jEqU6GqgE=";
+ sha256 = "sha256-SqxQF5pG61CySsDzdZ1P2C9cCe/B145D0S+T4NByif8=";
stripRoot = false;
};
@@ -24,6 +23,13 @@ let
}
''
appimage-exec.sh -x $out ${src}/${pname}-${version}/${pname}
+
+ # JetBrains ship a broken desktop file. Despite registering a custom
+ # scheme handler for jetbrains:// URLs, they never mark the command as
+ # being suitable for passing URLs to. Ergo, the handler never receives
+ # its payload. This causes various things to break, including login.
+ # Reported upstream at: https://youtrack.jetbrains.com/issue/TBX-11478/
+ sed -Ei '/^Exec=/s/( %U)?$/ %U/' $out/jetbrains-toolbox.desktop
'';
appimage = appimageTools.wrapAppImage {
@@ -31,19 +37,6 @@ let
src = appimageContents;
extraPkgs = pkgs: (appimageTools.defaultFhsEnvArgs.targetPkgs pkgs);
};
-
- desktopItem = makeDesktopItem {
- name = "JetBrains Toolbox";
- exec = "jetbrains-toolbox";
- comment = "JetBrains Toolbox";
- desktopName = "JetBrains Toolbox";
- type = "Application";
- icon = "jetbrains-toolbox";
- terminal = false;
- categories = [ "Development" ];
- startupWMClass = "jetbrains-toolbox";
- startupNotify = false;
- };
in
stdenv.mkDerivation {
inherit pname version src appimage;
@@ -61,7 +54,7 @@ stdenv.mkDerivation {
runHook postInstall
'';
- desktopItems = [ desktopItem ];
+ desktopItems = [ "${appimageContents}/jetbrains-toolbox.desktop" ];
# Disabling the tests, this seems to be very difficult to test this app.
doCheck = false;
@@ -72,5 +65,6 @@ stdenv.mkDerivation {
license = licenses.unfree;
maintainers = with maintainers; [ AnatolyPopov ];
platforms = [ "x86_64-linux" ];
+ mainProgram = "jetbrains-toolbox";
};
}
diff --git a/pkgs/applications/misc/johnny-reborn/default.nix b/pkgs/applications/misc/johnny-reborn/default.nix
index 5a512b0466e7..180d46519c64 100644
--- a/pkgs/applications/misc/johnny-reborn/default.nix
+++ b/pkgs/applications/misc/johnny-reborn/default.nix
@@ -4,35 +4,34 @@
, SDL2
}:
-stdenv.mkDerivation {
+stdenv.mkDerivation rec {
pname = "johnny-reborn-engine";
- version = "unstable-2020-12-06";
+ version = "0.30";
src = fetchFromGitHub {
- owner = "jno6809";
+ owner = "xesf";
repo = "jc_reborn";
- rev = "524a5803e4fa65f840379c781f40ce39a927032e";
- hash = "sha256-YKAOCgdRnvNMzL6LJVXN0pLvjyJk4Zv/RCqGtDPFR90=";
+ rev = "v${version}";
+ hash = "sha256-n3ELNFvjeDzbamyQIdM9mf/A1sstuhCGzrL9NuXf90Y=";
};
- makefile = "Makefile.linux";
-
buildInputs = [ SDL2 ];
installPhase = ''
runHook preInstall
- mkdir -p $out
- cp jc_reborn $out/
+ mkdir -p $out/bin
+ cp jc_reborn $out/bin/
runHook postInstall
'';
meta = {
description = "An open-source engine for the classic \"Johnny Castaway\" screensaver (engine only)";
- homepage = "https://github.com/jno6809/jc_reborn";
+ homepage = "https://github.com/xesf/jc_reborn";
license = lib.licenses.gpl3Plus;
maintainers = with lib.maintainers; [ pedrohlc ];
+ mainProgram = "jc_reborn";
inherit (SDL2.meta) platforms;
};
}
diff --git a/pkgs/applications/misc/johnny-reborn/with-data.nix b/pkgs/applications/misc/johnny-reborn/with-data.nix
index 04300524665e..b9432c543fee 100644
--- a/pkgs/applications/misc/johnny-reborn/with-data.nix
+++ b/pkgs/applications/misc/johnny-reborn/with-data.nix
@@ -6,7 +6,6 @@
, makeWrapper
}:
-
let
sounds = fetchFromGitHub {
owner = "nivs1978";
@@ -39,15 +38,15 @@ stdenvNoCC.mkDerivation {
installPhase = ''
runHook preInstall
- mkdir -p $out
- cp -t $out/ \
+ mkdir -p $out/share/jc_reborn/data
+ cp -t $out/share/jc_reborn/data/ \
../scrantic-source/RESOURCE.* \
JCOS/Resources/sound*.wav
makeWrapper \
- ${johnny-reborn-engine}/jc_reborn \
- $out/jc_reborn \
- --chdir $out
+ ${johnny-reborn-engine}/bin/jc_reborn \
+ $out/bin/jc_reborn \
+ --chdir $out/share/jc_reborn
runHook postInstall
'';
@@ -56,6 +55,6 @@ stdenvNoCC.mkDerivation {
description = "An open-source engine for the classic \"Johnny Castaway\" screensaver (ready to use, with resources)";
license = lib.licenses.unfree;
maintainers = with lib.maintainers; [ pedrohlc ];
- inherit (johnny-reborn-engine.meta) homepage platforms;
+ inherit (johnny-reborn-engine.meta) homepage platforms mainProgram;
};
}
diff --git a/pkgs/applications/misc/johnny/default.nix b/pkgs/applications/misc/johnny/default.nix
index 0f1530afb50c..cead88817a54 100644
--- a/pkgs/applications/misc/johnny/default.nix
+++ b/pkgs/applications/misc/johnny/default.nix
@@ -42,6 +42,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://openwall.info/wiki/john/johnny";
description = "Open Source GUI frontend for John the Ripper";
+ mainProgram = "johnny";
license = licenses.bsd2;
maintainers = with maintainers; [ Misaka13514 ];
platforms = platforms.linux;
diff --git a/pkgs/applications/misc/joplin-desktop/default.nix b/pkgs/applications/misc/joplin-desktop/default.nix
index 47c9856260cf..a8245a223466 100644
--- a/pkgs/applications/misc/joplin-desktop/default.nix
+++ b/pkgs/applications/misc/joplin-desktop/default.nix
@@ -2,7 +2,7 @@
let
pname = "joplin-desktop";
- version = "2.13.15";
+ version = "2.14.17";
inherit (stdenv.hostPlatform) system;
throwSystem = throw "Unsupported system: ${system}";
@@ -16,9 +16,9 @@ let
src = fetchurl {
url = "https://github.com/laurent22/joplin/releases/download/v${version}/Joplin-${version}${suffix}";
sha256 = {
- x86_64-linux = "sha256-5tLONAChZaiJqvK/lg1NGTH3LYBlezIAmtQvng0nNNc=";
- x86_64-darwin = "sha256-MFBOYA6weAwGLp/ezfU58RvSlGFFlkg0Flcx64q7Wo8=";
- aarch64-darwin = "sha256-6CKXa/td567NtzTV7laU7l9xw8WOB9RZR6I1vXeLuyo=";
+ x86_64-linux = "sha256-u4wEchyljurmwVZsRnmUBITZUR6SxDxyGczZjXNsJkg=";
+ x86_64-darwin = "sha256-KjNwAnJZGX/DvHDPw15vGlSbJ47s6YT59EalARt1mx4=";
+ aarch64-darwin = "sha256-OYpsHPI+7riMVNAp2JpBlmdFdJUSNqNvBmeYHDw6yzY=";
}.${system} or throwSystem;
};
@@ -28,6 +28,7 @@ let
meta = with lib; {
description = "An open source note taking and to-do application with synchronisation capabilities";
+ mainProgram = "joplin-desktop";
longDescription = ''
Joplin is a free, open source note taking and to-do application, which can
handle a large number of notes organised into notebooks. The notes are
diff --git a/pkgs/applications/misc/josm/default.nix b/pkgs/applications/misc/josm/default.nix
index 41521f2d7c57..6b5ce0b3c44d 100644
--- a/pkgs/applications/misc/josm/default.nix
+++ b/pkgs/applications/misc/josm/default.nix
@@ -3,22 +3,29 @@
}:
let
pname = "josm";
- version = "18969";
+ version = "19017";
srcs = {
jar = fetchurl {
url = "https://josm.openstreetmap.de/download/josm-snapshot-${version}.jar";
- hash = "sha256-a8muRwE4+9WdYVz7lYE9dRnqVIGQxL8cFmIdBr2R65U=";
+ hash = "sha256-+PSsvauVe+e+qB7sz9AFmC/dZhWHFHe0zWYPEhgvRIQ=";
};
macosx = fetchurl {
url = "https://josm.openstreetmap.de/download/macosx/josm-macos-${version}-java17.zip";
- hash = "sha256-npXY7WJM1+9ygeAw102UtimnI/yXqs5vgPnatm4AIrI=";
+ hash = "sha256-QYvAC+W7gHC5unwfcbQ0sz5U1VkMwIIUkDWQK9vDe2A=";
};
pkg = fetchsvn {
url = "https://josm.openstreetmap.de/svn/trunk/native/linux/tested";
rev = version;
- sha256 = "sha256-RFZGRTDdWP/goH/Ev16nhq1SjxYkfFr3djwSrotK7Fo=";
+ sha256 = "sha256-Pb4EAyvERz6kP3EmkgmUy/58KQHhBJmZJvpAj72GCIk=";
};
};
+
+ # Needed as of version 19017.
+ baseJavaOpts = toString [
+ "--add-exports=java.base/sun.security.action=ALL-UNNAMED"
+ "--add-exports=java.desktop/com.sun.imageio.plugins.jpeg=ALL-UNNAMED"
+ "--add-exports=java.desktop/com.sun.imageio.spi=ALL-UNNAMED"
+ ];
in
stdenv.mkDerivation rec {
inherit pname version;
@@ -38,7 +45,7 @@ stdenv.mkDerivation rec {
# Add libXxf86vm to path because it is needed by at least Kendzi3D plugin
makeWrapper ${jre}/bin/java $out/bin/josm \
- --add-flags "${extraJavaOpts} -jar $out/share/josm/josm.jar" \
+ --add-flags "${baseJavaOpts} ${extraJavaOpts} -jar $out/share/josm/josm.jar" \
--prefix LD_LIBRARY_PATH ":" '${libXxf86vm}/lib'
'';
@@ -50,5 +57,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2Plus;
maintainers = with maintainers; [ rycee sikmir ];
platforms = platforms.all;
+ mainProgram = "josm";
};
}
diff --git a/pkgs/applications/misc/jp2a/default.nix b/pkgs/applications/misc/jp2a/default.nix
index bebccc6c1c4c..5ae33e8264f9 100644
--- a/pkgs/applications/misc/jp2a/default.nix
+++ b/pkgs/applications/misc/jp2a/default.nix
@@ -40,5 +40,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2Only;
maintainers = [ maintainers.FlorianFranzen ];
platforms = platforms.unix;
+ mainProgram = "jp2a";
};
}
diff --git a/pkgs/applications/misc/jquake/default.nix b/pkgs/applications/misc/jquake/default.nix
index ce0ea2ec1c5f..916a12db3150 100644
--- a/pkgs/applications/misc/jquake/default.nix
+++ b/pkgs/applications/misc/jquake/default.nix
@@ -64,5 +64,6 @@ stdenv.mkDerivation rec {
sourceProvenance = with sourceTypes; [ binaryBytecode ];
license = licenses.unfree;
platforms = platforms.linux;
+ mainProgram = "JQuake";
};
}
diff --git a/pkgs/applications/misc/k4dirstat/default.nix b/pkgs/applications/misc/k4dirstat/default.nix
index d3c073e5663a..e1636e30267b 100644
--- a/pkgs/applications/misc/k4dirstat/default.nix
+++ b/pkgs/applications/misc/k4dirstat/default.nix
@@ -33,6 +33,7 @@ mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/jeromerobert/k4dirstat";
description = "A small utility program that sums up disk usage for directory trees";
+ mainProgram = "k4dirstat";
license = licenses.gpl2;
maintainers = [ maintainers.raboof ];
platforms = platforms.linux;
diff --git a/pkgs/applications/misc/kanjidraw/default.nix b/pkgs/applications/misc/kanjidraw/default.nix
index 53c752651d2d..0d5189474729 100644
--- a/pkgs/applications/misc/kanjidraw/default.nix
+++ b/pkgs/applications/misc/kanjidraw/default.nix
@@ -27,6 +27,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "Handwritten kanji recognition";
+ mainProgram = "kanjidraw";
longDescription = ''
kanjidraw is a simple Python library + GUI for matching (the strokes of a)
handwritten kanji against its database.
diff --git a/pkgs/applications/misc/kapow/default.nix b/pkgs/applications/misc/kapow/default.nix
index 49fbed7b1efb..db352199408c 100644
--- a/pkgs/applications/misc/kapow/default.nix
+++ b/pkgs/applications/misc/kapow/default.nix
@@ -17,6 +17,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Punch clock to track time spent on projects";
+ mainProgram = "kapow";
homepage = "https://gottcode.org/kapow/";
maintainers = with maintainers; [ orivej ];
platforms = platforms.linux;
diff --git a/pkgs/applications/misc/kaufkauflist/default.nix b/pkgs/applications/misc/kaufkauflist/default.nix
index b6a99ee0b84f..e7e462caf791 100644
--- a/pkgs/applications/misc/kaufkauflist/default.nix
+++ b/pkgs/applications/misc/kaufkauflist/default.nix
@@ -48,5 +48,6 @@ in buildNpmPackage rec {
description = "A to-do list for shopping or other use cases";
license = licenses.mit;
maintainers = with maintainers; [ annaaurora ];
+ mainProgram = "kaufdbclean";
};
}
diff --git a/pkgs/applications/misc/kbt/default.nix b/pkgs/applications/misc/kbt/default.nix
index 3960556f4d8e..c59b5e62c433 100644
--- a/pkgs/applications/misc/kbt/default.nix
+++ b/pkgs/applications/misc/kbt/default.nix
@@ -35,5 +35,6 @@ rustPlatform.buildRustPackage rec {
homepage = "https://github.com/bloznelis/kbt";
license = licenses.mit;
maintainers = with maintainers; [ figsoda ];
+ mainProgram = "kbt";
};
}
diff --git a/pkgs/applications/misc/kchmviewer/default.nix b/pkgs/applications/misc/kchmviewer/default.nix
index 4d703bff0c22..83d077f82795 100644
--- a/pkgs/applications/misc/kchmviewer/default.nix
+++ b/pkgs/applications/misc/kchmviewer/default.nix
@@ -40,6 +40,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "CHM (Winhelp) files viewer";
+ mainProgram = "kchmviewer";
homepage = "http://www.ulduzsoft.com/linux/kchmviewer/";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ sikmir ];
diff --git a/pkgs/applications/misc/keepass-diff/default.nix b/pkgs/applications/misc/keepass-diff/default.nix
index 5e03c0f2cac4..5cee712ae0c6 100644
--- a/pkgs/applications/misc/keepass-diff/default.nix
+++ b/pkgs/applications/misc/keepass-diff/default.nix
@@ -16,5 +16,6 @@ rustPlatform.buildRustPackage rec {
homepage = "https://keepass-diff.narigo.dev/";
license = licenses.mit;
maintainers = with maintainers; [ wamserma ];
+ mainProgram = "keepass-diff";
};
}
diff --git a/pkgs/applications/misc/keepassxc/default.nix b/pkgs/applications/misc/keepassxc/default.nix
index ed7884ad2562..b40283538c87 100644
--- a/pkgs/applications/misc/keepassxc/default.nix
+++ b/pkgs/applications/misc/keepassxc/default.nix
@@ -40,13 +40,13 @@
stdenv.mkDerivation rec {
pname = "keepassxc";
- version = "2.7.6";
+ version = "2.7.7";
src = fetchFromGitHub {
owner = "keepassxreboot";
repo = "keepassxc";
rev = version;
- hash = "sha256-xgrkMz7BCBxjfxHsAz/CFLv1d175LnrAJIOZMM3GmU0=";
+ hash = "sha256-HjDzb1H3eMSraKbfHgg9S+w4TXNt40lQkDz+EChb5Ks=";
};
env.NIX_CFLAGS_COMPILE = lib.optionalString stdenv.cc.isClang (toString [
diff --git a/pkgs/applications/misc/keepmenu/default.nix b/pkgs/applications/misc/keepmenu/default.nix
index d10c10c231d6..040f2f6f61a7 100644
--- a/pkgs/applications/misc/keepmenu/default.nix
+++ b/pkgs/applications/misc/keepmenu/default.nix
@@ -42,6 +42,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
homepage = "https://github.com/firecat53/keepmenu";
description = "Dmenu/Rofi frontend for Keepass databases";
+ mainProgram = "keepmenu";
license = licenses.gpl3Only;
maintainers = with maintainers; [ elliot ];
platforms = platforms.linux;
diff --git a/pkgs/applications/misc/keeweb/default.nix b/pkgs/applications/misc/keeweb/default.nix
index 7af5465ad9e0..22f3ad668ccb 100644
--- a/pkgs/applications/misc/keeweb/default.nix
+++ b/pkgs/applications/misc/keeweb/default.nix
@@ -65,6 +65,7 @@ let
meta = with lib; {
description = "Free cross-platform password manager compatible with KeePass";
+ mainProgram = "keeweb";
homepage = "https://keeweb.info/";
changelog = "https://github.com/keeweb/keeweb/blob/v${version}/release-notes.md";
sourceProvenance = with sourceTypes; [ binaryNativeCode ];
diff --git a/pkgs/applications/misc/keylight-controller-mschneider82/default.nix b/pkgs/applications/misc/keylight-controller-mschneider82/default.nix
index 9d70e59202e8..5a9afb42dd15 100644
--- a/pkgs/applications/misc/keylight-controller-mschneider82/default.nix
+++ b/pkgs/applications/misc/keylight-controller-mschneider82/default.nix
@@ -42,6 +42,7 @@ buildGoModule rec {
license = licenses.mit;
homepage = "https://github.com/mschneider82/keylight-control";
maintainers = with maintainers; [ ];
+ mainProgram = "keylight-control";
};
}
diff --git a/pkgs/applications/misc/keystore-explorer/default.nix b/pkgs/applications/misc/keystore-explorer/default.nix
index a79169ff633e..fb5990f5dca0 100644
--- a/pkgs/applications/misc/keystore-explorer/default.nix
+++ b/pkgs/applications/misc/keystore-explorer/default.nix
@@ -37,6 +37,7 @@ stdenv.mkDerivation rec {
meta = {
description = "Open source GUI replacement for the Java command-line utilities keytool and jarsigner";
+ mainProgram = "keystore-explorer";
sourceProvenance = with lib.sourceTypes; [ binaryBytecode ];
license = lib.licenses.gpl3Only;
maintainers = [ lib.maintainers.numinit ];
diff --git a/pkgs/applications/misc/kickoff/default.nix b/pkgs/applications/misc/kickoff/default.nix
index 21018f509b1c..cd1a57a09f35 100644
--- a/pkgs/applications/misc/kickoff/default.nix
+++ b/pkgs/applications/misc/kickoff/default.nix
@@ -35,6 +35,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "Minimalistic program launcher";
+ mainProgram = "kickoff";
homepage = "https://github.com/j0ru/kickoff";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ pyxels ];
diff --git a/pkgs/applications/misc/kiln/default.nix b/pkgs/applications/misc/kiln/default.nix
index ed9575ffa0ba..cafa43fa4f8c 100644
--- a/pkgs/applications/misc/kiln/default.nix
+++ b/pkgs/applications/misc/kiln/default.nix
@@ -25,5 +25,6 @@ buildGoModule rec {
homepage = "https://kiln.adnano.co/";
license = licenses.mit;
maintainers = with maintainers; [ sikmir ];
+ mainProgram = "kiln";
};
}
diff --git a/pkgs/applications/misc/kiwix/default.nix b/pkgs/applications/misc/kiwix/default.nix
index 600ea299d18f..e804f890b832 100644
--- a/pkgs/applications/misc/kiwix/default.nix
+++ b/pkgs/applications/misc/kiwix/default.nix
@@ -9,15 +9,15 @@
, aria2
}:
-mkDerivation rec {
+mkDerivation {
pname = "kiwix";
- version = "2.3.1";
+ version = "2.3.1-unstable-2024-02-20";
src = fetchFromGitHub {
- owner = pname;
- repo = "${pname}-desktop";
- rev = version;
- sha256 = "sha256-ghx4pW6IkWPzZXk0TtMGeQZIzm9HEN3mR4XQFJ1xHDo=";
+ owner = "kiwix";
+ repo = "kiwix-desktop";
+ rev = "17ac566b07814aefb1decf108e4ba6d7ad9ef7bc";
+ hash = "sha256-BZzFnQE8/dyZkpY0X3zZ6yC6yLZ002Q/RoDzEhSOa/g=";
};
nativeBuildInputs = [
@@ -39,9 +39,10 @@ mkDerivation rec {
meta = with lib; {
description = "An offline reader for Web content";
+ mainProgram = "kiwix-desktop";
homepage = "https://kiwix.org";
license = licenses.gpl3Plus;
platforms = platforms.linux;
- maintainers = with maintainers; [ ajs124 ];
+ maintainers = with maintainers; [ ];
};
}
diff --git a/pkgs/applications/misc/kiwix/lib.nix b/pkgs/applications/misc/kiwix/lib.nix
index aa9117997c08..0fb33b6b0a9b 100644
--- a/pkgs/applications/misc/kiwix/lib.nix
+++ b/pkgs/applications/misc/kiwix/lib.nix
@@ -11,15 +11,15 @@
, gtest
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "libkiwix";
- version = "12.1.1";
+ version = "13.1.0";
src = fetchFromGitHub {
owner = "kiwix";
- repo = pname;
- rev = version;
- sha256 = "sha256-hcwLxfn1fiUAiwsnIddv4HukvVrFePtx7sDQUD1lGUA=";
+ repo = "libkiwix";
+ rev = finalAttrs.version;
+ hash = "sha256-DKOwzfGyad/3diOaV1K8hXqT8YGfqCP6QDKDkxWu/1U=";
};
nativeBuildInputs = [
@@ -55,8 +55,9 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Common code base for all Kiwix ports";
homepage = "https://kiwix.org";
+ changelog = "https://github.com/kiwix/libkiwix/releases/tag/${finalAttrs.version}";
license = licenses.gpl3Plus;
platforms = platforms.linux;
maintainers = with maintainers; [ colinsane ];
};
-}
+})
diff --git a/pkgs/applications/misc/kiwix/tools.nix b/pkgs/applications/misc/kiwix/tools.nix
index fcc99cf41403..b5e123b41264 100644
--- a/pkgs/applications/misc/kiwix/tools.nix
+++ b/pkgs/applications/misc/kiwix/tools.nix
@@ -9,15 +9,15 @@
, stdenv
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "kiwix-tools";
- version = "3.5.0";
+ version = "3.7.0";
src = fetchFromGitHub {
owner = "kiwix";
repo = "kiwix-tools";
- rev = version;
- sha256 = "sha256-bOxi51H28LhA+5caX6kllIY5B3Q1FoGVFadFIhYRkG0=";
+ rev = finalAttrs.version;
+ hash = "sha256-JwF4EN5kyHEfNoMhHb9ywe+7pmgQtjEd2FeRUeTjvQw=";
};
nativeBuildInputs = [
@@ -36,9 +36,9 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Command line Kiwix tools: kiwix-serve, kiwix-manage, ...";
homepage = "https://kiwix.org";
+ changelog = "https://github.com/kiwix/kiwix-tools/releases/tag/${finalAttrs.version}";
license = licenses.gpl3Plus;
platforms = platforms.linux;
maintainers = with maintainers; [ colinsane ];
};
-}
-
+})
diff --git a/pkgs/applications/misc/kjv/lukesmithxyz-kjv.nix b/pkgs/applications/misc/kjv/lukesmithxyz-kjv.nix
index 7cec6c592235..27a1a9050284 100644
--- a/pkgs/applications/misc/kjv/lukesmithxyz-kjv.nix
+++ b/pkgs/applications/misc/kjv/lukesmithxyz-kjv.nix
@@ -21,6 +21,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Read the Word of God from your terminal + Apocrypha";
+ mainProgram = "kjv";
homepage = "https://lukesmith.xyz/articles/command-line-bibles";
license = licenses.unlicense;
platforms = platforms.unix;
diff --git a/pkgs/applications/misc/klayout/default.nix b/pkgs/applications/misc/klayout/default.nix
index a119dc6633f2..0b1bc647917a 100644
--- a/pkgs/applications/misc/klayout/default.nix
+++ b/pkgs/applications/misc/klayout/default.nix
@@ -58,6 +58,7 @@ mkDerivation rec {
meta = with lib; {
description = "High performance layout viewer and editor with support for GDS and OASIS";
+ mainProgram = "klayout";
license = with licenses; [ gpl2Plus ];
homepage = "https://www.klayout.de/";
changelog = "https://www.klayout.de/development.html#${version}";
diff --git a/pkgs/applications/misc/klipper-estimator/default.nix b/pkgs/applications/misc/klipper-estimator/default.nix
index baecf249a6b9..2eff4a1cf08d 100644
--- a/pkgs/applications/misc/klipper-estimator/default.nix
+++ b/pkgs/applications/misc/klipper-estimator/default.nix
@@ -11,16 +11,16 @@
rustPlatform.buildRustPackage rec {
pname = "klipper-estimator";
- version = "3.6.0";
+ version = "3.7.1";
src = fetchFromGitHub {
owner = "Annex-Engineering";
repo = "klipper_estimator";
rev = "v${version}";
- hash = "sha256-1Od4sIHrg52DezV5DCg2NVv/2nbXQW3fK6f9aqVmlTk=";
+ hash = "sha256-zRHV8Bc4+diSfb/hhBxBTaSiZHlKZ9wgd8DZ4Kt6nf0=";
};
- cargoHash = "sha256-5O2KUTegK5ArTalJ57/Kn9lzlkmAIXnzluljvfrIc5U=";
+ cargoHash = "sha256-zozZuVzn/xYjmP9+B5uzIBuu/rx/tymgvOuiKz617eo=";
buildInputs =
[ openssl ]
diff --git a/pkgs/applications/misc/klipperscreen/default.nix b/pkgs/applications/misc/klipperscreen/default.nix
index e970c2572548..789ab7c68828 100644
--- a/pkgs/applications/misc/klipperscreen/default.nix
+++ b/pkgs/applications/misc/klipperscreen/default.nix
@@ -48,7 +48,8 @@
meta = with lib; {
description = "Touchscreen GUI for the Klipper 3D printer firmware";
homepage = "https://github.com/jordanruthe/KlipperScreen";
- license = licenses.agpl3;
+ license = licenses.agpl3Only;
maintainers = with maintainers; [ cab404 ];
+ mainProgram = "KlipperScreen";
};
}
diff --git a/pkgs/applications/misc/kondo/default.nix b/pkgs/applications/misc/kondo/default.nix
index c210c257cc53..eba5799a9172 100644
--- a/pkgs/applications/misc/kondo/default.nix
+++ b/pkgs/applications/misc/kondo/default.nix
@@ -18,5 +18,6 @@ rustPlatform.buildRustPackage rec {
homepage = "https://github.com/tbillington/kondo";
license = licenses.mit;
maintainers = with maintainers; [ Br1ght0ne ];
+ mainProgram = "kondo";
};
}
diff --git a/pkgs/applications/misc/konsave/default.nix b/pkgs/applications/misc/konsave/default.nix
index 75950d28e232..f86f2c19c6c0 100644
--- a/pkgs/applications/misc/konsave/default.nix
+++ b/pkgs/applications/misc/konsave/default.nix
@@ -19,6 +19,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "Save Linux Customization";
+ mainProgram = "konsave";
maintainers = with maintainers; [ MoritzBoehme ];
homepage = "https://github.com/Prayag2/konsave";
license = licenses.gpl3;
diff --git a/pkgs/applications/misc/koreader/default.nix b/pkgs/applications/misc/koreader/default.nix
index b24ae5d06ad2..857ca1d26a8e 100644
--- a/pkgs/applications/misc/koreader/default.nix
+++ b/pkgs/applications/misc/koreader/default.nix
@@ -65,6 +65,7 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/koreader/koreader";
description =
"An ebook reader application supporting PDF, DjVu, EPUB, FB2 and many more formats, running on Cervantes, Kindle, Kobo, PocketBook and Android devices";
+ mainProgram = "koreader";
sourceProvenance = with sourceTypes; [ binaryNativeCode ];
platforms = [ "aarch64-linux" "x86_64-linux" ];
license = licenses.agpl3Only;
diff --git a/pkgs/applications/misc/krabby/default.nix b/pkgs/applications/misc/krabby/default.nix
index 31880f99a0cb..d006ec00b918 100644
--- a/pkgs/applications/misc/krabby/default.nix
+++ b/pkgs/applications/misc/krabby/default.nix
@@ -19,5 +19,6 @@ rustPlatform.buildRustPackage rec {
changelog = "https://github.com/yannjor/krabby/releases/tag/v${version}";
license = licenses.gpl3;
maintainers = with maintainers; [ ruby0b ];
+ mainProgram = "krabby";
};
}
diff --git a/pkgs/applications/misc/kratos/default.nix b/pkgs/applications/misc/kratos/default.nix
index 9031b7d6d566..d5d12eb44676 100644
--- a/pkgs/applications/misc/kratos/default.nix
+++ b/pkgs/applications/misc/kratos/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "kratos";
- version = "1.0.0";
+ version = "1.1.0";
src = fetchFromGitHub {
owner = "ory";
repo = "kratos";
rev = "v${version}";
- hash = "sha256-KDpc0zc65rvvpPojghFEujoS0aewyjv7B/bmpC2i1dA=";
+ hash = "sha256-zrII2lpffZkwFauPAilh1QaqRKvpj1mlHZA7in1ljYg=";
};
- vendorHash = "sha256-Y/Sd2hu1bPUb0TQRD1pANz+rtqKcHBXvjKpYwKgxHMQ=";
+ vendorHash = "sha256-TSB7jCPOVwub+ZQaaUSmsz/R4HAfmnWb0wTf2w4aeuk=";
subPackages = [ "." ];
@@ -38,5 +38,6 @@ buildGoModule rec {
homepage = "https://www.ory.sh/kratos/";
license = licenses.asl20;
description = "An API-first Identity and User Management system that is built according to cloud architecture best practices";
+ mainProgram = "kratos";
};
}
diff --git a/pkgs/applications/misc/krename/default.nix b/pkgs/applications/misc/krename/default.nix
index 6d575709208e..98ba8836efca 100644
--- a/pkgs/applications/misc/krename/default.nix
+++ b/pkgs/applications/misc/krename/default.nix
@@ -35,6 +35,7 @@ in mkDerivation rec {
meta = with lib; {
description = "A powerful batch renamer for KDE";
+ mainProgram = "krename";
homepage = "https://kde.org/applications/utilities/krename/";
license = licenses.gpl2;
maintainers = with maintainers; [ peterhoeg ];
diff --git a/pkgs/applications/misc/ksmoothdock/default.nix b/pkgs/applications/misc/ksmoothdock/default.nix
index 80c46bd9c16d..c592d944c632 100644
--- a/pkgs/applications/misc/ksmoothdock/default.nix
+++ b/pkgs/applications/misc/ksmoothdock/default.nix
@@ -32,6 +32,7 @@ mkDerivation rec {
meta = with lib; {
description = "A cool desktop panel for KDE Plasma 5";
+ mainProgram = "ksmoothdock";
license = licenses.mit;
homepage = "https://dangvd.github.io/ksmoothdock/";
maintainers = with maintainers; [ shamilton ];
diff --git a/pkgs/applications/misc/kuro/default.nix b/pkgs/applications/misc/kuro/default.nix
index 50a773b5c518..55a2e04c9326 100644
--- a/pkgs/applications/misc/kuro/default.nix
+++ b/pkgs/applications/misc/kuro/default.nix
@@ -5,18 +5,21 @@
, makeDesktopItem
, copyDesktopItems
, mkYarnPackage
-, electron
+, electron_29
}:
+let
+ electron = electron_29;
+in
mkYarnPackage rec {
pname = "kuro";
version = "9.0.0";
src = fetchFromGitHub {
owner = "davidsmorais";
- repo = pname;
+ repo = "kuro";
rev = "v${version}";
- sha256 = "sha256-9Z/r5T5ZI5aBghHmwiJcft/x/wTRzDlbIupujN2RFfU=";
+ hash = "sha256-9Z/r5T5ZI5aBghHmwiJcft/x/wTRzDlbIupujN2RFfU=";
};
packageJSON = ./package.json;
@@ -26,7 +29,7 @@ mkYarnPackage rec {
hash = "sha256-GTiNv7u1QK/wjQgpka7REuoLn2wjZG59kYJQaZZPycI=";
};
- ELECTRON_SKIP_BINARY_DOWNLOAD = "1";
+ env.ELECTRON_SKIP_BINARY_DOWNLOAD = "1";
nativeBuildInputs = [
makeWrapper
@@ -68,21 +71,23 @@ mkYarnPackage rec {
desktopItems = [
(makeDesktopItem {
- name = pname;
- exec = pname;
- icon = pname;
+ name = "kuro";
+ exec = "kuro";
+ icon = "kuro";
desktopName = "Kuro";
genericName = "Microsoft To-Do Client";
comment = meta.description;
categories = [ "Office" ];
- startupWMClass = pname;
+ startupWMClass = "kuro";
})
];
meta = with lib; {
+ changelog = "https://github.com/davidsmorais/kuro/releases/tag/${src.rev}";
description = "An unofficial, featureful, open source, community-driven, free Microsoft To-Do app";
homepage = "https://github.com/davidsmorais/kuro";
license = licenses.mit;
+ mainProgram = "kuro";
maintainers = with maintainers; [ ChaosAttractor ];
inherit (electron.meta) platforms;
};
diff --git a/pkgs/applications/misc/latte-dock/default.nix b/pkgs/applications/misc/latte-dock/default.nix
index 6562cd7b4a29..ae1b13cc870a 100644
--- a/pkgs/applications/misc/latte-dock/default.nix
+++ b/pkgs/applications/misc/latte-dock/default.nix
@@ -30,6 +30,7 @@ mkDerivation rec {
meta = with lib; {
description = "Dock-style app launcher based on Plasma frameworks";
+ mainProgram = "latte-dock";
homepage = "https://invent.kde.org/plasma/latte-dock";
license = licenses.gpl2;
platforms = platforms.unix;
diff --git a/pkgs/applications/misc/lavalauncher/default.nix b/pkgs/applications/misc/lavalauncher/default.nix
index 1e8cb505f4a0..d4556402e21b 100644
--- a/pkgs/applications/misc/lavalauncher/default.nix
+++ b/pkgs/applications/misc/lavalauncher/default.nix
@@ -55,5 +55,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl3Plus;
maintainers = with maintainers; [ AndersonTorres ];
platforms = with platforms; unix;
+ mainProgram = "lavalauncher";
};
}
diff --git a/pkgs/applications/misc/lemonade/default.nix b/pkgs/applications/misc/lemonade/default.nix
index 68622718e048..c9ca92d364e1 100644
--- a/pkgs/applications/misc/lemonade/default.nix
+++ b/pkgs/applications/misc/lemonade/default.nix
@@ -31,5 +31,6 @@ buildGoModule rec {
homepage = "https://github.com/lemonade-command/lemonade/";
license = licenses.mit;
maintainers = with maintainers; [ ];
+ mainProgram = "lemonade";
};
}
diff --git a/pkgs/applications/misc/lenmus/default.nix b/pkgs/applications/misc/lenmus/default.nix
index 80da168cdafe..6736f342e89a 100644
--- a/pkgs/applications/misc/lenmus/default.nix
+++ b/pkgs/applications/misc/lenmus/default.nix
@@ -94,5 +94,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl3Plus;
maintainers = with maintainers; [ ramkromberg ];
platforms = with platforms; unix;
+ mainProgram = "lenmus";
};
}
diff --git a/pkgs/applications/misc/limesctl/default.nix b/pkgs/applications/misc/limesctl/default.nix
index 119d8488ed47..00323a259db2 100644
--- a/pkgs/applications/misc/limesctl/default.nix
+++ b/pkgs/applications/misc/limesctl/default.nix
@@ -20,5 +20,6 @@ buildGoModule rec {
homepage = "https://github.com/sapcc/limesctl";
license = licenses.asl20;
maintainers = with maintainers; [ SuperSandro2000 ];
+ mainProgram = "limesctl";
};
}
diff --git a/pkgs/applications/misc/logseq/default.nix b/pkgs/applications/misc/logseq/default.nix
index 0877b3169014..27aeca89be60 100644
--- a/pkgs/applications/misc/logseq/default.nix
+++ b/pkgs/applications/misc/logseq/default.nix
@@ -14,11 +14,11 @@ stdenv.mkDerivation (finalAttrs: let
in {
pname = "logseq";
- version = "0.10.6";
+ version = "0.10.7";
src = fetchurl {
url = "https://github.com/logseq/logseq/releases/download/${version}/logseq-linux-x64-${version}.AppImage";
- hash = "sha256-OUQh+6HRnzxw8Nn/OkU+DkjPKWKpMN0xchD1vPU3KV8=";
+ hash = "sha256-EC83D7tSpoDV6h363yIdX9IrTfoMd4b0hTVdW1T0pXg=";
name = "${pname}-${version}.AppImage";
};
@@ -74,5 +74,6 @@ in {
sourceProvenance = with lib.sourceTypes; [ binaryNativeCode ];
maintainers = with lib.maintainers; [ ];
platforms = [ "x86_64-linux" ];
+ mainProgram = "logseq";
};
})
diff --git a/pkgs/applications/misc/loxodo/default.nix b/pkgs/applications/misc/loxodo/default.nix
index 77dd39bc0601..a5b729c3cf3b 100644
--- a/pkgs/applications/misc/loxodo/default.nix
+++ b/pkgs/applications/misc/loxodo/default.nix
@@ -33,6 +33,7 @@ python3.pkgs.buildPythonApplication {
meta = with lib; {
description = "A Password Safe V3 compatible password vault";
+ mainProgram = "loxodo";
homepage = "https://www.christoph-sommer.de/loxodo/";
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/misc/lscolors/default.nix b/pkgs/applications/misc/lscolors/default.nix
index d61499ed0737..104f1baa037d 100644
--- a/pkgs/applications/misc/lscolors/default.nix
+++ b/pkgs/applications/misc/lscolors/default.nix
@@ -22,5 +22,6 @@ rustPlatform.buildRustPackage rec {
changelog = "https://github.com/sharkdp/lscolors/releases/tag/v${version}";
license = with licenses; [ asl20 /* or */ mit ];
maintainers = with maintainers; [ SuperSandro2000 ];
+ mainProgram = "lscolors";
};
}
diff --git a/pkgs/applications/misc/lswt/default.nix b/pkgs/applications/misc/lswt/default.nix
index 59315ec9b7fd..98f36028dbc2 100644
--- a/pkgs/applications/misc/lswt/default.nix
+++ b/pkgs/applications/misc/lswt/default.nix
@@ -25,5 +25,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl3Only;
maintainers = with maintainers; [ edrex ];
platforms = platforms.linux;
+ mainProgram = "lswt";
};
}
diff --git a/pkgs/applications/misc/ltwheelconf/default.nix b/pkgs/applications/misc/ltwheelconf/default.nix
index 52fac4941562..b9c53a58b03d 100644
--- a/pkgs/applications/misc/ltwheelconf/default.nix
+++ b/pkgs/applications/misc/ltwheelconf/default.nix
@@ -25,5 +25,6 @@ stdenv.mkDerivation {
license = licenses.gpl3;
maintainers = [ maintainers.ebzzry ];
platforms = platforms.linux;
+ mainProgram = "ltwheelconf";
};
}
diff --git a/pkgs/applications/misc/lunatask/default.nix b/pkgs/applications/misc/lunatask/default.nix
index b298992fbf83..017eb17a40ad 100644
--- a/pkgs/applications/misc/lunatask/default.nix
+++ b/pkgs/applications/misc/lunatask/default.nix
@@ -37,5 +37,6 @@ appimageTools.wrapType2 rec {
sourceProvenance = with sourceTypes; [ binaryNativeCode ];
maintainers = with maintainers; [ henkery ];
platforms = [ "x86_64-linux" ];
+ mainProgram = "lunatask";
};
}
diff --git a/pkgs/applications/misc/lutris/fhsenv.nix b/pkgs/applications/misc/lutris/fhsenv.nix
index 7f78b60f45bc..acdbf8835e31 100644
--- a/pkgs/applications/misc/lutris/fhsenv.nix
+++ b/pkgs/applications/misc/lutris/fhsenv.nix
@@ -12,6 +12,14 @@ let
libX11 libXrender libXrandr libxcb libXmu libpthreadstubs libXext libXdmcp
libXxf86vm libXinerama libSM libXv libXaw libXi libXcursor libXcomposite
];
+ gstreamerDeps = pkgs: with pkgs.gst_all_1; [
+ gstreamer
+ gst-plugins-base
+ gst-plugins-good
+ gst-plugins-ugly
+ gst-plugins-bad
+ gst-libav
+ ];
in buildFHSEnv {
name = "lutris";
@@ -121,6 +129,7 @@ in buildFHSEnv {
# Winetricks
fribidi
] ++ xorgDeps pkgs
+ ++ gstreamerDeps pkgs
++ extraLibraries pkgs;
extraInstallCommands = ''
diff --git a/pkgs/applications/misc/lyx/default.nix b/pkgs/applications/misc/lyx/default.nix
index 514cca9e8a5e..dc79cc5922fb 100644
--- a/pkgs/applications/misc/lyx/default.nix
+++ b/pkgs/applications/misc/lyx/default.nix
@@ -3,12 +3,12 @@
}:
mkDerivation rec {
- version = "2.3.6.1";
+ version = "2.3.7-1";
pname = "lyx";
src = fetchurl {
url = "ftp://ftp.lyx.org/pub/lyx/stable/2.3.x/${pname}-${version}.tar.xz";
- sha256 = "sha256-xr7SYzQZiY4Bp8w1AxDX2TS/WRyrcln8JYGqTADq+ng=";
+ sha256 = "sha256-Ob6IZPuGs06IMQ5w+4Dl6eKWYB8IVs8WGqCUFxcY2O0=";
};
# Needed with GCC 12
diff --git a/pkgs/applications/misc/madonctl/default.nix b/pkgs/applications/misc/madonctl/default.nix
index 0e204ea83854..203d71a6cc48 100644
--- a/pkgs/applications/misc/madonctl/default.nix
+++ b/pkgs/applications/misc/madonctl/default.nix
@@ -33,5 +33,6 @@ buildGoModule rec {
homepage = "https://github.com/McKael/madonctl";
license = licenses.mit;
maintainers = with maintainers; [ ];
+ mainProgram = "madonctl";
};
}
diff --git a/pkgs/applications/misc/mainsail/default.nix b/pkgs/applications/misc/mainsail/default.nix
index cb9ce043e9ce..4f4c91505027 100644
--- a/pkgs/applications/misc/mainsail/default.nix
+++ b/pkgs/applications/misc/mainsail/default.nix
@@ -5,11 +5,11 @@
stdenvNoCC.mkDerivation rec {
pname = "mainsail";
- version = "2.9.1";
+ version = "2.10.0";
src = fetchzip {
url = "https://github.com/mainsail-crew/mainsail/releases/download/v${version}/mainsail.zip";
- hash = "sha256-OrCS+0zfXs72vJbrqjvEaHJWD0ndozfCcHs1N9Gqios=";
+ hash = "sha256-5bRmM/BXI0Afe7UK6avh5aWFXkYF4MsUG71uYUc5JlA=";
stripRoot = false;
};
diff --git a/pkgs/applications/misc/makehuman/default.nix b/pkgs/applications/misc/makehuman/default.nix
new file mode 100644
index 000000000000..70cb33643ee6
--- /dev/null
+++ b/pkgs/applications/misc/makehuman/default.nix
@@ -0,0 +1,145 @@
+{ stdenv
+, lib
+, fetchpatch
+, fetchFromGitHub
+, python3
+, qtbase
+, qttools
+, git-lfs
+, wrapQtAppsHook
+}:
+
+let
+ pydeps = with python3.pkgs; [
+ numpy
+ pyqt5
+ pyopengl
+ ];
+ python = python3.withPackages (pkgs: pydeps);
+in
+stdenv.mkDerivation rec {
+ pname = "makehuman";
+ version = "1.2.0";
+
+ source = fetchFromGitHub {
+ owner = "makehumancommunity";
+ repo = "makehuman";
+ rev = "v${version}";
+ hash = "sha256-mCv6H0B7b4uxozpNHkKsG+Is2H0QYEJnnzKCHixhBpY=";
+ name = "${pname}-source";
+ };
+
+ assets = fetchFromGitHub {
+ owner = "makehumancommunity";
+ repo = "makehuman-assets";
+ rev = "v${version}";
+ hash = "sha256-Jd2A0PAHVdFMnDLq4Mu5wsK/E6A4QpKjUyv66ix1Gbo=";
+ name = "${pname}-assets-source";
+ };
+
+ patches = [
+ # work with numpy>=1.24
+ (fetchpatch {
+ name = "fix-compile_targets.py-when-using-numpy-1.24.0-or-newer";
+ url = "https://patch-diff.githubusercontent.com/raw/makehumancommunity/makehuman/pull/220.patch";
+ hash = "sha256-ip7U83cCBrl+4gM1GZ2QQIER5Qur6HRu3a/TnHqk//g=";
+ })
+ # crash related to collections.Callable -> collections.abc.Callable
+ (fetchpatch {
+ name = "remove-unnecessary-compatibility-test";
+ url = "https://patch-diff.githubusercontent.com/raw/makehumancommunity/makehuman/pull/188.patch";
+ hash = "sha256-HGrk3n7rhV4YgK8mNUdfHwQl8dFT8yuzjxorvwfMmJw=";
+ })
+ # some OpenGL issue causing blank windows on recent Qt
+ (fetchpatch {
+ name = "qt-opengl-update-from-qglwidget-to-qopenglwidget-to-fix-blank";
+ url = "https://patch-diff.githubusercontent.com/raw/makehumancommunity/makehuman/pull/197.patch";
+ hash = "sha256-fEqBwg1Jd36nKWIT9XPr6Buj1N3AmTQg2LBaoX3eTxw=";
+ })
+ # multisampling issue
+ (fetchpatch {
+ name = "switch-default-for-multisampling-and-disable-sample-buffers";
+ url = "https://github.com/makehumancommunity/makehuman/commit/c47b884028a24eb190d097e7523a3059e439cb6f.patch";
+ hash = "sha256-tknQHX9qQYH15gyOLNhxfO3bsFVIv3Z1F7ZXD1IT1h4=";
+ })
+ # PyQt >= 5.12
+ (fetchpatch {
+ name = "fix-scrolling-issue-on-pyqt5>=5.12";
+ url = "https://github.com/makehumancommunity/makehuman/commit/02c4269a2d4c57f68159fe8f437a8b1978b99099.patch";
+ hash = "sha256-yR5tZcELX0N83PW/vS6yB5xKoZcHhVp48invlu7quWM=";
+ })
+ ];
+
+ srcs = [
+ source
+ assets
+ ];
+
+ sourceRoot = ".";
+
+ nativeBuildInputs = [
+ python
+ qtbase
+ git-lfs
+ wrapQtAppsHook
+ ];
+
+ buildInputs = [
+ python
+ qtbase
+ ];
+
+ propagatedBuildInputs = with python3.pkgs; [
+ pydeps
+ ];
+
+ finalSource = "${pname}-final";
+
+ postUnpack = ''
+ mkdir -p $finalSource
+ cp -r $source/makehuman $finalSource
+ chmod u+w $finalSource --recursive
+ cp -r $assets/base/* $finalSource/makehuman/data
+ chmod u+w $finalSource --recursive
+ sourceRoot=$finalSource
+ '';
+
+ configurePhase = ''
+ runHook preConfigure
+ pushd ./makehuman
+ bash ./cleannpz.sh
+ bash ./cleanpyc.sh
+ python3 ./compile_targets.py
+ python3 ./compile_models.py
+ python3 ./compile_proxies.py
+ popd
+ runHook postConfigure
+ '';
+
+ buildPhase = ''
+ runHook preBuild
+ mkdir -p $out/opt $out/bin
+ cp -r * $out/opt
+ python -m compileall -o 0 -o 2 $out/opt
+ ln -s $out/opt/makehuman/makehuman.py $out/bin/makehuman
+ chmod +x $out/bin/makehuman
+ runHook postBuild
+ '';
+
+ preFixup = ''
+ wrapQtApp $out/bin/makehuman
+ '';
+
+ meta = {
+ description = "Software to create realistic humans";
+ homepage = "http://www.makehumancommunity.org/";
+ license = with lib.licenses; [ agpl3Plus cc0 ];
+ longDescription = ''
+ MakeHuman is a GUI program for procedurally generating
+ realistic-looking humans.
+ '';
+ mainProgram = "makehuman";
+ maintainers = with lib.maintainers; [ elisesouche ];
+ platforms = lib.platforms.all;
+ };
+}
diff --git a/pkgs/applications/misc/makeself/default.nix b/pkgs/applications/misc/makeself/default.nix
index 3226797ca4be..91a2e11b3666 100644
--- a/pkgs/applications/misc/makeself/default.nix
+++ b/pkgs/applications/misc/makeself/default.nix
@@ -23,16 +23,18 @@ stdenv.mkDerivation rec {
checkTarget = "test";
nativeCheckInputs = [ which zstd pbzip2 ];
+ sharePath = "$out/share/${pname}";
+
installPhase = ''
runHook preInstall
installManPage makeself.1
install -Dm555 makeself.sh $out/bin/makeself
- install -Dm444 -t $out/share/${pname}/ makeself.lsm README.md makeself-header.sh
+ install -Dm444 -t ${sharePath}/ makeself.lsm README.md makeself-header.sh
runHook postInstall
'';
fixupPhase = ''
- sed -e "s|^HEADER=.*|HEADER=$out/share/${pname}-${version}/makeself-header.sh|" -i $out/bin/makeself
+ sed -e "s|^HEADER=.*|HEADER=${sharePath}/makeself-header.sh|" -i $out/bin/makeself
'';
meta = with lib; {
@@ -41,5 +43,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2;
maintainers = [ maintainers.wmertens ];
platforms = platforms.all;
+ mainProgram = "makeself";
};
}
diff --git a/pkgs/applications/misc/mako/default.nix b/pkgs/applications/misc/mako/default.nix
index b4eeb51049be..ca7849c566a9 100644
--- a/pkgs/applications/misc/mako/default.nix
+++ b/pkgs/applications/misc/mako/default.nix
@@ -1,5 +1,5 @@
{ lib, stdenv, fetchFromGitHub, meson, ninja, pkg-config, scdoc
-, systemd, pango, cairo, gdk-pixbuf, jq
+, systemd, pango, cairo, gdk-pixbuf, jq, bash
, wayland, wayland-protocols
, wrapGAppsHook }:
@@ -25,7 +25,7 @@ stdenv.mkDerivation rec {
preFixup = ''
gappsWrapperArgs+=(
- --prefix PATH : "${lib.makeBinPath [ systemd /* for busctl */ jq ]}"
+ --prefix PATH : "${lib.makeBinPath [ systemd /* for busctl */ jq bash ]}"
)
'';
diff --git a/pkgs/applications/misc/maliit-framework/default.nix b/pkgs/applications/misc/maliit-framework/default.nix
index ce8ebef16a89..2f30e805c584 100644
--- a/pkgs/applications/misc/maliit-framework/default.nix
+++ b/pkgs/applications/misc/maliit-framework/default.nix
@@ -70,6 +70,7 @@ mkDerivation rec {
meta = with lib; {
description = "Core libraries of Maliit and server";
+ mainProgram = "maliit-server";
homepage = "http://maliit.github.io/";
license = licenses.lgpl21Plus;
maintainers = with maintainers; [ samueldr ];
diff --git a/pkgs/applications/misc/maliit-keyboard/default.nix b/pkgs/applications/misc/maliit-keyboard/default.nix
index b662c2522df8..3669dfe5a5b7 100644
--- a/pkgs/applications/misc/maliit-keyboard/default.nix
+++ b/pkgs/applications/misc/maliit-keyboard/default.nix
@@ -67,6 +67,7 @@ mkDerivation rec {
meta = with lib; {
description = "Virtual keyboard";
+ mainProgram = "maliit-keyboard";
homepage = "http://maliit.github.io/";
license = with licenses; [ lgpl3Only bsd3 cc-by-30 ];
maintainers = with maintainers; [ samueldr ];
diff --git a/pkgs/applications/misc/mangal/default.nix b/pkgs/applications/misc/mangal/default.nix
index 6edc9da4f602..cc485d84e8e0 100644
--- a/pkgs/applications/misc/mangal/default.nix
+++ b/pkgs/applications/misc/mangal/default.nix
@@ -35,5 +35,6 @@ buildGoModule rec {
homepage = "https://github.com/metafates/mangal";
license = licenses.mit;
maintainers = [ maintainers.bertof ];
+ mainProgram = "mangal";
};
}
diff --git a/pkgs/applications/misc/mbutil/default.nix b/pkgs/applications/misc/mbutil/default.nix
index 69b61afaee55..13809abe3929 100644
--- a/pkgs/applications/misc/mbutil/default.nix
+++ b/pkgs/applications/misc/mbutil/default.nix
@@ -16,6 +16,7 @@ buildPythonApplication rec {
meta = with lib; {
description = "An importer and exporter for MBTiles";
+ mainProgram = "mb-util";
homepage = "https://github.com/mapbox/mbutil";
license = licenses.bsd3;
platforms = platforms.unix;
diff --git a/pkgs/applications/misc/mdp/default.nix b/pkgs/applications/misc/mdp/default.nix
index 2c13ed4ecc9e..fd3ab88ff4c8 100644
--- a/pkgs/applications/misc/mdp/default.nix
+++ b/pkgs/applications/misc/mdp/default.nix
@@ -21,5 +21,6 @@ stdenv.mkDerivation rec {
maintainers = with maintainers; [ matthiasbeyer vrthra ];
license = licenses.gpl3;
platforms = with platforms; unix;
+ mainProgram = "mdp";
};
}
diff --git a/pkgs/applications/misc/mdzk/default.nix b/pkgs/applications/misc/mdzk/default.nix
index 98bcda9943fc..e4093295df68 100644
--- a/pkgs/applications/misc/mdzk/default.nix
+++ b/pkgs/applications/misc/mdzk/default.nix
@@ -26,5 +26,6 @@ rustPlatform.buildRustPackage rec {
changelog = "https://github.com/mdzk-rs/mdzk/blob/main/CHANGELOG.md";
license = licenses.mpl20;
maintainers = with maintainers; [ bryanasdev000 ratsclub ];
+ mainProgram = "mdzk";
};
}
diff --git a/pkgs/applications/misc/mediaelch/default.nix b/pkgs/applications/misc/mediaelch/default.nix
index bc85e674c575..b1d472a605a6 100644
--- a/pkgs/applications/misc/mediaelch/default.nix
+++ b/pkgs/applications/misc/mediaelch/default.nix
@@ -70,6 +70,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://mediaelch.de/mediaelch/";
description = "Media Manager for Kodi";
+ mainProgram = "MediaElch";
license = licenses.lgpl3Only;
maintainers = with maintainers; [ stunkymonkey ];
platforms = platforms.linux;
diff --git a/pkgs/applications/misc/mediainfo-gui/default.nix b/pkgs/applications/misc/mediainfo-gui/default.nix
index e4b734b89aac..bbb4f6432fb4 100644
--- a/pkgs/applications/misc/mediainfo-gui/default.nix
+++ b/pkgs/applications/misc/mediainfo-gui/default.nix
@@ -6,11 +6,11 @@ let
in
stdenv.mkDerivation rec {
pname = "mediainfo-gui";
- version = "23.11";
+ version = "24.01.1";
src = fetchurl {
url = "https://mediaarea.net/download/source/mediainfo/${version}/mediainfo_${version}.tar.xz";
- hash = "sha256-gByxsNG//MEibeymISoe41Mi6LsSYwozu7B6kqioycM=";
+ hash = "sha256-MupkbVyGxj1UQY0QsnNiYKtD5Lcn+B6N1ez16bXj/TQ=";
};
nativeBuildInputs = [ autoreconfHook pkg-config ];
diff --git a/pkgs/applications/misc/mediainfo/default.nix b/pkgs/applications/misc/mediainfo/default.nix
index b6a5166bd213..3891715e7b23 100644
--- a/pkgs/applications/misc/mediainfo/default.nix
+++ b/pkgs/applications/misc/mediainfo/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "mediainfo";
- version = "23.11";
+ version = "24.01.1";
src = fetchurl {
url = "https://mediaarea.net/download/source/mediainfo/${version}/mediainfo_${version}.tar.xz";
- hash = "sha256-gByxsNG//MEibeymISoe41Mi6LsSYwozu7B6kqioycM=";
+ hash = "sha256-MupkbVyGxj1UQY0QsnNiYKtD5Lcn+B6N1ez16bXj/TQ=";
};
nativeBuildInputs = [ autoreconfHook pkg-config ];
diff --git a/pkgs/applications/misc/meerk40t/default.nix b/pkgs/applications/misc/meerk40t/default.nix
index dfa643ec3a7a..c1a0b41a58ac 100644
--- a/pkgs/applications/misc/meerk40t/default.nix
+++ b/pkgs/applications/misc/meerk40t/default.nix
@@ -8,14 +8,14 @@
python3Packages.buildPythonApplication rec {
pname = "MeerK40t";
- version = "0.9.3010";
+ version = "0.9.4000";
pyproject = true;
src = fetchFromGitHub {
owner = "meerk40t";
repo = pname;
rev = "refs/tags/${version}";
- hash = "sha256-RlIWqxmUiL1gFMxwcdWxDiebmEzVz6kTaSlAZHr8S+I=";
+ hash = "sha256-ceDnnHdmJ6VOrM9pSxjeKQ748E8fsIqSQ36qFpXc9Ac=";
};
nativeBuildInputs = [
@@ -74,6 +74,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
changelog = "https://github.com/meerk40t/meerk40t/releases/tag/${version}";
description = "MeerK40t LaserCutter Software";
+ mainProgram = "meerk40t";
homepage = "https://github.com/meerk40t/meerk40t";
license = licenses.mit;
maintainers = with maintainers; [ hexa ];
diff --git a/pkgs/applications/misc/megacmd/default.nix b/pkgs/applications/misc/megacmd/default.nix
index 9875631466c3..4a4d9a70fdab 100644
--- a/pkgs/applications/misc/megacmd/default.nix
+++ b/pkgs/applications/misc/megacmd/default.nix
@@ -8,7 +8,6 @@
# build fails with latest ffmpeg, see https://github.com/meganz/MEGAcmd/issues/523.
# to be re-enabled when patch available
# , ffmpeg
-, freeimage
, gcc-unwrapped
, libmediainfo
, libraw
@@ -41,7 +40,6 @@ stdenv.mkDerivation rec {
cryptopp
curl
# ffmpeg
- freeimage
gcc-unwrapped
libmediainfo
libraw
@@ -60,7 +58,7 @@ stdenv.mkDerivation rec {
"--with-cryptopp"
"--with-curl"
# "--with-ffmpeg"
- "--with-freeimage"
+ "--without-freeimage" # disabled as freeimage is insecure
"--with-libmediainfo"
"--with-libuv"
"--with-libzen"
diff --git a/pkgs/applications/misc/memo/default.nix b/pkgs/applications/misc/memo/default.nix
index 885e5466f8b3..93ef8192f54b 100644
--- a/pkgs/applications/misc/memo/default.nix
+++ b/pkgs/applications/misc/memo/default.nix
@@ -48,5 +48,6 @@ stdenv.mkDerivation rec {
license = lib.licenses.gpl3;
maintainers = [ lib.maintainers.mrVanDalo ];
platforms = lib.platforms.all;
+ mainProgram = "memo";
};
}
diff --git a/pkgs/applications/misc/mencal/default.nix b/pkgs/applications/misc/mencal/default.nix
index c4973b275534..011db7455fcf 100644
--- a/pkgs/applications/misc/mencal/default.nix
+++ b/pkgs/applications/misc/mencal/default.nix
@@ -28,5 +28,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2;
maintainers = [ maintainers.mmahut ];
platforms = platforms.all;
+ mainProgram = "mencal";
};
}
diff --git a/pkgs/applications/misc/menumaker/default.nix b/pkgs/applications/misc/menumaker/default.nix
index c0958cd23537..23ec74cd8b8d 100644
--- a/pkgs/applications/misc/menumaker/default.nix
+++ b/pkgs/applications/misc/menumaker/default.nix
@@ -13,6 +13,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "Heuristics-driven menu generator for several window managers";
+ mainProgram = "mmaker";
homepage = "https://menumaker.sourceforge.net";
license = licenses.bsd2;
platforms = platforms.unix;
diff --git a/pkgs/applications/misc/metadata-cleaner/default.nix b/pkgs/applications/misc/metadata-cleaner/default.nix
index 88df68f55979..24970e7a5832 100644
--- a/pkgs/applications/misc/metadata-cleaner/default.nix
+++ b/pkgs/applications/misc/metadata-cleaner/default.nix
@@ -57,6 +57,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "Python GTK application to view and clean metadata in files, using mat2";
+ mainProgram = "metadata-cleaner";
homepage = "https://gitlab.com/rmnvgr/metadata-cleaner";
changelog = "https://gitlab.com/rmnvgr/metadata-cleaner/-/blob/${src.rev}/CHANGELOG.md";
license = with licenses; [ gpl3Plus cc-by-sa-40 ];
diff --git a/pkgs/applications/misc/metamorphose2/default.nix b/pkgs/applications/misc/metamorphose2/default.nix
index 9b2b7bdde881..c5950e2e9df0 100644
--- a/pkgs/applications/misc/metamorphose2/default.nix
+++ b/pkgs/applications/misc/metamorphose2/default.nix
@@ -39,5 +39,6 @@ stdenv.mkDerivation {
license = with licenses; gpl3Plus;
maintainers = with maintainers; [ ramkromberg ];
platforms = with platforms; linux;
+ mainProgram = "metamorphose2";
};
}
diff --git a/pkgs/applications/misc/metar/default.nix b/pkgs/applications/misc/metar/default.nix
index 07ac41f7281e..d1edfb6a761f 100644
--- a/pkgs/applications/misc/metar/default.nix
+++ b/pkgs/applications/misc/metar/default.nix
@@ -28,5 +28,6 @@ stdenv.mkDerivation {
'';
license = licenses.gpl2Plus;
maintainers = with maintainers; [ zalakain ];
+ mainProgram = "metar";
};
}
diff --git a/pkgs/applications/misc/michabo/default.nix b/pkgs/applications/misc/michabo/default.nix
index c356dc19786d..6329bd9d2495 100644
--- a/pkgs/applications/misc/michabo/default.nix
+++ b/pkgs/applications/misc/michabo/default.nix
@@ -43,6 +43,7 @@ in mkDerivation rec {
meta = with lib; {
description = "A native desktop app for Pleroma and Mastodon servers";
+ mainProgram = "Michabo";
homepage = "https://git.pleroma.social/kaniini/michabo";
license = licenses.gpl3;
maintainers = with maintainers; [ fgaz ];
diff --git a/pkgs/applications/misc/milu/default.nix b/pkgs/applications/misc/milu/default.nix
index a941f6251498..b05a1c815baa 100644
--- a/pkgs/applications/misc/milu/default.nix
+++ b/pkgs/applications/misc/milu/default.nix
@@ -34,6 +34,7 @@ stdenv.mkDerivation {
license = lib.licenses.bsd2;
platforms = lib.platforms.linux;
maintainers = [ lib.maintainers.vrthra ];
+ mainProgram = "milu";
};
}
diff --git a/pkgs/applications/misc/mkgmap/build.xml.patch b/pkgs/applications/misc/mkgmap/build.xml.patch
index 2aced4452062..567c0d5586f8 100644
--- a/pkgs/applications/misc/mkgmap/build.xml.patch
+++ b/pkgs/applications/misc/mkgmap/build.xml.patch
@@ -1,14 +1,6 @@
--- a/build.xml (revision 4555)
+++ a/build.xml (working copy)
-@@ -222,13 +222,13 @@
-
-
-
--
--
-+
-+
-
+@@ -228,7 +228,7 @@
@@ -35,12 +27,3 @@
-@@ -351,7 +351,7 @@
- ignoreerrors="true"/>
-
-
--
-
-
diff --git a/pkgs/applications/misc/mkgmap/default.nix b/pkgs/applications/misc/mkgmap/default.nix
index 298aa2ef40f4..7f97818c7452 100644
--- a/pkgs/applications/misc/mkgmap/default.nix
+++ b/pkgs/applications/misc/mkgmap/default.nix
@@ -1,11 +1,12 @@
-{ lib, stdenv
+{ lib
+, stdenv
, fetchurl
, fetchsvn
-, substituteAll
, jdk
, jre
, ant
, makeWrapper
+, stripJavaArchivesHook
, doCheck ? true
, withExamples ? false
}:
@@ -15,23 +16,29 @@ let
in
stdenv.mkDerivation rec {
pname = "mkgmap";
- version = "4916";
+ version = "4918";
src = fetchsvn {
url = "https://svn.mkgmap.org.uk/mkgmap/mkgmap/trunk";
rev = version;
- sha256 = "sha256-Ok6s1DaTZBcYtkHA7WAxjGz0HycvFqBpkwZIirc+dFU=";
+ sha256 = "sha256-oQ/2KY6xA/kwAroHiPqcIJlcPsTTeStUu8WN/95ZUTw=";
};
patches = [
- (substituteAll {
- # Disable automatic download of dependencies
- src = ./build.xml.patch;
- inherit version;
- })
+ # Disable automatic download of dependencies
+ ./build.xml.patch
+ ./ignore-impure-test.patch
];
postPatch = with deps; ''
+ # Manually create version properties file for reproducibility
+ mkdir -p build/classes
+ cat > build/classes/mkgmap-version.properties << EOF
+ svn.version=${version}
+ build.timestamp=unknown
+ EOF
+
+ # Put pre-fetched dependencies into the right place
mkdir -p lib/compile
cp ${fastutil} lib/compile/${fastutil.name}
cp ${osmpbf} lib/compile/${osmpbf.name}
@@ -51,37 +58,53 @@ stdenv.mkDerivation rec {
'') testInputs}
'';
- nativeBuildInputs = [ jdk ant makeWrapper ];
+ nativeBuildInputs = [ jdk ant makeWrapper stripJavaArchivesHook ];
- buildPhase = "ant";
+ buildPhase = ''
+ runHook preBuild
+ ant
+ runHook postBuild
+ '';
inherit doCheck;
- checkPhase = "ant test";
+ checkPhase = ''
+ runHook preCheck
+ ant test
+ runHook postCheck
+ '';
installPhase = ''
+ runHook preInstall
+
install -Dm644 dist/mkgmap.jar -t $out/share/java/mkgmap
install -Dm644 dist/doc/mkgmap.1 -t $out/share/man/man1
cp -r dist/lib/ $out/share/java/mkgmap/
makeWrapper ${jre}/bin/java $out/bin/mkgmap \
--add-flags "-jar $out/share/java/mkgmap/mkgmap.jar"
- '' + lib.optionalString withExamples ''
- mkdir -p $out/share/mkgmap
- cp -r dist/examples $out/share/mkgmap/
+
+ ${lib.optionalString withExamples ''
+ mkdir -p $out/share/mkgmap
+ cp -r dist/examples $out/share/mkgmap/
+ ''}
+
+ runHook postInstall
'';
passthru.updateScript = [ ./update.sh "mkgmap" meta.downloadPage ];
meta = with lib; {
description = "Create maps for Garmin GPS devices from OpenStreetMap (OSM) data";
- homepage = "https://www.mkgmap.org.uk/";
downloadPage = "https://www.mkgmap.org.uk/download/mkgmap.html";
- sourceProvenance = with sourceTypes; [
- fromSource
- binaryBytecode # deps
- ];
+ homepage = "https://www.mkgmap.org.uk/";
license = licenses.gpl2Only;
+ mainProgram = "mkgmap";
maintainers = with maintainers; [ sikmir ];
platforms = platforms.all;
+ sourceProvenance = with sourceTypes; [
+ fromSource
+ binaryBytecode # deps
+ ];
};
+
}
diff --git a/pkgs/applications/misc/mkgmap/ignore-impure-test.patch b/pkgs/applications/misc/mkgmap/ignore-impure-test.patch
new file mode 100644
index 000000000000..1ed2f7092f08
--- /dev/null
+++ b/pkgs/applications/misc/mkgmap/ignore-impure-test.patch
@@ -0,0 +1,20 @@
+diff --git a/test/uk/me/parabola/imgfmt/app/srt/SrtCollatorTest.java b/test/uk/me/parabola/imgfmt/app/srt/SrtCollatorTest.java
+index e1e4ac7..954b918 100644
+--- a/test/uk/me/parabola/imgfmt/app/srt/SrtCollatorTest.java
++++ b/test/uk/me/parabola/imgfmt/app/srt/SrtCollatorTest.java
+@@ -17,6 +17,7 @@ import java.text.Collator;
+ import uk.me.parabola.mkgmap.srt.SrtTextReader;
+
+ import org.junit.Before;
++import org.junit.Ignore;
+ import org.junit.Test;
+
+ import static org.junit.Assert.*;
+@@ -111,6 +112,7 @@ public class SrtCollatorTest {
+ * meant to be identical to the java one.
+ */
+ @Test
++ @Ignore
+ public void testJavaRules() {
+ Collator collator = Collator.getInstance();
+
diff --git a/pkgs/applications/misc/mkgmap/splitter/build.xml.patch b/pkgs/applications/misc/mkgmap/splitter/build.xml.patch
index a028dbef0314..1fb0a14ef4c3 100644
--- a/pkgs/applications/misc/mkgmap/splitter/build.xml.patch
+++ b/pkgs/applications/misc/mkgmap/splitter/build.xml.patch
@@ -1,13 +1,6 @@
--- a/build.xml (revision 597)
+++ a/build.xml (working copy)
-@@ -207,12 +207,12 @@
-
-
-
--
--
-+
-+
+@@ -212,7 +212,7 @@
@@ -25,15 +18,6 @@
-@@ -261,7 +261,7 @@
-
-
-
--
-+
-
-
-
@@ -324,7 +324,7 @@
diff --git a/pkgs/applications/misc/mkgmap/splitter/default.nix b/pkgs/applications/misc/mkgmap/splitter/default.nix
index d9b886cbe2b3..801af789d47d 100644
--- a/pkgs/applications/misc/mkgmap/splitter/default.nix
+++ b/pkgs/applications/misc/mkgmap/splitter/default.nix
@@ -1,11 +1,12 @@
-{ lib, stdenv
+{ lib
+, stdenv
, fetchurl
, fetchsvn
-, substituteAll
, jdk
, jre
, ant
, makeWrapper
+, stripJavaArchivesHook
, doCheck ? true
}:
let
@@ -23,17 +24,21 @@ stdenv.mkDerivation rec {
};
patches = [
- (substituteAll {
- # Disable automatic download of dependencies
- src = ./build.xml.patch;
- inherit version;
- })
-
+ # Disable automatic download of dependencies
+ ./build.xml.patch
# Fix func.SolverAndProblemGeneratorTest test
./fix-failing-test.patch
];
postPatch = with deps; ''
+ # Manually create version properties file for reproducibility
+ mkdir -p build/classes
+ cat > build/classes/splitter-version.properties << EOF
+ svn.version=${version}
+ build.timestamp=unknown
+ EOF
+
+ # Put pre-fetched dependencies into the right place
mkdir -p lib/compile
cp ${fastutil} lib/compile/${fastutil.name}
cp ${osmpbf} lib/compile/${osmpbf.name}
@@ -50,34 +55,48 @@ stdenv.mkDerivation rec {
'') testInputs}
'';
- nativeBuildInputs = [ jdk ant makeWrapper ];
+ nativeBuildInputs = [ jdk ant makeWrapper stripJavaArchivesHook ];
- buildPhase = "ant";
+ buildPhase = ''
+ runHook preBuild
+ ant
+ runHook postBuild
+ '';
inherit doCheck;
- checkPhase = "ant run.tests && ant run.func-tests";
+ checkPhase = ''
+ runHook preCheck
+ ant run.tests
+ ant run.func-tests
+ runHook postCheck
+ '';
installPhase = ''
+ runHook preInstall
+
install -Dm644 dist/splitter.jar -t $out/share/java/splitter
install -Dm644 doc/splitter.1 -t $out/share/man/man1
cp -r dist/lib/ $out/share/java/splitter/
makeWrapper ${jre}/bin/java $out/bin/splitter \
--add-flags "-jar $out/share/java/splitter/splitter.jar"
+
+ runHook postInstall
'';
passthru.updateScript = [ ../update.sh "mkgmap-splitter" meta.downloadPage ];
meta = with lib; {
description = "Utility for splitting OpenStreetMap maps into tiles";
- homepage = "https://www.mkgmap.org.uk/";
downloadPage = "https://www.mkgmap.org.uk/download/splitter.html";
- sourceProvenance = with sourceTypes; [
- fromSource
- binaryBytecode # deps
- ];
+ homepage = "https://www.mkgmap.org.uk/";
license = licenses.gpl2Only;
+ mainProgram = "splitter";
maintainers = with maintainers; [ sikmir ];
platforms = platforms.all;
+ sourceProvenance = with sourceTypes; [
+ fromSource
+ binaryBytecode # deps
+ ];
};
}
diff --git a/pkgs/applications/misc/mnamer/default.nix b/pkgs/applications/misc/mnamer/default.nix
index e928e631c07c..fbcc9e61be45 100644
--- a/pkgs/applications/misc/mnamer/default.nix
+++ b/pkgs/applications/misc/mnamer/default.nix
@@ -40,6 +40,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
homepage = "https://github.com/jkwill87/mnamer";
description = "An intelligent and highly configurable media organization utility";
+ mainProgram = "mnamer";
license = licenses.mit;
maintainers = with maintainers; [ urlordjames ];
};
diff --git a/pkgs/applications/misc/mob/default.nix b/pkgs/applications/misc/mob/default.nix
index f41e927185ec..7fb218266fa1 100644
--- a/pkgs/applications/misc/mob/default.nix
+++ b/pkgs/applications/misc/mob/default.nix
@@ -35,6 +35,7 @@ buildGoModule rec {
meta = with lib; {
description = "Tool for smooth git handover";
+ mainProgram = "mob";
homepage = "https://github.com/remotemobprogramming/mob";
license = licenses.mit;
maintainers = with maintainers; [ ericdallo ];
diff --git a/pkgs/applications/misc/monitorcontrol/default.nix b/pkgs/applications/misc/monitorcontrol/default.nix
index 6c3a1ccec34e..b5a6935958e2 100644
--- a/pkgs/applications/misc/monitorcontrol/default.nix
+++ b/pkgs/applications/misc/monitorcontrol/default.nix
@@ -14,14 +14,6 @@ stdenv.mkDerivation rec {
};
# MonitorControl.${version}.dmg is APFS formatted, unpack with 7zz
- unpackCmd = ''
- runHook preUnpack
-
- 7zz x $src
-
- runHook postUnpack
- '';
-
nativeBuildInputs = [ _7zz ];
sourceRoot = "MonitorControl.app";
diff --git a/pkgs/applications/misc/moonlight-embedded/default.nix b/pkgs/applications/misc/moonlight-embedded/default.nix
index 005434b7d14c..e22923e2423f 100644
--- a/pkgs/applications/misc/moonlight-embedded/default.nix
+++ b/pkgs/applications/misc/moonlight-embedded/default.nix
@@ -6,13 +6,13 @@
stdenv.mkDerivation rec {
pname = "moonlight-embedded";
- version = "2.6.2";
+ version = "2.7.0";
src = fetchFromGitHub {
owner = "moonlight-stream";
repo = "moonlight-embedded";
rev = "v${version}";
- sha256 = "sha256-57gD8vyUk4+eJB+QkD+hZzyzM+Lhvue1mY7xSApYWn8=";
+ sha256 = "sha256-Jc706BjIT3rS9zwntNOdgszP4CHuX+qxvPvWeU68Amg=";
fetchSubmodules = true;
};
diff --git a/pkgs/applications/misc/mop/default.nix b/pkgs/applications/misc/mop/default.nix
index ddd02d45df0c..301b5302b022 100644
--- a/pkgs/applications/misc/mop/default.nix
+++ b/pkgs/applications/misc/mop/default.nix
@@ -25,5 +25,6 @@ buildGoModule rec {
description = "Simple stock tracker implemented in go";
homepage = "https://github.com/mop-tracker/mop";
license = licenses.mit;
+ mainProgram = "mop";
};
}
diff --git a/pkgs/applications/misc/mozphab/default.nix b/pkgs/applications/misc/mozphab/default.nix
index 6d8455e6915d..a19297acbc85 100644
--- a/pkgs/applications/misc/mozphab/default.nix
+++ b/pkgs/applications/misc/mozphab/default.nix
@@ -71,6 +71,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "Phabricator CLI from Mozilla to support submission of a series of commits";
+ mainProgram = "moz-phab";
longDescription = ''
moz-phab is a custom command-line tool, which communicates to
Phabricator’s API, providing several conveniences, including support for
diff --git a/pkgs/applications/misc/mpvc/default.nix b/pkgs/applications/misc/mpvc/default.nix
index 58f6962f4c3a..8736abb413ba 100644
--- a/pkgs/applications/misc/mpvc/default.nix
+++ b/pkgs/applications/misc/mpvc/default.nix
@@ -23,6 +23,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A mpc-like control interface for mpv";
+ mainProgram = "mpvc";
homepage = "https://github.com/lwilletts/mpvc";
license = licenses.mit;
maintainers = [ maintainers.neeasade ];
diff --git a/pkgs/applications/misc/mupdf/default.nix b/pkgs/applications/misc/mupdf/default.nix
index b2c2335db0b2..492722d33f49 100644
--- a/pkgs/applications/misc/mupdf/default.nix
+++ b/pkgs/applications/misc/mupdf/default.nix
@@ -155,14 +155,14 @@ stdenv.mkDerivation rec {
mkdir -p "$out/lib/pkgconfig"
cat >"$out/lib/pkgconfig/mupdf.pc" < 0) {
- // Replaces the home directory relative path with a absolute path
- if (custom_path.get (0) == '~') {
-@@ -123,7 +120,9 @@ namespace SwayNotificationCenter {
- paths += Path.build_path (Path.DIR_SEPARATOR.to_string (),
- path, "swaync/style.css");
- }
--
-+ // Fallback location. Specified in postinstall.py. Mostly for Debian
-+ paths += "/usr/local/etc/xdg/swaync/style.css";
-+
- string path = "";
- foreach (string try_path in paths) {
- if (File.new_for_path (try_path).query_exists ()) {
-@@ -140,10 +139,7 @@ namespace SwayNotificationCenter {
- }
-
- public static string get_config_path (owned string ? custom_path) {
-- string[] paths = {
-- // Fallback location. Specified in postinstall.py
-- "/usr/local/etc/xdg/swaync/config.json"
-- };
-+ string[] paths = {};
- if (custom_path != null && custom_path.length > 0) {
- // Replaces the home directory relative path with a absolute path
- if (custom_path.get (0) == '~') {
-@@ -158,7 +154,9 @@ namespace SwayNotificationCenter {
- paths += Path.build_path (Path.DIR_SEPARATOR.to_string (),
- path, "swaync/config.json");
- }
--
-+ // Fallback location. Specified in postinstall.py. Mostly for Debian
-+ paths += "/usr/local/etc/xdg/swaync/config.json";
-+
- string path = "";
- foreach (string try_path in paths) {
- if (File.new_for_path (try_path).query_exists ()) {
diff --git a/pkgs/applications/misc/swaynotificationcenter/default.nix b/pkgs/applications/misc/swaynotificationcenter/default.nix
index 065ed941c386..b22c483f6177 100644
--- a/pkgs/applications/misc/swaynotificationcenter/default.nix
+++ b/pkgs/applications/misc/swaynotificationcenter/default.nix
@@ -24,22 +24,23 @@
, scdoc
, vala
, xvfb-run
+, sassc
+, pantheon
}:
stdenv.mkDerivation (finalAttrs: rec {
pname = "SwayNotificationCenter";
- version = "0.9.0";
+ version = "0.10.1";
src = fetchFromGitHub {
owner = "ErikReider";
repo = pname;
rev = "v${version}";
- hash = "sha256-mwwSTs4d9jUXUy33nSYJCRFlpH6naCmbRUSpfVacMBE=";
+ hash = "sha256-SR3FfEit50y4XSCLh3raUoigRNXpxh0mk4qLhQ/FozM=";
};
- patches = [
- ./001-backport-pr296.patch
- ];
+ # build pkg-config is required to locate the native `scdoc` input
+ depsBuildBuild = [ pkg-config ];
nativeBuildInputs = [
bash-completion
@@ -51,6 +52,7 @@ stdenv.mkDerivation (finalAttrs: rec {
ninja
pkg-config
python3
+ sassc
scdoc
vala
wrapGAppsHook
@@ -68,14 +70,14 @@ stdenv.mkDerivation (finalAttrs: rec {
libhandy
libpulseaudio
librsvg
+ pantheon.granite
# systemd # ends with broken permission
];
postPatch = ''
chmod +x build-aux/meson/postinstall.py
patchShebangs build-aux/meson/postinstall.py
-
- substituteInPlace src/functions.vala --replace /usr/local/etc $out/etc
+ substituteInPlace src/functions.vala --replace "/usr/local/etc/xdg/swaync" "$out/etc/xdg/swaync"
'';
passthru.tests.version = testers.testVersion {
diff --git a/pkgs/applications/misc/sweethome3d/default.nix b/pkgs/applications/misc/sweethome3d/default.nix
index 2c8f9993fed5..c78e1246c5c8 100644
--- a/pkgs/applications/misc/sweethome3d/default.nix
+++ b/pkgs/applications/misc/sweethome3d/default.nix
@@ -1,5 +1,6 @@
{ lib
, stdenv
+, fetchzip
, fetchurl
, makeWrapper
, makeDesktopItem
@@ -50,9 +51,12 @@ let
find . -name '*.so' | xargs strings | { grep '/nix/store' || :; } >> ./.jar-paths
'';
- nativeBuildInputs = [ makeWrapper unzip autoPatchelfHook ];
+ nativeBuildInputs = [ makeWrapper autoPatchelfHook ];
buildInputs = [ ant jdk p7zip gtk3 gsettings-desktop-schemas libXxf86vm ];
+ # upstream targets Java 7 by default
+ env.ANT_ARGS = "-DappletClassSource=8 -DappletClassTarget=8 -DclassSource=8 -DclassTarget=8";
+
buildPhase = ''
runHook preBuild
@@ -100,9 +104,6 @@ let
mainProgram = exec;
};
};
-
- d2u = lib.replaceStrings ["."] ["_"];
-
in {
application = mkSweetHome3D rec {
@@ -111,9 +112,9 @@ in {
module = "SweetHome3D";
description = "Design and visualize your future home";
license = lib.licenses.gpl2Plus;
- src = fetchurl {
+ src = fetchzip {
url = "mirror://sourceforge/sweethome3d/${module}-${version}-src.zip";
- sha256 = "sha256-Io3HfussfSy6CLHE0JCAk0gjBAla/u+pS1Gan8BxozY=";
+ hash = "sha256-RVuwxL/YATqHoQuc25ZaYgZ+o2rMOqnzU8/LLxb5Ra4=";
};
desktopName = "Sweet Home 3D";
icons = {
diff --git a/pkgs/applications/misc/sweethome3d/editors.nix b/pkgs/applications/misc/sweethome3d/editors.nix
index d5cdebb76282..f4fe14fb6751 100644
--- a/pkgs/applications/misc/sweethome3d/editors.nix
+++ b/pkgs/applications/misc/sweethome3d/editors.nix
@@ -1,6 +1,6 @@
{ lib
, stdenv
-, fetchurl
+, fetchzip
, makeWrapper
, makeDesktopItem
, jdk
@@ -18,14 +18,6 @@ let
+ removeSuffix "libraryeditor" (toLower m)
+ "-editor";
- applicationSrc = stdenv.mkDerivation {
- name = "application-src";
- src = sweethome3dApp.src;
- nativeBuildInputs = [ unzip ];
- buildPhase = "";
- installPhase = "cp -r . $out";
- };
-
mkEditorProject =
{ pname, module, version, src, license, description, desktopName }:
@@ -41,18 +33,21 @@ let
categories = [ "Graphics" "2DGraphics" "3DGraphics" ];
};
- nativeBuildInputs = [ makeWrapper unzip ];
+ nativeBuildInputs = [ makeWrapper ];
buildInputs = [ ant jdk gtk3 gsettings-desktop-schemas ];
+ # upstream targets Java 7 by default
+ env.ANT_ARGS = "-DappletClassSource=8 -DappletClassTarget=8 -DclassSource=8 -DclassTarget=8";
+
postPatch = ''
- sed -i -e 's,../SweetHome3D,${applicationSrc},g' build.xml
+ sed -i -e 's,../SweetHome3D,${sweethome3dApp.src},g' build.xml
sed -i -e 's,lib/macosx/java3d-1.6/jogl-all.jar,lib/java3d-1.6/jogl-all.jar,g' build.xml
'';
buildPhase = ''
runHook preBuild
- ant -lib ${applicationSrc}/libtest -lib ${applicationSrc}/lib -lib ${jdk}/lib
+ ant -lib ${sweethome3dApp.src}/libtest -lib ${sweethome3dApp.src}/lib -lib ${jdk}/lib
runHook postBuild
'';
@@ -90,9 +85,9 @@ in {
pname = module;
description = "Easily create SH3T files and edit the properties of the texture images it contain";
license = lib.licenses.gpl2Plus;
- src = fetchurl {
+ src = fetchzip {
url = "mirror://sourceforge/sweethome3d/${module}-${version}-src.zip";
- sha256 = "03vb9y645qzffxxdhgbjb0d98k3lafxckg2vh2s86j62b6357d0h";
+ hash = "sha256-v8hMEUujTgWvFnBTF8Dnd1iWgoIXBzGMUxBgmjdxx+g=";
};
desktopName = "Sweet Home 3D - Textures Library Editor";
};
@@ -102,10 +97,10 @@ in {
module = "FurnitureLibraryEditor";
pname = module;
description = "Quickly create SH3F files and edit the properties of the 3D models it contain";
- license = lib.licenses.gpl2;
- src = fetchurl {
+ license = lib.licenses.gpl2Plus;
+ src = fetchzip {
url = "mirror://sourceforge/sweethome3d/${module}-${version}-src.zip";
- sha256 = "sha256-r5xJlUctUdcknJfm8rbz+bdzFhqgHsHpHwxEC4mItws=";
+ hash = "sha256-pqsSxQPzsyx4PS98fgU6UFhPWhpQoepGm0uJtkvV46c=";
};
desktopName = "Sweet Home 3D - Furniture Library Editor";
};
diff --git a/pkgs/applications/misc/synapse/default.nix b/pkgs/applications/misc/synapse/default.nix
index da822f5347bf..1491a4e81291 100644
--- a/pkgs/applications/misc/synapse/default.nix
+++ b/pkgs/applications/misc/synapse/default.nix
@@ -33,5 +33,6 @@ in stdenv.mkDerivation rec {
license = licenses.gpl3;
maintainers = with maintainers; [ mahe ];
platforms = with platforms; all;
+ mainProgram = "synapse";
};
}
diff --git a/pkgs/applications/misc/syncthing-tray/default.nix b/pkgs/applications/misc/syncthing-tray/default.nix
index db734bff5878..e9db92df121c 100644
--- a/pkgs/applications/misc/syncthing-tray/default.nix
+++ b/pkgs/applications/misc/syncthing-tray/default.nix
@@ -23,5 +23,6 @@ buildGoPackage rec {
homepage = "https://github.com/alex2108/syncthing-tray";
license = licenses.mit;
maintainers = with maintainers; [ nickhu ];
+ mainProgram = "syncthing-tray";
};
}
diff --git a/pkgs/applications/misc/syncthingtray/default.nix b/pkgs/applications/misc/syncthingtray/default.nix
index 35632008f716..8029ff503119 100644
--- a/pkgs/applications/misc/syncthingtray/default.nix
+++ b/pkgs/applications/misc/syncthingtray/default.nix
@@ -1,9 +1,9 @@
-{ mkDerivation
-, lib
+{ lib
, stdenv
, fetchFromGitHub
-, substituteAll
, qtbase
+, qtsvg
+, qtwayland
, qtwebengine
, qtdeclarative
, extra-cmake-modules
@@ -34,23 +34,25 @@ https://github.com/NixOS/nixpkgs/issues/199596#issuecomment-1310136382 */
}:
stdenv.mkDerivation (finalAttrs: {
- version = "1.4.13";
+ version = "1.5.0";
pname = "syncthingtray";
src = fetchFromGitHub {
owner = "Martchus";
repo = "syncthingtray";
rev = "v${finalAttrs.version}";
- sha256 = "sha256-RysX2IAzhGz/L65nDEL2UQLXIjdkQRmMs7bqNQIR+eA=";
+ hash = "sha256-O8FLjse2gY8KNWGXpUeZ83cNk0ZuRAZJJ3Am33/ABVw=";
};
buildInputs = [
qtbase
+ qtsvg
cpp-utilities
qtutilities
boost
qtforkawesome
] ++ lib.optionals stdenv.isDarwin [ iconv ]
+ ++ lib.optionals stdenv.isLinux [ qtwayland ]
++ lib.optionals webviewSupport [ qtwebengine ]
++ lib.optionals jsSupport [ qtdeclarative ]
++ lib.optionals kioPluginSupport [ kio ]
@@ -74,15 +76,22 @@ stdenv.mkDerivation (finalAttrs: {
doCheck = !stdenv.isDarwin;
preCheck = ''
export QT_QPA_PLATFORM=offscreen
- export QT_PLUGIN_PATH="${qtbase.bin}/${qtbase.qtPluginPrefix}"
+ export QT_PLUGIN_PATH="${lib.getBin qtbase}/${qtbase.qtPluginPrefix}"
+ '';
+ postInstall = lib.optionalString stdenv.isDarwin ''
+ # put the app bundle into the proper place /Applications instead of /bin
+ mkdir -p $out/Applications
+ mv $out/bin/syncthingtray.app $out/Applications
+ # Make binary available in PATH like on other platforms
+ ln -s $out/Applications/syncthingtray.app/Contents/MacOS/syncthingtray $out/bin/syncthingtray
'';
- # don't test --help on Darwin because output is .app
- doInstallCheck = !stdenv.isDarwin;
installCheckPhase = ''
$out/bin/syncthingtray --help | grep ${finalAttrs.version}
'';
cmakeFlags = [
+ "-DQT_PACKAGE_PREFIX=Qt${lib.versions.major qtbase.version}"
+ "-DKF_PACKAGE_PREFIX=KF${lib.versions.major qtbase.version}"
"-DBUILD_TESTING=ON"
# See https://github.com/Martchus/syncthingtray/issues/208
"-DEXCLUDE_TESTS_FROM_ALL=OFF"
diff --git a/pkgs/applications/misc/synergy/darwin-no-UserNotifications-includes.patch b/pkgs/applications/misc/synergy/darwin-no-UserNotifications-includes.patch
deleted file mode 100644
index 9b37a68b9017..000000000000
--- a/pkgs/applications/misc/synergy/darwin-no-UserNotifications-includes.patch
+++ /dev/null
@@ -1,15 +0,0 @@
-diff --git a/src/gui/src/OSXHelpers.mm b/src/gui/src/OSXHelpers.mm
-index 0c98afc1..38c190a6 100644
---- a/src/gui/src/OSXHelpers.mm
-+++ b/src/gui/src/OSXHelpers.mm
-@@ -20,10 +20,6 @@
- #import
- #import
- #import
--#import
--#import
--#import
--#import
-
- #import
-
diff --git a/pkgs/applications/misc/synergy/default.nix b/pkgs/applications/misc/synergy/default.nix
index 2fe734fde00e..ef361fc6f14e 100644
--- a/pkgs/applications/misc/synergy/default.nix
+++ b/pkgs/applications/misc/synergy/default.nix
@@ -27,12 +27,12 @@
, avahi-compat
# MacOS / darwin
-, darwin
, ApplicationServices
, Carbon
, Cocoa
, CoreServices
, ScreenSaver
+, UserNotifications
}:
stdenv.mkDerivation rec {
@@ -50,10 +50,6 @@ stdenv.mkDerivation rec {
patches = [
# Without this OpenSSL from nixpkgs is not detected
./darwin-non-static-openssl.patch
- ] ++ lib.optionals (stdenv.isDarwin && !(darwin.apple_sdk.frameworks ? UserNotifications)) [
- # We cannot include UserNotifications because of a build failure in the Apple SDK.
- # The functions used from it are already implicitly included anyways.
- ./darwin-no-UserNotifications-includes.patch
];
postPatch = ''
@@ -79,8 +75,7 @@ stdenv.mkDerivation rec {
Cocoa
CoreServices
ScreenSaver
- ] ++ lib.optionals (stdenv.isDarwin && darwin.apple_sdk.frameworks ? UserNotifications) [
- darwin.apple_sdk.frameworks.UserNotifications
+ UserNotifications
] ++ lib.optionals stdenv.isLinux [
util-linux
libselinux
@@ -110,6 +105,10 @@ stdenv.mkDerivation rec {
checkPhase = ''
runHook preCheck
+ '' + lib.optionalString stdenv.isDarwin ''
+ # filter out tests failing with sandboxing on darwin
+ export GTEST_FILTER=-ServerConfigTests.serverconfig_will_deem_equal_configs_with_same_cell_names:NetworkAddress.hostname_valid_parsing
+ '' + ''
bin/unittests
runHook postCheck
'';
@@ -126,7 +125,7 @@ stdenv.mkDerivation rec {
cp ../res/synergy.svg $out/share/icons/hicolor/scalable/apps/
substitute ../res/synergy.desktop $out/share/applications/synergy.desktop \
--replace "/usr/bin" "$out/bin"
- '' + lib.optionalString stdenv.isDarwin ''
+ '' + lib.optionalString (stdenv.isDarwin && withGUI) ''
mkdir -p $out/Applications
cp -r bundle/Synergy.app $out/Applications
ln -s $out/bin $out/Applications/Synergy.app/Contents/MacOS
diff --git a/pkgs/applications/misc/system76-keyboard-configurator/default.nix b/pkgs/applications/misc/system76-keyboard-configurator/default.nix
index 737c713fb79c..94dc95a466cd 100644
--- a/pkgs/applications/misc/system76-keyboard-configurator/default.nix
+++ b/pkgs/applications/misc/system76-keyboard-configurator/default.nix
@@ -37,6 +37,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "Keyboard configuration application for System76 keyboards and laptops";
+ mainProgram = "system76-keyboard-configurator";
homepage = "https://github.com/pop-os/keyboard-configurator";
license = with licenses; [ gpl3Only ];
maintainers = with maintainers; [ mirrexagon ];
diff --git a/pkgs/applications/misc/systembus-notify/default.nix b/pkgs/applications/misc/systembus-notify/default.nix
index 770cd8584010..f05c8a0aa074 100644
--- a/pkgs/applications/misc/systembus-notify/default.nix
+++ b/pkgs/applications/misc/systembus-notify/default.nix
@@ -60,5 +60,6 @@ stdenv.mkDerivation rec {
license = licenses.mit;
maintainers = with maintainers; [ peterhoeg ];
platforms = platforms.linux;
+ mainProgram = "systembus-notify";
};
}
diff --git a/pkgs/applications/misc/tableplus/default.nix b/pkgs/applications/misc/tableplus/default.nix
new file mode 100644
index 000000000000..542a3c6000f2
--- /dev/null
+++ b/pkgs/applications/misc/tableplus/default.nix
@@ -0,0 +1,37 @@
+{ lib
+, fetchurl
+, _7zz
+, stdenv
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "tableplus";
+ version = "538";
+ src = fetchurl {
+ url = "https://download.tableplus.com/macos/${finalAttrs.version}/TablePlus.dmg";
+ hash = "sha256-db3dvjEzkqWrEO+lXyImk0cVBkh8MnCwHOYKIg+kRC4=";
+ };
+
+ sourceRoot = "TablePlus.app";
+
+ nativeBuildInputs = [ _7zz ];
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p "$out/Applications/TablePlus.app"
+ cp -R . "$out/Applications/TablePlus.app"
+ mkdir "$out/bin"
+ ln -s "$out/Applications/TablePlus.app/Contents/MacOS/TablePlus" "$out/bin/${finalAttrs.pname}"
+
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ description = "Database management made easy";
+ homepage = "https://tableplus.com";
+ license = licenses.unfree;
+ maintainers = with maintainers; [ yamashitax ];
+ platforms = platforms.darwin;
+ };
+})
diff --git a/pkgs/applications/misc/tabula-java/default.nix b/pkgs/applications/misc/tabula-java/default.nix
index f8d3cc3c54be..ce402396c97d 100644
--- a/pkgs/applications/misc/tabula-java/default.nix
+++ b/pkgs/applications/misc/tabula-java/default.nix
@@ -45,5 +45,6 @@ maven.buildMavenPackage rec {
license = licenses.mit;
maintainers = [ maintainers.jakewaksbaum ];
platforms = platforms.all;
+ mainProgram = "tabula-java";
};
}
diff --git a/pkgs/applications/misc/tagtime/default.nix b/pkgs/applications/misc/tagtime/default.nix
index d21621058bca..9ec36c26defc 100644
--- a/pkgs/applications/misc/tagtime/default.nix
+++ b/pkgs/applications/misc/tagtime/default.nix
@@ -75,5 +75,6 @@ stdenv.mkDerivation {
homepage = "http://messymatters.com/tagtime/";
license = lib.licenses.bsd3;
maintainers = [ lib.maintainers.Profpatsch ];
+ mainProgram = "tagtimed";
};
}
diff --git a/pkgs/applications/misc/tailscale-systray/default.nix b/pkgs/applications/misc/tailscale-systray/default.nix
index 85e927f018f1..842b66ee7cc7 100644
--- a/pkgs/applications/misc/tailscale-systray/default.nix
+++ b/pkgs/applications/misc/tailscale-systray/default.nix
@@ -28,5 +28,6 @@ buildGoModule rec {
homepage = "https://github.com/mattn/tailscale-systray";
license = licenses.mit;
maintainers = with maintainers; [ qbit ];
+ mainProgram = "tailscale-systray";
};
}
diff --git a/pkgs/applications/misc/taizen/default.nix b/pkgs/applications/misc/taizen/default.nix
index d39ad206c2dc..903de3c05c1d 100644
--- a/pkgs/applications/misc/taizen/default.nix
+++ b/pkgs/applications/misc/taizen/default.nix
@@ -35,5 +35,6 @@ rustPlatform.buildRustPackage rec {
homepage = "https://github.com/nerdypepper/taizen";
license = licenses.mit;
maintainers = with maintainers; [ figsoda ];
+ mainProgram = "taizen";
};
}
diff --git a/pkgs/applications/misc/tandoor-recipes/default.nix b/pkgs/applications/misc/tandoor-recipes/default.nix
index 98c6f8b530f9..dc36156ff4a1 100644
--- a/pkgs/applications/misc/tandoor-recipes/default.nix
+++ b/pkgs/applications/misc/tandoor-recipes/default.nix
@@ -158,5 +158,6 @@ python.pkgs.pythonPackages.buildPythonPackage rec {
Application for managing recipes, planning meals, building shopping lists
and much much more!
'';
+ mainProgram = "tandoor-recipes";
};
}
diff --git a/pkgs/applications/misc/tango/default.nix b/pkgs/applications/misc/tango/default.nix
index d6278c7f79cc..bd2dca9d790b 100644
--- a/pkgs/applications/misc/tango/default.nix
+++ b/pkgs/applications/misc/tango/default.nix
@@ -21,5 +21,6 @@ buildGoModule rec {
homepage = "https://github.com/masakichi/tango";
license = licenses.mit;
maintainers = with maintainers; [ donovanglover ];
+ mainProgram = "tango";
};
}
diff --git a/pkgs/applications/misc/tasknc/default.nix b/pkgs/applications/misc/tasknc/default.nix
index 5250581762b0..236c81da562c 100644
--- a/pkgs/applications/misc/tasknc/default.nix
+++ b/pkgs/applications/misc/tasknc/default.nix
@@ -46,6 +46,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/lharding/tasknc";
description = "A ncurses wrapper around taskwarrior";
+ mainProgram = "tasknc";
maintainers = with maintainers; [ matthiasbeyer infinisil ];
platforms = platforms.linux; # Cannot test others
license = licenses.mit;
diff --git a/pkgs/applications/misc/taskopen/default.nix b/pkgs/applications/misc/taskopen/default.nix
index 88050d2f449d..ee40e19261a9 100644
--- a/pkgs/applications/misc/taskopen/default.nix
+++ b/pkgs/applications/misc/taskopen/default.nix
@@ -33,9 +33,10 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Script for taking notes and open urls with taskwarrior";
+ mainProgram = "taskopen";
homepage = "https://github.com/ValiValpas/taskopen";
platforms = platforms.linux;
- license = licenses.free;
+ license = licenses.gpl2Plus;
maintainers = [ maintainers.winpat ];
};
}
diff --git a/pkgs/applications/misc/tasksh/default.nix b/pkgs/applications/misc/tasksh/default.nix
index 134d1373ad4b..0e169bc998d6 100644
--- a/pkgs/applications/misc/tasksh/default.nix
+++ b/pkgs/applications/misc/tasksh/default.nix
@@ -18,5 +18,6 @@ stdenv.mkDerivation rec {
license = licenses.mit;
maintainers = with maintainers; [ matthiasbeyer ];
platforms = platforms.unix;
+ mainProgram = "tasksh";
};
}
diff --git a/pkgs/applications/misc/taskwarrior-tui/default.nix b/pkgs/applications/misc/taskwarrior-tui/default.nix
index 153ea4d6d55c..44b6ccf76095 100644
--- a/pkgs/applications/misc/taskwarrior-tui/default.nix
+++ b/pkgs/applications/misc/taskwarrior-tui/default.nix
@@ -32,5 +32,6 @@ rustPlatform.buildRustPackage rec {
homepage = "https://github.com/kdheepak/taskwarrior-tui";
license = with licenses; [ mit ];
maintainers = with maintainers; [ matthiasbeyer ];
+ mainProgram = "taskwarrior-tui";
};
}
diff --git a/pkgs/applications/misc/tdrop/default.nix b/pkgs/applications/misc/tdrop/default.nix
index 34c01a197591..e39dd2462321 100644
--- a/pkgs/applications/misc/tdrop/default.nix
+++ b/pkgs/applications/misc/tdrop/default.nix
@@ -35,6 +35,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A Glorified WM-Independent Dropdown Creator";
+ mainProgram = "tdrop";
homepage = "https://github.com/noctuid/tdrop";
license = licenses.bsd2;
platforms = platforms.linux;
diff --git a/pkgs/applications/misc/teleprompter/default.nix b/pkgs/applications/misc/teleprompter/default.nix
deleted file mode 100644
index 9e4f711d125c..000000000000
--- a/pkgs/applications/misc/teleprompter/default.nix
+++ /dev/null
@@ -1,44 +0,0 @@
-{ lib, stdenv, fetchurl, electron_10, makeDesktopItem, makeWrapper, asar, autoPatchelfHook}:
-
-let
- electron = electron_10;
-in
-stdenv.mkDerivation rec {
- pname = "teleprompter";
- version = "2.4.0";
-
- src = fetchurl {
- url = "https://github.com/ImaginarySense/Imaginary-Teleprompter-Electron/releases/download/${lib.versions.majorMinor version}/imaginary-teleprompter-${version}.tar.gz";
- sha256 = "bgdtK8l5d26avv1WUw9cfOgZrIL1q/a9890Ams4yidQ=";
- };
-
- dontBuild = true;
- dontStrip = true;
-
- nativeBuildInputs = [ autoPatchelfHook makeWrapper asar ];
- installPhase = ''
- mkdir -p $out/bin $out/opt/teleprompter $out/share/applications
- asar e resources/app.asar $out/opt/teleprompter/resources/app.asar.unpacked
- ln -s ${desktopItem}/share/applications/* $out/share/applications
- '';
-
- postFixup = ''
- makeWrapper ${electron}/bin/electron $out/bin/teleprompter \
- --add-flags "$out/opt/teleprompter/resources/app.asar.unpacked --without-update"
- '';
-
- desktopItem = makeDesktopItem {
- name = "teleprompter";
- exec = "teleprompter";
- desktopName = "Teleprompter";
- };
-
- meta = with lib; {
- description = "The most complete, free, teleprompter app on the web";
- license = [ licenses.gpl3Plus ];
- homepage = "https://github.com/ImaginarySense/Teleprompter-Core";
- platforms = platforms.linux;
- maintainers = with maintainers; [ Scriptkiddi ];
- };
-}
-
diff --git a/pkgs/applications/misc/tellico/default.nix b/pkgs/applications/misc/tellico/default.nix
index 52b513b89d6d..b990f0ddb0d2 100644
--- a/pkgs/applications/misc/tellico/default.nix
+++ b/pkgs/applications/misc/tellico/default.nix
@@ -61,6 +61,7 @@ mkDerivation rec {
meta = with lib; {
description = "Collection management software, free and simple";
+ mainProgram = "tellico";
homepage = "https://tellico-project.org/";
license = with licenses; [ gpl2Only gpl3Only lgpl2Only ];
maintainers = with maintainers; [ numkem ];
diff --git a/pkgs/applications/misc/termdown/default.nix b/pkgs/applications/misc/termdown/default.nix
index f28bd665a5e1..d2d592dce7e9 100644
--- a/pkgs/applications/misc/termdown/default.nix
+++ b/pkgs/applications/misc/termdown/default.nix
@@ -22,6 +22,7 @@ buildPythonApplication rec {
meta = with lib; {
description = "Starts a countdown to or from TIMESPEC";
+ mainProgram = "termdown";
longDescription = "Countdown timer and stopwatch in your terminal";
homepage = "https://github.com/trehn/termdown";
license = licenses.gpl3;
diff --git a/pkgs/applications/misc/terminal-colors/default.nix b/pkgs/applications/misc/terminal-colors/default.nix
index 96df3d178328..fc196be625e5 100644
--- a/pkgs/applications/misc/terminal-colors/default.nix
+++ b/pkgs/applications/misc/terminal-colors/default.nix
@@ -51,5 +51,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/eikenb/terminal-colors";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ kaction ];
+ mainProgram = "terminal-colors";
};
}
diff --git a/pkgs/applications/misc/terminal-parrot/default.nix b/pkgs/applications/misc/terminal-parrot/default.nix
index f0b4d20b1a76..802588e8ef64 100644
--- a/pkgs/applications/misc/terminal-parrot/default.nix
+++ b/pkgs/applications/misc/terminal-parrot/default.nix
@@ -20,5 +20,6 @@ buildGoModule rec {
homepage = "https://github.com/jmhobbs/terminal-parrot";
license = licenses.mit;
maintainers = [ maintainers.heel ];
+ mainProgram = "terminal-parrot";
};
}
diff --git a/pkgs/applications/misc/terminal-stocks/default.nix b/pkgs/applications/misc/terminal-stocks/default.nix
index 285eb9b744d4..9d1ee035d81a 100644
--- a/pkgs/applications/misc/terminal-stocks/default.nix
+++ b/pkgs/applications/misc/terminal-stocks/default.nix
@@ -21,5 +21,6 @@ buildNpmPackage rec {
homepage = "https://github.com/shweshi/terminal-stocks";
maintainers = with maintainers; [ mislavzanic ];
license = licenses.mit;
+ mainProgram = "terminal-stocks";
};
}
diff --git a/pkgs/applications/misc/termpdf.py/default.nix b/pkgs/applications/misc/termpdf.py/default.nix
index e51f7633e013..244bfee24032 100644
--- a/pkgs/applications/misc/termpdf.py/default.nix
+++ b/pkgs/applications/misc/termpdf.py/default.nix
@@ -32,6 +32,7 @@ buildPythonApplication {
A graphical pdf (and epub, cbz, ...) reader that works
inside the kitty terminal.
'';
+ mainProgram = "termpdf.py";
homepage = "https://github.com/dsanson/termpdf.py";
maintainers = with maintainers; [ teto ];
license = licenses.mit;
diff --git a/pkgs/applications/misc/thedesk/default.nix b/pkgs/applications/misc/thedesk/default.nix
index faf32c96a19e..3fb11f883a2a 100644
--- a/pkgs/applications/misc/thedesk/default.nix
+++ b/pkgs/applications/misc/thedesk/default.nix
@@ -50,5 +50,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl3Only;
maintainers = with maintainers; [ wolfangaukang ];
platforms = [ "x86_64-linux" ];
+ mainProgram = "thedesk";
};
}
diff --git a/pkgs/applications/misc/themechanger/default.nix b/pkgs/applications/misc/themechanger/default.nix
index 945bd8de5420..652fe267852a 100644
--- a/pkgs/applications/misc/themechanger/default.nix
+++ b/pkgs/applications/misc/themechanger/default.nix
@@ -53,6 +53,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
homepage = "https://github.com/ALEX11BR/ThemeChanger";
description = "A theme changing utility for Linux";
+ mainProgram = "themechanger";
longDescription = ''
This app is a theme changing utility for Linux, BSDs, and whatnots.
It lets the user change GTK 2/3/4, Kvantum, icon and cursor themes, edit GTK CSS with live preview, and set some related options.
diff --git a/pkgs/applications/misc/thinking-rock/default.nix b/pkgs/applications/misc/thinking-rock/default.nix
index bf53848b5d38..2c31d2b5725d 100644
--- a/pkgs/applications/misc/thinking-rock/default.nix
+++ b/pkgs/applications/misc/thinking-rock/default.nix
@@ -35,6 +35,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Task management system";
+ mainProgram = "thinkingrock";
homepage = "http://www.thinkingrock.com.au/";
license = licenses.cddl;
platforms = platforms.unix;
diff --git a/pkgs/applications/misc/thokr/default.nix b/pkgs/applications/misc/thokr/default.nix
index 74c5b2ccc712..cf82a8d6aeb8 100644
--- a/pkgs/applications/misc/thokr/default.nix
+++ b/pkgs/applications/misc/thokr/default.nix
@@ -18,5 +18,6 @@ rustPlatform.buildRustPackage rec {
homepage = "https://github.com/thatvegandev/thokr";
license = licenses.mit;
maintainers = with maintainers; [ figsoda ];
+ mainProgram = "thokr";
};
}
diff --git a/pkgs/applications/misc/ticker/default.nix b/pkgs/applications/misc/ticker/default.nix
index bb3322a27881..fdbc9c2a5cd4 100644
--- a/pkgs/applications/misc/ticker/default.nix
+++ b/pkgs/applications/misc/ticker/default.nix
@@ -31,5 +31,6 @@ buildGoModule rec {
changelog = "https://github.com/achannarasappa/ticker/releases/tag/v${version}";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ siraben sarcasticadmin ];
+ mainProgram = "ticker";
};
}
diff --git a/pkgs/applications/misc/tickrs/default.nix b/pkgs/applications/misc/tickrs/default.nix
index 35d56e8be7dd..a22f9da07359 100644
--- a/pkgs/applications/misc/tickrs/default.nix
+++ b/pkgs/applications/misc/tickrs/default.nix
@@ -42,5 +42,6 @@ rustPlatform.buildRustPackage rec {
changelog = "https://github.com/tarkah/tickrs/blob/v${version}/CHANGELOG.md";
license = licenses.mit;
maintainers = with maintainers; [ mredaelli ];
+ mainProgram = "tickrs";
};
}
diff --git a/pkgs/applications/misc/timew-sync-server/default.nix b/pkgs/applications/misc/timew-sync-server/default.nix
index 8f2420280c9c..fefc25a48792 100644
--- a/pkgs/applications/misc/timew-sync-server/default.nix
+++ b/pkgs/applications/misc/timew-sync-server/default.nix
@@ -19,5 +19,6 @@ buildGoModule rec {
license = licenses.mit;
maintainers = [ maintainers.joachimschmidt557 ];
platforms = platforms.linux;
+ mainProgram = "timew-sync-server";
};
}
diff --git a/pkgs/applications/misc/tipp10/default.nix b/pkgs/applications/misc/tipp10/default.nix
index 76ea8fb7fc0e..d61e0deabbd7 100644
--- a/pkgs/applications/misc/tipp10/default.nix
+++ b/pkgs/applications/misc/tipp10/default.nix
@@ -17,6 +17,7 @@ mkDerivation rec {
meta = with lib; {
description = "Learn and train typing with the ten-finger system";
+ mainProgram = "tipp10";
homepage = "https://gitlab.com/tipp10/tipp10";
license = licenses.gpl2Only;
maintainers = with maintainers; [ ];
diff --git a/pkgs/applications/misc/tiramisu/default.nix b/pkgs/applications/misc/tiramisu/default.nix
index 3e8f101f871e..8624bded6641 100644
--- a/pkgs/applications/misc/tiramisu/default.nix
+++ b/pkgs/applications/misc/tiramisu/default.nix
@@ -28,5 +28,6 @@ stdenv.mkDerivation rec {
license = licenses.mit;
platforms = platforms.linux;
maintainers = with maintainers; [ wishfort36 moni ];
+ mainProgram = "tiramisu";
};
}
diff --git a/pkgs/applications/misc/tiv/default.nix b/pkgs/applications/misc/tiv/default.nix
index 30117180b74c..4bfb705ae861 100644
--- a/pkgs/applications/misc/tiv/default.nix
+++ b/pkgs/applications/misc/tiv/default.nix
@@ -32,6 +32,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/stefanhaustein/TerminalImageViewer";
description = "Small C++ program to display images in a (modern) terminal using RGB ANSI codes and unicode block graphics characters";
+ mainProgram = "tiv";
license = licenses.asl20;
maintainers = with maintainers; [ magnetophon ];
platforms = [ "x86_64-linux" ];
diff --git a/pkgs/applications/misc/tmatrix/default.nix b/pkgs/applications/misc/tmatrix/default.nix
index 780a80b5d2c6..50af14380888 100644
--- a/pkgs/applications/misc/tmatrix/default.nix
+++ b/pkgs/applications/misc/tmatrix/default.nix
@@ -36,5 +36,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2;
platforms = platforms.all;
maintainers = with maintainers; [ infinisil Br1ght0ne ];
+ mainProgram = "tmatrix";
};
}
diff --git a/pkgs/applications/misc/tnef/default.nix b/pkgs/applications/misc/tnef/default.nix
index 65e07915c760..4c4b67adf96d 100644
--- a/pkgs/applications/misc/tnef/default.nix
+++ b/pkgs/applications/misc/tnef/default.nix
@@ -28,5 +28,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2;
maintainers = with maintainers; [ peterhoeg ];
platforms = platforms.all;
+ mainProgram = "tnef";
};
}
diff --git a/pkgs/applications/misc/todoist-electron/default.nix b/pkgs/applications/misc/todoist-electron/default.nix
index d9306ce7d187..351df8c558ad 100644
--- a/pkgs/applications/misc/todoist-electron/default.nix
+++ b/pkgs/applications/misc/todoist-electron/default.nix
@@ -41,5 +41,6 @@ in appimageTools.wrapAppImage {
platforms = [ "x86_64-linux" ];
license = licenses.unfree;
maintainers = with maintainers; [ kylesferrazza pokon548 ];
+ mainProgram = "todoist-electron";
};
}
diff --git a/pkgs/applications/misc/todoist/default.nix b/pkgs/applications/misc/todoist/default.nix
index fc600ab7710c..0145644de14f 100644
--- a/pkgs/applications/misc/todoist/default.nix
+++ b/pkgs/applications/misc/todoist/default.nix
@@ -19,5 +19,6 @@ buildGoModule rec {
homepage = "https://github.com/sachaos/todoist";
description = "Todoist CLI Client";
license = lib.licenses.mit;
+ mainProgram = "todoist";
};
}
diff --git a/pkgs/applications/misc/toipe/default.nix b/pkgs/applications/misc/toipe/default.nix
index 1b41f9ae53e9..31a468b3bc49 100644
--- a/pkgs/applications/misc/toipe/default.nix
+++ b/pkgs/applications/misc/toipe/default.nix
@@ -2,14 +2,14 @@
rustPlatform.buildRustPackage rec {
pname = "toipe";
- version = "0.4.1";
+ version = "0.5.0";
src = fetchCrate {
inherit pname version;
- sha256 = "sha256-aunejitHVNIB/zIDgX3mlA1FzG7wIxlDCFdUvtuzQnc=";
+ sha256 = "sha256-L4JemOxpynGYsA8FgHnMv/hrogLSRaaiIzDjxzZDqjM=";
};
- cargoSha256 = "sha256-Nabc2lPpsUCcWEJzL6U84UoIJ5PCtYKVyLRhjhiqhpE=";
+ cargoHash = "sha256-ShJ7dbd3oNo3qZJ5+ut+NfLF9j8kPPZy9yC2zl/s56k=";
meta = with lib; {
description = "Trusty terminal typing tester";
diff --git a/pkgs/applications/misc/toot/default.nix b/pkgs/applications/misc/toot/default.nix
index feb9f188b10e..496644ff4d42 100644
--- a/pkgs/applications/misc/toot/default.nix
+++ b/pkgs/applications/misc/toot/default.nix
@@ -2,13 +2,13 @@
python3Packages.buildPythonApplication rec {
pname = "toot";
- version = "0.41.1";
+ version = "0.42.0";
src = fetchFromGitHub {
owner = "ihabunek";
repo = "toot";
rev = "refs/tags/${version}";
- sha256 = "sha256-FwxA8YJzNKEK5WjdDi8PIufHh+SRVMRiFVIQs1iZ0UY=";
+ sha256 = "sha256-FxA/loJzb/DBI1vWC71IFqdFcwjwIezhBJCGNeBzRoU=";
};
nativeCheckInputs = with python3Packages; [ pytest ];
@@ -27,6 +27,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "Mastodon CLI interface";
+ mainProgram = "toot";
homepage = "https://github.com/ihabunek/toot";
license = licenses.gpl3;
maintainers = [ maintainers.matthiasbeyer ];
diff --git a/pkgs/applications/misc/topydo/default.nix b/pkgs/applications/misc/topydo/default.nix
index 9ece11043fa0..59e93dcb5dea 100644
--- a/pkgs/applications/misc/topydo/default.nix
+++ b/pkgs/applications/misc/topydo/default.nix
@@ -44,6 +44,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "A cli todo application compatible with the todo.txt format";
+ mainProgram = "topydo";
homepage = "https://github.com/topydo/topydo";
changelog = "https://github.com/topydo/topydo/blob/${src.rev}/CHANGES.md";
license = licenses.gpl3Plus;
diff --git a/pkgs/applications/misc/tpmmanager/default.nix b/pkgs/applications/misc/tpmmanager/default.nix
index 48ff9675a78d..90d1cc01ffb4 100644
--- a/pkgs/applications/misc/tpmmanager/default.nix
+++ b/pkgs/applications/misc/tpmmanager/default.nix
@@ -46,6 +46,7 @@ stdenv.mkDerivation rec {
meta = {
homepage = "https://projects.sirrix.com/trac/tpmmanager";
description = "Tool for managing the TPM";
+ mainProgram = "tpmmanager";
license = lib.licenses.gpl2;
maintainers = with lib.maintainers; [ ];
platforms = with lib.platforms; linux;
diff --git a/pkgs/applications/misc/transifex-cli/default.nix b/pkgs/applications/misc/transifex-cli/default.nix
index 59917a460418..f03ea6eaed36 100644
--- a/pkgs/applications/misc/transifex-cli/default.nix
+++ b/pkgs/applications/misc/transifex-cli/default.nix
@@ -32,5 +32,6 @@ buildGoModule rec {
homepage = "https://github.com/transifex/transifex-cli";
license = licenses.asl20;
maintainers = with maintainers; [ thornycrackers ];
+ mainProgram = "tx";
};
}
diff --git a/pkgs/applications/misc/ttdl/default.nix b/pkgs/applications/misc/ttdl/default.nix
index 795253aeda2b..d4a74e6bdbc1 100644
--- a/pkgs/applications/misc/ttdl/default.nix
+++ b/pkgs/applications/misc/ttdl/default.nix
@@ -5,16 +5,16 @@
rustPlatform.buildRustPackage rec {
pname = "ttdl";
- version = "4.1.0";
+ version = "4.2.1";
src = fetchFromGitHub {
owner = "VladimirMarkelov";
repo = "ttdl";
rev = "v${version}";
- sha256 = "sha256-twl72feo1PpWZbs50a962pvvD5YUHfZRW9OjY/meYVo=";
+ sha256 = "sha256-fspqUzF3QqFpd16J1dbcNMdqjcR3PIiRu/s+VB4KgwQ=";
};
- cargoHash = "sha256-ZoVPC/PyMm+yuDYhVFykIBk0T5RNLAfmIT36Tl/dxCo=";
+ cargoHash = "sha256-dvzm9lbVGGM4t6KZcHSlqwo55jssxi8HyFREMaj5I0Q=";
meta = with lib; {
description = "A CLI tool to manage todo lists in todo.txt format";
@@ -22,5 +22,6 @@ rustPlatform.buildRustPackage rec {
changelog = "https://github.com/VladimirMarkelov/ttdl/blob/v${version}/changelog";
license = with licenses; [ mit ];
maintainers = with maintainers; [ _3JlOy-PYCCKUi ];
+ mainProgram = "ttdl";
};
}
diff --git a/pkgs/applications/misc/tthsum/default.nix b/pkgs/applications/misc/tthsum/default.nix
index 26508c6d49bf..2c41c1c300f7 100644
--- a/pkgs/applications/misc/tthsum/default.nix
+++ b/pkgs/applications/misc/tthsum/default.nix
@@ -38,5 +38,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl3Plus;
maintainers = [ maintainers.ebzzry ];
platforms = platforms.unix;
+ mainProgram = "tthsum";
};
}
diff --git a/pkgs/applications/misc/tty-share/default.nix b/pkgs/applications/misc/tty-share/default.nix
index cd741b8338d6..7f2fe8c162a7 100644
--- a/pkgs/applications/misc/tty-share/default.nix
+++ b/pkgs/applications/misc/tty-share/default.nix
@@ -21,5 +21,6 @@ buildGoModule rec {
description = "Share terminal via browser for remote work or shared sessions";
license = licenses.mit;
maintainers = with maintainers; [ andys8 ];
+ mainProgram = "tty-share";
};
}
diff --git a/pkgs/applications/misc/ttyper/default.nix b/pkgs/applications/misc/ttyper/default.nix
index db46cae31e9e..de72638d19ff 100644
--- a/pkgs/applications/misc/ttyper/default.nix
+++ b/pkgs/applications/misc/ttyper/default.nix
@@ -19,5 +19,6 @@ rustPlatform.buildRustPackage rec {
changelog = "https://github.com/max-niederman/ttyper/releases/tag/${src.rev}";
license = licenses.mit;
maintainers = with maintainers; [ figsoda max-niederman ];
+ mainProgram = "ttyper";
};
}
diff --git a/pkgs/applications/misc/tuckr/default.nix b/pkgs/applications/misc/tuckr/default.nix
index d332d4457a4a..f61f7bea912d 100644
--- a/pkgs/applications/misc/tuckr/default.nix
+++ b/pkgs/applications/misc/tuckr/default.nix
@@ -21,5 +21,6 @@ rustPlatform.buildRustPackage rec {
changelog = "https://github.com/RaphGL/Tuckr/releases/tag/${version}";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ mimame ];
+ mainProgram = "tuckr";
};
}
diff --git a/pkgs/applications/misc/tuhi/default.nix b/pkgs/applications/misc/tuhi/default.nix
index 1b26f455649a..b3334c59c4a0 100644
--- a/pkgs/applications/misc/tuhi/default.nix
+++ b/pkgs/applications/misc/tuhi/default.nix
@@ -56,6 +56,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "DBus daemon to access Wacom SmartPad devices";
+ mainProgram = "tuhi";
homepage = "https://github.com/tuhiproject/tuhi";
license = licenses.gpl2;
platforms = platforms.linux;
diff --git a/pkgs/applications/misc/tuir/default.nix b/pkgs/applications/misc/tuir/default.nix
index d4188829d40b..a1c4f60c4e65 100644
--- a/pkgs/applications/misc/tuir/default.nix
+++ b/pkgs/applications/misc/tuir/default.nix
@@ -25,6 +25,7 @@ buildPythonApplication rec {
meta = with lib; {
description = "Browse Reddit from your Terminal (fork of rtv)";
+ mainProgram = "tuir";
homepage = "https://gitlab.com/ajak/tuir/";
license = licenses.mit;
maintainers = with maintainers; [ Br1ght0ne matthiasbeyer ];
diff --git a/pkgs/applications/misc/tut/default.nix b/pkgs/applications/misc/tut/default.nix
index 8033cbfa865d..85f2768cc715 100644
--- a/pkgs/applications/misc/tut/default.nix
+++ b/pkgs/applications/misc/tut/default.nix
@@ -18,5 +18,6 @@ buildGoModule rec {
homepage = "https://github.com/RasmusLindroth/tut";
license = licenses.mit;
maintainers = with maintainers; [ equirosa ];
+ mainProgram = "tut";
};
}
diff --git a/pkgs/applications/misc/twitch-chat-downloader/default.nix b/pkgs/applications/misc/twitch-chat-downloader/default.nix
index 61fb05250712..a602c31008de 100644
--- a/pkgs/applications/misc/twitch-chat-downloader/default.nix
+++ b/pkgs/applications/misc/twitch-chat-downloader/default.nix
@@ -31,6 +31,7 @@ buildPythonApplication rec {
meta = with lib; {
description = "Twitch Chat Downloader";
+ mainProgram = "tcd";
homepage = "https://github.com/TheDrHax/Twitch-Chat-Downloader";
license = licenses.mit;
maintainers = with maintainers; [ marsam ];
diff --git a/pkgs/applications/misc/typer/default.nix b/pkgs/applications/misc/typer/default.nix
index ebd274125e6e..8d41e2168783 100644
--- a/pkgs/applications/misc/typer/default.nix
+++ b/pkgs/applications/misc/typer/default.nix
@@ -23,5 +23,6 @@ buildGoModule {
homepage = "https://github.com/maaslalani/typer";
license = licenses.mit;
maintainers = with maintainers; [ figsoda ];
+ mainProgram = "typer";
};
}
diff --git a/pkgs/applications/misc/typioca/default.nix b/pkgs/applications/misc/typioca/default.nix
index acf8b3a341fe..70becdc143bd 100644
--- a/pkgs/applications/misc/typioca/default.nix
+++ b/pkgs/applications/misc/typioca/default.nix
@@ -7,16 +7,16 @@
buildGoModule rec {
pname = "typioca";
- version = "2.9.0";
+ version = "2.10.0";
src = fetchFromGitHub {
owner = "bloznelis";
repo = "typioca";
rev = version;
- hash = "sha256-N7+etRqHxLX0eVvdOofXQ1fqEUTsck7UAL5mX6NUsOU=";
+ hash = "sha256-D6I1r+8cvUerqXR2VyBL33lapWAs5Cl5yvYOsmUBnHo=";
};
- vendorHash = "sha256-FKLAbrZVtF8gj90NU7m47pG+BBKYkPjJKax5nZmpehY=";
+ vendorHash = "sha256-j/nyAHNwUoNkcdNJqcaUuhQk5a2VHQw/XgYIoTR9ctQ=";
ldflags = [
"-s"
@@ -36,5 +36,6 @@ buildGoModule rec {
changelog = "https://github.com/bloznelis/typioca/releases/tag/${src.rev}";
license = licenses.mit;
maintainers = with maintainers; [ figsoda ];
+ mainProgram = "typioca";
};
}
diff --git a/pkgs/applications/misc/tzupdate/default.nix b/pkgs/applications/misc/tzupdate/default.nix
index 7c49696141c6..7ca8c9f97345 100644
--- a/pkgs/applications/misc/tzupdate/default.nix
+++ b/pkgs/applications/misc/tzupdate/default.nix
@@ -16,6 +16,7 @@ buildPythonApplication rec {
meta = with lib; {
description = "Update timezone information based on geoip";
+ mainProgram = "tzupdate";
homepage = "https://github.com/cdown/tzupdate";
maintainers = [ maintainers.michaelpj ];
license = licenses.unlicense;
diff --git a/pkgs/applications/misc/ubpm/default.nix b/pkgs/applications/misc/ubpm/default.nix
index 6e0d7f7f25f5..02e8411999fe 100644
--- a/pkgs/applications/misc/ubpm/default.nix
+++ b/pkgs/applications/misc/ubpm/default.nix
@@ -44,6 +44,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
homepage = "https://codeberg.org/LazyT/ubpm";
description = "Universal Blood Pressure Manager";
+ mainProgram = "ubpm";
license = licenses.gpl3Only;
maintainers = with maintainers; [ kurnevsky ];
};
diff --git a/pkgs/applications/misc/udict/default.nix b/pkgs/applications/misc/udict/default.nix
index 0a8522669e92..62d698cc3a36 100644
--- a/pkgs/applications/misc/udict/default.nix
+++ b/pkgs/applications/misc/udict/default.nix
@@ -40,5 +40,6 @@ rustPlatform.buildRustPackage rec {
homepage = "https://github.com/lsmb/udict";
license = licenses.mit;
maintainers = with maintainers; [ ];
+ mainProgram = "udict";
};
}
diff --git a/pkgs/applications/misc/ultralist/default.nix b/pkgs/applications/misc/ultralist/default.nix
index 4ca7283631c0..edcc086dbb88 100644
--- a/pkgs/applications/misc/ultralist/default.nix
+++ b/pkgs/applications/misc/ultralist/default.nix
@@ -18,5 +18,6 @@ buildGoModule rec {
homepage = "https://ultralist.io";
license = licenses.mit;
maintainers = with maintainers; [ uvnikita ];
+ mainProgram = "ultralist";
};
}
diff --git a/pkgs/applications/misc/uni/default.nix b/pkgs/applications/misc/uni/default.nix
index 72c4f84ba733..57f88ab6eafe 100644
--- a/pkgs/applications/misc/uni/default.nix
+++ b/pkgs/applications/misc/uni/default.nix
@@ -28,5 +28,6 @@ buildGoModule rec {
changelog = "https://github.com/arp242/uni/releases/tag/v${version}";
license = licenses.mit;
maintainers = with maintainers; [ chvp ];
+ mainProgram = "uni";
};
}
diff --git a/pkgs/applications/misc/unipicker/default.nix b/pkgs/applications/misc/unipicker/default.nix
index b488a16015ef..e13d27ce4408 100644
--- a/pkgs/applications/misc/unipicker/default.nix
+++ b/pkgs/applications/misc/unipicker/default.nix
@@ -36,5 +36,6 @@ stdenv.mkDerivation rec {
license = licenses.mit;
maintainers = with maintainers; [ ];
platforms = platforms.unix;
+ mainProgram = "unipicker";
};
}
diff --git a/pkgs/applications/misc/usb-reset/default.nix b/pkgs/applications/misc/usb-reset/default.nix
index 7b4d67eb065f..0e924ba5ee67 100644
--- a/pkgs/applications/misc/usb-reset/default.nix
+++ b/pkgs/applications/misc/usb-reset/default.nix
@@ -38,5 +38,6 @@ stdenv.mkDerivation rec {
license = licenses.mit;
maintainers = [ maintainers.evils ];
platforms = platforms.all;
+ mainProgram = "usb-reset";
};
}
diff --git a/pkgs/applications/misc/usync/default.nix b/pkgs/applications/misc/usync/default.nix
index cf51a53e7139..1034b3844b13 100644
--- a/pkgs/applications/misc/usync/default.nix
+++ b/pkgs/applications/misc/usync/default.nix
@@ -27,6 +27,7 @@ stdenv.mkDerivation rec {
license = licenses.mit;
maintainers = [ maintainers.ebzzry ];
platforms = platforms.unix;
+ mainProgram = "usync";
};
dontBuild = true;
diff --git a/pkgs/applications/misc/valent/default.nix b/pkgs/applications/misc/valent/default.nix
index 75318acda6cc..4e07cf62821d 100644
--- a/pkgs/applications/misc/valent/default.nix
+++ b/pkgs/applications/misc/valent/default.nix
@@ -21,14 +21,14 @@
stdenv.mkDerivation rec {
pname = "valent";
- version = "unstable-2023-11-11";
+ version = "0-unstable-2024-02-12";
src = fetchFromGitHub {
owner = "andyholmes";
repo = "valent";
- rev = "51bca834b1c52a1cc49b79fe79d45dfcd9113c02";
+ rev = "70ef1aa42eb2df5e9c3aa4faa014c8d539450018";
fetchSubmodules = true;
- hash = "sha256-jmhio/vS+w37IW81XgV4xfb/6ralMgAlwi3zigr4t20=";
+ hash = "sha256-JdrkAtn21NoX+SI6PNWMdE8HLKhLc3HKFhwKydENkvg=";
};
nativeBuildInputs = [
@@ -60,12 +60,30 @@ stdenv.mkDerivation rec {
"-Dvapi=false"
];
- meta = with lib; {
+ meta = {
description = "An implementation of the KDE Connect protocol, built on GNOME platform libraries";
- homepage = "https://github.com/andyholmes/valent/";
+ mainProgram = "valent";
+ longDescription = ''
+ Note that you have to open firewall ports for other devices
+ to connect to it. Use either:
+ ```nix
+ programs.kdeconnect = {
+ enable = true;
+ package = pkgs.valent;
+ }
+ ```
+ or open corresponding firewall ports directly:
+ ```nix
+ networking.firewall = rec {
+ allowedTCPPortRanges = [ { from = 1714; to = 1764; } ];
+ allowedUDPPortRanges = allowedTCPPortRanges;
+ }
+ ```
+ '';
+ homepage = "https://valent.andyholmes.ca";
changelog = "https://github.com/andyholmes/valent/blob/${src.rev}/CHANGELOG.md";
- license = with licenses; [ gpl3Plus cc0 ];
- maintainers = with maintainers; [ federicoschonborn aleksana ];
- platforms = platforms.linux;
+ license = with lib.licenses; [ gpl3Plus cc0 cc-by-sa-30 ];
+ maintainers = with lib.maintainers; [ aleksana federicoschonborn ];
+ platforms = lib.platforms.linux;
};
}
diff --git a/pkgs/applications/misc/variety/default.nix b/pkgs/applications/misc/variety/default.nix
index cf0272f075e2..e26496718f39 100644
--- a/pkgs/applications/misc/variety/default.nix
+++ b/pkgs/applications/misc/variety/default.nix
@@ -82,6 +82,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
homepage = "https://github.com/varietywalls/variety";
description = "A wallpaper manager for Linux systems";
+ mainProgram = "variety";
longDescription = ''
Variety is a wallpaper manager for Linux systems. It supports numerous
desktops and wallpaper sources, including local files and online services:
diff --git a/pkgs/applications/misc/vcal/default.nix b/pkgs/applications/misc/vcal/default.nix
index 9519e033473e..6b882e2710a6 100644
--- a/pkgs/applications/misc/vcal/default.nix
+++ b/pkgs/applications/misc/vcal/default.nix
@@ -33,5 +33,6 @@ stdenv.mkDerivation rec {
homepage = "https://waynemorrison.com/software/";
license = licenses.asl20;
maintainers = with maintainers; [ peterhoeg ];
+ mainProgram = "vcal";
};
}
diff --git a/pkgs/applications/misc/veracrypt/default.nix b/pkgs/applications/misc/veracrypt/default.nix
index 43537db9cc63..66aca8645adc 100644
--- a/pkgs/applications/misc/veracrypt/default.nix
+++ b/pkgs/applications/misc/veracrypt/default.nix
@@ -12,16 +12,17 @@
, exfat
, ntfs3g
, btrfs-progs
+, pcsclite
, wrapGAppsHook
}:
stdenv.mkDerivation rec {
pname = "veracrypt";
- version = "1.25.9";
+ version = "1.26.7";
src = fetchurl {
url = "https://launchpad.net/${pname}/trunk/${lib.toLower version}/+download/VeraCrypt_${version}_Source.tar.bz2";
- sha256 = "sha256-drbhgYS8IaQdKUn/Y9ch1JBUpxbO/zpL13tcNRC3lK8=";
+ sha256 = "sha256-920nsYJBTg1P2ba1n76iiyXbb6afK7z/ouwmmxqGX2U=";
};
patches = [
@@ -39,7 +40,7 @@ stdenv.mkDerivation rec {
sourceRoot = "src";
nativeBuildInputs = [ makeself pkg-config yasm wrapGAppsHook ];
- buildInputs = [ fuse lvm2 wxGTK ];
+ buildInputs = [ fuse lvm2 wxGTK pcsclite ];
enableParallelBuilding = true;
diff --git a/pkgs/applications/misc/vhs/default.nix b/pkgs/applications/misc/vhs/default.nix
index ae0466c1faaa..43c3aea38e82 100644
--- a/pkgs/applications/misc/vhs/default.nix
+++ b/pkgs/applications/misc/vhs/default.nix
@@ -29,6 +29,7 @@ buildGoModule rec {
meta = with lib; {
description = "A tool for generating terminal GIFs with code";
+ mainProgram = "vhs";
homepage = "https://github.com/charmbracelet/vhs";
changelog = "https://github.com/charmbracelet/vhs/releases/tag/v${version}";
license = licenses.mit;
diff --git a/pkgs/applications/misc/viking/default.nix b/pkgs/applications/misc/viking/default.nix
index be642790f386..8a987ed24672 100644
--- a/pkgs/applications/misc/viking/default.nix
+++ b/pkgs/applications/misc/viking/default.nix
@@ -66,6 +66,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "GPS data editor and analyzer";
+ mainProgram = "viking";
longDescription = ''
Viking is a free/open source program to manage GPS data. You
can import and plot tracks and waypoints, show Openstreetmaps
diff --git a/pkgs/applications/misc/vit/default.nix b/pkgs/applications/misc/vit/default.nix
index b7594add350a..7bd7501f2296 100644
--- a/pkgs/applications/misc/vit/default.nix
+++ b/pkgs/applications/misc/vit/default.nix
@@ -33,6 +33,7 @@ buildPythonApplication rec {
meta = with lib; {
homepage = "https://github.com/scottkosty/vit";
description = "Visual Interactive Taskwarrior";
+ mainProgram = "vit";
maintainers = with maintainers; [ dtzWill arcnmx ];
platforms = platforms.all;
license = licenses.mit;
diff --git a/pkgs/applications/misc/vp/default.nix b/pkgs/applications/misc/vp/default.nix
index 5d2802921341..07dbfef2f5f5 100644
--- a/pkgs/applications/misc/vp/default.nix
+++ b/pkgs/applications/misc/vp/default.nix
@@ -23,5 +23,6 @@ stdenv.mkDerivation rec {
platforms = platforms.unix;
license = licenses.gpl3;
maintainers = [ maintainers.vrthra ];
+ mainProgram = "vp";
};
}
diff --git a/pkgs/applications/misc/vue/default.nix b/pkgs/applications/misc/vue/default.nix
index db9313b6be61..678aa886ce90 100644
--- a/pkgs/applications/misc/vue/default.nix
+++ b/pkgs/applications/misc/vue/default.nix
@@ -23,6 +23,7 @@ stdenv.mkDerivation rec {
maintainers = with lib.maintainers; [ raskin ];
platforms = with lib.platforms; linux;
sourceProvenance = with lib.sourceTypes; [ binaryBytecode ];
- license = lib.licenses.free; # Apache License fork, actually
+ license = lib.licenses.ecl20;
+ mainProgram = "vue";
};
}
diff --git a/pkgs/applications/misc/vul/default.nix b/pkgs/applications/misc/vul/default.nix
index 21a9e1f12b9e..0e9b52e82d31 100644
--- a/pkgs/applications/misc/vul/default.nix
+++ b/pkgs/applications/misc/vul/default.nix
@@ -20,5 +20,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/LukeSmithxyz/vul";
license = licenses.publicDomain;
maintainers = [ maintainers.j0hax maintainers.cafkafk ];
+ mainProgram = "vul";
};
}
diff --git a/pkgs/applications/misc/vym/default.nix b/pkgs/applications/misc/vym/default.nix
index 4815a7bc10af..e58b98c4e070 100644
--- a/pkgs/applications/misc/vym/default.nix
+++ b/pkgs/applications/misc/vym/default.nix
@@ -54,6 +54,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
homepage = "http://www.insilmaril.de/vym/";
description = "A mind-mapping software";
+ mainProgram = "vym";
longDescription = ''
VYM (View Your Mind) is a tool to generate and manipulate maps which show
your thoughts. Such maps can help you to improve your creativity and
diff --git a/pkgs/applications/misc/warpd/default.nix b/pkgs/applications/misc/warpd/default.nix
index e2d5715447f7..7fa28d41e37b 100644
--- a/pkgs/applications/misc/warpd/default.nix
+++ b/pkgs/applications/misc/warpd/default.nix
@@ -53,5 +53,6 @@ stdenv.mkDerivation rec {
maintainers = with maintainers; [ hhydraa ];
license = licenses.mit;
platforms = platforms.linux;
+ mainProgram = "warpd";
};
}
diff --git a/pkgs/applications/misc/watchmate/default.nix b/pkgs/applications/misc/watchmate/default.nix
index b78d7f5277ca..c668aea45531 100644
--- a/pkgs/applications/misc/watchmate/default.nix
+++ b/pkgs/applications/misc/watchmate/default.nix
@@ -51,6 +51,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "PineTime smart watch companion app for Linux phone and desktop";
+ mainProgram = "watchmate";
homepage = "https://github.com/azymohliad/watchmate";
changelog = "https://github.com/azymohliad/watchmate/raw/v${version}/CHANGELOG.md";
license = licenses.gpl3Plus;
diff --git a/pkgs/applications/misc/watershot/default.nix b/pkgs/applications/misc/watershot/default.nix
index 6a6feb209d05..6d29fab82527 100644
--- a/pkgs/applications/misc/watershot/default.nix
+++ b/pkgs/applications/misc/watershot/default.nix
@@ -33,6 +33,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
platforms = with platforms; linux;
description = "A simple wayland native screenshot tool";
+ mainProgram = "watershot";
homepage = "https://github.com/Kirottu/watershot";
license = licenses.gpl3Only;
maintainers = with maintainers; [ lord-valen ];
diff --git a/pkgs/applications/misc/waybar/default.nix b/pkgs/applications/misc/waybar/default.nix
index dad61b88d4ff..fd24f6605e4e 100644
--- a/pkgs/applications/misc/waybar/default.nix
+++ b/pkgs/applications/misc/waybar/default.nix
@@ -2,6 +2,7 @@
, stdenv
, bash
, fetchFromGitHub
+, fetchFromGitLab
, SDL2
, alsa-lib
, catch2_3
@@ -51,6 +52,7 @@
, mpdSupport ? true
, mprisSupport ? stdenv.isLinux
, nlSupport ? true
+, pipewireSupport ? true
, pulseSupport ? true
, rfkillSupport ? true
, runTests ? true
@@ -68,24 +70,35 @@ let
libcava.src = fetchFromGitHub {
owner = "LukashonakV";
repo = "cava";
- rev = "0.9.1";
- hash = "sha256-FnRJJV0poRmw+y4nt1X7Z0ipX86LRK1TJhNKHFk0rTw=";
+ rev = "0.10.1";
+ hash = "sha256-iIYKvpOWafPJB5XhDOSIW9Mb4I3A4pcgIIPQdQYEqUw=";
};
+
+ wireplumber_0_4 = wireplumber.overrideAttrs (attrs: rec {
+ version = "0.4.17";
+ src = fetchFromGitLab {
+ domain = "gitlab.freedesktop.org";
+ owner = "pipewire";
+ repo = "wireplumber";
+ rev = version;
+ hash = "sha256-vhpQT67+849WV1SFthQdUeFnYe/okudTQJoL3y+wXwI=";
+ };
+ });
in
stdenv.mkDerivation (finalAttrs: {
pname = "waybar";
- version = "0.9.24";
+ version = "0.10.0";
src = fetchFromGitHub {
owner = "Alexays";
repo = "Waybar";
rev = finalAttrs.version;
- hash = "sha256-JhLKGzqZ8akWcyHTav2TGcGmXk9dy9Xj4+/oFCPeNU0=";
+ hash = "sha256-p1VRrKT2kTDy48gDXPMHlLbfcokAOFeTZXGzTeO1SAE=";
};
postUnpack = lib.optional cavaSupport ''
pushd "$sourceRoot"
- cp -R --no-preserve=mode,ownership ${libcava.src} subprojects/cava-0.9.1
+ cp -R --no-preserve=mode,ownership ${libcava.src} subprojects/cava-0.10.1
patchShebangs .
popd
'';
@@ -122,7 +135,6 @@ stdenv.mkDerivation (finalAttrs: {
fftw
iniparser
ncurses
- pipewire
portaudio
]
++ lib.optional evdevSupport libevdev
@@ -138,7 +150,8 @@ stdenv.mkDerivation (finalAttrs: {
++ lib.optional traySupport libdbusmenu-gtk3
++ lib.optional udevSupport udev
++ lib.optional upowerSupport upower
- ++ lib.optional wireplumberSupport wireplumber
+ ++ lib.optional wireplumberSupport wireplumber_0_4
+ ++ lib.optional (cavaSupport || pipewireSupport) pipewire
++ lib.optional (!stdenv.isLinux) libinotify-kqueue;
nativeCheckInputs = [ catch2_3 ];
@@ -147,7 +160,6 @@ stdenv.mkDerivation (finalAttrs: {
mesonFlags = (lib.mapAttrsToList lib.mesonEnable {
"cava" = cavaSupport;
"dbusmenu-gtk" = traySupport;
- "gtk-layer-shell" = true;
"jack" = jackSupport;
"libinput" = inputSupport;
"libnl" = nlSupport;
@@ -155,6 +167,7 @@ stdenv.mkDerivation (finalAttrs: {
"man-pages" = true;
"mpd" = mpdSupport;
"mpris" = mprisSupport;
+ "pipewire" = pipewireSupport;
"pulseaudio" = pulseSupport;
"rfkill" = rfkillSupport;
"sndio" = sndioSupport;
diff --git a/pkgs/applications/misc/waycorner/default.nix b/pkgs/applications/misc/waycorner/default.nix
index 66e5660042ad..2cffde8cfc37 100644
--- a/pkgs/applications/misc/waycorner/default.nix
+++ b/pkgs/applications/misc/waycorner/default.nix
@@ -8,14 +8,14 @@
}:
rustPlatform.buildRustPackage rec {
pname = "waycorner";
- version = "0.2.1";
+ version = "0.2.2";
src = fetchFromGitHub {
owner = "AndreasBackx";
repo = "waycorner";
rev = version;
- hash = "sha256-xvmvtn6dMqt8kUwvn5d5Nl1V84kz1eWa9BSIN/ONkSQ=";
+ hash = "sha256-b0wGqtCvWzCV9mj2eZ0SXzxM02fbyQ+OfKcbZ2MhLOE=";
};
- cargoHash = "sha256-Dl+GhJywWhaC4QMS70klazPsFipGVRW+6jrXH2XsEAI=";
+ cargoHash = "sha256-Xl2nBBcfWjULKG2L+qX4ruw7gux6+qfFg/dTAarqgAU=";
buildInputs = [
wayland
];
@@ -31,6 +31,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "Hot corners for Wayland";
+ mainProgram = "waycorner";
changelog = "https://github.com/AndreasBackx/waycorner/blob/main/CHANGELOG.md";
homepage = "https://github.com/AndreasBackx/waycorner";
platforms = platforms.linux;
diff --git a/pkgs/applications/misc/waypaper/default.nix b/pkgs/applications/misc/waypaper/default.nix
index 1b2ca4843461..36f79865d31a 100644
--- a/pkgs/applications/misc/waypaper/default.nix
+++ b/pkgs/applications/misc/waypaper/default.nix
@@ -42,6 +42,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
changelog = "https://github.com/anufrievroman/waypaper/releases/tag/${version}";
description = "GUI wallpaper setter for Wayland-based window managers";
+ mainProgram = "waypaper";
longDescription = ''
GUI wallpaper setter for Wayland-based window managers that works as a frontend for popular backends like swaybg and swww.
diff --git a/pkgs/applications/misc/wbg/default.nix b/pkgs/applications/misc/wbg/default.nix
index bf7ce1c1c229..4501f2c3ffeb 100644
--- a/pkgs/applications/misc/wbg/default.nix
+++ b/pkgs/applications/misc/wbg/default.nix
@@ -61,5 +61,6 @@ stdenv.mkDerivation rec {
license = licenses.isc;
maintainers = with maintainers; [ AndersonTorres ];
platforms = with platforms; linux;
+ mainProgram = "wbg";
};
}
diff --git a/pkgs/applications/misc/wcalc/default.nix b/pkgs/applications/misc/wcalc/default.nix
index 30ca1836b740..62ab0aaa05a5 100644
--- a/pkgs/applications/misc/wcalc/default.nix
+++ b/pkgs/applications/misc/wcalc/default.nix
@@ -16,5 +16,6 @@ stdenv.mkDerivation rec {
homepage = "https://w-calc.sourceforge.net";
license = licenses.gpl2;
platforms = platforms.all;
+ mainProgram = "wcalc";
};
}
diff --git a/pkgs/applications/misc/weather/default.nix b/pkgs/applications/misc/weather/default.nix
index 290de03f39ff..b17bca38d6e9 100644
--- a/pkgs/applications/misc/weather/default.nix
+++ b/pkgs/applications/misc/weather/default.nix
@@ -41,6 +41,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "http://fungi.yuggoth.org/weather";
description = "Quick access to current weather conditions and forecasts";
+ mainProgram = "weather";
license = licenses.isc;
maintainers = [ maintainers.matthiasbeyer ];
platforms = platforms.unix;
diff --git a/pkgs/applications/misc/webfontkitgenerator/default.nix b/pkgs/applications/misc/webfontkitgenerator/default.nix
index 57d006a5b569..c4a3a7b86e98 100644
--- a/pkgs/applications/misc/webfontkitgenerator/default.nix
+++ b/pkgs/applications/misc/webfontkitgenerator/default.nix
@@ -53,6 +53,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "Webfont Kit Generator is a simple utility that allows you to generate woff, woff2 and the necessary CSS boilerplate from non-web font formats (otf & ttf)";
+ mainProgram = "webfontkitgenerator";
homepage = "https://apps.gnome.org/app/com.rafaelmardojai.WebfontKitGenerator";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ benediktbroich ];
diff --git a/pkgs/applications/misc/wego/default.nix b/pkgs/applications/misc/wego/default.nix
index 4620386b9c27..3ff6a7279ad6 100644
--- a/pkgs/applications/misc/wego/default.nix
+++ b/pkgs/applications/misc/wego/default.nix
@@ -17,5 +17,6 @@ buildGoModule rec {
homepage = "https://github.com/schachmat/wego";
description = "Weather app for the terminal";
license = licenses.isc;
+ mainProgram = "wego";
};
}
diff --git a/pkgs/applications/misc/whalebird/default.nix b/pkgs/applications/misc/whalebird/default.nix
index ce7d178f1c05..83b310ef885e 100644
--- a/pkgs/applications/misc/whalebird/default.nix
+++ b/pkgs/applications/misc/whalebird/default.nix
@@ -1,38 +1,54 @@
-{ lib, stdenv, fetchurl
-, autoPatchelfHook, makeDesktopItem, copyDesktopItems, makeWrapper, gnugrep, asar
-, electron, python3, alsa-lib, gtk3, libdbusmenu, libxshmfence, mesa, nss
+{ lib
+, stdenv
+, fetchFromGitHub
+, makeDesktopItem
+, copyDesktopItems
+, makeWrapper
+, electron
+, cacert
+, gitMinimal
+, yarn
}:
-
stdenv.mkDerivation rec {
pname = "whalebird";
- version = "5.0.7";
+ version = "6.0.4";
- src = let
- downloads = "https://github.com/h3poteto/whalebird-desktop/releases/download/v${version}";
- in
- if stdenv.system == "x86_64-linux" then
- fetchurl {
- url = downloads + "/Whalebird-${version}-linux-x64.tar.bz2";
- hash = "sha256-eufP038REwF2VwAxxI8R0S3fE8oJ+SX/CES5ozuut2w=";
- }
- else if stdenv.system == "aarch64-linux" then
- fetchurl {
- url = downloads + "/Whalebird-${version}-linux-arm64.tar.bz2";
- hash = "sha256-U0xVTUUm6wsRxYc1w4vfNtVE6o8dNzXTSi+IX4mgDEE=";
- }
- else
- throw "Whalebird is not supported for ${stdenv.system}";
+ src = fetchFromGitHub {
+ owner = "h3poteto";
+ repo = "whalebird-desktop";
+ rev = "v${version}";
+ hash = "sha256-Yx0GEEPJ+d4/RvCbqZdKR6iE2iUNbOJr+RuboqjT8z8=";
+ };
+ # we cannot use fetchYarnDeps because that doesn't support yarn 2/berry lockfiles
+ offlineCache = stdenv.mkDerivation {
+ name = "whalebird-${version}-offline-cache";
+ inherit src;
+
+ nativeBuildInputs = [
+ cacert # needed for git
+ gitMinimal # needed to download git dependencies
+ yarn
+ ];
+
+ buildPhase = ''
+ export HOME=$(mktemp -d)
+ yarn config set enableTelemetry 0
+ yarn config set cacheFolder $out
+ yarn config set --json supportedArchitectures.os '[ "linux" ]'
+ yarn config set --json supportedArchitectures.cpu '[ "arm64", "x64" ]'
+ yarn
+ '';
+
+ outputHashMode = "recursive";
+ outputHash = "sha256-RjTGAgHRRQ4O3eTYpmTrl+KXafDZkWf1NH6lzdozVAA=";
+ };
nativeBuildInputs = [
- autoPatchelfHook
makeWrapper
copyDesktopItems
- gnugrep
- asar
+ yarn
];
- buildInputs = [ alsa-lib gtk3 libdbusmenu libxshmfence mesa nss ];
-
desktopItems = [
(makeDesktopItem {
desktopName = "Whalebird";
@@ -44,28 +60,21 @@ stdenv.mkDerivation rec {
})
];
- unpackPhase = ''
- mkdir -p opt
- tar -xf ${src} -C opt
- # remove the version/target suffix from the untar'd directory
- mv opt/Whalebird-* opt/Whalebird
- '';
+ ELECTRON_SKIP_BINARY_DOWNLOAD = "1";
buildPhase = ''
runHook preBuild
- # Necessary steps to find the tray icon
- # For aarch64-linux, we need to overwrite this symlink first as it points to
- # /usr/bin/python3
- if [ "${stdenv.system}" = "aarch64-linux" ]
- then ln -sf ${python3}/bin/python3 \
- opt/Whalebird/resources/app.asar.unpacked/node_modules/better-sqlite3/build/node_gyp_bins/python3
- fi
- asar extract opt/Whalebird/resources/app.asar "$TMP/work"
- substituteInPlace "$TMP/work/dist/electron/main.js" \
- --replace "$(grep -oE '.{2},"tray_icon.png"' "$TMP/work/dist/electron/main.js")" \
- "\"$out/opt/Whalebird/resources/build/icons/tray_icon.png\""
- asar pack --unpack='{*.node,*.ftz,rect-overlay}' "$TMP/work" opt/Whalebird/resources/app.asar
+ export HOME=$(mktemp -d)
+ yarn config set enableTelemetry 0
+ yarn config set cacheFolder ${offlineCache}
+
+ yarn --immutable-cache
+ yarn run nextron build --no-pack
+ yarn run electron-builder --dir \
+ --config electron-builder.yml \
+ -c.electronDist="${electron}/libexec/electron" \
+ -c.electronVersion=${electron.version}
runHook postBuild
'';
@@ -73,26 +82,30 @@ stdenv.mkDerivation rec {
installPhase = ''
runHook preInstall
- mkdir $out
- mv opt $out
+ mkdir -p $out/opt
+ cp -r ./dist/*-unpacked $out/opt/Whalebird
- # install icons
- for icon in $out/opt/Whalebird/resources/build/icons/*.png; do
- mkdir -p "$out/share/icons/hicolor/$(basename $icon .png)/apps"
- ln -s "$icon" "$out/share/icons/hicolor/$(basename $icon .png)/apps/whalebird.png"
+ # Install icons
+ # Taken from https://aur.archlinux.org/cgit/aur.git/tree/PKGBUILD?h=whalebird#n41
+ for i in 16 32 128 256 512; do
+ install -Dm644 "resources/icons/icon.iconset/icon_$i"x"$i.png" \
+ "$out/share/icons/hicolor/$i"x"$i/apps/whalebird.png"
done
+ install -Dm644 "resources/icons/icon.iconset/icon_32x32@2x.png" \
+ "$out/share/icons/hicolor/64x64/apps/whalebird.png"
- makeWrapper ${electron}/bin/electron $out/bin/whalebird \
- --add-flags $out/opt/Whalebird/resources/app.asar \
+ makeWrapper "${electron}/bin/electron" "$out/bin/whalebird" \
+ --add-flags "$out/opt/Whalebird/resources/app.asar" \
--add-flags "\''${NIXOS_OZONE_WL:+\''${WAYLAND_DISPLAY:+--ozone-platform-hint=auto --enable-features=WaylandWindowDecorations}}"
runHook postInstall
'';
meta = with lib; {
- description = "Electron based Mastodon, Pleroma and Misskey client for Windows, Mac and Linux";
+ description = "Single-column Fediverse client for desktop";
+ mainProgram = "whalebird";
homepage = "https://whalebird.social";
- sourceProvenance = with sourceTypes; [ binaryNativeCode ];
+ changelog = "https://github.com/h3poteto/whalebird-desktop/releases/tag/v${version}";
license = licenses.gpl3Only;
maintainers = with maintainers; [ wolfangaukang colinsane weathercold ];
platforms = [ "x86_64-linux" "aarch64-linux" ];
diff --git a/pkgs/applications/misc/wike/default.nix b/pkgs/applications/misc/wike/default.nix
index 03fe5587e505..c1d3dc2f10f2 100644
--- a/pkgs/applications/misc/wike/default.nix
+++ b/pkgs/applications/misc/wike/default.nix
@@ -70,5 +70,6 @@ python3.pkgs.buildPythonApplication rec {
license = licenses.gpl3Plus;
platforms = platforms.linux;
maintainers = with maintainers; [ samalws ];
+ mainProgram = "wike";
};
}
diff --git a/pkgs/applications/misc/wikicurses/default.nix b/pkgs/applications/misc/wikicurses/default.nix
index ec7dff141439..5f20f314d3f4 100644
--- a/pkgs/applications/misc/wikicurses/default.nix
+++ b/pkgs/applications/misc/wikicurses/default.nix
@@ -25,6 +25,7 @@ pythonPackages.buildPythonApplication rec {
meta = {
description = "A simple curses interface for MediaWiki sites such as Wikipedia";
+ mainProgram = "wikicurses";
homepage = "https://github.com/ids1024/wikicurses/";
license = lib.licenses.mit;
platforms = lib.platforms.unix;
diff --git a/pkgs/applications/misc/with-shell/default.nix b/pkgs/applications/misc/with-shell/default.nix
index 3674a23e41bd..acfe547f1470 100644
--- a/pkgs/applications/misc/with-shell/default.nix
+++ b/pkgs/applications/misc/with-shell/default.nix
@@ -72,5 +72,6 @@ stdenv.mkDerivation rec {
license = licenses.asl20;
maintainers = with maintainers; [ AndersonTorres ];
platforms = platforms.unix;
+ mainProgram = "with";
};
}
diff --git a/pkgs/applications/misc/wlclock/default.nix b/pkgs/applications/misc/wlclock/default.nix
index cbd7c32bdd37..ad14b24abd29 100644
--- a/pkgs/applications/misc/wlclock/default.nix
+++ b/pkgs/applications/misc/wlclock/default.nix
@@ -48,5 +48,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl3;
maintainers = with maintainers; [ nomisiv ];
platforms = with platforms; linux;
+ mainProgram = "wlclock";
};
}
diff --git a/pkgs/applications/misc/wmenu/default.nix b/pkgs/applications/misc/wmenu/default.nix
index 9d068bb61e68..de88c3fd1a40 100644
--- a/pkgs/applications/misc/wmenu/default.nix
+++ b/pkgs/applications/misc/wmenu/default.nix
@@ -15,7 +15,7 @@
stdenv.mkDerivation rec {
pname = "wmenu";
- version = "0.1.6";
+ version = "0.1.7";
strictDeps = true;
@@ -23,7 +23,7 @@ stdenv.mkDerivation rec {
owner = "~adnano";
repo = "wmenu";
rev = version;
- hash = "sha256-Xsnf7T39up6E5kzV37sM9j3PpA2eqxItbGt+tOfjsjE=";
+ hash = "sha256-9do7zL7yaZuqVjastySwjsByo5ja+KUP3590VjIyVnI=";
};
nativeBuildInputs = [ pkg-config meson ninja ];
@@ -35,6 +35,7 @@ stdenv.mkDerivation rec {
license = licenses.mit;
platforms = platforms.linux;
maintainers = with maintainers; [ eken ];
+ mainProgram = "wmenu";
};
}
diff --git a/pkgs/applications/misc/wmname/default.nix b/pkgs/applications/misc/wmname/default.nix
index 45e7cf3d8f1b..b64e714e081b 100644
--- a/pkgs/applications/misc/wmname/default.nix
+++ b/pkgs/applications/misc/wmname/default.nix
@@ -24,5 +24,6 @@ stdenv.mkDerivation rec {
homepage = "https://tools.suckless.org/wmname";
license = lib.licenses.mit;
platforms = lib.platforms.unix;
+ mainProgram = "wmname";
};
}
diff --git a/pkgs/applications/misc/wofi-emoji/default.nix b/pkgs/applications/misc/wofi-emoji/default.nix
index bf58b9195658..359853e6624e 100644
--- a/pkgs/applications/misc/wofi-emoji/default.nix
+++ b/pkgs/applications/misc/wofi-emoji/default.nix
@@ -50,5 +50,6 @@ stdenv.mkDerivation rec {
license = licenses.mit;
maintainers = [ maintainers.ymarkus ];
platforms = platforms.all;
+ mainProgram = "wofi-emoji";
};
}
diff --git a/pkgs/applications/misc/wofi/default.nix b/pkgs/applications/misc/wofi/default.nix
index 97aba94d1770..30e7072644f3 100644
--- a/pkgs/applications/misc/wofi/default.nix
+++ b/pkgs/applications/misc/wofi/default.nix
@@ -11,13 +11,13 @@
}:
stdenv.mkDerivation rec {
pname = "wofi";
- version = "1.4";
+ version = "1.4.1";
src = fetchFromSourcehut {
repo = pname;
owner = "~scoopta";
rev = "v${version}";
- sha256 = "sha256-zzBD1OPPlOjAUaJOlMf6k1tSai1w1ZvOwy2sSOWI7AM=";
+ sha256 = "sha256-aedoUhVfk8ljmQ23YxVmGZ00dPpRftW2dnRAgXmtV/w=";
vc = "hg";
};
diff --git a/pkgs/applications/misc/wordbook/default.nix b/pkgs/applications/misc/wordbook/default.nix
index 757fed5265f2..314ae208f9d5 100644
--- a/pkgs/applications/misc/wordbook/default.nix
+++ b/pkgs/applications/misc/wordbook/default.nix
@@ -61,6 +61,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "Offline English-English dictionary application built for GNOME";
+ mainProgram = "wordbook";
homepage = "https://github.com/fushinari/Wordbook";
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/misc/workrave/default.nix b/pkgs/applications/misc/workrave/default.nix
index 7d85f9c7a234..c4a5e692cfca 100644
--- a/pkgs/applications/misc/workrave/default.nix
+++ b/pkgs/applications/misc/workrave/default.nix
@@ -88,6 +88,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
broken = (stdenv.isLinux && stdenv.isAarch64);
description = "A program to help prevent Repetitive Strain Injury";
+ mainProgram = "workrave";
longDescription = ''
Workrave is a program that assists in the recovery and prevention of
Repetitive Strain Injury (RSI). The program frequently alerts you to
diff --git a/pkgs/applications/misc/wpm/default.nix b/pkgs/applications/misc/wpm/default.nix
index b6db073eb945..f7786471839a 100644
--- a/pkgs/applications/misc/wpm/default.nix
+++ b/pkgs/applications/misc/wpm/default.nix
@@ -21,6 +21,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "Console app for measuring typing speed in words per minute (WPM)";
+ mainProgram = "wpm";
homepage = "https://pypi.org/project/wpm";
license = licenses.agpl3Only;
maintainers = with maintainers; [ alejandrosame ];
diff --git a/pkgs/applications/misc/writefreely/default.nix b/pkgs/applications/misc/writefreely/default.nix
index 9f2d6743c387..fe1d221bd274 100644
--- a/pkgs/applications/misc/writefreely/default.nix
+++ b/pkgs/applications/misc/writefreely/default.nix
@@ -24,5 +24,6 @@ buildGoModule rec {
homepage = "https://github.com/writefreely/writefreely";
license = licenses.agpl3Only;
maintainers = with maintainers; [ soopyc ];
+ mainProgram = "writefreely";
};
}
diff --git a/pkgs/applications/misc/wthrr/default.nix b/pkgs/applications/misc/wthrr/default.nix
index 0b6ab3f96892..5b3c6d2cd17a 100644
--- a/pkgs/applications/misc/wthrr/default.nix
+++ b/pkgs/applications/misc/wthrr/default.nix
@@ -43,5 +43,6 @@ rustPlatform.buildRustPackage rec {
changelog = "https://github.com/tobealive/wthrr-the-weathercrab/releases/tag/${src.rev}";
license = licenses.mit;
maintainers = with maintainers; [ figsoda ];
+ mainProgram = "wthrr";
};
}
diff --git a/pkgs/applications/misc/wttrbar/default.nix b/pkgs/applications/misc/wttrbar/default.nix
index 9bd55af10b89..3d74cdf35c22 100644
--- a/pkgs/applications/misc/wttrbar/default.nix
+++ b/pkgs/applications/misc/wttrbar/default.nix
@@ -7,18 +7,18 @@
rustPlatform.buildRustPackage rec {
pname = "wttrbar";
- version = "0.7.1";
+ version = "0.9.4";
src = fetchFromGitHub {
owner = "bjesus";
repo = "wttrbar";
rev = version;
- hash = "sha256-b5GJNbXv6W4UA7nKYMgoWpfd9J+yWlWij5W0fh52vgs=";
+ hash = "sha256-kRrVqUfkrSK/9z3Hj4J+mKcdV7JdTzjhxlVRa/kf8sw=";
};
buildInputs = lib.optionals stdenv.isDarwin (with darwin.apple_sdk_11_0.frameworks; [ Security SystemConfiguration ]);
- cargoHash = "sha256-MCsblCDiCRDEHTfTcE6CyhqDclSbj0TJEf2cX6ISDFo=";
+ cargoHash = "sha256-HxSyGME95FWR5VwodmrMUX0jPlfE9SJV0WBbICuuTok=";
meta = {
description = "A simple but detailed weather indicator for Waybar using wttr.in";
diff --git a/pkgs/applications/misc/xbattbar/default.nix b/pkgs/applications/misc/xbattbar/default.nix
index 93f5d1c2279c..0ddb06b62ace 100644
--- a/pkgs/applications/misc/xbattbar/default.nix
+++ b/pkgs/applications/misc/xbattbar/default.nix
@@ -42,5 +42,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2Plus;
platforms = platforms.linux;
maintainers = [ maintainers.q3k ];
+ mainProgram = "xbattbar";
};
}
diff --git a/pkgs/applications/misc/xca/default.nix b/pkgs/applications/misc/xca/default.nix
index f5c8e90d2703..7b339a868002 100644
--- a/pkgs/applications/misc/xca/default.nix
+++ b/pkgs/applications/misc/xca/default.nix
@@ -30,6 +30,7 @@ mkDerivation rec {
meta = with lib; {
broken = stdenv.isDarwin;
description = "An x509 certificate generation tool, handling RSA, DSA and EC keys, certificate signing requests (PKCS#10) and CRLs";
+ mainProgram = "xca";
homepage = "https://hohnstaedt.de/xca/";
license = licenses.bsd3;
maintainers = with maintainers; [ offline peterhoeg ];
diff --git a/pkgs/applications/misc/xchm/default.nix b/pkgs/applications/misc/xchm/default.nix
index e5b3100dac37..8c48388594d4 100644
--- a/pkgs/applications/misc/xchm/default.nix
+++ b/pkgs/applications/misc/xchm/default.nix
@@ -38,5 +38,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2;
maintainers = with maintainers; [ sikmir ];
platforms = platforms.linux;
+ mainProgram = "xchm";
};
}
diff --git a/pkgs/applications/misc/xcruiser/default.nix b/pkgs/applications/misc/xcruiser/default.nix
index 8144a66fb2ff..3f1277f24a83 100644
--- a/pkgs/applications/misc/xcruiser/default.nix
+++ b/pkgs/applications/misc/xcruiser/default.nix
@@ -40,5 +40,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2;
maintainers = with maintainers; [ ehmry ];
platforms = with platforms; linux;
+ mainProgram = "xcruiser";
};
}
diff --git a/pkgs/applications/misc/xdgmenumaker/default.nix b/pkgs/applications/misc/xdgmenumaker/default.nix
index a9ccb2399daf..130b97189a62 100644
--- a/pkgs/applications/misc/xdgmenumaker/default.nix
+++ b/pkgs/applications/misc/xdgmenumaker/default.nix
@@ -56,6 +56,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "Command line tool that generates XDG menus for several window managers";
+ mainProgram = "xdgmenumaker";
homepage = "https://github.com/gapan/xdgmenumaker";
license = licenses.gpl3Plus;
# NOTE: exclude darwin from platforms because Travis reports hash mismatch
diff --git a/pkgs/applications/misc/xfontsel/default.nix b/pkgs/applications/misc/xfontsel/default.nix
index ecafb9d5118c..5bfdb209c1ff 100644
--- a/pkgs/applications/misc/xfontsel/default.nix
+++ b/pkgs/applications/misc/xfontsel/default.nix
@@ -32,7 +32,8 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://www.x.org/";
description = "Allows testing the fonts available in an X server";
- license = licenses.free;
+ mainProgram = "xfontsel";
+ license = with licenses; [ x11 smlnj mit ];
maintainers = with maintainers; [ viric ];
platforms = platforms.unix;
};
diff --git a/pkgs/applications/misc/xkbd/default.nix b/pkgs/applications/misc/xkbd/default.nix
index 173ea71fe407..df7d0f0e61cc 100644
--- a/pkgs/applications/misc/xkbd/default.nix
+++ b/pkgs/applications/misc/xkbd/default.nix
@@ -26,5 +26,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2Plus;
maintainers = [ ];
platforms = platforms.linux;
+ mainProgram = "xkbd";
};
}
diff --git a/pkgs/applications/misc/xkblayout-state/default.nix b/pkgs/applications/misc/xkblayout-state/default.nix
index 539a0f7d1a1d..c73ff6a60783 100644
--- a/pkgs/applications/misc/xkblayout-state/default.nix
+++ b/pkgs/applications/misc/xkblayout-state/default.nix
@@ -24,5 +24,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2;
maintainers = [ maintainers.jagajaga ];
platforms = platforms.linux;
+ mainProgram = "xkblayout-state";
};
}
diff --git a/pkgs/applications/misc/xkbmon/default.nix b/pkgs/applications/misc/xkbmon/default.nix
index 7c9a24c67173..f9394c45342c 100644
--- a/pkgs/applications/misc/xkbmon/default.nix
+++ b/pkgs/applications/misc/xkbmon/default.nix
@@ -21,5 +21,6 @@ stdenv.mkDerivation rec {
license = licenses.mit;
platforms = platforms.linux;
maintainers = [ maintainers.romildo ];
+ mainProgram = "xkbmon";
};
}
diff --git a/pkgs/applications/misc/xlights/default.nix b/pkgs/applications/misc/xlights/default.nix
index 459a7fd2d1db..e878039d0ede 100644
--- a/pkgs/applications/misc/xlights/default.nix
+++ b/pkgs/applications/misc/xlights/default.nix
@@ -15,5 +15,6 @@ appimageTools.wrapType2 rec {
license = licenses.gpl3;
maintainers = with maintainers; [ kashw2 ];
platforms = platforms.linux;
+ mainProgram = "xlights-${version}";
};
}
diff --git a/pkgs/applications/misc/xmenu/default.nix b/pkgs/applications/misc/xmenu/default.nix
index 9fe7ddf70b6b..ae08952af2e8 100644
--- a/pkgs/applications/misc/xmenu/default.nix
+++ b/pkgs/applications/misc/xmenu/default.nix
@@ -21,5 +21,6 @@ stdenv.mkDerivation rec {
license = licenses.mit;
maintainers = with maintainers; [ neonfuz ];
platforms = platforms.all;
+ mainProgram = "xmenu";
};
}
diff --git a/pkgs/applications/misc/xmr-stak/default.nix b/pkgs/applications/misc/xmr-stak/default.nix
index 823d17ba3664..81f35447863c 100644
--- a/pkgs/applications/misc/xmr-stak/default.nix
+++ b/pkgs/applications/misc/xmr-stak/default.nix
@@ -38,6 +38,9 @@ stdenv.mkDerivation rec {
'';
meta = with lib; {
+ # Does not build against gcc-13. No development activity upstream
+ # for past few years.
+ broken = true;
description = "Unified All-in-one Monero miner";
homepage = "https://github.com/fireice-uk/xmr-stak";
license = licenses.gpl3Plus;
diff --git a/pkgs/applications/misc/xmrig/default.nix b/pkgs/applications/misc/xmrig/default.nix
index ca4011220d34..b0f8562c1728 100644
--- a/pkgs/applications/misc/xmrig/default.nix
+++ b/pkgs/applications/misc/xmrig/default.nix
@@ -15,13 +15,13 @@ let
in
stdenv.mkDerivation rec {
pname = "xmrig";
- version = "6.21.0";
+ version = "6.21.1";
src = fetchFromGitHub {
owner = "xmrig";
repo = "xmrig";
rev = "v${version}";
- hash = "sha256-7OHfFo8+MUNSI3vpOIODKQH41jmraHDJOyqfLBp/v9o=";
+ hash = "sha256-xMfNWqr43Gxu+ET8oP9l97+tBsL/b6DNuFU4j9wy0UA=";
};
patches = [
diff --git a/pkgs/applications/misc/xmrig/moneroocean.nix b/pkgs/applications/misc/xmrig/moneroocean.nix
index ba643eb77b45..0e4ac69cb813 100644
--- a/pkgs/applications/misc/xmrig/moneroocean.nix
+++ b/pkgs/applications/misc/xmrig/moneroocean.nix
@@ -1,16 +1,31 @@
-{ stdenv, fetchFromGitHub, lib, xmrig }:
+{ lib
+, stdenv
+, fetchFromGitHub
+, fetchpatch
+, xmrig
+}:
xmrig.overrideAttrs (oldAttrs: rec {
pname = "xmrig-mo";
- version = "6.20.0-mo1";
+ version = "6.21.0-mo2";
src = fetchFromGitHub {
owner = "MoneroOcean";
repo = "xmrig";
rev = "v${version}";
- sha256 = "sha256-yHAipyZJXwH21u4YwjUqDCsXHVrI+eSnp4Iqt3AZC9A=";
+ hash = "sha256-OKyJcmhlY8gfDKyBf83KHhokp4qA8EDyessTwKReaD8=";
};
+ patches = [
+ # Fix build against gcc-13 due to missing include
+ # https://github.com/MoneroOcean/xmrig/pull/123
+ (fetchpatch {
+ name = "gcc-13.patch";
+ url = "https://github.com/MoneroOcean/xmrig/commit/7d3ea51d68049c35e9d4c75732c751eefbc5ab29.patch";
+ hash = "sha256-iNrtZ8LxNJMzn8kXLhYGEFAy0ughfOZobDVRImpVPC0=";
+ })
+ ];
+
meta = with lib; {
description = "A fork of the XMRig CPU miner with support for algorithm switching";
homepage = "https://github.com/MoneroOcean/xmrig";
diff --git a/pkgs/applications/misc/xmrig/proxy.nix b/pkgs/applications/misc/xmrig/proxy.nix
index 0acaece4cc02..23b6c07ba6c6 100644
--- a/pkgs/applications/misc/xmrig/proxy.nix
+++ b/pkgs/applications/misc/xmrig/proxy.nix
@@ -53,6 +53,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Monero (XMR) Stratum protocol proxy";
+ mainProgram = "xmrig-proxy";
homepage = "https://github.com/xmrig/xmrig-proxy";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ aij ];
diff --git a/pkgs/applications/misc/xneur/default.nix b/pkgs/applications/misc/xneur/default.nix
index eab545cf0818..e282d84f9c93 100644
--- a/pkgs/applications/misc/xneur/default.nix
+++ b/pkgs/applications/misc/xneur/default.nix
@@ -67,6 +67,7 @@ stdenv.mkDerivation {
meta = with lib; {
description = "Utility for switching between keyboard layouts";
+ mainProgram = "xneur";
homepage = "https://xneur.ru";
license = licenses.gpl2Plus;
maintainers = [ maintainers.raskin ];
diff --git a/pkgs/applications/misc/xpad/default.nix b/pkgs/applications/misc/xpad/default.nix
index 2a14cbe24fb5..13b29491bf3f 100644
--- a/pkgs/applications/misc/xpad/default.nix
+++ b/pkgs/applications/misc/xpad/default.nix
@@ -17,6 +17,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A sticky note application for jotting down things to remember";
+ mainProgram = "xpad";
homepage = "https://launchpad.net/xpad";
license = licenses.gpl3;
platforms = platforms.linux;
diff --git a/pkgs/applications/misc/xpdf/default.nix b/pkgs/applications/misc/xpdf/default.nix
index 0205208c86ba..38b92eb77b9f 100644
--- a/pkgs/applications/misc/xpdf/default.nix
+++ b/pkgs/applications/misc/xpdf/default.nix
@@ -12,11 +12,14 @@ assert enablePrinting -> cups != null;
stdenv.mkDerivation rec {
pname = "xpdf";
- version = "4.04";
+ version = "4.05";
src = fetchzip {
- url = "https://dl.xpdfreader.com/xpdf-${version}.tar.gz";
- hash = "sha256-ujH9KDwFRjPIKwdMg79Mab9BfA2HooY5+2PESUgnGDY=";
+ urls = [
+ "https://dl.xpdfreader.com/xpdf-${version}.tar.gz"
+ "https://dl.xpdfreader.com/old/xpdf-${version}.tar.gz"
+ ];
+ hash = "sha256-LBxKSrXTdoulZDjPiyYMaJr63jFHHI+VCgVJx310i/w=";
};
# Fix "No known features for CXX compiler", see
@@ -73,8 +76,6 @@ stdenv.mkDerivation rec {
platforms = platforms.unix;
maintainers = with maintainers; [ sikmir ];
knownVulnerabilities = [
- "CVE-2018-7453: loop in PDF objects"
- "CVE-2018-16369: loop in PDF objects"
"CVE-2019-9587: loop in PDF objects"
"CVE-2019-9588: loop in PDF objects"
"CVE-2019-16088: loop in PDF objects"
@@ -82,14 +83,10 @@ stdenv.mkDerivation rec {
"CVE-2022-38928"
"CVE-2022-41842"
"CVE-2022-41843"
- "CVE-2022-41844"
"CVE-2022-43071"
"CVE-2022-43295"
"CVE-2022-45586"
"CVE-2022-45587"
- "CVE-2023-2662"
- "CVE-2023-2663"
- "CVE-2023-2664"
"CVE-2023-26930"
"CVE-2023-26931"
"CVE-2023-26934"
diff --git a/pkgs/applications/misc/xrandr-invert-colors/default.nix b/pkgs/applications/misc/xrandr-invert-colors/default.nix
index 226907afe7e8..6fd521f3cc5c 100644
--- a/pkgs/applications/misc/xrandr-invert-colors/default.nix
+++ b/pkgs/applications/misc/xrandr-invert-colors/default.nix
@@ -25,5 +25,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/zoltanp/xrandr-invert-colors";
maintainers = [lib.maintainers.magnetophon ];
platforms = platforms.linux;
+ mainProgram = "xrandr-invert-colors";
};
}
diff --git a/pkgs/applications/misc/xrq/default.nix b/pkgs/applications/misc/xrq/default.nix
index 22ad3d789ac9..c37696c5f19d 100644
--- a/pkgs/applications/misc/xrq/default.nix
+++ b/pkgs/applications/misc/xrq/default.nix
@@ -24,5 +24,6 @@ stdenv.mkDerivation {
homepage = "https://github.com/arianon/xrq";
license = lib.licenses.mit;
platforms = with lib.platforms; unix;
+ mainProgram = "xrq";
};
}
diff --git a/pkgs/applications/misc/xscope/default.nix b/pkgs/applications/misc/xscope/default.nix
index ba798a861a8d..34425345f3d4 100644
--- a/pkgs/applications/misc/xscope/default.nix
+++ b/pkgs/applications/misc/xscope/default.nix
@@ -18,6 +18,7 @@ stdenv.mkDerivation rec {
license = with licenses; [ mit ];
maintainers = with maintainers; [ ];
platforms = with platforms; unix;
+ mainProgram = "xscope";
};
}
diff --git a/pkgs/applications/misc/xsuspender/default.nix b/pkgs/applications/misc/xsuspender/default.nix
index b47bbef0188f..178522fbf518 100644
--- a/pkgs/applications/misc/xsuspender/default.nix
+++ b/pkgs/applications/misc/xsuspender/default.nix
@@ -24,6 +24,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Auto-suspend inactive X11 applications";
+ mainProgram = "xsuspender";
homepage = "https://kernc.github.io/xsuspender/";
license = licenses.wtfpl;
maintainers = with maintainers; [ offline ];
diff --git a/pkgs/applications/misc/xsw/default.nix b/pkgs/applications/misc/xsw/default.nix
index 5248ed2f6040..fac2c6812bd2 100644
--- a/pkgs/applications/misc/xsw/default.nix
+++ b/pkgs/applications/misc/xsw/default.nix
@@ -31,5 +31,6 @@ in stdenv.mkDerivation rec {
platforms = platforms.unix;
license = licenses.gpl3;
maintainers = [ maintainers.vrthra ];
+ mainProgram = "xsw";
};
}
diff --git a/pkgs/applications/misc/xxkb/default.nix b/pkgs/applications/misc/xxkb/default.nix
index 5e76e3a14d53..3fd9eb8a6ecb 100644
--- a/pkgs/applications/misc/xxkb/default.nix
+++ b/pkgs/applications/misc/xxkb/default.nix
@@ -53,5 +53,6 @@ stdenv.mkDerivation rec {
license = licenses.artistic2;
maintainers = with maintainers; [ rasendubi ];
platforms = platforms.linux;
+ mainProgram = "xxkb";
};
}
diff --git a/pkgs/applications/misc/xygrib/default.nix b/pkgs/applications/misc/xygrib/default.nix
index f7b3f6837f7c..4ce68f77e25b 100644
--- a/pkgs/applications/misc/xygrib/default.nix
+++ b/pkgs/applications/misc/xygrib/default.nix
@@ -35,6 +35,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://opengribs.org";
description = "Weather Forecast Visualization";
+ mainProgram = "xygrib";
longDescription = ''
XyGrib is a leading opensource weather visualization package.
It interacts with OpenGribs's Grib server providing a choice
diff --git a/pkgs/applications/misc/yambar/default.nix b/pkgs/applications/misc/yambar/default.nix
index 8feb3995070c..ee679a630b60 100644
--- a/pkgs/applications/misc/yambar/default.nix
+++ b/pkgs/applications/misc/yambar/default.nix
@@ -119,5 +119,6 @@ stdenv.mkDerivation (finalAttrs: {
license = lib.licenses.mit;
maintainers = with lib.maintainers; [ AndersonTorres ];
platforms = lib.platforms.linux;
+ mainProgram = "yambar";
};
})
diff --git a/pkgs/applications/misc/ydict/default.nix b/pkgs/applications/misc/ydict/default.nix
index 1c57456f4a19..0d52c230a423 100644
--- a/pkgs/applications/misc/ydict/default.nix
+++ b/pkgs/applications/misc/ydict/default.nix
@@ -36,6 +36,7 @@ buildGoModule rec {
meta = with lib; {
description = "Yet another command-line Youdao Chinese dictionary";
+ mainProgram = "ydict";
homepage = "https://github.com/TimothyYe/ydict";
license = licenses.mit;
maintainers = with maintainers; [ zendo ];
diff --git a/pkgs/applications/misc/yewtube/default.nix b/pkgs/applications/misc/yewtube/default.nix
index 14f50e3be290..26ce9f8f64a2 100644
--- a/pkgs/applications/misc/yewtube/default.nix
+++ b/pkgs/applications/misc/yewtube/default.nix
@@ -40,6 +40,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "Terminal based YouTube player and downloader, forked from mps-youtube";
+ mainProgram = "yt";
homepage = "https://github.com/mps-youtube/yewtube";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ fgaz koral ];
diff --git a/pkgs/applications/misc/yubioath-flutter/default.nix b/pkgs/applications/misc/yubioath-flutter/default.nix
index ce228abdbb22..0611655da1bc 100644
--- a/pkgs/applications/misc/yubioath-flutter/default.nix
+++ b/pkgs/applications/misc/yubioath-flutter/default.nix
@@ -13,24 +13,27 @@
flutter.buildFlutterApplication rec {
pname = "yubioath-flutter";
- version = "6.3.1";
+ version = "6.4.0";
src = fetchFromGitHub {
owner = "Yubico";
repo = "yubioath-flutter";
rev = version;
- hash = "sha256-XgRIX2Iv5niJw2NSBPwM0K4uF5sPj9c+Xj4oHtAQSbU=";
+ hash = "sha256-aXUnmKEUCi0rsVr3HVhEk6xa1z9HMsH+0AIY531hqiU=";
};
passthru.helper = python3.pkgs.callPackage ./helper.nix { inherit src version meta; };
pubspecLock = lib.importJSON ./pubspec.lock.json;
+ gitHashes = {
+ window_manager = "sha256-mLX51nbWFccsAfcqLQIYDjYz69y9wAz4U1RZ8TIYSj0=";
+ };
postPatch = ''
rm -f pubspec.lock
substituteInPlace linux/CMakeLists.txt \
- --replace "../build/linux/helper" "${passthru.helper}/libexec/helper"
+ --replace-fail "../build/linux/helper" "${passthru.helper}/libexec/helper"
'';
preInstall = ''
@@ -81,6 +84,7 @@ flutter.buildFlutterApplication rec {
meta = with lib; {
description = "Yubico Authenticator for Desktop";
+ mainProgram = "yubioath-flutter";
homepage = "https://github.com/Yubico/yubioath-flutter";
license = licenses.asl20;
maintainers = with maintainers; [ lukegb ];
diff --git a/pkgs/applications/misc/yubioath-flutter/pubspec.lock.json b/pkgs/applications/misc/yubioath-flutter/pubspec.lock.json
index a1973fa9a752..c83c6ffb1caf 100644
--- a/pkgs/applications/misc/yubioath-flutter/pubspec.lock.json
+++ b/pkgs/applications/misc/yubioath-flutter/pubspec.lock.json
@@ -134,11 +134,11 @@
"dependency": "transitive",
"description": {
"name": "build_runner_core",
- "sha256": "c9e32d21dd6626b5c163d48b037ce906bbe428bc23ab77bcd77bb21e593b6185",
+ "sha256": "4ae8ffe5ac758da294ecf1802f2aff01558d8b1b00616aa7538ea9a8a5d50799",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "7.2.11"
+ "version": "7.3.0"
},
"built_collection": {
"dependency": "transitive",
@@ -154,11 +154,11 @@
"dependency": "transitive",
"description": {
"name": "built_value",
- "sha256": "c9aabae0718ec394e5bc3c7272e6bb0dc0b32201a08fe185ec1d8401d3e39309",
+ "sha256": "a3ec2e0f967bc47f69f95009bb93db936288d61d5343b9436e378b28a2f830c6",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "8.8.1"
+ "version": "8.9.0"
},
"characters": {
"dependency": "transitive",
@@ -264,31 +264,31 @@
"dependency": "direct dev",
"description": {
"name": "custom_lint",
- "sha256": "dfb893ff17c83cf08676c6b64df11d3e53d80590978d7c1fb242afff3ba6dedb",
+ "sha256": "f89ff83efdba7c8996e86bb3bad0b759d58f9b19ae4d0e277a386ddd8b481217",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "0.5.8"
+ "version": "0.6.0"
},
"custom_lint_builder": {
"dependency": "direct dev",
"description": {
"name": "custom_lint_builder",
- "sha256": "8df6634b38a36a6c6cb74a9c0eb02e9ba0b0ab89b29e38e6daa86e8ed2c6288d",
+ "sha256": "9cdd9987feaa6925ec5f98d64de4fbbb5d94248ff77bbf2489366efad6c4baef",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "0.5.8"
+ "version": "0.6.0"
},
"custom_lint_core": {
"dependency": "transitive",
"description": {
"name": "custom_lint_core",
- "sha256": "2b235be098d157e244f18ea905a15a18c16a205e30553888fac6544bbf52f03f",
+ "sha256": "9003a91409c9f1db6e2e50b4870d1d5e802e5923b25f7261bf3cb3e11ea9d4fb",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "0.5.8"
+ "version": "0.6.0"
},
"dart_style": {
"dependency": "transitive",
@@ -402,11 +402,11 @@
"dependency": "direct main",
"description": {
"name": "flutter_riverpod",
- "sha256": "da9591d1f8d5881628ccd5c25c40e74fc3eef50ba45e40c3905a06e1712412d5",
+ "sha256": "4bce556b7ecbfea26109638d5237684538d4abc509d253e6c5c4c5733b360098",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "2.4.9"
+ "version": "2.4.10"
},
"flutter_test": {
"dependency": "direct dev",
@@ -424,11 +424,11 @@
"dependency": "direct dev",
"description": {
"name": "freezed",
- "sha256": "6c5031daae12c7072b3a87eff98983076434b4889ef2a44384d0cae3f82372ba",
+ "sha256": "57247f692f35f068cae297549a46a9a097100685c6780fe67177503eea5ed4e5",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "2.4.6"
+ "version": "2.4.7"
},
"freezed_annotation": {
"dependency": "direct main",
@@ -645,11 +645,11 @@
"dependency": "transitive",
"description": {
"name": "mime",
- "sha256": "e4ff8e8564c03f255408decd16e7899da1733852a9110a58fe6d1b817684a63e",
+ "sha256": "2e123074287cc9fd6c09de8336dae606d1ddb88d9ac47358826db698c176a1f2",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "1.0.4"
+ "version": "1.0.5"
},
"package_config": {
"dependency": "transitive",
@@ -834,11 +834,11 @@
"dependency": "transitive",
"description": {
"name": "riverpod",
- "sha256": "942999ee48b899f8a46a860f1e13cee36f2f77609eb54c5b7a669bb20d550b11",
+ "sha256": "548e2192eb7aeb826eb89387f814edb76594f3363e2c0bb99dd733d795ba3589",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "2.4.9"
+ "version": "2.5.0"
},
"rxdart": {
"dependency": "transitive",
@@ -1119,11 +1119,11 @@
"dependency": "direct main",
"description": {
"name": "url_launcher",
- "sha256": "d25bb0ca00432a5e1ee40e69c36c85863addf7cc45e433769d61bed3fe81fd96",
+ "sha256": "c512655380d241a337521703af62d2c122bf7b77a46ff7dd750092aa9433499c",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "6.2.3"
+ "version": "6.2.4"
},
"url_launcher_android": {
"dependency": "transitive",
@@ -1308,12 +1308,13 @@
"window_manager": {
"dependency": "direct main",
"description": {
- "name": "window_manager",
- "sha256": "dcc865277f26a7dad263a47d0e405d77e21f12cb71f30333a52710a408690bd7",
- "url": "https://pub.dev"
+ "path": ".",
+ "ref": "2272d45bcf46d7e2b452a038906fbc85df3ce83d",
+ "resolved-ref": "2272d45bcf46d7e2b452a038906fbc85df3ce83d",
+ "url": "https://github.com/fdennis/window_manager.git"
},
- "source": "hosted",
- "version": "0.3.7"
+ "source": "git",
+ "version": "0.3.8"
},
"xdg_directories": {
"dependency": "transitive",
diff --git a/pkgs/applications/misc/zathura/core/default.nix b/pkgs/applications/misc/zathura/core/default.nix
index 321ef367c5fe..3b529c18f52d 100644
--- a/pkgs/applications/misc/zathura/core/default.nix
+++ b/pkgs/applications/misc/zathura/core/default.nix
@@ -1,5 +1,5 @@
-{ lib, stdenv, fetchurl, meson, ninja, wrapGAppsHook, pkg-config
-, appstream-glib, desktop-file-utils, python3
+{ lib, stdenv, fetchFromGitLab, meson, ninja, wrapGAppsHook, pkg-config, gitUpdater
+, appstream-glib, json-glib, desktop-file-utils, python3
, gtk, girara, gettext, libxml2, check
, sqlite, glib, texlive, libintl, libseccomp
, file, librsvg
@@ -8,11 +8,14 @@
stdenv.mkDerivation (finalAttrs: {
pname = "zathura";
- version = "0.5.2";
+ version = "0.5.5";
- src = fetchurl {
- url = "https://pwmt.org/projects/zathura/download/zathura-${finalAttrs.version}.tar.xz";
- sha256 = "15314m9chmh5jkrd9vk2h2gwcwkcffv2kjcxkd4v3wmckz5sfjy6";
+ src = fetchFromGitLab {
+ domain = "git.pwmt.org";
+ owner = "pwmt";
+ repo = "zathura";
+ rev = finalAttrs.version;
+ hash = "sha256-mHEYqgBB55p8nykFtvYtP5bWexp/IqFbeLs7gZmXCeE=";
};
outputs = [ "bin" "man" "dev" "out" ];
@@ -20,12 +23,12 @@ stdenv.mkDerivation (finalAttrs: {
# Flag list:
# https://github.com/pwmt/zathura/blob/master/meson_options.txt
mesonFlags = [
- "-Dsqlite=enabled"
"-Dmanpages=enabled"
"-Dconvert-icon=enabled"
"-Dsynctex=enabled"
+ "-Dtests=disabled"
# Make sure tests are enabled for doCheck
- (lib.mesonEnable "tests" finalAttrs.finalPackage.doCheck)
+ # (lib.mesonEnable "tests" finalAttrs.finalPackage.doCheck)
(lib.mesonEnable "seccomp" stdenv.hostPlatform.isLinux)
];
@@ -35,13 +38,15 @@ stdenv.mkDerivation (finalAttrs: {
];
buildInputs = [
- gtk girara libintl sqlite glib file librsvg check
+ gtk girara libintl sqlite glib file librsvg check json-glib
texlive.bin.core
] ++ lib.optional stdenv.isLinux libseccomp
++ lib.optional stdenv.isDarwin gtk-mac-integration;
doCheck = !stdenv.isDarwin;
+ passthru.updateScript = gitUpdater { };
+
meta = with lib; {
homepage = "https://git.pwmt.org/pwmt/zathura";
description = "A core component for zathura PDF viewer";
diff --git a/pkgs/applications/misc/zathura/pdf-poppler/default.nix b/pkgs/applications/misc/zathura/pdf-poppler/default.nix
index e482241bbd6c..5246705bbd8b 100644
--- a/pkgs/applications/misc/zathura/pdf-poppler/default.nix
+++ b/pkgs/applications/misc/zathura/pdf-poppler/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "zathura-pdf-poppler";
- version = "0.3.1";
+ version = "0.3.2";
src = fetchurl {
url = "https://pwmt.org/projects/${pname}/download/${pname}-${version}.tar.xz";
- sha256 = "12qhkshpp1wjfpjmjccsyi6wscqyqvaa19j85prjpyf65i9jg0gf";
+ sha256 = "049h8m9swxni7ar6fsbm0hb3fg4ffmjc3m6vyg78ilfi3kayxavi";
};
nativeBuildInputs = [ meson ninja pkg-config zathura_core ];
diff --git a/pkgs/applications/misc/zettlr/generic.nix b/pkgs/applications/misc/zettlr/generic.nix
index 671577b61ba7..0b26d57e7c4e 100644
--- a/pkgs/applications/misc/zettlr/generic.nix
+++ b/pkgs/applications/misc/zettlr/generic.nix
@@ -38,5 +38,6 @@ appimageTools.wrapType2 rec {
platforms = [ "x86_64-linux" ];
license = licenses.gpl3;
maintainers = with maintainers; [ tfmoraes ];
+ mainProgram = "zettlr";
};
}
diff --git a/pkgs/applications/misc/zine/default.nix b/pkgs/applications/misc/zine/default.nix
index 863ab7f618ba..63675fa69abd 100644
--- a/pkgs/applications/misc/zine/default.nix
+++ b/pkgs/applications/misc/zine/default.nix
@@ -34,5 +34,6 @@ rustPlatform.buildRustPackage rec {
changelog = "https://github.com/zineland/zine/releases/tag/v${version}";
license = licenses.asl20;
maintainers = with maintainers; [ dit7ya figsoda ];
+ mainProgram = "zine";
};
}
diff --git a/pkgs/applications/misc/zk-shell/default.nix b/pkgs/applications/misc/zk-shell/default.nix
index 9f6816f00dda..e565e1ecda75 100644
--- a/pkgs/applications/misc/zk-shell/default.nix
+++ b/pkgs/applications/misc/zk-shell/default.nix
@@ -25,6 +25,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "A powerful & scriptable shell for Apache ZooKeeper";
+ mainProgram = "zk-shell";
homepage = "https://github.com/rgs1/zk_shell";
license = licenses.asl20;
maintainers = [ maintainers.mahe ];
diff --git a/pkgs/applications/misc/zktree/default.nix b/pkgs/applications/misc/zktree/default.nix
index 86e12712340a..c9d4786f05f5 100644
--- a/pkgs/applications/misc/zktree/default.nix
+++ b/pkgs/applications/misc/zktree/default.nix
@@ -21,5 +21,6 @@ rustPlatform.buildRustPackage rec {
homepage = "https://github.com/alirezameskin/zktree";
license = licenses.unlicense;
maintainers = with lib.maintainers; [ alirezameskin ];
+ mainProgram = "zktree";
};
}
diff --git a/pkgs/applications/misc/zscroll/default.nix b/pkgs/applications/misc/zscroll/default.nix
index bc53ade06a78..ccdac791e336 100644
--- a/pkgs/applications/misc/zscroll/default.nix
+++ b/pkgs/applications/misc/zscroll/default.nix
@@ -20,6 +20,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "A text scroller for use with panels and shells";
+ mainProgram = "zscroll";
homepage = "https://github.com/noctuid/zscroll";
license = licenses.gpl3Plus;
platforms = platforms.all;
diff --git a/pkgs/applications/networking/adguardian/default.nix b/pkgs/applications/networking/adguardian/default.nix
index 7b85ee2c000c..21123d47e5d1 100644
--- a/pkgs/applications/networking/adguardian/default.nix
+++ b/pkgs/applications/networking/adguardian/default.nix
@@ -24,6 +24,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "Terminal-based, real-time traffic monitoring and statistics for your AdGuard Home instance";
+ mainProgram = "adguardian";
homepage = "https://github.com/Lissy93/AdGuardian-Term";
license = licenses.mit;
maintainers = with maintainers; [ GaetanLepage ];
diff --git a/pkgs/applications/networking/avalanchego/default.nix b/pkgs/applications/networking/avalanchego/default.nix
index 4609413417d3..83ca257a98b1 100644
--- a/pkgs/applications/networking/avalanchego/default.nix
+++ b/pkgs/applications/networking/avalanchego/default.nix
@@ -8,16 +8,16 @@
buildGoModule rec {
pname = "avalanchego";
- version = "1.10.19";
+ version = "1.11.2";
src = fetchFromGitHub {
owner = "ava-labs";
repo = pname;
rev = "v${version}";
- hash = "sha256-Pvl4t0nUHAwTdkR2fEFuFpLn2Hz5kw3IBFYDWSmGyXA=";
+ hash = "sha256-E75lqQkaCub/WpxvVHB5YP1E1ygjUURJ1VWrjvcV96o=";
};
- vendorHash = "sha256-WYewelAUkXLD6cwnJQ/jAYP99qq4HjEnJ4HwNUksHZU=";
+ vendorHash = "sha256-Xrr4QE0FN6sII4xOjPbhwBlNgEwOlogKRNStjrjH7H0=";
# go mod vendor has a bug, see: https://github.com/golang/go/issues/57529
proxyVendor = true;
diff --git a/pkgs/applications/networking/bee/0001-clef-service-accept-default-CONFIGDIR-from-the-envir.patch b/pkgs/applications/networking/bee/0001-clef-service-accept-default-CONFIGDIR-from-the-envir.patch
deleted file mode 100644
index fb551646b7c6..000000000000
--- a/pkgs/applications/networking/bee/0001-clef-service-accept-default-CONFIGDIR-from-the-envir.patch
+++ /dev/null
@@ -1,44 +0,0 @@
-From 04933c578f51aa1f536991318dc5aede57f81c0d Mon Sep 17 00:00:00 2001
-From: Attila Lendvai
-Date: Sat, 30 Jan 2021 14:02:02 +0100
-Subject: [PATCH 1/2] clef-service: accept default CONFIGDIR from the
- environment
-
----
- packaging/bee-clef-service | 15 ++++++++++-----
- 1 file changed, 10 insertions(+), 5 deletions(-)
-
-diff --git a/packaging/bee-clef-service b/packaging/bee-clef-service
-index 10bcd92..34c7edd 100755
---- a/packaging/bee-clef-service
-+++ b/packaging/bee-clef-service
-@@ -1,16 +1,21 @@
- #!/usr/bin/env sh
-
- start() {
-- KEYSTORE=/var/lib/bee-clef/keystore
-- CONFIGDIR=/var/lib/bee-clef
-+ if [ -z "$CONFIGDIR" ]; then
-+ CONFIGDIR=/var/lib/bee-clef
-+ fi
-+ if [ -z "$PASSWORD_FILE" ]; then
-+ PASSWORD_FILE=${CONFIGDIR}/password
-+ fi
-+ KEYSTORE=${CONFIGDIR}/keystore
-+ SECRET=$(cat ${PASSWORD_FILE})
- CHAINID=5
-- SECRET=$(cat /var/lib/bee-clef/password)
- # clef with every start sets permissions back to 600
-- (sleep 4; chmod 660 /var/lib/bee-clef/clef.ipc) &
-+ (sleep 4; chmod 660 ${CONFIGDIR}/clef.ipc) &
- ( sleep 2; cat << EOF
- { "jsonrpc": "2.0", "id":1, "result": { "text":"$SECRET" } }
- EOF
--) | clef --stdio-ui --keystore $KEYSTORE --configdir $CONFIGDIR --chainid $CHAINID --rules /etc/bee-clef/rules.js --nousb --4bytedb-custom /etc/bee-clef/4byte.json --pcscdpath "" --auditlog "" --loglevel 3 --ipcpath /var/lib/bee-clef
-+) | clef --stdio-ui --keystore $KEYSTORE --configdir $CONFIGDIR --chainid $CHAINID --rules /etc/bee-clef/rules.js --nousb --4bytedb-custom /etc/bee-clef/4byte.json --pcscdpath "" --auditlog "" --loglevel 3 --ipcpath ${CONFIGDIR}
- }
-
- stop() {
---
-2.29.2
-
diff --git a/pkgs/applications/networking/bee/0002-nix-diff-for-substituteAll.patch b/pkgs/applications/networking/bee/0002-nix-diff-for-substituteAll.patch
deleted file mode 100644
index 611aed0b890a..000000000000
--- a/pkgs/applications/networking/bee/0002-nix-diff-for-substituteAll.patch
+++ /dev/null
@@ -1,25 +0,0 @@
-From 1a1ab986245e8b74648a1a0adb5d1c7019561d18 Mon Sep 17 00:00:00 2001
-From: Attila Lendvai
-Date: Sat, 30 Jan 2021 15:24:57 +0100
-Subject: [PATCH 2/2] nix diff for substituteAll
-
----
- packaging/bee-clef-service | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
-diff --git a/packaging/bee-clef-service b/packaging/bee-clef-service
-index 34c7edd..31e9d95 100755
---- a/packaging/bee-clef-service
-+++ b/packaging/bee-clef-service
-@@ -15,7 +15,7 @@ start() {
- ( sleep 2; cat << EOF
- { "jsonrpc": "2.0", "id":1, "result": { "text":"$SECRET" } }
- EOF
--) | clef --stdio-ui --keystore $KEYSTORE --configdir $CONFIGDIR --chainid $CHAINID --rules /etc/bee-clef/rules.js --nousb --4bytedb-custom /etc/bee-clef/4byte.json --pcscdpath "" --auditlog "" --loglevel 3 --ipcpath ${CONFIGDIR}
-+) | @clefBinary@ --stdio-ui --keystore $KEYSTORE --configdir $CONFIGDIR --chainid $CHAINID --rules @out@/share/bee-clef/rules.js --nousb --4bytedb-custom @out@/share/bee-clef/4byte.json --pcscdpath "" --auditlog "" --loglevel 3 --ipcpath ${CONFIGDIR}
- }
-
- stop() {
---
-2.29.2
-
diff --git a/pkgs/applications/networking/bee/bee-clef.nix b/pkgs/applications/networking/bee/bee-clef.nix
deleted file mode 100644
index 9e36f8670d46..000000000000
--- a/pkgs/applications/networking/bee/bee-clef.nix
+++ /dev/null
@@ -1,57 +0,0 @@
-{ version ? "release", stdenv, lib, fetchFromGitHub, go-ethereum }:
-
-stdenv.mkDerivation rec {
- pname = "bee-clef";
- version = "0.4.7";
-
- src = fetchFromGitHub {
- owner = "ethersphere";
- repo = "bee-clef";
- rev = "refs/tags/v${version}";
- sha256 = "1sfwql0kvnir8b9ggpqcyc0ar995gxgfbhqb1xpfzp6wl0g3g4zz";
- };
-
- buildInputs = [ go-ethereum ];
-
- clefBinary = "${go-ethereum}/bin/clef";
-
- patches = [
- ./0001-clef-service-accept-default-CONFIGDIR-from-the-envir.patch
- ./0002-nix-diff-for-substituteAll.patch
- ];
-
- dontBuild = true;
-
- installPhase = ''
- mkdir -p $out/bin/
- mkdir -p $out/share/bee-clef/
- mkdir -p $out/lib/systemd/system/
- cp packaging/bee-clef.service $out/lib/systemd/system/
- substituteAll packaging/bee-clef-service $out/share/bee-clef/bee-clef-service
- substituteAll ${./ensure-clef-account} $out/share/bee-clef/ensure-clef-account
- substituteAll packaging/bee-clef-keys $out/bin/bee-clef-keys
- cp packaging/rules.js packaging/4byte.json $out/share/bee-clef/
- chmod +x $out/bin/bee-clef-keys
- chmod +x $out/share/bee-clef/bee-clef-service
- chmod +x $out/share/bee-clef/ensure-clef-account
- patchShebangs $out/
- '';
-
- meta = with lib; {
- # homepage = "https://gateway.ethswarm.org/bzz/docs.swarm.eth/docs/installation/bee-clef/";
- homepage = "https://docs.ethswarm.org/docs/installation/bee-clef";
- description = "External signer for Ethereum Swarm Bee";
- longDescription = ''
- clef is go-ethereum's external signer.
-
- bee-clef is a package that starts up a vanilla clef instance as a systemd service,
- but configured in such a way that is suitable for bee (relaxed security for
- automated operation).
-
- This package contains the files necessary to run the bee-clef service.
- '';
- license = with licenses; [ bsd3 ];
- maintainers = with maintainers; [ attila-lendvai ];
- platforms = go-ethereum.meta.platforms;
- };
-}
diff --git a/pkgs/applications/networking/bee/bee.nix b/pkgs/applications/networking/bee/bee.nix
index f2fe81e8379f..cca2e549c8b1 100644
--- a/pkgs/applications/networking/bee/bee.nix
+++ b/pkgs/applications/networking/bee/bee.nix
@@ -1,55 +1,34 @@
-{ version ? "release", lib, fetchFromGitHub, buildGoModule }:
+{ lib
+, fetchFromGitHub
+, buildGoModule
+}:
-let
-
- versionSpec = rec {
- unstable = rec {
- pname = "bee-unstable";
- version = "2021-01-30";
- rev = "824636a2c2629c329ab10275cef6a0b7395343ad";
- goVersionString = "g" + builtins.substring 0 7 rev; # this seems to be some kind of standard of git describe...
- sha256 = "0ly1yqjq29arbak8lchdradf39l5bmxpbfir6ljjc7nyqdxz0sxg";
- vendorHash = "sha256-w5ZijaK8Adt1ZHPMmXqRWq0v0jdprRKRu03rePtZLXA=";
- };
- release = rec {
- pname = "bee";
- version = "0.5.0";
- rev = "refs/tags/v${version}";
- sha256 = "sha256-3Oy9RhgMPRFjUs3Dj8XUhAqoxx5BTi32OiK4Y8YEG2Q=";
- vendorHash = "sha256-w5ZijaK8Adt1ZHPMmXqRWq0v0jdprRKRu03rePtZLXA=";
- };
- "0.5.0" = release;
- "0.4.1" = rec {
- pname = "bee";
- version = "0.4.1";
- rev = "refs/tags/v${version}";
- sha256 = "1bmgbav52pcb5p7cgq9756512fzfqhjybyr0dv538plkqx47mpv7";
- vendorHash = "sha256-UGxiCXWlIfnhRZZBMYcWXFj77pqvJkb5wOllSdQeaUg=";
- };
- }.${version};
-
-in
-
-buildGoModule {
- inherit (versionSpec) pname version vendorHash;
+buildGoModule rec {
+ pname = "bee";
+ version = "1.18.2";
src = fetchFromGitHub {
owner = "ethersphere";
repo = "bee";
- inherit (versionSpec) rev sha256;
+ rev = "v${version}";
+ sha256 = "sha256-LUOKF1073GmQWG2q4w0cTErSHw7ok5N6PQZ45xpjYx4=";
};
+ vendorHash = "sha256-UdsF/otjXqS1NY3PkCimRiD93hGntHG3Xhw6avFtHog=";
+
subPackages = [ "cmd/bee" ];
- # no symbol table, no debug info, and pass the commit for the version string
- ldflags = lib.optionals ( lib.hasAttr "goVersionString" versionSpec)
- [ "-s" "-w" "-X=github.com/ethersphere/bee.commit=${versionSpec.goVersionString}" ];
+ ldflags = [
+ "-s"
+ "-w"
+ "-X github.com/ethersphere/bee.version=${version}"
+ "-X github.com/ethersphere/bee/pkg/api.Version=5.2.0"
+ "-X github.com/ethersphere/bee/pkg/api.DebugVersion=4.1.0"
+ "-X github.com/ethersphere/bee/pkg/p2p/libp2p.reachabilityOverridePublic=false"
+ "-X github.com/ethersphere/bee/pkg/postage/listener.batchFactorOverridePublic=5"
+ ];
- # Mimic the bee Makefile: without disabling CGO, two (transitive and
- # unused) dependencies would fail to compile.
- preBuild = ''
- export CGO_ENABLED=0
- '';
+ CGO_ENABLED = 0;
postInstall = ''
mkdir -p $out/lib/systemd/system
@@ -65,11 +44,13 @@ buildGoModule {
longDescription = ''
A decentralised storage and communication system for a sovereign digital society.
- Swarm is a system of peer-to-peer networked nodes that create a decentralised storage and communication service. The system is economically self-sustaining due to a built-in incentive system enforced through smart contracts on the Ethereum blockchain.
+ Swarm is a system of peer-to-peer networked nodes that create a decentralised storage
+ and communication service. The system is economically self-sustaining due to a built-in
+ incentive system enforced through smart contracts on the Ethereum blockchain.
Bee is a Swarm node implementation, written in Go.
'';
license = with licenses; [ bsd3 ];
- maintainers = with maintainers; [ attila-lendvai ];
+ maintainers = with maintainers; [ ];
};
}
diff --git a/pkgs/applications/networking/bee/ensure-clef-account b/pkgs/applications/networking/bee/ensure-clef-account
deleted file mode 100644
index def67ff9cc33..000000000000
--- a/pkgs/applications/networking/bee/ensure-clef-account
+++ /dev/null
@@ -1,47 +0,0 @@
-#!/usr/bin/env sh
-
-set -e
-
-# NOTE This file is called by the systemd service in its preStart
-# hook, but it's not Nix specific in any way. Ideally, the same file
-# should be called from the postinst scripts of the other packages,
-# but... the world is not ideal.
-
-# What follows was extracted from, and should be in sync with
-# https://github.com/ethersphere/bee-clef/tree/master/packaging
-
-DATA_DIR="$1"
-CONFIG_DIR="$2"
-PASSWORD_FILE=${DATA_DIR}/password
-MASTERSEED=${DATA_DIR}/masterseed.json
-KEYSTORE=${DATA_DIR}/keystore
-
-echo "ensure-clef-account $DATA_DIR $CONFIG_DIR"
-
-if ! test -f ${PASSWORD_FILE}; then
- < /dev/urandom tr -dc _A-Z-a-z-0-9 2> /dev/null | head -c32 > ${PASSWORD_FILE}
- chmod 0400 ${PASSWORD_FILE}
- echo "Initialized ${PASSWORD_FILE} from /dev/urandom"
-fi
-
-if ! test -f ${MASTERSEED}; then
- parse_json() { echo $1|sed -e 's/[{}]/''/g'|sed -e 's/", "/'\",\"'/g'|sed -e 's/" ,"/'\",\"'/g'|sed -e 's/" , "/'\",\"'/g'|sed -e 's/","/'\"---SEPERATOR---\"'/g'|awk -F=':' -v RS='---SEPERATOR---' "\$1~/\"$2\"/ {print}"|sed -e "s/\"$2\"://"|tr -d "\n\t"|sed -e 's/\\"/"/g'|sed -e 's/\\\\/\\/g'|sed -e 's/^[ \t]*//g'|sed -e 's/^"//' -e 's/"$//' ; }
- SECRET=$(cat ${PASSWORD_FILE})
- CLEF="@clefBinary@ --configdir ${DATA_DIR} --keystore ${KEYSTORE} --stdio-ui"
- $CLEF init >/dev/null << EOF
-$SECRET
-$SECRET
-EOF
- $CLEF newaccount >/dev/null << EOF
-$SECRET
-EOF
- $CLEF setpw 0x$(parse_json $(cat ${KEYSTORE}/*) address) >/dev/null << EOF
-$SECRET
-$SECRET
-$SECRET
-EOF
- $CLEF attest $(sha256sum ${CONFIG_DIR}/rules.js | cut -d' ' -f1 | tr -d '\n') >/dev/null << EOF
-$SECRET
-EOF
- echo "Clef data dir initialized"
-fi
diff --git a/pkgs/applications/networking/breitbandmessung/default.nix b/pkgs/applications/networking/breitbandmessung/default.nix
index 09ec430e6548..9a6cc8a4f739 100644
--- a/pkgs/applications/networking/breitbandmessung/default.nix
+++ b/pkgs/applications/networking/breitbandmessung/default.nix
@@ -3,7 +3,7 @@
, fetchurl
, asar
, dpkg
-, electron_24
+, electron
, makeWrapper
, nixosTests
, undmg
@@ -12,14 +12,11 @@
let
inherit (stdenv.hostPlatform) system;
- version = "3.3.0";
+ sources = import ./sources.nix;
systemArgs = rec {
- x86_64-linux = rec {
- src = fetchurl {
- url = "https://download.breitbandmessung.de/bbm/Breitbandmessung-${version}-linux.deb";
- sha256 = "sha256-12mbdxklje9msnRtNk1RAtIg3OCybev/vUersDZj2i4=";
- };
+ x86_64-linux = {
+ src = fetchurl sources.x86_64-linux;
nativeBuildInputs = [
asar
@@ -49,7 +46,7 @@ let
}
EOF
- makeWrapper ${electron_24}/bin/electron $out/bin/breitbandmessung \
+ makeWrapper ${electron}/bin/electron $out/bin/breitbandmessung \
--add-flags $out/share/breitbandmessung/resources/build/electron.js
# Fix the desktop link
@@ -59,10 +56,7 @@ let
};
x86_64-darwin = {
- src = fetchurl {
- url = "https://download.breitbandmessung.de/bbm/Breitbandmessung-${version}-mac.dmg";
- sha256 = "sha256-a27R/N13i4qU2znTKz+LGxSdgSzJ0MzIHeiPHyRd65k=";
- };
+ src = fetchurl sources.x86_64-darwin;
nativeBuildInputs = [ undmg ];
@@ -79,9 +73,10 @@ let
in
stdenv.mkDerivation ({
pname = "breitbandmessung";
- inherit version;
+ inherit (sources) version;
passthru.tests = { inherit (nixosTests) breitbandmessung; };
+ passthru.updateScript = ./update.sh;
meta = with lib; {
description = "Broadband internet speed test app from the german Bundesnetzagentur";
diff --git a/pkgs/applications/networking/breitbandmessung/sources.nix b/pkgs/applications/networking/breitbandmessung/sources.nix
new file mode 100644
index 000000000000..d97cc1179952
--- /dev/null
+++ b/pkgs/applications/networking/breitbandmessung/sources.nix
@@ -0,0 +1,11 @@
+{
+ version = "3.6.0";
+ x86_64-linux = {
+ url = "https://download.breitbandmessung.de/bbm/Breitbandmessung-3.6.0-linux.deb";
+ sha256 = "sha256-jUp4Q9tiR/WLkTNHz97j0eE/WwcfFF3ut0S9N4M75Oc=";
+ };
+ x86_64-darwin = {
+ url = "https://download.breitbandmessung.de/bbm/Breitbandmessung-3.6.0-mac.dmg";
+ sha256 = "sha256-ZvTig1/fm1GRoOYuTRBiZ8j4CRbZSa95q6a0sxo39Gs=";
+ };
+}
diff --git a/pkgs/applications/networking/breitbandmessung/update.sh b/pkgs/applications/networking/breitbandmessung/update.sh
new file mode 100755
index 000000000000..da982adf933b
--- /dev/null
+++ b/pkgs/applications/networking/breitbandmessung/update.sh
@@ -0,0 +1,29 @@
+#!/usr/bin/env nix-shell
+#!nix-shell -i bash -p curl jq nix ripgrep
+
+set -xeu -o pipefail
+
+PACKAGE_DIR="$(realpath "$(dirname "$0")")"
+
+current="$(nix eval -f "$PACKAGE_DIR/sources.nix" --raw version || :)"
+latest="$(curl -sS https://breitbandmessung.de/desktop-app | \
+ rg '.*Aktuelle Version der Desktop-App lautet:\s*([.0-9]+).*' -r '$1')"
+
+if [[ $current != $latest ]]; then
+ linux_hash="$(nix store prefetch-file --json https://download.breitbandmessung.de/bbm/Breitbandmessung-${latest}-linux.deb | jq -r .hash)"
+ darwin_hash="$(nix store prefetch-file --json https://download.breitbandmessung.de/bbm/Breitbandmessung-${latest}-mac.dmg | jq -r .hash)"
+
+ cat <"$PACKAGE_DIR/sources.nix"
+{
+ version = "${latest}";
+ x86_64-linux = {
+ url = "https://download.breitbandmessung.de/bbm/Breitbandmessung-${latest}-linux.deb";
+ sha256 = "${linux_hash}";
+ };
+ x86_64-darwin = {
+ url = "https://download.breitbandmessung.de/bbm/Breitbandmessung-${latest}-mac.dmg";
+ sha256 = "${darwin_hash}";
+ };
+}
+EOF
+fi
diff --git a/pkgs/applications/networking/brig/default.nix b/pkgs/applications/networking/brig/default.nix
index 8c659a99d0ff..e7204a68d14c 100644
--- a/pkgs/applications/networking/brig/default.nix
+++ b/pkgs/applications/networking/brig/default.nix
@@ -51,7 +51,7 @@ buildGoModule rec {
'';
homepage = "https://brig.readthedocs.io";
changelog = "https://github.com/sahib/brig/releases/tag/${src.rev}";
- license = licenses.agpl3;
+ license = licenses.agpl3Only;
maintainers = with maintainers; [ offline ];
mainProgram = "brig";
};
diff --git a/pkgs/applications/networking/browsers/asuka/default.nix b/pkgs/applications/networking/browsers/asuka/default.nix
index a89d2bb36e87..f36cf28b2d05 100644
--- a/pkgs/applications/networking/browsers/asuka/default.nix
+++ b/pkgs/applications/networking/browsers/asuka/default.nix
@@ -20,6 +20,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "Gemini Project client written in Rust with NCurses";
+ mainProgram = "asuka";
homepage = "https://git.sr.ht/~julienxx/asuka";
license = licenses.mit;
platforms = platforms.unix;
diff --git a/pkgs/applications/networking/browsers/av-98/default.nix b/pkgs/applications/networking/browsers/av-98/default.nix
index afd2e9b9c1a7..d17dfb8e28cb 100644
--- a/pkgs/applications/networking/browsers/av-98/default.nix
+++ b/pkgs/applications/networking/browsers/av-98/default.nix
@@ -19,6 +19,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
homepage = "https://tildegit.org/solderpunk/AV-98";
description = "Experimental console client for the Gemini protocol";
+ mainProgram = "av98";
license = licenses.bsd2;
maintainers = with maintainers; [ ehmry ];
};
diff --git a/pkgs/applications/networking/browsers/badwolf/default.nix b/pkgs/applications/networking/browsers/badwolf/default.nix
index 41308634186d..2200f878172b 100644
--- a/pkgs/applications/networking/browsers/badwolf/default.nix
+++ b/pkgs/applications/networking/browsers/badwolf/default.nix
@@ -29,6 +29,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Minimalist and privacy-oriented WebKitGTK+ browser";
+ mainProgram = "badwolf";
homepage = "https://hacktivis.me/projects/badwolf";
license = licenses.bsd3;
platforms = platforms.linux;
diff --git a/pkgs/applications/networking/browsers/bombadillo/default.nix b/pkgs/applications/networking/browsers/bombadillo/default.nix
index 96a968a50fe9..f96d6014b5bc 100644
--- a/pkgs/applications/networking/browsers/bombadillo/default.nix
+++ b/pkgs/applications/networking/browsers/bombadillo/default.nix
@@ -22,6 +22,7 @@ buildGoModule rec {
meta = with lib; {
description = "Non-web client for the terminal, supporting Gopher, Gemini and more";
+ mainProgram = "bombadillo";
homepage = "https://bombadillo.colorfield.space/";
license = licenses.gpl3;
maintainers = with maintainers; [ ehmry ];
diff --git a/pkgs/applications/networking/browsers/brave/default.nix b/pkgs/applications/networking/browsers/brave/default.nix
index 2d69289d63cc..2c2c0813a88f 100644
--- a/pkgs/applications/networking/browsers/brave/default.nix
+++ b/pkgs/applications/networking/browsers/brave/default.nix
@@ -87,17 +87,18 @@ let
enableFeatures = optionals enableVideoAcceleration [ "VaapiVideoDecoder" "VaapiVideoEncoder" ]
++ optional enableVulkan "Vulkan";
+ disableFeatures = [ "OutdatedBuildDetector" ] # disable automatic updates
# The feature disable is needed for VAAPI to work correctly: https://github.com/brave/brave-browser/issues/20935
- disableFeatures = optional enableVideoAcceleration "UseChromeOSDirectVideoDecoder";
+ ++ optionals enableVideoAcceleration [ "UseChromeOSDirectVideoDecoder" ];
in
stdenv.mkDerivation rec {
pname = "brave";
- version = "1.62.162";
+ version = "1.64.109";
src = fetchurl {
url = "https://github.com/brave/brave-browser/releases/download/v${version}/brave-browser_${version}_amd64.deb";
- hash = "sha256-hQG6LHYPhqzfgR0Z7R+hXB1vEVDd6VEyIttSae15Mpo=";
+ hash = "sha256-36igba0U3p8i7t91RxeG6PqlKYyHDDlj295ICcYmCNc=";
};
dontConfigure = true;
diff --git a/pkgs/applications/networking/browsers/browsh/default.nix b/pkgs/applications/networking/browsers/browsh/default.nix
index ee04f9ed04dc..2eeef882d630 100644
--- a/pkgs/applications/networking/browsers/browsh/default.nix
+++ b/pkgs/applications/networking/browsers/browsh/default.nix
@@ -38,6 +38,7 @@ buildGoModule rec {
meta = with lib; {
description = "A fully-modern text-based browser, rendering to TTY and browsers";
+ mainProgram = "browsh";
homepage = "https://www.brow.sh/";
maintainers = with maintainers; [ kalbasit siraben ];
license = lib.licenses.lgpl21;
diff --git a/pkgs/applications/networking/browsers/castor/default.nix b/pkgs/applications/networking/browsers/castor/default.nix
index 6a898fb0c95d..6d35a7091e8f 100644
--- a/pkgs/applications/networking/browsers/castor/default.nix
+++ b/pkgs/applications/networking/browsers/castor/default.nix
@@ -44,6 +44,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "A graphical client for plain-text protocols written in Rust with GTK. It currently supports the Gemini, Gopher and Finger protocols";
+ mainProgram = "castor";
homepage = "https://sr.ht/~julienxx/Castor";
license = licenses.mit;
maintainers = with maintainers; [ fgaz ];
diff --git a/pkgs/applications/networking/browsers/chromium/common.nix b/pkgs/applications/networking/browsers/chromium/common.nix
index 45fc2054c103..4bd6e358fdf6 100644
--- a/pkgs/applications/networking/browsers/chromium/common.nix
+++ b/pkgs/applications/networking/browsers/chromium/common.nix
@@ -25,7 +25,7 @@
, bzip2, flac, speex, libopus
, libevent, expat, libjpeg, snappy
, libcap
-, xdg-utils, minizip, libwebp
+, minizip, libwebp
, libusb1, re2
, ffmpeg, libxslt, libxml2
, nasm
@@ -184,9 +184,6 @@ let
bzip2 flac speex opusWithCustomModes
libevent expat libjpeg snappy
libcap
- ] ++ lib.optionals (!xdg-utils.meta.broken) [
- xdg-utils
- ] ++ [
minizip libwebp
libusb1 re2
ffmpeg libxslt libxml2
@@ -219,6 +216,9 @@ let
# (we currently package 1.26 in Nixpkgs while Chromium bundles 1.21):
# Source: https://bugs.chromium.org/p/angleproject/issues/detail?id=7582#c1
./patches/angle-wayland-include-protocol.patch
+ # Chromium reads initial_preferences from its own executable directory
+ # This patch modifies it to read /etc/chromium/initial_preferences
+ ./patches/chromium-initial-prefs.patch
] ++ lib.optionals (chromiumVersionAtLeast "120") [
# We need to revert this patch to build M120+ with LLVM 17:
./patches/chromium-120-llvm-17.patch
@@ -295,10 +295,6 @@ let
'/usr/share/locale/' \
'${glibc}/share/locale/'
- '' + lib.optionalString (!xdg-utils.meta.broken) ''
- sed -i -e 's@"\(#!\)\?.*xdg-@"\1${xdg-utils}/bin/xdg-@' \
- chrome/browser/shell_integration_linux.cc
-
'' + lib.optionalString systemdSupport ''
sed -i -e '/lib_loader.*Load/s!"\(libudev\.so\)!"${lib.getLib systemd}/lib/\1!' \
device/udev_linux/udev?_loader.cc
diff --git a/pkgs/applications/networking/browsers/chromium/get-commit-message.py b/pkgs/applications/networking/browsers/chromium/get-commit-message.py
index 45f4282582f5..437b82d3a777 100755
--- a/pkgs/applications/networking/browsers/chromium/get-commit-message.py
+++ b/pkgs/applications/networking/browsers/chromium/get-commit-message.py
@@ -13,7 +13,8 @@ from collections import OrderedDict
import feedparser
import requests
-feed = feedparser.parse('https://chromereleases.googleblog.com/feeds/posts/default')
+# Official rss/atom feed taken from 's html source ()
+feed = feedparser.parse('https://www.blogger.com/feeds/8982037438137564684/posts/default')
html_tags = re.compile(r'<[^>]+>')
target_version = sys.argv[1] if len(sys.argv) == 2 else None
diff --git a/pkgs/applications/networking/browsers/chromium/patches/chromium-initial-prefs.patch b/pkgs/applications/networking/browsers/chromium/patches/chromium-initial-prefs.patch
new file mode 100644
index 000000000000..cf359431b43c
--- /dev/null
+++ b/pkgs/applications/networking/browsers/chromium/patches/chromium-initial-prefs.patch
@@ -0,0 +1,19 @@
+diff --git a/chrome/browser/first_run/first_run_internal_linux.cc b/chrome/browser/first_run/first_run_internal_linux.cc
+index 33fd579012..9a17b54b37 100644
+--- a/chrome/browser/first_run/first_run_internal_linux.cc
++++ b/chrome/browser/first_run/first_run_internal_linux.cc
+@@ -19,13 +19,7 @@ bool IsOrganicFirstRun() {
+ }
+
+ base::FilePath InitialPrefsPath() {
+- // The standard location of the initial prefs is next to the chrome binary.
+- base::FilePath dir_exe;
+- if (!base::PathService::Get(base::DIR_EXE, &dir_exe)) {
+- return base::FilePath();
+- }
+-
+- return installer::InitialPreferences::Path(dir_exe);
++ return base::FilePath("/etc/chromium/initial_preferences");
+ }
+
+ } // namespace internal
diff --git a/pkgs/applications/networking/browsers/chromium/update.py b/pkgs/applications/networking/browsers/chromium/update.py
index c231febabd60..c1d893e0cff9 100755
--- a/pkgs/applications/networking/browsers/chromium/update.py
+++ b/pkgs/applications/networking/browsers/chromium/update.py
@@ -201,6 +201,8 @@ def print_updates(channels_old, channels_new):
channels = {}
last_channels = load_as_json(PIN_PATH)
+src_hash_cache = {}
+
print(f'GET {RELEASES_URL}', file=sys.stderr)
with urlopen(RELEASES_URL) as resp:
@@ -240,13 +242,27 @@ with urlopen(RELEASES_URL) as resp:
google_chrome_suffix = channel_name
try:
- channel['hash'] = prefetch_src_sri_hash(
- channel_name_to_attr_name(channel_name),
- release["version"]
- )
+ version = release["version"]
+ existing_releases = dict(map(lambda channel: (channel[1]['version'], channel[1]['hash']), last_channels.items()))
+
+ if version in src_hash_cache:
+ print(f'Already got hash {src_hash_cache[version]} for {version}, skipping FOD prefetch for {channel_name_to_attr_name(channel_name)}')
+
+ channel["hash"] = src_hash_cache[version]
+ elif version in existing_releases:
+ print(f'Already got hash {existing_releases[version]} for {version} (from upstream-info.nix), skipping FOD prefetch for {channel_name_to_attr_name(channel_name)}')
+
+ channel["hash"] = existing_releases[version]
+ else:
+ channel["hash"] = prefetch_src_sri_hash(
+ channel_name_to_attr_name(channel_name),
+ version
+ )
+ src_hash_cache[version] = channel["hash"]
+
channel['hash_deb_amd64'] = nix_prefetch_url(
f'{DEB_URL}/google-chrome-{google_chrome_suffix}/' +
- f'google-chrome-{google_chrome_suffix}_{release["version"]}-1_amd64.deb')
+ f'google-chrome-{google_chrome_suffix}_{version}-1_amd64.deb')
except subprocess.CalledProcessError:
# This release isn't actually available yet. Continue to
# the next one.
diff --git a/pkgs/applications/networking/browsers/chromium/upstream-info.nix b/pkgs/applications/networking/browsers/chromium/upstream-info.nix
index 00a9e8af67e3..6e94e687b3a4 100644
--- a/pkgs/applications/networking/browsers/chromium/upstream-info.nix
+++ b/pkgs/applications/networking/browsers/chromium/upstream-info.nix
@@ -1,39 +1,39 @@
{
stable = {
chromedriver = {
- hash_darwin = "sha256-IDPdjq3FpLy6Y9xkR15mzbIal8wjeQzzWtWuZ4uKmzA=";
+ hash_darwin = "sha256-yRLbe3xl0L/PfRcVB4LA6JeDvLpgUhtKZiAfyB2v/ZE=";
hash_darwin_aarch64 =
- "sha256-3Mol45MrvrSqrpkKy2Trt0JFNfV4ekXTxEveUUGmJm4=";
- hash_linux = "sha256-O8U4pZ76/N7q9bV7d0A+wlIqqaoz6WyfZQO4cIV2CIM=";
- version = "121.0.6167.85";
+ "sha256-TMreCFF9Lo+9gy7kzZWd9Mjep0CYa3Cxn4kr9BNTdkE=";
+ hash_linux = "sha256-rM2usA0zDZ1aXvkbvm+l0xalViEJIxu8ZYZvoTkNiis=";
+ version = "123.0.6312.58";
};
deps = {
gn = {
- hash = "sha256-eD3KORYYuIH+94+BgL+yFD5lTQFvj/MqPU9DPiHc98s=";
- rev = "7367b0df0a0aa25440303998d54045bda73935a5";
+ hash = "sha256-JvilCnnb4laqwq69fay+IdAujYC1EHD7uWpkF/C8tBw=";
+ rev = "d4f94f9a6c25497b2ce0356bb99a8d202c8c1d32";
url = "https://gn.googlesource.com/gn";
- version = "2023-11-28";
+ version = "2024-02-19";
};
};
- hash = "sha256-mncN1Np/70r0oMnJ4oV7PU6Ivi5AiRar5O2G8bNdwY8=";
- hash_deb_amd64 = "sha256-t/5Mx3P3LaH/6GjwMFP+lVoz7xq7jqAKYxLqlWBnwIE=";
- version = "121.0.6167.160";
+ hash = "sha256-GrCYCUjxV16tinqrIqW4DQD51dKIgKNu2fLLz9Yqq7k=";
+ hash_deb_amd64 = "sha256-z+UC7wUsWAX7kPIgk8S9ujW2n6HlUp0m3zHTvsAiTps=";
+ version = "123.0.6312.58";
};
ungoogled-chromium = {
deps = {
gn = {
- hash = "sha256-eD3KORYYuIH+94+BgL+yFD5lTQFvj/MqPU9DPiHc98s=";
- rev = "7367b0df0a0aa25440303998d54045bda73935a5";
+ hash = "sha256-JvilCnnb4laqwq69fay+IdAujYC1EHD7uWpkF/C8tBw=";
+ rev = "d4f94f9a6c25497b2ce0356bb99a8d202c8c1d32";
url = "https://gn.googlesource.com/gn";
- version = "2023-11-28";
+ version = "2024-02-19";
};
ungoogled-patches = {
- hash = "sha256-qwMQoJEJxNjDEdqzSMBTozv8+wl+SbBmzIm/VbiGxKw=";
- rev = "121.0.6167.160-1";
+ hash = "sha256-vaL5lClzUzksjeJ/qneQ0uJ7IO5pJKBXa/cEgRx8s70=";
+ rev = "123.0.6312.58-1";
};
};
- hash = "sha256-mncN1Np/70r0oMnJ4oV7PU6Ivi5AiRar5O2G8bNdwY8=";
- hash_deb_amd64 = "sha256-t/5Mx3P3LaH/6GjwMFP+lVoz7xq7jqAKYxLqlWBnwIE=";
- version = "121.0.6167.160";
+ hash = "sha256-GrCYCUjxV16tinqrIqW4DQD51dKIgKNu2fLLz9Yqq7k=";
+ hash_deb_amd64 = "sha256-z+UC7wUsWAX7kPIgk8S9ujW2n6HlUp0m3zHTvsAiTps=";
+ version = "123.0.6312.58";
};
}
diff --git a/pkgs/applications/networking/browsers/elinks/default.nix b/pkgs/applications/networking/browsers/elinks/default.nix
index 914bfec7fad4..8a129f2fdab8 100644
--- a/pkgs/applications/networking/browsers/elinks/default.nix
+++ b/pkgs/applications/networking/browsers/elinks/default.nix
@@ -5,7 +5,6 @@
enableGuile ? false, guile ? null
, enablePython ? false, python ? null
, enablePerl ? (!stdenv.isDarwin) && (stdenv.hostPlatform == stdenv.buildPlatform), perl ? null
-, fetchpatch
# re-add javascript support when upstream supports modern spidermonkey
}:
@@ -14,24 +13,15 @@ assert enablePython -> python != null;
stdenv.mkDerivation rec {
pname = "elinks";
- version = "0.16.1.1";
+ version = "0.17.0";
src = fetchFromGitHub {
owner = "rkd77";
- repo = "felinks";
+ repo = "elinks";
rev = "v${version}";
- sha256 = "sha256-u6QGhfi+uWeIzSUFuYHAH3Xu0Fky0yw2h4NOKgYFLsM=";
+ hash = "sha256-JeUiMHAqSZxxBe8DplzmzHzsY6KqoBqba0y8GDwaR0Y=";
};
- patches = [
- # Fix build bug with perl 5.38.0. Backport of https://github.com/rkd77/elinks/pull/243 by gentoo:
- # https://gitweb.gentoo.org/repo/gentoo.git/commit/?id=dfefaa456bd69bc14e3a1c2c6c1b0cc19c6b0869
- (fetchpatch {
- url = "https://gitweb.gentoo.org/repo/gentoo.git/plain/www-client/elinks/files/elinks-0.16.1.1-perl-5.38.patch?id=dfefaa456bd69bc14e3a1c2c6c1b0cc19c6b0869";
- hash = "sha256-bHP9bc/l7VEw7oXlkSUQhhuq8rT2QTahh9SM7ZJgK5w=";
- })
- ];
-
buildInputs = [
ncurses libX11 bzip2 zlib brotli zstd xz
openssl libidn tre expat libev
@@ -64,8 +54,9 @@ stdenv.mkDerivation rec {
;
meta = with lib; {
- description = "Full-featured text-mode web browser (package based on the fork felinks)";
- homepage = "https://github.com/rkd77/felinks";
+ description = "Full-featured text-mode web browser";
+ mainProgram = "elinks";
+ homepage = "https://github.com/rkd77/elinks";
license = licenses.gpl2;
platforms = with platforms; linux ++ darwin;
maintainers = with maintainers; [ iblech gebner ];
diff --git a/pkgs/applications/networking/browsers/eolie/default.nix b/pkgs/applications/networking/browsers/eolie/default.nix
index 0508b4a19ef3..4b9270b7d518 100644
--- a/pkgs/applications/networking/browsers/eolie/default.nix
+++ b/pkgs/applications/networking/browsers/eolie/default.nix
@@ -71,6 +71,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "A new GNOME web browser";
+ mainProgram = "eolie";
homepage = "https://wiki.gnome.org/Apps/Eolie";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ samdroid-apps ];
diff --git a/pkgs/applications/networking/browsers/firefox-bin/beta_sources.nix b/pkgs/applications/networking/browsers/firefox-bin/beta_sources.nix
index fcba94928362..0e63502c11e6 100644
--- a/pkgs/applications/networking/browsers/firefox-bin/beta_sources.nix
+++ b/pkgs/applications/networking/browsers/firefox-bin/beta_sources.nix
@@ -1,1025 +1,1025 @@
{
- version = "119.0b6";
+ version = "125.0b3";
sources = [
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/ach/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/ach/firefox-125.0b3.tar.bz2";
locale = "ach";
arch = "linux-x86_64";
- sha256 = "ab53e56f2114c4fed251117c93e781a8ffe37ca09a78bbdc56ece3f277f745cb";
+ sha256 = "26f270d202e24be21fd8425f5ad07dfc528e14deefd740c8f66255cfc9b9fc29";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/af/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/af/firefox-125.0b3.tar.bz2";
locale = "af";
arch = "linux-x86_64";
- sha256 = "5f91af053d41eb6143313069d5a0ac796b5d6cbbe1e5e3d7d0ac543bf39487de";
+ sha256 = "0ba7f89ff5c7fb105bd302d4b03ba07c8fff278ded41ba51f47b287b5de2f6ae";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/an/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/an/firefox-125.0b3.tar.bz2";
locale = "an";
arch = "linux-x86_64";
- sha256 = "1d336edaa437b2cbfafcba0d27f51492166299bfea14cfd00f4e7d983924e729";
+ sha256 = "362c303fe41d4a1e446e436880d9126208c42f2e9bf5ddd643aeed4f66badca7";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/ar/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/ar/firefox-125.0b3.tar.bz2";
locale = "ar";
arch = "linux-x86_64";
- sha256 = "bde5b7f115ce1575c5da5b1e6d086568815330e54d14d3eb8010e2aa8a633cde";
+ sha256 = "7f317844e5d7508377329f323dbf0db42ff3e82564384af5ec3f1e43cdaac154";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/ast/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/ast/firefox-125.0b3.tar.bz2";
locale = "ast";
arch = "linux-x86_64";
- sha256 = "0859bffdcf5381b68640401a26960d231675b4534bd9d83884c8f7542ff48205";
+ sha256 = "ec51c512fbe1cf93b34adf7afb7f57530a33c35895f2992f399bfd8400f40465";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/az/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/az/firefox-125.0b3.tar.bz2";
locale = "az";
arch = "linux-x86_64";
- sha256 = "ca3d38e0315fdb8524524d6ccfafd56ed735307071076e40596d17082d4c9b22";
+ sha256 = "dc8e53b56b31d838aa6680b7252d950e905587a68038ecc4f824e8f181e9f905";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/be/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/be/firefox-125.0b3.tar.bz2";
locale = "be";
arch = "linux-x86_64";
- sha256 = "fb7dfb212e6d24874614771301f5fe45c7a370be1cdea06c18e06237bdd0b58a";
+ sha256 = "e4f5855a8f20955af3dd9b03a59db75af5f464ab21b744833dd27f23e9ca23af";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/bg/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/bg/firefox-125.0b3.tar.bz2";
locale = "bg";
arch = "linux-x86_64";
- sha256 = "30f4f07e61fc50a889f0ed24de875fa2bc4457413b100cfab72c62b5f023718a";
+ sha256 = "e463e1996d79b286912d85a4ee9da55eb7eaa706e93b20c7210c41f949386b10";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/bn/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/bn/firefox-125.0b3.tar.bz2";
locale = "bn";
arch = "linux-x86_64";
- sha256 = "58a8f4ea9ac3c34b69892a19a570d12a69074a6011fca7d3fe57485a9f601be8";
+ sha256 = "bb91145794d6a1e66011c2aa3fe657a173aa6894a6c5814050159f9dcf933e24";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/br/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/br/firefox-125.0b3.tar.bz2";
locale = "br";
arch = "linux-x86_64";
- sha256 = "e9a45bcebebf39cf37f216ac79002993812912253559404648ea78e4c1fad6ce";
+ sha256 = "a3be23d14accd55c70c73f60e80fcddc7d90226afa8e781165ebfd15282ae9a3";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/bs/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/bs/firefox-125.0b3.tar.bz2";
locale = "bs";
arch = "linux-x86_64";
- sha256 = "0c224de8786ac18d8b590cba66a7078f90c001b16c02f14907b9bbcf03670104";
+ sha256 = "5eaf42bcf14e7fbb74b64a7f89086bf37f664201197b455d712ee64ec986eb3c";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/ca-valencia/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/ca-valencia/firefox-125.0b3.tar.bz2";
locale = "ca-valencia";
arch = "linux-x86_64";
- sha256 = "c5504da29820ad468bcdc3b5d7242eec7d60dbf2435b974a3d96cc51ac8da1e3";
+ sha256 = "80b972946fd09f8c76bb92a4e1d97df1db0406193b35100fcfd74bd39b4dab76";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/ca/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/ca/firefox-125.0b3.tar.bz2";
locale = "ca";
arch = "linux-x86_64";
- sha256 = "aa75df2625a82f66b797778f69894f32fc605c1cdd432b00efd2540e3b273220";
+ sha256 = "99abfc3b45c1f55648d2bd39dc6c43c972969594d82eaf56d60c106fcc722612";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/cak/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/cak/firefox-125.0b3.tar.bz2";
locale = "cak";
arch = "linux-x86_64";
- sha256 = "5344d1e38a89c8c90731fed48deb7fcf0b0d18613db86d73f247225f15d8208f";
+ sha256 = "eb30ac2f271d714321e873145d818bc53e40e65e6deba5427f0fe4e073eea990";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/cs/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/cs/firefox-125.0b3.tar.bz2";
locale = "cs";
arch = "linux-x86_64";
- sha256 = "adab1705be9c7c0ad4b1cd42291b93b8fc27d56afc8f46ca8137b838a2271c0a";
+ sha256 = "12717b271032276c110409d8118f3e40259253bf6c4b85d14e9e6a0a0e398e8d";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/cy/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/cy/firefox-125.0b3.tar.bz2";
locale = "cy";
arch = "linux-x86_64";
- sha256 = "0f27d7cf339372a304a6d87fd1c09fd7d896e04c1f7fcb7505a19040ce08244c";
+ sha256 = "e7004ff1cdbc7c73ebecb0ee2f3ce269797ae9cd1e4968c86b53b0ccd5272302";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/da/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/da/firefox-125.0b3.tar.bz2";
locale = "da";
arch = "linux-x86_64";
- sha256 = "5db5bee56304d38be35448bfa9d1ed83fc7b033cf09293e74686b908345cb7f3";
+ sha256 = "a52c8b7c1e3e7def78022b565714ec1d506cd41709b490d2c15a04e59fb38ddc";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/de/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/de/firefox-125.0b3.tar.bz2";
locale = "de";
arch = "linux-x86_64";
- sha256 = "0c6e88bb3a8e797e2f3bbbe5bdb06285ba1537ce08c569002839d0aff39ca4a5";
+ sha256 = "54908c05f5286e6d6f00230dd88c1d6d8afec8607de3fcaace3c5d8020971f17";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/dsb/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/dsb/firefox-125.0b3.tar.bz2";
locale = "dsb";
arch = "linux-x86_64";
- sha256 = "bfaa67ff178b467386d97d7158ff27d725213d277407c68385bd61b42254774c";
+ sha256 = "1aea82d1b560f9dfc30f4d338aba07d82188a75c3721fd21a74b8b1c521fc2df";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/el/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/el/firefox-125.0b3.tar.bz2";
locale = "el";
arch = "linux-x86_64";
- sha256 = "8bd5509649f16833d133f4da7ca27df508f2b78bd2f2ee6716691ca32f03426d";
+ sha256 = "f816577da47d804341e460b41b9f908d9dbb3351e319870a48af03e5a2c739ab";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/en-CA/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/en-CA/firefox-125.0b3.tar.bz2";
locale = "en-CA";
arch = "linux-x86_64";
- sha256 = "dc9598bc78929e48a6903c3dd85c058824ce6249bdc8958b83979a3291f6c078";
+ sha256 = "54b7d36f935d72018f5ed73ed827ec7b03fd8eb082584a44c9b4fd85d76c0e5e";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/en-GB/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/en-GB/firefox-125.0b3.tar.bz2";
locale = "en-GB";
arch = "linux-x86_64";
- sha256 = "2d75187cd53f66be8620744ad14b002e3a359d12b5a12efc9c72c337b574057c";
+ sha256 = "d878ef2613a3a645837fde51259308876107b49fe9db3ff90f8fe121cf84efb5";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/en-US/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/en-US/firefox-125.0b3.tar.bz2";
locale = "en-US";
arch = "linux-x86_64";
- sha256 = "2640312b24f2938b0251c1cc018d0f85a1b91aaa688638556140ffe7ed5ff682";
+ sha256 = "6cdebd362dbfbf207bad3d82e529f570d7785e5889420f1645c47773107c299f";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/eo/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/eo/firefox-125.0b3.tar.bz2";
locale = "eo";
arch = "linux-x86_64";
- sha256 = "f52a3ff12f69cf2a7e2443f003ccad1ebf2fcc32303d829c5a4131e067dd99f8";
+ sha256 = "cb74b92e7c85b25139828027c39c5d294c15047aa24eb30a14491490522054ff";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/es-AR/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/es-AR/firefox-125.0b3.tar.bz2";
locale = "es-AR";
arch = "linux-x86_64";
- sha256 = "40581ea9878db00ccc1e97b46791f7476293024841158127feeb69cb10dca511";
+ sha256 = "6053d304f91b6c164900192f9b08321eb02c31e35e2d0819c26df56b7ca596f6";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/es-CL/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/es-CL/firefox-125.0b3.tar.bz2";
locale = "es-CL";
arch = "linux-x86_64";
- sha256 = "22bda55625d59fa7812ad1d81676a7be08e6dc9ef00dd653365d25dbd3914802";
+ sha256 = "ad054269554a9df87a2dff9a4b2078bdd3229fff20d260bf579803de7f95a378";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/es-ES/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/es-ES/firefox-125.0b3.tar.bz2";
locale = "es-ES";
arch = "linux-x86_64";
- sha256 = "4195ebc7f41753c02abffcf12847969853bf169c4f1925cc6d16db88341a8dc9";
+ sha256 = "ad860d90f35997e15fb654f0bbeed2c072ff4160a08ac189d6b5f56e72703772";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/es-MX/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/es-MX/firefox-125.0b3.tar.bz2";
locale = "es-MX";
arch = "linux-x86_64";
- sha256 = "4248baccf81d3376913a415b9154d52171158136af2a7c72e8891f0fc441501e";
+ sha256 = "a3908f63abadef718e416ae16db3566669429994b64f1aa65a2bf6f947b65040";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/et/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/et/firefox-125.0b3.tar.bz2";
locale = "et";
arch = "linux-x86_64";
- sha256 = "b6387c5743a550635e9526cf055a7562f259d0117970809b9b11c3ae88a1c08e";
+ sha256 = "de20bc66b50d44d7d00fea04418936bc03b5f8fdf1123fa30ddf6ffa9cb16219";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/eu/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/eu/firefox-125.0b3.tar.bz2";
locale = "eu";
arch = "linux-x86_64";
- sha256 = "46a649181d6c97d938ded928be22447d9810a792ce218ff7dddd683a0f5b4eeb";
+ sha256 = "8f99bae8d1450339d6600eb06cd06cc870ac73fce26a500ef74452b2007b60cf";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/fa/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/fa/firefox-125.0b3.tar.bz2";
locale = "fa";
arch = "linux-x86_64";
- sha256 = "a789b8b2c68d2f3fe41c1257ac741d2d3ff55d68011e01eed7b19dce80989d41";
+ sha256 = "a4172a0656f14e4384240749083d0f18e5a8371224a7410818e8f0110e3c7e5d";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/ff/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/ff/firefox-125.0b3.tar.bz2";
locale = "ff";
arch = "linux-x86_64";
- sha256 = "7625b0cda8b5d2c5ca673a477d9f69fb90c5a7c15949cb048cdf11a2922e68c4";
+ sha256 = "9c960d59d1173b2123dc64e0353a9bcf14c7bcfd660a92ab2b4e82fad93aeb0a";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/fi/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/fi/firefox-125.0b3.tar.bz2";
locale = "fi";
arch = "linux-x86_64";
- sha256 = "a871ad53724e11e40eb3d66b21483fd744b2404615f9bcc655c0e7dc8e9883a9";
+ sha256 = "77aefd63ee6ca84064aeb6090b38e5506971de7aac351038ddb2e42e6cf4761c";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/fr/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/fr/firefox-125.0b3.tar.bz2";
locale = "fr";
arch = "linux-x86_64";
- sha256 = "cda3709cc13eaf59ff4657ac5c8f66145092bf59c4daa09392ddd5d9d596584f";
+ sha256 = "fbe9f91bacaa56f2b594d5aed5d3f040b10f68149b88ad81d1b1654e86e25762";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/fur/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/fur/firefox-125.0b3.tar.bz2";
locale = "fur";
arch = "linux-x86_64";
- sha256 = "9acabfb02291d58f7e99d4e34ed744102b191f3f22dac1a1e3363eb68052ef95";
+ sha256 = "0eb9ac87df15c33617bb5cf158a94ed24f92828ef3fdb2b91684fb4d45f6d1a8";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/fy-NL/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/fy-NL/firefox-125.0b3.tar.bz2";
locale = "fy-NL";
arch = "linux-x86_64";
- sha256 = "a30c0b8888b5feafcadc2ced7e12cbe924f1d1af61c00005b990d46b13da583b";
+ sha256 = "931b5831b819ab83e4cae5022188fff59424a283dd4afa244c692f1babd230c8";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/ga-IE/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/ga-IE/firefox-125.0b3.tar.bz2";
locale = "ga-IE";
arch = "linux-x86_64";
- sha256 = "002f16c18ed429aa6c09d6ff3767caa6b500a398706a3ba6f4c384416c050643";
+ sha256 = "c66fd0a7453a9d8ca6167b9184eaee4a8d01ab9f9b29eceafc2188850b473ef8";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/gd/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/gd/firefox-125.0b3.tar.bz2";
locale = "gd";
arch = "linux-x86_64";
- sha256 = "6193316d09dbb8e510b8641499f3f3723a25cc57cdcb009661180663ec19de0e";
+ sha256 = "7d8d86a907308146549e0492ea657b849f0e12b41ca2c071901908f4456853d5";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/gl/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/gl/firefox-125.0b3.tar.bz2";
locale = "gl";
arch = "linux-x86_64";
- sha256 = "ab142c65d545338e1db668f9e5313bc19fe8260a4010458ac024c4a654c262f6";
+ sha256 = "ddc30ea9bafd21e9069a76a9e7f7e9f4b29d034fc9faaf7c49291146f8519512";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/gn/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/gn/firefox-125.0b3.tar.bz2";
locale = "gn";
arch = "linux-x86_64";
- sha256 = "9a9bd45fd1612fadc467adfc4391adb559f0886dc5e5c58e1c9489b756621409";
+ sha256 = "1b19fea8e2c4da59b091379b5835eab87a4b004519411a259395ece0176e6b57";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/gu-IN/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/gu-IN/firefox-125.0b3.tar.bz2";
locale = "gu-IN";
arch = "linux-x86_64";
- sha256 = "36e72934f547e0b585d7ecf651173d55244bc1549e2539f2133be75c55b28677";
+ sha256 = "40d9fe9017cbd23e8294dd4076289867b2b7ded49cf3062972aab9be80af0d6a";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/he/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/he/firefox-125.0b3.tar.bz2";
locale = "he";
arch = "linux-x86_64";
- sha256 = "16d25845f30fb410d966b4a6f0100d49033a6c7f7fac17bfaee4c59681973011";
+ sha256 = "90a993442c69ebd1a89513ecce8de64b721f30b5305bd50363d7b71eacbd9c93";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/hi-IN/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/hi-IN/firefox-125.0b3.tar.bz2";
locale = "hi-IN";
arch = "linux-x86_64";
- sha256 = "baa04788253d2e1bc833295edd0468c73f3628f76f0642c48a5486945c41c743";
+ sha256 = "2bcb005240b3142a2c1186b1d6e9e5dedcf0b0d2fbb7b7807825353ea00adda9";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/hr/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/hr/firefox-125.0b3.tar.bz2";
locale = "hr";
arch = "linux-x86_64";
- sha256 = "13e68c8f205f924a6ebba66ff16d79fdfa9cd8914386d7184f5a389af3753c9f";
+ sha256 = "a4a03d6ae54ea31d9c1a41fffed6277e8fb7c6e68924a43b25c46176965f5ad8";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/hsb/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/hsb/firefox-125.0b3.tar.bz2";
locale = "hsb";
arch = "linux-x86_64";
- sha256 = "bd7c988e1aa6458f73f28eb46136600a5df5a382f3d5451c784c0f6e42a3b5a4";
+ sha256 = "3a0c290231b0f3310940ef23ba7a524dbde63eeae41b8c92815ac80b72b812ba";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/hu/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/hu/firefox-125.0b3.tar.bz2";
locale = "hu";
arch = "linux-x86_64";
- sha256 = "0dae4dd735e4b5eb1600515a40c836aef05730a8993f8060d7f8f40f23903ebe";
+ sha256 = "49fd47ff780e7b475a17130dba0e59b145858b0d24d7b3083f495ab610fcf0fb";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/hy-AM/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/hy-AM/firefox-125.0b3.tar.bz2";
locale = "hy-AM";
arch = "linux-x86_64";
- sha256 = "cbde4751c8c42126f7af27ac45037245ddef38f835496d66675ca1aa0c7c25ce";
+ sha256 = "97726a8372437d67f6845322af8267df717f24a047fbbef867f689e9e2d09ba4";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/ia/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/ia/firefox-125.0b3.tar.bz2";
locale = "ia";
arch = "linux-x86_64";
- sha256 = "e5484ffe781d2e71b9ce44830f1318dbccc32f8165f432d501100fb9f78d9334";
+ sha256 = "1a8db02d322185fe1a80d4b102ea3f418267b88e2895f756d6fdf48bae068b01";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/id/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/id/firefox-125.0b3.tar.bz2";
locale = "id";
arch = "linux-x86_64";
- sha256 = "35d1130859de3a82b88a77686f7caa5e8362ac574e6662377f28ff296947008b";
+ sha256 = "81b830221eba3446e6dbc70052945a9f78e5596a9554f7cec02bdff2bdc62b82";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/is/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/is/firefox-125.0b3.tar.bz2";
locale = "is";
arch = "linux-x86_64";
- sha256 = "eaddbbf9cbbb1d9b006aa7b24ab5ed735e5a0939ebd268423a47ef9f9fdecc37";
+ sha256 = "2aaaab7cfcd30f207a68bf91b6b6c674b18fc2236b08b1b03b287004c243507b";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/it/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/it/firefox-125.0b3.tar.bz2";
locale = "it";
arch = "linux-x86_64";
- sha256 = "c2fd4eadc15dea50fce40bfecaacffe930b8292f04ebec2fda8fe6cd094afa9e";
+ sha256 = "c3dd7afb3b8ee529664dbff7a7388ffbac4580c432b55454c1f291f4e292bf4f";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/ja/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/ja/firefox-125.0b3.tar.bz2";
locale = "ja";
arch = "linux-x86_64";
- sha256 = "6755b5e46dbf3b2069d01dc17b9c5ad20ed445a84785d020771f5871198faaf8";
+ sha256 = "6b2552b5a9ed988eb28a057425bc2f26c94ed261029f465adc4d3e1086a2578c";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/ka/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/ka/firefox-125.0b3.tar.bz2";
locale = "ka";
arch = "linux-x86_64";
- sha256 = "cc6080cfc1b8d4865dfc5222ad8d2a7dbd3745563b82fbf6edf14647ff832754";
+ sha256 = "78b63ca841afef7660f203c3f2874f99295262170f9b7a1a9e4787ebec368e54";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/kab/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/kab/firefox-125.0b3.tar.bz2";
locale = "kab";
arch = "linux-x86_64";
- sha256 = "82e6383d1ae3708077525d6af18b54d653be90c08e1d8b43801d83696e086c65";
+ sha256 = "01d987b3c729efa383154a808e61ecadf81988770a5377196ccc4f1e590ff039";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/kk/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/kk/firefox-125.0b3.tar.bz2";
locale = "kk";
arch = "linux-x86_64";
- sha256 = "8afbc941c1afd7bf46ef07cbd5590ce75f95634febfde46f739326c7c344976e";
+ sha256 = "597730513dbe657f98ac312345ddb6050b4ec65d67c3ccdc592b99c67e314807";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/km/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/km/firefox-125.0b3.tar.bz2";
locale = "km";
arch = "linux-x86_64";
- sha256 = "ea40ac277a502b7d2ffe6f0c95ebca551b360c78377ecf78e7dde8e30d5d3771";
+ sha256 = "1bf358d22742e800bc861bb26eb6daa3ed84e6aed113f2ddafac8c0d6561f808";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/kn/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/kn/firefox-125.0b3.tar.bz2";
locale = "kn";
arch = "linux-x86_64";
- sha256 = "3ebe329a34c386f5abacc1a71c6d4d857bc29c8afd4d6738278441b3fb176b52";
+ sha256 = "fde435607d1978f1a12ef6aeff3bf5cb03babe339a2f386f5a5a7251c073fe0d";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/ko/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/ko/firefox-125.0b3.tar.bz2";
locale = "ko";
arch = "linux-x86_64";
- sha256 = "fd4fc4cb9576e4f27c5baa59b5a8e650e9a09dd581191eda2b2fa43cdeb59d4c";
+ sha256 = "00fc74a01753ed0a3d60095140478d474b8e331825da0680ddea721c9c326903";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/lij/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/lij/firefox-125.0b3.tar.bz2";
locale = "lij";
arch = "linux-x86_64";
- sha256 = "bc0a41f902ef8d45475a0ea4a9612e00ce28c1e3af1e4ac6d279be2e1e0f546a";
+ sha256 = "719a9a08920e0b297a0ef1e17616f9da76e612e4cdba1bbc092abc0ad2ac7ee6";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/lt/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/lt/firefox-125.0b3.tar.bz2";
locale = "lt";
arch = "linux-x86_64";
- sha256 = "77a14c250a4d48e63a1131c59a966f815468644966b74bf9dfdb9b0a800acac4";
+ sha256 = "b2c74cff5af083ec4399d0da6605c293a962d7d3cedf1b8bbd00f328354da26c";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/lv/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/lv/firefox-125.0b3.tar.bz2";
locale = "lv";
arch = "linux-x86_64";
- sha256 = "7739bb320f0378ffce06823ea99b2b059138ab74396dacb3f9a8146e5a679d28";
+ sha256 = "4bf749d4e10d2096a2f59617824f7f98edc5ee8ae375268fb8e0be17c2e90533";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/mk/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/mk/firefox-125.0b3.tar.bz2";
locale = "mk";
arch = "linux-x86_64";
- sha256 = "31c6da50ec3c216e47ff4560854300d7c5f49f187fd00af4f5b95a560b4644da";
+ sha256 = "48e7ad63dce4c9960b9ad8a7a492800e5fb597ea7590b9bbcbbd043210e8541a";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/mr/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/mr/firefox-125.0b3.tar.bz2";
locale = "mr";
arch = "linux-x86_64";
- sha256 = "ae6b36ba25e4926ca5308eb9e49349220cbfe67f628a2ff97299cc1c07508eed";
+ sha256 = "c449a30e01687d1ef6cb709f0bb42cb8c24c13261472264ffe8f0d06507554b5";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/ms/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/ms/firefox-125.0b3.tar.bz2";
locale = "ms";
arch = "linux-x86_64";
- sha256 = "1b461db57a22f2af0f4d2371f2749f63b49ab8bcde5eb03bd01000bc1922963f";
+ sha256 = "8ddd9dddc54186122b59aa3c15ceb1499a2bbe7ab0a0e2de8bfede15217dc5fc";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/my/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/my/firefox-125.0b3.tar.bz2";
locale = "my";
arch = "linux-x86_64";
- sha256 = "cb896c973fced6499d0b5d0a88ab359de0be926d6b3e675d552a9401fc514a0f";
+ sha256 = "812a634368bf24323bc4ea8b115d72bee1da9b5fa6a4cea4e28ec3f48eb0ebf9";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/nb-NO/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/nb-NO/firefox-125.0b3.tar.bz2";
locale = "nb-NO";
arch = "linux-x86_64";
- sha256 = "cc9e3f2dfc121d6bbc5ec8eb7978ba0e0e6804dcef632919229c122f0f4898f5";
+ sha256 = "37c86907aeafec8862ab51c10371f9e6cfe04dfa599913600ea8809eb626583a";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/ne-NP/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/ne-NP/firefox-125.0b3.tar.bz2";
locale = "ne-NP";
arch = "linux-x86_64";
- sha256 = "db1ae6bbf74c07945b0550b4e857f46c50a450c649d95fc638ee79c6f4b9d5fe";
+ sha256 = "ad2f32448e14e6c67dd0143ab880c45633c5474d02bc2272efe175841a32259e";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/nl/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/nl/firefox-125.0b3.tar.bz2";
locale = "nl";
arch = "linux-x86_64";
- sha256 = "ff66018baa06511ab0b4542ee7daf02b92a3226b384826c93c7faf3547400f00";
+ sha256 = "539685864a01dc8aca5be2757c1bc3cc992c4de1d34a75a1b6d0830d08e678b4";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/nn-NO/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/nn-NO/firefox-125.0b3.tar.bz2";
locale = "nn-NO";
arch = "linux-x86_64";
- sha256 = "998be79bccce541901e08e1ffde2589690f35611874d4a3381e30eade8b0df96";
+ sha256 = "5cec5f5088aeee789dbf3a73e2009ec87b69da6b7891fcec78616c0e3b0aee9b";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/oc/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/oc/firefox-125.0b3.tar.bz2";
locale = "oc";
arch = "linux-x86_64";
- sha256 = "bb1affd0f6033be6f2b948cbe45d6f0a0c72a0c7d2830d559049cd42a8556891";
+ sha256 = "a17122cac761d7283db72c8dbcf801cebb2d99f8d6850b16e799985cece73f85";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/pa-IN/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/pa-IN/firefox-125.0b3.tar.bz2";
locale = "pa-IN";
arch = "linux-x86_64";
- sha256 = "b6188b8e74b61bf41ce13c11c6e6edb256ed3b580bc81a5503759c39ae8e2975";
+ sha256 = "a4ef26b3d18cbda908f9bb69e5bc737d67aae7ebe21b116965917a54cc50b384";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/pl/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/pl/firefox-125.0b3.tar.bz2";
locale = "pl";
arch = "linux-x86_64";
- sha256 = "42640c440031befd0f108c94a47fea434915b2737a90fec11bc37b9352d9d150";
+ sha256 = "f0d3e7fe1ed598f6abb695066f3df506b1ee0e033c16ed30ad0153759a4551c3";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/pt-BR/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/pt-BR/firefox-125.0b3.tar.bz2";
locale = "pt-BR";
arch = "linux-x86_64";
- sha256 = "6c99453ea5f33f548f07612a4e1dcfe660317d7a31889fbad58b36450bb50adb";
+ sha256 = "53dfce0b5a4bee2136c8e1524f71cde7f311da512f2d1e2d2814859143cdaf5e";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/pt-PT/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/pt-PT/firefox-125.0b3.tar.bz2";
locale = "pt-PT";
arch = "linux-x86_64";
- sha256 = "4f0305d3da737fafc9a424df8db0aa25a1e99135aaef8fc340743324b36de894";
+ sha256 = "8ec9b6352c4f5b0d40085edc9e726609d165208ffcec8e6df3981f134aaad200";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/rm/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/rm/firefox-125.0b3.tar.bz2";
locale = "rm";
arch = "linux-x86_64";
- sha256 = "a13c0cad16dfb0181d5804e42e9f5a9b9aa65f31f125b71461bca8000cc6f2e7";
+ sha256 = "4ce2082780044082f43bb2f09e3258275eca3b180569216114ffcc49760fd055";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/ro/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/ro/firefox-125.0b3.tar.bz2";
locale = "ro";
arch = "linux-x86_64";
- sha256 = "6927bac6ef5d53d606e1cf14d0bace2e084b917e58ec6ea146ac038890076418";
+ sha256 = "38f4ecea58a9448daa761efd77a1b1102e6ef2d3a0af8e203b331f6585bf903d";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/ru/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/ru/firefox-125.0b3.tar.bz2";
locale = "ru";
arch = "linux-x86_64";
- sha256 = "8d707f8fa2cc6fee8ead1cbb9d7585c3d0c33bb1add1b286f0a4955949b2dfb9";
+ sha256 = "9282d75069b6477c1aab9312f55ec95f361aefac4f8d2155390b3f1e8bbce757";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/sat/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/sat/firefox-125.0b3.tar.bz2";
locale = "sat";
arch = "linux-x86_64";
- sha256 = "d61dc0c76152c83437096365ae8f162ae62c0304f8e277ca1629d87521153ebb";
+ sha256 = "4e6700fcad752a067ac2418998ae08c6d0b0f984c56a47d93f6320dcf98ae849";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/sc/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/sc/firefox-125.0b3.tar.bz2";
locale = "sc";
arch = "linux-x86_64";
- sha256 = "605072564b0b751f9c586df8cf21814002ca378d20b0b2bcfcc6747685e61f36";
+ sha256 = "3600e7b8cd2e49bef9081cef9ea038feb134346623fe4b95c1ea1e819939b9ed";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/sco/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/sco/firefox-125.0b3.tar.bz2";
locale = "sco";
arch = "linux-x86_64";
- sha256 = "28c4b87d30bc853a3fc210424cfb1527ffbbf75fbca7c374cfd3e006d46ef7ef";
+ sha256 = "66e14f412c457d3ef2f4ba6d75775f1cfef77979e39dbfe3b6c2c22fc08f94a7";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/si/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/si/firefox-125.0b3.tar.bz2";
locale = "si";
arch = "linux-x86_64";
- sha256 = "dc5a9baed40c9321dda7aa20b7147ac655760059cc0ca2ea1549c4a29e6035ff";
+ sha256 = "d4795fa79f222304d713bde3e66e1ae7bd7e0dcf666910cda97ca418016f6cf0";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/sk/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/sk/firefox-125.0b3.tar.bz2";
locale = "sk";
arch = "linux-x86_64";
- sha256 = "3375a7450b16f27d20a5a4624b6dabb467e3b69689e31289317cae1be3690874";
+ sha256 = "06973d1f46beef9363a1c473e508548a4f559ed9ec5e326dc51f7055cc86706b";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/sl/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/sl/firefox-125.0b3.tar.bz2";
locale = "sl";
arch = "linux-x86_64";
- sha256 = "61969bfda32ae873583920b4c3b3a973eb09ccbb561971eb6e6a3a91c6d63dad";
+ sha256 = "be87516ee3378e6b7dd2357046feee1c92ba6e6166c31b27f35955669c9e24b5";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/son/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/son/firefox-125.0b3.tar.bz2";
locale = "son";
arch = "linux-x86_64";
- sha256 = "5dee7311285b3c7bdaccceb2bd6b82d638621b821f3de5221a25f16a2edb03f4";
+ sha256 = "a40e5b2453d329deacc46f9e6cf8c22144f903f0c3d3506371a3d0230c171bfa";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/sq/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/sq/firefox-125.0b3.tar.bz2";
locale = "sq";
arch = "linux-x86_64";
- sha256 = "4d48d48f0748057df5ad991a39cbf48688425537655668fc21846db706b3467a";
+ sha256 = "11206a674ac4c736ccec0fb3f2b1ebcdfd9562259152b99cea161347f00fd621";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/sr/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/sr/firefox-125.0b3.tar.bz2";
locale = "sr";
arch = "linux-x86_64";
- sha256 = "f7cf2b3e22a209e0e929b4faefd1838851d0defa08ebf805b1ec0b44aa7d271e";
+ sha256 = "9811e0bbfa3d2a0c711302f1c849154bc58b6822b56c239db0a426c01d85d79c";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/sv-SE/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/sv-SE/firefox-125.0b3.tar.bz2";
locale = "sv-SE";
arch = "linux-x86_64";
- sha256 = "96cabf15eb5d2a2895c1246feb4fc47b95c1322fb8082181679a2cdc6b405678";
+ sha256 = "b8c27bebdfa8352339cd7ae41ee366332e59e3b02a38b9ed5190692f4bae2f2f";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/szl/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/szl/firefox-125.0b3.tar.bz2";
locale = "szl";
arch = "linux-x86_64";
- sha256 = "09619a0c74615be4dc0cf190758c82051611c4c875da7419c7057cfcb9308a9c";
+ sha256 = "322e9936382fd94ebd0876a297fb80616b915ed401d67292bc2c2f71c67216e6";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/ta/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/ta/firefox-125.0b3.tar.bz2";
locale = "ta";
arch = "linux-x86_64";
- sha256 = "ecbfc6e2f24c250884a959df8b59981a249835b6c1d23b249a6f882f9d916b17";
+ sha256 = "9ad2a4f9316a7b4283e6f4a5717d91ecedb2214bbddfb8c4148b19f8a86f2300";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/te/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/te/firefox-125.0b3.tar.bz2";
locale = "te";
arch = "linux-x86_64";
- sha256 = "5f61c2897105ee45ccbdec665edd3d67a73825333a77002a14984c208087af65";
+ sha256 = "c806f382e5b5708b14504770b71da8f74e5c3c96e2f8d82858dbf242f87e2502";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/tg/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/tg/firefox-125.0b3.tar.bz2";
locale = "tg";
arch = "linux-x86_64";
- sha256 = "b9572b7bd246d2b427da497cc8c3c8bf8a6f3639c71d7720a8a0853861ba9219";
+ sha256 = "6650fe7774698bfa047632afa68076e6b66ee1796d27622fa29f525e651a33b8";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/th/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/th/firefox-125.0b3.tar.bz2";
locale = "th";
arch = "linux-x86_64";
- sha256 = "142df8ad9962021fc75c140b0ece4228807c908f282711860a2b2329c08ab37b";
+ sha256 = "efe81c7aa71594dc964f8e70975cfcd89e79be37dfbbe31d0a46226d8e6df37c";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/tl/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/tl/firefox-125.0b3.tar.bz2";
locale = "tl";
arch = "linux-x86_64";
- sha256 = "c3fea25ce49b162ea49a4af6c1039a106eb9342b5d6b7fd4278b836cd37e179e";
+ sha256 = "abea281c570614e99be42f9e42936d29f22c5787cb84fd7211bbb50b455a0ebf";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/tr/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/tr/firefox-125.0b3.tar.bz2";
locale = "tr";
arch = "linux-x86_64";
- sha256 = "1563cf5874154e2ef1e2d68e175fb2dc88621a2cad394a1e9461d783d8055e1c";
+ sha256 = "6eab90da0924012a7471c0cd96629ad880e2307147b8de03e931efee9c9db0ce";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/trs/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/trs/firefox-125.0b3.tar.bz2";
locale = "trs";
arch = "linux-x86_64";
- sha256 = "cb41d625ea87c8a32c10677c912acdf7ea124b68e8ed2f24ad0651be905f17dc";
+ sha256 = "0d9c57c0475800187d755cc4800b1497de815cfb29b1ac8246fee5097a6e5d25";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/uk/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/uk/firefox-125.0b3.tar.bz2";
locale = "uk";
arch = "linux-x86_64";
- sha256 = "3a35aaf5fd21a472d930670056df4723401242a62ad0281b09fb5b6ba4c57423";
+ sha256 = "a21f3aaf726ef9401702c49b063c257b8077b902f4dcb0ee3cb7a02e5c5d2433";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/ur/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/ur/firefox-125.0b3.tar.bz2";
locale = "ur";
arch = "linux-x86_64";
- sha256 = "68b55314db43e666fdfe5c99b03f4a4952367f545dda03f6566e5d11c28a8014";
+ sha256 = "b39024edcd9841db1da3be0b0d0856a4c530e8ecdcba642fb669231f09999381";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/uz/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/uz/firefox-125.0b3.tar.bz2";
locale = "uz";
arch = "linux-x86_64";
- sha256 = "67d721242d4e3c0950786847d22b6caa91d4c91eddd865c1d3f4cce542efd282";
+ sha256 = "b5f6f1f8a81308bd89c732001a285ff1d39dac1e029ebf61f49df3ca664d9fe2";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/vi/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/vi/firefox-125.0b3.tar.bz2";
locale = "vi";
arch = "linux-x86_64";
- sha256 = "d7c5097c32527a55627639945c5565ba368d3d2e00ae2df0c9aa291987985861";
+ sha256 = "76642b47dfe6faeb8df1f3e321a7d3b27f3f4b1aab7b541ae74eb21ec3af5c06";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/xh/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/xh/firefox-125.0b3.tar.bz2";
locale = "xh";
arch = "linux-x86_64";
- sha256 = "66059db2521b7a2a293d45db180bc165b38f35ebfc99be836f3cccf21991bfc9";
+ sha256 = "3daa8a2b6ee24131abbf5302ef6e8ef30ca3fd2e3a5bbabc0051fb77368d6a94";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/zh-CN/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/zh-CN/firefox-125.0b3.tar.bz2";
locale = "zh-CN";
arch = "linux-x86_64";
- sha256 = "c0f37c0a3c71be9124c98d423b24300f879c42840fcbd0869fc6ef2634ddd018";
+ sha256 = "714630c8188d61c2db5d7b8c82f8675226dca0d72cbd70ba2b6fe50c9bad2455";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-x86_64/zh-TW/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-x86_64/zh-TW/firefox-125.0b3.tar.bz2";
locale = "zh-TW";
arch = "linux-x86_64";
- sha256 = "4c79f290b4f371e1b9fe0943d70af2dad0f0eea44c09ebe8233ceeec63cbd300";
+ sha256 = "32d0ea7bd28ff285c47e2dffd5293eb7582b5926442c4fe9e4672683f45f8a54";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/ach/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/ach/firefox-125.0b3.tar.bz2";
locale = "ach";
arch = "linux-i686";
- sha256 = "fc312d3449ca702e20f8068b7c03aa4c99002937c7b9fddaa4ffae84a4f85f0e";
+ sha256 = "8735a0b051180b84bf86caff71c5e8a275afcd0048108ded5b9fd49963c4ae27";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/af/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/af/firefox-125.0b3.tar.bz2";
locale = "af";
arch = "linux-i686";
- sha256 = "4709fd5b03033d9f446bcded2881480e3422457c2172177ed93d28d21dee371b";
+ sha256 = "8e228a07c29ab2baacee1b5092fb19cb2adc8c8a583f7fadd1b68e7df013ae55";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/an/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/an/firefox-125.0b3.tar.bz2";
locale = "an";
arch = "linux-i686";
- sha256 = "ba53b4c50323205533cee64fef138588f95fcc11e109cd69468669ebbdff2c1f";
+ sha256 = "1ec0068752d212dbaa54580737dfb5c0f1ae803facf2c83366e179648d1b2f63";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/ar/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/ar/firefox-125.0b3.tar.bz2";
locale = "ar";
arch = "linux-i686";
- sha256 = "8380c9717d94d46d174ebc4dbc0e2bf2e3f3aa87f5f6801fe8c6364fb526e1ae";
+ sha256 = "ea8899374e17f26104e33064531f0f4c0714850468383593003f81be7a74e310";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/ast/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/ast/firefox-125.0b3.tar.bz2";
locale = "ast";
arch = "linux-i686";
- sha256 = "1d7ad1f5b3f39324e7ff7d68bfccb45ae01659543c91ed8c4370ce08a68987e1";
+ sha256 = "5594a8ca8480d9d1d0b9c540eadc0c235a20e36ea5295149b740d71cb746260d";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/az/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/az/firefox-125.0b3.tar.bz2";
locale = "az";
arch = "linux-i686";
- sha256 = "3654b54007b638232aeda8f82e308e83902c9bacb5c4400a252628369c914dbe";
+ sha256 = "8d495e99aebb713c9d3607d5fa720e080c165dc51946a5210e358f3a6652c7e2";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/be/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/be/firefox-125.0b3.tar.bz2";
locale = "be";
arch = "linux-i686";
- sha256 = "6f35eecf5df49a9d9074b064a0ddcf58661671e539a4824facad7b53682e9f0d";
+ sha256 = "c818aba76e49d5e079c499ec036735e76c79965aa7c8e2940df832b650e7ee24";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/bg/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/bg/firefox-125.0b3.tar.bz2";
locale = "bg";
arch = "linux-i686";
- sha256 = "a6ede53f86474c53ae3297b7e9b7ab7c962337b58af577b78fc2ea3bd4e5d3a0";
+ sha256 = "17c70822a1b3063a130fdc9ed51f34f3a5b78e8459982360078b58a6a02f2d92";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/bn/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/bn/firefox-125.0b3.tar.bz2";
locale = "bn";
arch = "linux-i686";
- sha256 = "9a3df0e4c2dbda0d50c1a8334158d477ff526cb3c144ecbe977434e238c6eab0";
+ sha256 = "75f18eab95425c0adc66f7c1b133058242719d0bdc3da1f57b13160b7e39a441";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/br/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/br/firefox-125.0b3.tar.bz2";
locale = "br";
arch = "linux-i686";
- sha256 = "103e32e095b38604fd807cd2707ae99190d8a1249bb0cc4da9c15e9426626321";
+ sha256 = "3ad75b8b0faa9b2cd1a6d1e4e34cc5f9b593b6385a53ac3495d4478961a48621";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/bs/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/bs/firefox-125.0b3.tar.bz2";
locale = "bs";
arch = "linux-i686";
- sha256 = "e630bfbd71002f1fd1ebb8f7565c0ba0dc766b0833fe2bec37e7c30cf75be9a1";
+ sha256 = "8e4b32e0ee63dcdf21db95a76585de82b391056ff4b2c14392d71d5ece533d7d";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/ca-valencia/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/ca-valencia/firefox-125.0b3.tar.bz2";
locale = "ca-valencia";
arch = "linux-i686";
- sha256 = "f9ff06feb765a9c2d61618e26fc3e8f390db5af179505ccbe09f521152e782a7";
+ sha256 = "ce9f41ae17c9ab966181f3518e836bd2849b0ac51ed770cc3498d00dcac4ad27";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/ca/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/ca/firefox-125.0b3.tar.bz2";
locale = "ca";
arch = "linux-i686";
- sha256 = "c8dc0cc2dcfd7e8756d3815f293edb7da61f899197ce13d29f0891f96df68e02";
+ sha256 = "f95dc0ed0a60786015656a86fad4c8c4536a048efc66d281364f3300687f4857";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/cak/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/cak/firefox-125.0b3.tar.bz2";
locale = "cak";
arch = "linux-i686";
- sha256 = "d35ed2653628c7ae54a04f2bc441bb5ebac4710efc05d64f3781922b6446a60a";
+ sha256 = "396252e386bd595c4ee36955e4ca5e3c65a8e8e0906519143ca6be370bfd7120";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/cs/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/cs/firefox-125.0b3.tar.bz2";
locale = "cs";
arch = "linux-i686";
- sha256 = "b8d33dfc6b96297ddd846ff99bd30efee0fa781e3d4e8a0487057a6a3790c3d0";
+ sha256 = "dcff8415e9a164efe510cdde199b8a0e9eb19d9909d50186f0ff01dcbff6ec3c";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/cy/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/cy/firefox-125.0b3.tar.bz2";
locale = "cy";
arch = "linux-i686";
- sha256 = "8fdde9c4a36b23354e5f3393f70d10f2b921b363ca499da454d52999d4b410a0";
+ sha256 = "a037b49db3aa879a3f119ddd3c78c90183e0d4b140950c125d1e19fef31999ac";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/da/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/da/firefox-125.0b3.tar.bz2";
locale = "da";
arch = "linux-i686";
- sha256 = "c5762e737ad82839721870b46e7626c15f9f99c1bb8f3c7a4766a508a097265f";
+ sha256 = "9fb9bfbbf05082f3986135f98fb550a43b7ef25423df4859f4d7077de7a5b389";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/de/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/de/firefox-125.0b3.tar.bz2";
locale = "de";
arch = "linux-i686";
- sha256 = "cd3d776b5c44d0873f4b8c90bf62c74a9273cf5b03d5ded36547f1d2077b3c3c";
+ sha256 = "7cec62c65d014a265630784eb5fa80bc1eb00d222d53fe21614a79eb9324ed17";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/dsb/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/dsb/firefox-125.0b3.tar.bz2";
locale = "dsb";
arch = "linux-i686";
- sha256 = "e3e8f5083b0c83d9365605a36d17f10886c64c0f21c19576a3d24a62d2a05c13";
+ sha256 = "e236cf93b3aa7e63fe21424bc52f6c10bd06de5d75502762e29f2830f9ce995e";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/el/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/el/firefox-125.0b3.tar.bz2";
locale = "el";
arch = "linux-i686";
- sha256 = "08807f2915375b628775a4c0987ac934c00d26b1105a8caf3a52ebc026c42a90";
+ sha256 = "3b35967f5a7d06a564bcbebce814f96efb8239e90915356dc4731f174c11cead";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/en-CA/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/en-CA/firefox-125.0b3.tar.bz2";
locale = "en-CA";
arch = "linux-i686";
- sha256 = "68797c810dd86008a1e8aec39445264cd69e852bc28c758d94654a276976f2c2";
+ sha256 = "c83c77f2188b71e9c8a6214da13498a45c0426d1d39ec7ac8c65dd98ae362979";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/en-GB/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/en-GB/firefox-125.0b3.tar.bz2";
locale = "en-GB";
arch = "linux-i686";
- sha256 = "a1e6c1344bd9bef9a393a023cbb0d6f56283e483203ea03692ea71a9e043caf5";
+ sha256 = "8ea6722fd4069a60b8ab458f85d7b7a86a7a0cf279f26117b0500b8db4759232";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/en-US/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/en-US/firefox-125.0b3.tar.bz2";
locale = "en-US";
arch = "linux-i686";
- sha256 = "5eaeace6e8d741b8cdae8c8a98f118caa98f957bafe8d54d536d98cb260fae92";
+ sha256 = "f595d89b4554769fb470079a195fe33eaa75f2ef55e2022739440f313b6e8119";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/eo/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/eo/firefox-125.0b3.tar.bz2";
locale = "eo";
arch = "linux-i686";
- sha256 = "21cca62f1324c5c6fb8b03bc670a86ea6f86cd6e91c11c81eb202571d2fcd687";
+ sha256 = "5201f8e23397008d3378cfbd776c5eca650df0b7aad58c342b4ea087645f1a9d";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/es-AR/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/es-AR/firefox-125.0b3.tar.bz2";
locale = "es-AR";
arch = "linux-i686";
- sha256 = "955493247481e364722e3bd57333ac8f6754c8bc135b9e0caff78d554a91e441";
+ sha256 = "4b205740d3531834a20c53d2006a3e8f2a2b00d391f4f6e974be570ae585efa8";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/es-CL/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/es-CL/firefox-125.0b3.tar.bz2";
locale = "es-CL";
arch = "linux-i686";
- sha256 = "16d05701b991a91bde3d7542737d64b63a1d184046090158adc5ca683cd34739";
+ sha256 = "b4bd3924548bf3f11a1d666c38253e62697abbd01b7ee8e6d9a8b563373e6e29";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/es-ES/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/es-ES/firefox-125.0b3.tar.bz2";
locale = "es-ES";
arch = "linux-i686";
- sha256 = "b300130904d449c31adde941dbf514fde7f579cfe571744ea3a929915277aaad";
+ sha256 = "96b6ab90b281380f60d88ccbea0434098f3f6d40f6ebba010938f8f8643e2a81";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/es-MX/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/es-MX/firefox-125.0b3.tar.bz2";
locale = "es-MX";
arch = "linux-i686";
- sha256 = "44bc3c0cbee194c8235308b5836104a2c5016ac6537bc9dfb741ccb2aef2d854";
+ sha256 = "a45abd5200bfc53eaf89a33012eb53ef46369155c0214ccc110e7e55f144db8e";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/et/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/et/firefox-125.0b3.tar.bz2";
locale = "et";
arch = "linux-i686";
- sha256 = "536c1c8f97ae1f4f50584e5b7123d04e682196c71ebd4d873e19ab90bc5c8442";
+ sha256 = "c1476278f0fd3ff52a46c2312ea732e4933b53317ab0e19f2addedb52cb3c040";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/eu/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/eu/firefox-125.0b3.tar.bz2";
locale = "eu";
arch = "linux-i686";
- sha256 = "d25fb29a7e62691fa070084b93d294587c0cd0a006aeb13d90de29dc7960264f";
+ sha256 = "1e126d9a2660580a89e35210082906632ac3f6c505bf071be7109986a5b64647";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/fa/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/fa/firefox-125.0b3.tar.bz2";
locale = "fa";
arch = "linux-i686";
- sha256 = "8bb9b11ede4518f35df9820040607942581e3793dbccabea0962a1455ed879ce";
+ sha256 = "6a68baa945c59cc5da84e4dd524ba3dac390f5ca4eecbf2efd621ef39f20c2b4";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/ff/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/ff/firefox-125.0b3.tar.bz2";
locale = "ff";
arch = "linux-i686";
- sha256 = "e4e840fa0c9fb6e09b9d450c9daa03f988529bf833b11ae95af63ae863e2939e";
+ sha256 = "4d715919aac55cd9578926ebd4e3289f7780a5a400905990ae7b476fade246a7";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/fi/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/fi/firefox-125.0b3.tar.bz2";
locale = "fi";
arch = "linux-i686";
- sha256 = "70169b2198ee988736aab5c179fa45e8f517840db179af532810f2bfe20eb162";
+ sha256 = "9dc082b6e4ce454fc5ca0522d4ef3e878dbc61d5dabe9357ef6296b396213843";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/fr/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/fr/firefox-125.0b3.tar.bz2";
locale = "fr";
arch = "linux-i686";
- sha256 = "201a04c38bad6855ec1e9dca17e7def948d577d48b00f4aa4fa53d97dd5535a9";
+ sha256 = "586467ddf105f5e477788eb75f6e63be3b5244add7eee1af7ab61369962971ac";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/fur/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/fur/firefox-125.0b3.tar.bz2";
locale = "fur";
arch = "linux-i686";
- sha256 = "b88b280d2e5247668920a20f0801c24b469e8dad40c911ee6a579b15c0a3c887";
+ sha256 = "221d693f717134dabd73572c7c6b02a1ff54e2053e4f5411ee7fd8d80956e9ea";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/fy-NL/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/fy-NL/firefox-125.0b3.tar.bz2";
locale = "fy-NL";
arch = "linux-i686";
- sha256 = "270fa1ffaefb27f6ccf18205bf4854d8e71c6987edc435275096d4cd63474d84";
+ sha256 = "2b5849044bcd3dac2ec2b81aad53ab18aeb443761b06eba9c3136c9125f056d8";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/ga-IE/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/ga-IE/firefox-125.0b3.tar.bz2";
locale = "ga-IE";
arch = "linux-i686";
- sha256 = "e691dc694afe2bd2330b54e61ec78d4d9231e42eabfc276019d6bd622b5ad4b7";
+ sha256 = "9d81e379980a70f80acd03b29e3d67a507863b63239e8de38026281547253873";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/gd/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/gd/firefox-125.0b3.tar.bz2";
locale = "gd";
arch = "linux-i686";
- sha256 = "922ebba58136ad845f17e347c9fa7dcca6860f721a513502aceed6d33c5c6457";
+ sha256 = "61059f4056a9b1c0ee2d419cbc78885dfafd5ceb20e0c8b56002b07922bc6c6f";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/gl/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/gl/firefox-125.0b3.tar.bz2";
locale = "gl";
arch = "linux-i686";
- sha256 = "166f955a682ca4e0b6b6e438c23e4f9cd7b02053811c16d0d98668b35690bfec";
+ sha256 = "50171b3f2ba28763e2b2dda48628dffc66bd3b70cb9cb8ce89567dd04f29a230";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/gn/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/gn/firefox-125.0b3.tar.bz2";
locale = "gn";
arch = "linux-i686";
- sha256 = "909af1ace3ba8275a9d2cbe9e5097595b3809361a50f2c3e2e4040dc2fff71ac";
+ sha256 = "b31ec123045a626df4ea7bdf4b9a3c7e62c2adeb9979dcbcff3fb60c08107b06";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/gu-IN/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/gu-IN/firefox-125.0b3.tar.bz2";
locale = "gu-IN";
arch = "linux-i686";
- sha256 = "12680aed2230f9225b15d78859649d9eaf1b671e5e3fa8ed8f88e7273776bb22";
+ sha256 = "eefd737401ff61ba1fcb90ad1cd3d9aabd983cc71771bda12c3775d538d6338a";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/he/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/he/firefox-125.0b3.tar.bz2";
locale = "he";
arch = "linux-i686";
- sha256 = "57048b16d6f5db639d23b2937de0c891b06581ef90413c71bc09eadf9ac323b6";
+ sha256 = "68b84e744721b302985158454386930f9aba35ad9b90395a7c37c5605e416f30";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/hi-IN/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/hi-IN/firefox-125.0b3.tar.bz2";
locale = "hi-IN";
arch = "linux-i686";
- sha256 = "29b9e04a34acf231de4068c24537e23923a38656d1527c3819a90acbca63a3aa";
+ sha256 = "25969e8b66d639f99f31ccf9554f5772bd91fb3c5e73215113716fe39386622d";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/hr/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/hr/firefox-125.0b3.tar.bz2";
locale = "hr";
arch = "linux-i686";
- sha256 = "71a1b2425ce2a41bf1d3a64e8bc977509a5c12b0890556604cc3b59dae890099";
+ sha256 = "171632e54960bde84c24fa2605c33bf931d3226cce61a47c5f2965579b7bd269";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/hsb/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/hsb/firefox-125.0b3.tar.bz2";
locale = "hsb";
arch = "linux-i686";
- sha256 = "84a5038ea816f176541caf286a6d3f4d8ceccad6eca2d6efa332ad53748fc6ee";
+ sha256 = "392818d5df651ae6ae4594a53b08e6109832c2aa754ab787c43c764ddeb24e1e";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/hu/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/hu/firefox-125.0b3.tar.bz2";
locale = "hu";
arch = "linux-i686";
- sha256 = "ab3897471971047d71dfc973b38e082a7d436862a1fb7ce9ca309c8778a6ff43";
+ sha256 = "382c390d35a28d6fe9a74f0dc5133cb2fc165489ab608b2d81b97fdc592d9684";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/hy-AM/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/hy-AM/firefox-125.0b3.tar.bz2";
locale = "hy-AM";
arch = "linux-i686";
- sha256 = "1cddc519b812a7c969b655d28283fad466397406f2bb2b474bc7215d4e3d9f10";
+ sha256 = "53575c12d1b6d4acb5c814b05a6387ba9add1002205bd175b9f9455301f7c332";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/ia/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/ia/firefox-125.0b3.tar.bz2";
locale = "ia";
arch = "linux-i686";
- sha256 = "1903a412d67ca3d9e67e6f00e560eba5e9d7e0a823360b2ce7488e17e2a874ac";
+ sha256 = "1f7facfd8281c9e84e2d147064e1d41d767da4ce0a37ad971da209a855b2cecd";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/id/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/id/firefox-125.0b3.tar.bz2";
locale = "id";
arch = "linux-i686";
- sha256 = "245549351a02c7a7de3ac777bc4d1bfacf3d2a49a6b9f7a731b91c57a23df5ff";
+ sha256 = "9732c429803320b501411f23558bc5361e46894e6c5da76397ff68866c8e9a62";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/is/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/is/firefox-125.0b3.tar.bz2";
locale = "is";
arch = "linux-i686";
- sha256 = "23127f8ebafeef2997f53a7d000754a355b7b425cc45c84903c5d041ad6d59ad";
+ sha256 = "76f4cf18cf5a0c90ac1a87b38fbe9f2134bce5b4cf5db9c3ba3b1b65301899f1";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/it/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/it/firefox-125.0b3.tar.bz2";
locale = "it";
arch = "linux-i686";
- sha256 = "197ac9ea0daf18f2b3370c400e89dac8e38a3cbe65c53a1052ded7e4299ca618";
+ sha256 = "9cd6e4bb2f99a3e544a3a7388d896b40f0a3ac47ff321cd752558776ae566783";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/ja/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/ja/firefox-125.0b3.tar.bz2";
locale = "ja";
arch = "linux-i686";
- sha256 = "e1ec0637713fd35e2240f76b5d07731d24e2e4dba7c6aadb356ba0e0abcde05d";
+ sha256 = "0470e3f059487daf2cdca14d4741051ffb97cf8223f43c45045b09c82db2b3c2";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/ka/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/ka/firefox-125.0b3.tar.bz2";
locale = "ka";
arch = "linux-i686";
- sha256 = "2a32fccbcee9100aee27a1fdba8a841ac089664c7b9b3f079c0fa03ae6209328";
+ sha256 = "3106a8a7eec9071d05d4e8bb25b4056ee1365a3306fb7f06ce2c3c06cb23831c";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/kab/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/kab/firefox-125.0b3.tar.bz2";
locale = "kab";
arch = "linux-i686";
- sha256 = "1f3ea0a86f57ce915c54f161cbc38f58d703a7883c6a12d121a37e3ac19f6d5f";
+ sha256 = "31e5b0e7fbda67855f9f51ab8780f97da7e04d58f1277eaf8b17453d474aef0d";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/kk/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/kk/firefox-125.0b3.tar.bz2";
locale = "kk";
arch = "linux-i686";
- sha256 = "53a9b09dee48b819d7f215fdb0c589f99b3681a0f50d6a1cac75a5c1b6c54d57";
+ sha256 = "f4bd7afee3b6c5c139d6378d318d157b41a4cfd243212ab80f3e6d82b1fb542f";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/km/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/km/firefox-125.0b3.tar.bz2";
locale = "km";
arch = "linux-i686";
- sha256 = "2d2dac4518d81308a9876f2687feb700a301f3caaefa39faefc930a104af7742";
+ sha256 = "bb5fa0e8e14e7081f57d0c79fa747289175de0a822d1301e4e8be7b7c6956a1f";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/kn/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/kn/firefox-125.0b3.tar.bz2";
locale = "kn";
arch = "linux-i686";
- sha256 = "3b846d085583226674f92ebf10431959d1b0cc26a1f625a7735619ba2082c763";
+ sha256 = "452157747f13a83231c4590ca8c6ee366c40a0660daa45b0f698051acf28fce3";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/ko/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/ko/firefox-125.0b3.tar.bz2";
locale = "ko";
arch = "linux-i686";
- sha256 = "62f1a527a86a4d43bccfa5fe683d66d9317369bea2ce6942cad83ebe4c8c878a";
+ sha256 = "3c921614a40d997a1fac5b0e55b25877ddc1f012b617522aa6336a87b78a6ee9";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/lij/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/lij/firefox-125.0b3.tar.bz2";
locale = "lij";
arch = "linux-i686";
- sha256 = "3cdea51693644417f6d22e887daf21e3bcea623c8a18efac0a38123a43f5b8fb";
+ sha256 = "39f69c08d4f4ac4c198c476f38ed57d1c67479d0e31254c1d5f26fab2b6a5314";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/lt/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/lt/firefox-125.0b3.tar.bz2";
locale = "lt";
arch = "linux-i686";
- sha256 = "0c03f35039bc822cd8a6c756a31628dfe08b8a713c4ddb322d51146c8fc44d2b";
+ sha256 = "0127e04febc82e5ff4e7df08ae118872045f3b621b43f02a82852c8e07eab608";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/lv/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/lv/firefox-125.0b3.tar.bz2";
locale = "lv";
arch = "linux-i686";
- sha256 = "aba840eaaa35a712313204274e34d6e8cf7b7d643649b2a0e2dac81fb78de2c6";
+ sha256 = "8dd8a49b6d8e3ba3dc8228cd0eea21bcfb5c5b24867a85992614a9a34bca1766";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/mk/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/mk/firefox-125.0b3.tar.bz2";
locale = "mk";
arch = "linux-i686";
- sha256 = "a386ce049ba686c54b4df38debe5c344d114f3c2aa36d8e9220cc77d38b5cbf2";
+ sha256 = "9422b19733fc73e81433951610479dc629501b9e276d96f0cb9b6dbd88dbce5c";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/mr/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/mr/firefox-125.0b3.tar.bz2";
locale = "mr";
arch = "linux-i686";
- sha256 = "6439998155e506bcbb80258273e3597480ccb1fa1e520704834d41199d4514d1";
+ sha256 = "7243352297aa9d5ed5df6b05be1e2f110207b39ddb15372145bce04152bb00d9";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/ms/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/ms/firefox-125.0b3.tar.bz2";
locale = "ms";
arch = "linux-i686";
- sha256 = "7aba8da30c9f57fb7e8ba0ced67ebf60ef1109edc38677c04a20ead32476f0e4";
+ sha256 = "815aaa80584c49996e45e4a96e9a18f1d63be63eb3fdea014f8a2b9b02f7bd5d";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/my/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/my/firefox-125.0b3.tar.bz2";
locale = "my";
arch = "linux-i686";
- sha256 = "eea6b322199d5f976037c8e60b2184a3569b64280460394115766c4378f53adc";
+ sha256 = "556c1b512ccf4b7ceb94bdc0e44ffb5a00dbb4d87ff1041e1b9c841758b6f81e";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/nb-NO/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/nb-NO/firefox-125.0b3.tar.bz2";
locale = "nb-NO";
arch = "linux-i686";
- sha256 = "837b7330e1b697d71a8714e2da77e8b4e2ea9338abb4c5ed1147f080ef332410";
+ sha256 = "9db5d8cbf980c38e984a760bc36e62676534cc746a3e79aca95d6a995fc2cfd6";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/ne-NP/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/ne-NP/firefox-125.0b3.tar.bz2";
locale = "ne-NP";
arch = "linux-i686";
- sha256 = "4b940aaf89f45ccfedb3a5bbd78001dc5d96a4a1991b1da76d88b8fabe2209c2";
+ sha256 = "0aa6d542eaa6b8f94bf781790dd5f8a244706beb122fcc0ed26c97f14003783e";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/nl/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/nl/firefox-125.0b3.tar.bz2";
locale = "nl";
arch = "linux-i686";
- sha256 = "d962d996c3ad87ec4eafee5f17a80b3fea2f131bf3d5e0d3b5362b0520f4f04b";
+ sha256 = "b2884c18b2df0c6520071d5820062f52218e1c729e087fff9e9a79f71ee0f6a3";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/nn-NO/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/nn-NO/firefox-125.0b3.tar.bz2";
locale = "nn-NO";
arch = "linux-i686";
- sha256 = "d08c8b71451bd46ea6ea49379a746f25bcf1fc2e293e3219f9587d8b7f1f76fc";
+ sha256 = "603b4fde678bf64e5ab2f6322643face4d022c7d8ad01dc087450f0c4f913fc4";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/oc/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/oc/firefox-125.0b3.tar.bz2";
locale = "oc";
arch = "linux-i686";
- sha256 = "d8feaa3cd6a9afacf360df9a93d9e118dc72231b661d5fe0b909d8013b68a946";
+ sha256 = "9a86eeb908b55fba8db1ca1fa695d8c0da892b11e9ffb9d9a5d1d201f917ddff";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/pa-IN/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/pa-IN/firefox-125.0b3.tar.bz2";
locale = "pa-IN";
arch = "linux-i686";
- sha256 = "fa4d570b5869afbb4e6201c03828efe19f12e6ca53a95fd120decc8c927eb5bb";
+ sha256 = "3d057a0a7ce25ef04499c4b22c664e558dfb4b3d102fb85cbedf69a574c389b3";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/pl/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/pl/firefox-125.0b3.tar.bz2";
locale = "pl";
arch = "linux-i686";
- sha256 = "0537012fcdae800a394f1797325b7e5429d1fc69d9bf79d50f0e42b381f8180b";
+ sha256 = "86e4b00d5b592a273fb1080f25eeba25357accfb43a41fe4bb4f03599ce8f617";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/pt-BR/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/pt-BR/firefox-125.0b3.tar.bz2";
locale = "pt-BR";
arch = "linux-i686";
- sha256 = "dc2e7e220e18dce1b818e29f7cca953331bbfabf6dd6a7e97ceba142eb305c66";
+ sha256 = "d01518cd6f619acd4495579b3165d98e8330913660d0b524b8939ce11f6ff9e4";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/pt-PT/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/pt-PT/firefox-125.0b3.tar.bz2";
locale = "pt-PT";
arch = "linux-i686";
- sha256 = "20096e9c5a2077dbbc5b052674d66ff939e93d8199021e96c938b3f73801472e";
+ sha256 = "6ca3a5571e552e91668db6803a6d5b7fcc70f3db5f75154251be07be4bbdd40f";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/rm/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/rm/firefox-125.0b3.tar.bz2";
locale = "rm";
arch = "linux-i686";
- sha256 = "48b6d128c360f00a3d154787f627e9c4a96266d6d08c0124ddbd151eecdd2912";
+ sha256 = "81a2a01e1cd47fe4a0bad5ce7dc0b4e234a3339769aea05207b54da267ab48db";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/ro/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/ro/firefox-125.0b3.tar.bz2";
locale = "ro";
arch = "linux-i686";
- sha256 = "afd4032aae64239ff0193db56ed29f2aa3c380f6bd1c685d844cf87f8ac5316c";
+ sha256 = "1bbced01e2c481a4b3881588be4a71715f49704d7b4023a5dce4d5faefb6b98a";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/ru/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/ru/firefox-125.0b3.tar.bz2";
locale = "ru";
arch = "linux-i686";
- sha256 = "a6d9849dbe33cf1844243be4008d7db49fd238e4b8e1355eaf212ba5d0c210ae";
+ sha256 = "7027e85188962c94239360e9fe242075b5f8ce7cf0817279455b8684ebd86e9f";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/sat/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/sat/firefox-125.0b3.tar.bz2";
locale = "sat";
arch = "linux-i686";
- sha256 = "78e73098d936fa2cd0d0239b31c12666a0a00bbb484561807c4f7533a3d37f3c";
+ sha256 = "6906d8bb86c15d435a154f15e65ffec6007735278614ff9656d0efd09d89ff39";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/sc/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/sc/firefox-125.0b3.tar.bz2";
locale = "sc";
arch = "linux-i686";
- sha256 = "6133c94129820c7a7753de9565ea7855efac8702a1638173364d27ea655c162b";
+ sha256 = "4beed8eecc01f5a6a6abca25ffcd587c5fb9dd2b0b7fd702c1bf808ffc3b4031";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/sco/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/sco/firefox-125.0b3.tar.bz2";
locale = "sco";
arch = "linux-i686";
- sha256 = "509d0894a6629ea6aeb2af56f96b5dd0a4fef5457dfbed1301580cb0c7dc2dfc";
+ sha256 = "b7c65e7e7902084a97fc804993c634d4e80c3bc936e6b7accc115b5af6288b0e";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/si/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/si/firefox-125.0b3.tar.bz2";
locale = "si";
arch = "linux-i686";
- sha256 = "6d0b0a6df565b0170b6356307b9300c71aa298e34b3057bd4ebdb2a49f9e716f";
+ sha256 = "577f7d9bb9583681af565b1d27131460f94a1289851b580aef5680700c5030b3";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/sk/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/sk/firefox-125.0b3.tar.bz2";
locale = "sk";
arch = "linux-i686";
- sha256 = "368d7425c03cea42cb630e4dd3e08e57dda51c6d09a14d02fa7a51817282fe5c";
+ sha256 = "d5eeaf00e48218f85e3336e6efdda23b35ae597a23906c03f96e5a46bf98ddb1";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/sl/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/sl/firefox-125.0b3.tar.bz2";
locale = "sl";
arch = "linux-i686";
- sha256 = "4d663f89fde091267522c37ccc3e69e0246367c865a756e9078fae01a52c0f4a";
+ sha256 = "e4f9fd351a2a093ad2c9dbe65171a46df5cc7f294b39fece0f7e84bfc1aaaebc";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/son/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/son/firefox-125.0b3.tar.bz2";
locale = "son";
arch = "linux-i686";
- sha256 = "578a4c59edbfb30f0f070026d76bd741ef1af60e4ba09d799a604a8bb770554d";
+ sha256 = "3f21fc73007c01c01059acd895f75c719aacb5ba3ec301a85feb35f4b95aa1c8";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/sq/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/sq/firefox-125.0b3.tar.bz2";
locale = "sq";
arch = "linux-i686";
- sha256 = "6640bc3563f04badbaf6102fa34b6f92caf8a82c3a6a0261e8dcc10212dd6198";
+ sha256 = "380531a68e684da1dba48483f7577da55c30be8a7d827413668036f17239fd96";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/sr/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/sr/firefox-125.0b3.tar.bz2";
locale = "sr";
arch = "linux-i686";
- sha256 = "9aa22e50e4c7f49512572271252b4a9fb0631c0dcf4ebd86eeadd1c3c768e0b7";
+ sha256 = "efb203e0195093cdb6d6cd83896382fb7abbda4602a141223274f0204f6700ed";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/sv-SE/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/sv-SE/firefox-125.0b3.tar.bz2";
locale = "sv-SE";
arch = "linux-i686";
- sha256 = "9aafb4a1665481eb4da39857b002e92d98501e0020c592d0870ea6d2b913832f";
+ sha256 = "ea72e44dd494a645965b9049f2874a3fe15aa38dbd0d10b6a219a289bead24d2";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/szl/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/szl/firefox-125.0b3.tar.bz2";
locale = "szl";
arch = "linux-i686";
- sha256 = "c4667804c8e5f3f803f1c06618aa25e1cb0ffacb0662eba71f163505aa5d921e";
+ sha256 = "c134794aaacd09357e25f3fc38118e4585db1228b1026ed5ae109fe008111f37";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/ta/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/ta/firefox-125.0b3.tar.bz2";
locale = "ta";
arch = "linux-i686";
- sha256 = "ed4744875be84e379a3300789ee1b3f3e0cbfc0dfc4149e0b122a0c96e932e17";
+ sha256 = "cb0b82040e427e449d116644d9c3f327512a8fbbc2e9d6419fe49aa576af3d9e";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/te/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/te/firefox-125.0b3.tar.bz2";
locale = "te";
arch = "linux-i686";
- sha256 = "3eb90abe5fc026f43a2d262c2396d11c40d64cb2688c8ad311c7e2c8a576254d";
+ sha256 = "bfa777e66bb5e4b2937eeadb44cea3fd90cdc0f7e593b4cf1601b1b4a37db13e";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/tg/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/tg/firefox-125.0b3.tar.bz2";
locale = "tg";
arch = "linux-i686";
- sha256 = "07b616e1debafbe9962dd54442da33ee5ec95739568db7dc529fd5ec7aa94c78";
+ sha256 = "e68ac79f0e2bfb130dc92a25540cef201a4deae261f481f2aec9981a4bb228b0";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/th/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/th/firefox-125.0b3.tar.bz2";
locale = "th";
arch = "linux-i686";
- sha256 = "1fc3d9d2eadf9613c275dec758f601df716fe84faad79b7d1a3217c4fabce1d4";
+ sha256 = "3cf68e55bb12554e22139ea011dffab3aabb4d1164a6f069ae8cfbff487e60b9";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/tl/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/tl/firefox-125.0b3.tar.bz2";
locale = "tl";
arch = "linux-i686";
- sha256 = "357092ab4ce7d275d158fdbc9fb5695b1570cccd5f6a8d39e6cf188fe30fb645";
+ sha256 = "e155c0c4f2e3bf1eff31530d877e45f3788d902fbef970943cb6b3107ab94d5d";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/tr/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/tr/firefox-125.0b3.tar.bz2";
locale = "tr";
arch = "linux-i686";
- sha256 = "10e6f7a5543dce902b570a6fe5b9411d8e1755aed3990dc8dee382348532422b";
+ sha256 = "197f8d49899419bb61e2fd0e6bef9485aa5ae4940a529043469056d8c74ead02";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/trs/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/trs/firefox-125.0b3.tar.bz2";
locale = "trs";
arch = "linux-i686";
- sha256 = "fca774899d7abbd453ab32193626ae6f4143c26bf031e506b9a90413ede1f062";
+ sha256 = "7a5a4ff73ed265ab3d7ab2595a84dce0c03cd9a2941c9fe74890b0bdd7bb9b96";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/uk/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/uk/firefox-125.0b3.tar.bz2";
locale = "uk";
arch = "linux-i686";
- sha256 = "c5144d3a09aa4e281ac0a0ef1ba4e35375e48aa77a6aa8db3fe25b6fbefb7cf5";
+ sha256 = "cd0f75980fadfac1cc1465e65c583ec2482cc5ee2f1be99cb39adbf77fba2d4d";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/ur/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/ur/firefox-125.0b3.tar.bz2";
locale = "ur";
arch = "linux-i686";
- sha256 = "687b8cb4ed66699a590be38ceaeb8a2c5b802cfad8430ae3db98ab0ddbdb7957";
+ sha256 = "2dea3790598b9a2ff68a04a927399852179a4f4da6ce9794c7375057f2682005";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/uz/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/uz/firefox-125.0b3.tar.bz2";
locale = "uz";
arch = "linux-i686";
- sha256 = "563674323dfd4b333ba695def45413a1d322fe6c3425029e73999380ef8987ae";
+ sha256 = "dc14b9b71afa69966010d325d45da1aa8a16a2969f02b369c14a719a46d69ff2";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/vi/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/vi/firefox-125.0b3.tar.bz2";
locale = "vi";
arch = "linux-i686";
- sha256 = "4c76121ee4245094f417a70d09add493bfbc8a3020401f26d69638627868d473";
+ sha256 = "3754cb21f4fceeb393987c7424aa452b0f77b2271771f3a40d58e4c921f3b0b7";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/xh/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/xh/firefox-125.0b3.tar.bz2";
locale = "xh";
arch = "linux-i686";
- sha256 = "8f7ea99e9587dd8801abf22892fdfd38f4446a8339460b8bb4103d2854c8b00d";
+ sha256 = "3966ef9fdd6c21c32d410b973b9fcac0a0bcb39325cf38ddf046a3b4c95f4d74";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/zh-CN/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/zh-CN/firefox-125.0b3.tar.bz2";
locale = "zh-CN";
arch = "linux-i686";
- sha256 = "03bef56655f52b9c40d3ed29052dca62ae83f2668ef860aa745abe3f7d1a4c7c";
+ sha256 = "3e7986779b89dacc6d38f5b9bd2dd315efe1c90ed7f3f4588dcc30799eb068d6";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/119.0b6/linux-i686/zh-TW/firefox-119.0b6.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/125.0b3/linux-i686/zh-TW/firefox-125.0b3.tar.bz2";
locale = "zh-TW";
arch = "linux-i686";
- sha256 = "456d5311fe2a21bbac3bd20f3105635bf468aea86c014bf4fc33508b9faa8298";
+ sha256 = "4100f89b608e365091886be683baf8a04a6b32961f612aba7663b77e66b37d2d";
}
];
}
diff --git a/pkgs/applications/networking/browsers/firefox-bin/default.nix b/pkgs/applications/networking/browsers/firefox-bin/default.nix
index 56d21187ebb2..44b63cab7dbb 100644
--- a/pkgs/applications/networking/browsers/firefox-bin/default.nix
+++ b/pkgs/applications/networking/browsers/firefox-bin/default.nix
@@ -108,7 +108,7 @@ stdenv.mkDerivation {
updateScript = import ./update.nix {
inherit pname channel lib writeScript xidel coreutils gnused gnugrep gnupg curl runtimeShell;
baseUrl =
- if channel == "devedition"
+ if channel == "developer-edition"
then "https://archive.mozilla.org/pub/devedition/releases/"
else "https://archive.mozilla.org/pub/firefox/releases/";
};
diff --git a/pkgs/applications/networking/browsers/firefox-bin/devedition_sources.nix b/pkgs/applications/networking/browsers/firefox-bin/devedition_sources.nix
deleted file mode 100644
index bc59c44618ac..000000000000
--- a/pkgs/applications/networking/browsers/firefox-bin/devedition_sources.nix
+++ /dev/null
@@ -1,1015 +0,0 @@
-{
- version = "118.0b9";
- sources = [
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/ach/firefox-118.0b9.tar.bz2";
- locale = "ach";
- arch = "linux-x86_64";
- sha256 = "5acd7d6ddf79c3d6399c9e59a9dbe47e9aa23d6071528ea2ca9bfa3b836518a0";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/af/firefox-118.0b9.tar.bz2";
- locale = "af";
- arch = "linux-x86_64";
- sha256 = "dfb8567a0b2376d25c84f640fe2d54afccb35c681f4b03b9b2c265a1b3726247";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/an/firefox-118.0b9.tar.bz2";
- locale = "an";
- arch = "linux-x86_64";
- sha256 = "ca3a0f0ef0920f911d71a8c91897b59d6056ad3979d1674e01f8d7efe078a54d";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/ar/firefox-118.0b9.tar.bz2";
- locale = "ar";
- arch = "linux-x86_64";
- sha256 = "d42b9d642e9f62fac2c5c608851ee012260770d3a120b73501c76f5a8ae8e879";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/ast/firefox-118.0b9.tar.bz2";
- locale = "ast";
- arch = "linux-x86_64";
- sha256 = "cbde843e9fa68df97d67d8f2fa30a32b1acfd1cf2d721ef9279a094aa4d67fb2";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/az/firefox-118.0b9.tar.bz2";
- locale = "az";
- arch = "linux-x86_64";
- sha256 = "0f4a8343202eaf372d053453654afd399b85fe670935bc700fa344ccfebeaee4";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/be/firefox-118.0b9.tar.bz2";
- locale = "be";
- arch = "linux-x86_64";
- sha256 = "ae6a0e66076aebdfddcfc3d2c4defcc2d681fbd69b54c024d5677ecf5e24b6a0";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/bg/firefox-118.0b9.tar.bz2";
- locale = "bg";
- arch = "linux-x86_64";
- sha256 = "10fad3cb1a614deaf6169d6ea1574010172e73037c36e5caa19ccad41d347164";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/bn/firefox-118.0b9.tar.bz2";
- locale = "bn";
- arch = "linux-x86_64";
- sha256 = "313ca09b833065db9bcfa270fae044af1961fb8c777d54a0f6fc0d5908c4703a";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/br/firefox-118.0b9.tar.bz2";
- locale = "br";
- arch = "linux-x86_64";
- sha256 = "98be142d1b61a37cfc6d2d47fc8ecadb128b7b464c3c62a4a76b372260c7aec6";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/bs/firefox-118.0b9.tar.bz2";
- locale = "bs";
- arch = "linux-x86_64";
- sha256 = "622ed1af0985ef2ebc4c9cf897a0157b9c933e55f46313b44ca976b156479a6f";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/ca-valencia/firefox-118.0b9.tar.bz2";
- locale = "ca-valencia";
- arch = "linux-x86_64";
- sha256 = "f07b40f3ed624eecdeb45b79e9a52dc4204d5fec713001a4b33259ba77b61908";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/ca/firefox-118.0b9.tar.bz2";
- locale = "ca";
- arch = "linux-x86_64";
- sha256 = "90d11280471491175188b4244634d3a604057c6bddbf047917b3a374c97c4053";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/cak/firefox-118.0b9.tar.bz2";
- locale = "cak";
- arch = "linux-x86_64";
- sha256 = "94e9141892331ac3f9fcec1264be65661ceae6234635aa9bb44b4b97be8efb3e";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/cs/firefox-118.0b9.tar.bz2";
- locale = "cs";
- arch = "linux-x86_64";
- sha256 = "b1a976da8655601bc98f1bf33fb8731cf7ae1db6ab068beadaa741e896b3e0b3";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/cy/firefox-118.0b9.tar.bz2";
- locale = "cy";
- arch = "linux-x86_64";
- sha256 = "3dec7296441f4154db622bf3cf3c3af45b6cef1aa4db454c18b76474d4c46475";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/da/firefox-118.0b9.tar.bz2";
- locale = "da";
- arch = "linux-x86_64";
- sha256 = "1b9d4d7f38e7edbbbf165d5f07c826793834c57b098980bae018614503a4d10f";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/de/firefox-118.0b9.tar.bz2";
- locale = "de";
- arch = "linux-x86_64";
- sha256 = "23790fde6591ed8da815d343cdaa2d220d7b764d53de29cf64ca379d3569668a";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/dsb/firefox-118.0b9.tar.bz2";
- locale = "dsb";
- arch = "linux-x86_64";
- sha256 = "7d2b8f361397d64d6daf41e8e62d9527fa8fcfe089a3d67d0c2cb8f20aab2d0b";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/el/firefox-118.0b9.tar.bz2";
- locale = "el";
- arch = "linux-x86_64";
- sha256 = "7abb4568eb97c2a18e1dbee9854494bf77d2ca8c3d657803304a36da50b1b533";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/en-CA/firefox-118.0b9.tar.bz2";
- locale = "en-CA";
- arch = "linux-x86_64";
- sha256 = "3fdee20918067d944825c465744fdf2138770a2fb8943c13c3ced16b1f682adc";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/en-GB/firefox-118.0b9.tar.bz2";
- locale = "en-GB";
- arch = "linux-x86_64";
- sha256 = "12001f0d7bb53972b731bf1915bb5c0adcfd173fdddfb3d1a95024bc083f8f25";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/en-US/firefox-118.0b9.tar.bz2";
- locale = "en-US";
- arch = "linux-x86_64";
- sha256 = "efab41ad6173533258aebc346f8c08e380603c2e9be139ccf3ac0c9e36c64f18";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/eo/firefox-118.0b9.tar.bz2";
- locale = "eo";
- arch = "linux-x86_64";
- sha256 = "9440354ab7ff9c8f6d597667f9826a6ebf14e2ee05fc442844692727e343d6c3";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/es-AR/firefox-118.0b9.tar.bz2";
- locale = "es-AR";
- arch = "linux-x86_64";
- sha256 = "2e879fe58cf49cb2705f7fe422fb1d220afd485dd2a71a4ea5a142f0190abeec";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/es-CL/firefox-118.0b9.tar.bz2";
- locale = "es-CL";
- arch = "linux-x86_64";
- sha256 = "6b6d5f82c141828eddf1cef087efd08945adbcf43be9ce5bfff6fc9c309b4b7f";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/es-ES/firefox-118.0b9.tar.bz2";
- locale = "es-ES";
- arch = "linux-x86_64";
- sha256 = "a7105ef1a00786ba489707481c59dea1e7f6f4b9692de03e9d04298e5d189a0e";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/es-MX/firefox-118.0b9.tar.bz2";
- locale = "es-MX";
- arch = "linux-x86_64";
- sha256 = "d5087e0339ef052e81b13835c4fd2a151f9ff45ba917083f137b5ca62c356a3c";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/et/firefox-118.0b9.tar.bz2";
- locale = "et";
- arch = "linux-x86_64";
- sha256 = "3b364c886030729b2c52befc0377abcdd0069825d3c26a73d16ac0672ca5e0cf";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/eu/firefox-118.0b9.tar.bz2";
- locale = "eu";
- arch = "linux-x86_64";
- sha256 = "28a7c3f7211c260c76e8b4c473c9a9eaafa2beaa480d26cb1e31e6a888a3d092";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/fa/firefox-118.0b9.tar.bz2";
- locale = "fa";
- arch = "linux-x86_64";
- sha256 = "0b2fdea90167e8a44659a6f9a69ab467e4256c203b2ddb7fc736c3d62ccb544a";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/ff/firefox-118.0b9.tar.bz2";
- locale = "ff";
- arch = "linux-x86_64";
- sha256 = "0e9b071e8d0ba7a741f9d7b2b3e1bb9c805f305eb29524a67f840c12835baacb";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/fi/firefox-118.0b9.tar.bz2";
- locale = "fi";
- arch = "linux-x86_64";
- sha256 = "e5fe1ef5c106d12624b2998c64144449cd49cd81c32b6a63d8941723f9245f97";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/fr/firefox-118.0b9.tar.bz2";
- locale = "fr";
- arch = "linux-x86_64";
- sha256 = "ea89e51f4fe8feb7cc8a3ad64a8690af93621798463c4c019c689daf45d36789";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/fur/firefox-118.0b9.tar.bz2";
- locale = "fur";
- arch = "linux-x86_64";
- sha256 = "e5f3f105bafd410c2d2153012c593f6150518927e960e680b6991af92bfb548c";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/fy-NL/firefox-118.0b9.tar.bz2";
- locale = "fy-NL";
- arch = "linux-x86_64";
- sha256 = "38e335c7fdfa89768ba321edda2bd154811f292e8e95d9138618de6f166e751a";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/ga-IE/firefox-118.0b9.tar.bz2";
- locale = "ga-IE";
- arch = "linux-x86_64";
- sha256 = "0d3c32a94982bdb7989005a3245ca7afa7a5f96336474b5dd4af707ca11382f9";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/gd/firefox-118.0b9.tar.bz2";
- locale = "gd";
- arch = "linux-x86_64";
- sha256 = "1e702f7f0feb04443ed2ee5b4b17c406546e1592ba51fa632a2ddc7171cb8306";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/gl/firefox-118.0b9.tar.bz2";
- locale = "gl";
- arch = "linux-x86_64";
- sha256 = "2b37d2e8106072c709030c12652a0293dc26d058e4c761644dceeab9100ab37a";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/gn/firefox-118.0b9.tar.bz2";
- locale = "gn";
- arch = "linux-x86_64";
- sha256 = "e8d83f36e7a5ad7aa452078bb8b289ece91057567ed90ae70de27f0cd8bebc68";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/gu-IN/firefox-118.0b9.tar.bz2";
- locale = "gu-IN";
- arch = "linux-x86_64";
- sha256 = "c515ab07a28ea34ba177b2c71f1890db8116c6ffbda2a08a9ddcd7b3c6a93871";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/he/firefox-118.0b9.tar.bz2";
- locale = "he";
- arch = "linux-x86_64";
- sha256 = "ed38173b1d7493de3810972349f1e576f80495d91a552d94febe6febdbb6945c";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/hi-IN/firefox-118.0b9.tar.bz2";
- locale = "hi-IN";
- arch = "linux-x86_64";
- sha256 = "f7f3c1fc855276817673771c30df8f1f175be7f18c1de0c51158b87dd9620734";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/hr/firefox-118.0b9.tar.bz2";
- locale = "hr";
- arch = "linux-x86_64";
- sha256 = "3aeb4da195c933afd2f0ea0f60050d8f4f84f4f50261ac894e4e8eb7eaae93d8";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/hsb/firefox-118.0b9.tar.bz2";
- locale = "hsb";
- arch = "linux-x86_64";
- sha256 = "ae0be38cf74813b6d1d5339712e05582441956934fafcca452a0016920f43a38";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/hu/firefox-118.0b9.tar.bz2";
- locale = "hu";
- arch = "linux-x86_64";
- sha256 = "5b9223dbc3490ac458898d3305a0064ff5aa9015c7fa828596a4a81b7ca67fe3";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/hy-AM/firefox-118.0b9.tar.bz2";
- locale = "hy-AM";
- arch = "linux-x86_64";
- sha256 = "a20abd777fee3aa4b5866d9246dea27015dca40f56e7722c833275eab61971d1";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/ia/firefox-118.0b9.tar.bz2";
- locale = "ia";
- arch = "linux-x86_64";
- sha256 = "8f001a2f83b93850af4f05ce5b5aef4561ab0e9c64a2e1b4f767a898ad514279";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/id/firefox-118.0b9.tar.bz2";
- locale = "id";
- arch = "linux-x86_64";
- sha256 = "5373f02b1bdb82d2adb70bf8ae83dbd847446b381d79f2398d4997b2871cf2bf";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/is/firefox-118.0b9.tar.bz2";
- locale = "is";
- arch = "linux-x86_64";
- sha256 = "abf81429df6142b154d43ec5738cce2e37e6d2d7c5400abd608035ca79730220";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/it/firefox-118.0b9.tar.bz2";
- locale = "it";
- arch = "linux-x86_64";
- sha256 = "15073bf85562036f526ad6c1aa63ce113b9f2de27745020f255fb825706aeb0b";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/ja/firefox-118.0b9.tar.bz2";
- locale = "ja";
- arch = "linux-x86_64";
- sha256 = "7a556d1d55c06a91fdaeee5af51e8907edf891020c66c5d31484a8e450cbed5c";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/ka/firefox-118.0b9.tar.bz2";
- locale = "ka";
- arch = "linux-x86_64";
- sha256 = "0f5528182492b1f256a39bb2aea38502e37c61c25719534499d8b81143287356";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/kab/firefox-118.0b9.tar.bz2";
- locale = "kab";
- arch = "linux-x86_64";
- sha256 = "6b51e8cf3a5781802bac7c66d9091b84c538de040e5a658ffe0f2b8bb1e9659a";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/kk/firefox-118.0b9.tar.bz2";
- locale = "kk";
- arch = "linux-x86_64";
- sha256 = "f9d8d2906874947df31a9b568babc0f0f4470d442f3296ca744c45c0d7c214e5";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/km/firefox-118.0b9.tar.bz2";
- locale = "km";
- arch = "linux-x86_64";
- sha256 = "afd9c675a86d6d043534c070344d6614831e4abcafd24a98e8d6664da3b853d0";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/kn/firefox-118.0b9.tar.bz2";
- locale = "kn";
- arch = "linux-x86_64";
- sha256 = "fe93e6dcca7fcb014c53ebc94a9e3d81f5104cf3af22aad1e59c90b75fc3b838";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/ko/firefox-118.0b9.tar.bz2";
- locale = "ko";
- arch = "linux-x86_64";
- sha256 = "0ad8b6273fc217d1e1d9975c1b496f9f1416346417bfe5ea2d2a98f60f8f1186";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/lij/firefox-118.0b9.tar.bz2";
- locale = "lij";
- arch = "linux-x86_64";
- sha256 = "cb7981d47db571e7490dcfbffa337987dfe51ed243744d95c957be665c505804";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/lt/firefox-118.0b9.tar.bz2";
- locale = "lt";
- arch = "linux-x86_64";
- sha256 = "a5249de84d87e71c729cbf986bb064f61a88839021740bd799e159bb550b1175";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/lv/firefox-118.0b9.tar.bz2";
- locale = "lv";
- arch = "linux-x86_64";
- sha256 = "c835237ad71ed561ff882afcc7f4350bc942a44ec65c3eaadc5716a0664a6b93";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/mk/firefox-118.0b9.tar.bz2";
- locale = "mk";
- arch = "linux-x86_64";
- sha256 = "178dd017f2770e7770639a2a128411d5ac8dd7d1a46172453598285f714a8132";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/mr/firefox-118.0b9.tar.bz2";
- locale = "mr";
- arch = "linux-x86_64";
- sha256 = "876fb7dfbbfbdd991b42059b95a562f2b3d2e713cdfd30a195bd3606ad79c4e5";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/ms/firefox-118.0b9.tar.bz2";
- locale = "ms";
- arch = "linux-x86_64";
- sha256 = "2bdeb6b26779eee615ef2ee3fcdf79c50d3098dfb5a0a6e71721c21964b18ec0";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/my/firefox-118.0b9.tar.bz2";
- locale = "my";
- arch = "linux-x86_64";
- sha256 = "50dfce52b2ed41dd399369efb944c2890f1987ddf07e7c7136ee745b9a6cd7cc";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/nb-NO/firefox-118.0b9.tar.bz2";
- locale = "nb-NO";
- arch = "linux-x86_64";
- sha256 = "6f69c9df74f3e13009469ebeb6d9b4e9a26065cb9cf92b9d9ce71c8243de864c";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/ne-NP/firefox-118.0b9.tar.bz2";
- locale = "ne-NP";
- arch = "linux-x86_64";
- sha256 = "6170a932880dc5cc86a5e7f78048e7e3248ff3bd11368c0e6d1c49fcdd7deb6e";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/nl/firefox-118.0b9.tar.bz2";
- locale = "nl";
- arch = "linux-x86_64";
- sha256 = "c47de0754f87bf698c0848f7f9207795cfdfc74a0187dcdcc829a38013bf3fcc";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/nn-NO/firefox-118.0b9.tar.bz2";
- locale = "nn-NO";
- arch = "linux-x86_64";
- sha256 = "953ffba4bdf5148162ffb1e3cf3766168c82d73948bd528c88f045b315f051c2";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/oc/firefox-118.0b9.tar.bz2";
- locale = "oc";
- arch = "linux-x86_64";
- sha256 = "a094a3823ae328761228e1e91b9da5fad4cec83b7e50c2b9cf5c9418fda73c12";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/pa-IN/firefox-118.0b9.tar.bz2";
- locale = "pa-IN";
- arch = "linux-x86_64";
- sha256 = "03185fbdd8df2d3d931801be0fc45b151684cb6816866a7551b22af1a646f722";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/pl/firefox-118.0b9.tar.bz2";
- locale = "pl";
- arch = "linux-x86_64";
- sha256 = "ee4f3e2d56e84bd30e6bf1f28fa98a8a70ac9b2ced98a76e0bddcc151b2683c2";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/pt-BR/firefox-118.0b9.tar.bz2";
- locale = "pt-BR";
- arch = "linux-x86_64";
- sha256 = "8df58218e900df226188582aef2c278dcfd30c3f36552bb041fff712681b8df1";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/pt-PT/firefox-118.0b9.tar.bz2";
- locale = "pt-PT";
- arch = "linux-x86_64";
- sha256 = "6bcebcfda5ac9f1bbed03566caf548218dca309829481e4ee37075ec1a617de0";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/rm/firefox-118.0b9.tar.bz2";
- locale = "rm";
- arch = "linux-x86_64";
- sha256 = "506ff87af0f0c8c933182b03a29560dc68e623cfd136b683b8469e6d11e34275";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/ro/firefox-118.0b9.tar.bz2";
- locale = "ro";
- arch = "linux-x86_64";
- sha256 = "11e0d4010e80366863f3897476c26511bac41e4c68e85bcc20044d6a958414ff";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/ru/firefox-118.0b9.tar.bz2";
- locale = "ru";
- arch = "linux-x86_64";
- sha256 = "e9f56d75d908f26fed24edf78000912dc897960e2d25aab9df1d7547ce4c8d8c";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/sc/firefox-118.0b9.tar.bz2";
- locale = "sc";
- arch = "linux-x86_64";
- sha256 = "48adfd528e80ebcc01632c3d3313e5418510d3d72d99a945844e00d247cb60fc";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/sco/firefox-118.0b9.tar.bz2";
- locale = "sco";
- arch = "linux-x86_64";
- sha256 = "1ed5371e3a04eb40cc6b75097135985586ab26000a1600c28939d424df5f2450";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/si/firefox-118.0b9.tar.bz2";
- locale = "si";
- arch = "linux-x86_64";
- sha256 = "aab2fdeda2ccf3605d51857ecd7005da043b471ef3de91211111c6c04f0a81ed";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/sk/firefox-118.0b9.tar.bz2";
- locale = "sk";
- arch = "linux-x86_64";
- sha256 = "b3251ba76819d35b26da24080c049f005d43e63ceb863254a61f4f5feefdaf88";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/sl/firefox-118.0b9.tar.bz2";
- locale = "sl";
- arch = "linux-x86_64";
- sha256 = "b40d690aeece4a09d994597879a5625568a8dce44f8c87835256c555acfc40b5";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/son/firefox-118.0b9.tar.bz2";
- locale = "son";
- arch = "linux-x86_64";
- sha256 = "06272721d3e81d27ab3cca0845786ac22dfdd70cf695730ff56c3749b7f94431";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/sq/firefox-118.0b9.tar.bz2";
- locale = "sq";
- arch = "linux-x86_64";
- sha256 = "29da477b4af2a4e22129331ee8a231bf6104085ecc0918d0fc7870dab0d4a56d";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/sr/firefox-118.0b9.tar.bz2";
- locale = "sr";
- arch = "linux-x86_64";
- sha256 = "ab7d20c85ed8b79d86042839d0929098882a075db3aef476fab155f2e00723f3";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/sv-SE/firefox-118.0b9.tar.bz2";
- locale = "sv-SE";
- arch = "linux-x86_64";
- sha256 = "7ad161e52d3902f6991946457b373c576bef6c8e57576a3858f22da6b4d9d961";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/szl/firefox-118.0b9.tar.bz2";
- locale = "szl";
- arch = "linux-x86_64";
- sha256 = "6ddd10980890a1d718fc11dc884080573f7e0bd177dc2434c0d62bc653568315";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/ta/firefox-118.0b9.tar.bz2";
- locale = "ta";
- arch = "linux-x86_64";
- sha256 = "9bff70db3a4e82d1c88ac484269db863db91bdc9acbbc623d421f578df78a173";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/te/firefox-118.0b9.tar.bz2";
- locale = "te";
- arch = "linux-x86_64";
- sha256 = "fb56f9d843379c08a7093c83ffff1d203f6c4893280fe3a61c392ac498a717b4";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/tg/firefox-118.0b9.tar.bz2";
- locale = "tg";
- arch = "linux-x86_64";
- sha256 = "5feec8a1ba01e1201301d51a1b55b5a4e77d79511d9a701674fda4e733eb8db9";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/th/firefox-118.0b9.tar.bz2";
- locale = "th";
- arch = "linux-x86_64";
- sha256 = "5dcac416794969756ea403f6ef76ba8414a43a2f3b8601c5171b12c27597585b";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/tl/firefox-118.0b9.tar.bz2";
- locale = "tl";
- arch = "linux-x86_64";
- sha256 = "9a734be40c279c8327df76c91cb1e5c81558c06de63962d8604c4091439440cb";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/tr/firefox-118.0b9.tar.bz2";
- locale = "tr";
- arch = "linux-x86_64";
- sha256 = "6679603f9baffaab9b5ed34f20d41f9094a764e879d9a6c76bd45857798b4ee4";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/trs/firefox-118.0b9.tar.bz2";
- locale = "trs";
- arch = "linux-x86_64";
- sha256 = "2c61bc81bee412abcd4de08287f36e3326c196513e97cce9be9cf75ec7fb5189";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/uk/firefox-118.0b9.tar.bz2";
- locale = "uk";
- arch = "linux-x86_64";
- sha256 = "3ced0aa2e9a142ee441d798a47ec9a08e3fa741cbfe9d3f124088ccd05a3d64b";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/ur/firefox-118.0b9.tar.bz2";
- locale = "ur";
- arch = "linux-x86_64";
- sha256 = "e48e0fb6d5fd25fe3cfbeec26ad1196465c77e699977adaf4d71e19fbe83ff0a";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/uz/firefox-118.0b9.tar.bz2";
- locale = "uz";
- arch = "linux-x86_64";
- sha256 = "ea0458ae76e50dddd4bb5966846e5cfcabfb2d4cc8884c9a00d234ecda6aef6a";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/vi/firefox-118.0b9.tar.bz2";
- locale = "vi";
- arch = "linux-x86_64";
- sha256 = "7f67f1a6fd898710900a1b9e1bcd04aa8730249257472265766c5424a1865607";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/xh/firefox-118.0b9.tar.bz2";
- locale = "xh";
- arch = "linux-x86_64";
- sha256 = "5db4ee24e2c2646b7b61e8a453953558e84ff59c51cd70d5937ae3e114d3d8d2";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/zh-CN/firefox-118.0b9.tar.bz2";
- locale = "zh-CN";
- arch = "linux-x86_64";
- sha256 = "632595f56ec4cfc803ae94e98f7961823f496553038261800d9d89a344039788";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-x86_64/zh-TW/firefox-118.0b9.tar.bz2";
- locale = "zh-TW";
- arch = "linux-x86_64";
- sha256 = "f3ba20b6488df608275882b037de062efe5a69290e1ecd96536caaea0477c871";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/ach/firefox-118.0b9.tar.bz2";
- locale = "ach";
- arch = "linux-i686";
- sha256 = "e53aca0cdb3d26a83047e5af4f3d522fa5b7dd3ac6352ac19a0de638d7ba0bd5";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/af/firefox-118.0b9.tar.bz2";
- locale = "af";
- arch = "linux-i686";
- sha256 = "39f804678212819ff35bfa34ef6c06eebf10c033d72f2cbd272a95d7c05e74ba";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/an/firefox-118.0b9.tar.bz2";
- locale = "an";
- arch = "linux-i686";
- sha256 = "ab1d0ff00c249ca13f2f2404f580604c00b1cddba1190d07c3daac2ca11e747e";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/ar/firefox-118.0b9.tar.bz2";
- locale = "ar";
- arch = "linux-i686";
- sha256 = "a9e20580dad651b5f5516d6c62636a25a2d3b5d18152c6fa3e6a139cb68eb8e6";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/ast/firefox-118.0b9.tar.bz2";
- locale = "ast";
- arch = "linux-i686";
- sha256 = "234c6a23011440288f389287c048552df3e2757e38b3aa3b4a8eef2d65d13cd0";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/az/firefox-118.0b9.tar.bz2";
- locale = "az";
- arch = "linux-i686";
- sha256 = "c4e8561139014ea6d1a633858c2dc0c503c919634ec435895a87ec53794b9931";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/be/firefox-118.0b9.tar.bz2";
- locale = "be";
- arch = "linux-i686";
- sha256 = "972ff1a80a47ff5e743d09b96a8baad7a12742a554d10503880b0f4cf887d033";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/bg/firefox-118.0b9.tar.bz2";
- locale = "bg";
- arch = "linux-i686";
- sha256 = "782e776efead868840d137e524b66811db8942354cb690d062c5d2fac19fc20a";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/bn/firefox-118.0b9.tar.bz2";
- locale = "bn";
- arch = "linux-i686";
- sha256 = "d30f3ef788be926fb4e89ae167fda01351ca7643ab2b0870edce061ec731cec2";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/br/firefox-118.0b9.tar.bz2";
- locale = "br";
- arch = "linux-i686";
- sha256 = "3c9ea3db020b08495449df487711b98601e39cd983a2f0e3f7661371654ce7fe";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/bs/firefox-118.0b9.tar.bz2";
- locale = "bs";
- arch = "linux-i686";
- sha256 = "8ec9d497c5c1aa8032bfd6eb3b4619716935d67d4054363d1b14f5dac5da832c";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/ca-valencia/firefox-118.0b9.tar.bz2";
- locale = "ca-valencia";
- arch = "linux-i686";
- sha256 = "ab80b0b8cd657917135b7d44b0033daab60827bec9e65661fb9e9a02d4e4225d";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/ca/firefox-118.0b9.tar.bz2";
- locale = "ca";
- arch = "linux-i686";
- sha256 = "0f5517aa454bf7307ebb2015375f96f56f59e9c24cf7f9735cb6c7619aade466";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/cak/firefox-118.0b9.tar.bz2";
- locale = "cak";
- arch = "linux-i686";
- sha256 = "f18dc8b6977293f6a82246f34d455567b857edb937fae5109754e780c1991407";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/cs/firefox-118.0b9.tar.bz2";
- locale = "cs";
- arch = "linux-i686";
- sha256 = "ff20177ebf601903556239f6a2b4414f9f209912f0f6bbf7320e63a09b8c31f6";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/cy/firefox-118.0b9.tar.bz2";
- locale = "cy";
- arch = "linux-i686";
- sha256 = "6afb79342ddb53e568598e0dea754e67135ce3cc1eb3f7f4cc5c8f9269218933";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/da/firefox-118.0b9.tar.bz2";
- locale = "da";
- arch = "linux-i686";
- sha256 = "2d56583c30fab008ff132164af35f43d9578bfc1b13e6fa17cd0954e1a480844";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/de/firefox-118.0b9.tar.bz2";
- locale = "de";
- arch = "linux-i686";
- sha256 = "6f7f12168bfabe787d36a8ec4d7d5f05c5679543e2f909ae4f4fd0c311ef7c66";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/dsb/firefox-118.0b9.tar.bz2";
- locale = "dsb";
- arch = "linux-i686";
- sha256 = "abcd8efd958fb4cd07d7b6f163851630fccff3abf2e0cbe40430e48ef9c3df2d";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/el/firefox-118.0b9.tar.bz2";
- locale = "el";
- arch = "linux-i686";
- sha256 = "f5f2ff34cdfd9cabefd3f068d8086db804b045b242ebea4ad416510e031551e9";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/en-CA/firefox-118.0b9.tar.bz2";
- locale = "en-CA";
- arch = "linux-i686";
- sha256 = "1645f59cc9db80ed34dbb45c5e1ff9b270160c38b50548b34b580c7e68f45497";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/en-GB/firefox-118.0b9.tar.bz2";
- locale = "en-GB";
- arch = "linux-i686";
- sha256 = "5c5b1872e5965fb6c7c52e70af233d1cb39b6b9845129ed6bed19f5a716f69c9";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/en-US/firefox-118.0b9.tar.bz2";
- locale = "en-US";
- arch = "linux-i686";
- sha256 = "a7b405ad4f8d60519efc6f5433318f2f4cac6a898300dd9e06ec9a38a00e7d9f";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/eo/firefox-118.0b9.tar.bz2";
- locale = "eo";
- arch = "linux-i686";
- sha256 = "f88898bf1efcdce421e7f8ab0389ee257d588b7a93362f685cebdf24f2e460b7";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/es-AR/firefox-118.0b9.tar.bz2";
- locale = "es-AR";
- arch = "linux-i686";
- sha256 = "50dbdd2d36387e51bf7534a4e661c4b62381ceb2a727e35e2a88bda96679209f";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/es-CL/firefox-118.0b9.tar.bz2";
- locale = "es-CL";
- arch = "linux-i686";
- sha256 = "8796f21f065495a93f853133a208acd2aa91d58e2c9029fb1f8262ed1ee09014";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/es-ES/firefox-118.0b9.tar.bz2";
- locale = "es-ES";
- arch = "linux-i686";
- sha256 = "a551586853ad5923ca09e7d77b69b220779dca77c36e37f5176a4393c65a0032";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/es-MX/firefox-118.0b9.tar.bz2";
- locale = "es-MX";
- arch = "linux-i686";
- sha256 = "f7a442484fd8396d7480f2f1b719deac03bac92bd7bfa23692e6590dc6db7cf9";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/et/firefox-118.0b9.tar.bz2";
- locale = "et";
- arch = "linux-i686";
- sha256 = "0eb1aad68e73481e6dd4948c3d691aba69d698a9819f4eba8e7e7dfbe9c436ae";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/eu/firefox-118.0b9.tar.bz2";
- locale = "eu";
- arch = "linux-i686";
- sha256 = "b19858b2e0654bc88489cce8a10e6ed31f701ef8aa515390d8c5865315bd81f7";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/fa/firefox-118.0b9.tar.bz2";
- locale = "fa";
- arch = "linux-i686";
- sha256 = "292424afc766be9006b594ce777cf5f710a445c38410350aabe3e7bb4ce7bca1";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/ff/firefox-118.0b9.tar.bz2";
- locale = "ff";
- arch = "linux-i686";
- sha256 = "e6e69e6d7f8bccb2f4b3d32c5b48c3b1a677a92e0792a31527543bd234169103";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/fi/firefox-118.0b9.tar.bz2";
- locale = "fi";
- arch = "linux-i686";
- sha256 = "02f5d399cc2e14f08ca2e323b38915aadd686eaf7d5f33a23eaeeae40b864631";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/fr/firefox-118.0b9.tar.bz2";
- locale = "fr";
- arch = "linux-i686";
- sha256 = "1b587b32e2d5095907fc8d392f82973075453d9b830de5b28266eba34694d305";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/fur/firefox-118.0b9.tar.bz2";
- locale = "fur";
- arch = "linux-i686";
- sha256 = "e9104d5c05c2728a9027f81d7709401b14d0d3f681b9c917448c5d28fe19c1d8";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/fy-NL/firefox-118.0b9.tar.bz2";
- locale = "fy-NL";
- arch = "linux-i686";
- sha256 = "0bffc1fc0b09c9b4c260e0195f1eb8ec8d0bc198598e1903262c9dbe955d91c0";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/ga-IE/firefox-118.0b9.tar.bz2";
- locale = "ga-IE";
- arch = "linux-i686";
- sha256 = "019b3602f776f2e56f477be73e9f68297fdc63feea906c95c20ec64f008474df";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/gd/firefox-118.0b9.tar.bz2";
- locale = "gd";
- arch = "linux-i686";
- sha256 = "cd96ce395bd3f288052bfa02f13e61c2ea26daee744b255d5ce1c7542244ef7b";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/gl/firefox-118.0b9.tar.bz2";
- locale = "gl";
- arch = "linux-i686";
- sha256 = "1d16b36daee3ba95477edf934f44ea52ae4f02edc554a42d6614d1d0b919d695";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/gn/firefox-118.0b9.tar.bz2";
- locale = "gn";
- arch = "linux-i686";
- sha256 = "0074d580db405791504448fc006848c7ff9530fc1895f8dd95dc69c0c06baae7";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/gu-IN/firefox-118.0b9.tar.bz2";
- locale = "gu-IN";
- arch = "linux-i686";
- sha256 = "86f21ca517c89fab3e1a5703cf880a1a9b79fa0bef16e810f13746e9a59ac698";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/he/firefox-118.0b9.tar.bz2";
- locale = "he";
- arch = "linux-i686";
- sha256 = "704c8c1b12ca2227e4dbd89f51c9fac7a2769f7f0d81ddc11b40e2a8fa869681";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/hi-IN/firefox-118.0b9.tar.bz2";
- locale = "hi-IN";
- arch = "linux-i686";
- sha256 = "3a2fc246b14ee2d712e7c37e568024bf314ee1dfeef8cdb0f668acc1a9aa7ca9";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/hr/firefox-118.0b9.tar.bz2";
- locale = "hr";
- arch = "linux-i686";
- sha256 = "bb4b8af71da2fb4ee21c9ae79cab7c76f06cb2a2aa63dde5412f905eeda02902";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/hsb/firefox-118.0b9.tar.bz2";
- locale = "hsb";
- arch = "linux-i686";
- sha256 = "2cfc44287cadc041419c487a2dc4809676d54703fd7526b2478f1769cf7295bf";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/hu/firefox-118.0b9.tar.bz2";
- locale = "hu";
- arch = "linux-i686";
- sha256 = "3ce46f795d287ec4a8dba73dc3f154fbdbf49e36458bf8f44b8fa889ee8e9f25";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/hy-AM/firefox-118.0b9.tar.bz2";
- locale = "hy-AM";
- arch = "linux-i686";
- sha256 = "b5d4b2bf65501a2a062d6d33cb8ec79fa3b1ea96d3dee8276fcfe90466b75f9f";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/ia/firefox-118.0b9.tar.bz2";
- locale = "ia";
- arch = "linux-i686";
- sha256 = "57a39b1ee524b64b3fc02bd6022b18b9ccb78c188b6a00c175a9591a9f34cb4c";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/id/firefox-118.0b9.tar.bz2";
- locale = "id";
- arch = "linux-i686";
- sha256 = "0e21bec921bca716fac98ac7372bbd0f4e08730038527dd1a3f8d2368649affb";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/is/firefox-118.0b9.tar.bz2";
- locale = "is";
- arch = "linux-i686";
- sha256 = "cfec6f29a76b1fdbc24fb2b62878bf219bc62d4ba49b84ac74644d32d663ff40";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/it/firefox-118.0b9.tar.bz2";
- locale = "it";
- arch = "linux-i686";
- sha256 = "8de32508ec96dfb9aeee42b3ddf165d39347af836328dd327fc085ab63ab629e";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/ja/firefox-118.0b9.tar.bz2";
- locale = "ja";
- arch = "linux-i686";
- sha256 = "da471e323d0788b4f9469064231c1a30121e0c372109b4ceefb293f360837c1d";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/ka/firefox-118.0b9.tar.bz2";
- locale = "ka";
- arch = "linux-i686";
- sha256 = "48f04b5e1e955ba528e4d31e51dd407e115ccf83da381f22ab6f592232fb1ffd";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/kab/firefox-118.0b9.tar.bz2";
- locale = "kab";
- arch = "linux-i686";
- sha256 = "23e6959f1f8c5de089ea4dcccbe366d383eff584dd1b5a76ff5c27e58626ea9f";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/kk/firefox-118.0b9.tar.bz2";
- locale = "kk";
- arch = "linux-i686";
- sha256 = "d999fa29de25472206bc07d6696e60c6c43d214427cd98bf8a1bb07a063fa550";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/km/firefox-118.0b9.tar.bz2";
- locale = "km";
- arch = "linux-i686";
- sha256 = "f8d483c51e862cbc5b79672224fc534adad16875bca2ca2b3cbf56a43acc9114";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/kn/firefox-118.0b9.tar.bz2";
- locale = "kn";
- arch = "linux-i686";
- sha256 = "23c8e223d4285020c2eedbff9a21259c3363b4e36491f628e83b182cf2d359d0";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/ko/firefox-118.0b9.tar.bz2";
- locale = "ko";
- arch = "linux-i686";
- sha256 = "452de5fd6c913659f988ea8e8b8ca10fa3e8738bab9d26bb0452bdbcb56dd81e";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/lij/firefox-118.0b9.tar.bz2";
- locale = "lij";
- arch = "linux-i686";
- sha256 = "71007a608ebfb0f2937e149123ae1204ceef85d8a129b57d1b89f6cdaacdc7e7";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/lt/firefox-118.0b9.tar.bz2";
- locale = "lt";
- arch = "linux-i686";
- sha256 = "5f82e611188581d38c8db1d03a253ab10c3e4805451276e4714635d0fd509fdc";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/lv/firefox-118.0b9.tar.bz2";
- locale = "lv";
- arch = "linux-i686";
- sha256 = "f7df8dbad4c91aa2d5c402487bc8a266ccd3477b44fc58f860f509aac9e0d78b";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/mk/firefox-118.0b9.tar.bz2";
- locale = "mk";
- arch = "linux-i686";
- sha256 = "ab8b6a5f9ef8bced0591968dc123c89bee4d9a7be29e0387255cb716a460c491";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/mr/firefox-118.0b9.tar.bz2";
- locale = "mr";
- arch = "linux-i686";
- sha256 = "74d9e514e9f6086b30a843b11cd02e872c5d10bb9e991d2e00e67581bd2ca0c7";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/ms/firefox-118.0b9.tar.bz2";
- locale = "ms";
- arch = "linux-i686";
- sha256 = "515d770951d7b112be8980b5482b3cac9c1f6b085c6c67056d6310334313a17e";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/my/firefox-118.0b9.tar.bz2";
- locale = "my";
- arch = "linux-i686";
- sha256 = "70bc3a89af01cb7fe91a3c8d3e2b51ee8711f205399d829fba0c5f67bdb13259";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/nb-NO/firefox-118.0b9.tar.bz2";
- locale = "nb-NO";
- arch = "linux-i686";
- sha256 = "0d7497ce372be43951963a7708e580476ad9606bd577cfd6b84613ac16ff1ad6";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/ne-NP/firefox-118.0b9.tar.bz2";
- locale = "ne-NP";
- arch = "linux-i686";
- sha256 = "c3ed492f8a4fdfa5c6765003c07654da9d9efeabaaca15f67c84518ba10d04fd";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/nl/firefox-118.0b9.tar.bz2";
- locale = "nl";
- arch = "linux-i686";
- sha256 = "24077f05377cfbc11521c88f96d81f344b1d83a6dab4103fb2ef0acbe684f2eb";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/nn-NO/firefox-118.0b9.tar.bz2";
- locale = "nn-NO";
- arch = "linux-i686";
- sha256 = "eabc24681261270677c622be6f4feb412e889fee7e7909e7b1c213f2b5d5f074";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/oc/firefox-118.0b9.tar.bz2";
- locale = "oc";
- arch = "linux-i686";
- sha256 = "84249450442b0784f5c1d9ef80148733697fa76a7b7cbd6fff826b17cd587d34";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/pa-IN/firefox-118.0b9.tar.bz2";
- locale = "pa-IN";
- arch = "linux-i686";
- sha256 = "a9a4d9d541a875fcbdf641520f78cbd6a7e195499b860c2b90a24151777af518";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/pl/firefox-118.0b9.tar.bz2";
- locale = "pl";
- arch = "linux-i686";
- sha256 = "f25078cfc1564938d322dfcf4caa7d53aba151779441058b8d0eac9c24cf36b8";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/pt-BR/firefox-118.0b9.tar.bz2";
- locale = "pt-BR";
- arch = "linux-i686";
- sha256 = "c257c77acbfacd8d6e665510ebd819d04af274794f7c4279d7a5ae5376548740";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/pt-PT/firefox-118.0b9.tar.bz2";
- locale = "pt-PT";
- arch = "linux-i686";
- sha256 = "8a95dc319c5dd036ba1ed46c51306c2d72e1183b8b9495d2a7a04f2160037262";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/rm/firefox-118.0b9.tar.bz2";
- locale = "rm";
- arch = "linux-i686";
- sha256 = "213173775951d23ba7ca90d4f5f0e972970f5e70a94797dcfb3a4c431ddb3461";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/ro/firefox-118.0b9.tar.bz2";
- locale = "ro";
- arch = "linux-i686";
- sha256 = "fd8149548cc99a603565406e0bf18af072406587b8c99a2791e9794db45b37be";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/ru/firefox-118.0b9.tar.bz2";
- locale = "ru";
- arch = "linux-i686";
- sha256 = "ad6ede7fa8d2492dc282672e1fe650e480282f0383e5bf972fa3079d032e7fd4";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/sc/firefox-118.0b9.tar.bz2";
- locale = "sc";
- arch = "linux-i686";
- sha256 = "4165fa28ec0395c4c73440d6ac5ccf507fd59170eb69c56d73c58cb194a18b8e";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/sco/firefox-118.0b9.tar.bz2";
- locale = "sco";
- arch = "linux-i686";
- sha256 = "0d6b08f75cfcad251ecc4e5057ff6ea36e889607e4073b593e11099ab060e6ad";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/si/firefox-118.0b9.tar.bz2";
- locale = "si";
- arch = "linux-i686";
- sha256 = "f13f8fed792e28048d2069fc70373811c520bf21db0404e3a24245f4fc8308db";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/sk/firefox-118.0b9.tar.bz2";
- locale = "sk";
- arch = "linux-i686";
- sha256 = "b4cc004d195f2afd25fc4adffd322a6e969533ec80b4ddaae51f05334968f40e";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/sl/firefox-118.0b9.tar.bz2";
- locale = "sl";
- arch = "linux-i686";
- sha256 = "4d67c69958e4582af0b94dcf9e2c31d83bebae6fa5b0b8679d51ac6c43d7c795";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/son/firefox-118.0b9.tar.bz2";
- locale = "son";
- arch = "linux-i686";
- sha256 = "bf2485df2689593243794df95d676a6fcdfb9f1d4ce364c84ba9f101b2264eea";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/sq/firefox-118.0b9.tar.bz2";
- locale = "sq";
- arch = "linux-i686";
- sha256 = "33bf4a96adb0535524c4e9283d54c9b811eab476562cd56f699ab6ff0579ec0e";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/sr/firefox-118.0b9.tar.bz2";
- locale = "sr";
- arch = "linux-i686";
- sha256 = "6730e09e523b515d81977e9d4036b04f0b90ce5523f221775cdae222b926ae0a";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/sv-SE/firefox-118.0b9.tar.bz2";
- locale = "sv-SE";
- arch = "linux-i686";
- sha256 = "637f7691325f447569973e2a9beaa6be4b2dab8bf5b6422e90a158edff00ee92";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/szl/firefox-118.0b9.tar.bz2";
- locale = "szl";
- arch = "linux-i686";
- sha256 = "a23fc0c34fdf64176dca5f8b23a9a381f71dbae2d8573a0d286f39a61deb94cf";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/ta/firefox-118.0b9.tar.bz2";
- locale = "ta";
- arch = "linux-i686";
- sha256 = "065602a04ff6d4fde955dac629f8495c3b4a7dbe5a05fd2b75b00095ad88f438";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/te/firefox-118.0b9.tar.bz2";
- locale = "te";
- arch = "linux-i686";
- sha256 = "ddff23965ef7bf5430d79a6e9d0c83f420ba7967b4b92729724b74632cb51992";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/tg/firefox-118.0b9.tar.bz2";
- locale = "tg";
- arch = "linux-i686";
- sha256 = "377906226c488a24a229aedcdd75d128a413e0b6ca70215507b306ecbe2c93d5";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/th/firefox-118.0b9.tar.bz2";
- locale = "th";
- arch = "linux-i686";
- sha256 = "9bc4881b5994d938dfda2574b8209f683896aa99d09231163f2500057047c2e3";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/tl/firefox-118.0b9.tar.bz2";
- locale = "tl";
- arch = "linux-i686";
- sha256 = "5173d2b13807be2303ea11e5d32d1c504bc81b8c8db7c74de9f4388ef67f9d53";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/tr/firefox-118.0b9.tar.bz2";
- locale = "tr";
- arch = "linux-i686";
- sha256 = "c12a8c580fc75e6827ee94b30f50b66478fa672eb6821f2280589f5afd3c7cd6";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/trs/firefox-118.0b9.tar.bz2";
- locale = "trs";
- arch = "linux-i686";
- sha256 = "f3a63164cb755674c63fe76c86bd7edb075dabacbbb078a96ce2afa36dcaf1dc";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/uk/firefox-118.0b9.tar.bz2";
- locale = "uk";
- arch = "linux-i686";
- sha256 = "f815ef8bcc95eeb3f5ebc7fe7a92406f8f9499b8a691ca07ae69e4fef6a4a051";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/ur/firefox-118.0b9.tar.bz2";
- locale = "ur";
- arch = "linux-i686";
- sha256 = "21665a39565e990ebdd369c88a684b247ccd5a2ff7268d9827c4cced5a1d44cb";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/uz/firefox-118.0b9.tar.bz2";
- locale = "uz";
- arch = "linux-i686";
- sha256 = "e3527a83c2ef6f7fce248bd3eac7a71b53c95e6531ba66ce16d0886de6b52769";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/vi/firefox-118.0b9.tar.bz2";
- locale = "vi";
- arch = "linux-i686";
- sha256 = "6535325b6f1182f0a67039f5c9ace2f1db8d5cae69ea03868828d75dacdc2df2";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/xh/firefox-118.0b9.tar.bz2";
- locale = "xh";
- arch = "linux-i686";
- sha256 = "8e7d67535c19f672b42195327f85737cae24edf5dd96c2b474028b43860b3a34";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/zh-CN/firefox-118.0b9.tar.bz2";
- locale = "zh-CN";
- arch = "linux-i686";
- sha256 = "24bddfdda2c7eeeaa1d66783cd4fe0b7b47b99d01250a1f6203f5049142be911";
- }
- { url = "https://archive.mozilla.org/pub/devedition/releases/118.0b9/linux-i686/zh-TW/firefox-118.0b9.tar.bz2";
- locale = "zh-TW";
- arch = "linux-i686";
- sha256 = "6fbd5d7bd31ac65cfe88e393cdab0ddab6d50c9f9e3718971bb16debf04bd10e";
- }
- ];
-}
diff --git a/pkgs/applications/networking/browsers/firefox-bin/developer-edition_sources.nix b/pkgs/applications/networking/browsers/firefox-bin/developer-edition_sources.nix
new file mode 100644
index 000000000000..f96bda5f6bc0
--- /dev/null
+++ b/pkgs/applications/networking/browsers/firefox-bin/developer-edition_sources.nix
@@ -0,0 +1,1025 @@
+{
+ version = "125.0b3";
+ sources = [
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/ach/firefox-125.0b3.tar.bz2";
+ locale = "ach";
+ arch = "linux-x86_64";
+ sha256 = "16080b9711c0aa4cfa59104de6c6c061bda8ce31b4afbe84dfce68da8d3e1159";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/af/firefox-125.0b3.tar.bz2";
+ locale = "af";
+ arch = "linux-x86_64";
+ sha256 = "94ce583e46fa39e49415cd2a536fe1ea9c04c5f4a762a2596dce192863695e4f";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/an/firefox-125.0b3.tar.bz2";
+ locale = "an";
+ arch = "linux-x86_64";
+ sha256 = "270b4afedbe6c3301d25564f80c4024d2ef9ed9de39da6f98b7a380e219d4a98";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/ar/firefox-125.0b3.tar.bz2";
+ locale = "ar";
+ arch = "linux-x86_64";
+ sha256 = "430687deac1f7bcd01a560d074c8b98d6bf1d57c06047e608b938ef5dcba3ac1";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/ast/firefox-125.0b3.tar.bz2";
+ locale = "ast";
+ arch = "linux-x86_64";
+ sha256 = "816b1f696deb220ae167ba7ef06f59909a7ee234317238679f8851ea26e8b679";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/az/firefox-125.0b3.tar.bz2";
+ locale = "az";
+ arch = "linux-x86_64";
+ sha256 = "19612477e94a501ff8ea91621aa1f206a09cebe5bf192408fb58d697d35fc7c1";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/be/firefox-125.0b3.tar.bz2";
+ locale = "be";
+ arch = "linux-x86_64";
+ sha256 = "43ec52fce69cf361d213bbeb7cf6a7e15dd8341e1f34334def5afde8dde569d0";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/bg/firefox-125.0b3.tar.bz2";
+ locale = "bg";
+ arch = "linux-x86_64";
+ sha256 = "80eeed2e8d756aac9234c1cce2afc7461c6a4ce7ce84d251e763a871771db84b";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/bn/firefox-125.0b3.tar.bz2";
+ locale = "bn";
+ arch = "linux-x86_64";
+ sha256 = "e26c824e066571d627fe10c0569e233f47c93b43dc9f752352a32708295a1951";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/br/firefox-125.0b3.tar.bz2";
+ locale = "br";
+ arch = "linux-x86_64";
+ sha256 = "344fb728d4b755603f8107b42a865a5b266c76a6f7dfed83b18e8a44ec808af2";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/bs/firefox-125.0b3.tar.bz2";
+ locale = "bs";
+ arch = "linux-x86_64";
+ sha256 = "1ad04066cdaa63a8fb03f474e5b543421c4149ee86eaa926499fcded603b356b";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/ca-valencia/firefox-125.0b3.tar.bz2";
+ locale = "ca-valencia";
+ arch = "linux-x86_64";
+ sha256 = "26834f233c53d20f08bf6365e9e46d87de79eb35c63f731d70e94bacd992b72d";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/ca/firefox-125.0b3.tar.bz2";
+ locale = "ca";
+ arch = "linux-x86_64";
+ sha256 = "b62ee3d630cd5c97c44e43577c0d47904d58d94b158d459e11d5297c22a1b16e";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/cak/firefox-125.0b3.tar.bz2";
+ locale = "cak";
+ arch = "linux-x86_64";
+ sha256 = "1c56379921efbecb3594f2bd939c89683c66ed1ca5f09f52750e221c7908e50d";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/cs/firefox-125.0b3.tar.bz2";
+ locale = "cs";
+ arch = "linux-x86_64";
+ sha256 = "a5885f6d3c5fc6951395e8f9f8732f234a0be4cdba92dade0d07bea7616aa3b9";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/cy/firefox-125.0b3.tar.bz2";
+ locale = "cy";
+ arch = "linux-x86_64";
+ sha256 = "75638c8788bc163825daf3d4af72628feead367d397131d904cf2cee452080e9";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/da/firefox-125.0b3.tar.bz2";
+ locale = "da";
+ arch = "linux-x86_64";
+ sha256 = "cbc9c2c19a8dbaf64a76886fa475ba30d49695f457ad4f3b3d17776afdd0e692";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/de/firefox-125.0b3.tar.bz2";
+ locale = "de";
+ arch = "linux-x86_64";
+ sha256 = "042e2748932a0f6838dae77c61f8f1a8874b17e0613e82962e0a1073c2efd66c";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/dsb/firefox-125.0b3.tar.bz2";
+ locale = "dsb";
+ arch = "linux-x86_64";
+ sha256 = "e6fca4065abaf6d2f2ae585100ec85b8eb43a3173c7225f41e26b395d38b1a87";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/el/firefox-125.0b3.tar.bz2";
+ locale = "el";
+ arch = "linux-x86_64";
+ sha256 = "343e92fea4a4990f02b47a2c7e208299abf119c1f4e6a0508935f2f173c0c536";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/en-CA/firefox-125.0b3.tar.bz2";
+ locale = "en-CA";
+ arch = "linux-x86_64";
+ sha256 = "625ccacb4d5298e018ae4706c929745dec748edab2ee9a241d548facbeca3bbe";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/en-GB/firefox-125.0b3.tar.bz2";
+ locale = "en-GB";
+ arch = "linux-x86_64";
+ sha256 = "05d886a93f3876ab0535bb8d8d5005ce9770f6f9c933935ea13a2035244f6181";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/en-US/firefox-125.0b3.tar.bz2";
+ locale = "en-US";
+ arch = "linux-x86_64";
+ sha256 = "d1fe9fe71e2e7d48fb378e2ad6b08226ef013470c9ed40af8d19d28fec2ce656";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/eo/firefox-125.0b3.tar.bz2";
+ locale = "eo";
+ arch = "linux-x86_64";
+ sha256 = "9fbf00816e65351c5ec270e6cbe0cda2a2b4557bee42841a5c9e0fbbed69a056";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/es-AR/firefox-125.0b3.tar.bz2";
+ locale = "es-AR";
+ arch = "linux-x86_64";
+ sha256 = "01c9118dbb74c1aac478765b8d4ed491324b216ab4711eb2a6154e9cf82d55b2";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/es-CL/firefox-125.0b3.tar.bz2";
+ locale = "es-CL";
+ arch = "linux-x86_64";
+ sha256 = "315bbb054c53b32a9fe80226c1dc15ab1e7a9aecdc9486d9eb10d9ba5480c018";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/es-ES/firefox-125.0b3.tar.bz2";
+ locale = "es-ES";
+ arch = "linux-x86_64";
+ sha256 = "8c63766afcb55874ea47f2b6270d94b3c3dbf886191399b1a077556b9c4dc23e";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/es-MX/firefox-125.0b3.tar.bz2";
+ locale = "es-MX";
+ arch = "linux-x86_64";
+ sha256 = "bb0852f0794f4a5c2df5108ffac6bb1c1eb06e127247f56d572cbe66666a6f90";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/et/firefox-125.0b3.tar.bz2";
+ locale = "et";
+ arch = "linux-x86_64";
+ sha256 = "1679ca873cc8bca96aaf24c561b7314c471c00e4b3a2808b1832a9d8e61d66e6";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/eu/firefox-125.0b3.tar.bz2";
+ locale = "eu";
+ arch = "linux-x86_64";
+ sha256 = "39a4cd57c0f6ab55a5d188f5960b69ee1ada3a7c2bbf398414d4d0ef8e22b762";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/fa/firefox-125.0b3.tar.bz2";
+ locale = "fa";
+ arch = "linux-x86_64";
+ sha256 = "96306b9decb1b899499013a8c91a2a6a93fc46b6f84653eb6ebd4b1c951765d4";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/ff/firefox-125.0b3.tar.bz2";
+ locale = "ff";
+ arch = "linux-x86_64";
+ sha256 = "ead0d58a3566cae5f2e1bcbe7505734fefc9954b5feb8271bf8f9a7db80fa4bd";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/fi/firefox-125.0b3.tar.bz2";
+ locale = "fi";
+ arch = "linux-x86_64";
+ sha256 = "f440d12967a34c28a556752eaa33879bbdbd35474a62824b66acfe482caf77c8";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/fr/firefox-125.0b3.tar.bz2";
+ locale = "fr";
+ arch = "linux-x86_64";
+ sha256 = "8816e662c89b8a70b2190569eae54f86efd51bd0797fa04ff01c4732e4f7cf8b";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/fur/firefox-125.0b3.tar.bz2";
+ locale = "fur";
+ arch = "linux-x86_64";
+ sha256 = "0e888ce388bc7fc1e0102a1d12848ac5f7eb868c928ffb880bbc63a12f85441e";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/fy-NL/firefox-125.0b3.tar.bz2";
+ locale = "fy-NL";
+ arch = "linux-x86_64";
+ sha256 = "341d6500244dcdbd52249c9ed21fe3b8987eb7d39d0ef4984452c43a25f2bdc4";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/ga-IE/firefox-125.0b3.tar.bz2";
+ locale = "ga-IE";
+ arch = "linux-x86_64";
+ sha256 = "206ae6ecb9784392dc3a6df8e16b4b2b2cc33948ce3ef4d181adc84354689956";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/gd/firefox-125.0b3.tar.bz2";
+ locale = "gd";
+ arch = "linux-x86_64";
+ sha256 = "97c209100a387f32455ffcd4c4417698b4ee6eed949ae94466182e4f95b42cb6";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/gl/firefox-125.0b3.tar.bz2";
+ locale = "gl";
+ arch = "linux-x86_64";
+ sha256 = "26a9c2754cc36f7e417d5e74128e68dcff2c0ac882cbe1db30abfdcb9abd52d2";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/gn/firefox-125.0b3.tar.bz2";
+ locale = "gn";
+ arch = "linux-x86_64";
+ sha256 = "e7b190cbde265c7733cf9e6afd2d954755618fb8b8806658611a39ddcf681d75";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/gu-IN/firefox-125.0b3.tar.bz2";
+ locale = "gu-IN";
+ arch = "linux-x86_64";
+ sha256 = "8f1ac5182431fdf260b3907812e598610e3443eab1f5de9b766feb0d448d90b7";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/he/firefox-125.0b3.tar.bz2";
+ locale = "he";
+ arch = "linux-x86_64";
+ sha256 = "d0f76362f5b1f89ace520ef32c10ed590638a3ac4d3775f00ceab26713c921ac";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/hi-IN/firefox-125.0b3.tar.bz2";
+ locale = "hi-IN";
+ arch = "linux-x86_64";
+ sha256 = "f5741e9d4ebd7ca6b57f0c164616358609b3055b5d5e4036310782e63ee42dab";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/hr/firefox-125.0b3.tar.bz2";
+ locale = "hr";
+ arch = "linux-x86_64";
+ sha256 = "b312d68249110e6d06099ff23a52b24dc525e5ea53f1f1cc43f5f762c6a42a4f";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/hsb/firefox-125.0b3.tar.bz2";
+ locale = "hsb";
+ arch = "linux-x86_64";
+ sha256 = "a1f9dbd28c4e52b010cbcaea17039393f8e1266cf05c3a38853cd80aef3d1a22";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/hu/firefox-125.0b3.tar.bz2";
+ locale = "hu";
+ arch = "linux-x86_64";
+ sha256 = "2a871b7467a20308ac4e0dac617452ac976b046803a4954569db2e90dfb05fa0";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/hy-AM/firefox-125.0b3.tar.bz2";
+ locale = "hy-AM";
+ arch = "linux-x86_64";
+ sha256 = "ac67797a9c11a6035f4d3be85d431f6215c35ea82b516dcdc303e55f96c14688";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/ia/firefox-125.0b3.tar.bz2";
+ locale = "ia";
+ arch = "linux-x86_64";
+ sha256 = "7404c51e1b0849310fa99db80a384f4020078d0b75c674d323a8e52c7eff00eb";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/id/firefox-125.0b3.tar.bz2";
+ locale = "id";
+ arch = "linux-x86_64";
+ sha256 = "e43fbf31640d0373800fc976511933fc2277b3ccaeb9239752f4d2618d8ac3b8";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/is/firefox-125.0b3.tar.bz2";
+ locale = "is";
+ arch = "linux-x86_64";
+ sha256 = "5b10b85ed3a7a5edc5978a6f64b31e386a324912986cad895742cee648c2f32f";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/it/firefox-125.0b3.tar.bz2";
+ locale = "it";
+ arch = "linux-x86_64";
+ sha256 = "aaeb52a96b94645f50ecd04d7ff8ab4f4fd8fc9a5fad2cd60effb754c9ec75e0";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/ja/firefox-125.0b3.tar.bz2";
+ locale = "ja";
+ arch = "linux-x86_64";
+ sha256 = "5a9ea85a75dfbe9f3f98518496f1431e49a7cd3c86041ce4eac571972c2c3184";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/ka/firefox-125.0b3.tar.bz2";
+ locale = "ka";
+ arch = "linux-x86_64";
+ sha256 = "a2dd08fb72c57cdf850351257bac5b6295069a88fd5ec0a68a5ce1d29ed01699";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/kab/firefox-125.0b3.tar.bz2";
+ locale = "kab";
+ arch = "linux-x86_64";
+ sha256 = "552614ed2b8afeaf7390b8ddfba12732943b1d704d39ec53a66916533aa2572d";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/kk/firefox-125.0b3.tar.bz2";
+ locale = "kk";
+ arch = "linux-x86_64";
+ sha256 = "011d4547842c30c50be5b54dc09ddb60f39d7c00af8ea738595212caca805648";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/km/firefox-125.0b3.tar.bz2";
+ locale = "km";
+ arch = "linux-x86_64";
+ sha256 = "79e46800bd08859b132c1ec885ff88c47aaa687723f04b93fd4867b305815318";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/kn/firefox-125.0b3.tar.bz2";
+ locale = "kn";
+ arch = "linux-x86_64";
+ sha256 = "4bcc2dbfb78370c510bf462bb818e6fcb1b5d25d966d9f583dac467554ad4ae1";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/ko/firefox-125.0b3.tar.bz2";
+ locale = "ko";
+ arch = "linux-x86_64";
+ sha256 = "65f23deb4e13a4666c1b6a23e1e7575e60b79189d142058b2d47a4f47395712a";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/lij/firefox-125.0b3.tar.bz2";
+ locale = "lij";
+ arch = "linux-x86_64";
+ sha256 = "c8afe9a1d694af6bd1306b6ff78b014b97d8ee47289b106affc417ac680b150b";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/lt/firefox-125.0b3.tar.bz2";
+ locale = "lt";
+ arch = "linux-x86_64";
+ sha256 = "8ac2ff0822bca568b7cc0578455bbec1fd1e3de81f806deb0dfa244f297f4655";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/lv/firefox-125.0b3.tar.bz2";
+ locale = "lv";
+ arch = "linux-x86_64";
+ sha256 = "c6c1c89ce39eb8ada497c7be3fac96500b8dd16c81ec7bd1194d075f372f6eb6";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/mk/firefox-125.0b3.tar.bz2";
+ locale = "mk";
+ arch = "linux-x86_64";
+ sha256 = "afae60f7be3ba9757aeb1a5ce1f6aaef4d9762882c933c0557cb155f802c4f67";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/mr/firefox-125.0b3.tar.bz2";
+ locale = "mr";
+ arch = "linux-x86_64";
+ sha256 = "5526958478774d45d1c5ea4a5c59aaf6875a8c29a8fb78b35d6263acbeff57d0";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/ms/firefox-125.0b3.tar.bz2";
+ locale = "ms";
+ arch = "linux-x86_64";
+ sha256 = "59df945b1b6359777cc9acb0eb1f92160e96da5c5d6d41436417419f49a0a026";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/my/firefox-125.0b3.tar.bz2";
+ locale = "my";
+ arch = "linux-x86_64";
+ sha256 = "465d80c65f659e9460a824fb11093bbe8d2331dba1a0a741be7adb7824b78dbc";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/nb-NO/firefox-125.0b3.tar.bz2";
+ locale = "nb-NO";
+ arch = "linux-x86_64";
+ sha256 = "6e4aebc7f3a7800a7f18833faba7b94c10b91c8d1de569947c55c704b760e379";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/ne-NP/firefox-125.0b3.tar.bz2";
+ locale = "ne-NP";
+ arch = "linux-x86_64";
+ sha256 = "b57abf4880172c7a754895767355bef06fd67b8c43c976f73a0c5a88da06b366";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/nl/firefox-125.0b3.tar.bz2";
+ locale = "nl";
+ arch = "linux-x86_64";
+ sha256 = "12a559691aa5e578243aedaa8f9ae6efe1922161746e09241578b3e57b3c92d6";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/nn-NO/firefox-125.0b3.tar.bz2";
+ locale = "nn-NO";
+ arch = "linux-x86_64";
+ sha256 = "3488ecb164f0084ea148af187b0ce2ef433f7c4c6af1e3b4b4b2b4aa4c96c116";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/oc/firefox-125.0b3.tar.bz2";
+ locale = "oc";
+ arch = "linux-x86_64";
+ sha256 = "7e98088ed358034c5945cdfd65ccb021e94f138bfb3ce6d662835d126413302c";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/pa-IN/firefox-125.0b3.tar.bz2";
+ locale = "pa-IN";
+ arch = "linux-x86_64";
+ sha256 = "29808659f8ae11ca7977b1c0d7019c859fa1ecc0102624b85eb65fd34a28727a";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/pl/firefox-125.0b3.tar.bz2";
+ locale = "pl";
+ arch = "linux-x86_64";
+ sha256 = "b8c8a1811849ec1df95e2e5d1b292295609078537500d1eebcdeee7f240d3d60";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/pt-BR/firefox-125.0b3.tar.bz2";
+ locale = "pt-BR";
+ arch = "linux-x86_64";
+ sha256 = "7b0e8984f7326c7046957200b1d57e07ab097b6ac376a89de4347839178dd363";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/pt-PT/firefox-125.0b3.tar.bz2";
+ locale = "pt-PT";
+ arch = "linux-x86_64";
+ sha256 = "8500e5ca3ed22df202aa850ccf1ae651ef1c8201aead372e5372b980bf6bde92";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/rm/firefox-125.0b3.tar.bz2";
+ locale = "rm";
+ arch = "linux-x86_64";
+ sha256 = "54dd69a47953ee1d609a2657be7f9cd083faabc0d46717a35a15ed7f79b2ca20";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/ro/firefox-125.0b3.tar.bz2";
+ locale = "ro";
+ arch = "linux-x86_64";
+ sha256 = "0067c51c9b311249cad2bb864a3e5d0d9a1a7856255eb500e0bfc7856b41c458";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/ru/firefox-125.0b3.tar.bz2";
+ locale = "ru";
+ arch = "linux-x86_64";
+ sha256 = "e11fcf28a13192c54509748a141934a8c1683f6964a64feadf2105f9e3ec3420";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/sat/firefox-125.0b3.tar.bz2";
+ locale = "sat";
+ arch = "linux-x86_64";
+ sha256 = "c7254445cd9ece9f6d8058cfe6201475c7cb53e747b222f2b4a950b45e8ac110";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/sc/firefox-125.0b3.tar.bz2";
+ locale = "sc";
+ arch = "linux-x86_64";
+ sha256 = "7ddc4b66a133eec5e81bb592127219c9d7caf19dd4d16842442873a85d356079";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/sco/firefox-125.0b3.tar.bz2";
+ locale = "sco";
+ arch = "linux-x86_64";
+ sha256 = "f7014a6e6eda6153b90d692de4a3ac6c330082beb40f3ed210038e5a07fe3182";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/si/firefox-125.0b3.tar.bz2";
+ locale = "si";
+ arch = "linux-x86_64";
+ sha256 = "3c9f874af7be6103221a111788325183ee5785810c1a6682e43ce3c18d2f0151";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/sk/firefox-125.0b3.tar.bz2";
+ locale = "sk";
+ arch = "linux-x86_64";
+ sha256 = "1663bed4ae9646f7caafd769179a4c85990eae56da3e1f43b2b8b3e03dda9719";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/sl/firefox-125.0b3.tar.bz2";
+ locale = "sl";
+ arch = "linux-x86_64";
+ sha256 = "a48099677feb59be989f80515fc875d9aa8bb323cba8e58f9725e5d0ff89fe6e";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/son/firefox-125.0b3.tar.bz2";
+ locale = "son";
+ arch = "linux-x86_64";
+ sha256 = "55b9311cc2b65ef3463719fcb3cc4f9101aa06beca2b8a0ca9e9dcadd68a1614";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/sq/firefox-125.0b3.tar.bz2";
+ locale = "sq";
+ arch = "linux-x86_64";
+ sha256 = "284c7f017dbfd00106cc1deff5566a966f712235cfd2dcf6731d553cc55c9e2b";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/sr/firefox-125.0b3.tar.bz2";
+ locale = "sr";
+ arch = "linux-x86_64";
+ sha256 = "7394289954cc4463961a57886c0883d5459feb6e3962be37c49a6eac655852e1";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/sv-SE/firefox-125.0b3.tar.bz2";
+ locale = "sv-SE";
+ arch = "linux-x86_64";
+ sha256 = "9a9ada3492f9065ad67da25e720cd8795395e7dbcaec5cfb455c3f409ee400b1";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/szl/firefox-125.0b3.tar.bz2";
+ locale = "szl";
+ arch = "linux-x86_64";
+ sha256 = "8c7d314d1d1a2bff40342c5ea8986cdfb47b88d195c26346a46d622ba3110090";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/ta/firefox-125.0b3.tar.bz2";
+ locale = "ta";
+ arch = "linux-x86_64";
+ sha256 = "497e83f3216d44c0139b507eca1ce31d9abaaa8d382b79486cf0c2739b2cb020";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/te/firefox-125.0b3.tar.bz2";
+ locale = "te";
+ arch = "linux-x86_64";
+ sha256 = "245199bb94ee5a976b3d73fa0133304de7eb3cffff76ff193eb65a4c61c97293";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/tg/firefox-125.0b3.tar.bz2";
+ locale = "tg";
+ arch = "linux-x86_64";
+ sha256 = "fda439ad9200ce361d9bd2f449fb2bf4aa06cd043f9c74721145d175d954d4a2";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/th/firefox-125.0b3.tar.bz2";
+ locale = "th";
+ arch = "linux-x86_64";
+ sha256 = "5ec5f736205b166542a4447cf5573081fb8c485fd627f0c146969cd783ddc7f1";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/tl/firefox-125.0b3.tar.bz2";
+ locale = "tl";
+ arch = "linux-x86_64";
+ sha256 = "f42f6e8e6e766b785b4807e56cd9c8908e1b2c7c91c20a737929b323fefc433a";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/tr/firefox-125.0b3.tar.bz2";
+ locale = "tr";
+ arch = "linux-x86_64";
+ sha256 = "60b73c7195bfc185af02ddc50b3363fc2b00bcef0f14d4bad3472bb4ca4dc7ac";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/trs/firefox-125.0b3.tar.bz2";
+ locale = "trs";
+ arch = "linux-x86_64";
+ sha256 = "640f1d6f8bb3bc5451e4a0561740d8893c3f796b7ec1fe913c966543c8f36e33";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/uk/firefox-125.0b3.tar.bz2";
+ locale = "uk";
+ arch = "linux-x86_64";
+ sha256 = "9589e819977c0ebc554a84ce6204a4bf4d7e02dcfedd2ef992cc9d8c87702b7b";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/ur/firefox-125.0b3.tar.bz2";
+ locale = "ur";
+ arch = "linux-x86_64";
+ sha256 = "25a38c3b6f836816451c68cf906c42ad151cf6ee5c9734ff299724cf44204613";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/uz/firefox-125.0b3.tar.bz2";
+ locale = "uz";
+ arch = "linux-x86_64";
+ sha256 = "b66991d25713cb88780f3dc4f5d6ac7a4c3b10d166d4af078abd3155c600b06f";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/vi/firefox-125.0b3.tar.bz2";
+ locale = "vi";
+ arch = "linux-x86_64";
+ sha256 = "781ab31c3db628cea09cbf50eb2a7ff699c26996c7a12f9bf958ef16cb712397";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/xh/firefox-125.0b3.tar.bz2";
+ locale = "xh";
+ arch = "linux-x86_64";
+ sha256 = "a70de936d9bca557f18c396eb17404b452979bb9a698d7c5e06f4912e2b5f2e0";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/zh-CN/firefox-125.0b3.tar.bz2";
+ locale = "zh-CN";
+ arch = "linux-x86_64";
+ sha256 = "cef4f63d4283f794065de149da69c8ce525455e5f891593e27b8b8a7e9f9cefd";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-x86_64/zh-TW/firefox-125.0b3.tar.bz2";
+ locale = "zh-TW";
+ arch = "linux-x86_64";
+ sha256 = "418f3232ab5d0feb166d9a98ee3bd08b5324c04bb51a708819a489e4f0dabf78";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/ach/firefox-125.0b3.tar.bz2";
+ locale = "ach";
+ arch = "linux-i686";
+ sha256 = "6714cb9674b90dfc3e9dd605783c780adaa0f81319eb76e1d05ddb6b026e25fd";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/af/firefox-125.0b3.tar.bz2";
+ locale = "af";
+ arch = "linux-i686";
+ sha256 = "8e15387aeaeb199358a628b01351e3c40e34e55d25c58763e306dcce1f278cdf";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/an/firefox-125.0b3.tar.bz2";
+ locale = "an";
+ arch = "linux-i686";
+ sha256 = "a08265f6afcbd49ff0094559ee321d6a51d31fb0bf5300cb0ee648ac88e08934";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/ar/firefox-125.0b3.tar.bz2";
+ locale = "ar";
+ arch = "linux-i686";
+ sha256 = "9993ce75dd39b8bd78a58119d9877b74ac7aca888074b5d8982379d680df3588";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/ast/firefox-125.0b3.tar.bz2";
+ locale = "ast";
+ arch = "linux-i686";
+ sha256 = "7e12f9ca6a3d7842aadf19c8e3da5b26abdfecd4f3c1819f7cf136685b787214";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/az/firefox-125.0b3.tar.bz2";
+ locale = "az";
+ arch = "linux-i686";
+ sha256 = "5bc0c2a1c2bb32621887956702f8c328cbcafd1edc5710b505ac2a955ac77a09";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/be/firefox-125.0b3.tar.bz2";
+ locale = "be";
+ arch = "linux-i686";
+ sha256 = "1c60401600b2d1e8c38214e09bcecce111d54a2c096ca20f02df890fc927d1b1";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/bg/firefox-125.0b3.tar.bz2";
+ locale = "bg";
+ arch = "linux-i686";
+ sha256 = "c1cea06607026057315dbba842e5c91293e57684be67044a25f8e1282bdd79ce";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/bn/firefox-125.0b3.tar.bz2";
+ locale = "bn";
+ arch = "linux-i686";
+ sha256 = "a15b42fdacc8fab1f6f0c470e6529c0686fac7ff929225bcc38059f89d5b46a3";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/br/firefox-125.0b3.tar.bz2";
+ locale = "br";
+ arch = "linux-i686";
+ sha256 = "b297b22cddf43cbe24ef5eb8f152a485f230fcbe68efb6214f0b309a79649921";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/bs/firefox-125.0b3.tar.bz2";
+ locale = "bs";
+ arch = "linux-i686";
+ sha256 = "78918c208f573a2c1e93a0589903d7957660580854d811d1ed158d4ce59b5261";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/ca-valencia/firefox-125.0b3.tar.bz2";
+ locale = "ca-valencia";
+ arch = "linux-i686";
+ sha256 = "be4d7bab931c0259d22cf2691f3cfc54310ddc218bd8905241451eb076724c41";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/ca/firefox-125.0b3.tar.bz2";
+ locale = "ca";
+ arch = "linux-i686";
+ sha256 = "714db2607f8916ecf87847d354b8cedcccfa7924e84b0c1e0f2c51e61be8acf1";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/cak/firefox-125.0b3.tar.bz2";
+ locale = "cak";
+ arch = "linux-i686";
+ sha256 = "267eb8cb87fea31c40502902172a21c1019a8a21ca768bc1289280aae1a341a9";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/cs/firefox-125.0b3.tar.bz2";
+ locale = "cs";
+ arch = "linux-i686";
+ sha256 = "a539065db25271f18dba45e5540c801123d37bc083305227cd9dea80e380d09a";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/cy/firefox-125.0b3.tar.bz2";
+ locale = "cy";
+ arch = "linux-i686";
+ sha256 = "f2505a446017b5793c3d8b20b0894a50ad92f23b6d9706f6460bf9b08bd09c51";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/da/firefox-125.0b3.tar.bz2";
+ locale = "da";
+ arch = "linux-i686";
+ sha256 = "2b1df3484ed7eec80c4a1176189b050a092d2095bef517f5e5abb83fc8403344";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/de/firefox-125.0b3.tar.bz2";
+ locale = "de";
+ arch = "linux-i686";
+ sha256 = "3e6c3d4c66736c441ae129652ac9b5447c24dde5766421ccd830d6f7dd3e1ac2";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/dsb/firefox-125.0b3.tar.bz2";
+ locale = "dsb";
+ arch = "linux-i686";
+ sha256 = "d833376fcd5671e5b88a20cc81920e16c630896889911b37b41ad352eb8dd639";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/el/firefox-125.0b3.tar.bz2";
+ locale = "el";
+ arch = "linux-i686";
+ sha256 = "ff6dde262f3f10813a060de598284c3282085793dd4cf1fbdc91e866d97ff294";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/en-CA/firefox-125.0b3.tar.bz2";
+ locale = "en-CA";
+ arch = "linux-i686";
+ sha256 = "813fe098360c506ecd2b7747e537bcae15239aa8ac546bbe3638aa526c411f06";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/en-GB/firefox-125.0b3.tar.bz2";
+ locale = "en-GB";
+ arch = "linux-i686";
+ sha256 = "85b8fa35eb8cd79705472639add855a5a1eaefe84dc525011e8c9c41096b0443";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/en-US/firefox-125.0b3.tar.bz2";
+ locale = "en-US";
+ arch = "linux-i686";
+ sha256 = "9f2e7d1f11f281a4ce32105afdde53e15a51987b7c8f05013fa5cab013638d68";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/eo/firefox-125.0b3.tar.bz2";
+ locale = "eo";
+ arch = "linux-i686";
+ sha256 = "7710311bda94808e53d51c3bcae8b4386eb7c62c53fcd4da046288fa146c10b3";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/es-AR/firefox-125.0b3.tar.bz2";
+ locale = "es-AR";
+ arch = "linux-i686";
+ sha256 = "9de5e82e64ccf1976a6cdd605d8b6b7c42602fbb41084f64bbab4b1a2f27b34a";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/es-CL/firefox-125.0b3.tar.bz2";
+ locale = "es-CL";
+ arch = "linux-i686";
+ sha256 = "8dd94679171e8d16e5cfcb7d3fa88a95fb4787aa1b1c0e8894eca3c2a15e0beb";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/es-ES/firefox-125.0b3.tar.bz2";
+ locale = "es-ES";
+ arch = "linux-i686";
+ sha256 = "d6eb6f340cdc86a6fce6850e98267ea5c9e9ffc4ddbc6bb527c4ad2fda26ddd0";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/es-MX/firefox-125.0b3.tar.bz2";
+ locale = "es-MX";
+ arch = "linux-i686";
+ sha256 = "c85226374da9da6a45979a7abbdce62276bef6bdc62539297592819c68933755";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/et/firefox-125.0b3.tar.bz2";
+ locale = "et";
+ arch = "linux-i686";
+ sha256 = "cf7e10bdc36e2e51c1e38d9ab78f91ddd7150d2e90662afc005ca33518503706";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/eu/firefox-125.0b3.tar.bz2";
+ locale = "eu";
+ arch = "linux-i686";
+ sha256 = "3b7195d1ba2925f8174cc66ada8f53ecf3ce7249b3e6f4ce0b8f21e7ad90263d";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/fa/firefox-125.0b3.tar.bz2";
+ locale = "fa";
+ arch = "linux-i686";
+ sha256 = "ceb919a9ceaa64f45a57ec34a4f5f58ffe8724593dcf3c4f8d0769b3c631aaa3";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/ff/firefox-125.0b3.tar.bz2";
+ locale = "ff";
+ arch = "linux-i686";
+ sha256 = "52d59678181b65ca351fd63c7a513744a363689f45074d8a810e9a8ad59d9225";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/fi/firefox-125.0b3.tar.bz2";
+ locale = "fi";
+ arch = "linux-i686";
+ sha256 = "3d5a97a7fce6e92f8370741e0f6e2337b81510b62fb545abd7fdb24460e97550";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/fr/firefox-125.0b3.tar.bz2";
+ locale = "fr";
+ arch = "linux-i686";
+ sha256 = "8dcbca9879e1fda4c91eadb802a75ffcec0807878c1756e9795a23a39ddaf371";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/fur/firefox-125.0b3.tar.bz2";
+ locale = "fur";
+ arch = "linux-i686";
+ sha256 = "8ce6422b2910b3dd5486c4d66e8e66ed001ea1a327a0c37d25a48e49011ae350";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/fy-NL/firefox-125.0b3.tar.bz2";
+ locale = "fy-NL";
+ arch = "linux-i686";
+ sha256 = "131c2f88a90922364e8acb6f7507f939333a902ff6d4772a8d7a087492ba568d";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/ga-IE/firefox-125.0b3.tar.bz2";
+ locale = "ga-IE";
+ arch = "linux-i686";
+ sha256 = "6c867002318384a3e14bbb7c2020b89c466748f186b3661c79fa6d28d86110bc";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/gd/firefox-125.0b3.tar.bz2";
+ locale = "gd";
+ arch = "linux-i686";
+ sha256 = "7cc11fa23d684be0b46a9244635ce54ba090c1d91330d7e7c7648b00d445f1a2";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/gl/firefox-125.0b3.tar.bz2";
+ locale = "gl";
+ arch = "linux-i686";
+ sha256 = "a62c7b73defbd091097e8102941a5ae4154d6e499fba9480df783da59d7a7de3";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/gn/firefox-125.0b3.tar.bz2";
+ locale = "gn";
+ arch = "linux-i686";
+ sha256 = "aea955e54cddf7650b0f98fd459a835fcfb32ae747fff89df4ddee800033cc74";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/gu-IN/firefox-125.0b3.tar.bz2";
+ locale = "gu-IN";
+ arch = "linux-i686";
+ sha256 = "21c69957f3b934f4b686cf9dfa302288acafdf73d10d6b1c397026ac41953cee";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/he/firefox-125.0b3.tar.bz2";
+ locale = "he";
+ arch = "linux-i686";
+ sha256 = "f360225c9becd764fff98db0d81affd1783187fcea73f5fbf5ec5156c8f973f9";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/hi-IN/firefox-125.0b3.tar.bz2";
+ locale = "hi-IN";
+ arch = "linux-i686";
+ sha256 = "894b03e94b3c91e9ea93b2c7248fdc566f3ae5ffd19f9287e89eecf5734a2276";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/hr/firefox-125.0b3.tar.bz2";
+ locale = "hr";
+ arch = "linux-i686";
+ sha256 = "13e363b6b0b6506418ebaaa1629156ff6307949e25502e9696b8faa294f9917e";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/hsb/firefox-125.0b3.tar.bz2";
+ locale = "hsb";
+ arch = "linux-i686";
+ sha256 = "22d5674f5eb70c3a6caa7379b689cb1a1faa4039f2e4db6e0dad3a68bec91b45";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/hu/firefox-125.0b3.tar.bz2";
+ locale = "hu";
+ arch = "linux-i686";
+ sha256 = "11c1917b02034478901251890bb43a561f40262f2363dc73787b500a7d50e1ce";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/hy-AM/firefox-125.0b3.tar.bz2";
+ locale = "hy-AM";
+ arch = "linux-i686";
+ sha256 = "f231b52f759689f74fddde815f5bc7a44af4fca06179f4c23a6252436262239d";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/ia/firefox-125.0b3.tar.bz2";
+ locale = "ia";
+ arch = "linux-i686";
+ sha256 = "b5df62632efaffdbba5afd0319c945df1e194472d9f06dcab1f1937c01fe5a01";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/id/firefox-125.0b3.tar.bz2";
+ locale = "id";
+ arch = "linux-i686";
+ sha256 = "74d936926244d3f03603f1b09677adb084f84900d011a6a97371f854348b7ef0";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/is/firefox-125.0b3.tar.bz2";
+ locale = "is";
+ arch = "linux-i686";
+ sha256 = "a972076dade79174845958bf4552aa1b215a0a67e025f1e699cc3fd12f763e66";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/it/firefox-125.0b3.tar.bz2";
+ locale = "it";
+ arch = "linux-i686";
+ sha256 = "7fcdf37d52780d9c1f014eb92e39409f23aca3f72ee78d0df99a0141665be7c3";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/ja/firefox-125.0b3.tar.bz2";
+ locale = "ja";
+ arch = "linux-i686";
+ sha256 = "c19ab21acedb1cc882159ae8ed6a0c20fdf0ac07bb618d77d65addb629a6b971";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/ka/firefox-125.0b3.tar.bz2";
+ locale = "ka";
+ arch = "linux-i686";
+ sha256 = "9da3e50153cebabfdcdfe6e086b7adb36482d6fe09f9cfb2760f2149ad5f3012";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/kab/firefox-125.0b3.tar.bz2";
+ locale = "kab";
+ arch = "linux-i686";
+ sha256 = "3536bdb2952cf5506f2fe6414d907cb268edd0615e69195dab4588db74c56335";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/kk/firefox-125.0b3.tar.bz2";
+ locale = "kk";
+ arch = "linux-i686";
+ sha256 = "6f302ad5022d94da85e423b7874f587e5f7c068f568ad8cdaa7a7b47817705fe";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/km/firefox-125.0b3.tar.bz2";
+ locale = "km";
+ arch = "linux-i686";
+ sha256 = "811430e35cdd001640cf2b1cc68f6f42d41ae571c98e20e28bf977b2b6eef909";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/kn/firefox-125.0b3.tar.bz2";
+ locale = "kn";
+ arch = "linux-i686";
+ sha256 = "0de47d50e50cb4a5979deddf871361ee7e9adfd79599a602c76edf76241d23bf";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/ko/firefox-125.0b3.tar.bz2";
+ locale = "ko";
+ arch = "linux-i686";
+ sha256 = "d472e88ef2c6d2f4ba25a1a73026c9fdf1ef594f49a7635ee86dea931a340572";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/lij/firefox-125.0b3.tar.bz2";
+ locale = "lij";
+ arch = "linux-i686";
+ sha256 = "f2434b54653559cfc17932d6fe08fbc7a6e2183f78b4422281408014f966d7bb";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/lt/firefox-125.0b3.tar.bz2";
+ locale = "lt";
+ arch = "linux-i686";
+ sha256 = "ecb756b42c5104c9e71bf6c9a8b58a4fdc4bfa32e557f9725893927937ae0be3";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/lv/firefox-125.0b3.tar.bz2";
+ locale = "lv";
+ arch = "linux-i686";
+ sha256 = "f794fee3df46b154d7707504d7c0fb577d68218775dd8e38bd4c2fb9b286eef1";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/mk/firefox-125.0b3.tar.bz2";
+ locale = "mk";
+ arch = "linux-i686";
+ sha256 = "23304ef47f7cfcef4d7fca52385497d5df174a7d73339bab646330e81fd43794";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/mr/firefox-125.0b3.tar.bz2";
+ locale = "mr";
+ arch = "linux-i686";
+ sha256 = "beb32cba027a126b752cc1ce2c9813eb99dcf9dd63345258cf7cd2f93033e7fd";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/ms/firefox-125.0b3.tar.bz2";
+ locale = "ms";
+ arch = "linux-i686";
+ sha256 = "04e5ca1b15ef78c4e55e4620892dd0674d6933cdbd9c48ccaf521287390bc8ce";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/my/firefox-125.0b3.tar.bz2";
+ locale = "my";
+ arch = "linux-i686";
+ sha256 = "c258311ad0088bd8a07da39e46f8d6e91cc68b9c1e74bea6a44ab783ba1e10ce";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/nb-NO/firefox-125.0b3.tar.bz2";
+ locale = "nb-NO";
+ arch = "linux-i686";
+ sha256 = "38c9ef3b0299cbde42c3ed200979b80aaea92a102b060ad9713986067b4db2c0";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/ne-NP/firefox-125.0b3.tar.bz2";
+ locale = "ne-NP";
+ arch = "linux-i686";
+ sha256 = "a2f3bec65e11ffc04a3be15c06e95fcca05ec3b029380b738c4fa28498b5aa9a";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/nl/firefox-125.0b3.tar.bz2";
+ locale = "nl";
+ arch = "linux-i686";
+ sha256 = "8d7034d31c094653dacff4d17ca98990088ffea0b2ee88559638da9de1ac761e";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/nn-NO/firefox-125.0b3.tar.bz2";
+ locale = "nn-NO";
+ arch = "linux-i686";
+ sha256 = "5a28a067d6acc3a60c4e64f017afa9c6281544a3cc03447299470f7695b1390b";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/oc/firefox-125.0b3.tar.bz2";
+ locale = "oc";
+ arch = "linux-i686";
+ sha256 = "d3e22b27b21137d86b58670d6df486db9f45e7bdd3dfb40fdb673b0f11a8414b";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/pa-IN/firefox-125.0b3.tar.bz2";
+ locale = "pa-IN";
+ arch = "linux-i686";
+ sha256 = "0fde3869466c487f79b9d88b0b3940e9c6369ac079b82a3b042e50045c4a6bde";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/pl/firefox-125.0b3.tar.bz2";
+ locale = "pl";
+ arch = "linux-i686";
+ sha256 = "d78977050b2bd7f6f4d9af8f4fb0b4fa3fc1d4ff8bd2929044e22d43e17caa5a";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/pt-BR/firefox-125.0b3.tar.bz2";
+ locale = "pt-BR";
+ arch = "linux-i686";
+ sha256 = "6cdcf2c18f4eb538a764decccc94df421ba23df75e8bbdb5ac2cbdeb47c3aea0";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/pt-PT/firefox-125.0b3.tar.bz2";
+ locale = "pt-PT";
+ arch = "linux-i686";
+ sha256 = "74b2e5ebec3e3c385616555f9e0ae6be5dee74f12f58bd69e595adfa7ac87857";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/rm/firefox-125.0b3.tar.bz2";
+ locale = "rm";
+ arch = "linux-i686";
+ sha256 = "e3d5269f2a73b98d7ec80a2126ec410ddcdaf79c8074be3b3b7341371ee0a254";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/ro/firefox-125.0b3.tar.bz2";
+ locale = "ro";
+ arch = "linux-i686";
+ sha256 = "96baad86f7a9b6024a03a84d050a806d2d1f25549d1c23155da9d00d1f59ee03";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/ru/firefox-125.0b3.tar.bz2";
+ locale = "ru";
+ arch = "linux-i686";
+ sha256 = "2ed0333b47c491f32a945b0a72a5033118220719c47f75430bccb1c5ed9405a9";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/sat/firefox-125.0b3.tar.bz2";
+ locale = "sat";
+ arch = "linux-i686";
+ sha256 = "724e95f2dd44c9c02643f214aa41610e5a407d8dbde131ab6967930ae5267c55";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/sc/firefox-125.0b3.tar.bz2";
+ locale = "sc";
+ arch = "linux-i686";
+ sha256 = "15058d68db7eec89676bd5d022329e413b835c862431bba58fca036ecdabddc4";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/sco/firefox-125.0b3.tar.bz2";
+ locale = "sco";
+ arch = "linux-i686";
+ sha256 = "64b38d1c78b2f972064d0e925a5ca8015c071f26669243c1388550fc62e4a512";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/si/firefox-125.0b3.tar.bz2";
+ locale = "si";
+ arch = "linux-i686";
+ sha256 = "22ec9ecfdc99d65537d5d747777db609142e6dcf11f4963a432074fd0cc8b3ff";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/sk/firefox-125.0b3.tar.bz2";
+ locale = "sk";
+ arch = "linux-i686";
+ sha256 = "ed77fdb9e7ddff80e7040ec7706b494f5289a7588779eff014be71b76ffdee58";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/sl/firefox-125.0b3.tar.bz2";
+ locale = "sl";
+ arch = "linux-i686";
+ sha256 = "528190c7f6bcbadf46b3bd75ea73fe3bfc5a3a302a3b40d7c47d437f03cd5ef6";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/son/firefox-125.0b3.tar.bz2";
+ locale = "son";
+ arch = "linux-i686";
+ sha256 = "7d2354354273ee8cf0d41082fd3209c8b655f9f5a785a5e255f10eedb53957b8";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/sq/firefox-125.0b3.tar.bz2";
+ locale = "sq";
+ arch = "linux-i686";
+ sha256 = "072b989e179f2dfe9a5a8fb2ee91dd974046a549af3cd2cbbea360c554a41e5b";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/sr/firefox-125.0b3.tar.bz2";
+ locale = "sr";
+ arch = "linux-i686";
+ sha256 = "f9b07a4b48ea10d17cea8554470f33eef851fdaec692ddad37216f77bd7f4e1b";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/sv-SE/firefox-125.0b3.tar.bz2";
+ locale = "sv-SE";
+ arch = "linux-i686";
+ sha256 = "2f75c5a62938a82b618c4c78e46ecc1d18d2afd61a2f0a130901e84c97c836e2";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/szl/firefox-125.0b3.tar.bz2";
+ locale = "szl";
+ arch = "linux-i686";
+ sha256 = "02aa521fffc111ea4e0ebbef613d62e6dfa78a8197059dbcaeaeb847f98e155e";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/ta/firefox-125.0b3.tar.bz2";
+ locale = "ta";
+ arch = "linux-i686";
+ sha256 = "67cd1869bfe82bc84e6e68183d1e7105ffd7c22ef1d1660e52a06e4e64d20ba1";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/te/firefox-125.0b3.tar.bz2";
+ locale = "te";
+ arch = "linux-i686";
+ sha256 = "7f02501d196c8d6b552f488100f066e68e74ef6d5b397afea8fbe363fe0b372d";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/tg/firefox-125.0b3.tar.bz2";
+ locale = "tg";
+ arch = "linux-i686";
+ sha256 = "009bd480d267d4c17900f5eecfea9cd574cdd5a37254911d4b1ab5808deabbe9";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/th/firefox-125.0b3.tar.bz2";
+ locale = "th";
+ arch = "linux-i686";
+ sha256 = "e155b423efcc0497abe5c9b7c6c7bf30ce11e86bbbbe239dfc032825433a3522";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/tl/firefox-125.0b3.tar.bz2";
+ locale = "tl";
+ arch = "linux-i686";
+ sha256 = "cc9508684d642080f9f9d57306447d92cb1be0c6c506d18ca9233b3fd18a0058";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/tr/firefox-125.0b3.tar.bz2";
+ locale = "tr";
+ arch = "linux-i686";
+ sha256 = "151b14773653844df91952492caca2ecdb3c29cdfec28bb58d6e8b793557f034";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/trs/firefox-125.0b3.tar.bz2";
+ locale = "trs";
+ arch = "linux-i686";
+ sha256 = "3499236ac8738ebc23103061b6cca874877f0fa00b3c787c33167ebb0d522d10";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/uk/firefox-125.0b3.tar.bz2";
+ locale = "uk";
+ arch = "linux-i686";
+ sha256 = "fbec6749db43fa6eac93af72bc2ab7a018b91548b7865575f5ddd94e30c530f6";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/ur/firefox-125.0b3.tar.bz2";
+ locale = "ur";
+ arch = "linux-i686";
+ sha256 = "ce1103ce73278012f0ca3ffabfcc9de143e9b053019b7dc7161d884dec22944c";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/uz/firefox-125.0b3.tar.bz2";
+ locale = "uz";
+ arch = "linux-i686";
+ sha256 = "d1f0177e72308cda889ecaa58d4d69663fdf147c024577fb425e64c172670dd0";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/vi/firefox-125.0b3.tar.bz2";
+ locale = "vi";
+ arch = "linux-i686";
+ sha256 = "09d01255a2d2a98ef48f89966696fc062f28acd319235a38401882d05ebce432";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/xh/firefox-125.0b3.tar.bz2";
+ locale = "xh";
+ arch = "linux-i686";
+ sha256 = "e4140d3532f4b709e4158a893698c8c217bdd9ed0153ae7f1030490bffccaa4c";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/zh-CN/firefox-125.0b3.tar.bz2";
+ locale = "zh-CN";
+ arch = "linux-i686";
+ sha256 = "528de6f25fe06f551a20365a0baef55595011073bae21014d6b6f6061e55e04f";
+ }
+ { url = "https://archive.mozilla.org/pub/devedition/releases/125.0b3/linux-i686/zh-TW/firefox-125.0b3.tar.bz2";
+ locale = "zh-TW";
+ arch = "linux-i686";
+ sha256 = "2e67545c9dfa9f22965650f63e6ed2d6c8e520e0b3492edbb4d2810e1f26a49c";
+ }
+ ];
+}
diff --git a/pkgs/applications/networking/browsers/firefox-bin/release_sources.nix b/pkgs/applications/networking/browsers/firefox-bin/release_sources.nix
index 2b1b4948ae3e..5790d2185a07 100644
--- a/pkgs/applications/networking/browsers/firefox-bin/release_sources.nix
+++ b/pkgs/applications/networking/browsers/firefox-bin/release_sources.nix
@@ -1,1025 +1,1025 @@
{
- version = "122.0.1";
+ version = "124.0.1";
sources = [
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/ach/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/ach/firefox-124.0.1.tar.bz2";
locale = "ach";
arch = "linux-x86_64";
- sha256 = "87d2fb3c8ab5b05dbaafabadde9e56abecb3897d331186cdaf6fb1fe27cb362b";
+ sha256 = "f3cf06a01249619b589902d80958597fcf34b204fa44d0b28f7dfd1e483661ba";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/af/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/af/firefox-124.0.1.tar.bz2";
locale = "af";
arch = "linux-x86_64";
- sha256 = "8f478df085d0312e7fecf76057b3e006c3c1297bcb620957edac97084bc87466";
+ sha256 = "9d6f8eee7cb6973f786f75b0fa353ed0a05b9a11d9a5341b59af625ac32ff570";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/an/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/an/firefox-124.0.1.tar.bz2";
locale = "an";
arch = "linux-x86_64";
- sha256 = "310341a1d14cc978d855e30abac4af29538e70afc90f9f50510597de6864b570";
+ sha256 = "f65856aec3e2976e71f82e466b785b947d783052b5fd1e5e69f0c397af9a8dd3";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/ar/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/ar/firefox-124.0.1.tar.bz2";
locale = "ar";
arch = "linux-x86_64";
- sha256 = "054475b5c77c452062d89c37617fc0f6cffdf8786ee149bebabb55a2348348f0";
+ sha256 = "2f1d3bbe747686a17b3165e04b27ff2baa75ec8744ea185c93e7989b717117e7";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/ast/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/ast/firefox-124.0.1.tar.bz2";
locale = "ast";
arch = "linux-x86_64";
- sha256 = "c8a6ba8934b1b9161c68749d6585ebdb3c561fe8c0e5c3abb91862c963e4319e";
+ sha256 = "6e9cc69720b7c839f5588371fb76d27b36230492a2018d039870f37c37e9cd4a";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/az/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/az/firefox-124.0.1.tar.bz2";
locale = "az";
arch = "linux-x86_64";
- sha256 = "9bbd6a72a86910c51b99b82521bd3af8b045b470079349f6491369aed2c2c6c5";
+ sha256 = "3dc89171eacbe159458be72dcbe5f407ad50ff9449d555cdbdf2cdceebb4d2d5";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/be/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/be/firefox-124.0.1.tar.bz2";
locale = "be";
arch = "linux-x86_64";
- sha256 = "3a1fb23201159ac602c7441b9003a128c1c42f82564285739ddffe911cfaebf5";
+ sha256 = "3e2f581088dd06e81e22e4c950c3f2b9a3a7e209f169c6c7b6ea9274c4c8d58b";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/bg/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/bg/firefox-124.0.1.tar.bz2";
locale = "bg";
arch = "linux-x86_64";
- sha256 = "fe7a0de5955d5d8d7f07550a2285110f4efc4a8dcc42a3a4454d3f5e4b535b7f";
+ sha256 = "11fea5e2314bec874cb30c81678d37f05a48dc69a2184fca57e4c643d3e40dde";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/bn/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/bn/firefox-124.0.1.tar.bz2";
locale = "bn";
arch = "linux-x86_64";
- sha256 = "6c9710e8addf0476ce6848136eb3ef4e95c65ac2e31c1f5894e427ed670a2bf1";
+ sha256 = "5b927efcca6ab98b9a948a5bbf2910a24017757b212827e50d93ddc2946f19a8";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/br/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/br/firefox-124.0.1.tar.bz2";
locale = "br";
arch = "linux-x86_64";
- sha256 = "b5527d9fde97249e45d1a8b3803c1afbb7afd233ded8a2782c0784176c964411";
+ sha256 = "5230ecc73d47a2694dfdb74c3266735299ad882f47bdbd791adc1e21e7ff00e3";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/bs/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/bs/firefox-124.0.1.tar.bz2";
locale = "bs";
arch = "linux-x86_64";
- sha256 = "9120cfe7387e4d9e7326aa8528c412195c5dc71eb28f38547d06b50ae3b3ea72";
+ sha256 = "d023afe13923f4004e399fe8222724137af281ebfbd0a8b38daf4dde3c65aea8";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/ca-valencia/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/ca-valencia/firefox-124.0.1.tar.bz2";
locale = "ca-valencia";
arch = "linux-x86_64";
- sha256 = "ad08b8988eed1f8e863f38489425a3c22baf5592d30a9a3aef483e5e79cbeb6e";
+ sha256 = "f64bfd62fc11046f44e9aedb3126f7d4d4bf1be8e45bd0d2309d5a368b820ac9";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/ca/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/ca/firefox-124.0.1.tar.bz2";
locale = "ca";
arch = "linux-x86_64";
- sha256 = "b3e83eec3ee87adbce9d71454fc5d724b978d2be8547e4290586583f792fe943";
+ sha256 = "979534b9f4ef30f99f1b0f9667bc562dc11190a63ce943781cf2262e05c89672";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/cak/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/cak/firefox-124.0.1.tar.bz2";
locale = "cak";
arch = "linux-x86_64";
- sha256 = "e533367b100edec15744200bab031d4ea209950022cbead53182b5848ecc962f";
+ sha256 = "0956c85721673e7ea41eaff753aab77c6de7e4cbfee77d58d33258f6e79a494e";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/cs/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/cs/firefox-124.0.1.tar.bz2";
locale = "cs";
arch = "linux-x86_64";
- sha256 = "9170ab278d48571e17ac97d26f4b92cee13d6a7bebe96dd2f2b41934bba6d6a2";
+ sha256 = "15947e251c30871dce97d79462508de992c4f1811e10dd7dab518d3ad66833ba";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/cy/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/cy/firefox-124.0.1.tar.bz2";
locale = "cy";
arch = "linux-x86_64";
- sha256 = "a17f5c48be514e815a4f590f23dac58719dfaaa4cfd422406bfe349ee77cb105";
+ sha256 = "90224203e39e5ca8bde25aa5dc42b955e3eb966f7f363f0005cb3f05b1732623";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/da/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/da/firefox-124.0.1.tar.bz2";
locale = "da";
arch = "linux-x86_64";
- sha256 = "bda93ef58e555bdd42bde9e06441109ec756964de5e28e64eda09f27de8af736";
+ sha256 = "8743e9c45acc0db1fbe074519e28871fde0a347b330188cc127c7dd87a5b9d19";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/de/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/de/firefox-124.0.1.tar.bz2";
locale = "de";
arch = "linux-x86_64";
- sha256 = "9922a6f2773c57e20348f3fe7c3992a4f4940ecc7eb9b1dd3465a9ec91095083";
+ sha256 = "378eedebf7ae3f0f9e3e1d6562e3923f8068e6f38a56a7da61e9e74a138cf047";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/dsb/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/dsb/firefox-124.0.1.tar.bz2";
locale = "dsb";
arch = "linux-x86_64";
- sha256 = "f05a2d8fa31ae73d40e95171902fe231091043e23ebc6765a2e1817c2cee150b";
+ sha256 = "703f6fdcdec2258c36310eb4ed3989c408d1cb4666128b57aabe64ca77e6c4ca";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/el/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/el/firefox-124.0.1.tar.bz2";
locale = "el";
arch = "linux-x86_64";
- sha256 = "d54bb7bfe7d0b4419429ac94dc3de14a302b44815d4e421a07850e97bce0544c";
+ sha256 = "e1bdb27a824f1dac9414c0f5b1c67046bbe474147b4193fa3170b763155015ee";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/en-CA/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/en-CA/firefox-124.0.1.tar.bz2";
locale = "en-CA";
arch = "linux-x86_64";
- sha256 = "90bf34f39f1994d95620da4dc9ab7a9c158058f7fd4f8513b98faeac4e492270";
+ sha256 = "6bfcbf8e39267dd5b8004ab6e32409d86f6fe86561204b51f415fb6d1544699e";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/en-GB/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/en-GB/firefox-124.0.1.tar.bz2";
locale = "en-GB";
arch = "linux-x86_64";
- sha256 = "ba7e41efadd6c562a82007934d3bb8d7fb0e144e57c427973d02b2ded9df6a04";
+ sha256 = "f87bd6e91ef6b9099e7a88e65c64079c91d20946528339a82345a72964a23714";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/en-US/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/en-US/firefox-124.0.1.tar.bz2";
locale = "en-US";
arch = "linux-x86_64";
- sha256 = "1c502c15f71bb729e6506667c32de525849d6571f4a3a21e5b02fc08312b20e7";
+ sha256 = "b419cb0a10f6f601b1066d75f57b10e378f56b961be8c9dc1c7f73b869ecf82d";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/eo/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/eo/firefox-124.0.1.tar.bz2";
locale = "eo";
arch = "linux-x86_64";
- sha256 = "ab5afc9cff7bcac9e85dbf2b09f4b3ad53bdc89e979490d30b055eed21736cba";
+ sha256 = "e047927587f98168bd81e5daf6fab8237d938d03986bc2ed30f805c7f0aa0839";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/es-AR/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/es-AR/firefox-124.0.1.tar.bz2";
locale = "es-AR";
arch = "linux-x86_64";
- sha256 = "7675c6a57e611488d0261eebd459e92964f89e82089935857b6988ba9a7c9cc3";
+ sha256 = "9ad097b7a11161d92d9bf352cfb6220acb714a259182316ea05c57f27618e1fa";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/es-CL/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/es-CL/firefox-124.0.1.tar.bz2";
locale = "es-CL";
arch = "linux-x86_64";
- sha256 = "a05422ec2138dc17caa34df9b62e39034c3acd34ee80f9933a469a1729629900";
+ sha256 = "08187529717acd6f13441ac45102276189cd9eae732e0f51a8cdc9b0f00dd664";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/es-ES/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/es-ES/firefox-124.0.1.tar.bz2";
locale = "es-ES";
arch = "linux-x86_64";
- sha256 = "755c91a99272198b9eacfc6e3bbc25779cad7023de2c20f8c7668894f0402c00";
+ sha256 = "6296de65f13e6e7d5e2112e685d15a82e4704b0723877abc0cc885ec315d7de7";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/es-MX/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/es-MX/firefox-124.0.1.tar.bz2";
locale = "es-MX";
arch = "linux-x86_64";
- sha256 = "3c879c3a04dfc3af235963fb48f822383e3f35132c4d4aad7d498de12314a039";
+ sha256 = "301af81702beea052bded44dbda27df0d2f552b388769f63397401ea218ef8a9";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/et/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/et/firefox-124.0.1.tar.bz2";
locale = "et";
arch = "linux-x86_64";
- sha256 = "2051ddebd23a9472bd00ddbd17284d1a54c5f4fed5aac551a8bb3a7c00219407";
+ sha256 = "5a658938a52d4ddbeccbdf79ef4275e7155d8c0236dbb61e3f7f055edaa6a00c";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/eu/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/eu/firefox-124.0.1.tar.bz2";
locale = "eu";
arch = "linux-x86_64";
- sha256 = "741782b9c5148b7c75cad4af2bd09494dc13a27c314d2aa597121485b80f5b92";
+ sha256 = "9400df8c445819089a6ddfe3df2f943eb13101e17eb91fbf122fcd7aa1959ad2";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/fa/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/fa/firefox-124.0.1.tar.bz2";
locale = "fa";
arch = "linux-x86_64";
- sha256 = "06da8e5ae2e43a1f288c6c9c600c6b4756e47cff9e8f7289c5fd3b7fa905f698";
+ sha256 = "8da3b22865e33cd9c29a9128f5974b64c4a5252d22f126764a208cf3724042ff";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/ff/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/ff/firefox-124.0.1.tar.bz2";
locale = "ff";
arch = "linux-x86_64";
- sha256 = "9590a1c0d162b261ff95f6d9091bf01596945b4ab805620ba142635490c592b7";
+ sha256 = "96ab917494770020c315d432f22775a454ca687df83b4ad5977a5171485016a5";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/fi/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/fi/firefox-124.0.1.tar.bz2";
locale = "fi";
arch = "linux-x86_64";
- sha256 = "1c658c356e27da1258c6c0ab9fbc14b60e606079ad6efad5c1f98f900bb40689";
+ sha256 = "3bf62c684405bea056ed3b73445821414665b831c53947c7de77e6b10622473a";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/fr/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/fr/firefox-124.0.1.tar.bz2";
locale = "fr";
arch = "linux-x86_64";
- sha256 = "bfde4cb740bdd5db0e76a96bd6bf8fe51e2c0e27d01a617d3156231ad044f9f4";
+ sha256 = "86788faeeb15494d930513a6e176ae29aed559d882b9923ade69b57cd91acb84";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/fur/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/fur/firefox-124.0.1.tar.bz2";
locale = "fur";
arch = "linux-x86_64";
- sha256 = "9d5e9438572e029e7686ad037a68bb9df6818e4277bcdf7c26b80658b7d48733";
+ sha256 = "caa352ab582f4ee21caeee6f38df93687a646b0ef89a377cb73fa6e08a44eecf";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/fy-NL/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/fy-NL/firefox-124.0.1.tar.bz2";
locale = "fy-NL";
arch = "linux-x86_64";
- sha256 = "8c33e40731d948a80500562ef8734e8637c94a7e3f46960622cf5ac993ac7188";
+ sha256 = "b2190bec369eac8b10dadf4206b704d75d1460184f2b6ffcd200696c938f9170";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/ga-IE/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/ga-IE/firefox-124.0.1.tar.bz2";
locale = "ga-IE";
arch = "linux-x86_64";
- sha256 = "82423f4bea377e33145a3a3924313fda11bded9bf20f3ebca16e945bb0f0d295";
+ sha256 = "325df07e29c3ea9ad4c29aa9fe8e31116f1262bc38d68dc3892e47534c72ce37";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/gd/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/gd/firefox-124.0.1.tar.bz2";
locale = "gd";
arch = "linux-x86_64";
- sha256 = "ffcc242c45924a48506d5bc1946981068fd9f44919d85badeb8164dc827f9bef";
+ sha256 = "b542ce27357cf01eb9ed1cc4e0f1ba2803d3632d0957b9e1e4cbfab398762063";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/gl/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/gl/firefox-124.0.1.tar.bz2";
locale = "gl";
arch = "linux-x86_64";
- sha256 = "a52969ff57c55e3ff699830b057b28a4385f7445cdedac7d5a40676bc12b588e";
+ sha256 = "fbf0611d592bebed40becca14bfcac6fa9a81ca70ec6aab6cd6115cbd677ac5d";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/gn/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/gn/firefox-124.0.1.tar.bz2";
locale = "gn";
arch = "linux-x86_64";
- sha256 = "f88bebb932b86954aa653acb0ad41b94eecf6f7e175248aa1d00ea2590bd35e9";
+ sha256 = "db6c303831341c50dc7ca02056aa7ce33c216ce43f4f5f3c007bae008a80d40c";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/gu-IN/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/gu-IN/firefox-124.0.1.tar.bz2";
locale = "gu-IN";
arch = "linux-x86_64";
- sha256 = "f887c108bb15eef8eedc36e072fe722acdd40d679d9047a3baaa376233371f34";
+ sha256 = "22944270dc71bb6f2fce3097013673c6c7605f1ea268addd03e3fff26b8b1640";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/he/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/he/firefox-124.0.1.tar.bz2";
locale = "he";
arch = "linux-x86_64";
- sha256 = "420af3bd36a698d513d3505e06e8fcfc966281d25f92c22482e680e3ace16cb5";
+ sha256 = "9bd51e9bd330ae82de70878d9daded75cba723aec24394e12ef5310a00dbb802";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/hi-IN/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/hi-IN/firefox-124.0.1.tar.bz2";
locale = "hi-IN";
arch = "linux-x86_64";
- sha256 = "ef5fa866483a47a8f6653071d13e98a2bb2c0b393b91ba65bfb8cea63c4ad3b7";
+ sha256 = "a94825e082b02f4f6f66601175994621bf757f840d78074abb144044ff96a0de";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/hr/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/hr/firefox-124.0.1.tar.bz2";
locale = "hr";
arch = "linux-x86_64";
- sha256 = "e3a148bb810559956fc864d8676707e079698a8047d80faf8a49b709f7a82ca2";
+ sha256 = "f35f8733becade601bf0145cf59ac881e5bae7f2b27c9fd15b7270ce170da7cf";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/hsb/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/hsb/firefox-124.0.1.tar.bz2";
locale = "hsb";
arch = "linux-x86_64";
- sha256 = "efd884097d7f1691adf4c71f76fc2d583312e3f2a604701ba9c7f3849f7bbe95";
+ sha256 = "c03896e5761308c72d6db811beda9432ad5ae69d1467282272d75817cb37e83c";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/hu/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/hu/firefox-124.0.1.tar.bz2";
locale = "hu";
arch = "linux-x86_64";
- sha256 = "14ae9e0897ee1845f170377b2cd38129a98e28ce41124b7889be4deb1311c61c";
+ sha256 = "2557f4c4960d919148eb8ae4f33de5cf3088c81a560d6180f6bcdb3c8f91f0fb";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/hy-AM/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/hy-AM/firefox-124.0.1.tar.bz2";
locale = "hy-AM";
arch = "linux-x86_64";
- sha256 = "8256c6ad0ad1f3380aaabb83683b906f0cd2b0b058a2746a9a8d9cca095a1b04";
+ sha256 = "8968d6522f9ed6f7dc2ac4420fa7922777de1374b0e5d7829253a2b0a2662505";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/ia/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/ia/firefox-124.0.1.tar.bz2";
locale = "ia";
arch = "linux-x86_64";
- sha256 = "8625909890df1bc6e8e88df8cc889ac6f05a548d96617a175bc9aeb97be85c30";
+ sha256 = "c24c2ef713be3c4e6fc410b98f2164fcd89c3c265a5b2c374de6271edeed3994";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/id/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/id/firefox-124.0.1.tar.bz2";
locale = "id";
arch = "linux-x86_64";
- sha256 = "5b137a307ce81c637e4b26bbde5abbdc1a6ac483bf6ec5c28473f4e9fac2d9af";
+ sha256 = "59e6d5ea01b982f1322b5b156d3b89c43bf742f34b3a9503018b3cc2c6528b2c";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/is/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/is/firefox-124.0.1.tar.bz2";
locale = "is";
arch = "linux-x86_64";
- sha256 = "b17e1f2e1386a25ddbc4c956b8cdf1452a1b26b963f97a422702388b4195558d";
+ sha256 = "3668ba9e603b677b3dd515d0f9c6827643a880c99bb434579fc46a8a5fa82203";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/it/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/it/firefox-124.0.1.tar.bz2";
locale = "it";
arch = "linux-x86_64";
- sha256 = "40697742feddd0cae8592f9bd1dee30e24d4e41684e645d4ae890ad1743312f9";
+ sha256 = "4a481f75aa5c0ba129fa478ca6238f9b0d9ecce8fb1b77c068723b708b509966";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/ja/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/ja/firefox-124.0.1.tar.bz2";
locale = "ja";
arch = "linux-x86_64";
- sha256 = "6a04035aebed5649fb962aaea113db85c6284319ca18c741d4ce67576c452d13";
+ sha256 = "c00063d5caaec78e66215c3b888d3aa37e890d54d731d7e4369c14f254973ff3";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/ka/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/ka/firefox-124.0.1.tar.bz2";
locale = "ka";
arch = "linux-x86_64";
- sha256 = "951ac43e83f1c3813efe6362cc30d3407b71e8849bdeca459f1d0f507fe24e0f";
+ sha256 = "2715a9a1e28d676c3b9c4443b1348a77eb781f97e6486c4d3803506e448baadb";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/kab/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/kab/firefox-124.0.1.tar.bz2";
locale = "kab";
arch = "linux-x86_64";
- sha256 = "98eea4f28bcf2627a10a87f795048bc1aa78f3a285ae051ebafa0cd4d2bf5e90";
+ sha256 = "53dee9ed4362ced402ee20b9d036e538745e3563b2646532b945f1e929fe97ae";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/kk/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/kk/firefox-124.0.1.tar.bz2";
locale = "kk";
arch = "linux-x86_64";
- sha256 = "706dc890046e95acb887bded8237eed722218935194e1c6a6a7676452cbc17ec";
+ sha256 = "d61f7cc878b39fbf99733e797c990e55aef2c64e3c4b6b5c130f3a7be2e276da";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/km/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/km/firefox-124.0.1.tar.bz2";
locale = "km";
arch = "linux-x86_64";
- sha256 = "b517a7f8bc031ddd1782e61377d1febafeafd2bc235ff1bb3893456ef9b686d6";
+ sha256 = "4c97edeee4d4c5b0b8ddad40a7d9dfb7f4152683c34e770ca2682e5ad4e7df7f";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/kn/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/kn/firefox-124.0.1.tar.bz2";
locale = "kn";
arch = "linux-x86_64";
- sha256 = "90f99fda8b1ba5f1bba3130c51f38666107be2f74a0235bd33f2a0064041cb0e";
+ sha256 = "cdf4606b63cdba42ae540f2b0a6c4f35463c415f477ce20424fbb0bff0263c28";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/ko/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/ko/firefox-124.0.1.tar.bz2";
locale = "ko";
arch = "linux-x86_64";
- sha256 = "95b189b69477197bb7014cfc8299d35a07b253890e05a0241e74bf1428621fe1";
+ sha256 = "c41e95608f7002eb168cef78e0495f22e9cba5719cdaaf2a7b73fdc8f7b938ad";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/lij/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/lij/firefox-124.0.1.tar.bz2";
locale = "lij";
arch = "linux-x86_64";
- sha256 = "17e3d23d36c1a8d69bd396255966c77dc0346a939e2bb4e07c30d9df94206fe1";
+ sha256 = "fd1202613c6a09c6d334324c3b3b518a299220499c02b12ab852a1622ead5437";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/lt/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/lt/firefox-124.0.1.tar.bz2";
locale = "lt";
arch = "linux-x86_64";
- sha256 = "e5b15d7476498388b2b083d5d4df6753b1793668ad5b03d5d180347ef2b6956e";
+ sha256 = "277dd986c8b4ff586c585721c21ca00f8f5066eb29afe8f2a4cfccde6076758a";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/lv/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/lv/firefox-124.0.1.tar.bz2";
locale = "lv";
arch = "linux-x86_64";
- sha256 = "781bc0a84e021a2967e96eb0b123b8697fe0085602b91155c0ab5f81b07e0ba7";
+ sha256 = "c3b74e8c7f773375c328ccd850ac9682a8188ebbb38082e6d0533eb541cd8a9d";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/mk/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/mk/firefox-124.0.1.tar.bz2";
locale = "mk";
arch = "linux-x86_64";
- sha256 = "cc6e48f58dfe64fcc3b3361ccc9013392c704053235d2521da0ef206762857e9";
+ sha256 = "97a52d92cafe3458e7018a681528c34c860c245ad6c12b83fd0e71561334bb8b";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/mr/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/mr/firefox-124.0.1.tar.bz2";
locale = "mr";
arch = "linux-x86_64";
- sha256 = "cab1fc74b0f325afec17acb7b49ca5a3166b65686fe15ad14adfe868aa6cc010";
+ sha256 = "a833f157fcbb9d6c53b97c14b53286ee44aae494b04f8f3e551df7487c926d87";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/ms/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/ms/firefox-124.0.1.tar.bz2";
locale = "ms";
arch = "linux-x86_64";
- sha256 = "0d3a46f193032b2c3633a9bf4e228a4cc6ba66536d849b4c299b63f42b9c0435";
+ sha256 = "5ac6e260d13e51331e37f79abde8c1b3a75205d79a8d5424236793101aa35abc";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/my/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/my/firefox-124.0.1.tar.bz2";
locale = "my";
arch = "linux-x86_64";
- sha256 = "e429d77852544239e9055c128a44097ca47aed391f202549d0d6b01251b4f1a7";
+ sha256 = "fe56cb9765405b24f726150b62e06461a2c8a8ccdacbeb66a378f8f4567141bd";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/nb-NO/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/nb-NO/firefox-124.0.1.tar.bz2";
locale = "nb-NO";
arch = "linux-x86_64";
- sha256 = "177744ba68ab213e286267b9a86a47de75c18fc77f779e8e7989239768e35d5f";
+ sha256 = "45562c238bb2edd7337d875e2747c536bc04929f930b17a69214e5656c63f8fe";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/ne-NP/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/ne-NP/firefox-124.0.1.tar.bz2";
locale = "ne-NP";
arch = "linux-x86_64";
- sha256 = "59e4d7905ee970afa8b3cbdab92d3dbb696af8d50d388efe6fda24ba704f2aa6";
+ sha256 = "1bf583ab7dd78ec09354295f0965b4aa3e591943db803dbd74969c5a47c8dac8";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/nl/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/nl/firefox-124.0.1.tar.bz2";
locale = "nl";
arch = "linux-x86_64";
- sha256 = "a7b143950ce826873f9ac2a16ecb40da4e4fa4f5fc4485375d473567dc46a8ff";
+ sha256 = "98f0f741628224ca361bb10e17e92167d6269493913e0554ce6a0d056122a8c0";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/nn-NO/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/nn-NO/firefox-124.0.1.tar.bz2";
locale = "nn-NO";
arch = "linux-x86_64";
- sha256 = "bae2a2d29404b2e8b774036118b581255246048dc2db3d1d5c1df0a4d357d46b";
+ sha256 = "6ace54426bdc296099b140fac5bcf477522a6229f81569b0549f421106a19d25";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/oc/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/oc/firefox-124.0.1.tar.bz2";
locale = "oc";
arch = "linux-x86_64";
- sha256 = "fb0cdc063b703e83dade0de48d9755e80c441f52b251918be87679ed26c35e50";
+ sha256 = "18dc82d54f51b4b7034c58f5db2609dcbd560a2479e68c96e947f54dca0565b3";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/pa-IN/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/pa-IN/firefox-124.0.1.tar.bz2";
locale = "pa-IN";
arch = "linux-x86_64";
- sha256 = "40d614dd180de1bdcded224461552841211460c58199c6b727bfdebe4c5110e2";
+ sha256 = "77c30313cc4f415e2c99ca72f5b21a318ad54bdd15b9b21ee6891e34c6ff239e";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/pl/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/pl/firefox-124.0.1.tar.bz2";
locale = "pl";
arch = "linux-x86_64";
- sha256 = "6074705678ebee6b0d6e39bdf7db4cf1de4ee95b04219cda1360f45b78bd8245";
+ sha256 = "001b136f11b6dc6fc940eddad2cce6d46fb51e5824c07b20363031c7289739d3";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/pt-BR/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/pt-BR/firefox-124.0.1.tar.bz2";
locale = "pt-BR";
arch = "linux-x86_64";
- sha256 = "06f6e69bb03cd841e671744b055fe68b60aa6d175d4f6d9305f800c1a4adedea";
+ sha256 = "56558e84fa9e333cbf1e55172c11f005bb4b54d35b3f00224d95c099ce041fcc";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/pt-PT/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/pt-PT/firefox-124.0.1.tar.bz2";
locale = "pt-PT";
arch = "linux-x86_64";
- sha256 = "f8422d0279fc3aa964260b1640779bf9779440122d0d218ef561ff6aad5a1b99";
+ sha256 = "47af2a6ff3eb19ebfd5b7845205b363b942b1d734f838db9a101317a4fcbf353";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/rm/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/rm/firefox-124.0.1.tar.bz2";
locale = "rm";
arch = "linux-x86_64";
- sha256 = "cabc9c53234221702d14185197facabc5e0985cd1792f3e7f70ddd4390d32640";
+ sha256 = "22a65143cabc563185a2c250a44b7c35471978fee6d2e63fc77ca0ff7f592cd5";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/ro/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/ro/firefox-124.0.1.tar.bz2";
locale = "ro";
arch = "linux-x86_64";
- sha256 = "37007221fed3907845ba83d61ca2cc80aad46abef89f239e79a408f723539219";
+ sha256 = "b39056890e2ee424de116b926bfab21232e1c7c001c177db62002bc9ad732aea";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/ru/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/ru/firefox-124.0.1.tar.bz2";
locale = "ru";
arch = "linux-x86_64";
- sha256 = "d3a20fc678dd39c645a2243bc381e28172a7724d521ed469f61f46dfca251dfb";
+ sha256 = "194ebd35422340b4fb44fa38dd2c453545de44ce04c5d74aa97894ba3f61cc15";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/sat/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/sat/firefox-124.0.1.tar.bz2";
locale = "sat";
arch = "linux-x86_64";
- sha256 = "d2e862396f4d639cddf24cb7ce095e8250524707c8fe0101442fed8012c6b3ef";
+ sha256 = "3da5b34cde8dd12bcf790130fcc58e6007df19a30a8c3d63ab0d93a70f0cfb61";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/sc/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/sc/firefox-124.0.1.tar.bz2";
locale = "sc";
arch = "linux-x86_64";
- sha256 = "e738bcd151599587a191e91f69a19eeb93b54a9d2c286cb26a036096dedee314";
+ sha256 = "f6c464d6134f95914f3fe37b683aebf69f4f74848ca09673eae93a59e29ce66f";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/sco/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/sco/firefox-124.0.1.tar.bz2";
locale = "sco";
arch = "linux-x86_64";
- sha256 = "13dedb8a3bd4f3b3829a89631eb89050bc8bf8900eac255a3b1bf5262f5b0315";
+ sha256 = "4a47955e89811295fdb38389da571d77f26efdd499a2154eecd96609ba88e746";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/si/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/si/firefox-124.0.1.tar.bz2";
locale = "si";
arch = "linux-x86_64";
- sha256 = "fb8aea5f87e783a5b2093e47d161941dad0e3361d11cb38fe58fb9ada8bed817";
+ sha256 = "303c451fce457d13c280b5f7645cc867a1890b242e99611eef7bb975179e6886";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/sk/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/sk/firefox-124.0.1.tar.bz2";
locale = "sk";
arch = "linux-x86_64";
- sha256 = "6b8e48667c8dfe2f6653cb1f01f70be5a03dea65093328a4853f3d394bd4e9cc";
+ sha256 = "c160e2a6655c19c4f8b62c2ea528e1e3888a113daf90431927b85dfefe24f78d";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/sl/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/sl/firefox-124.0.1.tar.bz2";
locale = "sl";
arch = "linux-x86_64";
- sha256 = "8ba5e3b60c7ebaf67152159bf70a3994665e558116ab53b14726d34e6de4293e";
+ sha256 = "bad57cdf2a375a1a0c70a72f8996d977cc1ee2c785623296af0b68ac3a2cd25d";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/son/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/son/firefox-124.0.1.tar.bz2";
locale = "son";
arch = "linux-x86_64";
- sha256 = "4f3cd3cd5bcf33a56b30ff4e13fe15f430efd388b07cab3e00b2ffbece14723f";
+ sha256 = "e5f363b74020917b2ed8568d76c697eb29474f742b28c8b5bd65e2256d34602f";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/sq/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/sq/firefox-124.0.1.tar.bz2";
locale = "sq";
arch = "linux-x86_64";
- sha256 = "4527ebdf68a826e0466e70a4d950def970f95ee7643869d6af5637b4160304d7";
+ sha256 = "2162070684fe0eba5f1b8ba1facb1fd2b20c74ac9fc8e6ef5a5b3e0ce94cf4e3";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/sr/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/sr/firefox-124.0.1.tar.bz2";
locale = "sr";
arch = "linux-x86_64";
- sha256 = "7561bc0453c754ad669d23fec2514686ec00764370573a41ee0a6e15193a78bb";
+ sha256 = "ab234185045aa946df05bd470705e16624a90f926c02a608dad468e4eefedd44";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/sv-SE/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/sv-SE/firefox-124.0.1.tar.bz2";
locale = "sv-SE";
arch = "linux-x86_64";
- sha256 = "839238e8c0fcb271aa31b86a6b0f1263e27a7e772e30caae2b54b54efaa0eb6b";
+ sha256 = "d1b45c59582539583cde7c1f7a6d9744e8262f87bec1679538c034c12f6ebee7";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/szl/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/szl/firefox-124.0.1.tar.bz2";
locale = "szl";
arch = "linux-x86_64";
- sha256 = "40432314dd689be9dd7533f5bee389a737a2f4b3a652be9807ce69c65a565d5b";
+ sha256 = "1ca31d53f9f7f4e0eea5fbb2bbb4d560f3f2330892a9def403a08a6f7fa21de2";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/ta/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/ta/firefox-124.0.1.tar.bz2";
locale = "ta";
arch = "linux-x86_64";
- sha256 = "178605125e37528925892974f166183426bb73372e55f733cf8684802b0ed734";
+ sha256 = "1823948d2f34cabd560c0f83e57a194d3d0c890ea31ae4a601d7dfa71a27505a";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/te/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/te/firefox-124.0.1.tar.bz2";
locale = "te";
arch = "linux-x86_64";
- sha256 = "87be183215ec6cd002f2038bdabd58687fd5cb09a850969066ca8171ce8f8849";
+ sha256 = "b2958854e4f91e407655e313f9ca51e9fce3383f29a53909eb7ebbf00010dee0";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/tg/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/tg/firefox-124.0.1.tar.bz2";
locale = "tg";
arch = "linux-x86_64";
- sha256 = "46884de41e3d92fbee26eaba661ec83dbf98774c06a7ff78d127beb191aabc91";
+ sha256 = "a9ec8a6fd0228b9adad46079c37f452cffd90f4dff41d1b303336cf034b2466e";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/th/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/th/firefox-124.0.1.tar.bz2";
locale = "th";
arch = "linux-x86_64";
- sha256 = "9bd390a57fad34758f803ee8adb84ec97904eecb78ff6564bed4edc7c8b8aab1";
+ sha256 = "d8f6221e2c8d4c53a2526f3eb581608ea70f4867e6d327df22a879968704253c";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/tl/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/tl/firefox-124.0.1.tar.bz2";
locale = "tl";
arch = "linux-x86_64";
- sha256 = "b46eb8372d30931ee08603c093b563fbf7e461e27e008cbc9055b52a0f255a93";
+ sha256 = "8762fda9690cb5b9c79ba9dee237a0bda0643d5ea0d225e6fe2ed764b53a6f22";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/tr/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/tr/firefox-124.0.1.tar.bz2";
locale = "tr";
arch = "linux-x86_64";
- sha256 = "576c4c8a916c7dc56d7c9d05c0c408e6744cbf3bd18a384b09070eff58cebae4";
+ sha256 = "baddbf1bcadca956c9a8363b8bba45fabe467e19b8c00683ea0d962a11155cd1";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/trs/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/trs/firefox-124.0.1.tar.bz2";
locale = "trs";
arch = "linux-x86_64";
- sha256 = "2e2688d77c6618cd9af6a7ea7ad25d2e1889e58f048ea2cad3cb031bfccd67a4";
+ sha256 = "b4139bc97b3f3809785f2f9735a43c9b9e699b21f3579d1c902284c6fe492f62";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/uk/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/uk/firefox-124.0.1.tar.bz2";
locale = "uk";
arch = "linux-x86_64";
- sha256 = "e68d443391893283076d55ec7eec4bc3e6294fef71a5e46d29937b6aa2cdfe63";
+ sha256 = "b35c44d3afea1c67aadc1b12715671f683cdae2a47682037d657d05820f919ec";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/ur/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/ur/firefox-124.0.1.tar.bz2";
locale = "ur";
arch = "linux-x86_64";
- sha256 = "18674f0245e0d5494ada3aac535b6514ef11791efc9cc1937c5244a96356f3bd";
+ sha256 = "92215b2adb57a7428344ac932a21b301191c6362e4252d84d83f81c2022a1394";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/uz/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/uz/firefox-124.0.1.tar.bz2";
locale = "uz";
arch = "linux-x86_64";
- sha256 = "e8f62d7c923a41e2f56927a0a535684879bd0fcb32153b400696b655f40ed6da";
+ sha256 = "f959ef1d40e3b19138ae184164fc0044e71778fe789ac6cd5629140e9259fa65";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/vi/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/vi/firefox-124.0.1.tar.bz2";
locale = "vi";
arch = "linux-x86_64";
- sha256 = "bff26ad0736edb6acfef09fd9bc9e075b63f1a1f4372db8b40df958ba3ddac82";
+ sha256 = "93d7043dbe7db562fe7076d604f059bf360de0fc9ebd79b3dae6fb99a7db099d";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/xh/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/xh/firefox-124.0.1.tar.bz2";
locale = "xh";
arch = "linux-x86_64";
- sha256 = "d926d0c95fdaa190c7eb50d0bbbcc4645f8313cd7327eb47880ad713293a27b8";
+ sha256 = "e376f2b8dbe9b0b82c150a3878b4805fb120720a13a9a9542978dc97e5892f69";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/zh-CN/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/zh-CN/firefox-124.0.1.tar.bz2";
locale = "zh-CN";
arch = "linux-x86_64";
- sha256 = "b8e8fc2ed7f12f6caecbecfc1f9ffdfe3d4c786885b3b2dbb9af3164bb878d99";
+ sha256 = "ed01c6f6576cb6b9d2e9df1d3e36982662afa478569a42d7a7f656b0bdf224a1";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-x86_64/zh-TW/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-x86_64/zh-TW/firefox-124.0.1.tar.bz2";
locale = "zh-TW";
arch = "linux-x86_64";
- sha256 = "27e798e5e16fb4cff152c5c0a87f0961a5fafc3a286d6a2c01903ca68b55299a";
+ sha256 = "5b01e3224e54ee672170db945b3ca4b3f1bfc0a21d9e34def1cbad2d7be6232e";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/ach/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/ach/firefox-124.0.1.tar.bz2";
locale = "ach";
arch = "linux-i686";
- sha256 = "8c42826fc2d06a64f7f8119c9d627a8d7295ec31a61b4a4ce418da2d1c67e49f";
+ sha256 = "e91988bdc4f806abf775e0d63c591cac9ed1f31abed6b1d06b5d3f6e6a1d8a17";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/af/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/af/firefox-124.0.1.tar.bz2";
locale = "af";
arch = "linux-i686";
- sha256 = "61108266d21b7b4d52214232738f228d2c3fce60eedeaea10e244614239b9d7c";
+ sha256 = "f0f64cc0168784df441cdb5fd9aaf6f1ffb6282a6ada9fc6a980e56e5ac6c16e";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/an/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/an/firefox-124.0.1.tar.bz2";
locale = "an";
arch = "linux-i686";
- sha256 = "c068faeab22065aec67ed8ac08ca71b9f3e64cb65cffe140e7763111c8c7a809";
+ sha256 = "c583abe21e42e4f1f215db97090046bd12653a838ecff4776b54cba598c0d923";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/ar/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/ar/firefox-124.0.1.tar.bz2";
locale = "ar";
arch = "linux-i686";
- sha256 = "168b4e1b40626a2c17a7a45857d988cabbccb8e24a23601a6dc6f9f47a8a5e22";
+ sha256 = "23fce3719163d666b57270c6ecfaafb0f0b7a838d1f4a4fbdd00dae3ec9d6f8a";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/ast/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/ast/firefox-124.0.1.tar.bz2";
locale = "ast";
arch = "linux-i686";
- sha256 = "46c659a452700f3cb2170c6ee3387eec173f33d8f3fdecb407dbabca75c96eef";
+ sha256 = "e1a92c72e55df6ad72b364168962a9a7a380657c03db1b1cc90a002c38c37380";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/az/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/az/firefox-124.0.1.tar.bz2";
locale = "az";
arch = "linux-i686";
- sha256 = "26c2995c9d4445e9fa8b3cb677600bffee8de65195d51f7f8f9b53e3233ce4d0";
+ sha256 = "3fae8156967f4620a6168d5dcf37b8b0c83c853d7ed6d89d97fa47e2a803c63d";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/be/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/be/firefox-124.0.1.tar.bz2";
locale = "be";
arch = "linux-i686";
- sha256 = "c69b550e4c535a70bf56b0cc6293d56258c287073b5363abbcd02c67ba89d35c";
+ sha256 = "f321dcdf3b7b792087f0dde1947e5139119568b8b149d0399a2309e29b786c6f";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/bg/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/bg/firefox-124.0.1.tar.bz2";
locale = "bg";
arch = "linux-i686";
- sha256 = "934f9ad4a956f8b123cb3df5611ddb9335e74793de149d68ec326e297b003553";
+ sha256 = "2fb2cc8b12d4136837a7d35669f930a3d777ab0da7e4353463fb83059f7bf7f6";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/bn/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/bn/firefox-124.0.1.tar.bz2";
locale = "bn";
arch = "linux-i686";
- sha256 = "f87a72cc2ad4f1252a68f9f36fe6ac1c0ac0e855d8f69ead51a44e7c9ae8dba6";
+ sha256 = "daac2f43f859013d0dcfe1f8e517945635441fbad05142199a2ae52a51b76da9";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/br/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/br/firefox-124.0.1.tar.bz2";
locale = "br";
arch = "linux-i686";
- sha256 = "b7a173f62afa300ac17f2cb4e37cbbdc96d8a57392b9ff2c4017122582a3de25";
+ sha256 = "a7ed357fc4e04e699370844de7d018aa767426712a23851ceab5b361112a3c2e";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/bs/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/bs/firefox-124.0.1.tar.bz2";
locale = "bs";
arch = "linux-i686";
- sha256 = "0419834292bb48d0b1512d3939d999937f9cdc5cc2c0c4417ec0e378c23fd9d0";
+ sha256 = "f07b73cc2d016d7b1c535e3f49e328d4b1f5812b3712f6f3b5d7c403a84a8dee";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/ca-valencia/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/ca-valencia/firefox-124.0.1.tar.bz2";
locale = "ca-valencia";
arch = "linux-i686";
- sha256 = "733def892b1a1455a86ed16f9d6ffacb4d6f4db7b84abf6b480b689ec0db435f";
+ sha256 = "a1a8c11d983abd15e599a4f1ebdd9c4c359d3c07d6f9ea2c133ca115bf9bbcbd";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/ca/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/ca/firefox-124.0.1.tar.bz2";
locale = "ca";
arch = "linux-i686";
- sha256 = "318795845f45d3fd17496fead10cdf283909d00b4235a663372967b6fa4b4775";
+ sha256 = "dbe27d0e7825f51ed218350e74ea8765920975ee52f00746e99e69ee4aab0430";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/cak/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/cak/firefox-124.0.1.tar.bz2";
locale = "cak";
arch = "linux-i686";
- sha256 = "ebb2d1044837615b2ff3ea53e68051abeb9cba027c046f26a3a728f94115df9c";
+ sha256 = "6128eb471f114f1e971b463b6bb196b731ab75d49d7534803e433608a967e5c1";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/cs/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/cs/firefox-124.0.1.tar.bz2";
locale = "cs";
arch = "linux-i686";
- sha256 = "9d26dda1a043c926501d9d6c33474de1615dd340c94e8c742d10602fda9cf0e8";
+ sha256 = "8d5c9ecaeabb1146b305f80f48f4f4d5f72f7343f0494fc10b6dac975b8a6a46";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/cy/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/cy/firefox-124.0.1.tar.bz2";
locale = "cy";
arch = "linux-i686";
- sha256 = "5bd8d1d29d0545756ea6b11feb116f09daaa67b9087cafcf6ca1a0e71ad9504f";
+ sha256 = "3cc49e2dd6c253ebf6d95eb1419330868ec932cd171c64902117958c99a28be9";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/da/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/da/firefox-124.0.1.tar.bz2";
locale = "da";
arch = "linux-i686";
- sha256 = "0f46031ddd3d88d7f2cd28377d0bd6b3acc8cb2b2d5cfb0726083bc45136f825";
+ sha256 = "7cfa1509fb99d4e70675700b5b420ff186cd9cdde38777dffdc872489f381be4";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/de/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/de/firefox-124.0.1.tar.bz2";
locale = "de";
arch = "linux-i686";
- sha256 = "96fe952a4518d40b3e66fad2fe6d785f74e82f6cea30a36c9952a91f934cdda5";
+ sha256 = "00155fbc60631a30aa3fa28b35e29b0b28592a60d40334fc3c3a4bf4f1bebc25";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/dsb/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/dsb/firefox-124.0.1.tar.bz2";
locale = "dsb";
arch = "linux-i686";
- sha256 = "d2733aadd255232f06095af0b3c5821832648a038eddfe5442e78ec5ec13e898";
+ sha256 = "79bf89b19b83a8d79874b41d96930a2c3930f1a4b9fe82f4e58a68660a408f23";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/el/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/el/firefox-124.0.1.tar.bz2";
locale = "el";
arch = "linux-i686";
- sha256 = "81f92fcfc5326e8a3838c62d899a4b03c0af5608ef9b553af467dbd0fe46234a";
+ sha256 = "484583dba0b350fc9409d9bf8bf497419acd79043009ae54cdcf174b0e0ec005";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/en-CA/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/en-CA/firefox-124.0.1.tar.bz2";
locale = "en-CA";
arch = "linux-i686";
- sha256 = "c503f40b269ee94336987aefffd38ebb545f3e126d98e24be3f17a66b57d2dbd";
+ sha256 = "10673499b7a9220dbb9e1e03b78eedb4f5e3116aaf9b650fcf951f7ab80286e9";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/en-GB/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/en-GB/firefox-124.0.1.tar.bz2";
locale = "en-GB";
arch = "linux-i686";
- sha256 = "f4d77eb0178cae7fb8c4f01a4442a172b3d69674e9fc12e2bb1afa9a95c13c5b";
+ sha256 = "85c89bd867ac7ec5dc472474fc06644e78a79040d96407f9bccdfc961e614350";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/en-US/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/en-US/firefox-124.0.1.tar.bz2";
locale = "en-US";
arch = "linux-i686";
- sha256 = "962bd73ee0f4769c6dc16c93d8ca55f76cff7868e59ada6041e351d3fdefc088";
+ sha256 = "1cd95c55d0a89244878518c60239000ee8d9954e1ffb7ee423662319d79cbc02";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/eo/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/eo/firefox-124.0.1.tar.bz2";
locale = "eo";
arch = "linux-i686";
- sha256 = "25365b28eb2ca306fdd84ed3770f31b1ce1a90a07f7ab5c4dcce259b50637bfa";
+ sha256 = "0ead4b4952df41b8731d8ac8f2ca1e814be1d05ac42b517392853f9743508233";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/es-AR/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/es-AR/firefox-124.0.1.tar.bz2";
locale = "es-AR";
arch = "linux-i686";
- sha256 = "930be4e579d4a750c0652ef51c2a4e57afae57715ee124479a448ac1a5e0022e";
+ sha256 = "504f329a94591609fe9902e82413c7c66f2212ff38150833d45795f267e5f37b";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/es-CL/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/es-CL/firefox-124.0.1.tar.bz2";
locale = "es-CL";
arch = "linux-i686";
- sha256 = "421e2c9b51cc4290a42bc19914e76d85aa9f67eeefbce4898c3de5a5744e92e8";
+ sha256 = "57c38a5e3612a109f594878078e1ade72feafee47411d8e5b838b6887b4cf6bc";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/es-ES/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/es-ES/firefox-124.0.1.tar.bz2";
locale = "es-ES";
arch = "linux-i686";
- sha256 = "e1d1456c80a1b05a698e7391c8d147e0d8b02693fd9d9f39ecd2c3df2a497804";
+ sha256 = "e9401f3f4feb9ace0a5b1aff03b42389b3c074cd07e34bd7950cafad472b32d5";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/es-MX/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/es-MX/firefox-124.0.1.tar.bz2";
locale = "es-MX";
arch = "linux-i686";
- sha256 = "97653e79fbc1420c423cd125f92f445c95c23a00c33f4753424d3812d6b9ff78";
+ sha256 = "1324b74bac16a301227e378a0542c9b710fc8d5e50b82f156d428e1ab00cc0ba";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/et/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/et/firefox-124.0.1.tar.bz2";
locale = "et";
arch = "linux-i686";
- sha256 = "c99a9d96f26fdbe598c5bd4c3e85dc91688d2e38568bc2464a3ba26456a56d84";
+ sha256 = "f58fcdecf5d6c6ff6fd742e3c12ff3f1705aac928fae8a53646ec2259593c2ec";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/eu/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/eu/firefox-124.0.1.tar.bz2";
locale = "eu";
arch = "linux-i686";
- sha256 = "80fe7311c034ee2fb5a1c5b13687945ddddbe6516b7ed55a3bc1b79c43555faf";
+ sha256 = "fc1f4ac290e1fd05e67d292865c8bdab28cd052c1701049720219569e7fdb6a6";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/fa/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/fa/firefox-124.0.1.tar.bz2";
locale = "fa";
arch = "linux-i686";
- sha256 = "4823668ef67f88cafcc63f85ae8e9bf4438ad6ed9ab78c229cb6d69b2e64592d";
+ sha256 = "3bf3ebaedb91a2cc41b5c500262269e0e662d6d6be2614eee3c1f81ae48574f8";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/ff/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/ff/firefox-124.0.1.tar.bz2";
locale = "ff";
arch = "linux-i686";
- sha256 = "3ce1d6d0d778c1b54238cfe49ce840696b434def6bfc4fe8c9c2ccf40ed9a871";
+ sha256 = "c22b27189d541978d5f9b2eb841b4edf6e2470855798ca6234989043807bd842";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/fi/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/fi/firefox-124.0.1.tar.bz2";
locale = "fi";
arch = "linux-i686";
- sha256 = "adbfe2b24b91c9eb995e6c313b14924912d8ea4bd61ff9703f1a1647f3ecb228";
+ sha256 = "40a80397d6c5df8b8b0e98b79b903168ce6c94324f7dbbfba3def5f458efb6f9";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/fr/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/fr/firefox-124.0.1.tar.bz2";
locale = "fr";
arch = "linux-i686";
- sha256 = "9bdec1fab6941c94b1886ab24698328fd860d87fde22dc406b51cc974a5d9984";
+ sha256 = "edef3bc1b23133cfbc6b26c8bac5b8e226debb63b568496a0b107e6081660b2d";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/fur/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/fur/firefox-124.0.1.tar.bz2";
locale = "fur";
arch = "linux-i686";
- sha256 = "108ee2a6feef00db395d1d600f110c9cc37991bac9438b9e97d5f4ffa18b45a1";
+ sha256 = "51639ac52bbce9a5f9690d1d4d5294cac1802585653c1406939a2020b1f53d98";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/fy-NL/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/fy-NL/firefox-124.0.1.tar.bz2";
locale = "fy-NL";
arch = "linux-i686";
- sha256 = "ebc3f4fda7d7988b627762503dca60a58612b5ed8bffec49d993f19a3bb63961";
+ sha256 = "1652fc1be79283a3a91bb2b042559d8261bafc0e2cc01b49586755b693a3c428";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/ga-IE/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/ga-IE/firefox-124.0.1.tar.bz2";
locale = "ga-IE";
arch = "linux-i686";
- sha256 = "dbe96f67558387dfb3c3ec5077838c71b9bb67e10b81db0be618159da08bf7e6";
+ sha256 = "6ef5b5cc908a61362b7e364e24b6f8ef66844039a4029589a787a0a67c9a9407";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/gd/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/gd/firefox-124.0.1.tar.bz2";
locale = "gd";
arch = "linux-i686";
- sha256 = "99117b23101e08d0c5211164f7037ea9f86abbbfcd86a39771a8a96e3d513b36";
+ sha256 = "b0a2df0c168914b51809c9255d8f199b788a177980f61b4b14c8b02defdd4007";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/gl/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/gl/firefox-124.0.1.tar.bz2";
locale = "gl";
arch = "linux-i686";
- sha256 = "a87f29ceedb296ee6ddcd7ae12a2d76ec0a8ac84e1053ca5574a19d3c89dc3b0";
+ sha256 = "066dc78ff3283dc8ce23ca349b9ccc430b3000fda87a8ef6e83e2aaa6715f660";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/gn/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/gn/firefox-124.0.1.tar.bz2";
locale = "gn";
arch = "linux-i686";
- sha256 = "6a9c258ef059b08a4efdedbb563bf7ce5eb2012f7555e9396646895b0dd49455";
+ sha256 = "d2ece2f565440acb002149a097277eb0ce8e51b115600279be8a4e2eb723dee7";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/gu-IN/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/gu-IN/firefox-124.0.1.tar.bz2";
locale = "gu-IN";
arch = "linux-i686";
- sha256 = "4f1c540295696288e48c60e09127149040f0855ef18fdce807147295fb10edb1";
+ sha256 = "74304610205d645b588141e827b7518432b50e4d179c3410f842f83a64780f6e";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/he/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/he/firefox-124.0.1.tar.bz2";
locale = "he";
arch = "linux-i686";
- sha256 = "e579f5746ac7c33aac50ed1c16b414c3c6689ad6b7a9168978d01a619db6a64d";
+ sha256 = "b7a08f44ee616b892a563d9e7363a386de180d364629800215438431a2596133";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/hi-IN/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/hi-IN/firefox-124.0.1.tar.bz2";
locale = "hi-IN";
arch = "linux-i686";
- sha256 = "51f89a45ecab2d9d84770bca4b6984ebeaf3149021ba048ef8344aae73d1d00a";
+ sha256 = "1b2a6170c7362a1fcb7949a39a9aafe72b475fc3852084d0b30aadab09ec4c9a";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/hr/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/hr/firefox-124.0.1.tar.bz2";
locale = "hr";
arch = "linux-i686";
- sha256 = "e21df40e75be3838c9745187c96614cb5ceb1607a7e70c3260b8bbf90c361655";
+ sha256 = "aa71efcb89d8bbebbca28d1454e7b02c85dda7db2e1e09fcf9c2b0bb1efdd4d0";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/hsb/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/hsb/firefox-124.0.1.tar.bz2";
locale = "hsb";
arch = "linux-i686";
- sha256 = "443d403f438967426e342dc02291070208e3263e5f1e7df20e1a8fe27e367c3e";
+ sha256 = "cef575876ec29f5fea4fc4bcca5b18629adf08b92781132fd09b6bb2890839b1";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/hu/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/hu/firefox-124.0.1.tar.bz2";
locale = "hu";
arch = "linux-i686";
- sha256 = "81a2efeef635fe56424bce4fe384dd478b6f661898632f6f7516a1e438897100";
+ sha256 = "abf649e25bfc1f071d0c4ec0d4ea6ac055d58aafe0f99bd1a1f93dcdd57404bd";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/hy-AM/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/hy-AM/firefox-124.0.1.tar.bz2";
locale = "hy-AM";
arch = "linux-i686";
- sha256 = "e453ccbe2bc84c5ffa62e2e1770d74ccafb68fe6a19deeed128c76aa38b5bb76";
+ sha256 = "568b71e8d81fc15d26e62422a00f6df2f97df24c48f76071924785e68c149776";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/ia/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/ia/firefox-124.0.1.tar.bz2";
locale = "ia";
arch = "linux-i686";
- sha256 = "4ba5033f0a92a53e32a8a6bfabf53c77630f6189ee3500a059b271621168a3df";
+ sha256 = "e9cd88403c9d7fdd0449f1504d754c771acbef9fdd2e2c14c5a9bbdf325c107c";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/id/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/id/firefox-124.0.1.tar.bz2";
locale = "id";
arch = "linux-i686";
- sha256 = "f910310581790dbe915283efec2fbb369f7c352c4a29ccd0026d71b7db915e21";
+ sha256 = "a1fa36f11a1086144328251788bb57d6dac1cfe797fa8792f90752f4516e5006";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/is/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/is/firefox-124.0.1.tar.bz2";
locale = "is";
arch = "linux-i686";
- sha256 = "da3bf47c84a32260e6e50c1b23d0013904343a87340eaa7748ffac07433a0472";
+ sha256 = "3666ef7b9a7c5c8b393bc7cc2b12f83059039bbe9997cd653f550b71cea71cf4";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/it/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/it/firefox-124.0.1.tar.bz2";
locale = "it";
arch = "linux-i686";
- sha256 = "73b02550cd2e4df3e959b81dc0a37ab7ed8a4899717380a9d2a9685bd87393af";
+ sha256 = "60b218dfb14264b7cfd79854e5a0f0d154ef07e2e53f2b802f9838c2e8344162";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/ja/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/ja/firefox-124.0.1.tar.bz2";
locale = "ja";
arch = "linux-i686";
- sha256 = "bd3074689b47b6f175279ee747e07f875ab6dfc9697921b36cf619c2b81bd65e";
+ sha256 = "9ddde2b5c951d859bee59efe861a15e0f00c1debe4a1621cf7df98a8138fe871";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/ka/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/ka/firefox-124.0.1.tar.bz2";
locale = "ka";
arch = "linux-i686";
- sha256 = "bbcfd4ba5fd38e517e895dd781dc647dcaf44d45b87fc6fd70a382127bbfe95f";
+ sha256 = "a16aa95b54fa6a9c99f18a066537bd990de1750c6c8c80f3413e700a5a07329c";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/kab/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/kab/firefox-124.0.1.tar.bz2";
locale = "kab";
arch = "linux-i686";
- sha256 = "93a251b0c97c38612791548085e76678def6ebdca4f36273839613b646c48304";
+ sha256 = "87e2222e8bc3edd394fe679f4b8ae646ed58104813fdd8b35adb2024986b2af6";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/kk/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/kk/firefox-124.0.1.tar.bz2";
locale = "kk";
arch = "linux-i686";
- sha256 = "d4e12d3c61e169e1ca6f69c43d3f8a00e9043062194ba8a5550ff77ab2ee32b3";
+ sha256 = "1d52a0c2407b14b4e2476cc38d3961493a6fb5874c6e68bad212ca4402732d17";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/km/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/km/firefox-124.0.1.tar.bz2";
locale = "km";
arch = "linux-i686";
- sha256 = "e2faec4305bdeddb9b9062968d02aab6a5e1d2ac862fb2169ef242d2a8c90e8b";
+ sha256 = "86e6c120540d0bbeee982e4dce011e677a4652dd19e9d19a97a1c138e9d461a4";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/kn/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/kn/firefox-124.0.1.tar.bz2";
locale = "kn";
arch = "linux-i686";
- sha256 = "7f1b1786fa92b2181e90c9512013d36adff640e6a6bd75a8108d8cf2152b7019";
+ sha256 = "fdc73008c8efb0e14e1aca7b8392b7c39ca2e6baf21aceab1dc5882cd5a5a4e7";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/ko/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/ko/firefox-124.0.1.tar.bz2";
locale = "ko";
arch = "linux-i686";
- sha256 = "4ab5d6a270d1cc146e5452d4b82cd9f7f817879d78e29884091001c953b343bd";
+ sha256 = "dba889cd73f292b1c1edf66be7683aeecde3d18e4d697c971e359e483dcea642";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/lij/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/lij/firefox-124.0.1.tar.bz2";
locale = "lij";
arch = "linux-i686";
- sha256 = "a22deb4303a74ed45dd9f46c19543852aa87a4091910350b3e97645f651eb7e3";
+ sha256 = "79c9b5eb29f8c8b27f580f36d08ab2922eb36bf5968bf17d83b40572183d8a6a";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/lt/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/lt/firefox-124.0.1.tar.bz2";
locale = "lt";
arch = "linux-i686";
- sha256 = "944e1d73a6a1e97fb76c2b2b4e16d9af3a4f6e0d7d73bc57a092cee36f334dc4";
+ sha256 = "8d40bb5a1a8c33f02748dabb107d2c49c7a62c91b7f29ca8cddf46edc77a1c5f";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/lv/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/lv/firefox-124.0.1.tar.bz2";
locale = "lv";
arch = "linux-i686";
- sha256 = "d197fcdf8158132f3c3f7decd6fc94bd908ab98b78750431ffc569ba9509406a";
+ sha256 = "00ec09a31a02b63b165c6a672429dd3145c1a69a0e0a5df8cdb4adb0de914ddc";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/mk/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/mk/firefox-124.0.1.tar.bz2";
locale = "mk";
arch = "linux-i686";
- sha256 = "bf39f56a838ad8aff227c74c14aba89bddae5e31954458d1e721105e6bb8a36a";
+ sha256 = "2d38319810756beab2f3007fbc3bc36b1378cf92814c3ee89e6a0f855bbbfe27";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/mr/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/mr/firefox-124.0.1.tar.bz2";
locale = "mr";
arch = "linux-i686";
- sha256 = "2b76724ff6a72eb1446d29aa5da5c2c3ded2d268b268ce1343ab97f2678a603a";
+ sha256 = "377b84b1e27feea213582be8177ff5a5c863c3e3eb0b7f4900bf548be781d8a8";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/ms/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/ms/firefox-124.0.1.tar.bz2";
locale = "ms";
arch = "linux-i686";
- sha256 = "55779e68b20c726a3979551a359fb3a8625c1366e0707d060fb39a78bfb1cb0f";
+ sha256 = "65284597701f979d1835190328b3f99c39fc13a6e08a0904cccb7997841018f9";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/my/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/my/firefox-124.0.1.tar.bz2";
locale = "my";
arch = "linux-i686";
- sha256 = "19c5629516881675ebaa1bc22905616f5f7aa02105419fa38aa0fb31fef5ef44";
+ sha256 = "3efcea68e2731f4024f243294da913c1aedd704364110c73e11ecee84df7b108";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/nb-NO/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/nb-NO/firefox-124.0.1.tar.bz2";
locale = "nb-NO";
arch = "linux-i686";
- sha256 = "de8eaed1e26851841b26a427c9fa1553edf3a0dc13a98a8f09ae658a0d1fbe67";
+ sha256 = "2c589a36bbc017eb53579b0b1ea401c347eb693b286093759ab0014ff4191053";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/ne-NP/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/ne-NP/firefox-124.0.1.tar.bz2";
locale = "ne-NP";
arch = "linux-i686";
- sha256 = "1c40693435f64d2d5465bfe7efebec3e93d44d060e17a15b3994feebb7b22092";
+ sha256 = "394ec29ae0ab9d699fe15a0ab73ce92f12a8794b253b3494cc27c3efadd672cd";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/nl/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/nl/firefox-124.0.1.tar.bz2";
locale = "nl";
arch = "linux-i686";
- sha256 = "ba86d009f88c4101485b093b6de945c5b610c6b85bfc01f018a1c4b362962dc1";
+ sha256 = "df17577546a281a0c3a94fd3793be9d991bc270bb1c78b0e569a873e753bd765";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/nn-NO/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/nn-NO/firefox-124.0.1.tar.bz2";
locale = "nn-NO";
arch = "linux-i686";
- sha256 = "3678a4db0b45b17d259450b6604439b55350ff2893d9e248a9806c44b69d13ad";
+ sha256 = "30050f528ff98bc96783fac1ad92d89d5b6173a888294391ee4e0c91e41c6e6a";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/oc/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/oc/firefox-124.0.1.tar.bz2";
locale = "oc";
arch = "linux-i686";
- sha256 = "defd7b804c3f9e1fe461db1fd64c34c0ff67b90782257bbece440c08172f87df";
+ sha256 = "a84fe2a6b8949ef720be04177f21d3065bf4a14ec6f86e25dbddee8b56f56a0f";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/pa-IN/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/pa-IN/firefox-124.0.1.tar.bz2";
locale = "pa-IN";
arch = "linux-i686";
- sha256 = "8f934f3cfd335cd46c9be9341d43bdfcb6faeff2bb6bcdb4b62b28a89f071163";
+ sha256 = "51b4b770566deeae87471baf119e899bbfeed068166e5efec5d2df0091368109";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/pl/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/pl/firefox-124.0.1.tar.bz2";
locale = "pl";
arch = "linux-i686";
- sha256 = "23040ccf99acedb9c43e085d908abf90a9c2900889fa29aabc376e5ab5cf201e";
+ sha256 = "501f9fcabb0bd57419c3ebea6e14b35c42373060349f5496d828bb7c81b12163";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/pt-BR/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/pt-BR/firefox-124.0.1.tar.bz2";
locale = "pt-BR";
arch = "linux-i686";
- sha256 = "ee82f5af259ff705e2aac90aedc6625dec39858b7ac09091026ca3211b1a2774";
+ sha256 = "437ef79fe9a568ae3b5d8339187a9f68b8209354e55c69485fd0975a81c4e261";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/pt-PT/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/pt-PT/firefox-124.0.1.tar.bz2";
locale = "pt-PT";
arch = "linux-i686";
- sha256 = "c98a69e2df896b6214dcfb1ede0bcc7ccd104937f621bc85c137d7b64f348e6b";
+ sha256 = "82798804899b552deac8dc55da6a0b8227af4abec87bad0143b306381a6551da";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/rm/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/rm/firefox-124.0.1.tar.bz2";
locale = "rm";
arch = "linux-i686";
- sha256 = "05f81db7c1781a5ba8453afd44adff343985e666b91f7a07a782b57680296534";
+ sha256 = "5ecd2ad1f3c9cfe98e15a37e5b6a8753c9a1a27384aa893703c4d7ba19af9d2d";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/ro/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/ro/firefox-124.0.1.tar.bz2";
locale = "ro";
arch = "linux-i686";
- sha256 = "14609104e99ca7dab6f9b1fa839509e58f57a99c9ba9275e04a2d1e54769980d";
+ sha256 = "73b265f0f92f3e0a81114654120e02823599081ef3f4ce12c552c8c622875c2e";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/ru/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/ru/firefox-124.0.1.tar.bz2";
locale = "ru";
arch = "linux-i686";
- sha256 = "90b3c054d9759365ff51334d46006837ccaf74f1151f2963e3f093f307a5b5e8";
+ sha256 = "17d1c887cd95518cb509d92c3423ad60cb281038ddf71aa6e3b0b622a4838209";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/sat/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/sat/firefox-124.0.1.tar.bz2";
locale = "sat";
arch = "linux-i686";
- sha256 = "5b53e9ceca6bec9e2ff07813d4d9a1733dd75bfbe7e80a688ccd761f86c615d0";
+ sha256 = "71131bf296cbc844608a63082da56d54fba20f798c4873d68d0c251b1914ad3c";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/sc/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/sc/firefox-124.0.1.tar.bz2";
locale = "sc";
arch = "linux-i686";
- sha256 = "9f4ef95620876739ad590f7f0c406eef650114f1ebfe77ef9c9ab3434711489a";
+ sha256 = "d15362d39bd9300fd944db163406044e63bfb8e059e95df52084da0ce6bab76b";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/sco/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/sco/firefox-124.0.1.tar.bz2";
locale = "sco";
arch = "linux-i686";
- sha256 = "d8503bc0fdc4eb7a0468f58d065f42c304b4badd032bd6d20d539924a4d89e59";
+ sha256 = "4226010021336ec84f586a084cc7c66f546b69d75724a02fb4928090697615d3";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/si/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/si/firefox-124.0.1.tar.bz2";
locale = "si";
arch = "linux-i686";
- sha256 = "9988e5f7d55c2c5b08207c4bf94809a53387f05835e0e54e4e26965823809338";
+ sha256 = "66e6d403f9388ff8d5f14fbc3ea6710611286133881cf49d2afa79eb1206e4b0";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/sk/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/sk/firefox-124.0.1.tar.bz2";
locale = "sk";
arch = "linux-i686";
- sha256 = "0adc99fb2eba6946e95df1e9321f2b72799a473250f8b00def8c949f0488f61c";
+ sha256 = "2f14b7eddf70ff6c1b0aab07f42c618604ee79bb6af406f97e17d2012fac995d";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/sl/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/sl/firefox-124.0.1.tar.bz2";
locale = "sl";
arch = "linux-i686";
- sha256 = "2f123577501c774fe9a1b9d8c75aea79de4abd17d38bec872bf9d8888c28af59";
+ sha256 = "0c81a5157256fec79bce00c32c0558e256bfc1f4955c6d0b91b5e481fa3018d9";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/son/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/son/firefox-124.0.1.tar.bz2";
locale = "son";
arch = "linux-i686";
- sha256 = "7cf067d05270b6578b2ee18e397310714b16af4b8575449c25386a4b283d576a";
+ sha256 = "b0c261496b1f8feb8c0e9ad4c1d7dbbb7db2b189ec734270376e57f86a1f0be5";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/sq/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/sq/firefox-124.0.1.tar.bz2";
locale = "sq";
arch = "linux-i686";
- sha256 = "a4410f567b1f0be814813475a008ed8351b81e281fd56c3600fee2e82f376d53";
+ sha256 = "f2bd6d3b2ef5f3a71471367feb11748c2012e49c86db9d15681da442638dc352";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/sr/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/sr/firefox-124.0.1.tar.bz2";
locale = "sr";
arch = "linux-i686";
- sha256 = "12bdd341c317fe2e8482b6252d11bb6aa9b1b5fb9d580cd3b29c0d390bfdb313";
+ sha256 = "170335737a793264bd67ea4c1861731542b2834cfa6ad0305cc8fb81f3e4ab71";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/sv-SE/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/sv-SE/firefox-124.0.1.tar.bz2";
locale = "sv-SE";
arch = "linux-i686";
- sha256 = "401bd2e9b6c111c073b47d211ff675875bd81702d69348ea984ce1d73c488ed1";
+ sha256 = "6a0036bdbce5c20582f82ec44f04e780647047118cabb597dff117dfaf9f84e0";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/szl/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/szl/firefox-124.0.1.tar.bz2";
locale = "szl";
arch = "linux-i686";
- sha256 = "8b5bdbe0da8d313467c593214619f82519628dd5437b26a75512d445cba9d487";
+ sha256 = "eecec3185ca0cf0bde7f74b7f2973cab3104a79f0fdf2489dcf41c064072060f";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/ta/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/ta/firefox-124.0.1.tar.bz2";
locale = "ta";
arch = "linux-i686";
- sha256 = "28353ecdd5bcab284e72d75cb1f941fb544647a8b9d7414adb7340a069c974ce";
+ sha256 = "8b60a57fd9f0353be508f251e05f073ba066846e97aec29dc756335ee6b4f1e0";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/te/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/te/firefox-124.0.1.tar.bz2";
locale = "te";
arch = "linux-i686";
- sha256 = "ee22377e923bd420f7ecf7be062f05b4148c8b0cee1d32653ae7e1dc351b1693";
+ sha256 = "809e483f245f668a38f029979cfbc6506544d50a876f0036ee372cc2df5e9522";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/tg/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/tg/firefox-124.0.1.tar.bz2";
locale = "tg";
arch = "linux-i686";
- sha256 = "737dcddc4af3038ea8e5efb410b7207d51338e074012416a5059019604bbb433";
+ sha256 = "f57fdca712272a8d3e89c2443fd0687d5e26d5491626bfd96c9a5126263d5f5a";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/th/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/th/firefox-124.0.1.tar.bz2";
locale = "th";
arch = "linux-i686";
- sha256 = "3a9e64df862df2856372473d958748a1769eddb113bc59e3962f6e4e079ee392";
+ sha256 = "c3f073f99aa4b41fab6e9f42ca2646ca28069e8f7e729046afe1be276d9ffbf6";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/tl/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/tl/firefox-124.0.1.tar.bz2";
locale = "tl";
arch = "linux-i686";
- sha256 = "44859c949a37ab3155ca30f1fe072f156da980c982db889de92a9a36c416f64d";
+ sha256 = "198077676ff2cb3dec4dc486400e1dc347ce6d2640e889ab6089b2b9926d1d93";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/tr/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/tr/firefox-124.0.1.tar.bz2";
locale = "tr";
arch = "linux-i686";
- sha256 = "4d35be66330b611ffd7eb2202498bbc11545c29bbc0819b5603d6185918851c5";
+ sha256 = "cedc651e4639cdb069340931dca5b583586bf8204ec385ab1cecf1f6b48735fa";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/trs/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/trs/firefox-124.0.1.tar.bz2";
locale = "trs";
arch = "linux-i686";
- sha256 = "b97c43e287b01b4068cf12c3e3f86314df86f2fb64a9adeedf5123bc0c68abac";
+ sha256 = "8e6bcfd3d585f0d00907e69ebdfa31c123068c63d0ea223780eaf1b87ff60d59";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/uk/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/uk/firefox-124.0.1.tar.bz2";
locale = "uk";
arch = "linux-i686";
- sha256 = "37169aa34b014f1472cab9b9cb35cf47d20d5e060b543364bf74c4fe1c226d16";
+ sha256 = "8922a43839d08629eba896ea5d7a3c7fe33e53a8d062b6f96ee158ca3856c23b";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/ur/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/ur/firefox-124.0.1.tar.bz2";
locale = "ur";
arch = "linux-i686";
- sha256 = "f52f30613d9ab195cabdf5f31d50b3232e2c0fd905d9e57d9b9c100276c07805";
+ sha256 = "3ebef48288de9f4cf3c0aa52d6b893ed53212367edb03167ab14f84f88d9a5a5";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/uz/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/uz/firefox-124.0.1.tar.bz2";
locale = "uz";
arch = "linux-i686";
- sha256 = "33ddafa1d0f02ecbcad705ce0863f99e83aace8d44a115a643b3e49dc94aa624";
+ sha256 = "1949d14efaf57932193f27dbd0f1071ab6edf187a95add78fe36bbf36b2d96ff";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/vi/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/vi/firefox-124.0.1.tar.bz2";
locale = "vi";
arch = "linux-i686";
- sha256 = "6b167ea7ed46a891d2be3b957920a53152284144cea5de538c6ad1a3e8d2c42f";
+ sha256 = "5e4729febba8b072f81f9c3da9ce66f995c59d6ab92e6d7bbe03486ad98186cd";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/xh/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/xh/firefox-124.0.1.tar.bz2";
locale = "xh";
arch = "linux-i686";
- sha256 = "d4feaa8a5fbedbff6b897484ca636e15a9235f67c1eb1eee52ffccaebb2e3bfa";
+ sha256 = "cac4ceea802e808d6c0b1b857bed305991d5c5a82657336d09bad8ec38d50b88";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/zh-CN/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/zh-CN/firefox-124.0.1.tar.bz2";
locale = "zh-CN";
arch = "linux-i686";
- sha256 = "5ded51e830879a1065f9768e0206874f154e82019d06e37e6ca73a10e1110c35";
+ sha256 = "db5e5dcf5e446aea04bd96c1954c87aa96347c0048c98ea657d64c31743debb5";
}
- { url = "https://archive.mozilla.org/pub/firefox/releases/122.0.1/linux-i686/zh-TW/firefox-122.0.1.tar.bz2";
+ { url = "https://archive.mozilla.org/pub/firefox/releases/124.0.1/linux-i686/zh-TW/firefox-124.0.1.tar.bz2";
locale = "zh-TW";
arch = "linux-i686";
- sha256 = "22cf838196513e44940be27a6f6c9f2b468c9374117be3d24ffba5afebdfda52";
+ sha256 = "4b932e80fcaf459ae9fe360328b662529d322f986205b7ec86c37f8683b32ff5";
}
];
}
diff --git a/pkgs/applications/networking/browsers/firefox/common.nix b/pkgs/applications/networking/browsers/firefox/common.nix
index 45823df01d99..25a25e8f358d 100644
--- a/pkgs/applications/networking/browsers/firefox/common.nix
+++ b/pkgs/applications/networking/browsers/firefox/common.nix
@@ -183,7 +183,7 @@ let
# We only link c++ libs here, our compiler wrapper can find wasi libc and crt itself.
wasiSysRoot = runCommand "wasi-sysroot" {} ''
mkdir -p $out/lib/wasm32-wasi
- for lib in ${pkgsCross.wasi32.llvmPackages.libcxx}/lib/* ${pkgsCross.wasi32.llvmPackages.libcxxabi}/lib/*; do
+ for lib in ${pkgsCross.wasi32.llvmPackages.libcxx}/lib/*; do
ln -s $lib $out/lib/wasm32-wasi
done
'';
diff --git a/pkgs/applications/networking/browsers/firefox/packages.nix b/pkgs/applications/networking/browsers/firefox/packages.nix
index 2424b8f8fb35..992e575a3398 100644
--- a/pkgs/applications/networking/browsers/firefox/packages.nix
+++ b/pkgs/applications/networking/browsers/firefox/packages.nix
@@ -3,10 +3,10 @@
{
firefox = buildMozillaMach rec {
pname = "firefox";
- version = "122.0.1";
+ version = "124.0.1";
src = fetchurl {
url = "mirror://mozilla/firefox/releases/${version}/source/firefox-${version}.source.tar.xz";
- sha512 = "1d4fe1ed351edd748ede2ef6448798a32de9ed7a075a54a7ed5f7baa7b0c4c7f932c2e29f443c9066829e39f22a1dc94be5d00cc994193e949b72aa4a1c8ba41";
+ sha512 = "282c45e5c468419536dd8b81c8ea687b10d8002d7521403330e6eeef49207143bee88a44c3785748d461ed9a72687606f5da14f4dfb98eb40a5cd08a4a12722b";
};
extraPatches = [
@@ -33,11 +33,11 @@
firefox-beta = buildMozillaMach rec {
pname = "firefox-beta";
- version = "121.0b9";
+ version = "125.0b3";
applicationName = "Mozilla Firefox Beta";
src = fetchurl {
url = "mirror://mozilla/firefox/releases/${version}/source/firefox-${version}.source.tar.xz";
- sha512 = "a107ba7127f40763325335136c5aeaf6d873dd9ca1c8ca95d93e96b377b41a0974056c84e8323c51ed57e01a2e4ef9996ef2ee2d804053aa2226bd837026523a";
+ sha512 = "7743cda6eed1274591243718dab2d85c0c84ebcaa97283eaded5293243c1c4a40712d3a4b66da4cd18e0eeb50296f3fc9b91a61a4a744b20dd70bd3b3291973d";
};
meta = {
@@ -62,13 +62,13 @@
firefox-devedition = buildMozillaMach rec {
pname = "firefox-devedition";
- version = "121.0b9";
+ version = "125.0b3";
applicationName = "Mozilla Firefox Developer Edition";
requireSigning = false;
branding = "browser/branding/aurora";
src = fetchurl {
url = "mirror://mozilla/devedition/releases/${version}/source/firefox-${version}.source.tar.xz";
- sha512 = "732c2b3f1e47512bee9af696e8763ce13b39497a6ec9af0de9904ce4f55b03bc799e628e17e84ce7062ebd5a7dc50290fbbfa17b0f41622ce5088f1d548897b5";
+ sha512 = "9c452aa0358f227ec988058dc27cd4e3345dc2febea295fe1f061fa4a8d1d118c180e554836c647f4c82ab7a26a9ec9eeb8a73543ba1e23a511842b900ce56e5";
};
meta = {
@@ -94,11 +94,11 @@
firefox-esr-115 = buildMozillaMach rec {
pname = "firefox-esr-115";
- version = "115.7.0esr";
+ version = "115.9.1esr";
applicationName = "Mozilla Firefox ESR";
src = fetchurl {
url = "mirror://mozilla/firefox/releases/${version}/source/firefox-${version}.source.tar.xz";
- sha512 = "d468d8ef117d76e0660c5359c3becf0502354c61bdaaeb4137d86f52b50143abec2ac4578af69afa5670700b57efff1c7323ca23e3339a9eaaa888dee7e8e922";
+ sha512 = "9ccaede2fcda13a07f98a2110bb8f99c7324601d66bff311f3070a669576a1598fe1d7de2d005d725d1f44dbe3934a9c0fd0b7950f60686047d4ce8d9d812310";
};
meta = {
diff --git a/pkgs/applications/networking/browsers/firefox/wrapper.nix b/pkgs/applications/networking/browsers/firefox/wrapper.nix
index a2b97577c8d3..0f62075439c5 100644
--- a/pkgs/applications/networking/browsers/firefox/wrapper.nix
+++ b/pkgs/applications/networking/browsers/firefox/wrapper.nix
@@ -18,6 +18,7 @@
, sndio
, libjack2
, speechd
+, removeReferencesTo
}:
## configurability of the wrapper itself
@@ -238,7 +239,7 @@ let
};
}));
- nativeBuildInputs = [ makeWrapper lndir jq ];
+ nativeBuildInputs = [ makeWrapper lndir jq removeReferencesTo ];
buildInputs = [ browser.gtk3 ];
@@ -413,7 +414,9 @@ let
passthru = { unwrapped = browser; };
disallowedRequisites = [ stdenv.cc ];
-
+ postInstall = ''
+ find "$out" -type f -exec remove-references-to -t ${stdenv.cc} '{}' +
+ '';
meta = browser.meta // {
inherit (browser.meta) description;
mainProgram = launcherName;
diff --git a/pkgs/applications/networking/browsers/floorp/default.nix b/pkgs/applications/networking/browsers/floorp/default.nix
index 099e9fcde4b6..6bb01b63e5cd 100644
--- a/pkgs/applications/networking/browsers/floorp/default.nix
+++ b/pkgs/applications/networking/browsers/floorp/default.nix
@@ -7,28 +7,28 @@
((buildMozillaMach rec {
pname = "floorp";
- packageVersion = "11.9.0";
+ packageVersion = "11.10.5";
applicationName = "Floorp";
binaryName = "floorp";
+ branding = "browser/branding/official";
+ requireSigning = false;
+ allowAddonSideload = true;
# Must match the contents of `browser/config/version.txt` in the source tree
- version = "115.7.0";
+ version = "115.8.0";
src = fetchFromGitHub {
owner = "Floorp-Projects";
repo = "Floorp";
fetchSubmodules = true;
rev = "v${packageVersion}";
- hash = "sha256-Mk/5bkaSLQYFFGhCSjVho8CUilZSYDGarnIt4Wg9/6g=";
+ hash = "sha256-uKgN74xn0v86E/YfqbJNnMIR3gS+3dhdgLJ5VUerurQ=";
};
extraConfigureFlags = [
"--with-app-name=${pname}"
"--with-app-basename=${applicationName}"
- "--with-branding=browser/branding/official"
- "--with-distribution-id=app.floorp.Floorp"
"--with-unsigned-addon-scopes=app,system"
- "--allow-addon-sideload"
];
meta = {
@@ -41,12 +41,18 @@
# not in `badPlatforms` because cross-compilation on 64-bit machine might work.
maxSilent = 14400; # 4h, double the default of 7200s (c.f. #129212, #129115)
license = lib.licenses.mpl20;
+ mainProgram = "floorp";
};
tests = [ nixosTests.floorp ];
}).override {
+ # Upstream build configuration can be found at
+ # .github/workflows/src/linux/shared/mozconfig_linux_base
privacySupport = true;
webrtcSupport = true;
enableOfficialBranding = false;
+ googleAPISupport = true;
+ mlsAPISupport = true;
}).overrideAttrs (prev: {
- MOZ_REQUIRE_SIGNING = "";
+ MOZ_DATA_REPORTING = "";
+ MOZ_TELEMETRY_REPORTING = "";
})
diff --git a/pkgs/applications/networking/browsers/gmni/default.nix b/pkgs/applications/networking/browsers/gmni/default.nix
index 4bc4e360120f..51dc9a3df53d 100644
--- a/pkgs/applications/networking/browsers/gmni/default.nix
+++ b/pkgs/applications/networking/browsers/gmni/default.nix
@@ -14,6 +14,14 @@ stdenv.mkDerivation rec {
nativeBuildInputs = [ scdoc ];
buildInputs = [ bearssl ];
+ # Fix build on `gcc-13`:
+ # inlined from 'xt_end_chain' at src/tofu.c:82:3,
+ # ...-glibc-2.38-27-dev/include/bits/stdio2.h:54:10: error: '__builtin___snprintf_chk' specified bound 4 exceeds destination size 3 [-Werror=stringop-overflow]
+ #
+ # The overflow will not happen in practice, but `snprintf()` gets
+ # passed one more byte than available.
+ hardeningDisable = [ "fortify3" ];
+
meta = with lib; {
description = "A Gemini client";
homepage = "https://git.sr.ht/~sircmpwn/gmni";
diff --git a/pkgs/applications/networking/browsers/kristall/default.nix b/pkgs/applications/networking/browsers/kristall/default.nix
index 1435d2472635..3515f0e30e01 100644
--- a/pkgs/applications/networking/browsers/kristall/default.nix
+++ b/pkgs/applications/networking/browsers/kristall/default.nix
@@ -36,6 +36,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description =
"Graphical small-internet client, supports gemini, http, https, gopher, finger";
+ mainProgram = "kristall";
homepage = "https://random-projects.net/projects/kristall.gemini";
maintainers = with maintainers; [ ehmry ];
license = licenses.gpl3Only;
diff --git a/pkgs/applications/networking/browsers/ladybird/default.nix b/pkgs/applications/networking/browsers/ladybird/default.nix
index c29400c32fdf..04e786bcaad4 100644
--- a/pkgs/applications/networking/browsers/ladybird/default.nix
+++ b/pkgs/applications/networking/browsers/ladybird/default.nix
@@ -1,73 +1,157 @@
{ lib
, stdenv
, fetchFromGitHub
+, fetchzip
+, fetchurl
+, cacert
+, tzdata
+, unicode-emoji
+, unicode-character-database
+, darwin
, cmake
, ninja
-, unzip
-, wrapQtAppsHook
, libxcrypt
-, qtbase
+, qt6Packages
, nixosTests
+, AppKit
+, Cocoa
+, Foundation
+, OpenGL
}:
+let
+ inherit (builtins) elemAt;
+ cldr_version = "44.1.0";
+ cldr-json = fetchzip {
+ url = "https://github.com/unicode-org/cldr-json/releases/download/${cldr_version}/cldr-${cldr_version}-json-modern.zip";
+ stripRoot = false;
+ hash = "sha256-EbbzaaspKgRT/dsJV3Kf0Dfj8LN9zT+Pl4gk5kiOXWk=";
+ postFetch = ''
+ echo -n ${cldr_version} > $out/version.txt
+ '';
+ };
+ unicode-idna = fetchurl {
+ url = "https://www.unicode.org/Public/idna/${unicode-character-database.version}/IdnaMappingTable.txt";
+ hash = "sha256-QCy9KF8flS/NCDS2NUHVT2nT2PG4+Fmb9xoaFJNfgsQ=";
+ };
+ adobe-icc-profiles = fetchurl {
+ url = "https://download.adobe.com/pub/adobe/iccprofiles/win/AdobeICCProfilesCS4Win_end-user.zip";
+ hash = "sha256-kgQ7fDyloloPaXXQzcV9tgpn3Lnr37FbFiZzEb61j5Q=";
+ name = "adobe-icc-profiles.zip";
+ };
+ public_suffix_commit = "9094af5c6cb260e69137c043c01be18fee01a540";
+ public-suffix-list = fetchurl {
+ url = "https://raw.githubusercontent.com/publicsuffix/list/${public_suffix_commit}/public_suffix_list.dat";
+ hash = "sha256-0szHUz1T0MXOQ9tcXoKY2F/bI3s7hsYCjURqywZsf1w=";
+ };
+ # Note: The cacert version is synthetic and must match the version in the package's CMake
+ cacert_version = "2023-12-12";
+in
stdenv.mkDerivation (finalAttrs: {
pname = "ladybird";
- version = "unstable-2023-01-17";
+ version = "0-unstable-2024-03-16";
src = fetchFromGitHub {
owner = "SerenityOS";
repo = "serenity";
- rev = "45e85d20b64862df119f643f24e2d500c76c58f3";
- hash = "sha256-n2mLg9wNfdMGsJuGj+ukjto9qYjGOIz4cZjgvMGQUrY=";
+ rev = "3a8bde9ef24dace600484b38992fdc7d17bf92c3";
+ hash = "sha256-r8HYcexrOjDYsXuCtROiNY7Rl60pVQBvVQf190gqNuY=";
};
sourceRoot = "${finalAttrs.src.name}/Ladybird";
postPatch = ''
- substituteInPlace CMakeLists.txt \
- --replace "MACOSX_BUNDLE TRUE" "MACOSX_BUNDLE FALSE"
- # https://github.com/SerenityOS/serenity/issues/17062
- substituteInPlace main.cpp \
- --replace "./SQLServer/SQLServer" "$out/bin/SQLServer"
- # https://github.com/SerenityOS/serenity/issues/10055
- substituteInPlace ../Meta/Lagom/CMakeLists.txt \
- --replace "@rpath" "$out/lib"
+ sed -i '/iconutil/d' CMakeLists.txt
+
+ # Don't set absolute paths in RPATH
+ substituteInPlace ../Meta/CMake/lagom_install_options.cmake \
+ --replace-fail "\''${CMAKE_INSTALL_BINDIR}" "bin" \
+ --replace-fail "\''${CMAKE_INSTALL_LIBDIR}" "lib"
'';
- nativeBuildInputs = [
+ preConfigure = ''
+ # Setup caches for LibLocale, LibUnicode, LibTimezone, LibTLS and LibGfx
+ # Note that the versions of the input data packages must match the
+ # expected version in the package's CMake.
+ mkdir -p build/Caches
+
+ ln -s ${cldr-json} build/Caches/CLDR
+
+ cp -r ${unicode-character-database}/share/unicode build/Caches/UCD
+ chmod +w build/Caches/UCD
+ cp ${unicode-emoji}/share/unicode/emoji/emoji-test.txt build/Caches/UCD
+ cp ${unicode-idna} build/Caches/UCD/IdnaMappingTable.txt
+ echo -n ${unicode-character-database.version} > build/Caches/UCD/version.txt
+ chmod -w build/Caches/UCD
+
+ mkdir build/Caches/TZDB
+ tar -xzf ${elemAt tzdata.srcs 0} -C build/Caches/TZDB
+ echo -n ${tzdata.version} > build/Caches/TZDB/version.txt
+
+ mkdir build/Caches/CACERT
+ cp ${cacert}/etc/ssl/certs/ca-bundle.crt build/Caches/CACERT/cacert-${cacert_version}.pem
+ echo -n ${cacert_version} > build/Caches/CACERT/version.txt
+
+ mkdir build/Caches/PublicSuffix
+ cp ${public-suffix-list} build/Caches/PublicSuffix/public_suffix_list.dat
+
+ mkdir build/Caches/AdobeICCProfiles
+ cp ${adobe-icc-profiles} build/Caches/AdobeICCProfiles/adobe-icc-profiles.zip
+ chmod +w build/Caches/AdobeICCProfiles
+ '';
+
+ nativeBuildInputs = with qt6Packages; [
cmake
ninja
- unzip
wrapQtAppsHook
];
- buildInputs = [
+ buildInputs = with qt6Packages; [
libxcrypt
qtbase
+ qtmultimedia
+ ] ++ lib.optionals stdenv.isDarwin [
+ AppKit
+ Cocoa
+ Foundation
+ OpenGL
];
cmakeFlags = [
# Disable network operations
- "-DENABLE_TIME_ZONE_DATABASE_DOWNLOAD=false"
- "-DENABLE_UNICODE_DATABASE_DOWNLOAD=false"
+ "-DSERENITY_CACHE_DIR=Caches"
+ "-DENABLE_NETWORK_DOWNLOADS=OFF"
+ "-DENABLE_COMMONMARK_SPEC_DOWNLOAD=OFF"
+ ] ++ lib.optionals stdenv.isLinux [
+ "-DCMAKE_INSTALL_LIBEXECDIR=libexec"
+ # FIXME: Enable this when launching with the commandline flag --enable-gpu-painting doesn't fail calling eglBindAPI on GNU/Linux
+ "-DENABLE_ACCELERATED_GRAPHICS=OFF"
];
+ # FIXME: Add an option to -DENABLE_QT=ON on macOS to use Qt rather than Cocoa for the GUI
+ # FIXME: Add an option to enable PulseAudio rather than using Qt multimedia on non-macOS
+
env.NIX_CFLAGS_COMPILE = "-Wno-error";
- # https://github.com/SerenityOS/serenity/issues/10055
postInstall = lib.optionalString stdenv.isDarwin ''
- install_name_tool -add_rpath $out/lib $out/bin/ladybird
+ mkdir -p $out/Applications $out/bin
+ mv $out/bundle/Ladybird.app $out/Applications
'';
+ # Only Ladybird and WebContent need wrapped, if Qt is enabled.
+ # On linux we end up wraping some non-Qt apps, like headless-browser.
+ dontWrapQtApps = stdenv.isDarwin;
+
passthru.tests = {
nixosTest = nixosTests.ladybird;
};
meta = with lib; {
- description = "A browser using the SerenityOS LibWeb engine with a Qt GUI";
- homepage = "https://github.com/awesomekling/ladybird";
+ description = "A browser using the SerenityOS LibWeb engine with a Qt or Cocoa GUI";
+ homepage = "https://ladybird.dev";
license = licenses.bsd2;
maintainers = with maintainers; [ fgaz ];
- platforms = platforms.unix;
+ platforms = [ "x86_64-linux" "aarch64-linux" "aarch64-darwin" ];
+ mainProgram = "Ladybird";
};
})
diff --git a/pkgs/applications/networking/browsers/librewolf/default.nix b/pkgs/applications/networking/browsers/librewolf/default.nix
index 004746c2166f..6a1d59858d80 100644
--- a/pkgs/applications/networking/browsers/librewolf/default.nix
+++ b/pkgs/applications/networking/browsers/librewolf/default.nix
@@ -11,12 +11,13 @@ in
src = librewolf-src.firefox;
requireSigning = false;
allowAddonSideload = true;
+ branding = "browser/branding/librewolf";
inherit (librewolf-src) extraConfigureFlags extraPatches extraPostPatch extraPassthru;
meta = {
description = "A fork of Firefox, focused on privacy, security and freedom";
homepage = "https://librewolf.net/";
- maintainers = with lib.maintainers; [ squalus ];
+ maintainers = with lib.maintainers; [ dotlambda squalus ];
platforms = lib.platforms.unix;
badPlatforms = lib.platforms.darwin;
broken = stdenv.buildPlatform.is32bit; # since Firefox 60, build on 32-bit platforms fails with "out of memory".
diff --git a/pkgs/applications/networking/browsers/librewolf/librewolf.nix b/pkgs/applications/networking/browsers/librewolf/librewolf.nix
index f6689f6ae87d..bb35d92a3d62 100644
--- a/pkgs/applications/networking/browsers/librewolf/librewolf.nix
+++ b/pkgs/applications/networking/browsers/librewolf/librewolf.nix
@@ -11,10 +11,7 @@ rec {
extraConfigureFlags = [
"--with-app-name=librewolf"
"--with-app-basename=LibreWolf"
- "--with-branding=browser/branding/librewolf"
- "--with-distribution-id=io.gitlab.librewolf-community"
"--with-unsigned-addon-scopes=app,system"
- "--allow-addon-sideload"
];
extraPostPatch = ''
diff --git a/pkgs/applications/networking/browsers/librewolf/src.json b/pkgs/applications/networking/browsers/librewolf/src.json
index 357868b665fb..0f829613200b 100644
--- a/pkgs/applications/networking/browsers/librewolf/src.json
+++ b/pkgs/applications/networking/browsers/librewolf/src.json
@@ -1,15 +1,15 @@
{
- "packageVersion": "122.0-2",
+ "packageVersion": "123.0.1-1",
"source": {
- "rev": "122.0-2",
- "sha256": "139vqa0czhbsg8naz75pcf5d8dql30slwrn4l8hkr4r1s1mslyq1"
+ "rev": "123.0.1-1",
+ "sha256": "1rw10n0na7v2syf0dqmjl91d6jhnhzb6xbcd13frwclp1v5j0irk"
},
"settings": {
- "rev": "fe568fa26d52fa917c89d735468a17b990a23e2c",
- "sha256": "1gska84ib386a1021r1n54mb1a47bqn459v5n26g4wqx3xrma48n"
+ "rev": "8a499ecdab8a5136faee50aae1fdd48997711de6",
+ "sha256": "1c12y7b09rrz8zlpar8nnd9k2nvldjqq3cicbc57g6s1npnf8rz6"
},
"firefox": {
- "version": "122.0",
- "sha512": "619b735c16970207f3f8fb31dd2350a5e665a802c30501c89aee4817b8b0f7f466da93c66ab238e94967587e538e6858934b18164ff312dbdbfbc3b2bf8bd824"
+ "version": "123.0.1",
+ "sha512": "e9af61c1ca800edd16ab7a0d24c9a36bbb34813ed0a11ff62389aa38fa83deba394bca5d95cdaad55ad29ffa3c0e5d3dd15ac1099f7fa3649f4b6c835b7498c2"
}
}
diff --git a/pkgs/applications/networking/browsers/links2/default.nix b/pkgs/applications/networking/browsers/links2/default.nix
index faefc91aa3e7..f032d54db348 100644
--- a/pkgs/applications/networking/browsers/links2/default.nix
+++ b/pkgs/applications/networking/browsers/links2/default.nix
@@ -12,7 +12,7 @@ stdenv.mkDerivation (finalAttrs: {
pname = "links2";
src = fetchurl {
- url = "${finalAttrs.meta.homepage}/download/links-${finalAttrs.version}.tar.bz2";
+ url = "http://links.twibright.com/download/links-${finalAttrs.version}.tar.bz2";
hash = "sha256-IqqWwLOOGm+PftnXpBZ6R/w3JGCXdZ72BZ7Pj56teZg=";
};
diff --git a/pkgs/applications/networking/browsers/litebrowser/default.nix b/pkgs/applications/networking/browsers/litebrowser/default.nix
index 2158d0bb2f57..d1ffce7e6e5e 100644
--- a/pkgs/applications/networking/browsers/litebrowser/default.nix
+++ b/pkgs/applications/networking/browsers/litebrowser/default.nix
@@ -47,6 +47,7 @@ stdenv.mkDerivation {
meta = with lib; {
description = "A simple browser based on the litehtml engine";
+ mainProgram = "litebrowser";
homepage = "https://github.com/litehtml/litebrowser-linux";
license = licenses.bsd3;
platforms = platforms.unix;
diff --git a/pkgs/applications/networking/browsers/luakit/default.nix b/pkgs/applications/networking/browsers/luakit/default.nix
index 66188f174753..a861f2f44bfc 100644
--- a/pkgs/applications/networking/browsers/luakit/default.nix
+++ b/pkgs/applications/networking/browsers/luakit/default.nix
@@ -76,6 +76,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://luakit.github.io/";
description = "Fast, small, webkit-based browser framework extensible in Lua";
+ mainProgram = "luakit";
longDescription = ''
Luakit is a highly configurable browser framework based on the WebKit web
content engine and the GTK+ toolkit. It is very fast, extensible with Lua,
diff --git a/pkgs/applications/networking/browsers/microsoft-edge/browser.nix b/pkgs/applications/networking/browsers/microsoft-edge/browser.nix
index 9d3da97fff8c..a73768519086 100644
--- a/pkgs/applications/networking/browsers/microsoft-edge/browser.nix
+++ b/pkgs/applications/networking/browsers/microsoft-edge/browser.nix
@@ -180,7 +180,9 @@ stdenv.mkDerivation rec {
--add-flags ${lib.escapeShellArg commandLineArgs}
'';
- passthru.updateScript = ./update.py;
+ # We only want automatic updates for stable, beta and dev will get updated by the same script
+ # and are only used for testing.
+ passthru = lib.optionalAttrs (channel == "stable") { updateScript = ./update.py; };
meta = with lib; {
homepage = "https://www.microsoft.com/en-us/edge";
diff --git a/pkgs/applications/networking/browsers/microsoft-edge/default.nix b/pkgs/applications/networking/browsers/microsoft-edge/default.nix
index 62222a80553b..4271b21fa8fd 100644
--- a/pkgs/applications/networking/browsers/microsoft-edge/default.nix
+++ b/pkgs/applications/networking/browsers/microsoft-edge/default.nix
@@ -1,20 +1,20 @@
{
- stable = import ./browser.nix {
- channel = "stable";
- version = "121.0.2277.113";
- revision = "1";
- hash = "sha256-VbWM0xC9OlumTf3lBhjd5tdkIx2SGQPf3rhin+rrQvA=";
- };
beta = import ./browser.nix {
channel = "beta";
- version = "122.0.2365.16";
+ version = "123.0.2420.41";
revision = "1";
- hash = "sha256-SeLX7UibXd1nOhxWwMuUTCKK4GkN2TmJPesWhLwCD6A=";
+ hash = "sha256-tWsd+RyGJp+/1Sf4yDrq4EbLfaYsLkm4wLj9rfWmPlE=";
};
dev = import ./browser.nix {
channel = "dev";
- version = "123.0.2380.1";
+ version = "124.0.2450.2";
revision = "1";
- hash = "sha256-SBlHXURiPoC5Q7wi67tgnuV2PUw4ffniGq6kmOZtIf0=";
+ hash = "sha256-9PRQnnTYhArwRcTxuCufM7JcAcr6K7jKeFCrOsarCh0=";
+ };
+ stable = import ./browser.nix {
+ channel = "stable";
+ version = "122.0.2365.92";
+ revision = "1";
+ hash = "sha256-6rEVxFS2advEL4O2uczJTsTy31os9r52IGnHXxj3A+g=";
};
}
diff --git a/pkgs/applications/networking/browsers/microsoft-edge/update.py b/pkgs/applications/networking/browsers/microsoft-edge/update.py
index 616dc0999505..724a83d09d54 100755
--- a/pkgs/applications/networking/browsers/microsoft-edge/update.py
+++ b/pkgs/applications/networking/browsers/microsoft-edge/update.py
@@ -31,7 +31,7 @@ def latest_packages(packages: bytes):
old_package = latest_packages[channel]
if old_package.get_version() < package.get_version(): # type: ignore
latest_packages[channel] = package
- return latest_packages
+ return OrderedDict(sorted(latest_packages.items(), key=lambda x:x[0]))
def nix_expressions(latest: dict[str, Packages]):
diff --git a/pkgs/applications/networking/browsers/midori/default.nix b/pkgs/applications/networking/browsers/midori/default.nix
index 187914740f25..3bf46be68319 100644
--- a/pkgs/applications/networking/browsers/midori/default.nix
+++ b/pkgs/applications/networking/browsers/midori/default.nix
@@ -55,6 +55,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Lightweight WebKitGTK web browser";
+ mainProgram = "midori";
homepage = "https://www.midori-browser.org/";
license = with licenses; [ lgpl21Plus ];
platforms = with platforms; linux;
diff --git a/pkgs/applications/networking/browsers/mullvad-browser/default.nix b/pkgs/applications/networking/browsers/mullvad-browser/default.nix
index 8b1ddb91fcc6..61e704c9e806 100644
--- a/pkgs/applications/networking/browsers/mullvad-browser/default.nix
+++ b/pkgs/applications/networking/browsers/mullvad-browser/default.nix
@@ -90,7 +90,7 @@ let
++ lib.optionals mediaSupport [ ffmpeg ]
);
- version = "13.0.9";
+ version = "13.0.13";
sources = {
x86_64-linux = fetchurl {
@@ -102,7 +102,7 @@ let
"https://tor.eff.org/dist/mullvadbrowser/${version}/mullvad-browser-linux-x86_64-${version}.tar.xz"
"https://tor.calyxinstitute.org/dist/mullvadbrowser/${version}/mullvad-browser-linux-x86_64-${version}.tar.xz"
];
- hash = "sha256-TAtBlSkfpqsROq3bV9kwDYIJQAXSVkwxQwj3wIYEI7k=";
+ hash = "sha256-CAJJs14U9zsl5PiyZIwXYZG4dZz+Cqn7sD9u3S+/WvA=";
};
};
@@ -256,6 +256,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Privacy-focused browser made in a collaboration between The Tor Project and Mullvad";
+ mainProgram = "mullvad-browser";
homepage = "https://mullvad.net/en/browser";
platforms = attrNames sources;
maintainers = with maintainers; [ felschr panicgh ];
diff --git a/pkgs/applications/networking/browsers/netsurf/browser.nix b/pkgs/applications/networking/browsers/netsurf/browser.nix
index d9df75b00c2b..6feb02faa9d2 100644
--- a/pkgs/applications/networking/browsers/netsurf/browser.nix
+++ b/pkgs/applications/networking/browsers/netsurf/browser.nix
@@ -5,12 +5,14 @@
, check
, curl
, expat
+, gperf
, gtk2
, gtk3
, libXcursor
, libXrandr
, libidn
, libjpeg
+, libjxl
, libpng
, libwebp
, libxml2
@@ -44,11 +46,11 @@
stdenv.mkDerivation (finalAttrs: {
pname = "netsurf";
- version = "3.10";
+ version = "3.11";
src = fetchurl {
url = "http://download.netsurf-browser.org/netsurf/releases/source/netsurf-${finalAttrs.version}-src.tar.gz";
- hash = "sha256-NkhEKeGTYUaFwv8kb1W9Cm3d8xoBi+5F4NH3wohRmV4=";
+ hash = "sha256-wopiau/uQo0FOxP4i1xECSIkWXZSLRLq8TfP0y0gHLI=";
};
nativeBuildInputs = [
@@ -63,10 +65,12 @@ stdenv.mkDerivation (finalAttrs: {
buildInputs = [
check
curl
+ gperf
libXcursor
libXrandr
libidn
libjpeg
+ libjxl
libpng
libwebp
libxml2
@@ -103,8 +107,20 @@ stdenv.mkDerivation (finalAttrs: {
env.NIX_CFLAGS_COMPILE = "-fcommon";
+ env.CFLAGS = lib.optionalString stdenv.isDarwin "-D_DARWIN_C_SOURCE";
+
+ patchPhase = lib.optionalString stdenv.cc.isClang ''
+ runHook prePatch
+
+ substituteInPlace Makefile \
+ --replace-warn '--trace' '-t' \
+ --replace-warn '-Wimplicit-fallthrough=3' '-Wimplicit-fallthrough'
+
+ runHook postPatch
+ '';
+
preConfigure = ''
- cat < Makefile.conf
+ cat < Makefile.config
override NETSURF_GTK_RES_PATH := $out/share/
override NETSURF_USE_GRESOURCE := YES
EOF
@@ -118,6 +134,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
homepage = "https://www.netsurf-browser.org/";
description = "A free, open source, small web browser";
+ mainProgram = "netsurf-gtk3";
longDescription = ''
NetSurf is a free, open source web browser. It is written in C and
released under the GNU Public Licence version 2. NetSurf has its own
diff --git a/pkgs/applications/networking/browsers/netsurf/libcss.nix b/pkgs/applications/networking/browsers/netsurf/libcss.nix
index 66a3a4057d85..4b54f013449b 100644
--- a/pkgs/applications/networking/browsers/netsurf/libcss.nix
+++ b/pkgs/applications/networking/browsers/netsurf/libcss.nix
@@ -10,11 +10,11 @@
stdenv.mkDerivation (finalAttrs: {
pname = "netsurf-libcss";
- version = "0.9.1";
+ version = "0.9.2";
src = fetchurl {
url = "http://download.netsurf-browser.org/libs/releases/libcss-${finalAttrs.version}-src.tar.gz";
- hash = "sha256-0tzhbpM5Lo1qcglCDUfC1Wo4EXAaDoGnJPxUHGPTxtw=";
+ hash = "sha256-LfIVu+w01R1gwaBLAbLfTV0Y9RDx86evS4DN21ZxFU4=";
};
nativeBuildInputs = [ pkg-config ];
@@ -33,7 +33,7 @@ stdenv.mkDerivation (finalAttrs: {
env.NIX_CFLAGS_COMPILE = toString [
"-Wno-error=implicit-fallthrough"
- "-Wno-error=maybe-uninitialized"
+ "-Wno-error=${if stdenv.cc.isGNU then "maybe-uninitialized" else "uninitialized"}"
];
meta = {
diff --git a/pkgs/applications/networking/browsers/netsurf/libdom.nix b/pkgs/applications/networking/browsers/netsurf/libdom.nix
index 328bed30f17d..2f198021fafb 100644
--- a/pkgs/applications/networking/browsers/netsurf/libdom.nix
+++ b/pkgs/applications/networking/browsers/netsurf/libdom.nix
@@ -11,11 +11,11 @@
stdenv.mkDerivation (finalAttrs: {
pname = "netsurf-libdom";
- version = "0.4.1";
+ version = "0.4.2";
src = fetchurl {
url = "http://download.netsurf-browser.org/libs/releases/libdom-${finalAttrs.version}-src.tar.gz";
- hash = "sha256-mO4HJHHlXiCMmHjlFcQQrUYso2+HtK/L7K0CPzos70o=";
+ hash = "sha256-0F5FrxZUcBTCsKOuzzZw+hPUGfUFs/X8esihSR/DDzw=";
};
nativeBuildInputs = [ pkg-config ];
diff --git a/pkgs/applications/networking/browsers/netsurf/libhubbub.nix b/pkgs/applications/networking/browsers/netsurf/libhubbub.nix
index 29a312928092..5847fd21d2ae 100644
--- a/pkgs/applications/networking/browsers/netsurf/libhubbub.nix
+++ b/pkgs/applications/networking/browsers/netsurf/libhubbub.nix
@@ -1,6 +1,7 @@
{ lib
, stdenv
, fetchurl
+, gperf
, perl
, pkg-config
, buildsystem
@@ -9,16 +10,17 @@
stdenv.mkDerivation (finalAttrs: {
pname = "netsurf-libhubbub";
- version = "0.3.7";
+ version = "0.3.8";
src = fetchurl {
url = "http://download.netsurf-browser.org/libs/releases/libhubbub-${finalAttrs.version}-src.tar.gz";
- hash = "sha256-nnriU+bJBp51frmtTkhG84tNtSwMoBUURqn6Spd3NbY=";
+ hash = "sha256-isHm9fPUjAUUHVk5FxlTQpDFnNAp78JJ60/brBAs1aU=";
};
nativeBuildInputs = [ pkg-config ];
buildInputs = [
+ gperf
perl
buildsystem
libparserutils
diff --git a/pkgs/applications/networking/browsers/netsurf/libnsbmp.nix b/pkgs/applications/networking/browsers/netsurf/libnsbmp.nix
index 9ae8dafa1b0d..a0c1cf106288 100644
--- a/pkgs/applications/networking/browsers/netsurf/libnsbmp.nix
+++ b/pkgs/applications/networking/browsers/netsurf/libnsbmp.nix
@@ -7,11 +7,11 @@
stdenv.mkDerivation (finalAttrs: {
pname = "netsurf-libnsbmp";
- version = "0.1.6";
+ version = "0.1.7";
src = fetchurl {
url = "http://download.netsurf-browser.org/libs/releases/libnsbmp-${finalAttrs.version}-src.tar.gz";
- hash = "sha256-ecSTZfhg7UUb/EEJ7d7I3j6bfOWjvgaVlr0qoZJ5Mk8=";
+ hash = "sha256-VAenaCoSK6qqWhW1BSkOLTffVME8Xt70sJ0SyGLYIpM=";
};
nativeBuildInputs = [ pkg-config ];
diff --git a/pkgs/applications/networking/browsers/netsurf/libnsgif.nix b/pkgs/applications/networking/browsers/netsurf/libnsgif.nix
index 20c990448e77..0f57939e1700 100644
--- a/pkgs/applications/networking/browsers/netsurf/libnsgif.nix
+++ b/pkgs/applications/networking/browsers/netsurf/libnsgif.nix
@@ -8,11 +8,11 @@
stdenv.mkDerivation (finalAttrs: {
pname = "netsurf-libnsgif";
- version = "0.2.1";
+ version = "1.0.0";
src = fetchurl {
url = "http://download.netsurf-browser.org/libs/releases/libnsgif-${finalAttrs.version}-src.tar.gz";
- hash = "sha256-nq6lNM1wtTxar0UxeulXcBaFprSojb407Sb0+q6Hmks=";
+ hash = "sha256-YBTIQvYUVNL1oPgkPXqNe96bfaPM/cotNGx8CyxMBhs=";
};
depsBuildBuild = [ buildPackages.stdenv.cc ];
diff --git a/pkgs/applications/networking/browsers/netsurf/libnsutils.nix b/pkgs/applications/networking/browsers/netsurf/libnsutils.nix
index 80e883e4b244..141117a94f70 100644
--- a/pkgs/applications/networking/browsers/netsurf/libnsutils.nix
+++ b/pkgs/applications/networking/browsers/netsurf/libnsutils.nix
@@ -7,11 +7,11 @@
stdenv.mkDerivation (finalAttrs: {
pname = "netsurf-libnsutils";
- version = "0.1.0";
+ version = "0.1.1";
src = fetchurl {
url = "http://download.netsurf-browser.org/libs/releases/libnsutils-${finalAttrs.version}-src.tar.gz";
- hash = "sha256-eQxlFjRKvoL2KJ1lY5LpzOvkdbIMx+Hi2EMBE4X3rvA=";
+ hash = "sha256-VpS0Um5FjtAAQTzmAnWJy+EKJXp+zwZaAUIdxymd6pI=";
};
nativeBuildInputs = [ pkg-config ];
diff --git a/pkgs/applications/networking/browsers/netsurf/libparserutils.nix b/pkgs/applications/networking/browsers/netsurf/libparserutils.nix
index 411495b8e215..a7db88925768 100644
--- a/pkgs/applications/networking/browsers/netsurf/libparserutils.nix
+++ b/pkgs/applications/networking/browsers/netsurf/libparserutils.nix
@@ -3,20 +3,22 @@
, fetchurl
, perl
, buildsystem
+, iconv
}:
stdenv.mkDerivation (finalAttrs: {
pname = "netsurf-libparserutils";
- version = "0.2.4";
+ version = "0.2.5";
src = fetchurl {
url = "http://download.netsurf-browser.org/libs/releases/libparserutils-${finalAttrs.version}-src.tar.gz";
- hash = "sha256-MiuuYbMMzt4+MFv26uJBSSBkl3W8X/HRtogBKjxJR9g=";
+ hash = "sha256-MX7VxxjxeSe1chl0uuXeMsP9bQVdsTGtMbQxKgMu0Tk=";
};
buildInputs = [
perl
buildsystem
+ iconv
];
makeFlags = [
diff --git a/pkgs/applications/networking/browsers/netsurf/libsvgtiny.nix b/pkgs/applications/networking/browsers/netsurf/libsvgtiny.nix
index 19b523ce50ff..a672a7b7cde9 100644
--- a/pkgs/applications/networking/browsers/netsurf/libsvgtiny.nix
+++ b/pkgs/applications/networking/browsers/netsurf/libsvgtiny.nix
@@ -12,11 +12,11 @@
stdenv.mkDerivation (finalAttrs: {
pname = "netsurf-libsvgtiny";
- version = "0.1.7";
+ version = "0.1.8";
src = fetchurl {
url = "http://download.netsurf-browser.org/libs/releases/libsvgtiny-${finalAttrs.version}-src.tar.gz";
- hash = "sha256-LA3PlS8c2ILD6VQB75RZ8W27U8XT5FEjObL563add4E=";
+ hash = "sha256-w1cifwLoP7KnaxK5ARkaCCIp2x8Ac2Lo8xx1RRDCoBw=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/networking/browsers/netsurf/nsgenbind.nix b/pkgs/applications/networking/browsers/netsurf/nsgenbind.nix
index fc2b99280380..0ad77b07ca40 100644
--- a/pkgs/applications/networking/browsers/netsurf/nsgenbind.nix
+++ b/pkgs/applications/networking/browsers/netsurf/nsgenbind.nix
@@ -30,6 +30,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
homepage = "https://www.netsurf-browser.org/";
description = "Generator for JavaScript bindings for netsurf browser";
+ mainProgram = "nsgenbind";
license = lib.licenses.mit;
inherit (buildsystem.meta) maintainers platforms;
};
diff --git a/pkgs/applications/networking/browsers/nyxt/default.nix b/pkgs/applications/networking/browsers/nyxt/default.nix
index ecc75cd5cd30..6a990201c75d 100644
--- a/pkgs/applications/networking/browsers/nyxt/default.nix
+++ b/pkgs/applications/networking/browsers/nyxt/default.nix
@@ -53,6 +53,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Infinitely extensible web-browser (with Lisp development files using WebKitGTK platform port)";
+ mainProgram = "nyxt";
homepage = "https://nyxt.atlas.engineer";
license = licenses.bsd3;
maintainers = with maintainers; [ lewo dariof4 ];
diff --git a/pkgs/applications/networking/browsers/opera/default.nix b/pkgs/applications/networking/browsers/opera/default.nix
index 71ab94dad5af..e890b35bc635 100644
--- a/pkgs/applications/networking/browsers/opera/default.nix
+++ b/pkgs/applications/networking/browsers/opera/default.nix
@@ -51,11 +51,11 @@ let
in
stdenv.mkDerivation rec {
pname = "opera";
- version = "106.0.4998.70";
+ version = "107.0.5045.36";
src = fetchurl {
url = "${mirror}/${version}/linux/${pname}-stable_${version}_amd64.deb";
- hash = "sha256-JTLu59x5fthTKwP4cTX8pabRWFVhkatGNm0bV2yHBxE=";
+ hash = "sha256-NSJmPwDZbmZUv7HoTiZJbvJTAS6HENFWX+JjKVC0oPc=";
};
unpackPhase = "dpkg-deb -x $src .";
diff --git a/pkgs/applications/networking/browsers/polypane/default.nix b/pkgs/applications/networking/browsers/polypane/default.nix
index cf963ab31785..d0a1e359f956 100644
--- a/pkgs/applications/networking/browsers/polypane/default.nix
+++ b/pkgs/applications/networking/browsers/polypane/default.nix
@@ -2,12 +2,12 @@
let
pname = "polypane";
- version = "17.1.0";
+ version = "18.0.4";
src = fetchurl {
url = "https://github.com/firstversionist/${pname}/releases/download/v${version}/${pname}-${version}.AppImage";
name = "${pname}-${version}.AppImage";
- sha256 = "sha256-vOSw+zjO8OJWRzAdnl4i3MLg+AyXFQwYBg332MXdQhw=";
+ sha256 = "sha256-FqaXLoFgkKHzOvy7f9R36uIDnv2c6HrVF6T3VK5Aw3c=";
};
appimageContents = appimageTools.extractType2 {
diff --git a/pkgs/applications/networking/browsers/qtchan/default.nix b/pkgs/applications/networking/browsers/qtchan/default.nix
index 8ad81139fbe9..eb2e9789caa5 100644
--- a/pkgs/applications/networking/browsers/qtchan/default.nix
+++ b/pkgs/applications/networking/browsers/qtchan/default.nix
@@ -22,6 +22,7 @@ mkDerivation rec {
meta = with lib; {
description = "4chan browser in qt5";
+ mainProgram = "qtchan";
homepage = "https://github.com/siavash119/qtchan";
license = licenses.mit;
maintainers = with maintainers; [ Madouura ];
diff --git a/pkgs/applications/networking/browsers/surf/default.nix b/pkgs/applications/networking/browsers/surf/default.nix
index 6573821d0598..012a86aadb38 100644
--- a/pkgs/applications/networking/browsers/surf/default.nix
+++ b/pkgs/applications/networking/browsers/surf/default.nix
@@ -49,6 +49,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A simple web browser based on WebKitGTK";
+ mainProgram = "surf";
longDescription = ''
surf is a simple web browser based on WebKitGTK. It is able to display
websites and follow links. It supports the XEmbed protocol which makes it
diff --git a/pkgs/applications/networking/browsers/tor-browser/default.nix b/pkgs/applications/networking/browsers/tor-browser/default.nix
index 1cc5b9405ec5..425e06051b59 100644
--- a/pkgs/applications/networking/browsers/tor-browser/default.nix
+++ b/pkgs/applications/networking/browsers/tor-browser/default.nix
@@ -101,7 +101,7 @@ lib.warnIf (useHardenedMalloc != null)
++ lib.optionals mediaSupport [ ffmpeg ]
);
- version = "13.0.9";
+ version = "13.0.13";
sources = {
x86_64-linux = fetchurl {
@@ -111,7 +111,7 @@ lib.warnIf (useHardenedMalloc != null)
"https://tor.eff.org/dist/torbrowser/${version}/tor-browser-linux-x86_64-${version}.tar.xz"
"https://tor.calyxinstitute.org/dist/torbrowser/${version}/tor-browser-linux-x86_64-${version}.tar.xz"
];
- hash = "sha256-qcB3DLVt2J4WNJLunDSnZdyflMY9/NIsGrj+TkQeJEg=";
+ hash = "sha256-l7Ka8vjVX67ZPPzRnQixtki5/cYhP6P/J91CyGPnwfI=";
};
i686-linux = fetchurl {
@@ -121,7 +121,7 @@ lib.warnIf (useHardenedMalloc != null)
"https://tor.eff.org/dist/torbrowser/${version}/tor-browser-linux-i686-${version}.tar.xz"
"https://tor.calyxinstitute.org/dist/torbrowser/${version}/tor-browser-linux-i686-${version}.tar.xz"
];
- hash = "sha256-aq2WffQ3ZUL0vopbDU5n9bWb8MC7rHoaz54kz2oaXz8=";
+ hash = "sha256-Ro9F3SZiagtj3AnDOtHmyy1G/KOi/O9M3f775qrZig4=";
};
};
@@ -323,6 +323,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Privacy-focused browser routing traffic through the Tor network";
+ mainProgram = "tor-browser";
homepage = "https://www.torproject.org/";
changelog = "https://gitweb.torproject.org/builders/tor-browser-build.git/plain/projects/tor-browser/Bundle-Data/Docs/ChangeLog.txt?h=maint-${version}";
platforms = attrNames sources;
diff --git a/pkgs/applications/networking/browsers/vimb/default.nix b/pkgs/applications/networking/browsers/vimb/default.nix
index 35fece92529b..8df37ed5e086 100644
--- a/pkgs/applications/networking/browsers/vimb/default.nix
+++ b/pkgs/applications/networking/browsers/vimb/default.nix
@@ -24,6 +24,7 @@ stdenv.mkDerivation rec {
meta = {
description = "A Vim-like browser";
+ mainProgram = "vimb";
longDescription = ''
A fast and lightweight vim like web browser based on the webkit web
browser engine and the GTK toolkit. Vimb is modal like the great vim
diff --git a/pkgs/applications/networking/browsers/vivaldi/default.nix b/pkgs/applications/networking/browsers/vivaldi/default.nix
index 4995a5b9340e..d7d26f183e23 100644
--- a/pkgs/applications/networking/browsers/vivaldi/default.nix
+++ b/pkgs/applications/networking/browsers/vivaldi/default.nix
@@ -24,7 +24,7 @@ let
vivaldiName = if isSnapshot then "vivaldi-snapshot" else "vivaldi";
in stdenv.mkDerivation rec {
pname = "vivaldi";
- version = "6.5.3206.55";
+ version = "6.6.3271.48";
suffix = {
aarch64-linux = "arm64";
@@ -34,8 +34,8 @@ in stdenv.mkDerivation rec {
src = fetchurl {
url = "https://downloads.vivaldi.com/${branch}/vivaldi-${branch}_${version}-1_${suffix}.deb";
hash = {
- aarch64-linux = "sha256-lr+9+w1vRZSG/2dP5K3mcKLCQijckPdkM/I2DgjO4wg=";
- x86_64-linux = "sha256-ElkuuaZfK8F6CVA5xbKszkbqdcPACFR+xd0pRxnd6+U=";
+ aarch64-linux = "sha256-NeYyPgIioURSDomwZq7Cc08+A/XnQEk6yEiag7YxQO0=";
+ x86_64-linux = "sha256-/zBvH0IQZJr8PKWkIznPRxNLMxQoxVOnDrAw+0BWOEM=";
}.${stdenv.hostPlatform.system} or (throw "Unsupported system: ${stdenv.hostPlatform.system}");
};
diff --git a/pkgs/applications/networking/browsers/vivaldi/ffmpeg-codecs.nix b/pkgs/applications/networking/browsers/vivaldi/ffmpeg-codecs.nix
index 5e49e35313ea..778914763d19 100644
--- a/pkgs/applications/networking/browsers/vivaldi/ffmpeg-codecs.nix
+++ b/pkgs/applications/networking/browsers/vivaldi/ffmpeg-codecs.nix
@@ -4,11 +4,11 @@
# downloads at https://vivaldi.com/download/
stdenv.mkDerivation rec {
pname = "chromium-codecs-ffmpeg-extra";
- version = "111306";
+ version = "114023";
src = fetchurl {
- url = "https://api.snapcraft.io/api/v1/snaps/download/XXzVIXswXKHqlUATPqGCj2w2l7BxosS8_34.snap";
- sha256 = "sha256-Dna9yFgP7JeQLAeZWvSZ+eSMX2yQbX2/+mX0QC22lYY=";
+ url = "https://api.snapcraft.io/api/v1/snaps/download/XXzVIXswXKHqlUATPqGCj2w2l7BxosS8_37.snap";
+ hash = "sha256-l1eHTAJvpkWof7Yj7M5uaa3YdLWw9F+/QvIUAfBx3ow=";
};
buildInputs = [ squashfsTools ];
diff --git a/pkgs/applications/networking/browsers/webmacs/default.nix b/pkgs/applications/networking/browsers/webmacs/default.nix
index 2f63670128bb..dc8b89ac1df7 100644
--- a/pkgs/applications/networking/browsers/webmacs/default.nix
+++ b/pkgs/applications/networking/browsers/webmacs/default.nix
@@ -60,6 +60,7 @@ mkDerivationWith python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "Keyboard-based web browser with Emacs/conkeror heritage";
+ mainProgram = "webmacs";
longDescription = ''
webmacs is yet another browser for keyboard-based web navigation.
diff --git a/pkgs/applications/networking/browsers/yandex-browser/default.nix b/pkgs/applications/networking/browsers/yandex-browser/default.nix
index 24148153cf89..9c1e5a0d2245 100644
--- a/pkgs/applications/networking/browsers/yandex-browser/default.nix
+++ b/pkgs/applications/networking/browsers/yandex-browser/default.nix
@@ -54,15 +54,15 @@
let
version = {
- corporate = "23.9.1.1016-1";
- beta = "23.9.1.1028-1";
- stable = "23.9.1.962-1";
+ corporate = "23.11.1.822-1";
+ beta = "24.1.1.939-1";
+ stable = "24.1.1.940-1";
}.${edition};
hash = {
- corporate = "sha256-A/MjphA6vefDzPmShpPbgjDTl4WnCiZWuHofy1Djrzc=";
- beta = "sha256-vnz1weMwR3V/mBNzrJ0iqnA/aifYTCucW+9kyy/0SnA=";
- stable = "sha256-VrDqFLvK7RdnV6Yt1DILu7mV1WFcilOH5+VKlCdpXjc=";
+ corporate = "sha256-OOcz2dQeVea0vBjF1FyrCsnRR+WrCzfLTd+YXpLJCsI=";
+ beta = "sha256-Meswp1aeNTBr79l7XGWqJT9qqUdOfSzIpdL1L29UfJw=";
+ stable = "sha256-FZHoCRedpHHVwibSXts2DncUN83PZ9UlVOSXPjgAaNs=";
}.${edition};
app = {
diff --git a/pkgs/applications/networking/calls/default.nix b/pkgs/applications/networking/calls/default.nix
index 3546284f99c3..77a80df87ed5 100644
--- a/pkgs/applications/networking/calls/default.nix
+++ b/pkgs/applications/networking/calls/default.nix
@@ -34,7 +34,7 @@
stdenv.mkDerivation rec {
pname = "calls";
- version = "45.0";
+ version = "46.0";
src = fetchFromGitLab {
domain = "gitlab.gnome.org";
@@ -42,7 +42,7 @@ stdenv.mkDerivation rec {
repo = pname;
rev = "v${version}";
fetchSubmodules = true;
- hash = "sha256-NIQFKVpZSxY2QOb73WfYsCzMQwB9XySoADCL7IlmGe8=";
+ hash = "sha256-ZUVMK0Ex77EQKTGM0gBDHt8W9l4rHspihYduMcwMGho=";
};
outputs = [ "out" "devdoc" ];
diff --git a/pkgs/applications/networking/circumflex/default.nix b/pkgs/applications/networking/circumflex/default.nix
index bc1d81e7f956..bec25bf6f120 100644
--- a/pkgs/applications/networking/circumflex/default.nix
+++ b/pkgs/applications/networking/circumflex/default.nix
@@ -23,7 +23,7 @@ buildGoModule rec {
meta = with lib; {
description = "A command line tool for browsing Hacker News in your terminal";
homepage = "https://github.com/bensadeh/circumflex";
- license = licenses.agpl3;
+ license = licenses.agpl3Only;
maintainers = with maintainers; [ mktip ];
mainProgram = "clx";
};
diff --git a/pkgs/applications/networking/cloudflared/default.nix b/pkgs/applications/networking/cloudflared/default.nix
index 97515d4ead7a..00e471590ec4 100644
--- a/pkgs/applications/networking/cloudflared/default.nix
+++ b/pkgs/applications/networking/cloudflared/default.nix
@@ -7,13 +7,13 @@
buildGoModule rec {
pname = "cloudflared";
- version = "2024.1.5";
+ version = "2024.2.1";
src = fetchFromGitHub {
owner = "cloudflare";
repo = "cloudflared";
rev = "refs/tags/${version}";
- hash = "sha256-g7FUwEs/wEcX1vRgfoQZw+uMzx6ng3j4vFwhlHs6WKg=";
+ hash = "sha256-aSAwDz7QSYbHfDA+/usGh7xCxSq+kBTB3eqMBf5XEa8=";
};
vendorHash = null;
diff --git a/pkgs/applications/networking/cluster/acorn/default.nix b/pkgs/applications/networking/cluster/acorn/default.nix
index 30437c08cf16..f26ebfc4c02f 100644
--- a/pkgs/applications/networking/cluster/acorn/default.nix
+++ b/pkgs/applications/networking/cluster/acorn/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "acorn";
- version = "0.10.0";
+ version = "0.10.1";
src = fetchFromGitHub {
owner = "acorn-io";
repo = pname;
rev = "v${version}";
- hash = "sha256-U4VQ8PsmJxeMYj7TlsQQOEPckECDK+ENBQLjq5VFyJ4=";
+ hash = "sha256-Zw/OqN4d5iukh9oUXjczMvNKYkcGSUoDEwfti7uzZXQ=";
};
- vendorHash = "sha256-FZJqE7BWGvXsFsfxnnaKUFLInBzz+bUwURq4KvSMrus=";
+ vendorHash = "sha256-d/1Rqh00THUwcMBWloevfKbScaWhVG5r/32Q4zYUaJg=";
ldflags = [
"-s"
diff --git a/pkgs/applications/networking/cluster/aiac/default.nix b/pkgs/applications/networking/cluster/aiac/default.nix
index 995e00a63948..79bf754fa3e2 100644
--- a/pkgs/applications/networking/cluster/aiac/default.nix
+++ b/pkgs/applications/networking/cluster/aiac/default.nix
@@ -17,6 +17,7 @@ buildGoModule rec {
meta = with lib; {
description = ''Artificial Intelligence Infrastructure-as-Code Generator.'';
+ mainProgram = "aiac";
homepage = "https://github.com/gofireflyio/aiac/";
license = licenses.asl20;
maintainers = with maintainers; [ qjoly ];
diff --git a/pkgs/applications/networking/cluster/argo/default.nix b/pkgs/applications/networking/cluster/argo/default.nix
index 7836cfa844c0..8a6e3ac65f74 100644
--- a/pkgs/applications/networking/cluster/argo/default.nix
+++ b/pkgs/applications/networking/cluster/argo/default.nix
@@ -34,16 +34,16 @@ let
in
buildGoModule rec {
pname = "argo";
- version = "3.5.4";
+ version = "3.5.5";
src = fetchFromGitHub {
owner = "argoproj";
repo = "argo";
rev = "refs/tags/v${version}";
- hash = "sha256-23O8YNnMONrlWcTj6gxXQ0xJw0s0pa/ZoY0OKhzEv5o=";
+ hash = "sha256-SONi7GmFgBEA+5l8ftjFP3+hk55eZmhkLLuu5FfyVFo=";
};
- vendorHash = "sha256-bUCbrHr7N3IB0tnnQ0TlHjaW7tp4iIGFO28KoJEj2sg=";
+ vendorHash = "sha256-XjwtgJdBk9YIro1WlunYmKkuZSrSJTN/BLXn7i3+9xY=";
doCheck = false;
@@ -84,6 +84,7 @@ buildGoModule rec {
meta = with lib; {
description = "Container native workflow engine for Kubernetes";
+ mainProgram = "argo";
homepage = "https://github.com/argoproj/argo";
changelog = "https://github.com/argoproj/argo-workflows/blob/v${version}/CHANGELOG.md";
license = licenses.asl20;
diff --git a/pkgs/applications/networking/cluster/argocd-autopilot/default.nix b/pkgs/applications/networking/cluster/argocd-autopilot/default.nix
index 3b0250c5f9a9..55028845e2cb 100644
--- a/pkgs/applications/networking/cluster/argocd-autopilot/default.nix
+++ b/pkgs/applications/networking/cluster/argocd-autopilot/default.nix
@@ -46,6 +46,7 @@ buildGoModule rec {
meta = with lib; {
description = "ArgoCD Autopilot";
+ mainProgram = "argocd-autopilot";
downloadPage = "https://github.com/argoproj-labs/argocd-autopilot";
homepage = "https://argocd-autopilot.readthedocs.io/en/stable/";
license = licenses.asl20;
diff --git a/pkgs/applications/networking/cluster/argocd/default.nix b/pkgs/applications/networking/cluster/argocd/default.nix
index 37eca7125898..d40532db8a16 100644
--- a/pkgs/applications/networking/cluster/argocd/default.nix
+++ b/pkgs/applications/networking/cluster/argocd/default.nix
@@ -2,17 +2,17 @@
buildGoModule rec {
pname = "argocd";
- version = "2.9.6";
+ version = "2.10.4";
src = fetchFromGitHub {
owner = "argoproj";
repo = "argo-cd";
rev = "v${version}";
- hash = "sha256-TwDWcTxYRopQjjtY3OxOL/BDAF2/eJuqIhDJLxpgr3E=";
+ hash = "sha256-D7vkVvYLImC9dtqPU3Gxe5sQO92qxnx4533ykBm7u7c=";
};
proxyVendor = true; # darwin/linux hash mismatch
- vendorHash = "sha256-gpg9tXVR/svWwbjIiY1OlOf56azxk/dEz+VtaaTeDSk=";
+ vendorHash = "sha256-O13zMtrXgW3SiJmAn64/QW/CJN0+d0h0MMyEWKsy9WE=";
# Set target as ./cmd per cli-local
# https://github.com/argoproj/argo-cd/blob/master/Makefile#L227
@@ -56,6 +56,7 @@ buildGoModule rec {
meta = with lib; {
description = "Declarative continuous deployment for Kubernetes";
+ mainProgram = "argocd";
downloadPage = "https://github.com/argoproj/argo-cd";
homepage = "https://argo-cd.readthedocs.io/en/stable/";
license = licenses.asl20;
diff --git a/pkgs/applications/networking/cluster/arkade/default.nix b/pkgs/applications/networking/cluster/arkade/default.nix
index 39f5f70988c5..b921d080a478 100644
--- a/pkgs/applications/networking/cluster/arkade/default.nix
+++ b/pkgs/applications/networking/cluster/arkade/default.nix
@@ -7,13 +7,13 @@
buildGoModule rec {
pname = "arkade";
- version = "0.10.20";
+ version = "0.11.6";
src = fetchFromGitHub {
owner = "alexellis";
repo = "arkade";
rev = version;
- hash = "sha256-aOJ07Ks+J7cDvF3InKGYx4dJAql9ltJQqa8P+4cKhNk=";
+ hash = "sha256-PCyPdUgvW2wCo1MZ/5jbPLfermbYKZKDbAFsc2goqlY=";
};
CGO_ENABLED = 0;
@@ -51,6 +51,7 @@ buildGoModule rec {
meta = with lib; {
homepage = "https://github.com/alexellis/arkade";
description = "Open Source Kubernetes Marketplace";
+ mainProgram = "arkade";
license = licenses.mit;
maintainers = with maintainers; [ welteki techknowlogick qjoly ];
};
diff --git a/pkgs/applications/networking/cluster/assign-lb-ip/default.nix b/pkgs/applications/networking/cluster/assign-lb-ip/default.nix
index 7b85443db3f0..bc55112dd292 100644
--- a/pkgs/applications/networking/cluster/assign-lb-ip/default.nix
+++ b/pkgs/applications/networking/cluster/assign-lb-ip/default.nix
@@ -15,6 +15,7 @@ buildGoModule rec {
meta = with lib; {
description = "Assigns loadBalancerIP address to a Kubernetes service for testing purposes";
+ mainProgram = "assign-lb-ip";
homepage = "https://github.com/Nordix/assign-lb-ip";
license = licenses.asl20;
maintainers = [ maintainers.starcraft66 ];
diff --git a/pkgs/applications/networking/cluster/atlantis/default.nix b/pkgs/applications/networking/cluster/atlantis/default.nix
index 7b6edadbba43..59c1d8664d55 100644
--- a/pkgs/applications/networking/cluster/atlantis/default.nix
+++ b/pkgs/applications/networking/cluster/atlantis/default.nix
@@ -27,6 +27,7 @@ buildGoModule rec {
meta = with lib; {
homepage = "https://github.com/runatlantis/atlantis";
description = "Terraform Pull Request Automation";
+ mainProgram = "atlantis";
license = licenses.asl20;
maintainers = with maintainers; [ jpotier ];
};
diff --git a/pkgs/applications/networking/cluster/atmos/default.nix b/pkgs/applications/networking/cluster/atmos/default.nix
index 20b02e7d1200..00c8805f3cfa 100644
--- a/pkgs/applications/networking/cluster/atmos/default.nix
+++ b/pkgs/applications/networking/cluster/atmos/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "atmos";
- version = "1.60.0";
+ version = "1.66.0";
src = fetchFromGitHub {
owner = "cloudposse";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-sc0tKOv5J4lF00Zzs2X6ff1EC1xrTRbDvVopq+1Wn6Y=";
+ sha256 = "sha256-8cEJw4gCyh3aosck70vdLVYGOL3zR0AOpAMNv/j6rEM=";
};
- vendorHash = "sha256-wmpFWySQ9MaRGT3yw4gUQEWsF4MNbSDUu68/LHjE28w=";
+ vendorHash = "sha256-k03pRrUzHvVjKt6w40JEiJTvbwhKa/0rjkZQsnmfe68=";
ldflags = [ "-s" "-w" "-X github.com/cloudposse/atmos/cmd.Version=v${version}" ];
@@ -36,6 +36,7 @@ buildGoModule rec {
homepage = "https://atmos.tools";
changelog = "https://github.com/cloudposse/atmos/releases/tag/v${version}";
description = "Universal Tool for DevOps and Cloud Automation (works with terraform, helm, helmfile, etc)";
+ mainProgram = "atmos";
license = licenses.asl20;
maintainers = with maintainers; [ rb ];
};
diff --git a/pkgs/applications/networking/cluster/bosh-cli/default.nix b/pkgs/applications/networking/cluster/bosh-cli/default.nix
index f54860cd95e1..0daf7ae97ba1 100644
--- a/pkgs/applications/networking/cluster/bosh-cli/default.nix
+++ b/pkgs/applications/networking/cluster/bosh-cli/default.nix
@@ -8,13 +8,13 @@
buildGoModule rec {
pname = "bosh-cli";
- version = "7.5.2";
+ version = "7.5.5";
src = fetchFromGitHub {
owner = "cloudfoundry";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-gT0Oivo5QE+pr5PpD/7JAj8oYF9UmSi5F6Ps8RtACzc=";
+ sha256 = "sha256-LjqMCkEIl+0psxIys/tvJPkEQqDRzLOsaFUfAVG+RrE=";
};
vendorHash = null;
diff --git a/pkgs/applications/networking/cluster/calico/default.nix b/pkgs/applications/networking/cluster/calico/default.nix
index 16bf611f3665..145c5d332e00 100644
--- a/pkgs/applications/networking/cluster/calico/default.nix
+++ b/pkgs/applications/networking/cluster/calico/default.nix
@@ -2,16 +2,16 @@
builtins.mapAttrs (pname: { doCheck ? true, mainProgram ? pname, subPackages }: buildGoModule rec {
inherit pname;
- version = "3.27.0";
+ version = "3.27.2";
src = fetchFromGitHub {
owner = "projectcalico";
repo = "calico";
rev = "v${version}";
- hash = "sha256-BW7xo7gOeFOM/5EGMlhkqDyOdZOkqliWa4B2U1fLn5c=";
+ hash = "sha256-iVRK/5vjPnfJMULaufaOu8u09utSt3u85R4cIBl+yUI=";
};
- vendorHash = "sha256-DK+mkbmOS56gVU/hIqAIELTkeALcdR7Pnq5niAhyzLw=";
+ vendorHash = "sha256-h4qTtMG4Xi6YqLMMsXZRWVVdQ3U3VrFG6bV7YDwT5Zk=";
inherit doCheck subPackages;
diff --git a/pkgs/applications/networking/cluster/cilium/default.nix b/pkgs/applications/networking/cluster/cilium/default.nix
index 986ed3f09c98..2174bd7bc658 100644
--- a/pkgs/applications/networking/cluster/cilium/default.nix
+++ b/pkgs/applications/networking/cluster/cilium/default.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "cilium-cli";
- version = "0.15.22";
+ version = "0.16.0";
src = fetchFromGitHub {
owner = "cilium";
repo = pname;
rev = "v${version}";
- hash = "sha256-tjVrcxWXE/eOeVoXnoBHYXk4rA3QqcWDbK1MRZ+v7uE=";
+ hash = "sha256-RJJETvgLdE/fJtd1LMShJ7Hm8/s1zUybhec6YPT44wg=";
};
vendorHash = null;
@@ -17,7 +17,7 @@ buildGoModule rec {
ldflags = [
"-s" "-w"
- "-X github.com/cilium/cilium-cli/cli.Version=${version}"
+ "-X github.com/cilium/cilium-cli/defaults.CLIVersion=${version}"
];
# Required to workaround install check error:
@@ -26,7 +26,7 @@ buildGoModule rec {
doInstallCheck = true;
installCheckPhase = ''
- $out/bin/cilium version | grep ${version} > /dev/null
+ $out/bin/cilium version --client | grep ${version} > /dev/null
'';
nativeBuildInputs = [ installShellFiles ];
diff --git a/pkgs/applications/networking/cluster/civo/default.nix b/pkgs/applications/networking/cluster/civo/default.nix
index acb6849bf598..d2f913a765ed 100644
--- a/pkgs/applications/networking/cluster/civo/default.nix
+++ b/pkgs/applications/networking/cluster/civo/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "civo";
- version = "1.0.75";
+ version = "1.0.76";
src = fetchFromGitHub {
owner = "civo";
repo = "cli";
rev = "v${version}";
- sha256 = "sha256-ElhNxrbXywOWQmhgzM56NfGo7qOLn/Ju4/lOOoc5sDk=";
+ sha256 = "sha256-Bk0YfW9KDliaJIqpVxCXTy7EiGGJPZTXcn6SFEmywRE=";
};
- vendorHash = "sha256-oqitgYSL7nf2Lyne0c2vHOSOEG5uHPH9+3lgiROK2Yc=";
+ vendorHash = "sha256-22n+ks1D65Gk2acCMHxgj19VHDf4B23ivqHfo3J45j0=";
nativeBuildInputs = [ installShellFiles ];
@@ -39,6 +39,7 @@ buildGoModule rec {
meta = with lib; {
description = "CLI for interacting with Civo resources";
+ mainProgram = "civo";
homepage = "https://github.com/civo/cli";
license = licenses.asl20;
maintainers = with maintainers; [ berryp ];
diff --git a/pkgs/applications/networking/cluster/clusterctl/default.nix b/pkgs/applications/networking/cluster/clusterctl/default.nix
index 9bc57649778e..34add12a8135 100644
--- a/pkgs/applications/networking/cluster/clusterctl/default.nix
+++ b/pkgs/applications/networking/cluster/clusterctl/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "clusterctl";
- version = "1.6.1";
+ version = "1.6.3";
src = fetchFromGitHub {
owner = "kubernetes-sigs";
repo = "cluster-api";
rev = "v${version}";
- hash = "sha256-v87QxDx+DA5pJHmu6jNwLcs4dNEsa5fUoRcVAhMnh24=";
+ hash = "sha256-gyZxEzktbYtQSm1gm4wWJriMo/MjDdgaAxjA7J3jeQ0=";
};
- vendorHash = "sha256-1W+nkhbJRHd4AaOzO01ZUu6wFvFIG0SOCzc4dg0Zopk=";
+ vendorHash = "sha256-bMM4d1ji03bICsd6uBqu+0n4rB/SiKjtevQzV0DYHiE=";
subPackages = [ "cmd/clusterctl" ];
@@ -41,6 +41,7 @@ buildGoModule rec {
meta = with lib; {
changelog = "https://github.com/kubernetes-sigs/cluster-api/releases/tag/${src.rev}";
description = "Kubernetes cluster API tool";
+ mainProgram = "clusterctl";
homepage = "https://cluster-api.sigs.k8s.io/";
license = licenses.asl20;
maintainers = with maintainers; [ qjoly ];
diff --git a/pkgs/applications/networking/cluster/cmctl/default.nix b/pkgs/applications/networking/cluster/cmctl/default.nix
index 73dffd03400b..feb1b03d26fe 100644
--- a/pkgs/applications/networking/cluster/cmctl/default.nix
+++ b/pkgs/applications/networking/cluster/cmctl/default.nix
@@ -8,18 +8,18 @@
buildGoModule rec {
pname = "cmctl";
- version = "1.14.1";
+ version = "1.14.4";
src = fetchFromGitHub {
owner = "cert-manager";
repo = "cert-manager";
rev = "v${version}";
- hash = "sha256-tS/s8zrOomuUBIoIh81RMdwmPM9pcz4cNSKVQfNxlrI=";
+ hash = "sha256-iUXN+8ueCxGsFnwhC2WjrQQSXV7TGUR80xaKqjxcC6o=";
};
sourceRoot = "${src.name}/cmd/ctl";
- vendorHash = "sha256-9Y8u6DVS08liliMNEalX6XQU50qRFy5qZq/9EvRSBRQ=";
+ vendorHash = "sha256-ViKsqqM6l/tQSGgj8Yt2L57x+eE1Pd3xCVPuWpIjWOQ=";
ldflags = [
"-s"
@@ -50,6 +50,7 @@ buildGoModule rec {
meta = with lib; {
description = "A CLI tool for managing cert-manager service on Kubernetes clusters";
+ mainProgram = "cmctl";
longDescription = ''
cert-manager adds certificates and certificate issuers as resource types
in Kubernetes clusters, and simplifies the process of obtaining, renewing
diff --git a/pkgs/applications/networking/cluster/cni/default.nix b/pkgs/applications/networking/cluster/cni/default.nix
index 33688148985f..6fdeb802ea1c 100644
--- a/pkgs/applications/networking/cluster/cni/default.nix
+++ b/pkgs/applications/networking/cluster/cni/default.nix
@@ -21,6 +21,7 @@ buildGoModule rec {
meta = with lib; {
description = "Container Network Interface - networking for Linux containers";
+ mainProgram = "cnitool";
license = licenses.asl20;
homepage = "https://github.com/containernetworking/cni";
maintainers = with maintainers; [ offline vdemeester ];
diff --git a/pkgs/applications/networking/cluster/cni/plugins.nix b/pkgs/applications/networking/cluster/cni/plugins.nix
index 1db39c3cf007..438d51518dff 100644
--- a/pkgs/applications/networking/cluster/cni/plugins.nix
+++ b/pkgs/applications/networking/cluster/cni/plugins.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "cni-plugins";
- version = "1.4.0";
+ version = "1.4.1";
src = fetchFromGitHub {
owner = "containernetworking";
repo = "plugins";
rev = "v${version}";
- hash = "sha256-goXpNpb5tVOHeskRLw3CivYett3RxYBREAI+S74CMFQ=";
+ hash = "sha256-co4jThsUR070aJh1hgXHT6QKW22d8UCmogtZYc4nzlA=";
};
vendorHash = null;
diff --git a/pkgs/applications/networking/cluster/containerpilot/default.nix b/pkgs/applications/networking/cluster/containerpilot/default.nix
index dc6e5f3402fa..a255db568125 100644
--- a/pkgs/applications/networking/cluster/containerpilot/default.nix
+++ b/pkgs/applications/networking/cluster/containerpilot/default.nix
@@ -17,6 +17,7 @@ buildGoPackage rec {
meta = with lib; {
homepage = "https://www.joyent.com/containerpilot";
description = "An application centric micro-orchestrator.";
+ mainProgram = "containerpilot";
platforms = platforms.unix;
license = licenses.mpl20;
maintainers = with maintainers; [ cpcloud ];
diff --git a/pkgs/applications/networking/cluster/dnsname-cni/default.nix b/pkgs/applications/networking/cluster/dnsname-cni/default.nix
index 3b6edd575297..c147822b43b5 100644
--- a/pkgs/applications/networking/cluster/dnsname-cni/default.nix
+++ b/pkgs/applications/networking/cluster/dnsname-cni/default.nix
@@ -29,6 +29,7 @@ buildGoModule rec {
meta = with lib; {
description = "DNS name resolution for containers";
+ mainProgram = "dnsname";
homepage = "https://github.com/containers/dnsname";
license = licenses.asl20;
platforms = platforms.linux;
diff --git a/pkgs/applications/networking/cluster/docker-machine/kvm2.nix b/pkgs/applications/networking/cluster/docker-machine/kvm2.nix
index a35cfab16cd7..c21cf5b4970e 100644
--- a/pkgs/applications/networking/cluster/docker-machine/kvm2.nix
+++ b/pkgs/applications/networking/cluster/docker-machine/kvm2.nix
@@ -20,6 +20,7 @@ buildGoModule rec {
meta = with lib; {
homepage = "https://minikube.sigs.k8s.io/docs/drivers/kvm2";
description = "KVM2 driver for docker-machine";
+ mainProgram = "docker-machine-driver-kvm2";
license = licenses.asl20;
maintainers = with maintainers; [ tadfisher atkinschang ];
platforms = platforms.linux;
diff --git a/pkgs/applications/networking/cluster/driftctl/default.nix b/pkgs/applications/networking/cluster/driftctl/default.nix
index b116f566c5ce..b976308c4d8a 100644
--- a/pkgs/applications/networking/cluster/driftctl/default.nix
+++ b/pkgs/applications/networking/cluster/driftctl/default.nix
@@ -46,6 +46,7 @@ buildGoModule rec {
homepage = "https://driftctl.com/";
changelog = "https://github.com/snyk/driftctl/releases/tag/v${version}";
description = "Detect, track and alert on infrastructure drift";
+ mainProgram = "driftctl";
longDescription = ''
driftctl is a free and open-source CLI that warns of infrastructure drift
and fills in the missing piece in your DevSecOps toolbox.
diff --git a/pkgs/applications/networking/cluster/falcoctl/default.nix b/pkgs/applications/networking/cluster/falcoctl/default.nix
index 1c582447fa8a..7a73cc8cea29 100644
--- a/pkgs/applications/networking/cluster/falcoctl/default.nix
+++ b/pkgs/applications/networking/cluster/falcoctl/default.nix
@@ -22,6 +22,7 @@ buildGoModule rec {
meta = with lib; {
description = "Administrative tooling for Falco";
+ mainProgram = "falcoctl";
homepage = "https://github.com/falcosecurity/falcoctl";
license = licenses.asl20;
maintainers = with maintainers; [ developer-guy kranurag7 LucaGuerra ];
diff --git a/pkgs/applications/networking/cluster/fetchit/default.nix b/pkgs/applications/networking/cluster/fetchit/default.nix
index cadc331a9605..a113a5c718f0 100644
--- a/pkgs/applications/networking/cluster/fetchit/default.nix
+++ b/pkgs/applications/networking/cluster/fetchit/default.nix
@@ -64,6 +64,7 @@ buildGoModule rec {
meta = with lib; {
description = "A tool to manage the life cycle and configuration of Podman containers";
+ mainProgram = "fetchit";
longDescription = ''
FetchIt allows for a GitOps based approach to manage containers running on
a single host or multiple hosts based on a git repository. This allows for
diff --git a/pkgs/applications/networking/cluster/flink/default.nix b/pkgs/applications/networking/cluster/flink/default.nix
index 276c6ebea34d..5eed2c4afe6c 100644
--- a/pkgs/applications/networking/cluster/flink/default.nix
+++ b/pkgs/applications/networking/cluster/flink/default.nix
@@ -29,6 +29,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A distributed stream processing framework";
+ mainProgram = "flink";
homepage = "https://flink.apache.org";
downloadPage = "https://flink.apache.org/downloads.html";
license = licenses.asl20;
diff --git a/pkgs/applications/networking/cluster/fluxctl/default.nix b/pkgs/applications/networking/cluster/fluxctl/default.nix
index 589193446285..099ef4340235 100644
--- a/pkgs/applications/networking/cluster/fluxctl/default.nix
+++ b/pkgs/applications/networking/cluster/fluxctl/default.nix
@@ -30,6 +30,7 @@ buildGoModule rec {
meta = with lib; {
description = "CLI client for Flux, the GitOps Kubernetes operator";
+ mainProgram = "fluxctl";
homepage = "https://github.com/fluxcd/flux";
license = licenses.asl20;
maintainers = with maintainers; [ Gonzih Br1ght0ne ];
diff --git a/pkgs/applications/networking/cluster/fn-cli/default.nix b/pkgs/applications/networking/cluster/fn-cli/default.nix
index 299abe58fbd3..07234c75ff8e 100644
--- a/pkgs/applications/networking/cluster/fn-cli/default.nix
+++ b/pkgs/applications/networking/cluster/fn-cli/default.nix
@@ -29,6 +29,7 @@ buildGoModule rec {
meta = with lib; {
description = "Command-line tool for the fn project";
+ mainProgram = "fn";
homepage = "https://fnproject.io";
license = licenses.asl20;
maintainers = [ maintainers.c4605 ];
diff --git a/pkgs/applications/networking/cluster/func/default.nix b/pkgs/applications/networking/cluster/func/default.nix
index 7145d1277c9b..7ddb89d1c048 100644
--- a/pkgs/applications/networking/cluster/func/default.nix
+++ b/pkgs/applications/networking/cluster/func/default.nix
@@ -38,6 +38,7 @@ buildGoModule rec {
meta = with lib; {
description = "The Knative client library and CLI for creating, building, and deploying Knative Functions";
+ mainProgram = "func";
homepage = "https://github.com/knative/func";
changelog = "https://github.com/knative/func/releases/tag/knative-v${version}";
license = licenses.asl20;
diff --git a/pkgs/applications/networking/cluster/gatekeeper/default.nix b/pkgs/applications/networking/cluster/gatekeeper/default.nix
index 3d36d7c499ed..5558a48d9f8e 100644
--- a/pkgs/applications/networking/cluster/gatekeeper/default.nix
+++ b/pkgs/applications/networking/cluster/gatekeeper/default.nix
@@ -6,13 +6,13 @@
buildGoModule rec {
pname = "gatekeeper";
- version = "3.15.0";
+ version = "3.15.1";
src = fetchFromGitHub {
owner = "open-policy-agent";
repo = "gatekeeper";
rev = "v${version}";
- hash = "sha256-Mt9bSgmqjj9KkJJW0F2tr2P8GtSy80h9CfMXvIxyKc4=";
+ hash = "sha256-KPRcY14IeP4WU/cnUG7JWwJcp/LN6O74u417I+qAqXE=";
};
vendorHash = null;
@@ -32,6 +32,7 @@ buildGoModule rec {
meta = with lib; {
description = "Policy Controller for Kubernetes";
+ mainProgram = "gator";
homepage = "https://github.com/open-policy-agent/gatekeeper";
license = licenses.asl20;
maintainers = with maintainers; [ SuperSandro2000 ];
diff --git a/pkgs/applications/networking/cluster/glooctl/default.nix b/pkgs/applications/networking/cluster/glooctl/default.nix
index 6b7f263a1aea..ffe98a73c904 100644
--- a/pkgs/applications/networking/cluster/glooctl/default.nix
+++ b/pkgs/applications/networking/cluster/glooctl/default.nix
@@ -6,16 +6,16 @@
buildGoModule rec {
pname = "glooctl";
- version = "1.16.3";
+ version = "1.16.8";
src = fetchFromGitHub {
owner = "solo-io";
repo = "gloo";
rev = "v${version}";
- hash = "sha256-BGyaYINFFCqEH+UH8XqKom+2eUhgPRF3cMp9fq3whpI=";
+ hash = "sha256-M8ZNDt+sO8ZtVM1PyISOsFwXrD6q9ACPG0T99bqwk1c=";
};
- vendorHash = "sha256-GTd38gSlCKTjfLkAW/Tz22oQJ4FhZB+9vpN/8q4JSCo=";
+ vendorHash = "sha256-UyzqKpF2WBj25Bm4MtkF6yjl87A61vGsteBNCjJV178=";
subPackages = [ "projects/gloo/cli/cmd" ];
@@ -38,6 +38,7 @@ buildGoModule rec {
meta = {
description = "glooctl is the unified CLI for Gloo";
+ mainProgram = "glooctl";
homepage = "https://docs.solo.io/gloo-edge/latest/reference/cli/glooctl/";
license = lib.licenses.asl20;
maintainers = with lib.maintainers; [ ];
diff --git a/pkgs/applications/networking/cluster/hashi-up/default.nix b/pkgs/applications/networking/cluster/hashi-up/default.nix
index 583a0c32b1d1..de782bef9af1 100644
--- a/pkgs/applications/networking/cluster/hashi-up/default.nix
+++ b/pkgs/applications/networking/cluster/hashi-up/default.nix
@@ -18,6 +18,7 @@ buildGoModule rec {
meta = with lib; {
description = "A lightweight utility to install HashiCorp Consul, Nomad, or Vault on any remote Linux host";
+ mainProgram = "hashi-up";
homepage = "https://github.com/jsiebens/hashi-up";
license = licenses.mit;
maintainers = with maintainers; [ lucperkins ];
diff --git a/pkgs/applications/networking/cluster/helm-dashboard/default.nix b/pkgs/applications/networking/cluster/helm-dashboard/default.nix
index bcd24be5c922..e0603ff72136 100644
--- a/pkgs/applications/networking/cluster/helm-dashboard/default.nix
+++ b/pkgs/applications/networking/cluster/helm-dashboard/default.nix
@@ -20,6 +20,7 @@ buildGoModule rec {
meta = {
description = "A simplified way of working with Helm";
+ mainProgram = "helm-dashboard";
longDescription = ''
Helm Dashboard is an open-source project which offers a UI-driven way to view the installed Helm charts,
see their revision history and corresponding k8s resources.
diff --git a/pkgs/applications/networking/cluster/helm-docs/default.nix b/pkgs/applications/networking/cluster/helm-docs/default.nix
index cf286c8dc6f5..4952f4db1e61 100644
--- a/pkgs/applications/networking/cluster/helm-docs/default.nix
+++ b/pkgs/applications/networking/cluster/helm-docs/default.nix
@@ -23,6 +23,7 @@ buildGoModule rec {
meta = with lib; {
homepage = "https://github.com/norwoodj/helm-docs";
description = "A tool for automatically generating markdown documentation for Helm charts";
+ mainProgram = "helm-docs";
license = licenses.gpl3Only;
maintainers = with maintainers; [ sagikazarmark ];
};
diff --git a/pkgs/applications/networking/cluster/helm/default.nix b/pkgs/applications/networking/cluster/helm/default.nix
index 474eb557c6b7..b46ed8f329b1 100644
--- a/pkgs/applications/networking/cluster/helm/default.nix
+++ b/pkgs/applications/networking/cluster/helm/default.nix
@@ -2,15 +2,15 @@
buildGoModule rec {
pname = "kubernetes-helm";
- version = "3.14.0";
+ version = "3.14.3";
src = fetchFromGitHub {
owner = "helm";
repo = "helm";
rev = "v${version}";
- sha256 = "sha256-xkMPROdhZ6mq839aMkpCvYIU8SVt48K0n17WnYFfQ+0=";
+ sha256 = "sha256-GC9rkB35m+a/9pEvD7aNjE4z3qrv33NES842crrzD3I=";
};
- vendorHash = "sha256-pYB9J7Zf6MApGpFL7HzqIDcC/vERiVE4z8SsipIeJ7c=";
+ vendorHash = "sha256-f5tLyq9tP5tdE73Mlee9vAUSHqkUAtAJkwjZP/K6wPM=";
subPackages = [ "cmd/helm" ];
ldflags = [
diff --git a/pkgs/applications/networking/cluster/helm/plugins/helm-diff.nix b/pkgs/applications/networking/cluster/helm/plugins/helm-diff.nix
index 3ed94a3a621c..6926b5498b4c 100644
--- a/pkgs/applications/networking/cluster/helm/plugins/helm-diff.nix
+++ b/pkgs/applications/networking/cluster/helm/plugins/helm-diff.nix
@@ -1,17 +1,17 @@
-{ buildGoModule, fetchFromGitHub, lib }:
+{ buildGo122Module, fetchFromGitHub, lib }:
-buildGoModule rec {
+buildGo122Module rec {
pname = "helm-diff";
- version = "3.9.2";
+ version = "3.9.5";
src = fetchFromGitHub {
owner = "databus23";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-4EjvjvW8aal/ekGV0ePevPf30NarrWIh6id30n1r2dE=";
+ hash = "sha256-6XkiGSbZzkYnqaHcoZQckADGVcWmSWL87MVzqb98lMQ=";
};
- vendorHash = "sha256-RPb5+tZkOsubOl0YlSXsybmlOtKVbJ97c+f/wiz/gmE=";
+ vendorHash = "sha256-E0ERop/TBr36sfTKCD+DdZwHSkhYdGmvKoJF2gl3gwE=";
ldflags = [ "-s" "-w" "-X github.com/databus23/helm-diff/v3/cmd.Version=${version}" ];
diff --git a/pkgs/applications/networking/cluster/helm/plugins/helm-git.nix b/pkgs/applications/networking/cluster/helm/plugins/helm-git.nix
index d72b152cc5bf..196be4a1524a 100644
--- a/pkgs/applications/networking/cluster/helm/plugins/helm-git.nix
+++ b/pkgs/applications/networking/cluster/helm/plugins/helm-git.nix
@@ -11,13 +11,13 @@
stdenv.mkDerivation rec {
pname = "helm-git";
- version = "0.10.0";
+ version = "0.15.1";
src = fetchFromGitHub {
owner = "aslafy-z";
repo = pname;
rev = "v${version}";
- sha256 = "0hvycqibmlw2zw3nm8rn73v5x1zcgm2jrfdlljbvc1n4n5vnzdrg";
+ sha256 = "sha256-k8kPuB5GIBjOISL4AM/I4PPrYbrdgYIwVgosMpunZpQ=";
};
nativeBuildInputs = [ makeWrapper ];
diff --git a/pkgs/applications/networking/cluster/helm/plugins/helm-secrets.nix b/pkgs/applications/networking/cluster/helm/plugins/helm-secrets.nix
index f858f8b2a677..7729ca16881e 100644
--- a/pkgs/applications/networking/cluster/helm/plugins/helm-secrets.nix
+++ b/pkgs/applications/networking/cluster/helm/plugins/helm-secrets.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "helm-secrets";
- version = "4.5.1";
+ version = "4.6.0";
src = fetchFromGitHub {
owner = "jkroepke";
repo = pname;
rev = "v${version}";
- hash = "sha256-UB69cGsELQ2CFXVsPV0MDNOYRTYgfA2NXHKbsaZl9NQ=";
+ hash = "sha256-j4mOkPHJKiRWGTZloPBXwbHKOj2Hg44dspySa/KxPT4=";
};
nativeBuildInputs = [ makeWrapper ];
diff --git a/pkgs/applications/networking/cluster/helm/plugins/helm-unittest.nix b/pkgs/applications/networking/cluster/helm/plugins/helm-unittest.nix
index c5c33573c921..69c45c3378ff 100644
--- a/pkgs/applications/networking/cluster/helm/plugins/helm-unittest.nix
+++ b/pkgs/applications/networking/cluster/helm/plugins/helm-unittest.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "helm-unittest";
- version = "0.4.1";
+ version = "0.4.3";
src = fetchFromGitHub {
owner = pname;
repo = pname;
rev = "v${version}";
- hash = "sha256-8rGYFoBhNPJnsZsRXJ7Z9a/KOV4d2ZIVLSdYCpf3IMs=";
+ hash = "sha256-2ymsh+GWCjpiTVRIuf0i9+wz6WnwpG0QP6tErabSEFk=";
};
- vendorHash = "sha256-wD4FxJ/+8iw2qAz+s0G/8/PKt7X0MZn+roWtc/wTWmw=";
+ vendorHash = "sha256-ftD913mz9ziO3XWCdsbONrgMlBIc0uX4gq3NQmkXbs0=";
# NOTE: Remove the install and upgrade hooks.
postPatch = ''
diff --git a/pkgs/applications/networking/cluster/helmfile/default.nix b/pkgs/applications/networking/cluster/helmfile/default.nix
index 258a04c5e84b..f0fa298e7786 100644
--- a/pkgs/applications/networking/cluster/helmfile/default.nix
+++ b/pkgs/applications/networking/cluster/helmfile/default.nix
@@ -1,23 +1,23 @@
{ lib
-, buildGoModule
+, buildGo122Module
, fetchFromGitHub
, installShellFiles
, makeWrapper
, pluginsDir ? null
}:
-buildGoModule rec {
+buildGo122Module rec {
pname = "helmfile";
- version = "0.161.0";
+ version = "0.162.0";
src = fetchFromGitHub {
owner = "helmfile";
repo = "helmfile";
rev = "v${version}";
- sha256 = "sha256-SoXpUAISYgB0qrw0urnVjPFfBc4jtkfDl41MmzfRG/g=";
+ hash = "sha256-BiouIaiYveQe0sTgvuf1R1S0qydLpoxWl958zyVFvWE=";
};
- vendorHash = "sha256-piGbC9cljBjJ0X2kzNqNnpFmcjnu6DdKizSRGrw/+2c=";
+ vendorHash = "sha256-z6UfyruXLzcH9iLgsM6Wmb1i8PWrroAbhGi2fphYBoA=";
doCheck = false;
@@ -41,6 +41,7 @@ buildGoModule rec {
meta = {
description = "Declarative spec for deploying Helm charts";
+ mainProgram = "helmfile";
longDescription = ''
Declaratively deploy your Kubernetes manifests, Kustomize configs,
and charts as Helm releases in one shot.
diff --git a/pkgs/applications/networking/cluster/helmsman/default.nix b/pkgs/applications/networking/cluster/helmsman/default.nix
index 0e0a69496f98..458ecae5ff68 100644
--- a/pkgs/applications/networking/cluster/helmsman/default.nix
+++ b/pkgs/applications/networking/cluster/helmsman/default.nix
@@ -17,6 +17,7 @@ buildGoModule rec {
meta = with lib; {
description = "Helm Charts (k8s applications) as Code tool";
+ mainProgram = "helmsman";
homepage = "https://github.com/Praqma/helmsman";
license = licenses.mit;
maintainers = with maintainers; [ lynty ];
diff --git a/pkgs/applications/networking/cluster/hetzner-kube/default.nix b/pkgs/applications/networking/cluster/hetzner-kube/default.nix
index a1fceb10ea7b..1519058e8f16 100644
--- a/pkgs/applications/networking/cluster/hetzner-kube/default.nix
+++ b/pkgs/applications/networking/cluster/hetzner-kube/default.nix
@@ -41,6 +41,7 @@ buildGoModule rec {
meta = {
description = "A CLI tool for provisioning Kubernetes clusters on Hetzner Cloud";
+ mainProgram = "hetzner-kube";
homepage = "https://github.com/xetys/hetzner-kube";
license = lib.licenses.asl20;
maintainers = with lib.maintainers; [ eliasp ];
diff --git a/pkgs/applications/networking/cluster/hubble/default.nix b/pkgs/applications/networking/cluster/hubble/default.nix
index ecbe56d09885..49d1b10bf3fd 100644
--- a/pkgs/applications/networking/cluster/hubble/default.nix
+++ b/pkgs/applications/networking/cluster/hubble/default.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "hubble";
- version = "0.13.0";
+ version = "0.13.2";
src = fetchFromGitHub {
owner = "cilium";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-jFzxAzLv3EfqWgbXsN8d0OPY8pDy7OBjJIzr+x5yFF0=";
+ sha256 = "sha256-0SCuQzRwluowF48lzyLxY+0rvTOyDbpkMI7Iwb6GHJo=";
};
vendorHash = null;
@@ -40,6 +40,7 @@ buildGoModule rec {
meta = with lib; {
description = "Network, Service & Security Observability for Kubernetes using eBPF";
+ mainProgram = "hubble";
license = licenses.asl20;
homepage = "https://github.com/cilium/hubble/";
maintainers = with maintainers; [ humancalico bryanasdev000 ];
diff --git a/pkgs/applications/networking/cluster/istioctl/default.nix b/pkgs/applications/networking/cluster/istioctl/default.nix
index 24a0df3f70b5..0deb88ce4230 100644
--- a/pkgs/applications/networking/cluster/istioctl/default.nix
+++ b/pkgs/applications/networking/cluster/istioctl/default.nix
@@ -2,15 +2,15 @@
buildGoModule rec {
pname = "istioctl";
- version = "1.20.2";
+ version = "1.21.0";
src = fetchFromGitHub {
owner = "istio";
repo = "istio";
rev = version;
- hash = "sha256-0Ep7HPc+1e2M87Z6qCKvAxpYxWjajruTrpP9EBe1PC0=";
+ hash = "sha256-d+4WiMjP9L9tMrShTadXA1k/l1U3jYj/ihP0g3HuYRE=";
};
- vendorHash = "sha256-o9NFRVAY5AD5CB9GGSJTvxJM6uXBrDQVBy1slOByIrU=";
+ vendorHash = "sha256-8nvcxBF+ygWkMLbGwJvj1NjGL06xh6mNZvaEbZJw0TM=";
nativeBuildInputs = [ installShellFiles ];
@@ -40,6 +40,7 @@ buildGoModule rec {
meta = with lib; {
description = "Istio configuration command line utility for service operators to debug and diagnose their Istio mesh";
+ mainProgram = "istioctl";
homepage = "https://istio.io/latest/docs/reference/commands/istioctl";
license = licenses.asl20;
maintainers = with maintainers; [ bryanasdev000 veehaitch ];
diff --git a/pkgs/applications/networking/cluster/jx/default.nix b/pkgs/applications/networking/cluster/jx/default.nix
index 204b08962454..0bbee5b74ae0 100644
--- a/pkgs/applications/networking/cluster/jx/default.nix
+++ b/pkgs/applications/networking/cluster/jx/default.nix
@@ -36,6 +36,7 @@ buildGoModule rec {
meta = with lib; {
broken = stdenv.isDarwin;
description = "Command line tool for installing and using Jenkins X";
+ mainProgram = "jx";
homepage = "https://jenkins-x.io";
longDescription = ''
Jenkins X provides automated CI+CD for Kubernetes with Preview
diff --git a/pkgs/applications/networking/cluster/k3d/default.nix b/pkgs/applications/networking/cluster/k3d/default.nix
index e74595903b51..fcd97875ff80 100644
--- a/pkgs/applications/networking/cluster/k3d/default.nix
+++ b/pkgs/applications/networking/cluster/k3d/default.nix
@@ -61,6 +61,7 @@ buildGoModule rec {
homepage = "https://github.com/k3d-io/k3d/";
changelog = "https://github.com/k3d-io/k3d/blob/v${version}/CHANGELOG.md";
description = "A helper to run k3s (Lightweight Kubernetes. 5 less than k8s) in a docker container";
+ mainProgram = "k3d";
longDescription = ''
k3s is the lightweight Kubernetes distribution by Rancher: rancher/k3s
diff --git a/pkgs/applications/networking/cluster/k3s/1_24/chart-versions.nix b/pkgs/applications/networking/cluster/k3s/1_24/chart-versions.nix
deleted file mode 100644
index 8c40604d0f1c..000000000000
--- a/pkgs/applications/networking/cluster/k3s/1_24/chart-versions.nix
+++ /dev/null
@@ -1,10 +0,0 @@
-{
- traefik-crd = {
- url = "https://k3s.io/k3s-charts/assets/traefik-crd/traefik-crd-20.3.1+up20.3.0.tgz";
- sha256 = "1775vjldvqvhzdbzanxhbaqbmkih09yb91im651q8bc7z5sb9ckn";
- };
- traefik = {
- url = "https://k3s.io/k3s-charts/assets/traefik/traefik-20.3.1+up20.3.0.tgz";
- sha256 = "1rj0f0n0vgjcbzfwzhqmsd501i2f6vw145w9plbp8gwdyzmg2nc6";
- };
-}
diff --git a/pkgs/applications/networking/cluster/k3s/1_24/default.nix b/pkgs/applications/networking/cluster/k3s/1_24/default.nix
deleted file mode 100644
index 25b615d6718f..000000000000
--- a/pkgs/applications/networking/cluster/k3s/1_24/default.nix
+++ /dev/null
@@ -1,332 +0,0 @@
-{ stdenv
-, lib
-, makeWrapper
-, socat
-, iptables
-, iproute2
-, ipset
-, bridge-utils
-, btrfs-progs
-, conntrack-tools
-, buildGoModule
-, runc
-, rsync
-, kmod
-, libseccomp
-, pkg-config
-, ethtool
-, util-linux
-, fetchFromGitHub
-, fetchurl
-, fetchzip
-, fetchgit
-, zstd
-, yq-go
-, sqlite
-, nixosTests
-, k3s
-, pkgsBuildBuild
-}:
-
-# k3s is a kinda weird derivation. One of the main points of k3s is the
-# simplicity of it being one binary that can perform several tasks.
-# However, when you have a good package manager (like nix), that doesn't
-# actually make much of a difference; you don't really care if it's one binary
-# or 10 since with a good package manager, installing and running it is
-# identical.
-# Since upstream k3s packages itself as one large binary with several
-# "personalities" (in the form of subcommands like 'k3s agent' and 'k3s
-# kubectl'), it ends up being easiest to mostly mimic upstream packaging, with
-# some exceptions.
-# K3s also carries patches to some packages (such as containerd and cni
-# plugins), so we intentionally use the k3s versions of those binaries for k3s,
-# even if the upstream version of those binaries exist in nixpkgs already. In
-# the end, that means we have a thick k3s binary that behaves like the upstream
-# one for the most part.
-# However, k3s also bundles several pieces of unpatched software, from the
-# strongswan vpn software, to iptables, to socat, conntrack, busybox, etc.
-# Those pieces of software we entirely ignore upstream's handling of, and just
-# make sure they're in the path if desired.
-let
- k3sVersion = "1.24.10+k3s1"; # k3s git tag
- k3sCommit = "546a94e9ae1c3be6f9c0dcde32a6e6672b035bc8"; # k3s git commit at the above version
- k3sRepoSha256 = "sha256-HfkGb3GtR2wQkVIze26aFh6A6W0fegr8ovpSel7oujQ=";
- k3sVendorHash = "sha256-YAerisDr/knlKPaO2fVMZA4FUpwshFmkpi3mJAmLqKM=";
-
- # Based on the traefik charts here: https://github.com/k3s-io/k3s/blob/v1.24.10%2Bk3s1/scripts/download#L29-L32
- # see also https://github.com/k3s-io/k3s/blob/v1.24.10%2Bk3s1/manifests/traefik.yaml#L8-L16
- # At the time of writing, there are two traefik charts, and that's it
- charts = import ./chart-versions.nix;
-
- # taken from ./scripts/version.sh VERSION_ROOT https://github.com/k3s-io/k3s/blob/v1.24.10%2Bk3s1/scripts/version.sh#L56
- k3sRootVersion = "0.12.1";
- k3sRootSha256 = "sha256-xCXbarWztnvW2xn3cGa84hie3OevVZeGEDWh+Uf3RBw=";
-
- # taken from ./scripts/version.sh VERSION_CNIPLUGINS https://github.com/k3s-io/k3s/blob/v1.24.10%2Bk3s1/scripts/version.sh#L49
- k3sCNIVersion = "1.1.1-k3s1";
- k3sCNISha256 = "14mb3zsqibj1sn338gjmsyksbm0mxv9p016dij7zidccx2rzn6nl";
-
- # taken from go.mod, the 'github.com/containerd/containerd' line
- # run `grep github.com/containerd/containerd go.mod | head -n1 | awk '{print $4}'`
- # https://github.com/k3s-io/k3s/blob/v1.24.10%2Bk3s1/go.mod#L10
- containerdVersion = "1.5.16-k3s1";
- containerdSha256 = "sha256-dxC44qE1A20Hd2j77Ir9Sla8xncttswWIuGGM/5FWi8=";
-
- # run `grep github.com/kubernetes-sigs/cri-tools go.mod | head -n1 | awk '{print $4}'` in the k3s repo at the tag
- # https://github.com/k3s-io/k3s/blob/v1.24.10%2Bk3s1/go.mod#L18
- criCtlVersion = "1.24.0-k3s1";
-
- baseMeta = k3s.meta;
-
- # https://github.com/k3s-io/k3s/blob/5fb370e53e0014dc96183b8ecb2c25a61e891e76/scripts/build#L19-L40
- versionldflags = [
- "-X github.com/rancher/k3s/pkg/version.Version=v${k3sVersion}"
- "-X github.com/rancher/k3s/pkg/version.GitCommit=${lib.substring 0 8 k3sCommit}"
- "-X k8s.io/client-go/pkg/version.gitVersion=v${k3sVersion}"
- "-X k8s.io/client-go/pkg/version.gitCommit=${k3sCommit}"
- "-X k8s.io/client-go/pkg/version.gitTreeState=clean"
- "-X k8s.io/client-go/pkg/version.buildDate=1970-01-01T01:01:01Z"
- "-X k8s.io/component-base/version.gitVersion=v${k3sVersion}"
- "-X k8s.io/component-base/version.gitCommit=${k3sCommit}"
- "-X k8s.io/component-base/version.gitTreeState=clean"
- "-X k8s.io/component-base/version.buildDate=1970-01-01T01:01:01Z"
- "-X github.com/kubernetes-sigs/cri-tools/pkg/version.Version=v${criCtlVersion}"
- "-X github.com/containerd/containerd/version.Version=v${containerdVersion}"
- "-X github.com/containerd/containerd/version.Package=github.com/k3s-io/containerd"
- ];
-
- # bundled into the k3s binary
- traefikChart = fetchurl charts.traefik;
- traefik-crdChart = fetchurl charts.traefik-crd;
-
- # so, k3s is a complicated thing to package
- # This derivation attempts to avoid including any random binaries from the
- # internet. k3s-root is _mostly_ binaries built to be bundled in k3s (which
- # we don't care about doing, we can add those as build or runtime
- # dependencies using a real package manager).
- # In addition to those binaries, it's also configuration though (right now
- # mostly strongswan configuration), and k3s does use those files.
- # As such, we download it in order to grab 'etc' and bundle it into the final
- # k3s binary.
- k3sRoot = fetchzip {
- # Note: marked as apache 2.0 license
- url = "https://github.com/k3s-io/k3s-root/releases/download/v${k3sRootVersion}/k3s-root-amd64.tar";
- sha256 = k3sRootSha256;
- stripRoot = false;
- };
- k3sCNIPlugins = buildGoModule rec {
- pname = "k3s-cni-plugins";
- version = k3sCNIVersion;
- vendorHash = null;
-
- subPackages = [ "." ];
-
- src = fetchFromGitHub {
- owner = "rancher";
- repo = "plugins";
- rev = "v${version}";
- sha256 = k3sCNISha256;
- };
-
- postInstall = ''
- mv $out/bin/plugins $out/bin/cni
- '';
-
- meta = baseMeta // {
- description = "CNI plugins, as patched by rancher for k3s";
- };
- };
- # Grab this separately from a build because it's used by both stages of the
- # k3s build.
- k3sRepo = fetchgit {
- url = "https://github.com/k3s-io/k3s";
- rev = "v${k3sVersion}";
- sha256 = k3sRepoSha256;
- };
- # Stage 1 of the k3s build:
- # Let's talk about how k3s is structured.
- # One of the ideas of k3s is that there's the single "k3s" binary which can
- # do everything you need, from running a k3s server, to being a worker node,
- # to running kubectl.
- # The way that actually works is that k3s is a single go binary that contains
- # a bunch of bindata that it unpacks at runtime into directories (either the
- # user's home directory or /var/lib/rancher if run as root).
- # This bindata includes both binaries and configuration.
- # In order to let nixpkgs do all its autostripping/patching/etc, we split this into two derivations.
- # First, we build all the binaries that get packed into the thick k3s binary
- # (and output them from one derivation so they'll all be suitably patched up).
- # Then, we bundle those binaries into our thick k3s binary and use that as
- # the final single output.
- # This approach was chosen because it ensures the bundled binaries all are
- # correctly built to run with nix (we can lean on the existing buildGoModule
- # stuff), and we can again lean on that tooling for the final k3s binary too.
- # Other alternatives would be to manually run the
- # strip/patchelf/remove-references step ourselves in the installPhase of the
- # derivation when we've built all the binaries, but haven't bundled them in
- # with generated bindata yet.
-
- k3sServer = buildGoModule rec {
- pname = "k3s-server";
- version = k3sVersion;
-
- src = k3sRepo;
- vendorHash = k3sVendorHash;
-
- nativeBuildInputs = [ pkg-config ];
- buildInputs = [ libseccomp sqlite.dev ];
-
- subPackages = [ "cmd/server" ];
- ldflags = versionldflags;
-
- tags = [ "libsqlite3" "linux" ];
-
- # create the multicall symlinks for k3s
- postInstall = ''
- mv $out/bin/server $out/bin/k3s
- pushd $out
- # taken verbatim from https://github.com/k3s-io/k3s/blob/v1.24.10%2Bk3s1/scripts/build#L123-L131
- ln -s k3s ./bin/k3s-agent
- ln -s k3s ./bin/k3s-server
- ln -s k3s ./bin/k3s-etcd-snapshot
- ln -s k3s ./bin/k3s-secrets-encrypt
- ln -s k3s ./bin/k3s-certificate
- ln -s k3s ./bin/k3s-completion
- ln -s k3s ./bin/kubectl
- ln -s k3s ./bin/crictl
- ln -s k3s ./bin/ctr
- popd
- '';
-
- meta = baseMeta // {
- description = "The various binaries that get packaged into the final k3s binary";
- };
- };
- k3sContainerd = buildGoModule {
- pname = "k3s-containerd";
- version = containerdVersion;
- src = fetchFromGitHub {
- owner = "k3s-io";
- repo = "containerd";
- rev = "v${containerdVersion}";
- sha256 = containerdSha256;
- };
- vendorHash = null;
- buildInputs = [ btrfs-progs ];
- subPackages = [ "cmd/containerd" "cmd/containerd-shim-runc-v2" ];
- ldflags = versionldflags;
- };
-in
-buildGoModule rec {
- pname = "k3s";
- version = k3sVersion;
-
- src = k3sRepo;
- vendorHash = k3sVendorHash;
-
- postPatch = ''
- # Nix prefers dynamically linked binaries over static binary.
-
- substituteInPlace scripts/package-cli \
- --replace '"$LDFLAGS $STATIC" -o' \
- '"$LDFLAGS" -o' \
- --replace "STATIC=\"-extldflags \'-static\'\"" \
- ""
-
- # Upstream codegen fails with trimpath set. Removes "trimpath" for 'go generate':
-
- substituteInPlace scripts/package-cli \
- --replace '"''${GO}" generate' \
- 'GOFLAGS="" \
- GOOS="${pkgsBuildBuild.go.GOOS}" \
- GOARCH="${pkgsBuildBuild.go.GOARCH}" \
- CC="${pkgsBuildBuild.stdenv.cc}/bin/cc" \
- "''${GO}" generate'
- '';
-
- # Important utilities used by the kubelet, see
- # https://github.com/kubernetes/kubernetes/issues/26093#issuecomment-237202494
- # Note the list in that issue is stale and some aren't relevant for k3s.
- k3sRuntimeDeps = [
- kmod
- socat
- iptables
- iproute2
- ipset
- bridge-utils
- ethtool
- util-linux # kubelet wants 'nsenter' from util-linux: https://github.com/kubernetes/kubernetes/issues/26093#issuecomment-705994388
- conntrack-tools
- ];
-
- buildInputs = k3sRuntimeDeps;
-
- nativeBuildInputs = [
- makeWrapper
- rsync
- yq-go
- zstd
- ];
-
- # embedded in the final k3s cli
- propagatedBuildInputs = [
- k3sCNIPlugins
- k3sContainerd
- k3sServer
- runc
- ];
-
- # We override most of buildPhase due to peculiarities in k3s's build.
- # Specifically, it has a 'go generate' which runs part of the package. See
- # this comment:
- # https://github.com/NixOS/nixpkgs/pull/158089#discussion_r799965694
- # So, why do we use buildGoModule at all? For the `vendorHash` / `go mod download` stuff primarily.
- buildPhase = ''
- patchShebangs ./scripts/package-cli ./scripts/download ./scripts/build-upload
-
- # copy needed 'go generate' inputs into place
- mkdir -p ./bin/aux
- rsync -a --no-perms ${k3sServer}/bin/ ./bin/
- ln -vsf ${runc}/bin/runc ./bin/runc
- ln -vsf ${k3sCNIPlugins}/bin/cni ./bin/cni
- ln -vsf ${k3sContainerd}/bin/* ./bin/
- rsync -a --no-perms --chmod u=rwX ${k3sRoot}/etc/ ./etc/
- mkdir -p ./build/static/charts
-
- cp ${traefikChart} ./build/static/charts
- cp ${traefik-crdChart} ./build/static/charts
-
- export ARCH=$GOARCH
- export DRONE_TAG="v${k3sVersion}"
- export DRONE_COMMIT="${k3sCommit}"
- # use ./scripts/package-cli to run 'go generate' + 'go build'
-
- ./scripts/package-cli
- mkdir -p $out/bin
- '';
-
- # Otherwise it depends on 'getGoDirs', which is normally set in buildPhase
- doCheck = false;
-
- installPhase = ''
- # wildcard to match the arm64 build too
- install -m 0755 dist/artifacts/k3s* -D $out/bin/k3s
- wrapProgram $out/bin/k3s \
- --prefix PATH : ${lib.makeBinPath k3sRuntimeDeps} \
- --prefix PATH : "$out/bin"
- ln -s $out/bin/k3s $out/bin/kubectl
- ln -s $out/bin/k3s $out/bin/crictl
- ln -s $out/bin/k3s $out/bin/ctr
- '';
-
- doInstallCheck = true;
- installCheckPhase = ''
- $out/bin/k3s --version | grep -F "v${k3sVersion}" >/dev/null
- '';
-
- # Fix-Me: Needs to be adapted specifically for 1.24
- # passthru.updateScript = ./update.sh;
-
- passthru.tests = k3s.passthru.mkTests k3sVersion;
-
- meta = baseMeta;
-}
diff --git a/pkgs/applications/networking/cluster/k3s/1_25/0001-script-download-strip-downloading-just-package-CRD.patch b/pkgs/applications/networking/cluster/k3s/1_25/0001-script-download-strip-downloading-just-package-CRD.patch
deleted file mode 100644
index 115fd6824772..000000000000
--- a/pkgs/applications/networking/cluster/k3s/1_25/0001-script-download-strip-downloading-just-package-CRD.patch
+++ /dev/null
@@ -1,41 +0,0 @@
-From 6f53bd36a40da4c71486e3b79f6e32d53d6eea5d Mon Sep 17 00:00:00 2001
-From: Euan Kemp
-Date: Thu, 3 Feb 2022 23:50:40 -0800
-Subject: [PATCH 2/2] scrips/download: strip downloading, just package CRD
-
-The CRD packaging is a complicated set of commands, so let's reuse it.
----
- scripts/download | 10 ++--------
- 1 file changed, 2 insertions(+), 8 deletions(-)
-
-diff --git a/scripts/download b/scripts/download
-index 5effc0562a..82361803ee 100755
---- a/scripts/download
-+++ b/scripts/download
-@@ -24,12 +24,6 @@ rm -rf ${CONTAINERD_DIR}
- mkdir -p ${CHARTS_DIR}
- mkdir -p ${DATA_DIR}
-
--curl --compressed -sfL https://github.com/k3s-io/k3s-root/releases/download/${VERSION_ROOT}/k3s-root-${ARCH}.tar | tar xf - --exclude=bin/socat
--
--git clone --single-branch --branch=${VERSION_RUNC} --depth=1 https://github.com/opencontainers/runc ${RUNC_DIR}
--
--git clone --single-branch --branch=${VERSION_CONTAINERD} --depth=1 https://github.com/k3s-io/containerd ${CONTAINERD_DIR}
--
- setup_tmp() {
- TMP_DIR=$(mktemp -d --tmpdir=${CHARTS_DIR})
- cleanup() {
-@@ -44,8 +38,8 @@ setup_tmp() {
-
- download_and_package_traefik () {
- echo "Downloading Traefik Helm chart from ${TRAEFIK_URL}"
-- curl -sfL ${TRAEFIK_URL} -o ${TMP_DIR}/${TRAEFIK_FILE}
-- code=$?
-+ # nixpkgs: copy in our known traefik chart instead
-+ cp $TRAEFIK_CHART_FILE ${TMP_DIR}/${TRAEFIK_FILE}
-
- if [ $code -ne 0 ]; then
- echo "Error: Failed to download Traefik Helm chart!"
---
-2.34.1
-
diff --git a/pkgs/applications/networking/cluster/k3s/1_25/default.nix b/pkgs/applications/networking/cluster/k3s/1_25/default.nix
deleted file mode 100644
index 7ea3ff7867e6..000000000000
--- a/pkgs/applications/networking/cluster/k3s/1_25/default.nix
+++ /dev/null
@@ -1,336 +0,0 @@
-{ stdenv
-, lib
-, makeWrapper
-, socat
-, iptables
-, iproute2
-, ipset
-, bridge-utils
-, btrfs-progs
-, conntrack-tools
-, buildGoModule
-, runc
-, rsync
-, kmod
-, libseccomp
-, pkg-config
-, ethtool
-, util-linux
-, fetchFromGitHub
-, fetchurl
-, fetchzip
-, fetchgit
-, zstd
-, yq-go
-, sqlite
-, nixosTests
-, pkgsBuildBuild
-, k3s
-}:
-
-# k3s is a kinda weird derivation. One of the main points of k3s is the
-# simplicity of it being one binary that can perform several tasks.
-# However, when you have a good package manager (like nix), that doesn't
-# actually make much of a difference; you don't really care if it's one binary
-# or 10 since with a good package manager, installing and running it is
-# identical.
-# Since upstream k3s packages itself as one large binary with several
-# "personalities" (in the form of subcommands like 'k3s agent' and 'k3s
-# kubectl'), it ends up being easiest to mostly mimic upstream packaging, with
-# some exceptions.
-# K3s also carries patches to some packages (such as containerd and cni
-# plugins), so we intentionally use the k3s versions of those binaries for k3s,
-# even if the upstream version of those binaries exist in nixpkgs already. In
-# the end, that means we have a thick k3s binary that behaves like the upstream
-# one for the most part.
-# However, k3s also bundles several pieces of unpatched software, from the
-# strongswan vpn software, to iptables, to socat, conntrack, busybox, etc.
-# Those pieces of software we entirely ignore upstream's handling of, and just
-# make sure they're in the path if desired.
-let
- k3sVersion = "1.25.3+k3s1"; # k3s git tag
- k3sCommit = "f2585c1671b31b4b34bddbb3bf4e7d69662b0821"; # k3s git commit at the above version
- k3sRepoSha256 = "0zwf3iwjcidx14zw36s1hr0q8wmmbfc0rfqwd7fmpjq597h8zkms";
- k3sVendorHash = "sha256-U67tJRGqPFk5AfRe7I50zKGC9HJ2oh+iI/C7qF/76BQ=";
-
- # taken from ./manifests/traefik.yaml, extracted from '.spec.chart' https://github.com/k3s-io/k3s/blob/v1.23.3%2Bk3s1/scripts/download#L9
- # The 'patch' and 'minor' versions are currently hardcoded as single digits only, so ignore the trailing two digits. Weird, I know.
- traefikChartVersion = "12.0.0";
- traefikChartSha256 = "1sqmi71fi3ad5dh5fmsp9mv80x6pkgqwi4r9fr8l6i9sdnai6f1a";
-
- # taken from ./scripts/version.sh VERSION_ROOT https://github.com/k3s-io/k3s/blob/v1.23.3%2Bk3s1/scripts/version.sh#L47
- k3sRootVersion = "0.11.0";
- k3sRootSha256 = "016n56vi09xkvjph7wgzb2m86mhd5x65fs4d11pmh20hl249r620";
-
- # taken from ./scripts/version.sh VERSION_CNIPLUGINS https://github.com/k3s-io/k3s/blob/v1.23.3%2Bk3s1/scripts/version.sh#L45
- k3sCNIVersion = "1.1.1-k3s1";
- k3sCNISha256 = "14mb3zsqibj1sn338gjmsyksbm0mxv9p016dij7zidccx2rzn6nl";
-
- # taken from go.mod, the 'github.com/containerd/containerd' line
- # run `grep github.com/containerd/containerd go.mod | head -n1 | awk '{print $4}'`
- containerdVersion = "1.5.13-k3s2";
- containerdSha256 = "1pfr2ji4aij9js90gf4a3hqnhyw5hshcjdccm62l700j68gs5z97";
-
- # run `grep github.com/kubernetes-sigs/cri-tools go.mod | head -n1 | awk '{print $4}'` in the k3s repo at the tag
- criCtlVersion = "1.25.0-k3s1";
-
- baseMeta = k3s.meta;
-
- # https://github.com/k3s-io/k3s/blob/5fb370e53e0014dc96183b8ecb2c25a61e891e76/scripts/build#L19-L40
- versionldflags = [
- "-X github.com/rancher/k3s/pkg/version.Version=v${k3sVersion}"
- "-X github.com/rancher/k3s/pkg/version.GitCommit=${lib.substring 0 8 k3sCommit}"
- "-X k8s.io/client-go/pkg/version.gitVersion=v${k3sVersion}"
- "-X k8s.io/client-go/pkg/version.gitCommit=${k3sCommit}"
- "-X k8s.io/client-go/pkg/version.gitTreeState=clean"
- "-X k8s.io/client-go/pkg/version.buildDate=1970-01-01T01:01:01Z"
- "-X k8s.io/component-base/version.gitVersion=v${k3sVersion}"
- "-X k8s.io/component-base/version.gitCommit=${k3sCommit}"
- "-X k8s.io/component-base/version.gitTreeState=clean"
- "-X k8s.io/component-base/version.buildDate=1970-01-01T01:01:01Z"
- "-X github.com/kubernetes-sigs/cri-tools/pkg/version.Version=v${criCtlVersion}"
- "-X github.com/containerd/containerd/version.Version=v${containerdVersion}"
- "-X github.com/containerd/containerd/version.Package=github.com/k3s-io/containerd"
- ];
-
- # bundled into the k3s binary
- traefikChart = fetchurl {
- url = "https://helm.traefik.io/traefik/traefik-${traefikChartVersion}.tgz";
- sha256 = traefikChartSha256;
- };
- # so, k3s is a complicated thing to package
- # This derivation attempts to avoid including any random binaries from the
- # internet. k3s-root is _mostly_ binaries built to be bundled in k3s (which
- # we don't care about doing, we can add those as build or runtime
- # dependencies using a real package manager).
- # In addition to those binaries, it's also configuration though (right now
- # mostly strongswan configuration), and k3s does use those files.
- # As such, we download it in order to grab 'etc' and bundle it into the final
- # k3s binary.
- k3sRoot = fetchzip {
- # Note: marked as apache 2.0 license
- url = "https://github.com/k3s-io/k3s-root/releases/download/v${k3sRootVersion}/k3s-root-amd64.tar";
- sha256 = k3sRootSha256;
- stripRoot = false;
- };
- k3sCNIPlugins = buildGoModule rec {
- pname = "k3s-cni-plugins";
- version = k3sCNIVersion;
- vendorHash = null;
-
- subPackages = [ "." ];
-
- src = fetchFromGitHub {
- owner = "rancher";
- repo = "plugins";
- rev = "v${version}";
- sha256 = k3sCNISha256;
- };
-
- postInstall = ''
- mv $out/bin/plugins $out/bin/cni
- '';
-
- meta = baseMeta // {
- description = "CNI plugins, as patched by rancher for k3s";
- };
- };
- # Grab this separately from a build because it's used by both stages of the
- # k3s build.
- k3sRepo = fetchgit {
- url = "https://github.com/k3s-io/k3s";
- rev = "v${k3sVersion}";
- sha256 = k3sRepoSha256;
- };
- # Stage 1 of the k3s build:
- # Let's talk about how k3s is structured.
- # One of the ideas of k3s is that there's the single "k3s" binary which can
- # do everything you need, from running a k3s server, to being a worker node,
- # to running kubectl.
- # The way that actually works is that k3s is a single go binary that contains
- # a bunch of bindata that it unpacks at runtime into directories (either the
- # user's home directory or /var/lib/rancher if run as root).
- # This bindata includes both binaries and configuration.
- # In order to let nixpkgs do all its autostripping/patching/etc, we split this into two derivations.
- # First, we build all the binaries that get packed into the thick k3s binary
- # (and output them from one derivation so they'll all be suitably patched up).
- # Then, we bundle those binaries into our thick k3s binary and use that as
- # the final single output.
- # This approach was chosen because it ensures the bundled binaries all are
- # correctly built to run with nix (we can lean on the existing buildGoModule
- # stuff), and we can again lean on that tooling for the final k3s binary too.
- # Other alternatives would be to manually run the
- # strip/patchelf/remove-references step ourselves in the installPhase of the
- # derivation when we've built all the binaries, but haven't bundled them in
- # with generated bindata yet.
-
- k3sServer = buildGoModule rec {
- pname = "k3s-server";
- version = k3sVersion;
-
- src = k3sRepo;
- vendorHash = k3sVendorHash;
-
- nativeBuildInputs = [ pkg-config ];
- buildInputs = [ libseccomp sqlite.dev ];
-
- subPackages = [ "cmd/server" ];
- ldflags = versionldflags;
-
- tags = [ "libsqlite3" "linux" ];
-
- # create the multicall symlinks for k3s
- postInstall = ''
- mv $out/bin/server $out/bin/k3s
- pushd $out
- # taken verbatim from https://github.com/k3s-io/k3s/blob/v1.23.3%2Bk3s1/scripts/build#L105-L113
- ln -s k3s ./bin/k3s-agent
- ln -s k3s ./bin/k3s-server
- ln -s k3s ./bin/k3s-etcd-snapshot
- ln -s k3s ./bin/k3s-secrets-encrypt
- ln -s k3s ./bin/k3s-certificate
- ln -s k3s ./bin/kubectl
- ln -s k3s ./bin/crictl
- ln -s k3s ./bin/ctr
- popd
- '';
-
- meta = baseMeta // {
- description = "The various binaries that get packaged into the final k3s binary";
- };
- };
- k3sContainerd = buildGoModule {
- pname = "k3s-containerd";
- version = containerdVersion;
- src = fetchFromGitHub {
- owner = "k3s-io";
- repo = "containerd";
- rev = "v${containerdVersion}";
- sha256 = containerdSha256;
- };
- vendorHash = null;
- buildInputs = [ btrfs-progs ];
- subPackages = [ "cmd/containerd" "cmd/containerd-shim-runc-v2" ];
- ldflags = versionldflags;
- };
-in
-buildGoModule rec {
- pname = "k3s";
- version = k3sVersion;
-
- src = k3sRepo;
- vendorHash = k3sVendorHash;
-
- patches = [
- ./0001-script-download-strip-downloading-just-package-CRD.patch
- ];
-
- postPatch = ''
- # Nix prefers dynamically linked binaries over static binary.
-
- substituteInPlace scripts/package-cli \
- --replace '"$LDFLAGS $STATIC" -o' \
- '"$LDFLAGS" -o' \
- --replace "STATIC=\"-extldflags \'-static\'\"" \
- ""
-
- # Upstream codegen fails with trimpath set. Removes "trimpath" for 'go generate':
-
- substituteInPlace scripts/package-cli \
- --replace '"''${GO}" generate' \
- 'GOFLAGS="" \
- GOOS="${pkgsBuildBuild.go.GOOS}" \
- GOARCH="${pkgsBuildBuild.go.GOARCH}" \
- CC="${pkgsBuildBuild.stdenv.cc}/bin/cc" \
- "''${GO}" generate'
- '';
-
- # Important utilities used by the kubelet, see
- # https://github.com/kubernetes/kubernetes/issues/26093#issuecomment-237202494
- # Note the list in that issue is stale and some aren't relevant for k3s.
- k3sRuntimeDeps = [
- kmod
- socat
- iptables
- iproute2
- ipset
- bridge-utils
- ethtool
- util-linux # kubelet wants 'nsenter' from util-linux: https://github.com/kubernetes/kubernetes/issues/26093#issuecomment-705994388
- conntrack-tools
- ];
-
- buildInputs = k3sRuntimeDeps;
-
- nativeBuildInputs = [
- makeWrapper
- rsync
- yq-go
- zstd
- ];
-
- # embedded in the final k3s cli
- propagatedBuildInputs = [
- k3sCNIPlugins
- k3sContainerd
- k3sServer
- runc
- ];
-
- # We override most of buildPhase due to peculiarities in k3s's build.
- # Specifically, it has a 'go generate' which runs part of the package. See
- # this comment:
- # https://github.com/NixOS/nixpkgs/pull/158089#discussion_r799965694
- # So, why do we use buildGoModule at all? For the `vendorHash` / `go mod download` stuff primarily.
- buildPhase = ''
- patchShebangs ./scripts/package-cli ./scripts/download ./scripts/build-upload
-
- # copy needed 'go generate' inputs into place
- mkdir -p ./bin/aux
- rsync -a --no-perms ${k3sServer}/bin/ ./bin/
- ln -vsf ${runc}/bin/runc ./bin/runc
- ln -vsf ${k3sCNIPlugins}/bin/cni ./bin/cni
- ln -vsf ${k3sContainerd}/bin/* ./bin/
- rsync -a --no-perms --chmod u=rwX ${k3sRoot}/etc/ ./etc/
- mkdir -p ./build/static/charts
- # Note, upstream's chart has a 00 suffix. This seems to not matter though, so we're ignoring that naming detail.
- export TRAEFIK_CHART_FILE=${traefikChart}
- # place the traefik chart using their code since it's complicated
- # We trim the actual download, see patches
- ./scripts/download
-
- export ARCH=$GOARCH
- export DRONE_TAG="v${k3sVersion}"
- export DRONE_COMMIT="${k3sCommit}"
- # use ./scripts/package-cli to run 'go generate' + 'go build'
-
- ./scripts/package-cli
- mkdir -p $out/bin
- '';
-
- # Otherwise it depends on 'getGoDirs', which is normally set in buildPhase
- doCheck = false;
-
- installPhase = ''
- # wildcard to match the arm64 build too
- install -m 0755 dist/artifacts/k3s* -D $out/bin/k3s
- wrapProgram $out/bin/k3s \
- --prefix PATH : ${lib.makeBinPath k3sRuntimeDeps} \
- --prefix PATH : "$out/bin"
- ln -s $out/bin/k3s $out/bin/kubectl
- ln -s $out/bin/k3s $out/bin/crictl
- ln -s $out/bin/k3s $out/bin/ctr
- '';
-
- doInstallCheck = true;
- installCheckPhase = ''
- $out/bin/k3s --version | grep -F "v${k3sVersion}" >/dev/null
- '';
-
- # Fix-Me: Needs to be adapted specifically for 1.25
- # passthru.updateScript = ./update.sh;
-
- passthru.tests = k3s.passthru.mkTests k3sVersion;
-
- meta = baseMeta;
-}
diff --git a/pkgs/applications/networking/cluster/k3s/1_26/chart-versions.nix b/pkgs/applications/networking/cluster/k3s/1_26/chart-versions.nix
index d3ff99358af2..1acca4d0e101 100644
--- a/pkgs/applications/networking/cluster/k3s/1_26/chart-versions.nix
+++ b/pkgs/applications/networking/cluster/k3s/1_26/chart-versions.nix
@@ -1,10 +1,10 @@
{
traefik-crd = {
- url = "https://k3s.io/k3s-charts/assets/traefik-crd/traefik-crd-21.2.1+up21.2.0.tgz";
- sha256 = "05j3vyikb7g2z2i07rij9h4ki5lb2hb2rynpiqfd4l1y5qm0qhw9";
+ url = "https://k3s.io/k3s-charts/assets/traefik-crd/traefik-crd-25.0.2+up25.0.0.tgz";
+ sha256 = "0jygzsn5pxzf7423x5iqfffgx5xvm7c7hfck46y7vpv1fdkiipcq";
};
traefik = {
- url = "https://k3s.io/k3s-charts/assets/traefik/traefik-21.2.1+up21.2.0.tgz";
- sha256 = "0gvz0yzph2893scd0q10b938yc7f36b3zqs57pkjgqqpl1d0nwhg";
+ url = "https://k3s.io/k3s-charts/assets/traefik/traefik-25.0.2+up25.0.0.tgz";
+ sha256 = "1g9n19lnqdkmbbr3rnbwc854awha0kqqfwyxanyx1lg5ww8ldp89";
};
}
diff --git a/pkgs/applications/networking/cluster/k3s/1_26/versions.nix b/pkgs/applications/networking/cluster/k3s/1_26/versions.nix
index 5bbfbcf380a5..efca8313d584 100644
--- a/pkgs/applications/networking/cluster/k3s/1_26/versions.nix
+++ b/pkgs/applications/networking/cluster/k3s/1_26/versions.nix
@@ -1,14 +1,14 @@
{
- k3sVersion = "1.26.9+k3s1";
- k3sCommit = "4e217286a7ea41b82f1b67ab851d444ecf9a0f9b";
- k3sRepoSha256 = "1rf2gzf3ilcd1gc6d4k1w6cficr70x8lwzcq81njpz72dr6883z3";
- k3sVendorHash = "sha256-heCQNRaa0qFNkL69KEiIH2qEg+pukgS+fLOSWcwFddA=";
+ k3sVersion = "1.26.14+k3s1";
+ k3sCommit = "c7e6922aa84369b3c0d28bb800e67bb162895a1c";
+ k3sRepoSha256 = "1spvyyzk711g4ik1pv21xaasy7va5l5gcvbfkamfv4ijn0wz4mjx";
+ k3sVendorHash = "sha256-ursq2Vq1J9MdkwDl3kKioxizhR46yo2urNc3VpwVH2A=";
chartVersions = import ./chart-versions.nix;
k3sRootVersion = "0.12.2";
k3sRootSha256 = "1gjynvr350qni5mskgm7pcc7alss4gms4jmkiv453vs8mmma9c9k";
- k3sCNIVersion = "1.3.0-k3s1";
- k3sCNISha256 = "0zma9g4wvdnhs9igs03xlx15bk2nq56j73zns9xgqmfiixd9c9av";
- containerdVersion = "1.7.6-k3s1.26";
- containerdSha256 = "1bj7nggfmkrrgm5yk08p665z1mw1y376k4g3vjbkqldfglzpx7sq";
+ k3sCNIVersion = "1.4.0-k3s2";
+ k3sCNISha256 = "17dg6jgjx18nrlyfmkv14dhzxsljz4774zgwz5dchxcf38bvarqa";
+ containerdVersion = "1.7.11-k3s2.26";
+ containerdSha256 = "0413a81kzb05xkklwyngg8g6a0w4icsi938rim69jmr2sijc89ww";
criCtlVersion = "1.26.0-rc.0-k3s1";
}
diff --git a/pkgs/applications/networking/cluster/k3s/1_27/versions.nix b/pkgs/applications/networking/cluster/k3s/1_27/versions.nix
index 596f21ab55cd..de7fbe181351 100644
--- a/pkgs/applications/networking/cluster/k3s/1_27/versions.nix
+++ b/pkgs/applications/networking/cluster/k3s/1_27/versions.nix
@@ -1,13 +1,13 @@
{
- k3sVersion = "1.27.9+k3s1";
- k3sCommit = "2c249a39358bd36438ab53aedef5487d950fd558";
- k3sRepoSha256 = "16zcp1ih34zpz6115ivbcs49n5yikgj8mpiv177jvvb2vakmkgv6";
- k3sVendorHash = "sha256-zvoBN1mErSXovv/xVzjntHyZjVyCfPzsOdlcTSIwKus=";
+ k3sVersion = "1.27.11+k3s1";
+ k3sCommit = "06d6bc80b469a61e5e90438b1f2639cd136a89e7";
+ k3sRepoSha256 = "0qkm8yqs9p34kb5k2q0j5wiykj78qc12n65n0clas5by23jrqcqa";
+ k3sVendorHash = "sha256-+z8pr30+28puv7yjA7ZvW++I0ipNEmen2OhCxFMzYOY=";
chartVersions = import ./chart-versions.nix;
k3sRootVersion = "0.12.2";
k3sRootSha256 = "1gjynvr350qni5mskgm7pcc7alss4gms4jmkiv453vs8mmma9c9k";
- k3sCNIVersion = "1.3.0-k3s1";
- k3sCNISha256 = "0zma9g4wvdnhs9igs03xlx15bk2nq56j73zns9xgqmfiixd9c9av";
+ k3sCNIVersion = "1.4.0-k3s2";
+ k3sCNISha256 = "17dg6jgjx18nrlyfmkv14dhzxsljz4774zgwz5dchxcf38bvarqa";
containerdVersion = "1.7.11-k3s2.27";
containerdSha256 = "0xjxc5dgh3drk2glvcabd885damjffp9r4cs0cm1zgnrrbhlipra";
criCtlVersion = "1.26.0-rc.0-k3s1";
diff --git a/pkgs/applications/networking/cluster/k3s/1_28/versions.nix b/pkgs/applications/networking/cluster/k3s/1_28/versions.nix
index f1d3ea13177a..24e04c89aca9 100644
--- a/pkgs/applications/networking/cluster/k3s/1_28/versions.nix
+++ b/pkgs/applications/networking/cluster/k3s/1_28/versions.nix
@@ -1,13 +1,13 @@
{
- k3sVersion = "1.28.6+k3s1";
- k3sCommit = "39a0001575780fffa6aae0271f4cb4ce7413aac8";
- k3sRepoSha256 = "1bhbpbgs02gh5y7pgn6vmanacrz3p0b2gq3w2kqpb11bijp2alld";
- k3sVendorHash = "sha256-Mo+gZ+NOZqd3CP/Z02LfO4dHyEuRhabZVAU60GofOMo=";
+ k3sVersion = "1.28.7+k3s1";
+ k3sCommit = "051b14b248655896fdfd7ba6c93db6182cde7431";
+ k3sRepoSha256 = "1136h9xwg1p26lh3m63a4c55qsahla0d0xvlr09qqbhqiyv7fn0b";
+ k3sVendorHash = "sha256-FzalTtDleFIN12lvn0k7+nWchr6y/Ztcxs0bs2E4UO0=";
chartVersions = import ./chart-versions.nix;
k3sRootVersion = "0.12.2";
k3sRootSha256 = "1gjynvr350qni5mskgm7pcc7alss4gms4jmkiv453vs8mmma9c9k";
- k3sCNIVersion = "1.3.0-k3s1";
- k3sCNISha256 = "0zma9g4wvdnhs9igs03xlx15bk2nq56j73zns9xgqmfiixd9c9av";
+ k3sCNIVersion = "1.4.0-k3s2";
+ k3sCNISha256 = "17dg6jgjx18nrlyfmkv14dhzxsljz4774zgwz5dchxcf38bvarqa";
containerdVersion = "1.7.11-k3s2";
containerdSha256 = "0279sil02wz7310xhrgmdbc0r2qibj9lafy0i9k24jdrh74icmib";
criCtlVersion = "1.26.0-rc.0-k3s1";
diff --git a/pkgs/applications/networking/cluster/k3s/1_29/versions.nix b/pkgs/applications/networking/cluster/k3s/1_29/versions.nix
index 00bc1476306d..fcdd8e91a215 100644
--- a/pkgs/applications/networking/cluster/k3s/1_29/versions.nix
+++ b/pkgs/applications/networking/cluster/k3s/1_29/versions.nix
@@ -1,13 +1,13 @@
{
- k3sVersion = "1.29.0+k3s1";
- k3sCommit = "3190a5faa28d7a0d428c756d67adcab7eb11e6a5";
- k3sRepoSha256 = "1g75a7kz9nnv0vagzhggkw0zqigykimdwsmibgssa8vyjpg7idda";
- k3sVendorHash = "sha256-iHmPVjYR/ZLH9UZ5yNEApyuGQsEwtxVbQw7Pu7WrpaQ=";
+ k3sVersion = "1.29.2+k3s1";
+ k3sCommit = "86f102134ed6b1669badd3bfb6420f73e8f015d0";
+ k3sRepoSha256 = "0gd35ficik92x4svcg4mlw1v6vms7sfw1asmdahh16li4j27wdz5";
+ k3sVendorHash = "sha256-KG795CA3l+iCdJlYMNTQLmv3YqmtM2juacbsmH7B//M=";
chartVersions = import ./chart-versions.nix;
k3sRootVersion = "0.12.2";
k3sRootSha256 = "1gjynvr350qni5mskgm7pcc7alss4gms4jmkiv453vs8mmma9c9k";
- k3sCNIVersion = "1.3.0-k3s1";
- k3sCNISha256 = "0zma9g4wvdnhs9igs03xlx15bk2nq56j73zns9xgqmfiixd9c9av";
+ k3sCNIVersion = "1.4.0-k3s2";
+ k3sCNISha256 = "17dg6jgjx18nrlyfmkv14dhzxsljz4774zgwz5dchxcf38bvarqa";
containerdVersion = "1.7.11-k3s2";
containerdSha256 = "0279sil02wz7310xhrgmdbc0r2qibj9lafy0i9k24jdrh74icmib";
criCtlVersion = "1.29.0-k3s1";
diff --git a/pkgs/applications/networking/cluster/k3s/builder.nix b/pkgs/applications/networking/cluster/k3s/builder.nix
index a914cf87102e..01659d9236c8 100644
--- a/pkgs/applications/networking/cluster/k3s/builder.nix
+++ b/pkgs/applications/networking/cluster/k3s/builder.nix
@@ -30,6 +30,7 @@ lib:
# It is likely we will have to split out additional builders for additional
# versions in the future, or customize this one further.
{ lib
+, fetchpatch
, makeWrapper
, socat
, iptables
@@ -184,6 +185,15 @@ let
src = k3sRepo;
vendorHash = k3sVendorHash;
+ patches =
+ # Disable: Add runtime checking of golang version
+ (fetchpatch {
+ # https://github.com/k3s-io/k3s/pull/9054
+ url = "https://github.com/k3s-io/k3s/commit/b297996b9252b02e56e9425f55f6becbf6bb7832.patch";
+ hash = "sha256-xBOY2jnLhT9dtVKtq26V9QUnuX1q6E/9UcO9IaU719U=";
+ revert = true;
+ });
+
nativeBuildInputs = [ pkg-config ];
buildInputs = [ libseccomp sqlite.dev ];
@@ -344,6 +354,7 @@ buildGoModule rec {
passthru.mkTests = version:
let k3s_version = "k3s_" + lib.replaceStrings ["."] ["_"] (lib.versions.majorMinor version);
in {
+ etcd = nixosTests.k3s.etcd.${k3s_version};
single-node = nixosTests.k3s.single-node.${k3s_version};
multi-node = nixosTests.k3s.multi-node.${k3s_version};
};
diff --git a/pkgs/applications/networking/cluster/k3s/update-script.sh b/pkgs/applications/networking/cluster/k3s/update-script.sh
index dc41d7325b6c..19b0a6d9309e 100755
--- a/pkgs/applications/networking/cluster/k3s/update-script.sh
+++ b/pkgs/applications/networking/cluster/k3s/update-script.sh
@@ -25,8 +25,8 @@ LATEST_TAG_NAME=$(jq 'map(.tag_name)' ${LATEST_TAG_RAWFILE} | \
K3S_VERSION=$(echo ${LATEST_TAG_NAME} | sed 's/^v//')
K3S_COMMIT=$(curl --silent -f ${GITHUB_TOKEN:+-u ":$GITHUB_TOKEN"} \
- https://api.github.com/repos/k3s-io/k3s/tags \
- | jq -r "map(select(.name == \"${LATEST_TAG_NAME}\")) | .[0] | .commit.sha")
+ https://api.github.com/repos/k3s-io/k3s/git/refs/tags \
+ | jq -r "map(select(.ref == \"refs/tags/${LATEST_TAG_NAME}\")) | .[0] | .object.sha")
K3S_REPO_SHA256=$(nix-prefetch-url --quiet --unpack https://github.com/k3s-io/k3s/archive/refs/tags/${LATEST_TAG_NAME}.tar.gz)
diff --git a/pkgs/applications/networking/cluster/k3sup/default.nix b/pkgs/applications/networking/cluster/k3sup/default.nix
index c45970ddeef8..d5ac78ea5c4c 100644
--- a/pkgs/applications/networking/cluster/k3sup/default.nix
+++ b/pkgs/applications/networking/cluster/k3sup/default.nix
@@ -48,6 +48,7 @@ buildGoModule rec {
meta = with lib; {
homepage = "https://github.com/alexellis/k3sup";
description = "Bootstrap Kubernetes with k3s over SSH";
+ mainProgram = "k3sup";
license = licenses.mit;
maintainers = with maintainers; [ welteki qjoly ];
};
diff --git a/pkgs/applications/networking/cluster/k8sgpt/default.nix b/pkgs/applications/networking/cluster/k8sgpt/default.nix
index 9f9e4bc6740b..5f358587c4a4 100644
--- a/pkgs/applications/networking/cluster/k8sgpt/default.nix
+++ b/pkgs/applications/networking/cluster/k8sgpt/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "k8sgpt";
- version = "0.3.26";
+ version = "0.3.29";
src = fetchFromGitHub {
owner = "k8sgpt-ai";
repo = "k8sgpt";
rev = "v${version}";
- hash = "sha256-FUYtBoJAnY8WRh0eABniOgg781UooG67RKTHp1u3SiQ=";
+ hash = "sha256-SYMgImnNqkP0A8EzR2btpVPb37G4Oxyb+Am//lXxTxU=";
};
- vendorHash = "sha256-sd4QIQQpDyPV4pqk9VJBApzRzjwxMFieCOQQjJzFXHc=";
+ vendorHash = "sha256-NOXySV9sQl4Q1eDbcGMk0msMSosjyxYyJfhu7hd/4gw=";
CGO_ENABLED = 0;
@@ -24,6 +24,7 @@ buildGoModule rec {
meta = with lib; {
description = "Giving Kubernetes Superpowers to everyone";
+ mainProgram = "k8sgpt";
homepage = "https://k8sgpt.ai";
changelog = "https://github.com/k8sgpt-ai/k8sgpt/releases/tag/v${version}";
license = licenses.asl20;
diff --git a/pkgs/applications/networking/cluster/k9s/default.nix b/pkgs/applications/networking/cluster/k9s/default.nix
index c40a5c3d5613..274bff5d253f 100644
--- a/pkgs/applications/networking/cluster/k9s/default.nix
+++ b/pkgs/applications/networking/cluster/k9s/default.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "k9s";
- version = "0.31.8";
+ version = "0.32.4";
src = fetchFromGitHub {
owner = "derailed";
repo = "k9s";
rev = "v${version}";
- hash = "sha256-sZtMeFoi3UJO5uV4zOez1TbpBCtfclGhZTrYGZ/+Mio=";
+ hash = "sha256-0MAnN1ekzHLs25EspDN3xacmDvwXGwKO/5RsCMMwTI8=";
};
ldflags = [
@@ -23,7 +23,7 @@ buildGoModule rec {
proxyVendor = true;
- vendorHash = "sha256-0Tq74BtSk5mp0eZjTevvDFWnEc5tnSwO7ZckcJXd/Yo=";
+ vendorHash = "sha256-Eaz56iU862KDAlVPuMo8qPuWSFCxEFcJ3Qubl9TUiyI=";
# TODO investigate why some config tests are failing
doCheck = !(stdenv.isDarwin && stdenv.isAarch64);
diff --git a/pkgs/applications/networking/cluster/kaniko/default.nix b/pkgs/applications/networking/cluster/kaniko/default.nix
index b4b667ccece6..19fcad4c51eb 100644
--- a/pkgs/applications/networking/cluster/kaniko/default.nix
+++ b/pkgs/applications/networking/cluster/kaniko/default.nix
@@ -9,13 +9,13 @@
buildGoModule rec {
pname = "kaniko";
- version = "1.20.0";
+ version = "1.21.1";
src = fetchFromGitHub {
owner = "GoogleContainerTools";
repo = "kaniko";
rev = "v${version}";
- hash = "sha256-/JSrkxhW2w9K+MGp7+4xMGwWM8dpwRoUam02K+8NsCU=";
+ hash = "sha256-mVoXJPNkG0VPTaZ1pg6oB5qa/bYQa9Gn82CoGRsVwWg=";
};
vendorHash = null;
diff --git a/pkgs/applications/networking/cluster/karmor/default.nix b/pkgs/applications/networking/cluster/karmor/default.nix
index 8ee03d825d65..383ac0e15f01 100644
--- a/pkgs/applications/networking/cluster/karmor/default.nix
+++ b/pkgs/applications/networking/cluster/karmor/default.nix
@@ -8,16 +8,16 @@
buildGoModule rec {
pname = "karmor";
- version = "1.1.0";
+ version = "1.1.1";
src = fetchFromGitHub {
owner = "kubearmor";
repo = "kubearmor-client";
rev = "v${version}";
- hash = "sha256-HQJHtRi/ddKD+CNG3Ea61jz8zKcACBYCUR+qKbzADcI=";
+ hash = "sha256-NeLMHecfDyMhXmq1HO3qRIWeYpkoj9Od5wWStZEkHYU=";
};
- vendorHash = "sha256-Lzp6n66oMrzTk4oWERa8Btb3FwiASpSj8hdQmYxYges=";
+ vendorHash = "sha256-EIvwzgpC9Ls43RJEhxNYDlF4luKthFgJleaXcYzOYow=";
nativeBuildInputs = [ installShellFiles ];
@@ -48,6 +48,7 @@ buildGoModule rec {
meta = with lib; {
description = "A client tool to help manage KubeArmor";
+ mainProgram = "karmor";
homepage = "https://kubearmor.io";
changelog = "https://github.com/kubearmor/kubearmor-client/releases/v${version}";
license = licenses.asl20;
diff --git a/pkgs/applications/networking/cluster/kbst/default.nix b/pkgs/applications/networking/cluster/kbst/default.nix
index 30207b07457f..566610ebc7cb 100644
--- a/pkgs/applications/networking/cluster/kbst/default.nix
+++ b/pkgs/applications/networking/cluster/kbst/default.nix
@@ -33,6 +33,7 @@ buildGoModule rec {
meta = with lib; {
description = "Kubestack framework CLI";
+ mainProgram = "kbst";
homepage = "https://www.kubestack.com/";
license = licenses.asl20;
maintainers = with maintainers; [ mtrsk ];
diff --git a/pkgs/applications/networking/cluster/kconf/default.nix b/pkgs/applications/networking/cluster/kconf/default.nix
index 5e36f45e50fd..8813327a6090 100644
--- a/pkgs/applications/networking/cluster/kconf/default.nix
+++ b/pkgs/applications/networking/cluster/kconf/default.nix
@@ -19,6 +19,7 @@ buildGoModule rec {
meta = with lib; {
description = "An opinionated command line tool for managing multiple kubeconfigs";
+ mainProgram = "kconf";
homepage = "https://github.com/particledecay/kconf";
license = licenses.mit;
maintainers = with maintainers; [ thmzlt ];
diff --git a/pkgs/applications/networking/cluster/kfilt/default.nix b/pkgs/applications/networking/cluster/kfilt/default.nix
index dd85bd8bbddc..8059528eaa12 100644
--- a/pkgs/applications/networking/cluster/kfilt/default.nix
+++ b/pkgs/applications/networking/cluster/kfilt/default.nix
@@ -24,6 +24,7 @@ buildGoModule rec {
meta = {
description = "Command-line tool that filters Kubernetes resources";
+ mainProgram = "kfilt";
homepage = "https://github.com/ryane/kfilt";
license = lib.licenses.asl20;
maintainers = [ lib.maintainers.ryane ];
diff --git a/pkgs/applications/networking/cluster/kluctl/default.nix b/pkgs/applications/networking/cluster/kluctl/default.nix
index 99e311ff3e20..601be5ff6d1d 100644
--- a/pkgs/applications/networking/cluster/kluctl/default.nix
+++ b/pkgs/applications/networking/cluster/kluctl/default.nix
@@ -31,6 +31,7 @@ buildGoModule rec {
meta = with lib; {
description = "The missing glue to put together large Kubernetes deployments";
+ mainProgram = "kluctl";
homepage = "https://kluctl.io/";
license = licenses.asl20;
maintainers = with maintainers; [ sikmir netthier ];
diff --git a/pkgs/applications/networking/cluster/kn/default.nix b/pkgs/applications/networking/cluster/kn/default.nix
index 156c2cae7ac5..3a5f18cbf581 100644
--- a/pkgs/applications/networking/cluster/kn/default.nix
+++ b/pkgs/applications/networking/cluster/kn/default.nix
@@ -36,6 +36,7 @@ buildGoModule rec {
meta = with lib; {
description = "The Knative client kn is your door to the Knative world. It allows you to create Knative resources interactively from the command line or from within scripts";
+ mainProgram = "kn";
homepage = "https://github.com/knative/client";
changelog = "https://github.com/knative/client/releases/tag/v${version}";
license = licenses.asl20;
diff --git a/pkgs/applications/networking/cluster/kompose/default.nix b/pkgs/applications/networking/cluster/kompose/default.nix
index c1df35b75dc6..248dcf84e3ed 100644
--- a/pkgs/applications/networking/cluster/kompose/default.nix
+++ b/pkgs/applications/networking/cluster/kompose/default.nix
@@ -1,24 +1,17 @@
-{ lib, buildGoModule, fetchFromGitHub, fetchpatch, installShellFiles, testers, kompose, git }:
+{ lib, buildGoModule, fetchFromGitHub, installShellFiles, testers, kompose, git }:
buildGoModule rec {
pname = "kompose";
- version = "1.26.1";
+ version = "1.32.0";
src = fetchFromGitHub {
owner = "kubernetes";
repo = "kompose";
rev = "v${version}";
- sha256 = "sha256-NfzqGG5ZwPpmjhvcvXN1AA+kfZG/oujbAEtXkm1mzeU=";
+ hash = "sha256-W9KAjyMp8fbnZunH5hwj0uctNYxEN/vbEDGaFJpv5hM=";
};
- vendorHash = "sha256-/i4R50heqf0v2F2GTZCKGq10+xKKr+zPkqWKa+afue8=";
-
- patches = [
- (fetchpatch {
- url = "https://github.com/kubernetes/kompose/commit/0964a7ccd16504b6e5ef49a07978c87cca803d46.patch";
- hash = "sha256-NMHLxx7Ae6Z+pacj538ivxIby7rNz3IbfDPbeLA0sMc=";
- })
- ];
+ vendorHash = "sha256-nY0d3r3faowHa7ylqDkUrX6MrGW3g1jYjm1MLFW/jK8=";
nativeBuildInputs = [ installShellFiles git ];
@@ -40,6 +33,7 @@ buildGoModule rec {
meta = with lib; {
description = "A tool to help users who are familiar with docker-compose move to Kubernetes";
+ mainProgram = "kompose";
homepage = "https://kompose.io";
license = licenses.asl20;
maintainers = with maintainers; [ thpham vdemeester ];
diff --git a/pkgs/applications/networking/cluster/kontemplate/default.nix b/pkgs/applications/networking/cluster/kontemplate/default.nix
index 10c161f45d05..14e75829e401 100644
--- a/pkgs/applications/networking/cluster/kontemplate/default.nix
+++ b/pkgs/applications/networking/cluster/kontemplate/default.nix
@@ -15,6 +15,7 @@ buildGoPackage rec {
meta = with lib; {
description = "Extremely simple Kubernetes resource templates";
+ mainProgram = "kontemplate";
homepage = "http://kontemplate.works";
downloadPage = "https://github.com/tazjin/kontemplate/releases";
license = licenses.gpl3;
diff --git a/pkgs/applications/networking/cluster/kops/default.nix b/pkgs/applications/networking/cluster/kops/default.nix
index 1e911aaabf66..7f051075a5b3 100644
--- a/pkgs/applications/networking/cluster/kops/default.nix
+++ b/pkgs/applications/networking/cluster/kops/default.nix
@@ -38,6 +38,7 @@ let
meta = with lib; {
description = "Easiest way to get a production Kubernetes up and running";
+ mainProgram = "kops";
homepage = "https://github.com/kubernetes/kops";
changelog = "https://github.com/kubernetes/kops/tree/master/docs/releases";
license = licenses.asl20;
diff --git a/pkgs/applications/networking/cluster/kpt/default.nix b/pkgs/applications/networking/cluster/kpt/default.nix
index ff5c8588ccee..8ae2138907b1 100644
--- a/pkgs/applications/networking/cluster/kpt/default.nix
+++ b/pkgs/applications/networking/cluster/kpt/default.nix
@@ -19,6 +19,7 @@ buildGoModule rec {
meta = with lib; {
description = "A toolkit to help you manage, manipulate, customize, and apply Kubernetes Resource configuration data files";
+ mainProgram = "kpt";
homepage = "https://googlecontainertools.github.io/kpt/";
license = licenses.asl20;
maintainers = with maintainers; [ mikefaille ];
diff --git a/pkgs/applications/networking/cluster/krane/Gemfile.lock b/pkgs/applications/networking/cluster/krane/Gemfile.lock
index 81217037a353..c462e4d1d602 100644
--- a/pkgs/applications/networking/cluster/krane/Gemfile.lock
+++ b/pkgs/applications/networking/cluster/krane/Gemfile.lock
@@ -32,18 +32,19 @@ GEM
rake
google-cloud-env (2.1.1)
faraday (>= 1.0, < 3.a)
- googleauth (1.9.2)
+ googleauth (1.11.0)
faraday (>= 1.0, < 3.a)
google-cloud-env (~> 2.1)
jwt (>= 1.4, < 3.0)
multi_json (~> 1.11)
os (>= 0.9, < 2.0)
signet (>= 0.16, < 2.a)
- http (5.1.1)
+ http (5.2.0)
addressable (~> 2.8)
+ base64 (~> 0.1)
http-cookie (~> 1.0)
http-form_data (~> 2.2)
- llhttp-ffi (~> 0.4.0)
+ llhttp-ffi (~> 0.5.0)
http-accept (1.7.0)
http-cookie (1.0.5)
domain_name (~> 0.5)
@@ -53,7 +54,7 @@ GEM
jsonpath (1.1.5)
multi_json
jwt (2.7.1)
- krane (3.4.2)
+ krane (3.5.0)
activesupport (>= 5.0)
colorize (~> 0.8)
concurrent-ruby (~> 1.1)
@@ -69,13 +70,13 @@ GEM
jsonpath (~> 1.0)
recursive-open-struct (~> 1.1, >= 1.1.1)
rest-client (~> 2.0)
- llhttp-ffi (0.4.0)
+ llhttp-ffi (0.5.0)
ffi-compiler (~> 1.0)
rake (~> 13.0)
mime-types (3.5.2)
mime-types-data (~> 3.2015)
- mime-types-data (3.2023.1205)
- minitest (5.21.2)
+ mime-types-data (3.2024.0206)
+ minitest (5.22.2)
multi_json (1.15.0)
mutex_m (0.2.0)
net-http (0.4.1)
@@ -91,7 +92,7 @@ GEM
mime-types (>= 1.16, < 4.0)
netrc (~> 0.8)
ruby2_keywords (0.0.5)
- signet (0.18.0)
+ signet (0.19.0)
addressable (~> 2.8)
faraday (>= 0.17.5, < 3.a)
jwt (>= 1.5, < 3.0)
diff --git a/pkgs/applications/networking/cluster/krane/gemset.nix b/pkgs/applications/networking/cluster/krane/gemset.nix
index 16634bfff85b..9f0aad62cc5d 100644
--- a/pkgs/applications/networking/cluster/krane/gemset.nix
+++ b/pkgs/applications/networking/cluster/krane/gemset.nix
@@ -162,21 +162,21 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1qpvsvcag90nw2fjws12m96hsicpmcv04v35j9aiik9rmxxvlk9h";
+ sha256 = "15knmk2fcyqxdpppc3wb5lc6xapbx5hax4lma0iclc2p55aa2kkl";
type = "gem";
};
- version = "1.9.2";
+ version = "1.11.0";
};
http = {
- dependencies = ["addressable" "http-cookie" "http-form_data" "llhttp-ffi"];
+ dependencies = ["addressable" "base64" "http-cookie" "http-form_data" "llhttp-ffi"];
groups = ["default"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1bzb8p31kzv6q5p4z5xq88mnqk414rrw0y5rkhpnvpl29x5c3bpw";
+ sha256 = "05b1khh7wxga9jviy9yi8z1nckxbm3svlzv40y0zvq3nag3d77mr";
type = "gem";
};
- version = "5.1.1";
+ version = "5.2.0";
};
http-accept = {
groups = ["default"];
@@ -247,10 +247,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0lgl5x8b0wwih6h609sglp5zfdg8ymbmh8yv4vp1lcxvf885riyz";
+ sha256 = "1j4vrb55akvpg08vfwvfawf9qbjv9dyiw32g57va89yq371qjasp";
type = "gem";
};
- version = "3.4.2";
+ version = "3.5.0";
};
kubeclient = {
dependencies = ["http" "jsonpath" "recursive-open-struct" "rest-client"];
@@ -269,10 +269,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "00dh6zmqdj59rhcya0l4b9aaxq6n8xizfbil93k0g06gndyk5xz5";
+ sha256 = "1yph78m8w8l6i9833fc7shy5krk4mnqjc7ys0bg9kgxw8jnl0vs9";
type = "gem";
};
- version = "0.4.0";
+ version = "0.5.0";
};
mime-types = {
dependencies = ["mime-types-data"];
@@ -290,20 +290,20 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "08ja4k3yjczzz7n6rp1f3qvz4v45bc6fy04clnvdxbq3kfr7jk4c";
+ sha256 = "0zpn5brxdf5akh7ij511bkrd30fxd7697shmxxszahqj9m62zvn5";
type = "gem";
};
- version = "3.2023.1205";
+ version = "3.2024.0206";
};
minitest = {
groups = ["default"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1hmszq7p4zp2ha3qjv1axam602rgnqhlz5zfzil7yk4nvfwcv1bn";
+ sha256 = "0667vf0zglacry87nkcl3ns8421aydvz71vfa3g3yjhiq8zh19f5";
type = "gem";
};
- version = "5.21.2";
+ version = "5.22.2";
};
multi_json = {
groups = ["default"];
@@ -413,10 +413,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0fzakk5y7zzii76zlkynpp1c764mzkkfg4mpj18f5pf2xp1aikb6";
+ sha256 = "0cfxa11wy1nv9slmnzjczkdgld0gqizajsb03rliy53zylwkjzsk";
type = "gem";
};
- version = "0.18.0";
+ version = "0.19.0";
};
statsd-instrument = {
groups = ["default"];
diff --git a/pkgs/applications/networking/cluster/krelay/default.nix b/pkgs/applications/networking/cluster/krelay/default.nix
index ce890323a86a..6fad5b344c0d 100644
--- a/pkgs/applications/networking/cluster/krelay/default.nix
+++ b/pkgs/applications/networking/cluster/krelay/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "krelay";
- version = "0.0.6";
+ version = "0.0.8";
src = fetchFromGitHub {
owner = "knight42";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-hyjseBIyPdY/xy163bGtfNR1rN/cQczJO53gu4/WmiU=";
+ hash = "sha256-KR5lBLgzv9yjL3JvCjg8dxXWmPgagnnKxYtrPunAyXY=";
};
- vendorHash = "sha256-uDLc1W3jw3F+23C5S65Tcljiurobw4IRw7gYzZyBxQ0=";
+ vendorHash = "sha256-vaWdJyPOLsrLrhipBvUCOHo/TjnJz4Qpvj3lvUPHomU=";
subPackages = [ "cmd/client" ];
@@ -22,7 +22,7 @@ buildGoModule rec {
'';
meta = with lib; {
- description = "A better alternative to `kubectl port-forward` that can forward TCP or UDP traffic to IP/Host which is accessible inside the cluster.";
+ description = "A drop-in replacement for `kubectl port-forward` with some enhanced features";
homepage = "https://github.com/knight42/krelay";
changelog = "https://github.com/knight42/krelay/releases/tag/v${version}";
license = licenses.mit;
diff --git a/pkgs/applications/networking/cluster/ktop/default.nix b/pkgs/applications/networking/cluster/ktop/default.nix
index 58fca5221c0d..847a58a9c40f 100644
--- a/pkgs/applications/networking/cluster/ktop/default.nix
+++ b/pkgs/applications/networking/cluster/ktop/default.nix
@@ -23,6 +23,7 @@ buildGoModule rec {
meta = with lib; {
description = "A top-like tool for your Kubernetes cluster";
+ mainProgram = "ktop";
longDescription = ''
Following the tradition of Unix/Linux top tools, ktop is a tool that displays useful metrics information about nodes, pods, and other workload resources running in a Kubernetes cluster.
'';
diff --git a/pkgs/applications/networking/cluster/ktunnel/default.nix b/pkgs/applications/networking/cluster/ktunnel/default.nix
index 3b05aabc00b9..5cbcc6b661b7 100644
--- a/pkgs/applications/networking/cluster/ktunnel/default.nix
+++ b/pkgs/applications/networking/cluster/ktunnel/default.nix
@@ -32,6 +32,7 @@ buildGoModule {
meta = with lib; {
description = "A cli that exposes your local resources to kubernetes ";
+ mainProgram = "ktunnel";
homepage = "https://github.com/omrikiei/ktunnel";
license = licenses.asl20;
maintainers = with maintainers; [ happysalada ];
diff --git a/pkgs/applications/networking/cluster/kube-capacity/default.nix b/pkgs/applications/networking/cluster/kube-capacity/default.nix
index be8834d05f53..caed8df9e511 100644
--- a/pkgs/applications/networking/cluster/kube-capacity/default.nix
+++ b/pkgs/applications/networking/cluster/kube-capacity/default.nix
@@ -2,20 +2,21 @@
buildGoModule rec {
pname = "kube-capacity";
- version = "0.7.4";
+ version = "0.8.0";
src = fetchFromGitHub {
rev = "v${version}";
owner = "robscott";
repo = pname;
- sha256 = "sha256-zf6e8+jkgJns1c71QLL1gd0zK34X7gJo1gS38A1DPJo=";
+ sha256 = "sha256-zAwCz4Qs1OF/CdSmy9p4X9hL9iNkAH/EeSU2GgekzV8=";
};
- vendorHash = "sha256-qfSya42wZEmJCC7o8zJQEv0BWrxTuBT2Jzcq/AfI+OE=";
+ vendorHash = "sha256-YME4AXpHvr1bNuc/HoHxam+7ZkwLzjhIvFSfD4hga1A=";
meta = with lib; {
description =
"A simple CLI that provides an overview of the resource requests, limits, and utilization in a Kubernetes cluster";
+ mainProgram = "kube-capacity";
homepage = "https://github.com/robscott/kube-capacity";
changelog = "https://github.com/robscott/kube-capacity/releases/tag/v${version}";
license = licenses.asl20;
diff --git a/pkgs/applications/networking/cluster/kube-router/default.nix b/pkgs/applications/networking/cluster/kube-router/default.nix
index 2701db12e61d..4b75b292fd3f 100644
--- a/pkgs/applications/networking/cluster/kube-router/default.nix
+++ b/pkgs/applications/networking/cluster/kube-router/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "kube-router";
- version = "2.0.1";
+ version = "2.1.0";
src = fetchFromGitHub {
owner = "cloudnativelabs";
repo = pname;
rev = "v${version}";
- hash = "sha256-Iwo+I1EfclkF4FL8QM3xGkIFxakmelI+hSUepLwfFSw=";
+ hash = "sha256-5aOAQ5kRnNsCn5EH9RKoeEfcFB3g59eqYIdSNjQxdjM=";
};
- vendorHash = "sha256-VjPesQ27GcwnFQrNI+VYzJ4/aahcjASbfMi//Zs/KLM=";
+ vendorHash = "sha256-5aGcDO+dV9XinH0vw6uNB0mnWuFQcyLhRB7zYr+sRfg=";
CGO_ENABLED = 0;
@@ -29,6 +29,7 @@ buildGoModule rec {
meta = with lib; {
homepage = "https://www.kube-router.io/";
description = "All-in-one router, firewall and service proxy for Kubernetes";
+ mainProgram = "kube-router";
license = licenses.asl20;
maintainers = with maintainers; [ colemickens johanot ];
platforms = platforms.linux;
diff --git a/pkgs/applications/networking/cluster/kube-score/default.nix b/pkgs/applications/networking/cluster/kube-score/default.nix
index 3caa17c2a5e2..f8b42bb0fae3 100644
--- a/pkgs/applications/networking/cluster/kube-score/default.nix
+++ b/pkgs/applications/networking/cluster/kube-score/default.nix
@@ -7,16 +7,16 @@
buildGoModule rec {
pname = "kube-score";
- version = "1.17.0";
+ version = "1.18.0";
src = fetchFromGitHub {
owner = "zegl";
repo = pname;
rev = "v${version}";
- hash = "sha256-/4xnUb60ARGO6hM5PQ3ZkuwjEQUT4Xnj/InIsfw2bzI=";
+ hash = "sha256-3OdcYqSUy0WH5CrrRMXDs1HGxvToXx/3iPytYBdDncg=";
};
- vendorHash = "sha256-UpuwkQHcNg3rohr+AdALakIdHroIySlTnXHgoUdY+EQ=";
+ vendorHash = "sha256-4yd/N57O3avD8KaGU9lZAEDasPx1pRx37rqQpuGeRiY=";
ldflags = [
"-s"
@@ -34,6 +34,7 @@ buildGoModule rec {
meta = with lib; {
description = "Kubernetes object analysis with recommendations for improved reliability and security";
+ mainProgram = "kube-score";
homepage = "https://github.com/zegl/kube-score";
changelog = "https://github.com/zegl/kube-score/releases/tag/v${version}";
license = licenses.mit;
diff --git a/pkgs/applications/networking/cluster/kubebuilder/default.nix b/pkgs/applications/networking/cluster/kubebuilder/default.nix
index 6f54075dc58c..55f29f0deb47 100644
--- a/pkgs/applications/networking/cluster/kubebuilder/default.nix
+++ b/pkgs/applications/networking/cluster/kubebuilder/default.nix
@@ -12,16 +12,16 @@
buildGoModule rec {
pname = "kubebuilder";
- version = "3.13.0";
+ version = "3.14.0";
src = fetchFromGitHub {
owner = "kubernetes-sigs";
repo = "kubebuilder";
rev = "v${version}";
- hash = "sha256-JXI3hQVChM7czCwan1yswsrUSse/IbMzwXw0tnaBiek=";
+ hash = "sha256-em+I2YICcqljaaNQ+zOAnOZ552elmV6Ywbfla8buwaY=";
};
- vendorHash = "sha256-yiRxSJIIYJbkV3QAFclrDDnsBoX1t4cSRvGmwVgz/w8=";
+ vendorHash = "sha256-iBwhpVs9u5AQAvmzb69SaewdYHmmaV19Bstd0Tux9CA=";
subPackages = ["cmd"];
@@ -60,6 +60,7 @@ buildGoModule rec {
meta = with lib; {
description = "SDK for building Kubernetes APIs using CRDs";
+ mainProgram = "kubebuilder";
homepage = "https://github.com/kubernetes-sigs/kubebuilder";
changelog = "https://github.com/kubernetes-sigs/kubebuilder/releases/tag/v${version}";
license = licenses.asl20;
diff --git a/pkgs/applications/networking/cluster/kubecfg/default.nix b/pkgs/applications/networking/cluster/kubecfg/default.nix
index 7197a695e826..78f14012707b 100644
--- a/pkgs/applications/networking/cluster/kubecfg/default.nix
+++ b/pkgs/applications/networking/cluster/kubecfg/default.nix
@@ -6,16 +6,16 @@
buildGoModule rec {
pname = "kubecfg";
- version = "0.34.2";
+ version = "0.34.3";
src = fetchFromGitHub {
owner = "kubecfg";
repo = "kubecfg";
rev = "v${version}";
- hash = "sha256-+qQ/80wXSKvPg2nRuvkYZe0+fwnxKsegR0IjsxBKDNQ=";
+ hash = "sha256-zy7SuJ5ChR09CvZ362z6ZDRd/eIyqg06fpv+JP7C4T0=";
};
- vendorHash = "sha256-X+EvvrAnqMw/jpVdF/UJq9zFH+1NLFLYOu5RsxykynY=";
+ vendorHash = "sha256-TDXZy2I1sxMmtHiE5l9wgW1kJolFYsV5Otv3xfoErWM=";
ldflags = [
"-s"
@@ -33,6 +33,7 @@ buildGoModule rec {
meta = with lib; {
description = "A tool for managing Kubernetes resources as code";
+ mainProgram = "kubecfg";
homepage = "https://github.com/kubecfg/kubecfg";
changelog = "https://github.com/kubecfg/kubecfg/releases/tag/v${version}";
license = licenses.asl20;
diff --git a/pkgs/applications/networking/cluster/kubecolor/default.nix b/pkgs/applications/networking/cluster/kubecolor/default.nix
index 2b41250c628b..794b184e245f 100644
--- a/pkgs/applications/networking/cluster/kubecolor/default.nix
+++ b/pkgs/applications/networking/cluster/kubecolor/default.nix
@@ -17,6 +17,7 @@ buildGoModule rec {
meta = with lib; {
description = "Colorizes kubectl output";
+ mainProgram = "kubecolor";
homepage = "https://github.com/kubecolor/kubecolor";
changelog = "https://github.com/kubecolor/kubecolor/releases/tag/v${version}";
license = licenses.mit;
diff --git a/pkgs/applications/networking/cluster/kubeconform/default.nix b/pkgs/applications/networking/cluster/kubeconform/default.nix
index 611a33ca8f73..b129e0c65bd5 100644
--- a/pkgs/applications/networking/cluster/kubeconform/default.nix
+++ b/pkgs/applications/networking/cluster/kubeconform/default.nix
@@ -15,6 +15,7 @@ buildGoModule rec {
meta = with lib; {
description = "A FAST Kubernetes manifests validator, with support for Custom Resources!";
+ mainProgram = "kubeconform";
homepage = "https://github.com/yannh/kubeconform/";
license = licenses.asl20;
maintainers = [ maintainers.j4m3s ];
diff --git a/pkgs/applications/networking/cluster/kubectl-cnpg/default.nix b/pkgs/applications/networking/cluster/kubectl-cnpg/default.nix
index 77fd7928d680..db05ee6b363a 100644
--- a/pkgs/applications/networking/cluster/kubectl-cnpg/default.nix
+++ b/pkgs/applications/networking/cluster/kubectl-cnpg/default.nix
@@ -5,22 +5,23 @@
buildGoModule rec {
pname = "kubectl-cnpg";
- version = "1.22.1";
+ version = "1.22.2";
src = fetchFromGitHub {
owner = "cloudnative-pg";
repo = "cloudnative-pg";
rev = "v${version}";
- hash = "sha256-mKQuHswnoxgqQOCiQFbibg6MqACOxM73XngQai6s6To=";
+ hash = "sha256-xR61PYUqiVtPTghEEeSWXs/Apx7VVWDgi6Pgx/EBQzQ=";
};
- vendorHash = "sha256-SCeKoxI1zFzSwLAdAa1YI3DiyfK/uOk7CmWwQWVeF7g=";
+ vendorHash = "sha256-u5ou9rY/JBrV0EF/nJX8u/Fqde/WZe21EcsNLwvtqB0=";
subPackages = [ "cmd/kubectl-cnpg" ];
meta = with lib; {
homepage = "https://cloudnative-pg.io/";
description = "Plugin for kubectl to manage a CloudNativePG cluster in Kubernetes";
+ mainProgram = "kubectl-cnpg";
license = licenses.asl20;
maintainers = with maintainers; [ devusb ];
};
diff --git a/pkgs/applications/networking/cluster/kubectl-doctor/default.nix b/pkgs/applications/networking/cluster/kubectl-doctor/default.nix
index e198de456cca..050192b86c9f 100644
--- a/pkgs/applications/networking/cluster/kubectl-doctor/default.nix
+++ b/pkgs/applications/networking/cluster/kubectl-doctor/default.nix
@@ -28,6 +28,7 @@ buildGoModule rec {
meta = with lib; {
description = "kubectl cluster triage plugin for k8s";
+ mainProgram = "kubectl-doctor";
homepage = "https://github.com/emirozer/kubectl-doctor";
changelog = "https://github.com/emirozer/kubectl-doctor/releases/tag/v${version}";
license = licenses.asl20;
diff --git a/pkgs/applications/networking/cluster/kubectl-evict-pod/default.nix b/pkgs/applications/networking/cluster/kubectl-evict-pod/default.nix
index f17c2b2ad1ab..46bf4cb14eef 100644
--- a/pkgs/applications/networking/cluster/kubectl-evict-pod/default.nix
+++ b/pkgs/applications/networking/cluster/kubectl-evict-pod/default.nix
@@ -15,6 +15,7 @@ buildGoModule rec {
meta = with lib; {
description = "This plugin evicts the given pod and is useful for testing pod disruption budget rules";
+ mainProgram = "kubectl-evict-pod";
homepage = "https://github.com/rajatjindal/kubectl-evict-pod";
license = licenses.asl20;
maintainers = [ maintainers.j4m3s ];
diff --git a/pkgs/applications/networking/cluster/kubectl-example/default.nix b/pkgs/applications/networking/cluster/kubectl-example/default.nix
index 76562de2bd76..dc917a987e0d 100644
--- a/pkgs/applications/networking/cluster/kubectl-example/default.nix
+++ b/pkgs/applications/networking/cluster/kubectl-example/default.nix
@@ -15,6 +15,7 @@ buildGoModule rec {
meta = with lib; {
description = "kubectl plugin for retrieving resource example YAMLs";
+ mainProgram = "kubectl-example";
homepage = "https://github.com/seredot/kubectl-example";
changelog = "https://github.com/seredot/kubectl-example/releases/tag/v${version}";
license = licenses.asl20;
diff --git a/pkgs/applications/networking/cluster/kubectl-explore/default.nix b/pkgs/applications/networking/cluster/kubectl-explore/default.nix
index 2eb7b6befb75..0ff402b39f08 100644
--- a/pkgs/applications/networking/cluster/kubectl-explore/default.nix
+++ b/pkgs/applications/networking/cluster/kubectl-explore/default.nix
@@ -16,6 +16,7 @@ buildGoModule rec {
meta = with lib; {
description = "A better kubectl explain with the fuzzy finder";
+ mainProgram = "kubectl-explore";
homepage = "https://github.com/keisku/kubectl-explore";
changelog = "https://github.com/keisku/kubectl-explore/releases/tag/v${version}";
license = licenses.mit;
diff --git a/pkgs/applications/networking/cluster/kubectl-gadget/default.nix b/pkgs/applications/networking/cluster/kubectl-gadget/default.nix
index 479a6efab2a1..ffc4cc761a8d 100644
--- a/pkgs/applications/networking/cluster/kubectl-gadget/default.nix
+++ b/pkgs/applications/networking/cluster/kubectl-gadget/default.nix
@@ -2,22 +2,23 @@
buildGoModule rec {
pname = "kubectl-gadget";
- version = "0.24.0";
+ version = "0.26.0";
src = fetchFromGitHub {
owner = "inspektor-gadget";
repo = "inspektor-gadget";
rev = "v${version}";
- hash = "sha256-JC6+6PADTfxpVRowh09fXC8EO/qIsUTTba2uYxxxJ/A=";
+ hash = "sha256-G2FvKnO+YuLlRlzfB1YMRhCHWa6v4sMFLyDqp12bzn4=";
};
- vendorHash = "sha256-7pwEQ1O3i4SmVSTTmOX9KPR0ePdDpf2dQgD4e6fDyzQ=";
+ vendorHash = "sha256-IrSx1iCOd95CWyLo6WuEtTFm6p62se/t8dcBmH5eOP4=";
CGO_ENABLED = 0;
ldflags = [
"-s" "-w"
- "-X main.version=v${version}"
+ "-X github.com/inspektor-gadget/inspektor-gadget/cmd/common.version=v${version}"
+ "-X main.gadgetimage=ghcr.io/inspektor-gadget/inspektor-gadget:v${version}"
"-extldflags=-static"
];
@@ -29,8 +30,9 @@ buildGoModule rec {
meta = with lib; {
description = "A collection of gadgets for troubleshooting Kubernetes applications using eBPF";
+ mainProgram = "kubectl-gadget";
homepage = "https://inspektor-gadget.io";
license = licenses.asl20;
- maintainers = with maintainers; [ kranurag7 ];
+ maintainers = with maintainers; [ kranurag7 devusb ];
};
}
diff --git a/pkgs/applications/networking/cluster/kubectl-images/default.nix b/pkgs/applications/networking/cluster/kubectl-images/default.nix
index 7ab487d3dda1..1c4b1bf60e12 100644
--- a/pkgs/applications/networking/cluster/kubectl-images/default.nix
+++ b/pkgs/applications/networking/cluster/kubectl-images/default.nix
@@ -19,6 +19,7 @@ buildGoModule rec {
meta = with lib; {
description = "Show container images used in the cluster.";
+ mainProgram = "kubectl-images";
homepage = "https://github.com/chenjiandongx/kubectl-images";
changelog = "https://github.com/chenjiandongx/kubectl-images/releases/tag/v${version}";
license = licenses.mit;
diff --git a/pkgs/applications/networking/cluster/kubectl-klock/default.nix b/pkgs/applications/networking/cluster/kubectl-klock/default.nix
index 4b617fd8d854..044e12837c9d 100644
--- a/pkgs/applications/networking/cluster/kubectl-klock/default.nix
+++ b/pkgs/applications/networking/cluster/kubectl-klock/default.nix
@@ -1,17 +1,23 @@
-{ lib, buildGoModule, fetchFromGitHub }:
+{ lib, buildGoModule, fetchFromGitHub, makeWrapper }:
buildGoModule rec {
pname = "kubectl-klock";
- version = "0.5.0";
+ version = "0.5.1";
+
+ nativeBuildInputs = [ makeWrapper ];
src = fetchFromGitHub {
owner = "applejag";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-fR97rTMFwtqVH9wqKy1+EzKKg753c18v8VDCQ2Y69+s=";
+ hash = "sha256-q7Wq1mTjOB7tT66+LWIwwqltQcQSHD/VHLO7nCTZTQ0=";
};
- vendorHash = "sha256-AkYKKM4PR/msG44MwdSq6XAf6EvdtJHoXyw7Xj7MXso=";
+ vendorHash = "sha256-3CJ/tmFFkmq6wHxbqk8u+GxxbSrUpB/JD5s/S7hegB8=";
+
+ postInstall = ''
+ makeWrapper $out/bin/kubectl-klock $out/bin/kubectl_complete-klock --add-flags __complete
+ '';
meta = with lib; {
description = "A kubectl plugin to render watch output in a more readable fashion";
diff --git a/pkgs/applications/networking/cluster/kubectl-node-shell/default.nix b/pkgs/applications/networking/cluster/kubectl-node-shell/default.nix
index d8718b1d254c..934d1c9151dc 100644
--- a/pkgs/applications/networking/cluster/kubectl-node-shell/default.nix
+++ b/pkgs/applications/networking/cluster/kubectl-node-shell/default.nix
@@ -24,6 +24,7 @@ stdenvNoCC.mkDerivation rec {
meta = with lib; {
description = "Exec into node via kubectl";
+ mainProgram = "kubectl-node_shell";
homepage = "https://github.com/kvaps/kubectl-node-shell";
license = licenses.asl20;
maintainers = with maintainers; [ jocelynthode ];
diff --git a/pkgs/applications/networking/cluster/kubectl-tree/default.nix b/pkgs/applications/networking/cluster/kubectl-tree/default.nix
index 1cb0cc3e9419..62d4553b881d 100644
--- a/pkgs/applications/networking/cluster/kubectl-tree/default.nix
+++ b/pkgs/applications/networking/cluster/kubectl-tree/default.nix
@@ -15,6 +15,7 @@ buildGoModule rec {
meta = with lib; {
description = "kubectl plugin to browse Kubernetes object hierarchies as a tree";
+ mainProgram = "kubectl-tree";
homepage = "https://github.com/ahmetb/kubectl-tree";
changelog = "https://github.com/ahmetb/kubectl-tree/releases/tag/v${version}";
license = licenses.asl20;
diff --git a/pkgs/applications/networking/cluster/kubectl-view-secret/default.nix b/pkgs/applications/networking/cluster/kubectl-view-secret/default.nix
index 0bfdaafd5f56..695d63db8165 100644
--- a/pkgs/applications/networking/cluster/kubectl-view-secret/default.nix
+++ b/pkgs/applications/networking/cluster/kubectl-view-secret/default.nix
@@ -21,6 +21,7 @@ buildGoModule rec {
meta = with lib; {
description = "Kubernetes CLI plugin to decode Kubernetes secrets";
+ mainProgram = "kubectl-view-secret";
homepage = "https://github.com/elsesiy/kubectl-view-secret";
changelog = "https://github.com/elsesiy/kubectl-view-secret/releases/tag/v${version}";
license = licenses.mit;
diff --git a/pkgs/applications/networking/cluster/kubedb-cli/default.nix b/pkgs/applications/networking/cluster/kubedb-cli/default.nix
index 1972ad27af33..2a3b6e63a9a8 100644
--- a/pkgs/applications/networking/cluster/kubedb-cli/default.nix
+++ b/pkgs/applications/networking/cluster/kubedb-cli/default.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "kubedb-cli";
- version = "0.41.0";
+ version = "0.42.1";
src = fetchFromGitHub {
owner = "kubedb";
repo = "cli";
rev = "v${version}";
- sha256 = "sha256-P4B5N2hIDTYtrHk86n3MCvy6IXlDyAUc1wFhXmEkQFA=";
+ sha256 = "sha256-i+0oQaEHMu3525mJTQyL+Me2DPFSpaIK1xGaPMR2M0s=";
};
vendorHash = null;
diff --git a/pkgs/applications/networking/cluster/kubedog/default.nix b/pkgs/applications/networking/cluster/kubedog/default.nix
index 9618fcbf4457..5d31bc8e3350 100644
--- a/pkgs/applications/networking/cluster/kubedog/default.nix
+++ b/pkgs/applications/networking/cluster/kubedog/default.nix
@@ -41,6 +41,7 @@ buildGoModule rec {
A tool to watch and follow Kubernetes resources in CI/CD deployment
pipelines
'';
+ mainProgram = "kubedog";
homepage = "https://github.com/werf/kubedog";
changelog = "https://github.com/werf/kubedog/releases/tag/${src.rev}";
license = licenses.asl20;
diff --git a/pkgs/applications/networking/cluster/kubefirst/default.nix b/pkgs/applications/networking/cluster/kubefirst/default.nix
index c7c2b795179c..ada816ef8316 100644
--- a/pkgs/applications/networking/cluster/kubefirst/default.nix
+++ b/pkgs/applications/networking/cluster/kubefirst/default.nix
@@ -1,25 +1,34 @@
-{ lib, buildGoModule, fetchFromGitHub }:
+{ lib
+, buildGoModule
+, fetchFromGitHub
+}:
buildGoModule rec {
pname = "kubefirst";
- version = "2.3.7";
+ version = "2.4.2";
src = fetchFromGitHub {
owner = "kubefirst";
- repo = pname;
- rev = "v${version}";
- hash = "sha256-oJWQ5u85uQrHnf3VxY0vJwgGePxB4pg+LD0gofYIB1g=";
+ repo = "kubefirst";
+ rev = "refs/tags/v${version}";
+ hash = "sha256-fw2DmgAiCsEw5lkeZOiU5ptAFb13BDTx09Js6IO28Ww=";
};
- vendorHash = "sha256-blMKBgSBRCVlXu8n3wcd2iMkBTALe2gPxy0Z4uwxUWI=";
+ vendorHash = "sha256-ZcZl4knlyKAwTsiyZvlkN5e2ox30B5aNzutI/2UEE9U=";
- ldflags = [ "-s" "-w" "-X github.com/kubefirst/runtime/configs.K1Version=v${version}"];
+ ldflags = [
+ "-s"
+ "-w"
+ "-X=github.com/kubefirst/runtime/configs.K1Version=v${version}"
+ ];
doCheck = false;
meta = with lib; {
- description = "The Kubefirst CLI creates instant GitOps platforms that integrate some of the best tools in cloud native from scratch.";
+ description = "Tool to create instant GitOps platforms that integrate some of the best tools in cloud native from scratch";
+ mainProgram = "kubefirst";
homepage = "https://github.com/kubefirst/kubefirst/";
+ changelog = "https://github.com/kubefirst/kubefirst/releases/tag/v${version}";
license = licenses.mit;
maintainers = with maintainers; [ qjoly ];
};
diff --git a/pkgs/applications/networking/cluster/kubelogin-oidc/default.nix b/pkgs/applications/networking/cluster/kubelogin-oidc/default.nix
index a771972b6948..0d3852e28414 100644
--- a/pkgs/applications/networking/cluster/kubelogin-oidc/default.nix
+++ b/pkgs/applications/networking/cluster/kubelogin-oidc/default.nix
@@ -23,6 +23,7 @@ buildGoModule rec {
meta = with lib; {
description = "A Kubernetes credential plugin implementing OpenID Connect (OIDC) authentication";
+ mainProgram = "kubectl-oidc_login";
inherit (src.meta) homepage;
license = licenses.asl20;
maintainers = with maintainers; [ benley ];
diff --git a/pkgs/applications/networking/cluster/kubelogin/default.nix b/pkgs/applications/networking/cluster/kubelogin/default.nix
index 38222df4775b..b2fa146b0bc3 100644
--- a/pkgs/applications/networking/cluster/kubelogin/default.nix
+++ b/pkgs/applications/networking/cluster/kubelogin/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "kubelogin";
- version = "0.1.0";
+ version = "0.1.1";
src = fetchFromGitHub {
owner = "Azure";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-j6koBf+8mF5k27H/N/UriTSkRstrdA2zrvU9KqP/l5U=";
+ sha256 = "sha256-JBP2lE1/46VB/oKgDlNTQ8RFpgIlQE0If5vND7dzo7A=";
};
- vendorHash = "sha256-GMTNcZ2jN+014Ivltcf00/UDYDu464fce36Zfg07/Yo=";
+ vendorHash = "sha256-EwL/aiq2jyojM1r7wNZkA07TswHy6MLUUPQJFnaDG4A=";
ldflags = [
"-X main.version=${version}"
@@ -20,6 +20,7 @@ buildGoModule rec {
meta = with lib; {
description = "A Kubernetes credential plugin implementing Azure authentication";
+ mainProgram = "kubelogin";
inherit (src.meta) homepage;
license = licenses.mit;
maintainers = [];
diff --git a/pkgs/applications/networking/cluster/kubemqctl/default.nix b/pkgs/applications/networking/cluster/kubemqctl/default.nix
index 7185ff5a42dc..8e2590b75c7e 100644
--- a/pkgs/applications/networking/cluster/kubemqctl/default.nix
+++ b/pkgs/applications/networking/cluster/kubemqctl/default.nix
@@ -25,6 +25,7 @@ buildGoModule rec {
meta = {
homepage = "https://github.com/kubemq-io/kubemqctl";
description = "Kubemqctl is a command line interface (CLI) for Kubemq Kubernetes Message Broker.";
+ mainProgram = "kubemqctl";
license = lib.licenses.asl20;
maintainers = with lib.maintainers; [ brianmcgee ];
};
diff --git a/pkgs/applications/networking/cluster/kubent/default.nix b/pkgs/applications/networking/cluster/kubent/default.nix
index f650394ef0e4..75f4244ee142 100644
--- a/pkgs/applications/networking/cluster/kubent/default.nix
+++ b/pkgs/applications/networking/cluster/kubent/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "kubent";
- version = "0.7.1";
+ version = "0.7.2";
src = fetchFromGitHub {
owner = "doitintl";
repo = "kube-no-trouble";
rev = version;
- sha256 = "sha256-fJRaahK/tDns+edi1GIdYRk4+h2vbY2LltZN2hxvKGI=";
+ sha256 = "sha256-/gCbj0RDwV5E8kNkEu+37ilzw/A0BAXiYfHGPdkCsRs=";
};
- vendorHash = "sha256-nEc0fngop+0ju8hDu7nowBsioqCye15Jo1mRlM0TtlQ=";
+ vendorHash = "sha256-6hp7mzE45Tlmt4ybhpdJLYCv+WqQ9ak2S47kJTwyGVI=";
ldflags = [
"-w" "-s"
@@ -29,6 +29,7 @@ buildGoModule rec {
meta = with lib; {
homepage = "https://github.com/doitintl/kube-no-trouble";
description = "Easily check your cluster for use of deprecated APIs";
+ mainProgram = "kubent";
license = licenses.mit;
maintainers = with maintainers; [ peterromfeldhk ];
};
diff --git a/pkgs/applications/networking/cluster/kubeone/default.nix b/pkgs/applications/networking/cluster/kubeone/default.nix
index 16c9d64f7d94..84ff7632cf2b 100644
--- a/pkgs/applications/networking/cluster/kubeone/default.nix
+++ b/pkgs/applications/networking/cluster/kubeone/default.nix
@@ -8,13 +8,13 @@
buildGoModule rec {
pname = "kubeone";
- version = "1.7.2";
+ version = "1.7.3";
src = fetchFromGitHub {
owner = "kubermatic";
repo = "kubeone";
rev = "v${version}";
- hash = "sha256-ajzeiT/4S0zABHxhy31NsgspvcNQU/f+YETLuCQ9ErM=";
+ hash = "sha256-m2RxSKXiKmx1p5g+C8I/l+OtV7wy2KtrFRIK+h9L5lo=";
};
vendorHash = "sha256-vUy60CBrdhB9OFMZ4+q05WtrtN4/5ssozYGBV7r4BsM=";
diff --git a/pkgs/applications/networking/cluster/kubergrunt/default.nix b/pkgs/applications/networking/cluster/kubergrunt/default.nix
index e5a5e1ba9f4b..c37fe18281c9 100644
--- a/pkgs/applications/networking/cluster/kubergrunt/default.nix
+++ b/pkgs/applications/networking/cluster/kubergrunt/default.nix
@@ -24,6 +24,7 @@ buildGoModule rec {
meta = with lib; {
description = "Collection of commands to fill in the gaps between Terraform, Helm, and Kubectl";
+ mainProgram = "kubergrunt";
homepage = "https://github.com/gruntwork-io/kubergrunt";
license = licenses.asl20;
maintainers = with maintainers; [ psibi ];
diff --git a/pkgs/applications/networking/cluster/kubernetes-metrics-server/default.nix b/pkgs/applications/networking/cluster/kubernetes-metrics-server/default.nix
index 9efefd064667..37ca5be589d1 100644
--- a/pkgs/applications/networking/cluster/kubernetes-metrics-server/default.nix
+++ b/pkgs/applications/networking/cluster/kubernetes-metrics-server/default.nix
@@ -21,6 +21,7 @@ buildGoModule rec {
meta = with lib; {
homepage = "https://github.com/kubernetes-sigs/metrics-server";
description = "Kubernetes container resource metrics collector";
+ mainProgram = "metrics-server";
license = licenses.asl20;
maintainers = with maintainers; [ eskytthe ];
};
diff --git a/pkgs/applications/networking/cluster/kubernetes/default.nix b/pkgs/applications/networking/cluster/kubernetes/default.nix
index f67d650e77a5..11666ef5c0bf 100644
--- a/pkgs/applications/networking/cluster/kubernetes/default.nix
+++ b/pkgs/applications/networking/cluster/kubernetes/default.nix
@@ -20,13 +20,13 @@
buildGoModule rec {
pname = "kubernetes";
- version = "1.28.4";
+ version = "1.29.3";
src = fetchFromGitHub {
owner = "kubernetes";
repo = "kubernetes";
rev = "v${version}";
- hash = "sha256-aaGcAIyy0hFJGFfOq5FaF0qAlygXcs2WcwgvMe5dkbo=";
+ hash = "sha256-mtYxFy2d892uMLrtaR6ao07gjbThuGa7bzauwvJ0WOo=";
};
vendorHash = null;
diff --git a/pkgs/applications/networking/cluster/kubernix/default.nix b/pkgs/applications/networking/cluster/kubernix/default.nix
index 4495a8590f42..264fbe7d5dc0 100644
--- a/pkgs/applications/networking/cluster/kubernix/default.nix
+++ b/pkgs/applications/networking/cluster/kubernix/default.nix
@@ -22,6 +22,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "Single dependency Kubernetes clusters for local testing, experimenting and development";
+ mainProgram = "kubernix";
homepage = "https://github.com/saschagrunert/kubernix";
license = with licenses; [ mit ];
maintainers = with maintainers; [ saschagrunert ];
diff --git a/pkgs/applications/networking/cluster/kubeseal/default.nix b/pkgs/applications/networking/cluster/kubeseal/default.nix
index eef739ebdbf4..ed5db3c9485d 100644
--- a/pkgs/applications/networking/cluster/kubeseal/default.nix
+++ b/pkgs/applications/networking/cluster/kubeseal/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "kubeseal";
- version = "0.25.0";
+ version = "0.26.0";
src = fetchFromGitHub {
owner = "bitnami-labs";
repo = "sealed-secrets";
rev = "v${version}";
- sha256 = "sha256-maDfKFrszKexwzHw50iPu+aLLhi/QqKj6zmes9kS5Lk=";
+ sha256 = "sha256-rOaczDGjocGpYEPJpMiveWE7sHU9L0Csx2nX0Z3IrBs=";
};
- vendorHash = "sha256-3SrfD+6Gg9T9Qtb2PbipJZTe8Szs8Cef/61alwgHUUA=";
+ vendorHash = "sha256-UicMiSSSQzquNAHFpnWKbybimz3jjbBgWykhyRSU7ZI=";
subPackages = [ "cmd/kubeseal" ];
@@ -19,6 +19,7 @@ buildGoModule rec {
meta = with lib; {
description = "A Kubernetes controller and tool for one-way encrypted Secrets";
+ mainProgram = "kubeseal";
homepage = "https://github.com/bitnami-labs/sealed-secrets";
changelog = "https://github.com/bitnami-labs/sealed-secrets/blob/v${version}/RELEASE-NOTES.md";
license = licenses.asl20;
diff --git a/pkgs/applications/networking/cluster/kubeshark/default.nix b/pkgs/applications/networking/cluster/kubeshark/default.nix
index e60da24772cc..412ca8f8a097 100644
--- a/pkgs/applications/networking/cluster/kubeshark/default.nix
+++ b/pkgs/applications/networking/cluster/kubeshark/default.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "kubeshark";
- version = "52.1.30";
+ version = "52.1.77";
src = fetchFromGitHub {
owner = "kubeshark";
repo = "kubeshark";
rev = "v${version}";
- hash = "sha256-9nlPfWKgx56evxJkW+iRWJCBxqmMaBH0nCJBAAoibJc=";
+ hash = "sha256-BpixzQ88JfA1cS5bLMHmLhE5Si5UbC9zRf9GAELrJwM=";
};
vendorHash = "sha256-SmvO9DYOXxnmN2dmHPPOguVwEbWSH/xNLBB+idpzopo=";
@@ -48,6 +48,7 @@ buildGoModule rec {
meta = with lib; {
changelog = "https://github.com/kubeshark/kubeshark/releases/tag/${version}";
description = "The API Traffic Viewer for Kubernetes";
+ mainProgram = "kubeshark";
homepage = "https://kubeshark.co/";
license = licenses.asl20;
longDescription = ''
diff --git a/pkgs/applications/networking/cluster/kubespy/default.nix b/pkgs/applications/networking/cluster/kubespy/default.nix
index 88a56e3c72e3..bfbfa1fa7ccf 100644
--- a/pkgs/applications/networking/cluster/kubespy/default.nix
+++ b/pkgs/applications/networking/cluster/kubespy/default.nix
@@ -28,6 +28,7 @@ buildGoModule rec {
meta = with lib; {
description = "A tool to observe Kubernetes resources in real time";
+ mainProgram = "kubespy";
homepage = "https://github.com/pulumi/kubespy";
license = licenses.asl20;
maintainers = with maintainers; [ blaggacao ];
diff --git a/pkgs/applications/networking/cluster/kubetail/default.nix b/pkgs/applications/networking/cluster/kubetail/default.nix
index c7858cf994d3..5fc1eaf48827 100644
--- a/pkgs/applications/networking/cluster/kubetail/default.nix
+++ b/pkgs/applications/networking/cluster/kubetail/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "kubetail";
- version = "1.6.18";
+ version = "1.6.20";
src = fetchFromGitHub {
owner = "johanhaleby";
repo = "kubetail";
rev = version;
- sha256 = "sha256-Gde5thEpMX3h0e1eoC8SeDdkZfa02CmQf3ELLMeEWGU=";
+ sha256 = "sha256-RbbZHKXRtbs42cCbw+xb8TLul6ebUeCiNclMFF39c3M=";
};
nativeBuildInputs = [ installShellFiles makeWrapper ];
@@ -22,6 +22,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Bash script to tail Kubernetes logs from multiple pods at the same time";
+ mainProgram = "kubetail";
longDescription = ''
Bash script that enables you to aggregate (tail/follow) logs from
multiple pods into one stream. This is the same as running "kubectl logs
diff --git a/pkgs/applications/networking/cluster/kubeval/default.nix b/pkgs/applications/networking/cluster/kubeval/default.nix
index 574bfc61bb87..8fb1e68b0206 100644
--- a/pkgs/applications/networking/cluster/kubeval/default.nix
+++ b/pkgs/applications/networking/cluster/kubeval/default.nix
@@ -30,6 +30,7 @@ buildGoModule rec {
meta = with lib; {
description = "Validate your Kubernetes configuration files";
+ mainProgram = "kubeval";
homepage = "https://github.com/instrumenta/kubeval";
license = licenses.asl20;
maintainers = with maintainers; [ johanot nicknovitski ];
diff --git a/pkgs/applications/networking/cluster/kubevela/default.nix b/pkgs/applications/networking/cluster/kubevela/default.nix
index b58044992a6c..18a8f0b1fd1d 100644
--- a/pkgs/applications/networking/cluster/kubevela/default.nix
+++ b/pkgs/applications/networking/cluster/kubevela/default.nix
@@ -10,16 +10,16 @@
buildGoModule rec {
pname = "kubevela";
- version = "1.9.9";
+ version = "1.9.10";
src = fetchFromGitHub {
owner = "kubevela";
repo = "kubevela";
rev = "v${version}";
- hash = "sha256-4OxkBN0hZ2wtZjU0aQVzhWtWd8kaCokT3WF82WeVS6U=";
+ hash = "sha256-DWmkPzMg6AbyOKoO2vev48f/cKO9XQpyR85Jg0T20aI=";
};
- vendorHash = "sha256-fMo01CmPaNgvNcY8oG4rIGfYa+teKU3ETJsAaF14cnc=";
+ vendorHash = "sha256-vRSlSEnqD/a6KBvCWnNTzIBKE9BYzMtFWUhAF+m3R54=";
ldflags = [
"-s" "-w"
diff --git a/pkgs/applications/networking/cluster/kubevpn/default.nix b/pkgs/applications/networking/cluster/kubevpn/default.nix
index ce42a3bb29cf..215492a73b1e 100644
--- a/pkgs/applications/networking/cluster/kubevpn/default.nix
+++ b/pkgs/applications/networking/cluster/kubevpn/default.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "kubevpn";
- version = "2.2.1";
+ version = "2.2.3";
src = fetchFromGitHub {
owner = "KubeNetworks";
repo = "kubevpn";
rev = "v${version}";
- hash = "sha256-inGqkkzXPjg2VHtPZEPWDTuioPchrf/kiLGjvgXpcI4=";
+ hash = "sha256-C1Fw7E7lXy9BRj8bTVUMzPK6wBiL6A3VGDYUqdD2Rjs=";
};
vendorHash = null;
diff --git a/pkgs/applications/networking/cluster/kubexit/default.nix b/pkgs/applications/networking/cluster/kubexit/default.nix
index bf086de6d78b..080c87385bb6 100644
--- a/pkgs/applications/networking/cluster/kubexit/default.nix
+++ b/pkgs/applications/networking/cluster/kubexit/default.nix
@@ -15,6 +15,7 @@ buildGoModule rec {
ldflags = [ "-s" "-w" ];
meta = with lib; {
description = "Command supervisor for coordinated Kubernetes pod container termination.";
+ mainProgram = "kubexit";
homepage = "https://github.com/karlkfi/kubexit/";
license = licenses.asl20;
maintainers = with maintainers; [ qjoly ];
diff --git a/pkgs/applications/networking/cluster/kuma/default.nix b/pkgs/applications/networking/cluster/kuma/default.nix
index adcd6dcfff70..9b8c8a2e1a3f 100644
--- a/pkgs/applications/networking/cluster/kuma/default.nix
+++ b/pkgs/applications/networking/cluster/kuma/default.nix
@@ -15,17 +15,17 @@
buildGoModule rec {
inherit pname;
- version = "2.5.1";
+ version = "2.6.1";
tags = lib.optionals enableGateway [ "gateway" ];
src = fetchFromGitHub {
owner = "kumahq";
repo = "kuma";
rev = version;
- hash = "sha256-7r5nD4m8qxU5C/Q3aT+MWXk6FbBNqsMQxV3sXcd34Lw=";
+ hash = "sha256-jSBuEDnb2KHAOhOldAzpxgqnDXH1N267Axs+clpo2uo=";
};
- vendorHash = "sha256-pyjfTqUhfcuHshLzH5q/gA+HLQuqgZ4Tbgw40OcRQwg=";
+ vendorHash = "sha256-gvB3e9C5KnQwvn2eJPm0WYKlKSnOO9opGikgVA3WJN0=";
# no test files
doCheck = false;
diff --git a/pkgs/applications/networking/cluster/kyverno/default.nix b/pkgs/applications/networking/cluster/kyverno/default.nix
index 3cf8ef72ba5c..208867bec2c0 100644
--- a/pkgs/applications/networking/cluster/kyverno/default.nix
+++ b/pkgs/applications/networking/cluster/kyverno/default.nix
@@ -41,6 +41,7 @@ buildGoModule rec {
meta = with lib; {
description = "Kubernetes Native Policy Management";
+ mainProgram = "kyverno";
homepage = "https://kyverno.io/";
changelog = "https://github.com/kyverno/kyverno/releases/tag/v${version}";
license = licenses.asl20;
diff --git a/pkgs/applications/networking/cluster/levant/default.nix b/pkgs/applications/networking/cluster/levant/default.nix
index 78458741a5ba..937e57204b96 100644
--- a/pkgs/applications/networking/cluster/levant/default.nix
+++ b/pkgs/applications/networking/cluster/levant/default.nix
@@ -18,6 +18,7 @@ buildGoModule rec {
meta = with lib; {
description = "An open source templating and deployment tool for HashiCorp Nomad jobs";
+ mainProgram = "levant";
homepage = "https://github.com/hashicorp/levant";
license = licenses.mpl20;
maintainers = with maintainers; [ max-niederman ];
diff --git a/pkgs/applications/networking/cluster/linkerd/edge.nix b/pkgs/applications/networking/cluster/linkerd/edge.nix
index 7d2b896b4c3a..88cd474392a0 100644
--- a/pkgs/applications/networking/cluster/linkerd/edge.nix
+++ b/pkgs/applications/networking/cluster/linkerd/edge.nix
@@ -2,7 +2,7 @@
(callPackage ./generic.nix { }) {
channel = "edge";
- version = "24.2.1";
- sha256 = "1flbjsa2wj35zgiq4vgb2bqvjvxmpla6fnrlkwnh2l10w4i2n5sl";
- vendorHash = "sha256-1DyqtUSMzVahy8yzX8HAnCe3UI5Z1Pht5XQaMS2i9mw=";
+ version = "24.2.4";
+ sha256 = "0hh2sfjvqz085hl2dpsa9zgr3dwpyc85gcbx0c7lzpjg411bxmim";
+ vendorHash = "sha256-g1e1uY43fUC2srKK9erVFlJDSwWrEvq4ni0PgeCFaOg=";
}
diff --git a/pkgs/applications/networking/cluster/linkerd/generic.nix b/pkgs/applications/networking/cluster/linkerd/generic.nix
index 0384f56f14aa..edd5a895f3f0 100644
--- a/pkgs/applications/networking/cluster/linkerd/generic.nix
+++ b/pkgs/applications/networking/cluster/linkerd/generic.nix
@@ -55,6 +55,7 @@ buildGoModule rec {
meta = with lib; {
description = "A simple Kubernetes service mesh that improves security, observability and reliability";
+ mainProgram = "linkerd";
downloadPage = "https://github.com/linkerd/linkerd2/";
homepage = "https://linkerd.io/";
license = licenses.asl20;
diff --git a/pkgs/applications/networking/cluster/minikube/default.nix b/pkgs/applications/networking/cluster/minikube/default.nix
index dbd04f026dfa..69e73e6d47a3 100644
--- a/pkgs/applications/networking/cluster/minikube/default.nix
+++ b/pkgs/applications/networking/cluster/minikube/default.nix
@@ -7,7 +7,10 @@
, which
, libvirt
, vmnet
+, withQemu ? false
+, qemu
, makeWrapper
+, OVMF
}:
buildGoModule rec {
@@ -24,6 +27,22 @@ buildGoModule rec {
rev = "v${version}";
sha256 = "sha256-2EWaMpcr4F1wRzIP1rPg1a/Sjd1x+oo2ee90k4Ie8cU=";
};
+ postPatch =
+ (
+ lib.optionalString (withQemu && stdenv.isDarwin) ''
+ substituteInPlace \
+ pkg/minikube/registry/drvs/qemu2/qemu2.go \
+ --replace "/usr/local/opt/qemu/share/qemu" "${qemu}/share/qemu" \
+ --replace "/opt/homebrew/opt/qemu/share/qemu" "${qemu}/share/qemu"
+ ''
+ ) + (
+ lib.optionalString (withQemu && stdenv.isLinux) ''
+ substituteInPlace \
+ pkg/minikube/registry/drvs/qemu2/qemu2.go \
+ --replace "/usr/share/OVMF/OVMF_CODE.fd" "${OVMF.firmware}" \
+ --replace "/usr/share/AAVMF/AAVMF_CODE.fd" "${OVMF.firmware}"
+ ''
+ );
nativeBuildInputs = [ installShellFiles pkg-config which makeWrapper ];
@@ -48,6 +67,7 @@ buildGoModule rec {
meta = with lib; {
homepage = "https://minikube.sigs.k8s.io";
description = "A tool that makes it easy to run Kubernetes locally";
+ mainProgram = "minikube";
license = licenses.asl20;
maintainers = with maintainers; [ ebzzry copumpkin vdemeester atkinschang Chili-Man ];
};
diff --git a/pkgs/applications/networking/cluster/nerdctl/default.nix b/pkgs/applications/networking/cluster/nerdctl/default.nix
index 84d27a186c12..8f7a59c70e8a 100644
--- a/pkgs/applications/networking/cluster/nerdctl/default.nix
+++ b/pkgs/applications/networking/cluster/nerdctl/default.nix
@@ -10,16 +10,16 @@
buildGoModule rec {
pname = "nerdctl";
- version = "1.7.3";
+ version = "1.7.5";
src = fetchFromGitHub {
owner = "containerd";
repo = pname;
rev = "v${version}";
- hash = "sha256-Y76H/88/esziIermnzfOS48FLBRnVBN8u4C381n184M=";
+ hash = "sha256-eGDshnJ26rrRuM9lWwcdRg8udA4uE5ee6c+SYES7qt4=";
};
- vendorHash = "sha256-oiBgZQtqFwq189h/Bb4CrFhs4RDYUoEEOjrccujGclU=";
+ vendorHash = "sha256-GsI695T7nkwwAt78l7mc5JCD9wXAUcTxwsiLLFcANBI=";
nativeBuildInputs = [ makeWrapper installShellFiles ];
@@ -52,6 +52,7 @@ buildGoModule rec {
homepage = "https://github.com/containerd/nerdctl/";
changelog = "https://github.com/containerd/nerdctl/releases/tag/v${version}";
description = "A Docker-compatible CLI for containerd";
+ mainProgram = "nerdctl";
license = licenses.asl20;
maintainers = with maintainers; [ jk developer-guy ];
platforms = platforms.linux;
diff --git a/pkgs/applications/networking/cluster/nixops/default.nix b/pkgs/applications/networking/cluster/nixops/default.nix
index 3fef75313b00..75902de1b9f6 100644
--- a/pkgs/applications/networking/cluster/nixops/default.nix
+++ b/pkgs/applications/networking/cluster/nixops/default.nix
@@ -1,56 +1,121 @@
-{ python3 }:
+{ lib, python3, emptyFile }:
let
- python = python3.override {
- packageOverrides = self: super: {
- nixops = self.callPackage ./unwrapped.nix { };
- } // (plugins self);
- };
+ inherit (lib) extends;
- plugins = ps: with ps; rec {
- nixops-aws = callPackage ./plugins/nixops-aws.nix { };
- nixops-digitalocean = callPackage ./plugins/nixops-digitalocean.nix { };
- nixops-encrypted-links = callPackage ./plugins/nixops-encrypted-links.nix { };
- nixops-gce = callPackage ./plugins/nixops-gce.nix { };
- nixops-hercules-ci = callPackage ./plugins/nixops-hercules-ci.nix { };
- nixops-hetzner = callPackage ./plugins/nixops-hetzner.nix { };
- nixops-hetznercloud = callPackage ./plugins/nixops-hetznercloud.nix { };
- nixops-libvirtd = callPackage ./plugins/nixops-libvirtd.nix { };
- nixops-vbox = callPackage ./plugins/nixops-vbox.nix { };
- nixos-modules-contrib = callPackage ./plugins/nixos-modules-contrib.nix { };
+ # doc: https://github.com/NixOS/nixpkgs/pull/158781/files#diff-854251fa1fe071654921224671c8ba63c95feb2f96b2b3a9969c81676780053a
+ encapsulate = layerZero:
+ let
+ fixed = layerZero ({ extend = f: encapsulate (extends f layerZero); } // fixed);
+ in fixed.public;
- # aliases for backwards compatibility
- nixops-gcp = nixops-gce;
- nixops-virtd = nixops-libvirtd;
- nixopsvbox = nixops-vbox;
- };
+ nixopsContextBase = this: {
- # selector is a function mapping pythonPackages to a list of plugins
- # e.g. nixops_unstable.withPlugins (ps: with ps; [ nixops-aws ])
- withPlugins = selector: let
- selected = selector (plugins python.pkgs);
- in python.pkgs.toPythonApplication (python.pkgs.nixops.overridePythonAttrs (old: {
- propagatedBuildInputs = old.propagatedBuildInputs ++ selected;
-
- # Propagating dependencies leaks them through $PYTHONPATH which causes issues
- # when used in nix-shell.
- postFixup = ''
- rm $out/nix-support/propagated-build-inputs
- '';
-
- passthru = old.passthru // {
- plugins = plugins python.pkgs;
- inherit withPlugins python;
+ python = python3.override {
+ packageOverrides = self: super: {
+ nixops = self.callPackage ./unwrapped.nix { };
+ } // (this.plugins self super);
};
- }));
-in withPlugins (ps: [
- ps.nixops-aws
- ps.nixops-digitalocean
- ps.nixops-encrypted-links
- ps.nixops-gce
- ps.nixops-hercules-ci
- ps.nixops-hetzner
- ps.nixops-hetznercloud
- ps.nixops-libvirtd
- ps.nixops-vbox
-])
+
+ plugins = ps: _super: with ps; rec {
+ nixops-aws = callPackage ./plugins/nixops-aws.nix { };
+ nixops-digitalocean = callPackage ./plugins/nixops-digitalocean.nix { };
+ nixops-encrypted-links = callPackage ./plugins/nixops-encrypted-links.nix { };
+ nixops-gce = callPackage ./plugins/nixops-gce.nix { };
+ nixops-hercules-ci = callPackage ./plugins/nixops-hercules-ci.nix { };
+ nixops-hetzner = callPackage ./plugins/nixops-hetzner.nix { };
+ nixops-hetznercloud = callPackage ./plugins/nixops-hetznercloud.nix { };
+ nixops-libvirtd = callPackage ./plugins/nixops-libvirtd.nix { };
+ nixops-vbox = callPackage ./plugins/nixops-vbox.nix { };
+ nixos-modules-contrib = callPackage ./plugins/nixos-modules-contrib.nix { };
+
+ # aliases for backwards compatibility
+ nixops-gcp = nixops-gce;
+ nixops-virtd = nixops-libvirtd;
+ nixopsvbox = nixops-vbox;
+ };
+
+ # We should not reapply the overlay, but it tends to work out. (It's been this way since poetry2nix was dropped.)
+ availablePlugins = this.plugins this.python.pkgs this.python.pkgs;
+
+ selectedPlugins = [];
+
+ # selector is a function mapping pythonPackages to a list of plugins
+ # e.g. nixops_unstable.withPlugins (ps: with ps; [ nixops-aws ])
+ withPlugins = selector:
+ this.extend (this: _old: {
+ selectedPlugins = selector this.availablePlugins;
+ });
+
+ rawPackage = this.python.pkgs.toPythonApplication (this.python.pkgs.nixops.overridePythonAttrs (old: {
+ propagatedBuildInputs = old.propagatedBuildInputs ++ this.selectedPlugins;
+
+ # Propagating dependencies leaks them through $PYTHONPATH which causes issues
+ # when used in nix-shell.
+ postFixup = ''
+ rm $out/nix-support/propagated-build-inputs
+ '';
+ }));
+
+ # Extra package attributes that aren't derivation attributes, just like `mkDerivation`'s `passthru`.
+ extraPackageAttrs = {
+ inherit (this) selectedPlugins availablePlugins withPlugins python;
+ tests = this.rawPackage.tests // {
+ nixos = this.rawPackage.tests.nixos.passthru.override {
+ nixopsPkg = this.rawPackage;
+ };
+ commutative_addAvailablePlugins_withPlugins =
+ assert
+ (this.public.addAvailablePlugins (self: super: { inherit emptyFile; })).withPlugins (ps: [ emptyFile ])
+ ==
+ # Note that this value proves that the package is not instantiated until the end, where it's valid again.
+ (this.public.withPlugins (ps: [ emptyFile ])).addAvailablePlugins (self: super: { inherit emptyFile; });
+ emptyFile;
+ }
+ # Make sure we also test with a configuration that's been extended with a plugin.
+ // lib.optionalAttrs (this.selectedPlugins == [ ]) {
+ withAPlugin =
+ lib.recurseIntoAttrs
+ (this.withPlugins (ps: with ps; [ nixops-encrypted-links ])).tests;
+ };
+ overrideAttrs = f: this.extend (this: oldThis: {
+ rawPackage = oldThis.rawPackage.overrideAttrs f;
+ });
+ /**
+ * nixops.addAvailablePlugins: Overlay -> Package
+ *
+ * Add available plugins to the package. You probably also want to enable
+ * them with the `withPlugins` method.
+ */
+ addAvailablePlugins = newPlugins: this.extend (finalThis: oldThis: {
+ plugins = lib.composeExtensions oldThis.plugins newPlugins;
+ });
+
+ # For those who need or dare.
+ internals = this;
+ };
+
+ package = lib.lazyDerivation { outputs = [ "out" "dist" ]; derivation = this.rawPackage; } // this.extraPackageAttrs;
+
+ public = this.package;
+ };
+
+ minimal = encapsulate nixopsContextBase;
+
+in
+{
+ nixops_unstable_minimal = minimal;
+
+ # Not recommended; too fragile.
+ nixops_unstable_full = minimal.withPlugins (ps: [
+ ps.nixops-aws
+ ps.nixops-digitalocean
+ ps.nixops-encrypted-links
+ ps.nixops-gce
+ ps.nixops-hercules-ci
+ ps.nixops-hetzner
+ ps.nixops-hetznercloud
+ ps.nixops-libvirtd
+ ps.nixops-vbox
+ ]);
+}
diff --git a/pkgs/applications/networking/cluster/nixops/plugins/nixops-aws.nix b/pkgs/applications/networking/cluster/nixops/plugins/nixops-aws.nix
index 06d8135bc9ff..78b9bc879aaa 100644
--- a/pkgs/applications/networking/cluster/nixops/plugins/nixops-aws.nix
+++ b/pkgs/applications/networking/cluster/nixops/plugins/nixops-aws.nix
@@ -12,14 +12,14 @@
buildPythonPackage {
pname = "nixops-aws";
- version = "unstable-2023-08-09";
+ version = "unstable-2024-02-29";
pyproject = true;
src = fetchFromGitHub {
owner = "NixOS";
repo = "nixops-aws";
- rev = "8802d1cda9004ec1362815292c2a8ab95e6d64e8";
- hash = "sha256-i0KjFrwpDHRch9jorccdVwnjAQiORClDUqm2R2xvwuU=";
+ rev = "d173b2f14ec767d782ceab45fb22b32fe3b5a1f7";
+ hash = "sha256-ocTtc7POt1bugb9Bki2ew2Eh5uc933GftNw1twoOJsc=";
};
postPatch = ''
diff --git a/pkgs/applications/networking/cluster/nixops/unwrapped.nix b/pkgs/applications/networking/cluster/nixops/unwrapped.nix
index e8cb998b52c7..058f7f2d2c1c 100644
--- a/pkgs/applications/networking/cluster/nixops/unwrapped.nix
+++ b/pkgs/applications/networking/cluster/nixops/unwrapped.nix
@@ -13,14 +13,14 @@
buildPythonApplication rec {
pname = "nixops";
- version = "unstable-2023-12-17";
+ version = "unstable-2024-02-28";
pyproject = true;
src = fetchFromGitHub {
owner = "NixOS";
repo = "nixops";
- rev = "053668e849bb369973cf265b7e8f38e66ef70138";
- hash = "sha256-Kus1Ls1tT8fVGLX0NakRXmjuz5/J/tfqU4TLOkiZqvo=";
+ rev = "08feccb14074c5434f3e483d19a7f7d9bfcdb669";
+ hash = "sha256-yWeF5apQJdChjYVSOyH6LYjJYGa1RL68LRHrSgZ9l8U=";
};
postPatch = ''
@@ -50,7 +50,7 @@ buildPythonApplication rec {
pythonImportsCheck = [ "nixops" ];
passthru = {
- tests.nixops = nixosTests.nixops.unstable;
+ tests.nixos = nixosTests.nixops.unstable;
updateScript = unstableGitUpdater {};
};
diff --git a/pkgs/applications/networking/cluster/node-problem-detector/default.nix b/pkgs/applications/networking/cluster/node-problem-detector/default.nix
index 047a44e84fd5..168f331378a6 100644
--- a/pkgs/applications/networking/cluster/node-problem-detector/default.nix
+++ b/pkgs/applications/networking/cluster/node-problem-detector/default.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "node-problem-detector";
- version = "0.8.14";
+ version = "0.8.16";
src = fetchFromGitHub {
owner = "kubernetes";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-kh9rYg6UszBMCWYfVU+tP4ZRoigEm6+Z+7pnZWdbcwU=";
+ sha256 = "sha256-tuukO7y+aqgu/f1DBZNUkElRTbEeZn+zkfixnFwWWwY=";
};
vendorHash = null;
diff --git a/pkgs/applications/networking/cluster/nomad-autoscaler/default.nix b/pkgs/applications/networking/cluster/nomad-autoscaler/default.nix
index acd0643b4bdf..d32445c6455c 100644
--- a/pkgs/applications/networking/cluster/nomad-autoscaler/default.nix
+++ b/pkgs/applications/networking/cluster/nomad-autoscaler/default.nix
@@ -91,6 +91,7 @@ let
meta = with lib; {
description = "Autoscaling daemon for Nomad";
+ mainProgram = "nomad-autoscaler";
homepage = "https://github.com/hashicorp/nomad-autoscaler";
license = licenses.mpl20;
maintainers = with maintainers; [ jonringer ];
diff --git a/pkgs/applications/networking/cluster/nomad-driver-podman/default.nix b/pkgs/applications/networking/cluster/nomad-driver-podman/default.nix
index 384e5b87c555..8eb6a4656318 100644
--- a/pkgs/applications/networking/cluster/nomad-driver-podman/default.nix
+++ b/pkgs/applications/networking/cluster/nomad-driver-podman/default.nix
@@ -21,6 +21,7 @@ buildGoModule rec {
meta = with lib; {
homepage = "https://www.github.com/hashicorp/nomad-driver-podman";
description = "Podman task driver for Nomad";
+ mainProgram = "nomad-driver-podman";
platforms = platforms.linux;
license = licenses.mpl20;
maintainers = with maintainers; [ cpcloud ];
diff --git a/pkgs/applications/networking/cluster/nomad/default.nix b/pkgs/applications/networking/cluster/nomad/default.nix
index 2f76d4c17421..f94cb28ce2f5 100644
--- a/pkgs/applications/networking/cluster/nomad/default.nix
+++ b/pkgs/applications/networking/cluster/nomad/default.nix
@@ -39,6 +39,7 @@ let
meta = with lib; {
homepage = "https://www.nomadproject.io/";
description = "A Distributed, Highly Available, Datacenter-Aware Scheduler";
+ mainProgram = "nomad";
inherit license;
maintainers = with maintainers; [ rushmorem pradeepchhetri endocrimes amaxine techknowlogick cottand ];
};
@@ -57,9 +58,9 @@ rec {
nomad_1_5 = generic {
buildGoModule = buildGo121Module;
- version = "1.5.13";
- sha256 = "sha256-SFPjcr3W6Sj1n+1ooi1HDMQEapgGapVy4HtqxSIVi9U=";
- vendorHash = "sha256-F9lzO3jMVbDq8sA4rBo81vmIoOhK2N8d4HXX58HOw18=";
+ version = "1.5.15";
+ sha256 = "sha256-OFmGOU+ObA0+BS48y0ZyyxR+VI5DYL39peVKcyVHgGI=";
+ vendorHash = "sha256-Ds94lB43cyMNyRJZti0mZDWGTtSdwY31dDijfAUxR0I=";
license = lib.licenses.mpl20;
passthru.tests.nomad = nixosTests.nomad;
preCheck = ''
@@ -69,9 +70,9 @@ rec {
nomad_1_6 = generic {
buildGoModule = buildGo121Module;
- version = "1.6.6";
- sha256 = "sha256-E7HLBABOtDO/BUc2+4mD4yJ/sfy85gy67ZylRTZI3Cg=";
- vendorHash = "sha256-6jq00RsukuP8OSkXhqYqQxpXtp/jm/GChEwEJTVyO10=";
+ version = "1.6.8";
+ sha256 = "sha256-lc/HZgyzqWZNW2WHOFZ43gCeL5Y2hwK4lXPgWGboPOY=";
+ vendorHash = "sha256-ecLhq4OHDhA1Bd/97NMpfePqtuCtVje3BdvCzcwWzas=";
license = lib.licenses.mpl20;
passthru.tests.nomad = nixosTests.nomad;
preCheck = ''
@@ -81,9 +82,9 @@ rec {
nomad_1_7 = generic {
buildGoModule = buildGo121Module;
- version = "1.7.4";
- sha256 = "sha256-iyY899W/uwP/wQcarKufSpJdXRAtwDxT6yw5vrB6Xmk=";
- vendorHash = "sha256-yPf19IRTq+LAaoHsEFVuPJLapFxH3o16y0PbYW0ehiw=";
+ version = "1.7.6";
+ sha256 = "sha256-rEWXQwkW/muX3D0An3WmHCoboPACFCrSG7Tyzor2wnQ=";
+ vendorHash = "sha256-95yUtNfN/50LjWHHReaB4/riUqy8J67099bP8Ua7gRw=";
license = lib.licenses.bsl11;
passthru.tests.nomad = nixosTests.nomad;
preCheck = ''
diff --git a/pkgs/applications/networking/cluster/nova/default.nix b/pkgs/applications/networking/cluster/nova/default.nix
index 2686b79c4b7f..050674907082 100644
--- a/pkgs/applications/networking/cluster/nova/default.nix
+++ b/pkgs/applications/networking/cluster/nova/default.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "nova";
- version = "3.7.0";
+ version = "3.8.0";
src = fetchFromGitHub {
owner = "FairwindsOps";
repo = pname;
rev = "v${version}";
- hash = "sha256-K4D8vCZxOPRalSDlAmva7Qm72EJ2Xdz20oqKKqkD6iA=";
+ hash = "sha256-3bSxMb/JFIy3b6N/94cXfGlUbPIm046O9m2KPan8YIs=";
};
vendorHash = "sha256-c30B8Wjvwp4NnB1P8h4/raGiGAX/cbTZ/KQqh/qeNhA=";
@@ -17,6 +17,7 @@ buildGoModule rec {
meta = with lib; {
description = "Find outdated or deprecated Helm charts running in your cluster";
+ mainProgram = "nova";
longDescription = ''
Nova scans your cluster for installed Helm charts, then
cross-checks them against all known Helm repositories. If it
diff --git a/pkgs/applications/networking/cluster/ocm/default.nix b/pkgs/applications/networking/cluster/ocm/default.nix
index 95646c800aba..99195f8889d2 100644
--- a/pkgs/applications/networking/cluster/ocm/default.nix
+++ b/pkgs/applications/networking/cluster/ocm/default.nix
@@ -40,6 +40,7 @@ buildGoModule rec {
meta = with lib; {
description = "CLI for the Red Hat OpenShift Cluster Manager";
+ mainProgram = "ocm";
license = licenses.asl20;
homepage = "https://github.com/openshift-online/ocm-cli";
maintainers = with maintainers; [ stehessel ];
diff --git a/pkgs/applications/networking/cluster/odo/default.nix b/pkgs/applications/networking/cluster/odo/default.nix
index e94e3772c8dd..9ab5082f61dc 100644
--- a/pkgs/applications/networking/cluster/odo/default.nix
+++ b/pkgs/applications/networking/cluster/odo/default.nix
@@ -30,6 +30,7 @@ buildGoModule rec {
meta = with lib; {
description = "Developer-focused CLI for OpenShift and Kubernetes";
+ mainProgram = "odo";
license = licenses.asl20;
homepage = "https://odo.dev";
changelog = "https://github.com/redhat-developer/odo/releases/v${version}";
diff --git a/pkgs/applications/networking/cluster/opentofu/default.nix b/pkgs/applications/networking/cluster/opentofu/default.nix
index 07353d6c3e38..a378a7486b71 100644
--- a/pkgs/applications/networking/cluster/opentofu/default.nix
+++ b/pkgs/applications/networking/cluster/opentofu/default.nix
@@ -14,13 +14,13 @@
let
package = buildGoModule rec {
pname = "opentofu";
- version = "1.6.1";
+ version = "1.6.2";
src = fetchFromGitHub {
owner = "opentofu";
repo = "opentofu";
rev = "v${version}";
- hash = "sha256-wEDxZtmC+SLIYbN+mGTmefcD6VZu87E9E0XhiJPGmK0=";
+ hash = "sha256-CYiwn2NDIAx30J8tmbrV45dbCIGoA3U+yBdMj4RX5Ho=";
};
vendorHash = "sha256-kSm5RZqQRgbmPaKt5IWmuMhHwAu+oJKTX1q1lbE7hWk=";
diff --git a/pkgs/applications/networking/cluster/pachyderm/default.nix b/pkgs/applications/networking/cluster/pachyderm/default.nix
index 3f0e3c693b5d..1e381c7470fb 100644
--- a/pkgs/applications/networking/cluster/pachyderm/default.nix
+++ b/pkgs/applications/networking/cluster/pachyderm/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "pachyderm";
- version = "2.8.4";
+ version = "2.9.0";
src = fetchFromGitHub {
owner = "pachyderm";
repo = "pachyderm";
rev = "v${version}";
- hash = "sha256-W6UXkMn+FsqjPFK2t8taJRZPnvLJe9/P3mhOAwjNW/Q=";
+ hash = "sha256-5xC0D7XB1db3mxUkzIyvmVIxOlL8XX8Vxpmtf60BQNM=";
};
- vendorHash = "sha256-IRTzptluBxGm14IKK4n+2hfPrQ9YcqYA16WgbRkTV/s=";
+ vendorHash = "sha256-EW8DURf6URPQQMakHnRuF9Xh5iKh2y4cz6XYgXQwJM4=";
subPackages = [ "src/server/cmd/pachctl" ];
diff --git a/pkgs/applications/networking/cluster/pig/default.nix b/pkgs/applications/networking/cluster/pig/default.nix
index 5aae5e8e68bf..42938d1246f9 100644
--- a/pkgs/applications/networking/cluster/pig/default.nix
+++ b/pkgs/applications/networking/cluster/pig/default.nix
@@ -29,6 +29,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://pig.apache.org/";
description = "High-level language for Apache Hadoop";
+ mainProgram = "pig";
license = licenses.asl20;
longDescription = ''
diff --git a/pkgs/applications/networking/cluster/pinniped/default.nix b/pkgs/applications/networking/cluster/pinniped/default.nix
index 3d8f0755ca0e..ed86002a564a 100644
--- a/pkgs/applications/networking/cluster/pinniped/default.nix
+++ b/pkgs/applications/networking/cluster/pinniped/default.nix
@@ -28,6 +28,7 @@ buildGoModule rec{
meta = with lib; {
description = "Tool to securely log in to your Kubernetes clusters";
+ mainProgram = "pinniped";
homepage = "https://pinniped.dev/";
license = licenses.asl20;
maintainers = with maintainers; [ bpaulin ];
diff --git a/pkgs/applications/networking/cluster/pluto/default.nix b/pkgs/applications/networking/cluster/pluto/default.nix
index 4223c0854f55..628084f6f31e 100644
--- a/pkgs/applications/networking/cluster/pluto/default.nix
+++ b/pkgs/applications/networking/cluster/pluto/default.nix
@@ -21,6 +21,7 @@ buildGoModule rec {
meta = with lib; {
homepage = "https://github.com/FairwindsOps/pluto";
description = "Find deprecated Kubernetes apiVersions";
+ mainProgram = "pluto";
license = licenses.asl20;
maintainers = with maintainers; [ peterromfeldhk kashw2 ];
};
diff --git a/pkgs/applications/networking/cluster/popeye/default.nix b/pkgs/applications/networking/cluster/popeye/default.nix
index c955ebec3d22..4c21b95265fb 100644
--- a/pkgs/applications/networking/cluster/popeye/default.nix
+++ b/pkgs/applications/networking/cluster/popeye/default.nix
@@ -1,14 +1,14 @@
-{ lib, buildGoModule, fetchFromGitHub }:
+{ lib, buildGoModule, fetchFromGitHub, installShellFiles }:
buildGoModule rec {
pname = "popeye";
- version = "0.11.3";
+ version = "0.21.1";
src = fetchFromGitHub {
rev = "v${version}";
owner = "derailed";
repo = "popeye";
- sha256 = "sha256-yxYG74k/HpzIrAFLLSnaqKeODIL+ioNXeyx0aTlsCi0=";
+ sha256 = "sha256-zk3SMIvaFV6t+VCMvcmMaHpTEYx/LinaPLNXUU+JSwk=";
};
ldflags = [
@@ -17,7 +17,16 @@ buildGoModule rec {
"-X github.com/derailed/popeye/cmd.commit=${version}"
];
- vendorHash = "sha256-Vt5QwggdasVk4j2huSIneBMemi3Q0R4MgZn2yNlOH5E=";
+ vendorHash = "sha256-qrw/7fauMVb3Ai5E5MXL84yXHcReJZZ1oioB/Cv32Is=";
+
+ nativeBuildInputs = [ installShellFiles ];
+
+ postInstall = ''
+ installShellCompletion --cmd popeye \
+ --bash <($out/bin/popeye completion bash) \
+ --fish <($out/bin/popeye completion fish) \
+ --zsh <($out/bin/popeye completion zsh)
+ '';
doInstallCheck = true;
installCheckPhase = ''
@@ -26,6 +35,7 @@ buildGoModule rec {
meta = with lib; {
description = "A Kubernetes cluster resource sanitizer";
+ mainProgram = "popeye";
homepage = "https://github.com/derailed/popeye";
changelog = "https://github.com/derailed/popeye/releases/tag/v${version}";
license = licenses.asl20;
diff --git a/pkgs/applications/networking/cluster/pv-migrate/default.nix b/pkgs/applications/networking/cluster/pv-migrate/default.nix
index 871f8287b825..b55510e7e4cf 100644
--- a/pkgs/applications/networking/cluster/pv-migrate/default.nix
+++ b/pkgs/applications/networking/cluster/pv-migrate/default.nix
@@ -36,6 +36,7 @@ buildGoModule rec {
meta = with lib; {
description = "CLI tool to easily migrate Kubernetes persistent volumes ";
+ mainProgram = "pv-migrate";
homepage = "https://github.com/utkuozdemir/pv-migrate";
changelog = "https://github.com/utkuozdemir/pv-migrate/releases/tag/${version}";
license = licenses.afl20;
diff --git a/pkgs/applications/networking/cluster/rancher/default.nix b/pkgs/applications/networking/cluster/rancher/default.nix
index 8259a574cc1c..309b806a8870 100644
--- a/pkgs/applications/networking/cluster/rancher/default.nix
+++ b/pkgs/applications/networking/cluster/rancher/default.nix
@@ -32,6 +32,7 @@ buildGoModule rec {
meta = with lib; {
description = "The Rancher Command Line Interface (CLI) is a unified tool for interacting with your Rancher Server";
+ mainProgram = "rancher";
homepage = "https://github.com/rancher/cli";
license = licenses.asl20;
maintainers = with maintainers; [ bryanasdev000 ];
diff --git a/pkgs/applications/networking/cluster/rke/default.nix b/pkgs/applications/networking/cluster/rke/default.nix
index f36ee0254c1d..435377d8e304 100644
--- a/pkgs/applications/networking/cluster/rke/default.nix
+++ b/pkgs/applications/networking/cluster/rke/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "rke";
- version = "1.5.3";
+ version = "1.5.6";
src = fetchFromGitHub {
owner = "rancher";
repo = pname;
rev = "v${version}";
- hash = "sha256-p1hkiXHwh8Vo2LIP1BeE5XSc/gKjn9XN30usGwCVj7w=";
+ hash = "sha256-yw7GacSvPKXStmYtG4oQQlIca5Svk4pHDliMDVhyPRI=";
};
- vendorHash = "sha256-eH4FBfX9LNb1UgSRsYSd1Fn2Ju+cL6t64u+/sf9uzNM=";
+ vendorHash = "sha256-0H9K3/BwdSExADFHaYtn2RrHZ6AyEjzlBKYXL/Ow9JA=";
subPackages = [ "." ];
@@ -20,6 +20,7 @@ buildGoModule rec {
meta = with lib; {
homepage = "https://github.com/rancher/rke";
description = "An extremely simple, lightning fast Kubernetes distribution that runs entirely within containers";
+ mainProgram = "rke";
changelog = "https://github.com/rancher/rke/releases/tag/v${version}";
license = licenses.asl20;
maintainers = with maintainers; [ urandom ];
diff --git a/pkgs/applications/networking/cluster/roxctl/default.nix b/pkgs/applications/networking/cluster/roxctl/default.nix
index 607137c8d77a..abcb6fd4299a 100644
--- a/pkgs/applications/networking/cluster/roxctl/default.nix
+++ b/pkgs/applications/networking/cluster/roxctl/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "roxctl";
- version = "4.3.4";
+ version = "4.3.5";
src = fetchFromGitHub {
owner = "stackrox";
repo = "stackrox";
rev = version;
- sha256 = "sha256-5UMU3oKi3QhREum2YevOzwF5OOi8quPWgt+kgGjkeFQ=";
+ sha256 = "sha256-zTZ03Qtb3ndBf1MunhYS8rzPReExzEPGxCh1JexkwuA=";
};
- vendorHash = "sha256-Jzv4ozR8RJiwkgVGGq6dlV/7rbBLq8hFe/Pm4SJZCkU=";
+ vendorHash = "sha256-Sl5eIK8PWeGi2V7q/Qm5Gfjj1A9nQPtM0BGdO6inPxk=";
nativeBuildInputs = [ installShellFiles ];
@@ -37,6 +37,7 @@ buildGoModule rec {
meta = with lib; {
description = "Command-line client of the StackRox Kubernetes Security Platform";
+ mainProgram = "roxctl";
license = licenses.asl20;
homepage = "https://www.stackrox.io";
maintainers = with maintainers; [ stehessel ];
diff --git a/pkgs/applications/networking/cluster/ssm-session-manager-plugin/default.nix b/pkgs/applications/networking/cluster/ssm-session-manager-plugin/default.nix
index d3127b076777..866775702521 100644
--- a/pkgs/applications/networking/cluster/ssm-session-manager-plugin/default.nix
+++ b/pkgs/applications/networking/cluster/ssm-session-manager-plugin/default.nix
@@ -48,6 +48,7 @@ buildGoPackage rec {
meta = with lib; {
homepage = "https://docs.aws.amazon.com/systems-manager/latest/userguide/session-manager-working-with-install-plugin.html";
description = "Amazon SSM Session Manager Plugin";
+ mainProgram = "session-manager-plugin";
license = licenses.asl20;
maintainers = with maintainers; [ amarshall mbaillie ];
};
diff --git a/pkgs/applications/networking/cluster/starboard/default.nix b/pkgs/applications/networking/cluster/starboard/default.nix
index 278bb1b54adc..59b3a9de41e6 100644
--- a/pkgs/applications/networking/cluster/starboard/default.nix
+++ b/pkgs/applications/networking/cluster/starboard/default.nix
@@ -69,6 +69,7 @@ buildGoModule rec {
homepage = "https://github.com/aquasecurity/starboard";
changelog = "https://github.com/aquasecurity/starboard/releases/tag/v${version}";
description = "Kubernetes-native security tool kit";
+ mainProgram = "starboard";
longDescription = ''
Starboard integrates security tools into the Kubernetes environment, so
that users can find and view the risks that relate to different resources
diff --git a/pkgs/applications/networking/cluster/stern/default.nix b/pkgs/applications/networking/cluster/stern/default.nix
index 07822397434d..5585b373df35 100644
--- a/pkgs/applications/networking/cluster/stern/default.nix
+++ b/pkgs/applications/networking/cluster/stern/default.nix
@@ -31,6 +31,7 @@ buildGoModule rec {
meta = with lib; {
description = "Multi pod and container log tailing for Kubernetes";
+ mainProgram = "stern";
homepage = "https://github.com/stern/stern";
license = licenses.asl20;
maintainers = with maintainers; [ mbode preisschild ];
diff --git a/pkgs/applications/networking/cluster/taktuk/default.nix b/pkgs/applications/networking/cluster/taktuk/default.nix
index 5cb9f8160067..002bc9da2631 100644
--- a/pkgs/applications/networking/cluster/taktuk/default.nix
+++ b/pkgs/applications/networking/cluster/taktuk/default.nix
@@ -17,6 +17,7 @@ stdenv.mkDerivation rec {
meta = {
description = "Efficient, large scale, parallel remote execution of commands";
+ mainProgram = "taktuk";
longDescription = ''
TakTuk allows one to execute commands in parallel on a potentially large set
of remote nodes (using ssh to connect to each node). It is typically used
diff --git a/pkgs/applications/networking/cluster/talosctl/default.nix b/pkgs/applications/networking/cluster/talosctl/default.nix
index bbcc4e0de957..afc3b7bdf5aa 100644
--- a/pkgs/applications/networking/cluster/talosctl/default.nix
+++ b/pkgs/applications/networking/cluster/talosctl/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "talosctl";
- version = "1.6.4";
+ version = "1.6.5";
src = fetchFromGitHub {
owner = "siderolabs";
repo = "talos";
rev = "v${version}";
- hash = "sha256-2ZccpOqddgq51Q1AxV1uK9fThPMtJIL66ZGU51k2eL0=";
+ hash = "sha256-TEQnbQux+rN2WdlIbxh836Lx/ipqTDkoUsjQP8Ubl6s=";
};
- vendorHash = "sha256-BC3RMhpYmyELJDzOva31QsTmrPeptMcfDYNK3q8D+dw=";
+ vendorHash = "sha256-xdcHd/LvIm4rawwXtsGMF8Es9pMGMaJJaZ6UQwc24ZU=";
ldflags = [ "-s" "-w" ];
@@ -32,6 +32,7 @@ buildGoModule rec {
meta = with lib; {
description = "A CLI for out-of-band management of Kubernetes nodes created by Talos";
+ mainProgram = "talosctl";
homepage = "https://www.talos.dev/";
license = licenses.mpl20;
maintainers = with maintainers; [ flokli ];
diff --git a/pkgs/applications/networking/cluster/tektoncd-cli/default.nix b/pkgs/applications/networking/cluster/tektoncd-cli/default.nix
index cf52f6d948ea..2bf571920452 100644
--- a/pkgs/applications/networking/cluster/tektoncd-cli/default.nix
+++ b/pkgs/applications/networking/cluster/tektoncd-cli/default.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "tektoncd-cli";
- version = "0.35.1";
+ version = "0.36.0";
src = fetchFromGitHub {
owner = "tektoncd";
repo = "cli";
rev = "v${version}";
- sha256 = "sha256-/o0UzjIUlRP936YG7fgfonPHc86z1WFCBcELor2frqE=";
+ sha256 = "sha256-no/F9PqChokG2so3LeptHYO3BXoqWFyMtY/5nlEMT8Y=";
};
vendorHash = null;
diff --git a/pkgs/applications/networking/cluster/temporal/default.nix b/pkgs/applications/networking/cluster/temporal/default.nix
index f28d0157c830..f9cfb3b3cba1 100644
--- a/pkgs/applications/networking/cluster/temporal/default.nix
+++ b/pkgs/applications/networking/cluster/temporal/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "temporal";
- version = "1.22.4";
+ version = "1.23.0";
src = fetchFromGitHub {
owner = "temporalio";
repo = "temporal";
rev = "v${version}";
- hash = "sha256-M/2Zm9B2VeA2BKcF7A7R1Y7T61VZiU2uKGwxGgdy4Sg=";
+ hash = "sha256-4e8z4k7loL9vVYe5jut1X/5qDboChQxZtPO1ZBHNb7o=";
};
- vendorHash = "sha256-Aum5OsdJ69MkP8tXXGWa6IdouX6F4xKjD/ndAqShMhw=";
+ vendorHash = "sha256-4xwdVFE0CGcOA8Efj4ECionPP9rbzT02zyr2HR143AM=";
excludedPackages = [ "./build" ];
diff --git a/pkgs/applications/networking/cluster/temporalite/default.nix b/pkgs/applications/networking/cluster/temporalite/default.nix
index efe1f2d30c5d..5581c1694534 100644
--- a/pkgs/applications/networking/cluster/temporalite/default.nix
+++ b/pkgs/applications/networking/cluster/temporalite/default.nix
@@ -28,6 +28,7 @@ buildGoModule rec {
meta = with lib; {
description = "An experimental distribution of Temporal that runs as a single process";
+ mainProgram = "temporalite";
homepage = "https://github.com/temporalio/temporalite";
license = licenses.mit;
maintainers = with maintainers; [ lucperkins ];
diff --git a/pkgs/applications/networking/cluster/terraform-backend-git/default.nix b/pkgs/applications/networking/cluster/terraform-backend-git/default.nix
index 2e7f70eaf57d..a78b339c087a 100644
--- a/pkgs/applications/networking/cluster/terraform-backend-git/default.nix
+++ b/pkgs/applications/networking/cluster/terraform-backend-git/default.nix
@@ -36,6 +36,7 @@ buildGoModule rec {
meta = with lib; {
description = "Terraform HTTP Backend implementation that uses Git repository as storage";
+ mainProgram = "terraform-backend-git";
homepage = "https://github.com/plumber-cd/terraform-backend-git";
changelog = "https://github.com/plumber-cd/terraform-backend-git/blob/${src.rev}/CHANGELOG.md";
license = licenses.asl20;
diff --git a/pkgs/applications/networking/cluster/terraform-compliance/default.nix b/pkgs/applications/networking/cluster/terraform-compliance/default.nix
index ce1915242e43..69bb5d991972 100644
--- a/pkgs/applications/networking/cluster/terraform-compliance/default.nix
+++ b/pkgs/applications/networking/cluster/terraform-compliance/default.nix
@@ -52,6 +52,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "BDD test framework for terraform";
+ mainProgram = "terraform-compliance";
homepage = "https://github.com/terraform-compliance/cli";
changelog = "https://github.com/terraform-compliance/cli/releases/tag/${version}";
license = licenses.mit;
diff --git a/pkgs/applications/networking/cluster/terraform-docs/default.nix b/pkgs/applications/networking/cluster/terraform-docs/default.nix
index 49855b77c544..0a07d0e8627a 100644
--- a/pkgs/applications/networking/cluster/terraform-docs/default.nix
+++ b/pkgs/applications/networking/cluster/terraform-docs/default.nix
@@ -20,6 +20,7 @@ buildGoModule rec {
meta = with lib; {
description = "A utility to generate documentation from Terraform modules in various output formats";
+ mainProgram = "terraform-docs";
homepage = "https://github.com/terraform-docs/terraform-docs/";
license = licenses.mit;
maintainers = with maintainers; [ zimbatm ];
diff --git a/pkgs/applications/networking/cluster/terraform-inventory/default.nix b/pkgs/applications/networking/cluster/terraform-inventory/default.nix
index 89d4b769e9af..56763aca0679 100644
--- a/pkgs/applications/networking/cluster/terraform-inventory/default.nix
+++ b/pkgs/applications/networking/cluster/terraform-inventory/default.nix
@@ -24,6 +24,7 @@ buildGoModule rec {
meta = with lib; {
homepage = "https://github.com/adammck/terraform-inventory";
description = "Terraform state to ansible inventory adapter";
+ mainProgram = "terraform-inventory";
license = licenses.mit;
maintainers = with maintainers; [ htr ];
};
diff --git a/pkgs/applications/networking/cluster/terraform-providers/default.nix b/pkgs/applications/networking/cluster/terraform-providers/default.nix
index 2454092e0051..9e4d3d824f7b 100644
--- a/pkgs/applications/networking/cluster/terraform-providers/default.nix
+++ b/pkgs/applications/networking/cluster/terraform-providers/default.nix
@@ -86,6 +86,7 @@ let
heroku = automated-providers.heroku.override { spdx = "MPL-2.0"; };
# mkisofs needed to create ISOs holding cloud-init data and wrapped to terraform via deecb4c1aab780047d79978c636eeb879dd68630
libvirt = automated-providers.libvirt.overrideAttrs (_: { propagatedBuildInputs = [ cdrtools ]; });
+ minio = automated-providers.minio.override { spdx = "AGPL-3.0-only"; };
};
# Put all the providers we not longer support in this list.
diff --git a/pkgs/applications/networking/cluster/terraform-providers/providers.json b/pkgs/applications/networking/cluster/terraform-providers/providers.json
index a31e49964824..e4fadde572a3 100644
--- a/pkgs/applications/networking/cluster/terraform-providers/providers.json
+++ b/pkgs/applications/networking/cluster/terraform-providers/providers.json
@@ -1,22 +1,21 @@
{
"aci": {
- "hash": "sha256-1N9Ya8WWb2gL84jYj4B8zllB5jQxRneVSNESYeRhj+c=",
+ "hash": "sha256-Xe6RDA9Ijq6BnrTzlXHt+6hfNf7CkrJ4TY6dp4TUm4E=",
"homepage": "https://registry.terraform.io/providers/CiscoDevNet/aci",
"owner": "CiscoDevNet",
"repo": "terraform-provider-aci",
- "rev": "v2.13.0",
+ "rev": "v2.13.2",
"spdx": "MPL-2.0",
"vendorHash": null
},
"acme": {
- "hash": "sha256-wyDlI8G1MxZsoYPBjqQ32+/gK8DqQMXZWgTkATaOztQ=",
+ "hash": "sha256-CFyB6jLHtnHxY9LB5a3qaMVfz6isi1pSXcscVMM9QKA=",
"homepage": "https://registry.terraform.io/providers/vancluever/acme",
"owner": "vancluever",
- "proxyVendor": true,
"repo": "terraform-provider-acme",
- "rev": "v2.19.1",
+ "rev": "v2.21.0",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-PoykgWxMKmQzKUSojFICwjDucukfHYxHdgn60uD+UQ8="
+ "vendorHash": "sha256-wqXMoByVqd2NxdFunK29Hq59pfQoXW+kHoH0dTcfFVw="
},
"age": {
"hash": "sha256-bJrzjvkrCX93bNqCA+FdRibHnAw6cb61StqtwUY5ok4=",
@@ -28,29 +27,29 @@
"vendorHash": "sha256-jK7JuARpoxq7hvq5+vTtUwcYot0YqlOZdtDwq4IqKvk="
},
"aiven": {
- "hash": "sha256-7dKlhPGkt1WB/nlXV2IrNT7E3w2kNdishJB/xL299Cw=",
+ "hash": "sha256-ap2UuJojGx7+OZB2RmIZlHbawZi4lqa1iGUr2NLSPGk=",
"homepage": "https://registry.terraform.io/providers/aiven/aiven",
"owner": "aiven",
"repo": "terraform-provider-aiven",
- "rev": "v4.13.2",
+ "rev": "v4.14.0",
"spdx": "MIT",
- "vendorHash": "sha256-rIyAhe4YQ9XG3nODCSxZRohHgNIPQ94pDLrh7+4Rj1k="
+ "vendorHash": "sha256-PSErY3yFDTjtK+FVlJEEBfZAz1BybjiPK7nDulrrbdY="
},
"akamai": {
- "hash": "sha256-CBBrX0mm6hyobOdhbDaud4HKupIMnDTJp7+kWSej+NI=",
+ "hash": "sha256-j1UTi4ygixwSfu9Wp//JzKe58xSV/tZM3kRo1ikBo3Y=",
"homepage": "https://registry.terraform.io/providers/akamai/akamai",
"owner": "akamai",
"repo": "terraform-provider-akamai",
- "rev": "v5.5.0",
+ "rev": "v5.6.0",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-Y30DSv7gAW7JzaTYt0XGwLhTArFILPPnxYmP2mKe9Sc="
+ "vendorHash": "sha256-/gW1vxaDaUMpm0QSghd/Glo3S/XVa5t9x3QrIs4Bqyk="
},
"alicloud": {
- "hash": "sha256-LgpEooWXTefhH4HLVO1BIBUXXZBMO11ZS6NwsEbbnog=",
+ "hash": "sha256-Zi4oymePLOW6NgEE8aHlEo7rStz2GPNFSSUl9LUr7OU=",
"homepage": "https://registry.terraform.io/providers/aliyun/alicloud",
"owner": "aliyun",
"repo": "terraform-provider-alicloud",
- "rev": "v1.215.0",
+ "rev": "v1.219.0",
"spdx": "MPL-2.0",
"vendorHash": null
},
@@ -82,31 +81,31 @@
"vendorHash": "sha256-q9PO9tMbaXTs3nBLElwU05GcDZMZqNmLVVGDmiSRSfo="
},
"artifactory": {
- "hash": "sha256-hcdd762uNBYJx2KL4kouR8qWuSZBtGO2egUwaWufOMg=",
+ "hash": "sha256-udgRoN1YoVaJpNS6MkZAThcuWGOL9Jc3lf3NAKS9WH8=",
"homepage": "https://registry.terraform.io/providers/jfrog/artifactory",
"owner": "jfrog",
"repo": "terraform-provider-artifactory",
- "rev": "v10.1.2",
+ "rev": "v10.4.0",
"spdx": "Apache-2.0",
- "vendorHash": "sha256-J/+OcqRtcHaqoDkrWIDpPlBHQ/UWupwchA1aeSoHSh4="
+ "vendorHash": "sha256-P5L2Q8t9TxJnu5cjOwEKek1KNKAw78fqZoOSAo6AvzQ="
},
"auth0": {
- "hash": "sha256-6VwjKNX24r93EgVED+NK17dY577HL4tT76jSCgVIpHg=",
+ "hash": "sha256-Yoje6btftS0slz2newORBbb9kTjWXaXzbP94YKT6+3E=",
"homepage": "https://registry.terraform.io/providers/auth0/auth0",
"owner": "auth0",
"repo": "terraform-provider-auth0",
- "rev": "v1.1.2",
+ "rev": "v1.2.0",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-t40UZ9LF7PNhIqdEK6puuNeSDapTpC0+BTQgXQlMPTs="
+ "vendorHash": "sha256-kBLyk8glOuvgpbGLUUwtzKecqDDU8VS3JxN6tPIhMro="
},
"avi": {
- "hash": "sha256-EGpHajrTTOx7LrFHzsrrkGMqsuUEJLJAN6AJ48QdJis=",
+ "hash": "sha256-OKUxIJO5WR8ZVkhst1xIgxKsAy+9PNHOmG2NsaRUxFY=",
"homepage": "https://registry.terraform.io/providers/vmware/avi",
"owner": "vmware",
"repo": "terraform-provider-avi",
- "rev": "v22.1.5",
+ "rev": "v22.1.6",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-r42KHzvRBXuWgLgtg+WUVt0ThjSMXtUKjEE9y/s/1uQ="
+ "vendorHash": "sha256-Sq304WOdKx4J1sD1+YA7uDi+uQtUiXa+BISs/j87dWw="
},
"aviatrix": {
"hash": "sha256-84MtHPrDVaLMQQYnAfuP/pZuzruWxUTLpziwn3ny1oU=",
@@ -118,13 +117,13 @@
"vendorHash": null
},
"aws": {
- "hash": "sha256-UI1ECQ1bHxwjxtBzpgwbyZLexRH+7L6rjrEcyxSed0g=",
+ "hash": "sha256-+daAkFF6nSTe6yxOdW58BRzBYI4tUMhNoG6vnG1cXTA=",
"homepage": "https://registry.terraform.io/providers/hashicorp/aws",
"owner": "hashicorp",
"repo": "terraform-provider-aws",
- "rev": "v5.34.0",
+ "rev": "v5.41.0",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-sccfJ5EUY9XTjDYah9/0R9L53Zzdfi06kWi5QaFp+og="
+ "vendorHash": "sha256-JmMp9AqjWZGVvCsCCTYl3o4BT9yxzA3A16ESrpciCLE="
},
"azuread": {
"hash": "sha256-lumXl3orK5Jq5+qnRfiIA94NjK2bCjd3LhRzHmW1h8I=",
@@ -136,11 +135,11 @@
"vendorHash": null
},
"azurerm": {
- "hash": "sha256-QNaTyyz7H5jf7znPOv6ZTUnaI2zPNHnfZXp2ns3Nv00=",
+ "hash": "sha256-5uA+P29yLCXyOB+98Nx9dPNKONmgDAkMEb8cNRB4MW8=",
"homepage": "https://registry.terraform.io/providers/hashicorp/azurerm",
"owner": "hashicorp",
"repo": "terraform-provider-azurerm",
- "rev": "v3.89.0",
+ "rev": "v3.96.0",
"spdx": "MPL-2.0",
"vendorHash": null
},
@@ -155,31 +154,31 @@
},
"baiducloud": {
"deleteVendor": true,
- "hash": "sha256-+/QLVhVzT80IYwoXvRoxHok3PAw+ZPQhH+b5YARFFLU=",
+ "hash": "sha256-ymTKRxbFUT99qxAS8lb4QAAWXX7yopPo8Ac93mpGEHo=",
"homepage": "https://registry.terraform.io/providers/baidubce/baiducloud",
"owner": "baidubce",
"repo": "terraform-provider-baiducloud",
- "rev": "v1.19.31",
+ "rev": "v1.19.39",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-7FA5bhb22C/OUhcHrcZK2D4esj+su/HLB7pTyX7ot44="
+ "vendorHash": "sha256-puTQKvIvyBRgdZZTZCXEAdc8HYNgtoSmzjpqHCIEAKk="
},
"bigip": {
- "hash": "sha256-itRFSpaso9AJpjsXNpVxUgoG13Ys7dSuG5XCcuCkuMk=",
+ "hash": "sha256-GrHd9plKhe7BdCBgsnTv+CM82F7oDPWamtXxOpiwKPE=",
"homepage": "https://registry.terraform.io/providers/F5Networks/bigip",
"owner": "F5Networks",
"repo": "terraform-provider-bigip",
- "rev": "v1.20.2",
+ "rev": "v1.21.0",
"spdx": "MPL-2.0",
"vendorHash": null
},
"bitbucket": {
- "hash": "sha256-jrxCUTqR6knktDIX3sFDtIP6OD9cJGdV+JgwSgoDfMo=",
+ "hash": "sha256-rapsVhYfyASIn9zVQTwhAF4A2Taw9djYlh+sJXCpJNA=",
"homepage": "https://registry.terraform.io/providers/DrFaust92/bitbucket",
"owner": "DrFaust92",
"repo": "terraform-provider-bitbucket",
- "rev": "v2.38.0",
+ "rev": "v2.40.0",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-2s8ATVlSVa6n/OSay0oTdJXGdfnCwX6da3Pcu/xYcPY="
+ "vendorHash": "sha256-oDMKf39uNMO9/kyiZ1IuZlj2yIF1q5Z3wewxEBh3yso="
},
"brightbox": {
"hash": "sha256-pwFbCP+qDL/4IUfbPRCkddkbsEEeAu7Wp12/mDL0ABA=",
@@ -191,22 +190,22 @@
"vendorHash": "sha256-/dOiXO2aPkuZaFiwv/6AXJdIADgx8T7eOwvJfBBoqg8="
},
"buildkite": {
- "hash": "sha256-gq6GvSSQny5o3bzF33p/6SE8Wi44xCZtAJ4wcmnIJ1c=",
+ "hash": "sha256-zhltbz9mlHVJI4R8RSS6UyyfeopgK62BJzQfl3VtIfE=",
"homepage": "https://registry.terraform.io/providers/buildkite/buildkite",
"owner": "buildkite",
"repo": "terraform-provider-buildkite",
- "rev": "v1.3.0",
+ "rev": "v1.5.2",
"spdx": "MIT",
- "vendorHash": "sha256-/nwLZWPg8sGshoEg2wcXRVzf8wwsnthrmd8HiGcvvZ8="
+ "vendorHash": "sha256-LKATx/5jjQCyaOUDFQNka3tWMH5DbEKNhrfYlyzDPKc="
},
"checkly": {
- "hash": "sha256-PaQDHK/T3H2W+Ah4cYdP0VOOMSiK/9UgJDmmHHiYEsI=",
+ "hash": "sha256-Wxw87/9BG/bTDGqgKdle6WF38oDoHkrc0HIKjJlaQOQ=",
"homepage": "https://registry.terraform.io/providers/checkly/checkly",
"owner": "checkly",
"repo": "terraform-provider-checkly",
- "rev": "v1.7.3",
+ "rev": "v1.7.6",
"spdx": null,
- "vendorHash": "sha256-bP2qfEOP3CPTkr6Dq/o4PCCVnAm+ujsp+pogmuUX4ZM="
+ "vendorHash": "sha256-zxlKKA2bi+PV0T+znLAFEbTe5ynGfl0bE913GWojwEo="
},
"ciscoasa": {
"hash": "sha256-xzc44FEy2MPo51Faq/VFwg411JK9e0kQucpt0vdN8yg=",
@@ -218,22 +217,22 @@
"vendorHash": null
},
"cloudamqp": {
- "hash": "sha256-TWBilEfRrLUk1PIAO/Vq1wed1BTALKApeBGTa5WtN5g=",
+ "hash": "sha256-aEbGvGPYvW3NOO+Q89/ebcJWNrXIoNQkqAIbv9ikiI8=",
"homepage": "https://registry.terraform.io/providers/cloudamqp/cloudamqp",
"owner": "cloudamqp",
"repo": "terraform-provider-cloudamqp",
- "rev": "v1.29.3",
+ "rev": "v1.29.4",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-+HZzsAsEJuzEZ61ARaNYC1WxI3M6UwFEf+8q3Bd/JWA="
+ "vendorHash": "sha256-cI3brJwN+7FTceOMwR0HMbZCNHhwvm31OXqjAEvrzrs="
},
"cloudflare": {
- "hash": "sha256-ftpfjKjW+60n+mmwdx/ivpge4Nt2H1I3ElSk3AhPevY=",
+ "hash": "sha256-veqaQQaZz05lom2X03+bav2JBVv/enBCA1lcyKmAlZk=",
"homepage": "https://registry.terraform.io/providers/cloudflare/cloudflare",
"owner": "cloudflare",
"repo": "terraform-provider-cloudflare",
- "rev": "v4.23.0",
+ "rev": "v4.26.0",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-F+6bk+Lc0ziRJ/LBhrb5bS8oMN9zT7WqzPZKVUReNU4="
+ "vendorHash": "sha256-8MvwvBDUs0OVptgtbg/tAEEBgD9Tk5mWKnnW4p0Rk20="
},
"cloudfoundry": {
"hash": "sha256-1nYncJLVU/f9WD6Quh9IieIXgixPzbPk4zbtI1zmf9g=",
@@ -255,11 +254,11 @@
"vendorHash": "sha256-MFhKJEuylDnyj9ltugxGXgfIxBT3/mYaxB0JmTJxK3M="
},
"cloudscale": {
- "hash": "sha256-SDivLkP1y/qBVNSiyCjV6zPTbLUplxzD3gNxzkjC51M=",
+ "hash": "sha256-O4Y8p5S5C4SldryndecoaX5d8nrX10nqurAkJ0Un2NY=",
"homepage": "https://registry.terraform.io/providers/cloudscale-ch/cloudscale",
"owner": "cloudscale-ch",
"repo": "terraform-provider-cloudscale",
- "rev": "v4.2.2",
+ "rev": "v4.3.0",
"spdx": "MIT",
"vendorHash": null
},
@@ -292,13 +291,13 @@
"vendorHash": "sha256-ZCMSmOCPEMxCSpl3DjIUGPj1W/KNJgyjtHpmQ19JquA="
},
"datadog": {
- "hash": "sha256-JH0QDASZLFU9beexGze0vg1qrQutgAeGSExwHnYRyKs=",
+ "hash": "sha256-zAu2zkC9saWg1Miu4OkqeXtNhGgboCzr/CRmtfFsAVc=",
"homepage": "https://registry.terraform.io/providers/DataDog/datadog",
"owner": "DataDog",
"repo": "terraform-provider-datadog",
- "rev": "v3.35.0",
+ "rev": "v3.38.0",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-eGOUtinVIi6R1R19nosw60I+DfdJNxmw3pBrS171tRI="
+ "vendorHash": "sha256-vIdM7SEWYnBw30wGl7wM/sMl4xtRPTUQvhqGoJxfKBg="
},
"dexidp": {
"hash": "sha256-3UgiOeAGpGG2mkImPDvb24WjV2mavhY0E12j7W+SJs8=",
@@ -320,11 +319,11 @@
"vendorHash": "sha256-e/+czUeOACwRC7xY90pZp2EWDzDpLU6Ud9RPzuNKaOY="
},
"digitalocean": {
- "hash": "sha256-pu6QTKT5ikm3B12zDpWFsMbSjv8zl1oMvWtA4qtjluk=",
+ "hash": "sha256-wwb62tZZxpr7NXbiqcS40aF9E2msagj2Mqy4kogDsEA=",
"homepage": "https://registry.terraform.io/providers/digitalocean/digitalocean",
"owner": "digitalocean",
"repo": "terraform-provider-digitalocean",
- "rev": "v2.34.1",
+ "rev": "v2.36.0",
"spdx": "MPL-2.0",
"vendorHash": null
},
@@ -347,13 +346,13 @@
"vendorHash": "sha256-z2p2tjTK7eL0gRU8XnXw9SY9qokqiqJOVhkiBQlHRnA="
},
"dnsimple": {
- "hash": "sha256-iH35dJLa/63s+CU5PdeMbqXUVGfWfpKIXH3znNUApEc=",
+ "hash": "sha256-aa5L1FO9Ro215zj3vH1H9k0fP2mYI5+TAvyPQumwWOM=",
"homepage": "https://registry.terraform.io/providers/dnsimple/dnsimple",
"owner": "dnsimple",
"repo": "terraform-provider-dnsimple",
- "rev": "v1.4.0",
+ "rev": "v1.5.0",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-fuIaNHlZica6PxFLzXGA+b6ODWrGWXdWYOeWzgiQRXo="
+ "vendorHash": "sha256-XA6gvm4S5kwdW2uha6B5BUX5mR8HPOs3xgPgGdIc0d4="
},
"docker": {
"hash": "sha256-UyHOI8C0eDV5YllAi9clHp/CEldHjIp3FHHMPy1rK58=",
@@ -365,11 +364,11 @@
"vendorHash": "sha256-XxltOTtCgmJ9wZX8Yw39HkwVVZb58kZjAH7jfKPhjKM="
},
"doppler": {
- "hash": "sha256-YOJbeMFwEF+r4vOdKM5txdqPeYV1/8N/DKwlMjQiT6w=",
+ "hash": "sha256-FJS1lPYieTWI/AX7pBbFmGtJw5kPD7MCZp+LWWIsnus=",
"homepage": "https://registry.terraform.io/providers/DopplerHQ/doppler",
"owner": "DopplerHQ",
"repo": "terraform-provider-doppler",
- "rev": "v1.4.0",
+ "rev": "v1.6.2",
"spdx": "Apache-2.0",
"vendorHash": "sha256-qJ1mOuMyJ/f2/yCns7qY8zUt2lgDuBgzN0w1HCKBk7E="
},
@@ -383,57 +382,57 @@
"vendorHash": "sha256-oVTanZpCWs05HwyIKW2ajiBPz1HXOFzBAt5Us+EtTRw="
},
"equinix": {
- "hash": "sha256-WWW4X5fCQAZZiAVi79realf0EtB0AGnfS5yjSJrlZTU=",
+ "hash": "sha256-LF9S0jqMeXSci6uAFW+3C7IA9PGmSUgFrVG13/i0hZc=",
"homepage": "https://registry.terraform.io/providers/equinix/equinix",
"owner": "equinix",
"repo": "terraform-provider-equinix",
- "rev": "v1.26.0",
+ "rev": "v1.33.0",
"spdx": "MIT",
- "vendorHash": "sha256-vMmHoQEXXPbFS/q+wy35SQd5+yEXLQFVWX9AKsmbTn4="
+ "vendorHash": "sha256-TC1vPWe1rFofz0SdKpV9qAmknLROQH2MglPDrA62nO0="
},
"exoscale": {
- "hash": "sha256-SDvW6VC8MwrAWhFGNFMNXA55FbMwSBs9BjX9gnqesZ8=",
+ "hash": "sha256-t1yZmayoZkDImcIr+VkNhQRzlfteGuvgcjSDOmmCF5I=",
"homepage": "https://registry.terraform.io/providers/exoscale/exoscale",
"owner": "exoscale",
"repo": "terraform-provider-exoscale",
- "rev": "v0.55.0",
+ "rev": "v0.56.0",
"spdx": "MPL-2.0",
"vendorHash": null
},
"external": {
- "hash": "sha256-rmCdTtyYv3jZDXWWqRLV8AgnnZ0Hqp8Ofq8BoLBkDhs=",
+ "hash": "sha256-NCHG3lE+PuKm/8ox+d+zDSoKMXjSCCwi2JWTOn7NezE=",
"homepage": "https://registry.terraform.io/providers/hashicorp/external",
"owner": "hashicorp",
"repo": "terraform-provider-external",
- "rev": "v2.3.2",
+ "rev": "v2.3.3",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-mkopDoGhGZSJyxWYtR8OU9BU1GYwhLe3xwNkUKwlBNI="
+ "vendorHash": "sha256-qeKXdjrDPJWO4xW8by6djJReeYbCjh8VzQmE5/65zII="
},
"fastly": {
- "hash": "sha256-T3iQ0QIB3lfzcTx1K7WkgUdKsl/hls2+eorPa0O19g8=",
+ "hash": "sha256-jjZKwxJeimutMuz8TdNLsLigiXidtfxdsptrxSo3940=",
"homepage": "https://registry.terraform.io/providers/fastly/fastly",
"owner": "fastly",
"repo": "terraform-provider-fastly",
- "rev": "v5.6.0",
+ "rev": "v5.7.1",
"spdx": "MPL-2.0",
"vendorHash": null
},
"flexibleengine": {
- "hash": "sha256-8wp6chQBysKEZ2088PY+h62FnTI8nIapmhQpTHO1TIQ=",
+ "hash": "sha256-yEZ9JiUSqFFbfqzOOD59ZBv4yFCeUBBKlp6aiUqDqiM=",
"homepage": "https://registry.terraform.io/providers/FlexibleEngineCloud/flexibleengine",
"owner": "FlexibleEngineCloud",
"repo": "terraform-provider-flexibleengine",
- "rev": "v1.45.0",
+ "rev": "v1.46.0",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-hpoeXO3RfnI49UAqtF4rmX75DXCfsl4XTjIPGFyI/Nc="
+ "vendorHash": "sha256-ZbU2z7qUHPR7vDSflesSjgK7x3LYXVe/gnVsy19q6Bs="
},
"fortios": {
- "hash": "sha256-3fcbUH3/LjsdNbomN2tl2WN/P0rpf0ZsILVkAOLUbt0=",
+ "hash": "sha256-SENWlcDkb6S73yKratGSlT151wWuR43B40SoK7Hb6Qs=",
"homepage": "https://registry.terraform.io/providers/fortinetdev/fortios",
"owner": "fortinetdev",
"proxyVendor": true,
"repo": "terraform-provider-fortios",
- "rev": "1.18.1",
+ "rev": "1.19.0",
"spdx": "MPL-2.0",
"vendorHash": "sha256-DwRfbD4AqB+4KLuYtqY5fUdzRrEpTIvL4VAM7nieJJA="
},
@@ -447,42 +446,40 @@
"vendorHash": "sha256-EiTWJ4bw8IwsRTD9Lt28Up2DXH0oVneO2IaO8VqWtkw="
},
"github": {
- "hash": "sha256-VBKjk8dimVBLyuhCMTGE6oH7zdiBAzAERzm85YZ4Gkg=",
+ "hash": "sha256-0tnqXynYPct9HAZdhJ42bzJbcsC5QVz4bOszEO+tjSc=",
"homepage": "https://registry.terraform.io/providers/integrations/github",
"owner": "integrations",
"repo": "terraform-provider-github",
- "rev": "v5.43.0",
+ "rev": "v6.2.0",
"spdx": "MIT",
"vendorHash": null
},
"gitlab": {
- "hash": "sha256-sk18gC7ZecdvXIzYrNhyYLduttZrVVgekNjgYR379TY=",
+ "hash": "sha256-RphUUJOMx9p1fTys68C+bWxgS8zjrWLe4VgMXwKa8SE=",
"homepage": "https://registry.terraform.io/providers/gitlabhq/gitlab",
"owner": "gitlabhq",
"repo": "terraform-provider-gitlab",
- "rev": "v16.8.1",
+ "rev": "v16.9.1",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-dfsIEyLyTTJJxMPXWyo0YuSaRrjL+qGL654TAgECWPM="
+ "vendorHash": "sha256-mr4ZEQobsFBRU/RUV4joqsWQTuAaSioB1GO09wQJy7M="
},
"google": {
- "hash": "sha256-oGd2r/qCpiX/+vLDUlMhBBatZHmX0V+28jkhwy1zga4=",
+ "hash": "sha256-CbOy5kExsXHQTMteNpqnr0SHsQIjKSiJuwJD9Wcy5Ag=",
"homepage": "https://registry.terraform.io/providers/hashicorp/google",
"owner": "hashicorp",
- "proxyVendor": true,
"repo": "terraform-provider-google",
- "rev": "v5.13.0",
+ "rev": "v5.21.0",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-1VdjxH20jZw6u33TLVMw/qxTHdviqF45SmWiKald2yw="
+ "vendorHash": "sha256-VL03n3rEMccHuYmFMgoX01hzpEA7WHIyxa8GnfVLLSo="
},
"google-beta": {
- "hash": "sha256-Q7hQff48vpGoiUPDWF+IuiJYDRMJgajqc7Jzgb4aUj4=",
+ "hash": "sha256-fn4JrTU/TX8jJ6vYxzWYFpGFmgSDEt6txOF/jsX2BcU=",
"homepage": "https://registry.terraform.io/providers/hashicorp/google-beta",
"owner": "hashicorp",
- "proxyVendor": true,
"repo": "terraform-provider-google-beta",
- "rev": "v5.13.0",
+ "rev": "v5.21.0",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-1VdjxH20jZw6u33TLVMw/qxTHdviqF45SmWiKald2yw="
+ "vendorHash": "sha256-bUJJNnnmF7PXwXUomE5uuk21rpHsy7W5ESkj0DDiY04="
},
"googleworkspace": {
"hash": "sha256-dedYnsKHizxJZibuvJOMbJoux0W6zgKaK5fxIofKqCY=",
@@ -494,20 +491,20 @@
"vendorHash": "sha256-fqVBnAivVekV+4tpkl+E6eNA3wi8mhLevJRCs3W7L2g="
},
"grafana": {
- "hash": "sha256-hC87cIbDqK9rTK/SOuLy4qBVpMUCPxrFb+ReDkGhU1o=",
+ "hash": "sha256-8YE+bi44c55hDH+NlEsuocT1d6PugF/QfwvOTD693YE=",
"homepage": "https://registry.terraform.io/providers/grafana/grafana",
"owner": "grafana",
"repo": "terraform-provider-grafana",
- "rev": "v2.10.0",
+ "rev": "v2.14.2",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-/cS4skB/p4QMeRqxpgp7JjnkTnTHVtb5M9YEVA1X+k0="
+ "vendorHash": "sha256-HVPCLtE1DVM5Rq/myNoJwFrSQVG6utX0LOmR7yklRu8="
},
"gridscale": {
- "hash": "sha256-nOuckOEiHTMUOSjRwTHaitLOosraEl2mbU4gafi3gi4=",
+ "hash": "sha256-5gidBMUfJ4DPKuRx/pF5Rlff7DPkIXBJ7qzCIy6bZm8=",
"homepage": "https://registry.terraform.io/providers/gridscale/gridscale",
"owner": "gridscale",
"repo": "terraform-provider-gridscale",
- "rev": "v1.23.0",
+ "rev": "v1.23.2",
"spdx": "MPL-2.0",
"vendorHash": null
},
@@ -558,20 +555,20 @@
"vendorHash": "sha256-+D8HxLRUSh7bCN6j+NSkPZTabvqknY7uJ9F5JxefomA="
},
"http": {
- "hash": "sha256-cD38F0IzYRQB43lLrlm8m6XeH0GL9nNFgqImtH5wjU8=",
+ "hash": "sha256-druSExXZeZMNWFIJQoQ/Xh0gCQQx0AnKbIAJQlRi8Po=",
"homepage": "https://registry.terraform.io/providers/hashicorp/http",
"owner": "hashicorp",
"repo": "terraform-provider-http",
- "rev": "v3.4.1",
+ "rev": "v3.4.2",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-1gWC+HAwb9kzyhxlgQG7bky2VjGzCzFUFQGQzbrmmPg="
+ "vendorHash": "sha256-GDeuiT3PV92t3CsD60CAmN8ED9j8UzDbRlk59SSCVCM="
},
"huaweicloud": {
- "hash": "sha256-r9JZjOOy1HT7A3Ds90z77ql/xfP3oDcS6kNrK2g94SI=",
+ "hash": "sha256-vOaLOGLp+V+IYYa56rpiv1yx89incw796cTUgUXHtdM=",
"homepage": "https://registry.terraform.io/providers/huaweicloud/huaweicloud",
"owner": "huaweicloud",
"repo": "terraform-provider-huaweicloud",
- "rev": "v1.60.1",
+ "rev": "v1.62.1",
"spdx": "MPL-2.0",
"vendorHash": null
},
@@ -594,13 +591,13 @@
"vendorHash": null
},
"ibm": {
- "hash": "sha256-zTrVz4SqjqbU5T/kxvhKsJnx/VPPRUvQ6CMCqiclj7M=",
+ "hash": "sha256-dYH6D5VKh2wNh8L4SyXELy1zL+fORLeOgXG92XDg4GY=",
"homepage": "https://registry.terraform.io/providers/IBM-Cloud/ibm",
"owner": "IBM-Cloud",
"repo": "terraform-provider-ibm",
- "rev": "v1.61.0",
+ "rev": "v1.63.0",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-/kbrtNvEYU0mfoe2lPaZ/b8kAfkuP/6QVzUxRry/4+I="
+ "vendorHash": "sha256-SlUzByF0tke5YtMflOzpYfguZlNe8qeqJqvxCh/TVoY="
},
"icinga2": {
"hash": "sha256-Y/Oq0aTzP+oSKPhHiHY9Leal4HJJm7TNDpcdqkUsCmk=",
@@ -612,13 +609,13 @@
"vendorHash": null
},
"incus": {
- "hash": "sha256-FWQaU2C1cRo+3SqnesJl5EXfEYR/ssSHHZ9/09zRSTQ=",
+ "hash": "sha256-GahwviyhXcrCtM0jjJKKEwHkZTcJnVPB1JlVsJjcv+k=",
"homepage": "https://registry.terraform.io/providers/lxc/incus",
"owner": "lxc",
"repo": "terraform-provider-incus",
- "rev": "v0.0.2",
+ "rev": "v0.1.1",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-KdyhF1RUZoycsNqRnkME9Q7bTkV2xuNERx24+/p+j1w="
+ "vendorHash": "sha256-/SpaWENm6nwveUACS7hvH/Z25CTzQK/6igPhTW/fYJ8="
},
"infoblox": {
"hash": "sha256-rjqtqfmQQoJIhMtP6sFOu/XfJ691E77P0Bf9gjml2yg=",
@@ -639,13 +636,13 @@
"vendorHash": "sha256-NEGjgtrn6ZowqSF6NAK1NnSjYVUvfWuH/4R5ZPdTZSs="
},
"kafka": {
- "hash": "sha256-cWFPuKU7CQU8TYy125N88saBGPkrGa+7mKLi3TlnM2I=",
+ "hash": "sha256-BS15vAQeWAYPaF7i4xpFPv7Ni+tF4LFu8k/woVvQNF4=",
"homepage": "https://registry.terraform.io/providers/Mongey/kafka",
"owner": "Mongey",
"repo": "terraform-provider-kafka",
- "rev": "v0.5.4",
+ "rev": "v0.7.0",
"spdx": "MIT",
- "vendorHash": "sha256-gWomOX/NaYdcqspquqpRjqGnzLRY3iIUJALACf78TV8="
+ "vendorHash": "sha256-H35qqnWovPgf1t9DlxnPhDg2uWEKTWR3KcLtDum/Qc4="
},
"kafka-connect": {
"hash": "sha256-PiSVfzNPEXAgONb/eaVAN4yPudn5glcHL0BLqE5PWsw=",
@@ -675,22 +672,22 @@
"vendorHash": "sha256-lXQHo66b9X0jZhoF+5Ix5qewQGyI82VPJ7gGzc2CHao="
},
"kubernetes": {
- "hash": "sha256-1MPVP9DTC5pIe5rzO/N3hcN8De+PMH/1WDiq5e1GRtA=",
+ "hash": "sha256-l2WPpczgKjDYxtZFqhqmJvq8CdsAIBQBeofZOn7BLzM=",
"homepage": "https://registry.terraform.io/providers/hashicorp/kubernetes",
"owner": "hashicorp",
"repo": "terraform-provider-kubernetes",
- "rev": "v2.25.2",
+ "rev": "v2.27.0",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-1EpDTVVxmz4icLClRlJQiy2kZpZMHR9f9rAoFaZ25XY="
+ "vendorHash": "sha256-mVC3Uf+4zWM7lXHXOfVI+okXI8gP1W5VyZyH+qVNX7o="
},
"launchdarkly": {
- "hash": "sha256-AxnMBygXEkgnGfVRqpIFcGdjED3S+OryzIutFzWM+fY=",
+ "hash": "sha256-IuoFMp0NViuwwgOlfvoReodPhOJR0+YyJDI/vjN52jQ=",
"homepage": "https://registry.terraform.io/providers/launchdarkly/launchdarkly",
"owner": "launchdarkly",
"repo": "terraform-provider-launchdarkly",
- "rev": "v2.17.0",
+ "rev": "v2.18.1",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-hGlgqLXpVUoATd7GihX+RMoUvGkqXr5F/uwAY3n+57Y="
+ "vendorHash": "sha256-JbrecA5pNIifikBHwqFL72hRfRFHHl29mFKE4nDdbkY="
},
"libvirt": {
"hash": "sha256-yGlNBbixrQxjh7zgZoK3YXpUmr1vrLiLZhKpXvQULYg=",
@@ -702,13 +699,13 @@
"vendorHash": "sha256-K/PH8DAi6Wj+isPx9xefQcLPKnrimfItZFSPfktTias="
},
"linode": {
- "hash": "sha256-AGRSwQ96CNvP1QXcUW34+B6yZLhjb9Yfu/DQWM9UdkQ=",
+ "hash": "sha256-rk1fUC+++pXmYVL1IgR5rT77pere+j51n9kdzaDWKgc=",
"homepage": "https://registry.terraform.io/providers/linode/linode",
"owner": "linode",
"repo": "terraform-provider-linode",
- "rev": "v2.13.0",
+ "rev": "v2.17.0",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-wCQ/qbM382HgN+AT4nmt0Bn8iZrmsd+ln+kkp5/4kqs="
+ "vendorHash": "sha256-8vmorWsrZLJo3lKN74Bt+V8xKPOe389FZ2SjvxYfvtI="
},
"linuxbox": {
"hash": "sha256-MzasMVtXO7ZeZ+qEx2Z+7881fOIA0SFzSvXVHeEROtg=",
@@ -720,13 +717,13 @@
"vendorHash": "sha256-Jlg3a91pOhMC5SALzL9onajZUZ2H9mXfU5CKvotbCbw="
},
"local": {
- "hash": "sha256-FeraMYTrcGQ7JwlCOMyOJdwhtdRHS1b5PA0lpSIwAVY=",
+ "hash": "sha256-va8CFAHPZvc541Bml0VPN6A5qyUiKBXRfH/3AwxgXTo=",
"homepage": "https://registry.terraform.io/providers/hashicorp/local",
"owner": "hashicorp",
"repo": "terraform-provider-local",
- "rev": "v2.4.1",
+ "rev": "v2.5.1",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-T/YQsNpPISDSVi00KrLRX/+jFNQVl2ze/3D2ZRxmUjI="
+ "vendorHash": "sha256-PpLqFek6FnD+xWF8QMS2PFUP7sXXVWWWosq6fpLRzxg="
},
"lxd": {
"hash": "sha256-culY1Im8D4CtgC2LtTFFB0BgrNgLfDLT0I290+0NE3A=",
@@ -765,38 +762,38 @@
"vendorHash": "sha256-QxbZv6YMa5/I4bTeQBNdmG3EKtLEmstnH7HMiZzFJrI="
},
"migadu": {
- "hash": "sha256-4mT5BbOXl4OY99ao6nyQQgQImPk/1X7lOAZcAxYToQw=",
+ "hash": "sha256-jLOXQmsAAG78eNAlpo6Ge5fdhUHeGevVm079H1gE5/s=",
"homepage": "https://registry.terraform.io/providers/metio/migadu",
"owner": "metio",
"repo": "terraform-provider-migadu",
- "rev": "2024.1.25",
+ "rev": "2024.3.21",
"spdx": "0BSD",
- "vendorHash": "sha256-eee9X1QTDqL9rIGOnnxLU6QlcSIeMLlTZnHYis+ht+w="
+ "vendorHash": "sha256-ecoy0nJPuBsoVkYXNkrURgmDiaZEplkD1Zv4TEMuyU0="
},
"minio": {
- "hash": "sha256-i3YYBffP7Jp3f0wN1ZwP+c7C8WN8EKUh7JOKzbH0R/I=",
+ "hash": "sha256-dgMK61jFXnOvE11FIoIJfFN1zb+N9HrFZ/WtQqwktbw=",
"homepage": "https://registry.terraform.io/providers/aminueza/minio",
"owner": "aminueza",
"repo": "terraform-provider-minio",
- "rev": "v2.0.1",
+ "rev": "v2.2.0",
"spdx": "AGPL-3.0",
- "vendorHash": "sha256-aIIkj0KpkIR+CsgPk4NCfhG7BMKaAQZy/49unQx4nWQ="
+ "vendorHash": "sha256-Uxexx5sK6D+EEEPWLnWFE0HPG1RKUsYnSJ/1bV9JBkw="
},
"mongodbatlas": {
- "hash": "sha256-49DqsvrRw0Md9fJS3GVvSKJOQAMcL494fjuuOPf/u7k=",
+ "hash": "sha256-1IHiwMvME+kTbOSBNHBpDifzORf4li8WUxvtMu2uQiI=",
"homepage": "https://registry.terraform.io/providers/mongodb/mongodbatlas",
"owner": "mongodb",
"repo": "terraform-provider-mongodbatlas",
- "rev": "v1.14.0",
+ "rev": "v1.15.2",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-ySk+zivqynxdOIVtwzRJ31U2u8rxMJLXRxZw2rmtoaM="
+ "vendorHash": "sha256-fVDjhXRbYt845ZhFY85lCpXubKINBeMZg0U3K5RbnDk="
},
"namecheap": {
- "hash": "sha256-NqY3dELdpYahbdK7wpTJ9BMTIesUpwOvISbArDOPj/4=",
+ "hash": "sha256-g3i7jZBOl2umsyRk1z7Radv8a9Ry6oQ8oorv3YbY7Xo=",
"homepage": "https://registry.terraform.io/providers/namecheap/namecheap",
"owner": "namecheap",
"repo": "terraform-provider-namecheap",
- "rev": "v2.1.1",
+ "rev": "v2.1.2",
"spdx": "Apache-2.0",
"vendorHash": null
},
@@ -810,31 +807,31 @@
"vendorHash": null
},
"newrelic": {
- "hash": "sha256-9oYJTB4GYnLqw7paELFA8MdhLa5C9+aTCbzHOqrX+Io=",
+ "hash": "sha256-4/MFR8AJanto5OuY0J3Yce3zI62D5bx2UklrTccpvP0=",
"homepage": "https://registry.terraform.io/providers/newrelic/newrelic",
"owner": "newrelic",
"repo": "terraform-provider-newrelic",
- "rev": "v3.29.0",
+ "rev": "v3.32.0",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-GwvO9w1WikBseQpGMJXZ4G6E4eyTxrpNWmFWpWGC4Vs="
+ "vendorHash": "sha256-QluXNbTBc/EXCO3PmnBOSwSERK3t5NhCS4Jnz5hU97k="
},
"nomad": {
- "hash": "sha256-MEQK/HF9SNEKehLIUMBm2P0WdR5yISJ8DCpI0fVP/DA=",
+ "hash": "sha256-+S78qH7xMvJEGvgTRlxADNZI24PNgqCj1xgmIl4Oif4=",
"homepage": "https://registry.terraform.io/providers/hashicorp/nomad",
"owner": "hashicorp",
"repo": "terraform-provider-nomad",
- "rev": "v2.1.0",
+ "rev": "v2.2.0",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-vK+xErFvVj59lcSGUcMK0qdEFjC2cg77BI8EQ6Na83Y="
+ "vendorHash": "sha256-f/L9ZkirFIb+Yu2H4wz9wCb65NCC0TsmEnZPCI4Z6gw="
},
"ns1": {
- "hash": "sha256-UHoOVITbfwZ7tviDuZ1Tp9aVgRpB9ZnCzk5EOZeH/Eo=",
+ "hash": "sha256-qk+JfmWjaK29KqUVN2K01AEU+zJAQGeJhsnu3BBNHqI=",
"homepage": "https://registry.terraform.io/providers/ns1-terraform/ns1",
"owner": "ns1-terraform",
"repo": "terraform-provider-ns1",
- "rev": "v2.0.10",
+ "rev": "v2.2.0",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-nkpKq8cAusokeuOk32n8QA9He9zQlaTFzUwLMHKzpqM="
+ "vendorHash": "sha256-Fh4RP2Yu3EWD/I8r3I2nEkyQBZdM5SmdX+IcK5B8cb0="
},
"null": {
"hash": "sha256-KOwJXGvMc9Xgq4Kbr72aW6RDwzldUrU1C3aDxpKO3qE=",
@@ -856,22 +853,22 @@
"vendorHash": "sha256-LRIfxQGwG988HE5fftGl6JmBG7tTknvmgpm4Fu1NbWI="
},
"oci": {
- "hash": "sha256-WpBYrIm0Holm3ynEZjC7Pkqk8w4jGXu9Q7iOmKN8h/g=",
+ "hash": "sha256-V3A22EUSmVjglnytaxRL2CCG5DtzKl0J+Xalk96z99o=",
"homepage": "https://registry.terraform.io/providers/oracle/oci",
"owner": "oracle",
"repo": "terraform-provider-oci",
- "rev": "v5.26.0",
+ "rev": "v5.34.0",
"spdx": "MPL-2.0",
"vendorHash": null
},
"okta": {
- "hash": "sha256-+lwR0/Q2lbBCDwQ0Hurhw8VhXOQzHqfMtD/dnedHIvU=",
+ "hash": "sha256-PaiLWAvEW7YKAWgd6n0ZaLFrkq+5GxV+O2eF5nPZAeE=",
"homepage": "https://registry.terraform.io/providers/okta/okta",
"owner": "okta",
"repo": "terraform-provider-okta",
- "rev": "v4.6.3",
+ "rev": "v4.8.0",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-sF/jKuP7d5nafda9UfwtvdSsoJAxyI10o+70vadwAHs="
+ "vendorHash": "sha256-LDKnlCxp1NWSWjb0vujoq3npeQXfyT+dJK6gp1gMQDc="
},
"oktaasa": {
"hash": "sha256-2LhxgowqKvDDDOwdznusL52p2DKP+UiXALHcs9ZQd0U=",
@@ -892,22 +889,22 @@
"vendorHash": "sha256-Hd6vh4ihuR1rRk5yIu1mPuDMb4Not4soKld10MfOuGU="
},
"openstack": {
- "hash": "sha256-sFv7n5tf3aAwe6R1XeJdU3XMDF9ZMCM3t/vVLegZaXM=",
+ "hash": "sha256-kD1UfsnSLMFBzPZNguT3XaIXk0+Tp4qCPfNV3FonaIo=",
"homepage": "https://registry.terraform.io/providers/terraform-provider-openstack/openstack",
"owner": "terraform-provider-openstack",
"repo": "terraform-provider-openstack",
- "rev": "v1.53.0",
+ "rev": "v1.54.1",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-hVsqlWTZoYAMWMeismKhiqFxSFbkTBSIEMSLZx5stnQ="
+ "vendorHash": "sha256-WHsYDcvLE1i+wCHGNF6eE8yVpPbP5SLG7ZK1AL7xMXI="
},
"opentelekomcloud": {
- "hash": "sha256-4AsU4O5YxyqUvxBPwQw56dPGXoEsHBxJfHc5tnEPz4Q=",
+ "hash": "sha256-rifK2xVnzYQZnDzF4glkpA4w1/rbvuxkas8npJRXqvM=",
"homepage": "https://registry.terraform.io/providers/opentelekomcloud/opentelekomcloud",
"owner": "opentelekomcloud",
"repo": "terraform-provider-opentelekomcloud",
- "rev": "v1.36.0",
+ "rev": "v1.36.4",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-2XxpNnJkmXFd8CHETUlj1T3eQWDssFqPKzrWAbOOYzk="
+ "vendorHash": "sha256-4kO4pl1Ssj+lCmImiJQq59J/6rpfuYt/NBDBxJopQdE="
},
"opsgenie": {
"hash": "sha256-ZssKhfwFrzCjvlebEmKAHWBInN5daVqxbmVFoA92dv8=",
@@ -919,20 +916,20 @@
"vendorHash": null
},
"ovh": {
- "hash": "sha256-TJ5PIRBgiJYT/JsWgHUXdRyaTdkO4ORHj5YDyyvt+tk=",
+ "hash": "sha256-SGezO0L/rt5rnIz3LijkKdXn0+EPlmM/rGQ/aB2GES4=",
"homepage": "https://registry.terraform.io/providers/ovh/ovh",
"owner": "ovh",
"repo": "terraform-provider-ovh",
- "rev": "v0.36.1",
+ "rev": "v0.40.0",
"spdx": "MPL-2.0",
"vendorHash": null
},
"pagerduty": {
- "hash": "sha256-PgMG1TfeJ5vMmp+DxjFKL3UdHpHmPUwF6GG/y36Km+s=",
+ "hash": "sha256-D1tYsPiozT9FdTL+DKDkjxAByXueyKwBkka3P9xDJLc=",
"homepage": "https://registry.terraform.io/providers/PagerDuty/pagerduty",
"owner": "PagerDuty",
"repo": "terraform-provider-pagerduty",
- "rev": "v3.6.0",
+ "rev": "v3.10.0",
"spdx": "MPL-2.0",
"vendorHash": null
},
@@ -955,13 +952,13 @@
"vendorHash": "sha256-pbJk35O8EowCa2dgLCrPDgakR0EJVaAnEvePGnrl/YQ="
},
"postgresql": {
- "hash": "sha256-r1Im4bhAakBe0PoDTpiQWPfnoFBtMCrAyL7qBa1yTQc=",
+ "hash": "sha256-UNnAe5alro4dEZ9x2ZDsjybOgHq2IVs8w9rMcMJBm8w=",
"homepage": "https://registry.terraform.io/providers/cyrilgdn/postgresql",
"owner": "cyrilgdn",
"repo": "terraform-provider-postgresql",
- "rev": "v1.21.0",
+ "rev": "v1.22.0",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-bTgxH5KUFCLDxwY5JIG+rQtDq0uFXOsbuS1FxF3hJeU="
+ "vendorHash": "sha256-omJjWeCLIdHIySQW8tcDPQ1XPmfWbPDOGwPUedrb8Bw="
},
"powerdns": {
"hash": "sha256-NtJs2oNJbjUYNFsbrfo2RYhqOlKA15GJt9gi1HuTIw0=",
@@ -973,13 +970,13 @@
"vendorHash": null
},
"project": {
- "hash": "sha256-bLzJT+ZyBtnehpiR02tyCcI5xOC2vJxBlYW1cLX7yqI=",
+ "hash": "sha256-eXieWiwDzTkOVvrjjnG8i8ke7mMTjluq5zEtiZqfiOA=",
"homepage": "https://registry.terraform.io/providers/jfrog/project",
"owner": "jfrog",
"repo": "terraform-provider-project",
- "rev": "v1.3.4",
+ "rev": "v1.5.1",
"spdx": "Apache-2.0",
- "vendorHash": "sha256-ZDscj89bnLiubB+cxWjK1v9DXc5RX21pxfksJd6pQxk="
+ "vendorHash": "sha256-bJ6+i7fZ6PsUcwjwJKiMC10I44bojIifI7eWUhdT1Bw="
},
"proxmox": {
"hash": "sha256-ikXLLNoAjrnGGGI3fHTKFXm8YwqNazE/U39JTjOBsW4=",
@@ -1000,13 +997,13 @@
"vendorHash": "sha256-j+3qtGlueKZgf0LuNps4Wc9G3EmpSgl8ZNSLqslyizI="
},
"rancher2": {
- "hash": "sha256-ww4ZT0XobDZ2616wkpO2IQPlwU4oz/vXzy4Y6iXslV8=",
+ "hash": "sha256-w9oAeE8KuD7kdBFOkNgifaELrxr3X1yKYXFiQLyaGY8=",
"homepage": "https://registry.terraform.io/providers/rancher/rancher2",
"owner": "rancher",
"repo": "terraform-provider-rancher2",
- "rev": "v3.2.0",
+ "rev": "v4.1.0",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-2uNawlNPmByjoIjufl3yMfo2MdV+MsXqSRVEWursHKc="
+ "vendorHash": "sha256-kzOEHkVCHOwISXVPmKbJJ2BbBdIJ3G1JtA1nFGZYnG8="
},
"random": {
"hash": "sha256-8RRfoxDXa9pScyZ8CXBuWODlahd3lH0IzPaV0yb7GpI=",
@@ -1036,13 +1033,13 @@
"vendorHash": null
},
"scaleway": {
- "hash": "sha256-xJpCu2/7pf6kkZVPhozAXfeU5mbzdVDYT2DvDmjajCc=",
+ "hash": "sha256-3K1BGar+D45nCSQNodJYTp+kP0EdoBzQTOEJ3PQa3t8=",
"homepage": "https://registry.terraform.io/providers/scaleway/scaleway",
"owner": "scaleway",
"repo": "terraform-provider-scaleway",
- "rev": "v2.36.0",
+ "rev": "v2.38.2",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-E/qBdcSPq/hpG0b0pmeY6ugItNrtu0HUdlJxpakAVwE="
+ "vendorHash": "sha256-5otz+3S1o3V+V1SZaFP611AwyCvoPCxCwR2SE3DEw5o="
},
"secret": {
"hash": "sha256-MmAnA/4SAPqLY/gYcJSTnEttQTsDd2kEdkQjQj6Bb+A=",
@@ -1081,13 +1078,13 @@
"vendorHash": "sha256-MIO0VHofPtKPtynbvjvEukMNr5NXHgk7BqwIhbc9+u0="
},
"signalfx": {
- "hash": "sha256-kUubyCd00VhB72JEvWDBLF4/uglzkBmijcbotTyQgyA=",
+ "hash": "sha256-n8IpbCt8DKl4AIurIy5NnoZ3vWJyqrQvYEho6UEDmWc=",
"homepage": "https://registry.terraform.io/providers/splunk-terraform/signalfx",
"owner": "splunk-terraform",
"repo": "terraform-provider-signalfx",
- "rev": "v9.0.1",
+ "rev": "v9.1.1",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-PQU4VC5wHcB70UkZaRT8jtz+qOAONU2SxtRrTmml9vY="
+ "vendorHash": "sha256-aw1q9iCQKu4SynnbTRI8Tx+UbKWjG+2PFS5iDIirgh8="
},
"skytap": {
"hash": "sha256-JII4czazo6Di2sad1uFHMKDO2gWgZlQE8l/+IRYHQHU=",
@@ -1108,13 +1105,13 @@
"vendorHash": "sha256-F1AuO/dkldEDRvkwrbq2EjByxjg3K2rohZAM4DzKPUw="
},
"snowflake": {
- "hash": "sha256-uMXU/0LqOMBRaIOw1hxCdWuogrkWW9r/28YHniOxCbA=",
+ "hash": "sha256-X0VD4aI7WzNsy36e39eWzn2IIaLuXnhFSgiMnbb4myU=",
"homepage": "https://registry.terraform.io/providers/Snowflake-Labs/snowflake",
"owner": "Snowflake-Labs",
"repo": "terraform-provider-snowflake",
- "rev": "v0.84.1",
+ "rev": "v0.87.2",
"spdx": "MIT",
- "vendorHash": "sha256-nT/zEQgHWnCrlm6TL/DnXIvwDxEs147OfXn/qnlvIH0="
+ "vendorHash": "sha256-hvaZBOeAVutoKv46BLE1ud1Ox0K0InpTSG5G2WwTj5s="
},
"sops": {
"hash": "sha256-ZastswL5AVurQY3xn6yx3M1BMvQ9RjfcZdXX0S/oZqw=",
@@ -1126,13 +1123,22 @@
"vendorHash": "sha256-8W1PK4T98iK1N6EB6AVjvr1P9Ja51+kSOmYAEosxrh8="
},
"spotinst": {
- "hash": "sha256-cLP/I54ClS0aQ14cWrtV57WrDJzBO3fpOMjd9GPR/Z0=",
+ "hash": "sha256-3/dMhB5SRc1pEsoflaMcNmPn3MjEUZ95aruqwD/Ro0M=",
"homepage": "https://registry.terraform.io/providers/spotinst/spotinst",
"owner": "spotinst",
"repo": "terraform-provider-spotinst",
- "rev": "v1.160.0",
+ "rev": "v1.165.0",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-xsV1Co7kSDUrhT6wL6WAzNV/ciHyinW2V6svsezY3/s="
+ "vendorHash": "sha256-aKp9DDUU1cZye24jtFqpxA43KJj8CjXFE/+hl1PBH6c="
+ },
+ "ssh": {
+ "hash": "sha256-1UN5QJyjCuxs2vQYlSuz2jsu/HgGTxOoWWRcv4qcwow=",
+ "homepage": "https://registry.terraform.io/providers/loafoe/ssh",
+ "owner": "loafoe",
+ "repo": "terraform-provider-ssh",
+ "rev": "v2.7.0",
+ "spdx": "MIT",
+ "vendorHash": "sha256-vcuUt3WIo1TnLApch410JgtyCzliQRYMQQQ2Z9diDZ8="
},
"stackpath": {
"hash": "sha256-aCaoRxlV/UxYobHC5OqFO8nt9oQgyug1AuJffhnwauc=",
@@ -1153,22 +1159,22 @@
"vendorHash": "sha256-9M1DsE/FPQK8TG7xCJWbU3HAJCK3p/7lxdzjO1oAfWs="
},
"sumologic": {
- "hash": "sha256-LQ+EDvnQVuNhMMAlU27R9aytWsfdyaHHiGLF4zBs4d0=",
+ "hash": "sha256-wGqOUeDJs80s5xNsnJ4uLg6DXxcZA+P30XtY4DyCDzo=",
"homepage": "https://registry.terraform.io/providers/SumoLogic/sumologic",
"owner": "SumoLogic",
"repo": "terraform-provider-sumologic",
- "rev": "v2.28.1",
+ "rev": "v2.28.3",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-iNBM4Y24vDGPKyb5cppSogk145F0/pAFmOzEeiWgfLI="
+ "vendorHash": "sha256-ti0zBliq3DXVNWlqE0dO6T5UxN/p1fLMt4FK/0/j9oY="
},
"tailscale": {
- "hash": "sha256-1OSGJham+oJLQUcSm+Iea9SDM5VhOcE7Bz+ZgxM4Lww=",
+ "hash": "sha256-hvhdaxO7CbsfFzDw0UuRxlgRwvumWPz/I65IgounflQ=",
"homepage": "https://registry.terraform.io/providers/tailscale/tailscale",
"owner": "tailscale",
"repo": "terraform-provider-tailscale",
- "rev": "v0.13.13",
+ "rev": "v0.15.0",
"spdx": "MIT",
- "vendorHash": "sha256-w0S9ACnDNZsEvYEkS2Q/8I2doM3AmgpzmgRXgA7CaTw="
+ "vendorHash": "sha256-+XgYutJTSKL6HmAX4GLnktqcM3AxYP7B1UHzAp/Oz78="
},
"talos": {
"hash": "sha256-DoO2aGoBkuafPJGNz0opmkFw4wwUgsczA2D0bSXQAlg=",
@@ -1179,23 +1185,32 @@
"spdx": "MPL-2.0",
"vendorHash": "sha256-FWwHAaUKUw7DyNs4sAlkLkGNj48wMJgpFvfQgbp8lFs="
},
+ "temporalcloud": {
+ "hash": "sha256-pjxEcA8K9n70FWMwpTXr8fwOCj/GVmiL9XfKLRLQ6tI=",
+ "homepage": "https://registry.terraform.io/providers/temporalio/temporalcloud",
+ "owner": "temporalio",
+ "repo": "terraform-provider-temporalcloud",
+ "rev": "v0.0.6",
+ "spdx": "MPL-2.0",
+ "vendorHash": "sha256-2rYaxDDIPH46gXNILnTcHRsChpEd406r4pzWdnHMLNM="
+ },
"tencentcloud": {
- "hash": "sha256-miYELvWd/b33JUe74j3pYmyDIRrOI+8PEv4mJ+MfhNE=",
+ "hash": "sha256-Vk1Jc1zSTKoFlNATlx9i5Pn4EzD/uS+RgmUCooMQVx8=",
"homepage": "https://registry.terraform.io/providers/tencentcloudstack/tencentcloud",
"owner": "tencentcloudstack",
"repo": "terraform-provider-tencentcloud",
- "rev": "v1.81.71",
+ "rev": "v1.81.83",
"spdx": "MPL-2.0",
"vendorHash": null
},
"tfe": {
- "hash": "sha256-dbraY0A8z2YI09FWFqIsOcWshGn1/ZlPLeWdjWWbgmc=",
+ "hash": "sha256-5Txgqf/4dh2fsB6guqgLs3PxZs1QB32NzqCFIwM4ogg=",
"homepage": "https://registry.terraform.io/providers/hashicorp/tfe",
"owner": "hashicorp",
"repo": "terraform-provider-tfe",
- "rev": "v0.51.1",
+ "rev": "v0.53.0",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-lxXTiJeZ/8psry2dxrecB+o0xElSQrCjwZ9zXijI9Bs="
+ "vendorHash": "sha256-7rMBmB93dLPyd9VcVc9R8SnXQ0XkU6SHc6j/KiAODVg="
},
"thunder": {
"hash": "sha256-ezolcZ652YUV/CDoNKNRZkiRpRoa5AMqHxeYLxluA5A=",
@@ -1207,13 +1222,13 @@
"vendorHash": null
},
"time": {
- "hash": "sha256-5AOp6y/Nmu59uB9QXqwkcgakyzAyiAclZ9EJa7+MvpY=",
+ "hash": "sha256-yRYGyDPMR/2+OOjqXj1OhpvYoAUEbgWPcW4PcatPPh8=",
"homepage": "https://registry.terraform.io/providers/hashicorp/time",
"owner": "hashicorp",
"repo": "terraform-provider-time",
- "rev": "v0.10.0",
+ "rev": "v0.11.1",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-TrkmjqUJi28sN9POzEuKzKyPQiS1RtVpj9NbsM3jW0I="
+ "vendorHash": "sha256-UZ0DpurmLmju/MG0uhAXgbwQoas94/R9TNT1cyO6pIQ="
},
"tls": {
"hash": "sha256-2K18jY2+oPvelMtZ2o4WJcAPhc93nCvJdHq+VNfmWZI=",
@@ -1235,40 +1250,40 @@
"vendorHash": "sha256-UuLHaOEG6jmOAgfdNOtLyUimlAr3g6K8n3Ehu64sKqk="
},
"turbot": {
- "hash": "sha256-oG6Mme9Q4T/IgQF1jheL0SaV3NDCEGHvw5fbXIcgwPE=",
+ "hash": "sha256-OmhNZZcCw6tGsL3Ha4cdg76GHqMjKeRB6aOXfqkIOjQ=",
"homepage": "https://registry.terraform.io/providers/turbot/turbot",
"owner": "turbot",
"repo": "terraform-provider-turbot",
- "rev": "v1.10.0",
+ "rev": "v1.10.1",
"spdx": "MPL-2.0",
"vendorHash": null
},
"ucloud": {
- "hash": "sha256-D6nrIjw4m2loeZRKNvrmgNQ4oaHKEc2xjxrWcgE8LNw=",
+ "hash": "sha256-u9LxsBKmS80h4y+/nJZ+0+cmQP5gS/I+T5g8H/QlPDA=",
"homepage": "https://registry.terraform.io/providers/ucloud/ucloud",
"owner": "ucloud",
"repo": "terraform-provider-ucloud",
- "rev": "v1.38.3",
+ "rev": "v1.38.8",
"spdx": "MPL-2.0",
"vendorHash": null
},
"utils": {
- "hash": "sha256-7o3pVJvwnO80bXPJ5i0wfgz9mVqmXJRvGsVWj7N92gA=",
+ "hash": "sha256-DW2O1tou+HfOgzSca/SS3tFeo0efGt1ATVs5SmwUvmk=",
"homepage": "https://registry.terraform.io/providers/cloudposse/utils",
"owner": "cloudposse",
"repo": "terraform-provider-utils",
- "rev": "1.15.0",
+ "rev": "1.18.0",
"spdx": "Apache-2.0",
- "vendorHash": "sha256-jz1eQG7pyeYt96KndzY1iR8n5Xm/1NNM7AD5eR8W/k0="
+ "vendorHash": "sha256-srhu8iepW/JmPrJ7PuXyk0GEWMwzpNpkny33z7ZdrdM="
},
"vault": {
- "hash": "sha256-nFthtHwWuWEgtrw6mhqDlXW5sOuxqHlMyReHvYNcasQ=",
+ "hash": "sha256-jwVc1x2+i4V/0mWRg5+Xpk0ONHC1T55Hof9JOUVAo/s=",
"homepage": "https://registry.terraform.io/providers/hashicorp/vault",
"owner": "hashicorp",
"repo": "terraform-provider-vault",
- "rev": "v3.24.0",
+ "rev": "v4.1.0",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-SjKFRIrIQD9rsoHkoBxNDdCd8iBF1aHdJodII1ml7Ds="
+ "vendorHash": "sha256-b/1g/1hFbIfzYJ0lQKNzalLkD95LLRgoftuoeDicalE="
},
"vcd": {
"hash": "sha256-TP9COMofx4c2GZ0dQkfopn4iq8ddfV3WwuNjTu6yQnU=",
@@ -1280,14 +1295,23 @@
"vendorHash": "sha256-IqmmlLr+bwfSRJtKbK/fiBdbf2vX61+6h6rZizD1vw8="
},
"venafi": {
- "hash": "sha256-OQNeDmsXC1Fr9bTZ07HELZznU9n4ttSkFbNOC6ooxnk=",
+ "hash": "sha256-GkbBD6oDtHy18utI2dsDWmVIUiU8bILg6rsXEX7gfbI=",
"homepage": "https://registry.terraform.io/providers/Venafi/venafi",
"owner": "Venafi",
"repo": "terraform-provider-venafi",
- "rev": "v0.17.2",
+ "rev": "v0.18.0",
"spdx": "MPL-2.0",
"vendorHash": "sha256-Afe3fzzdQi4fvliAb42sP47BYlpefu9zeeI0o814j40="
},
+ "virtualbox": {
+ "hash": "sha256-Oijdx22s7wIDC+Sms097rFVNRF9tzMlUNlPMV7GSsiI=",
+ "homepage": "https://registry.terraform.io/providers/terra-farm/virtualbox",
+ "owner": "terra-farm",
+ "repo": "terraform-provider-virtualbox",
+ "rev": "v0.2.2-alpha.1",
+ "spdx": "MIT",
+ "vendorHash": "sha256-SF11E60OQiRdf+Pf6XyJg60yGRnGOcSzhrYccrWaeYE="
+ },
"vpsadmin": {
"hash": "sha256-MFEerVGKros+9zubcjK8qUpYQveKuBgbfyai32Y0KLI=",
"homepage": "https://registry.terraform.io/providers/vpsfreecz/vpsadmin",
@@ -1298,22 +1322,22 @@
"vendorHash": "sha256-OzcDMLWwnBYIkBcL6U1t9oCNhZZokBUf2TONb+OfgPE="
},
"vra7": {
- "hash": "sha256-dvdsfUKhl1z/iHsh+/2HDb6mEX86P9FgynkzVQgtM5w=",
+ "hash": "sha256-v/0LBzyUUqt+Jx4GubCC2QzKdgt5WrrjZ4YvfxA2+GA=",
"homepage": "https://registry.terraform.io/providers/vmware/vra7",
"owner": "vmware",
"repo": "terraform-provider-vra7",
- "rev": "v3.0.12",
+ "rev": "v3.0.13",
"spdx": "MPL-2.0",
"vendorHash": null
},
"vsphere": {
- "hash": "sha256-VWPKSR6xIph5dnMBSmLB/laY+DmNdshn6+94amCFQ5g=",
+ "hash": "sha256-SATX9BO6tnHW8+oeSeMNP3+opKHBk42va2J8YdrnlUw=",
"homepage": "https://registry.terraform.io/providers/hashicorp/vsphere",
"owner": "hashicorp",
"repo": "terraform-provider-vsphere",
- "rev": "v2.6.1",
+ "rev": "v2.7.0",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-d9CdK5AHFZRC89Xko4vyx8jR10fkG1VYGVILlXM7zgw="
+ "vendorHash": "sha256-cHzIOIGy5DT5q5fvQlv56V6/vU0akBFM34M+c2+qIy4="
},
"vultr": {
"hash": "sha256-+J4RHQWOy4Wfv2/8UNHe8g2fp2yAxzqzZZRv749B3Yc=",
@@ -1334,12 +1358,12 @@
"vendorHash": "sha256-GRnVhGpVgFI83Lg34Zv1xgV5Kp8ioKTFV5uaqS80ATg="
},
"yandex": {
- "hash": "sha256-B0gc1PfAlwq//JvfEx4r+QUBDE1eO4ACJO6H2/TZvz8=",
+ "hash": "sha256-mlai++RjiYFSJLkhyWyKKYs/LFVoKIHtFB2bGmB5DFM=",
"homepage": "https://registry.terraform.io/providers/yandex-cloud/yandex",
"owner": "yandex-cloud",
"repo": "terraform-provider-yandex",
- "rev": "v0.106.0",
+ "rev": "v0.112.0",
"spdx": "MPL-2.0",
- "vendorHash": "sha256-6GLzXkRrDAsTxdrzz+sWf2AxkTHdyjfu72l4s0KwndY="
+ "vendorHash": "sha256-eE8gYyIGVBmw02I6j9GoEm2TiOmHGWhOs5pcqj/6PaA="
}
}
diff --git a/pkgs/applications/networking/cluster/terraform/default.nix b/pkgs/applications/networking/cluster/terraform/default.nix
index 559cb30b3155..94b7fe50c5a9 100644
--- a/pkgs/applications/networking/cluster/terraform/default.nix
+++ b/pkgs/applications/networking/cluster/terraform/default.nix
@@ -26,7 +26,7 @@ let
inherit hash;
};
- ldflags = [ "-s" "-w" ];
+ ldflags = [ "-s" "-w" "-X 'github.com/hashicorp/terraform/version.dev=no'" ];
postConfigure = ''
# speakeasy hardcodes /bin/stty https://github.com/bgentry/speakeasy/issues/22
@@ -167,9 +167,9 @@ rec {
mkTerraform = attrs: pluggable (generic attrs);
terraform_1 = mkTerraform {
- version = "1.7.3";
- hash = "sha256-/NnpmZLCEoSwJYsHmMxQ8HRxzsyCm91oc6T+mcsaNv0=";
- vendorHash = "sha256-DI4YTjdFFvfby8ExEY3KoK4J9YKK5LPpMbelzFMDVVs=";
+ version = "1.7.5";
+ hash = "sha256-k/ugXlHK7lEKfOpSBXQNUdcq26rVVdjo53U+7ChJLIc=";
+ vendorHash = "sha256-5sCf65gFpI3y+qwDYvD08OZHNsDMg2IuDL65NMsLQ4Y=";
patches = [ ./provider-path-0_15.patch ];
passthru = {
inherit plugins;
diff --git a/pkgs/applications/networking/cluster/terragrunt/default.nix b/pkgs/applications/networking/cluster/terragrunt/default.nix
index e3d2bdce753b..88b822a3b6db 100644
--- a/pkgs/applications/networking/cluster/terragrunt/default.nix
+++ b/pkgs/applications/networking/cluster/terragrunt/default.nix
@@ -5,16 +5,16 @@
buildGoModule rec {
pname = "terragrunt";
- version = "0.55.2";
+ version = "0.55.18";
src = fetchFromGitHub {
owner = "gruntwork-io";
repo = pname;
rev = "refs/tags/v${version}";
- hash = "sha256-6lUBtTG05Bz+M9Jj5HaOqG2yelwbC1trCM33NzUP1U4=";
+ hash = "sha256-66yNRBh4WzArHL/yPn6IuLXt2YEthnufkcR2sw7LJYQ=";
};
- vendorHash = "sha256-uFSkolmQV11cY+3ZWrlByHDFolpr2E+9/R95bhBn6zo=";
+ vendorHash = "sha256-ijAg0Y/dfNxDS/Jov7QYjlTZ4N4/jDMH/zCV0jdVXRc=";
doCheck = false;
@@ -37,6 +37,7 @@ buildGoModule rec {
homepage = "https://terragrunt.gruntwork.io";
changelog = "https://github.com/gruntwork-io/terragrunt/releases/tag/v${version}";
description = "A thin wrapper for Terraform that supports locking for Terraform state and enforces best practices";
+ mainProgram = "terragrunt";
license = licenses.mit;
maintainers = with maintainers; [ jk qjoly kashw2 ];
};
diff --git a/pkgs/applications/networking/cluster/terraspace/default.nix b/pkgs/applications/networking/cluster/terraspace/default.nix
index af7c1025356a..da45541108f6 100644
--- a/pkgs/applications/networking/cluster/terraspace/default.nix
+++ b/pkgs/applications/networking/cluster/terraspace/default.nix
@@ -24,6 +24,7 @@ in stdenv.mkDerivation {
meta = with lib; {
description = "Terraform framework that provides an organized structure, and keeps your code DRY";
+ mainProgram = "terraspace";
homepage = "https://github.com/boltops-tools/terraspace";
license = licenses.asl20;
platforms = ruby.meta.platforms;
diff --git a/pkgs/applications/networking/cluster/tf-summarize/default.nix b/pkgs/applications/networking/cluster/tf-summarize/default.nix
index 840e221ec9ce..14fec4795db0 100644
--- a/pkgs/applications/networking/cluster/tf-summarize/default.nix
+++ b/pkgs/applications/networking/cluster/tf-summarize/default.nix
@@ -7,16 +7,16 @@
buildGoModule rec {
pname = "tf-summarize";
- version = "0.3.7";
+ version = "0.3.9";
src = fetchFromGitHub {
owner = "dineshba";
repo = "tf-summarize";
rev = "v${version}";
- hash = "sha256-IdtIcWnriCwghAWay+GzVf30difsDNHrHDNHDkkTxLg=";
+ hash = "sha256-rMpCNFuWgllvpi9PLyXAaV5IRphmPEI8HjBxKWgOydg=";
};
- vendorHash = "sha256-YdfZt8SHBJHk5VUC8Em97EzX79EV4hxvo0B05npBA2U=";
+ vendorHash = "sha256-nfontEgMj2qPbrM35iR7b65qrkWHCMY1v944iYdNLG8=";
ldflags = [
"-s"
@@ -32,6 +32,7 @@ buildGoModule rec {
meta = with lib; {
description = "Command-line utility to print the summary of the terraform plan";
+ mainProgram = "tf-summarize";
homepage = "https://github.com/dineshba/tf-summarize";
license = licenses.mit;
maintainers = with maintainers; [ pjrm ];
diff --git a/pkgs/applications/networking/cluster/tfautomv/default.nix b/pkgs/applications/networking/cluster/tfautomv/default.nix
index 358dfd0a39fd..fc9dadbf37b6 100644
--- a/pkgs/applications/networking/cluster/tfautomv/default.nix
+++ b/pkgs/applications/networking/cluster/tfautomv/default.nix
@@ -21,6 +21,7 @@ buildGoModule rec {
meta = with lib; {
homepage = "https://github.com/busser/tfautomv";
description = "When refactoring a Terraform codebase, you often need to write moved blocks. This can be tedious. Let tfautomv do it for you";
+ mainProgram = "tfautomv";
license = licenses.asl20;
maintainers = with maintainers; [ qjoly ];
};
diff --git a/pkgs/applications/networking/cluster/tfswitch/default.nix b/pkgs/applications/networking/cluster/tfswitch/default.nix
index c8f2fb070fba..50079dc762d8 100644
--- a/pkgs/applications/networking/cluster/tfswitch/default.nix
+++ b/pkgs/applications/networking/cluster/tfswitch/default.nix
@@ -24,6 +24,7 @@ buildGoModule rec {
meta = with lib; {
description =
"A command line tool to switch between different versions of terraform";
+ mainProgram = "tfswitch";
homepage = "https://github.com/warrensbox/terraform-switcher";
license = licenses.mit;
maintainers = with maintainers; [ psibi ];
diff --git a/pkgs/applications/networking/cluster/tftui/default.nix b/pkgs/applications/networking/cluster/tftui/default.nix
index 3a9d657dbdd2..5ef3142ee69d 100644
--- a/pkgs/applications/networking/cluster/tftui/default.nix
+++ b/pkgs/applications/networking/cluster/tftui/default.nix
@@ -1,27 +1,33 @@
{ lib
-, buildPythonApplication
-, fetchPypi
+, fetchFromGitHub
, makeWrapper
-, poetry-core
-, posthog
-, pyperclip
-, requests
-, rich
-, textual
+, python3
, enableUsageTracking ? false
}:
-buildPythonApplication rec {
+python3.pkgs.buildPythonApplication rec {
pname = "tftui";
- version = "0.12.4";
+ version = "0.12.6";
pyproject = true;
- src = fetchPypi {
- inherit pname version;
- hash = "sha256-E4Y0qA7SooMlHh+oSFUl1hfblpirr/Jdb1C2fqU43t0=";
+ src = fetchFromGitHub {
+ owner = "idoavrah";
+ repo = "terraform-tui";
+ rev = "refs/tags/v${version}";
+ hash = "sha256-vK1qKf8+RxwHUpuEQ97PcwGvObNVpd88kAb3DFRoRG0=";
};
- propagatedBuildInputs = [
+ pythonRelaxDeps = [
+ "textual"
+ ];
+
+ nativeBuildInputs = with python3.pkgs; [
+ makeWrapper
+ poetry-core
+ pythonRelaxDepsHook
+ ];
+
+ propagatedBuildInputs = with python3.pkgs; [
posthog
pyperclip
requests
@@ -29,11 +35,6 @@ buildPythonApplication rec {
textual
];
- nativeBuildInputs = [
- makeWrapper
- poetry-core
- ];
-
pythonImportsCheck = [
"tftui"
];
@@ -46,7 +47,7 @@ buildPythonApplication rec {
meta = with lib; {
description = "Textual UI to view and interact with Terraform state";
homepage = "https://github.com/idoavrah/terraform-tui";
- changelog = "https://github.com/idoavrah/terraform-tui/releases";
+ changelog = "https://github.com/idoavrah/terraform-tui/releases/tag/v${version}";
license = licenses.asl20;
maintainers = with maintainers; teams.bitnomial.members;
mainProgram = "tftui";
diff --git a/pkgs/applications/networking/cluster/tfupdate/default.nix b/pkgs/applications/networking/cluster/tfupdate/default.nix
index b60da990413b..e7a4250f9444 100644
--- a/pkgs/applications/networking/cluster/tfupdate/default.nix
+++ b/pkgs/applications/networking/cluster/tfupdate/default.nix
@@ -19,6 +19,7 @@ buildGoModule rec {
meta = with lib; {
description = "Update version constraints in your Terraform configurations";
+ mainProgram = "tfupdate";
homepage = "https://github.com/minamijoyo/tfupdate";
changelog = "https://github.com/minamijoyo/tfupdate/blob/${src.rev}/CHANGELOG.md";
license = licenses.mit;
diff --git a/pkgs/applications/networking/cluster/tgswitch/default.nix b/pkgs/applications/networking/cluster/tgswitch/default.nix
index 25c301f0cbb5..6196eeeac8ed 100644
--- a/pkgs/applications/networking/cluster/tgswitch/default.nix
+++ b/pkgs/applications/networking/cluster/tgswitch/default.nix
@@ -21,6 +21,7 @@ buildGoModule rec {
meta = with lib; {
description = "Command line tool to switch between different versions of terragrunt";
+ mainProgram = "tgswitch";
homepage = "https://github.com/warrensbox/tgswitch";
license = licenses.mit;
maintainers = with maintainers; [ psibi ];
diff --git a/pkgs/applications/networking/cluster/tilt/binary.nix b/pkgs/applications/networking/cluster/tilt/binary.nix
index d326f0f92e80..ebb7f6e11789 100644
--- a/pkgs/applications/networking/cluster/tilt/binary.nix
+++ b/pkgs/applications/networking/cluster/tilt/binary.nix
@@ -24,6 +24,7 @@ buildGoModule rec {
meta = {
description = "Local development tool to manage your developer instance when your team deploys to Kubernetes in production";
+ mainProgram = "tilt";
homepage = "https://tilt.dev/";
license = lib.licenses.asl20;
maintainers = with lib.maintainers; [ anton-dessiatov ];
diff --git a/pkgs/applications/networking/cluster/timoni/default.nix b/pkgs/applications/networking/cluster/timoni/default.nix
index 4ae26898e51c..a756212d3d67 100644
--- a/pkgs/applications/networking/cluster/timoni/default.nix
+++ b/pkgs/applications/networking/cluster/timoni/default.nix
@@ -1,21 +1,21 @@
{ lib
-, buildGoModule
+, buildGo122Module
, fetchFromGitHub
, installShellFiles
}:
-buildGoModule rec {
+buildGo122Module rec {
pname = "timoni";
- version = "0.17.0";
+ version = "0.20.0";
src = fetchFromGitHub {
owner = "stefanprodan";
repo = "timoni";
rev = "v${version}";
- hash = "sha256-KhDig31BGMmKVrLVFcTXNKjsyAUTb6KEX5PJV2rkMgM=";
+ hash = "sha256-zQawfzwQNQvtta7lIOtePGI67Y4iXzEBGqd5YiOKAVY=";
};
- vendorHash = "sha256-3RbWHLQLLh/omGttY2wWv2nsuuTE8ALAqgQaiJY/EjI=";
+ vendorHash = "sha256-xQgSABaWY5FWHh2kcBB36fm3povFNpU18PjD4J6M4QM=";
subPackages = [ "cmd/timoni" ];
nativeBuildInputs = [ installShellFiles ];
@@ -42,6 +42,7 @@ buildGoModule rec {
homepage = "https://timoni.sh";
changelog = "https://github.com/stefanprodan/timoni/releases/tag/${src.rev}";
description = "A package manager for Kubernetes, powered by CUE and inspired by Helm";
+ mainProgram = "timoni";
license = licenses.asl20;
maintainers = with maintainers; [ votava ];
};
diff --git a/pkgs/applications/networking/cluster/tubekit/default.nix b/pkgs/applications/networking/cluster/tubekit/default.nix
index afcef293c1d8..1e4895118be1 100644
--- a/pkgs/applications/networking/cluster/tubekit/default.nix
+++ b/pkgs/applications/networking/cluster/tubekit/default.nix
@@ -18,6 +18,7 @@ buildGoModule rec {
meta = with lib; {
description = "Kubectl alternative with quick context switching";
+ mainProgram = "tubectl";
homepage = "https://github.com/reconquest/tubekit";
license = licenses.mit;
platforms = platforms.linux ++ platforms.darwin;
diff --git a/pkgs/applications/networking/cluster/vcluster/default.nix b/pkgs/applications/networking/cluster/vcluster/default.nix
index ab44da15a8d0..540e203fea74 100644
--- a/pkgs/applications/networking/cluster/vcluster/default.nix
+++ b/pkgs/applications/networking/cluster/vcluster/default.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "vcluster";
- version = "0.18.1";
+ version = "0.19.4";
src = fetchFromGitHub {
owner = "loft-sh";
- repo = pname;
+ repo = "vcluster";
rev = "v${version}";
- hash = "sha256-TJjMB7x8MOlr3GexsnOZBFPJovVkf4ByRn1aGprvZFQ=";
+ hash = "sha256-fzHaB+EeS8Gr1EVlxAZzKDYgv3Jij4LwmYaXN4tjYBg=";
};
vendorHash = null;
@@ -45,11 +45,13 @@ buildGoModule rec {
command = "vcluster --version";
};
- meta = with lib; {
+ meta = {
+ changelog = "https://github.com/loft-sh/vcluster/releases/tag/v${version}";
description = "Create fully functional virtual Kubernetes clusters";
+ mainProgram = "vcluster";
downloadPage = "https://github.com/loft-sh/vcluster";
homepage = "https://www.vcluster.com/";
- license = licenses.asl20;
- maintainers = with maintainers; [ peterromfeldhk berryp qjoly ];
+ license = lib.licenses.asl20;
+ maintainers = with lib.maintainers; [ berryp peterromfeldhk qjoly superherointj ];
};
}
diff --git a/pkgs/applications/networking/cluster/velero/default.nix b/pkgs/applications/networking/cluster/velero/default.nix
index 7700ab1e6ab6..4cb8bc0ad40d 100644
--- a/pkgs/applications/networking/cluster/velero/default.nix
+++ b/pkgs/applications/networking/cluster/velero/default.nix
@@ -2,14 +2,14 @@
buildGoModule rec {
pname = "velero";
- version = "1.13.0";
+ version = "1.13.1";
src = fetchFromGitHub {
owner = "vmware-tanzu";
repo = "velero";
rev = "v${version}";
- sha256 = "sha256-R9iZpib8hoU9EC6B6Kaj2dWDOkb5qFw1UzsxMBClCso=";
+ sha256 = "sha256-Fz3FqNj2NbqU9CvtdjM8cjkZh5xLCA+AAIF/QgLJ7UA=";
};
ldflags = [
diff --git a/pkgs/applications/networking/cluster/waagent/default.nix b/pkgs/applications/networking/cluster/waagent/default.nix
index 5c980133ff6c..65b6d780ffb2 100644
--- a/pkgs/applications/networking/cluster/waagent/default.nix
+++ b/pkgs/applications/networking/cluster/waagent/default.nix
@@ -65,6 +65,7 @@ python.pkgs.buildPythonApplication rec {
meta = {
description = "The Microsoft Azure Linux Agent (waagent)";
+ mainProgram = "waagent";
longDescription = ''
The Microsoft Azure Linux Agent (waagent)
manages Linux provisioning and VM interaction with the Azure
diff --git a/pkgs/applications/networking/cluster/waypoint/default.nix b/pkgs/applications/networking/cluster/waypoint/default.nix
index 880095c72098..7e16417fe2b9 100644
--- a/pkgs/applications/networking/cluster/waypoint/default.nix
+++ b/pkgs/applications/networking/cluster/waypoint/default.nix
@@ -70,6 +70,7 @@ buildGoModule rec {
homepage = "https://waypointproject.io";
changelog = "https://github.com/hashicorp/waypoint/blob/v${version}/CHANGELOG.md";
description = "A tool to build, deploy, and release any application on any platform";
+ mainProgram = "waypoint";
longDescription = ''
Waypoint allows developers to define their application build, deploy, and
release lifecycle as code, reducing the time to deliver deployments
diff --git a/pkgs/applications/networking/cluster/werf/default.nix b/pkgs/applications/networking/cluster/werf/default.nix
index d08fb76b68fa..27e527b97066 100644
--- a/pkgs/applications/networking/cluster/werf/default.nix
+++ b/pkgs/applications/networking/cluster/werf/default.nix
@@ -10,16 +10,16 @@
buildGoModule rec {
pname = "werf";
- version = "1.2.289";
+ version = "1.2.297";
src = fetchFromGitHub {
owner = "werf";
repo = "werf";
rev = "v${version}";
- hash = "sha256-0BinJVTjD8iDlhK50qVODQIKj1KDwhgOMg3HHstYHeg=";
+ hash = "sha256-AFuEpMSsfwjqoiLCiSyXecIe/UA72BEHs+kUaUtZU2U=";
};
- vendorHash = "sha256-YHLa0hTXCswkvVxyNp5ezqyEu18Jfnl9n7JMdqMeBSA=";
+ vendorHash = "sha256-mOHrNXaLnTt0WRVJI8GD48pxLvbSa6oWoxa4YFaIA6Y=";
proxyVendor = true;
@@ -83,6 +83,7 @@ buildGoModule rec {
meta = with lib; {
description = "GitOps delivery tool";
+ mainProgram = "werf";
longDescription = ''
The CLI tool gluing Git, Docker, Helm & Kubernetes with any CI system to
implement CI/CD and Giterminism.
diff --git a/pkgs/applications/networking/cluster/yor/default.nix b/pkgs/applications/networking/cluster/yor/default.nix
index ce83ef028706..8008de2d977f 100644
--- a/pkgs/applications/networking/cluster/yor/default.nix
+++ b/pkgs/applications/networking/cluster/yor/default.nix
@@ -5,16 +5,16 @@
buildGoModule rec {
pname = "yor";
- version = "0.1.189";
+ version = "0.1.191";
src = fetchFromGitHub {
owner = "bridgecrewio";
repo = pname;
rev = version;
- hash = "sha256-9xZVim5fMKDaeATSpgEGV5ukLv+aw7A99825iBIvvb0=";
+ hash = "sha256-gqtvaAt2iIkKXHO7X2hiTqAdao7t6fZhl11089D2wdM=";
};
- vendorHash = "sha256-RNtWPAAOCGs0aCR0PWnOP4GxaXTXA3uLI3aGLuMNGYI=";
+ vendorHash = "sha256-uT/jGD4hDVes4h+mlSIT2p+C9TjxnUWsmKv9haPjjLc=";
doCheck = false;
diff --git a/pkgs/applications/networking/cluster/zarf/default.nix b/pkgs/applications/networking/cluster/zarf/default.nix
index 2bef721e6df2..a19cfa5bee58 100644
--- a/pkgs/applications/networking/cluster/zarf/default.nix
+++ b/pkgs/applications/networking/cluster/zarf/default.nix
@@ -5,16 +5,16 @@
buildGoModule rec {
pname = "zarf";
- version = "0.32.2";
+ version = "0.32.5";
src = fetchFromGitHub {
owner = "defenseunicorns";
repo = "zarf";
rev = "v${version}";
- hash = "sha256-LQe/M7uX6VKA7q040wFWKYQ96M1Ynp37uglENqvyAaU=";
+ hash = "sha256-uItOFBvxre7GHgASfTILkFkGddzISNciIpyQhsnyQGY=";
};
- vendorHash = "sha256-HAIupM30qmOqol661iFm2lNjukoKBvYY1tPTnc0u3lg=";
+ vendorHash = "sha256-ZwcyUteDgR9mNVE3UVqHwHzE0bkxE3voxk3b3Ie4Els=";
proxyVendor = true;
preBuild = ''
@@ -28,6 +28,7 @@ buildGoModule rec {
meta = with lib; {
description = "DevSecOps for Air Gap & Limited-Connection Systems. https://zarf.dev";
+ mainProgram = "zarf";
homepage = "https://github.com/defenseunicorns/zarf.git";
license = licenses.asl20;
maintainers = with maintainers; [ ragingpastry ];
diff --git a/pkgs/applications/networking/compactor/default.nix b/pkgs/applications/networking/compactor/default.nix
index 84c0f6ae8ba5..12e6f432c5bd 100644
--- a/pkgs/applications/networking/compactor/default.nix
+++ b/pkgs/applications/networking/compactor/default.nix
@@ -10,7 +10,7 @@ stdenv.mkDerivation rec {
src = fetchFromGitHub {
owner = "dns-stats";
- repo = pname;
+ repo = "compactor";
rev = version;
fetchSubmodules = true;
hash = "sha256-5Z14suhO5ghhmZsSj4DsSoKm+ct2gQFO6qxhjmx4Xm4=";
@@ -68,7 +68,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Tools to capture DNS traffic and record it in C-DNS files";
homepage = "https://dns-stats.org/";
- changelog = "https://github.com/dns-stats/${pname}/raw/${version}/ChangeLog.txt";
+ changelog = "https://github.com/dns-stats/compactor/raw/${version}/ChangeLog.txt";
license = licenses.mpl20;
maintainers = with maintainers; [ fdns ];
platforms = platforms.unix;
diff --git a/pkgs/applications/networking/coreth/default.nix b/pkgs/applications/networking/coreth/default.nix
index 8fb639dc097b..69b4a0dcc16c 100644
--- a/pkgs/applications/networking/coreth/default.nix
+++ b/pkgs/applications/networking/coreth/default.nix
@@ -6,19 +6,19 @@
buildGoModule rec {
pname = "coreth";
- version = "0.12.10";
+ version = "0.13.1";
src = fetchFromGitHub {
owner = "ava-labs";
repo = pname;
rev = "v${version}";
- hash = "sha256-0Wx1dr/jH9OOjxJ4PPmdWIru+QVpsGvVV/VxLY+M+E4=";
+ hash = "sha256-Fdc8U5dN31mfeucmYdi3R+EM5wPvm/i3O1ib3Y30Qng=";
};
# go mod vendor has a bug, see: golang/go#57529
proxyVendor = true;
- vendorHash = "sha256-kPeUe0kr1LmtGuscRC3AhKb6Cn4TFFxm1gZ6W6nPA28=";
+ vendorHash = "sha256-oJ/oz3PtkzEwZw93eoZV2hoD1uOWg2qdxgsvM+nX7mk=";
ldflags = [
"-s"
diff --git a/pkgs/applications/networking/datovka/default.nix b/pkgs/applications/networking/datovka/default.nix
index 488f5094b79b..bfffc97df6e6 100644
--- a/pkgs/applications/networking/datovka/default.nix
+++ b/pkgs/applications/networking/datovka/default.nix
@@ -12,11 +12,11 @@
mkDerivation rec {
pname = "datovka";
- version = "4.23.4";
+ version = "4.23.6";
src = fetchurl {
url = "https://gitlab.nic.cz/datovka/datovka/-/archive/v${version}/datovka-v${version}.tar.gz";
- sha256 = "sha256-xyRUm6DaxlIFmeskQuUMu6JV3QtzgOZf/pLiBNGUBRo=";
+ sha256 = "sha256-g6IMUAE8z5uoLSUpoT+GradQRgwyIXNANt7g4JPOCxg=";
};
buildInputs = [ libdatovka qmake qtbase qtsvg libxml2 qtwebsockets ];
diff --git a/pkgs/applications/networking/deck/default.nix b/pkgs/applications/networking/deck/default.nix
index 2098f34180ec..e6cccf088e46 100644
--- a/pkgs/applications/networking/deck/default.nix
+++ b/pkgs/applications/networking/deck/default.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "deck";
- version = "1.32.1";
+ version = "1.36.0";
src = fetchFromGitHub {
owner = "Kong";
repo = "deck";
rev = "v${version}";
- hash = "sha256-7lE/Wnrlv3L6V1ex+357q6XXpdx0810m1rKkqITowXY=";
+ hash = "sha256-bbHJilMh7qnGvYuid8/PmIg5m42jddqOOuMd7mzQmCo=";
};
nativeBuildInputs = [ installShellFiles ];
@@ -21,7 +21,7 @@ buildGoModule rec {
];
proxyVendor = true; # darwin/linux hash mismatch
- vendorHash = "sha256-D260T3E0aufOAqlN918SChv3aNDCFHfe2e0It1pcPiU=";
+ vendorHash = "sha256-Er9m8G020SKEN8jMIhEYiKvF27YY4dZvG0noYaH3bPU=";
postInstall = ''
installShellCompletion --cmd deck \
diff --git a/pkgs/applications/networking/discordo/default.nix b/pkgs/applications/networking/discordo/default.nix
index bb426cbc777c..a9540226d002 100644
--- a/pkgs/applications/networking/discordo/default.nix
+++ b/pkgs/applications/networking/discordo/default.nix
@@ -3,16 +3,16 @@
buildGoModule rec {
pname = "discordo";
- version = "unstable-2024-01-25";
+ version = "unstable-2024-03-12";
src = fetchFromGitHub {
owner = "ayn2op";
repo = pname;
- rev = "301b7c7a792b427595803679e37fe99007de9451";
- hash = "sha256-ufAlwlH++g9L3aaA5soJ6r2oiJZi8Ny/6P530oV+BiY=";
+ rev = "5805f6605efe63fc887e850bcc5d107070eb2c1a";
+ hash = "sha256-IzVDxylrR0X8HLWTelSBq2+uu2h2Jd6iaNUXh9zQ9Yk=";
};
- vendorHash = "sha256-fy3FI1K57hLAgbw3WfmVNZT9ywCSXwRKSq+ATjG+Qpo=";
+ vendorHash = "sha256-6pCQHr/O2pfR1v8YI+htwGZ8RFStEEUctIEpgblXvjY=";
CGO_ENABLED = 0;
diff --git a/pkgs/applications/networking/diswall/default.nix b/pkgs/applications/networking/diswall/default.nix
index 960598302d85..703b6c7456fa 100644
--- a/pkgs/applications/networking/diswall/default.nix
+++ b/pkgs/applications/networking/diswall/default.nix
@@ -5,20 +5,20 @@ let
in
rustPlatform.buildRustPackage rec {
pname = "diswall";
- version = "0.5.0";
+ version = "0.5.1";
src = fetchFromGitHub {
owner = "dis-works";
repo = "diswall-rs";
rev = "v${version}";
- sha256 = "sha256-i3R1w2SBBa5hGorvyjEfkuZVN3bE7aHcpoIrtSuS4dA=";
+ sha256 = "sha256-6XMw8fnuM1KyInYCw8DTonsj5gV9d+EuYfO5ggZ3YUU=";
};
buildInputs = lib.optionals stdenv.isDarwin [
Security
];
- cargoHash = "sha256-aJDhLwzOgOVpH/JIrv1aczv5lvJrUlR6Oxj71XeYpSI=";
+ cargoHash = "sha256-So7XBC66y2SKbcjErg4Tnd/NcEpX5zYOEr60RvU9OOU=";
doCheck = false;
diff --git a/pkgs/applications/networking/dnscontrol/default.nix b/pkgs/applications/networking/dnscontrol/default.nix
index ae4fc0a4e3b7..fc2c33e292cf 100644
--- a/pkgs/applications/networking/dnscontrol/default.nix
+++ b/pkgs/applications/networking/dnscontrol/default.nix
@@ -1,4 +1,4 @@
-{ lib, buildGoModule, fetchFromGitHub }:
+{ lib, buildGoModule, fetchFromGitHub, testers, dnscontrol }:
buildGoModule rec {
pname = "dnscontrol";
@@ -15,13 +15,20 @@ buildGoModule rec {
subPackages = [ "." ];
- ldflags = [ "-s" "-w" "-X=main.Version=${version}" ];
+ ldflags = [ "-s" "-w" "-X=main.version=${version}" ];
preCheck = ''
# requires network
rm pkg/spflib/flatten_test.go pkg/spflib/parse_test.go
'';
+ passthru.tests = {
+ version = testers.testVersion {
+ command = "${lib.getExe dnscontrol} version";
+ package = dnscontrol;
+ };
+ };
+
meta = with lib; {
description = "Synchronize your DNS to multiple providers from a simple DSL";
homepage = "https://dnscontrol.org/";
diff --git a/pkgs/applications/networking/dyndns/cfdyndns/default.nix b/pkgs/applications/networking/dyndns/cfdyndns/default.nix
index 3d348d347172..aba0262e3258 100644
--- a/pkgs/applications/networking/dyndns/cfdyndns/default.nix
+++ b/pkgs/applications/networking/dyndns/cfdyndns/default.nix
@@ -19,6 +19,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "CloudFlare Dynamic DNS Client";
+ mainProgram = "cfdyndns";
homepage = "https://github.com/nrdxp/cfdyndns";
license = lib.licenses.mit;
maintainers = with maintainers; [ colemickens nrdxp ];
diff --git a/pkgs/applications/networking/dyndns/dyndnsc/default.nix b/pkgs/applications/networking/dyndns/dyndnsc/default.nix
index 776bfd501b00..6a9a57d67c3b 100644
--- a/pkgs/applications/networking/dyndns/dyndnsc/default.nix
+++ b/pkgs/applications/networking/dyndns/dyndnsc/default.nix
@@ -42,6 +42,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "Dynamic DNS update client with support for multiple protocols";
+ mainProgram = "dyndnsc";
longDescription = ''
Dyndnsc is a command line client for sending updates to Dynamic
DNS (DDNS, DynDNS) services. It supports multiple protocols and
diff --git a/pkgs/applications/networking/feedreaders/canto-curses/default.nix b/pkgs/applications/networking/feedreaders/canto-curses/default.nix
index 729d12c1e5b9..d0a1e2cbce15 100644
--- a/pkgs/applications/networking/feedreaders/canto-curses/default.nix
+++ b/pkgs/applications/networking/feedreaders/canto-curses/default.nix
@@ -16,6 +16,7 @@ python3Packages.buildPythonApplication rec {
meta = {
description = "An ncurses-based console Atom/RSS feed reader";
+ mainProgram = "canto-curses";
longDescription = ''
Canto is an Atom/RSS feed reader for the console that is meant to be
quick, concise, and colorful. It's meant to allow you to crank through
diff --git a/pkgs/applications/networking/feedreaders/castget/default.nix b/pkgs/applications/networking/feedreaders/castget/default.nix
index 86bb8f3042ec..bf304389bcae 100644
--- a/pkgs/applications/networking/feedreaders/castget/default.nix
+++ b/pkgs/applications/networking/feedreaders/castget/default.nix
@@ -42,6 +42,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "A simple, command-line based RSS enclosure downloader";
+ mainProgram = "castget";
longDescription = ''
castget is a simple, command-line based RSS enclosure downloader. It is
primarily intended for automatic, unattended downloading of podcasts.
diff --git a/pkgs/applications/networking/feedreaders/feed2imap-go/default.nix b/pkgs/applications/networking/feedreaders/feed2imap-go/default.nix
index b0bce2b71c5e..806e4fc147e0 100644
--- a/pkgs/applications/networking/feedreaders/feed2imap-go/default.nix
+++ b/pkgs/applications/networking/feedreaders/feed2imap-go/default.nix
@@ -26,6 +26,7 @@ buildGoModule rec {
meta = with lib; {
description = "Uploads rss feeds as e-mails onto an IMAP server";
+ mainProgram = "feed2imap-go";
homepage = "https://github.com/Necoro/feed2imap-go";
license = licenses.gpl2;
maintainers = with maintainers; [ nomeata ];
diff --git a/pkgs/applications/networking/feedreaders/fluent-reader/default.nix b/pkgs/applications/networking/feedreaders/fluent-reader/default.nix
index dd351ce1a27f..362771511cf5 100644
--- a/pkgs/applications/networking/feedreaders/fluent-reader/default.nix
+++ b/pkgs/applications/networking/feedreaders/fluent-reader/default.nix
@@ -27,6 +27,7 @@ in appimageTools.wrapType2 {
meta = with lib; {
description = "Modern desktop RSS reader built with Electron, React, and Fluent UI";
+ mainProgram = "fluent-reader";
homepage = "https://hyliu.me/fluent-reader";
license = licenses.bsd3;
platforms = [ "x86_64-linux" ];
diff --git a/pkgs/applications/networking/feedreaders/gnome-feeds/default.nix b/pkgs/applications/networking/feedreaders/gnome-feeds/default.nix
index 7563e8c22d78..902b6a7b35cb 100644
--- a/pkgs/applications/networking/feedreaders/gnome-feeds/default.nix
+++ b/pkgs/applications/networking/feedreaders/gnome-feeds/default.nix
@@ -78,6 +78,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "An RSS/Atom feed reader for GNOME";
+ mainProgram = "gfeeds";
homepage = "https://gitlab.gnome.org/World/gfeeds";
license = licenses.gpl3Plus;
maintainers = [
diff --git a/pkgs/applications/networking/feedreaders/goeland/default.nix b/pkgs/applications/networking/feedreaders/goeland/default.nix
index 30bce6a15ff4..08bdff3bbcfa 100644
--- a/pkgs/applications/networking/feedreaders/goeland/default.nix
+++ b/pkgs/applications/networking/feedreaders/goeland/default.nix
@@ -24,6 +24,7 @@ buildGoModule rec {
meta = with lib; {
description = "An alternative to rss2email written in golang with many filters";
+ mainProgram = "goeland";
longDescription = ''
Goeland excels at creating beautiful emails from RSS feeds,
tailored for daily or weekly digest. It includes a number of
diff --git a/pkgs/applications/networking/feedreaders/newsflash/Cargo.lock b/pkgs/applications/networking/feedreaders/newsflash/Cargo.lock
index 3afc84905fb5..5ae116115e22 100644
--- a/pkgs/applications/networking/feedreaders/newsflash/Cargo.lock
+++ b/pkgs/applications/networking/feedreaders/newsflash/Cargo.lock
@@ -31,9 +31,9 @@ dependencies = [
[[package]]
name = "aho-corasick"
-version = "1.1.1"
+version = "1.1.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ea5d730647d4fadd988536d06fecce94b7b4f2a7efdae548f1cf4b63205518ab"
+checksum = "b2969dcb958b36655471fc61f7e416fa76033bdd4bfed0678d8fee1e2d07a1f0"
dependencies = [
"memchr",
]
@@ -81,72 +81,24 @@ dependencies = [
"libc",
]
-[[package]]
-name = "anstream"
-version = "0.5.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b1f58811cfac344940f1a400b6e6231ce35171f614f26439e80f8c1465c5cc0c"
-dependencies = [
- "anstyle",
- "anstyle-parse",
- "anstyle-query",
- "anstyle-wincon",
- "colorchoice",
- "utf8parse",
-]
-
-[[package]]
-name = "anstyle"
-version = "1.0.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b84bf0a05bbb2a83e5eb6fa36bb6e87baa08193c35ff52bbf6b38d8af2890e46"
-
-[[package]]
-name = "anstyle-parse"
-version = "0.2.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "938874ff5980b03a87c5524b3ae5b59cf99b1d6bc836848df7bc5ada9643c333"
-dependencies = [
- "utf8parse",
-]
-
-[[package]]
-name = "anstyle-query"
-version = "1.0.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5ca11d4be1bab0c8bc8734a9aa7bf4ee8316d462a08c6ac5052f888fef5b494b"
-dependencies = [
- "windows-sys",
-]
-
-[[package]]
-name = "anstyle-wincon"
-version = "2.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "58f54d10c6dfa51283a066ceab3ec1ab78d13fae00aa49243a45e4571fb79dfd"
-dependencies = [
- "anstyle",
- "windows-sys",
-]
-
[[package]]
name = "anyhow"
-version = "1.0.75"
+version = "1.0.80"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a4668cab20f66d8d020e1fbc0ebe47217433c1b6c8f2040faf858554e394ace6"
+checksum = "5ad32ce52e4161730f7098c077cd2ed6229b5804ccf99e5366be1ab72a98b4e1"
[[package]]
name = "arc-swap"
-version = "1.6.0"
+version = "1.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bddcadddf5e9015d310179a59bb28c4d4b9920ad0f11e8e14dbadf654890c9a6"
+checksum = "7b3d0060af21e8d11a926981cc00c6c1541aa91dd64b9f881985c3da1094425f"
[[package]]
name = "article_scraper"
version = "2.0.0"
-source = "git+https://gitlab.com/news-flash/article_scraper.git#f9812b556c9cf05de13d936ea73f03c95de79bbc"
+source = "git+https://gitlab.com/news-flash/article_scraper.git#0dcebe8b49b8d867810d0f7ff155e502f637bb96"
dependencies = [
- "base64 0.21.4",
+ "base64 0.21.7",
"chrono",
"encoding_rs",
"escaper",
@@ -157,7 +109,7 @@ dependencies = [
"once_cell",
"regex",
"reqwest",
- "rust-embed 6.8.1",
+ "rust-embed",
"thiserror",
"tokio",
"url",
@@ -165,16 +117,16 @@ dependencies = [
[[package]]
name = "ashpd"
-version = "0.6.2"
+version = "0.8.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f3affe251686bd936a0afb74b9693e8bf2f193d51da1b9a45d3f1303a9bd2cc7"
+checksum = "1b22517ee647547c01a687cf9b76074e1c91334032a4324f7243c6ee0f949390"
dependencies = [
- "async-std",
+ "async-fs",
+ "async-net",
"enumflags2",
"futures-channel",
"futures-util",
- "once_cell",
- "rand 0.8.5",
+ "rand",
"serde",
"serde_repr",
"url",
@@ -183,30 +135,34 @@ dependencies = [
[[package]]
name = "async-broadcast"
-version = "0.5.1"
+version = "0.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7c48ccdbf6ca6b121e0f586cbc0e73ae440e56c67c30fa0873b4e110d9c26d2b"
+checksum = "258b52a1aa741b9f09783b2d86cf0aeeb617bbf847f6933340a39644227acbdb"
dependencies = [
- "event-listener 2.5.3",
+ "event-listener 5.2.0",
+ "event-listener-strategy 0.5.0",
"futures-core",
+ "pin-project-lite",
]
[[package]]
name = "async-channel"
-version = "1.9.0"
+version = "2.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "81953c529336010edd6d8e358f886d9581267795c61b19475b71314bffa46d35"
+checksum = "f28243a43d821d11341ab73c80bed182dc015c514b951616cf79bd4af39af0c3"
dependencies = [
"concurrent-queue",
- "event-listener 2.5.3",
+ "event-listener 5.2.0",
+ "event-listener-strategy 0.5.0",
"futures-core",
+ "pin-project-lite",
]
[[package]]
name = "async-compression"
-version = "0.4.3"
+version = "0.4.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bb42b2197bf15ccb092b62c74515dbd8b86d0effd934795f6687c93b6e679a2c"
+checksum = "a116f46a969224200a0a97f29cfd4c50e7534e4b4826bd23ea2c3c533039c82c"
dependencies = [
"brotli",
"flate2",
@@ -218,63 +174,46 @@ dependencies = [
[[package]]
name = "async-executor"
-version = "1.5.3"
+version = "1.8.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "78f2db9467baa66a700abce2a18c5ad793f6f83310aca1284796fc3921d113fd"
+checksum = "17ae5ebefcc48e7452b4987947920dac9450be1110cadf34d1b8c116bdbaf97c"
dependencies = [
- "async-lock",
+ "async-lock 3.3.0",
"async-task",
"concurrent-queue",
- "fastrand 2.0.1",
+ "fastrand",
"futures-lite",
"slab",
]
[[package]]
name = "async-fs"
-version = "1.6.0"
+version = "2.1.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "279cf904654eeebfa37ac9bb1598880884924aab82e290aa65c9e77a0e142e06"
+checksum = "bc19683171f287921f2405677dd2ed2549c3b3bda697a563ebc3a121ace2aba1"
dependencies = [
- "async-lock",
- "autocfg",
+ "async-lock 3.3.0",
"blocking",
"futures-lite",
]
-[[package]]
-name = "async-global-executor"
-version = "2.3.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f1b6f5d7df27bd294849f8eec66ecfc63d11814df7a4f5d74168a2394467b776"
-dependencies = [
- "async-channel",
- "async-executor",
- "async-io",
- "async-lock",
- "blocking",
- "futures-lite",
- "once_cell",
-]
-
[[package]]
name = "async-io"
-version = "1.13.0"
+version = "2.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0fc5b45d93ef0529756f812ca52e44c221b35341892d3dcc34132ac02f3dd2af"
+checksum = "8f97ab0c5b00a7cdbe5a371b9a782ee7be1316095885c8a4ea1daf490eb0ef65"
dependencies = [
- "async-lock",
- "autocfg",
+ "async-lock 3.3.0",
"cfg-if",
"concurrent-queue",
+ "futures-io",
"futures-lite",
- "log",
"parking",
"polling",
- "rustix 0.37.23",
+ "rustix",
"slab",
- "socket2 0.4.9",
- "waker-fn",
+ "tracing",
+ "windows-sys 0.52.0",
]
[[package]]
@@ -287,20 +226,43 @@ dependencies = [
]
[[package]]
-name = "async-process"
-version = "1.8.0"
+name = "async-lock"
+version = "3.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bf012553ce51eb7aa6dc2143804cc8252bd1cb681a1c5cb7fa94ca88682dee1d"
+checksum = "d034b430882f8381900d3fe6f0aaa3ad94f2cb4ac519b429692a1bc2dda4ae7b"
+dependencies = [
+ "event-listener 4.0.3",
+ "event-listener-strategy 0.4.0",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "async-net"
+version = "2.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b948000fad4873c1c9339d60f2623323a0cfd3816e5181033c6a5cb68b2accf7"
dependencies = [
"async-io",
- "async-lock",
+ "blocking",
+ "futures-lite",
+]
+
+[[package]]
+name = "async-process"
+version = "2.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "451e3cf68011bd56771c79db04a9e333095ab6349f7e47592b788e9b98720cc8"
+dependencies = [
+ "async-channel",
+ "async-io",
+ "async-lock 3.3.0",
"async-signal",
"blocking",
"cfg-if",
- "event-listener 3.0.0",
+ "event-listener 5.2.0",
"futures-lite",
- "rustix 0.38.14",
- "windows-sys",
+ "rustix",
+ "windows-sys 0.52.0",
]
[[package]]
@@ -311,69 +273,42 @@ checksum = "5fd55a5ba1179988837d24ab4c7cc8ed6efdeff578ede0416b4225a5fca35bd0"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.37",
+ "syn 2.0.52",
]
[[package]]
name = "async-signal"
-version = "0.2.1"
+version = "0.2.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4af361a844928cb7d36590d406709473a1b574f443094422ef166daa3b493208"
+checksum = "9e47d90f65a225c4527103a8d747001fc56e375203592b25ad103e1ca13124c5"
dependencies = [
"async-io",
- "async-lock",
+ "async-lock 2.8.0",
"atomic-waker",
"cfg-if",
- "concurrent-queue",
"futures-core",
"futures-io",
- "libc",
+ "rustix",
"signal-hook-registry",
"slab",
- "windows-sys",
-]
-
-[[package]]
-name = "async-std"
-version = "1.12.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "62565bb4402e926b29953c785397c6dc0391b7b446e45008b0049eb43cec6f5d"
-dependencies = [
- "async-channel",
- "async-global-executor",
- "async-io",
- "async-lock",
- "crossbeam-utils",
- "futures-channel",
- "futures-core",
- "futures-io",
- "futures-lite",
- "gloo-timers",
- "kv-log-macro",
- "log",
- "memchr",
- "once_cell",
- "pin-project-lite",
- "pin-utils",
- "slab",
- "wasm-bindgen-futures",
+ "windows-sys 0.48.0",
]
[[package]]
name = "async-task"
-version = "4.4.1"
+version = "4.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b9441c6b2fe128a7c2bf680a44c34d0df31ce09e5b7e401fcca3faa483dbc921"
+checksum = "fbb36e985947064623dbd357f727af08ffd077f93d696782f3c56365fa2e2799"
[[package]]
name = "async-trait"
-version = "0.1.73"
+version = "0.1.77"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bc00ceb34980c03614e35a3a4e218276a0a824e911d07651cd0d858a51e8c0f0"
+checksum = "c980ee35e870bd1a4d2c8294d4c04d0499e67bca1e4b5cefcc693c2fa00caea9"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.37",
+ "syn 2.0.52",
]
[[package]]
@@ -405,21 +340,21 @@ dependencies = [
[[package]]
name = "base64"
-version = "0.13.1"
+version = "0.21.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9e1b586273c5702936fe7b7d6896644d8be71e6314cfe09d3167c95f712589e8"
+checksum = "9d297deb1925b89f2ccc13d7635fa0714f12c87adce1c75356b39ca9b7178567"
[[package]]
name = "base64"
-version = "0.21.4"
+version = "0.22.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9ba43ea6f343b788c8764558649e08df62f86c6ef251fdaeb1ffd010a9ae50a2"
+checksum = "9475866fec1451be56a3c2400fd081ff546538961565ccb5b7142cbd22bc7a51"
[[package]]
name = "bigdecimal"
-version = "0.4.1"
+version = "0.4.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "454bca3db10617b88b566f205ed190aedb0e0e6dd4cad61d3988a72e8c5594cb"
+checksum = "9324c8014cd04590682b34f1e9448d38f0674d0f7b2dc553331016ef0e4e9ebc"
dependencies = [
"autocfg",
"libm",
@@ -442,9 +377,9 @@ checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a"
[[package]]
name = "bitflags"
-version = "2.4.0"
+version = "2.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b4682ae6287fcf752ecaabbfcc7b6f9b72aa33933dc23a554d853aea8eea8635"
+checksum = "ed570934406eb16438a4e976b1b4500774099c13b8cb96eec99f620f05090ddf"
[[package]]
name = "block"
@@ -489,14 +424,14 @@ checksum = "8d696c370c750c948ada61c69a0ee2cbbb9c50b1019ddb86d9317157a99c2cae"
[[package]]
name = "blocking"
-version = "1.4.0"
+version = "1.5.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "94c4ef1f913d78636d78d538eec1f18de81e481f44b1be0a81060090530846e1"
+checksum = "6a37913e8dc4ddcc604f0c6d3bf2887c995153af3611de9e23c352b44c1b9118"
dependencies = [
"async-channel",
- "async-lock",
+ "async-lock 3.3.0",
"async-task",
- "fastrand 2.0.1",
+ "fastrand",
"futures-io",
"futures-lite",
"piper",
@@ -505,9 +440,9 @@ dependencies = [
[[package]]
name = "brotli"
-version = "3.3.4"
+version = "3.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a1a0b1dbcc8ae29329621f8d4f0d835787c1c38bb1401979b49d13b0b305ff68"
+checksum = "516074a47ef4bce09577a3b379392300159ce5b1ba2e501ff1c819950066100f"
dependencies = [
"alloc-no-stdlib",
"alloc-stdlib",
@@ -516,9 +451,9 @@ dependencies = [
[[package]]
name = "brotli-decompressor"
-version = "2.3.4"
+version = "2.5.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4b6561fd3f895a11e8f72af2cb7d22e08366bebc2b6b57f7744c4bda27034744"
+checksum = "4e2e4afe60d7dd600fdd3de8d0f08c2b7ec039712e3b6137ff98b7004e82de4f"
dependencies = [
"alloc-no-stdlib",
"alloc-stdlib",
@@ -526,27 +461,27 @@ dependencies = [
[[package]]
name = "bumpalo"
-version = "3.14.0"
+version = "3.15.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7f30e7476521f6f8af1a1c4c0b8cc94f0bee37d91763d0ca2665f299b6cd8aec"
+checksum = "8ea184aa71bb362a1157c896979544cc23974e08fd265f29ea96b59f0b4a555b"
[[package]]
name = "bytecount"
-version = "0.6.3"
+version = "0.6.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2c676a478f63e9fa2dd5368a42f28bba0d6c560b775f38583c8bbaa7fcd67c9c"
+checksum = "e1e5f035d16fc623ae5f74981db80a439803888314e3a555fd6f04acd51a3205"
[[package]]
name = "bytemuck"
-version = "1.14.0"
+version = "1.14.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "374d28ec25809ee0e23827c2ab573d729e293f281dfe393500e7ad618baa61c6"
+checksum = "a2ef034f05691a48569bd920a96c81b9d91bbad1ab5ac7c4616c1f6ef36cb79f"
[[package]]
name = "byteorder"
-version = "1.4.3"
+version = "1.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "14c189c53d098945499cdfa7ecc63567cf3886b3332b312a5b4585d8d3a6a610"
+checksum = "1fd0f2584146f6f2ef48085050886acf353beff7305ebd1ae69500e27c67f64b"
[[package]]
name = "bytes"
@@ -562,23 +497,22 @@ checksum = "a3e368af43e418a04d52505cf3dbc23dda4e3407ae2fa99fd0e4f308ce546acc"
[[package]]
name = "cairo-rs"
-version = "0.18.2"
+version = "0.19.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1c0466dfa8c0ee78deef390c274ad756801e0a6dbb86c5ef0924a298c5761c4d"
+checksum = "2650f66005301bd33cc486dec076e1293c4cecf768bc7ba9bf5d2b1be339b99c"
dependencies = [
- "bitflags 2.4.0",
+ "bitflags 2.4.2",
"cairo-sys-rs",
"glib",
"libc",
- "once_cell",
"thiserror",
]
[[package]]
name = "cairo-sys-rs"
-version = "0.18.2"
+version = "0.19.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "685c9fa8e590b8b3d678873528d83411db17242a73fccaed827770ea0fedda51"
+checksum = "fd3bb3119664efbd78b5e6c93957447944f16bdbced84c17a9f41c7829b81e64"
dependencies = [
"glib-sys",
"libc",
@@ -596,9 +530,9 @@ dependencies = [
[[package]]
name = "cargo-platform"
-version = "0.1.3"
+version = "0.1.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2cfa25e60aea747ec7e1124f238816749faa93759c6ff5b31f1ccdda137f4479"
+checksum = "694c8807f2ae16faecc43dc17d74b3eb042482789fd0eb64b39a2e04e087053f"
dependencies = [
"serde",
]
@@ -618,18 +552,15 @@ dependencies = [
[[package]]
name = "cc"
-version = "1.0.83"
+version = "1.0.89"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f1174fb0b6ec23863f8b971027804a42614e347eafb0a95bf0b12cdae21fc4d0"
-dependencies = [
- "libc",
-]
+checksum = "a0ba8f7aaa012f30d5b2861462f6708eccd49c3c39863fe083a308035f63d723"
[[package]]
name = "cfg-expr"
-version = "0.15.5"
+version = "0.15.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "03915af431787e6ffdcc74c645077518c6b6e01f80b761e0fbbfa288536311b3"
+checksum = "fa50868b64a9a6fda9d593ce778849ea8715cd2a3d2cc17ffdb4a2f2f2f1961d"
dependencies = [
"smallvec",
"target-lexicon",
@@ -642,17 +573,23 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd"
[[package]]
-name = "chrono"
-version = "0.4.31"
+name = "cfg_aliases"
+version = "0.1.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7f2c685bad3eb3d45a01354cedb7d5faa66194d1d58ba6e267a8de788f79db38"
+checksum = "fd16c4719339c4530435d38e511904438d07cce7950afa3718a84ac36c10e89e"
+
+[[package]]
+name = "chrono"
+version = "0.4.34"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5bc015644b92d5890fab7489e49d21f879d5c990186827d42ec511919404f38b"
dependencies = [
"android-tzdata",
"iana-time-zone",
"js-sys",
"num-traits",
"wasm-bindgen",
- "windows-targets",
+ "windows-targets 0.52.4",
]
[[package]]
@@ -664,51 +601,11 @@ dependencies = [
"generic-array",
]
-[[package]]
-name = "clap"
-version = "4.4.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "824956d0dca8334758a5b7f7e50518d66ea319330cbceedcf76905c2f6ab30e3"
-dependencies = [
- "clap_builder",
- "clap_derive",
-]
-
-[[package]]
-name = "clap_builder"
-version = "4.4.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "122ec64120a49b4563ccaedcbea7818d069ed8e9aa6d829b82d8a4128936b2ab"
-dependencies = [
- "anstream",
- "anstyle",
- "clap_lex",
- "strsim",
-]
-
-[[package]]
-name = "clap_derive"
-version = "4.4.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0862016ff20d69b84ef8247369fabf5c008a7417002411897d40ee1f4532b873"
-dependencies = [
- "heck",
- "proc-macro2",
- "quote",
- "syn 2.0.37",
-]
-
-[[package]]
-name = "clap_lex"
-version = "0.5.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cd7cc57abe963c6d3b9d8be5b06ba7c8957a930305ca90304f24ef040aa6f961"
-
[[package]]
name = "color-backtrace"
-version = "0.6.0"
+version = "0.6.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b6fcd4d200ae702628e8d54bafff5f7e7397b031a5849656a6f5bfe2c5fb780d"
+checksum = "150fd80a270c0671379f388c8204deb6a746bb4eac8a6c03fe2460b2c0127ea0"
dependencies = [
"backtrace",
"termcolor",
@@ -720,18 +617,13 @@ version = "1.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "3d7b894f5411737b7867f4827955924d7c254fc9f4d91a6aad6b097804b1018b"
-[[package]]
-name = "colorchoice"
-version = "1.0.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "acbf1af155f9b9ef647e42cdc158db4b64a1b61f743629225fde6f3e0be2a7c7"
-
[[package]]
name = "commafeed_api"
-version = "0.1.0"
-source = "git+https://gitlab.com/news-flash/commafeed_api.git#701976f8fccf887416a1306b6d0e0a3bb026573f"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "013b56b25f5e10cae0fac4564fd64aa54766a860b896fc2d582f97616be6e92c"
dependencies = [
- "base64 0.21.4",
+ "base64 0.21.7",
"chrono",
"log",
"reqwest",
@@ -743,18 +635,18 @@ dependencies = [
[[package]]
name = "concurrent-queue"
-version = "2.3.0"
+version = "2.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f057a694a54f12365049b0958a1685bb52d567f5593b355fbf685838e873d400"
+checksum = "d16048cd947b08fa32c24458a22f5dc5e835264f689f4f5653210c69fd107363"
dependencies = [
"crossbeam-utils",
]
[[package]]
name = "cookie"
-version = "0.16.2"
+version = "0.17.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e859cd57d0710d9e06c381b550c06e76992472a8c6d527aecd2fc673dcc231fb"
+checksum = "7efb37c3e1ccb1ff97164ad95ac1606e8ccd35b3fa0a7d99a304c7f4a428cc24"
dependencies = [
"percent-encoding",
"time",
@@ -763,12 +655,12 @@ dependencies = [
[[package]]
name = "cookie_store"
-version = "0.16.2"
+version = "0.20.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d606d0fba62e13cf04db20536c05cb7f13673c161cb47a47a82b9b9e7d3f1daa"
+checksum = "387461abbc748185c3a6e1673d826918b450b87ff22639429c694619a83b6cf6"
dependencies = [
"cookie",
- "idna 0.2.3",
+ "idna 0.3.0",
"log",
"publicsuffix",
"serde",
@@ -780,9 +672,9 @@ dependencies = [
[[package]]
name = "core-foundation"
-version = "0.9.3"
+version = "0.9.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "194a7a9e6de53fa55116934067c844d9d749312f75c6f6d0980e8c252f8c2146"
+checksum = "91e195e091a93c46f7102ec7818a2aa394e1e1771c3ab4825963fa03e45afb8f"
dependencies = [
"core-foundation-sys",
"libc",
@@ -790,79 +682,70 @@ dependencies = [
[[package]]
name = "core-foundation-sys"
-version = "0.8.4"
+version = "0.8.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e496a50fda8aacccc86d7529e2c1e0892dbd0f898a6b5645b5561b89c3210efa"
+checksum = "06ea2b9bc92be3c2baa9334a323ebca2d6f074ff852cd1d7b11064035cd3868f"
[[package]]
name = "cpufeatures"
-version = "0.2.9"
+version = "0.2.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a17b76ff3a4162b0b27f354a0c87015ddad39d35f9c0c36607a3bdd175dde1f1"
+checksum = "53fe5e26ff1b7aef8bca9c6080520cfb8d9333c7568e1829cef191a9723e5504"
dependencies = [
"libc",
]
[[package]]
name = "crc-any"
-version = "2.4.3"
+version = "2.4.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "774646b687f63643eb0f4bf13dc263cb581c8c9e57973b6ddf78bda3994d88df"
+checksum = "c01a5e1f881f6fb6099a7bdf949e946719fd4f1fefa56264890574febf0eb6d0"
dependencies = [
"debug-helper",
]
[[package]]
name = "crc32fast"
-version = "1.3.2"
+version = "1.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b540bd8bc810d3885c6ea91e2018302f68baba2129ab3e88f32389ee9370880d"
+checksum = "b3855a8a784b474f333699ef2bbca9db2c4a1f6d9088a90a2d25b1eb53111eaa"
dependencies = [
"cfg-if",
]
[[package]]
name = "crossbeam-channel"
-version = "0.5.8"
+version = "0.5.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a33c2bf77f2df06183c3aa30d1e96c0695a313d4f9c453cc3762a6db39f99200"
+checksum = "ab3db02a9c5b5121e1e42fbdb1aeb65f5e02624cc58c43f2884c6ccac0b82f95"
dependencies = [
- "cfg-if",
"crossbeam-utils",
]
[[package]]
name = "crossbeam-deque"
-version = "0.8.3"
+version = "0.8.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ce6fd6f855243022dcecf8702fef0c297d4338e226845fe067f6341ad9fa0cef"
+checksum = "613f8cc01fe9cf1a3eb3d7f488fd2fa8388403e97039e2f73692932e291a770d"
dependencies = [
- "cfg-if",
"crossbeam-epoch",
"crossbeam-utils",
]
[[package]]
name = "crossbeam-epoch"
-version = "0.9.15"
+version = "0.9.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ae211234986c545741a7dc064309f67ee1e5ad243d0e48335adc0484d960bcc7"
+checksum = "5b82ac4a3c2ca9c3460964f020e1402edd5753411d7737aa39c3714ad1b5420e"
dependencies = [
- "autocfg",
- "cfg-if",
"crossbeam-utils",
- "memoffset 0.9.0",
- "scopeguard",
]
[[package]]
name = "crossbeam-utils"
-version = "0.8.16"
+version = "0.8.19"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5a22b2d63d4d1dc0b7f1b6b2747dd0088008a9be28b6ddf0b1e7d335e3037294"
-dependencies = [
- "cfg-if",
-]
+checksum = "248e3bacc7dc6baa3b21e405ee045c3047101a49145e7e9eca583ab4c2ca5345"
[[package]]
name = "crunchy"
@@ -882,9 +765,9 @@ dependencies = [
[[package]]
name = "data-encoding"
-version = "2.4.0"
+version = "2.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c2e66c9d817f1720209181c316d28635c050fa304f9c79e47a520882661b7308"
+checksum = "7e962a19be5cfc3f3bf6dd8f61eb50107f356ad6270fbb3ed41476571db78be5"
[[package]]
name = "debug-helper"
@@ -894,9 +777,12 @@ checksum = "f578e8e2c440e7297e008bb5486a3a8a194775224bbc23729b0dbdfaeebf162e"
[[package]]
name = "deranged"
-version = "0.3.8"
+version = "0.3.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f2696e8a945f658fd14dc3b87242e6b80cd0f36ff04ea560fa39082368847946"
+checksum = "b42b6fa04a440b495c8b04d0e71b707c585f83cb9cb28cf8cd0d976c315e31b4"
+dependencies = [
+ "powerfmt",
+]
[[package]]
name = "derivative"
@@ -928,9 +814,9 @@ checksum = "3c877555693c14d2f84191cfd3ad8582790fc52b5e2274b40b59cf5f5cea25c7"
[[package]]
name = "diesel"
-version = "2.1.2"
+version = "2.1.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "53c8a2cb22327206568569e5a45bb5a2c946455efdd76e24d15b7e82171af95e"
+checksum = "62c6fcf842f17f8c78ecf7c81d75c5ce84436b41ee07e03f490fbb5f5a8731d8"
dependencies = [
"bigdecimal",
"chrono",
@@ -956,7 +842,7 @@ dependencies = [
"diesel_table_macro_syntax",
"proc-macro2",
"quote",
- "syn 2.0.37",
+ "syn 2.0.52",
]
[[package]]
@@ -976,7 +862,7 @@ version = "0.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "fc5557efc453706fed5e4fa85006fe9817c224c3f480a34c7e5959fd700921c5"
dependencies = [
- "syn 2.0.37",
+ "syn 2.0.52",
]
[[package]]
@@ -1019,33 +905,13 @@ dependencies = [
"crypto-common",
]
-[[package]]
-name = "dirs"
-version = "4.0.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ca3aa72a6f96ea37bbc5aa912f6788242832f75369bdfdadcb0e38423f100059"
-dependencies = [
- "dirs-sys 0.3.7",
-]
-
[[package]]
name = "dirs"
version = "5.0.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "44c45a9d03d6676652bcb5e724c7e988de1acad23a711b5217ab9cbecbec2225"
dependencies = [
- "dirs-sys 0.4.1",
-]
-
-[[package]]
-name = "dirs-sys"
-version = "0.3.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1b1d1d91c932ef41c0f2663aa8b0ca0342d444d842c06914aa0a7e352d0bada6"
-dependencies = [
- "libc",
- "redox_users",
- "winapi",
+ "dirs-sys",
]
[[package]]
@@ -1057,14 +923,14 @@ dependencies = [
"libc",
"option-ext",
"redox_users",
- "windows-sys",
+ "windows-sys 0.48.0",
]
[[package]]
name = "either"
-version = "1.9.0"
+version = "1.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a26ae43d7bcc3b814de94796a5e736d4029efb0ee900c12e2d54c993ad1a1e07"
+checksum = "11157ac094ffbdde99aa67b23417ebdd801842852b500e395a45a9c0aac03e4a"
[[package]]
name = "encoding_rs"
@@ -1075,6 +941,12 @@ dependencies = [
"cfg-if",
]
+[[package]]
+name = "endi"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a3d8a32ae18130a3c84dd492d4215c3d913c3b07c6b63c2eb3eb7ff1101ab7bf"
+
[[package]]
name = "entities"
version = "1.0.1"
@@ -1083,21 +955,21 @@ checksum = "b5320ae4c3782150d900b79807611a59a99fc9a1d61d686faafc24b93fc8d7ca"
[[package]]
name = "enum-as-inner"
-version = "0.5.1"
+version = "0.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c9720bba047d567ffc8a3cba48bf19126600e249ab7f128e9233e6376976a116"
+checksum = "5ffccbb6966c05b32ef8fbac435df276c4ae4d3dc55a8cd0eb9745e6c12f546a"
dependencies = [
"heck",
"proc-macro2",
"quote",
- "syn 1.0.109",
+ "syn 2.0.52",
]
[[package]]
name = "enumflags2"
-version = "0.7.8"
+version = "0.7.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5998b4f30320c9d93aed72f63af821bfdac50465b75428fce77b48ec482c3939"
+checksum = "3278c9d5fb675e0a51dabcf4c0d355f692b064171535ba72361be1528a9d8e8d"
dependencies = [
"enumflags2_derive",
"serde",
@@ -1105,13 +977,13 @@ dependencies = [
[[package]]
name = "enumflags2_derive"
-version = "0.7.8"
+version = "0.7.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f95e2801cd355d4a1a3e3953ce6ee5ae9603a5c833455343a8bfe3f44d418246"
+checksum = "5c785274071b1b420972453b306eeca06acf4633829db4223b58a2a8c5953bc4"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.37",
+ "syn 2.0.52",
]
[[package]]
@@ -1122,23 +994,12 @@ checksum = "5443807d6dff69373d433ab9ef5378ad8df50ca6298caf15de6e52e24aaf54d5"
[[package]]
name = "errno"
-version = "0.3.3"
+version = "0.3.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "136526188508e25c6fef639d7927dfb3e0e3084488bf202267829cf7fc23dbdd"
+checksum = "a258e46cdc063eb8519c00b9fc845fc47bcfca4130e2f08e88665ceda8474245"
dependencies = [
- "errno-dragonfly",
- "libc",
- "windows-sys",
-]
-
-[[package]]
-name = "errno-dragonfly"
-version = "0.1.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "aa68f1b12764fab894d2755d2518754e71b4fd80ecfb822714a1206c2aab39bf"
-dependencies = [
- "cc",
"libc",
+ "windows-sys 0.52.0",
]
[[package]]
@@ -1167,9 +1028,9 @@ checksum = "0206175f82b8d6bf6652ff7d71a1e27fd2e4efde587fd368662814d6ec1d9ce0"
[[package]]
name = "event-listener"
-version = "3.0.0"
+version = "4.0.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "29e56284f00d94c1bc7fd3c77027b4623c88c1f53d8d2394c6199f2921dea325"
+checksum = "67b215c49b2b248c855fb73579eb1f4f26c38ffdc12973e20e07b91d78d5646e"
dependencies = [
"concurrent-queue",
"parking",
@@ -1177,10 +1038,41 @@ dependencies = [
]
[[package]]
-name = "exr"
-version = "1.71.0"
+name = "event-listener"
+version = "5.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "832a761f35ab3e6664babfbdc6cef35a4860e816ec3916dcfd0882954e98a8a8"
+checksum = "2b5fb89194fa3cad959b833185b3063ba881dbfc7030680b314250779fb4cc91"
+dependencies = [
+ "concurrent-queue",
+ "parking",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "event-listener-strategy"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "958e4d70b6d5e81971bebec42271ec641e7ff4e170a6fa605f2b8a8b65cb97d3"
+dependencies = [
+ "event-listener 4.0.3",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "event-listener-strategy"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "feedafcaa9b749175d5ac357452a9d41ea2911da598fde46ce1fe02c37751291"
+dependencies = [
+ "event-listener 5.2.0",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "exr"
+version = "1.72.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "887d93f60543e9a9362ef8a21beedd0a833c5d9610e18c67abe15a5963dcb1a4"
dependencies = [
"bit_field",
"flume",
@@ -1194,23 +1086,14 @@ dependencies = [
[[package]]
name = "eyre"
-version = "0.6.8"
+version = "0.6.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4c2b6b5a29c02cdc822728b7d7b8ae1bab3e3b05d44522770ddd49722eeac7eb"
+checksum = "7cd915d99f24784cdc19fd37ef22b97e3ff0ae756c7e492e9fbfe897d61e2aec"
dependencies = [
"indenter",
"once_cell",
]
-[[package]]
-name = "fastrand"
-version = "1.9.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e51093e27b0797c359783294ca4f0a911c270184cb10f85783b118614a1501be"
-dependencies = [
- "instant",
-]
-
[[package]]
name = "fastrand"
version = "2.0.1"
@@ -1219,18 +1102,18 @@ checksum = "25cbce373ec4653f1a01a31e8a5e5ec0c622dc27ff9c4e6606eefef5cbbed4a5"
[[package]]
name = "fdeflate"
-version = "0.3.0"
+version = "0.3.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d329bdeac514ee06249dabc27877490f17f5d371ec693360768b838e19f3ae10"
+checksum = "4f9bfee30e4dedf0ab8b422f03af778d9612b63f502710fc500a334ebe2de645"
dependencies = [
"simd-adler32",
]
[[package]]
name = "feed-rs"
-version = "1.3.0"
+version = "1.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9dbec361cb401c1b86aea784fb809073733da06b1a1fd794222e7bf9845db327"
+checksum = "1ebf574f2f8a63948eae0d786c1fe339d71b6c98e735d58c81c85d93c8a66edf"
dependencies = [
"chrono",
"lazy_static",
@@ -1239,7 +1122,7 @@ dependencies = [
"regex",
"serde",
"serde_json",
- "siphasher",
+ "siphasher 1.0.0",
"url",
"uuid",
]
@@ -1298,15 +1181,15 @@ version = "0.3.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "38e2275cc4e4fc009b0669731a1e5ab7ebf11f469eaede2bab9309a5b4d6057f"
dependencies = [
- "memoffset 0.9.0",
+ "memoffset",
"rustc_version",
]
[[package]]
name = "flate2"
-version = "1.0.27"
+version = "1.0.28"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c6c98ee8095e9d1dcbf2fcc6d95acccb90d1c81db1e44725c6a984b1dbdfb010"
+checksum = "46303f565772937ffe1d394a4fac6f411c6013172fadde9dcdb1e147a086940e"
dependencies = [
"crc32fast",
"miniz_oxide",
@@ -1318,7 +1201,7 @@ version = "0.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "55ac459de2512911e4b674ce33cf20befaba382d05b62b008afc1c8b57cbf181"
dependencies = [
- "spin 0.9.8",
+ "spin",
]
[[package]]
@@ -1344,9 +1227,9 @@ checksum = "00b0228411908ca8685dba7fc2cdd70ec9990a6e753e89b6ac91a84c40fbaf4b"
[[package]]
name = "form_urlencoded"
-version = "1.2.0"
+version = "1.2.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a62bc1cf6f830c2ec14a513a9fb124d0a213a629668a4186f329db21fe045652"
+checksum = "e13624c2627564efccf4934284bdd98cbaa14e79b0b5a141218e507b3a823456"
dependencies = [
"percent-encoding",
]
@@ -1363,9 +1246,9 @@ dependencies = [
[[package]]
name = "futures"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "23342abe12aba583913b2e62f22225ff9c950774065e4bfb61a19cd9770fec40"
+checksum = "645c6916888f6cb6350d2550b80fb63e734897a8498abe35cfb732b6487804b0"
dependencies = [
"futures-channel",
"futures-core",
@@ -1378,9 +1261,9 @@ dependencies = [
[[package]]
name = "futures-channel"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "955518d47e09b25bbebc7a18df10b81f0c766eaf4c4f1cccef2fca5f2a4fb5f2"
+checksum = "eac8f7d7865dcb88bd4373ab671c8cf4508703796caa2b1985a9ca867b3fcb78"
dependencies = [
"futures-core",
"futures-sink",
@@ -1388,15 +1271,15 @@ dependencies = [
[[package]]
name = "futures-core"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4bca583b7e26f571124fe5b7561d49cb2868d79116cfa0eefce955557c6fee8c"
+checksum = "dfc6580bb841c5a68e9ef15c77ccc837b40a7504914d52e47b8b0e9bbda25a1d"
[[package]]
name = "futures-executor"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ccecee823288125bd88b4d7f565c9e58e41858e47ab72e8ea2d64e93624386e0"
+checksum = "a576fc72ae164fca6b9db127eaa9a9dda0d61316034f33a0a0d4eda41f02b01d"
dependencies = [
"futures-core",
"futures-task",
@@ -1406,53 +1289,51 @@ dependencies = [
[[package]]
name = "futures-io"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4fff74096e71ed47f8e023204cfd0aa1289cd54ae5430a9523be060cdb849964"
+checksum = "a44623e20b9681a318efdd71c299b6b222ed6f231972bfe2f224ebad6311f0c1"
[[package]]
name = "futures-lite"
-version = "1.13.0"
+version = "2.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "49a9d51ce47660b1e808d3c990b4709f2f415d928835a17dfd16991515c46bce"
+checksum = "445ba825b27408685aaecefd65178908c36c6e96aaf6d8599419d46e624192ba"
dependencies = [
- "fastrand 1.9.0",
+ "fastrand",
"futures-core",
"futures-io",
- "memchr",
"parking",
"pin-project-lite",
- "waker-fn",
]
[[package]]
name = "futures-macro"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "89ca545a94061b6365f2c7355b4b32bd20df3ff95f02da9329b34ccc3bd6ee72"
+checksum = "87750cf4b7a4c0625b1529e4c543c2182106e4dedc60a2a6455e00d212c489ac"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.37",
+ "syn 2.0.52",
]
[[package]]
name = "futures-sink"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f43be4fe21a13b9781a69afa4985b0f6ee0e1afab2c6f454a8cf30e2b2237b6e"
+checksum = "9fb8e00e87438d937621c1c6269e53f536c14d3fbd6a042bb24879e57d474fb5"
[[package]]
name = "futures-task"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "76d3d132be6c0e6aa1534069c705a74a5997a356c0dc2f86a47765e5617c5b65"
+checksum = "38d84fa142264698cdce1a9f9172cf383a0c82de1bddcf3092901442c4097004"
[[package]]
name = "futures-util"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "26b01e40b772d54cf6c6d721c1d1abd0647a0106a12ecaa1c186273392a69533"
+checksum = "3d6401deb83407ab3da39eba7e33987a73c3df0c82b4bb5813ee871c19c41d48"
dependencies = [
"futures-channel",
"futures-core",
@@ -1468,22 +1349,21 @@ dependencies = [
[[package]]
name = "gdk-pixbuf"
-version = "0.18.0"
+version = "0.19.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bbc9c2ed73a81d556b65d08879ba4ee58808a6b1927ce915262185d6d547c6f3"
+checksum = "f6a23f8a0b5090494fd04924662d463f8386cc678dd3915015a838c1a3679b92"
dependencies = [
"gdk-pixbuf-sys",
"gio",
"glib",
"libc",
- "once_cell",
]
[[package]]
name = "gdk-pixbuf-sys"
-version = "0.18.0"
+version = "0.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3f9839ea644ed9c97a34d129ad56d38a25e6756f99f3a88e15cd39c20629caf7"
+checksum = "3dcbd04c1b2c4834cc008b4828bc917d062483b88d26effde6342e5622028f96"
dependencies = [
"gio-sys",
"glib-sys",
@@ -1494,9 +1374,9 @@ dependencies = [
[[package]]
name = "gdk4"
-version = "0.7.3"
+version = "0.8.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7edb019ad581f8ecf8ea8e4baa6df7c483a95b5a59be3140be6a9c3b0c632af6"
+checksum = "6771942f85a2beaa220c64739395e4401b9fab4a52aba9b503fa1e6ed4d4d806"
dependencies = [
"cairo-rs",
"gdk-pixbuf",
@@ -1509,9 +1389,9 @@ dependencies = [
[[package]]
name = "gdk4-sys"
-version = "0.7.2"
+version = "0.8.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dbab43f332a3cf1df9974da690b5bb0e26720ed09a228178ce52175372dcfef0"
+checksum = "1eb95854fab65072023a7814434f003db571d6e45c287c0b0c540c1c78bdf6ae"
dependencies = [
"cairo-sys-rs",
"gdk-pixbuf-sys",
@@ -1536,24 +1416,13 @@ dependencies = [
[[package]]
name = "getrandom"
-version = "0.1.16"
+version = "0.2.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8fc3cb4d91f53b50155bdcfd23f6a4c39ae1969c2ae85982b135750cccaf5fce"
+checksum = "190092ea657667030ac6a35e305e62fc4dd69fd98ac98631e5d3a2b1575a12b5"
dependencies = [
"cfg-if",
"libc",
- "wasi 0.9.0+wasi-snapshot-preview1",
-]
-
-[[package]]
-name = "getrandom"
-version = "0.2.10"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "be4136b2a15dd319360be1c07d9933517ccf0be8f16bf62a3bee4f0d618df427"
-dependencies = [
- "cfg-if",
- "libc",
- "wasi 0.11.0+wasi-snapshot-preview1",
+ "wasi",
]
[[package]]
@@ -1578,9 +1447,9 @@ dependencies = [
[[package]]
name = "gif"
-version = "0.12.0"
+version = "0.13.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "80792593675e051cf94a4b111980da2ba60d4a83e43e0048c5693baab3977045"
+checksum = "3fb2d69b19215e18bb912fa30f7ce15846e301408695e44e0ef719f1da9e19f2"
dependencies = [
"color_quant",
"weezl",
@@ -1588,15 +1457,15 @@ dependencies = [
[[package]]
name = "gimli"
-version = "0.28.0"
+version = "0.28.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6fb8d784f27acf97159b40fc4db5ecd8aa23b9ad5ef69cdd136d3bc80665f0c0"
+checksum = "4271d37baee1b8c7e4b708028c57d816cf9d2434acb33a549475f78c181f6253"
[[package]]
name = "gio"
-version = "0.18.2"
+version = "0.19.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "57052f84e8e5999b258e8adf8f5f2af0ac69033864936b8b6838321db2f759b1"
+checksum = "2eae10b27b6dd27e22ed0d812c6387deba295e6fc004a8b379e459b663b05a02"
dependencies = [
"futures-channel",
"futures-core",
@@ -1605,7 +1474,6 @@ dependencies = [
"gio-sys",
"glib",
"libc",
- "once_cell",
"pin-project-lite",
"smallvec",
"thiserror",
@@ -1613,24 +1481,24 @@ dependencies = [
[[package]]
name = "gio-sys"
-version = "0.18.1"
+version = "0.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "37566df850baf5e4cb0dfb78af2e4b9898d817ed9263d1090a2df958c64737d2"
+checksum = "bcf8e1d9219bb294636753d307b030c1e8a032062cba74f493c431a5c8b81ce4"
dependencies = [
"glib-sys",
"gobject-sys",
"libc",
"system-deps",
- "winapi",
+ "windows-sys 0.52.0",
]
[[package]]
name = "glib"
-version = "0.18.2"
+version = "0.19.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1c316afb01ce8067c5eaab1fc4f2cd47dc21ce7b6296358605e2ffab23ccbd19"
+checksum = "ab9e86540b5d8402e905ad4ce7d6aa544092131ab564f3102175af176b90a053"
dependencies = [
- "bitflags 2.4.0",
+ "bitflags 2.4.2",
"futures-channel",
"futures-core",
"futures-executor",
@@ -1642,30 +1510,28 @@ dependencies = [
"gobject-sys",
"libc",
"memchr",
- "once_cell",
"smallvec",
"thiserror",
]
[[package]]
name = "glib-macros"
-version = "0.18.2"
+version = "0.19.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f8da903822b136d42360518653fcf154455defc437d3e7a81475bf9a95ff1e47"
+checksum = "0f5897ca27a83e4cdc7b4666850bade0a2e73e17689aabafcc9acddad9d823b8"
dependencies = [
"heck",
"proc-macro-crate",
- "proc-macro-error",
"proc-macro2",
"quote",
- "syn 2.0.37",
+ "syn 2.0.52",
]
[[package]]
name = "glib-sys"
-version = "0.18.1"
+version = "0.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "063ce2eb6a8d0ea93d2bf8ba1957e78dbab6be1c2220dd3daca57d5a9d869898"
+checksum = "630f097773d7c7a0bb3258df4e8157b47dc98bbfa0e60ad9ab56174813feced4"
dependencies = [
"libc",
"system-deps",
@@ -1677,23 +1543,11 @@ version = "0.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "d2fabcfbdc87f4758337ca535fb41a6d701b65693ce38287d856d1674551ec9b"
-[[package]]
-name = "gloo-timers"
-version = "0.2.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9b995a66bb87bebce9a0f4a95aed01daca4872c050bfcb21653361c03bc35e5c"
-dependencies = [
- "futures-channel",
- "futures-core",
- "js-sys",
- "wasm-bindgen",
-]
-
[[package]]
name = "gobject-sys"
-version = "0.18.0"
+version = "0.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0850127b514d1c4a4654ead6dedadb18198999985908e6ffe4436f53c785ce44"
+checksum = "c85e2b1080b9418dd0c58b498da3a5c826030343e0ef07bde6a955d28de54979"
dependencies = [
"glib-sys",
"libc",
@@ -1702,9 +1556,9 @@ dependencies = [
[[package]]
name = "graphene-rs"
-version = "0.18.1"
+version = "0.19.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3b2228cda1505613a7a956cca69076892cfbda84fc2b7a62b94a41a272c0c401"
+checksum = "99e4d388e96c5f29e2b2f67045d229ddf826d0a8d6d282f94ed3b34452222c91"
dependencies = [
"glib",
"graphene-sys",
@@ -1713,9 +1567,9 @@ dependencies = [
[[package]]
name = "graphene-sys"
-version = "0.18.1"
+version = "0.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cc4144cee8fc8788f2a9b73dc5f1d4e1189d1f95305c4cb7bd9c1af1cfa31f59"
+checksum = "236ed66cc9b18d8adf233716f75de803d0bf6fc806f60d14d948974a12e240d0"
dependencies = [
"glib-sys",
"libc",
@@ -1741,9 +1595,9 @@ dependencies = [
[[package]]
name = "gsk4"
-version = "0.7.3"
+version = "0.8.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0d958e351d2f210309b32d081c832d7de0aca0b077aa10d88336c6379bd01f7e"
+checksum = "0e8ce8dee0fd87a11002214b1204ff18c9272fbd530408f0884a0f9b25dc31de"
dependencies = [
"cairo-rs",
"gdk4",
@@ -1756,9 +1610,9 @@ dependencies = [
[[package]]
name = "gsk4-sys"
-version = "0.7.3"
+version = "0.8.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "12bd9e3effea989f020e8f1ff3fa3b8c63ba93d43b899c11a118868853a56d55"
+checksum = "2660a652da5b662d43924df19ba40d73f015ed427329ef51d2b1360a4e0dc0e4"
dependencies = [
"cairo-sys-rs",
"gdk4-sys",
@@ -1772,9 +1626,9 @@ dependencies = [
[[package]]
name = "gtk4"
-version = "0.7.3"
+version = "0.8.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5aeb51aa3e9728575a053e1f43543cd9992ac2477e1b186ad824fd4adfb70842"
+checksum = "7d26ffa3ec6316ccaa1df62d3e7f5bae1637c0acbb43f250fabef38319f73c64"
dependencies = [
"cairo-rs",
"field-offset",
@@ -1793,9 +1647,9 @@ dependencies = [
[[package]]
name = "gtk4-macros"
-version = "0.7.2"
+version = "0.8.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d57ec49cf9b657f69a05bca8027cff0a8dfd0c49e812be026fc7311f2163832f"
+checksum = "c8b86439e9896f6f3f47c3d8077c5c8205174078760afdabd9098a8e9e937d97"
dependencies = [
"anyhow",
"proc-macro-crate",
@@ -1807,9 +1661,9 @@ dependencies = [
[[package]]
name = "gtk4-sys"
-version = "0.7.3"
+version = "0.8.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "54d8c4aa23638ce9faa2caf7e2a27d4a1295af2155c8e8d28c4d4eeca7a65eb8"
+checksum = "2abc0a6d356d59a3806021829ce6ed3e70bba3509b41a535fedcb09fae13fbc0"
dependencies = [
"cairo-sys-rs",
"gdk-pixbuf-sys",
@@ -1826,9 +1680,9 @@ dependencies = [
[[package]]
name = "h2"
-version = "0.3.21"
+version = "0.3.24"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "91fc23aa11be92976ef4729127f1a74adf36d8436f7816b185d18df956790833"
+checksum = "bb2c4422095b67ee78da96fbb51a4cc413b3b25883c7717ff7ca1ab31022c9c9"
dependencies = [
"bytes",
"fnv",
@@ -1836,7 +1690,7 @@ dependencies = [
"futures-sink",
"futures-util",
"http",
- "indexmap 1.9.3",
+ "indexmap",
"slab",
"tokio",
"tokio-util",
@@ -1845,18 +1699,19 @@ dependencies = [
[[package]]
name = "half"
-version = "2.2.1"
+version = "2.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "02b4af3693f1b705df946e9fe5631932443781d0aabb423b62fcd4d73f6d2fd0"
+checksum = "b5eceaaeec696539ddaf7b333340f1af35a5aa87ae3e4f3ead0532f72affab2e"
dependencies = [
+ "cfg-if",
"crunchy",
]
[[package]]
name = "hard-xml"
-version = "1.27.0"
+version = "1.34.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b74134bb74033894bf6b22cb9078c5e19bb750bd586f5cea24bc4acf23e9da9a"
+checksum = "fafc2bcb74049535eb6fab49eb20164a427867a9e809516ef95a98e961164432"
dependencies = [
"hard-xml-derive",
"jetscii",
@@ -1867,9 +1722,9 @@ dependencies = [
[[package]]
name = "hard-xml-derive"
-version = "1.27.0"
+version = "1.34.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f50ce8d120d040bf18a4d8dd75ea96497cc75d285b09e2473e88df57bb20f3ab"
+checksum = "57a345b327da51b997c94f841d9db6b2d292c7632713bd8a1b8b191e8b819df7"
dependencies = [
"bitflags 1.3.2",
"proc-macro2",
@@ -1879,15 +1734,9 @@ dependencies = [
[[package]]
name = "hashbrown"
-version = "0.12.3"
+version = "0.14.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8a9ee70c43aaf417c914396645a0fa852624801b24ebb7ae78fe8272889ac888"
-
-[[package]]
-name = "hashbrown"
-version = "0.14.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2c6201b9ff9fd90a5a3bac2e56a830d0caa509576f0e503818ee82c181b3437a"
+checksum = "290f1a1d9242c78d09ce40a5e87e7554ee637af1351968159f4952f028f75604"
[[package]]
name = "heck"
@@ -1897,9 +1746,9 @@ checksum = "95505c38b4572b2d910cecb0281560f54b440a19336cbbcb27bf6ce6adc6f5a8"
[[package]]
name = "hermit-abi"
-version = "0.3.3"
+version = "0.3.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d77f7ec81a6d05a3abb01ab6eb7590f6083d08449fe5a1c8b1e620283546ccb7"
+checksum = "d231dfb89cfffdbc30e7fc41579ed6066ad03abda9e567ccafae602b97ec5024"
[[package]]
name = "hex"
@@ -1920,9 +1769,9 @@ dependencies = [
[[package]]
name = "html2pango"
-version = "0.5.0"
+version = "0.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e22f189d26bf7cf4652dbe49da86f3a27628f745469365f1d2575ea8caf54eaf"
+checksum = "a5f061cc3c0538033f81a94417f209e2b1908e3dab8b87b205d84e6109c8091b"
dependencies = [
"ammonia",
"anyhow",
@@ -1950,9 +1799,9 @@ dependencies = [
[[package]]
name = "http"
-version = "0.2.9"
+version = "0.2.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bd6effc99afb63425aff9b05836f029929e345a6148a14b7ecd5ab67af944482"
+checksum = "601cbb57e577e2f5ef5be8e7b83f0f63994f25aa94d673e54a92d5c516d101f1"
dependencies = [
"bytes",
"fnv",
@@ -1961,9 +1810,9 @@ dependencies = [
[[package]]
name = "http-body"
-version = "0.4.5"
+version = "0.4.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d5f38f16d184e36f2408a55281cd658ecbd3ca05cce6d6510a176eca393e26d1"
+checksum = "7ceab25649e9960c0311ea418d17bee82c0dcec1bd053b5f9a66e265a693bed2"
dependencies = [
"bytes",
"http",
@@ -1990,9 +1839,9 @@ checksum = "9a3a5bfb195931eeb336b2a7b4d761daec841b97f947d34394601737a7bba5e4"
[[package]]
name = "hyper"
-version = "0.14.27"
+version = "0.14.28"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ffb1cfd654a8219eaef89881fdb3bb3b1cdc5fa75ded05d6933b2b382e395468"
+checksum = "bf96e135eb83a2a8ddf766e426a841d8ddd7449d5f00d34ea02b41d2f19eef80"
dependencies = [
"bytes",
"futures-channel",
@@ -2005,27 +1854,13 @@ dependencies = [
"httpdate",
"itoa",
"pin-project-lite",
- "socket2 0.4.9",
+ "socket2",
"tokio",
"tower-service",
"tracing",
"want",
]
-[[package]]
-name = "hyper-rustls"
-version = "0.24.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8d78e1e73ec14cf7375674f74d7dde185c8206fd9dea6fb6295e8a98098aaa97"
-dependencies = [
- "futures-util",
- "http",
- "hyper",
- "rustls",
- "tokio",
- "tokio-rustls",
-]
-
[[package]]
name = "hyper-tls"
version = "0.5.0"
@@ -2041,16 +1876,16 @@ dependencies = [
[[package]]
name = "iana-time-zone"
-version = "0.1.57"
+version = "0.1.60"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2fad5b825842d2b38bd206f3e81d6957625fd7f0a361e345c30e01a0ae2dd613"
+checksum = "e7ffbb5a1b541ea2561f8c41c087286cc091e21e556a4f09a8f6cbf17b69b141"
dependencies = [
"android_system_properties",
"core-foundation-sys",
"iana-time-zone-haiku",
"js-sys",
"wasm-bindgen",
- "windows",
+ "windows-core",
]
[[package]]
@@ -2062,17 +1897,6 @@ dependencies = [
"cc",
]
-[[package]]
-name = "idna"
-version = "0.2.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "418a0a6fab821475f634efe3ccc45c013f742efe03d853e8d3355d5cb850ecf8"
-dependencies = [
- "matches",
- "unicode-bidi",
- "unicode-normalization",
-]
-
[[package]]
name = "idna"
version = "0.3.0"
@@ -2094,10 +1918,20 @@ dependencies = [
]
[[package]]
-name = "image"
-version = "0.24.7"
+name = "idna"
+version = "0.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6f3dfdbdd72063086ff443e297b61695500514b1e41095b6fb9a5ab48a70a711"
+checksum = "634d9b1461af396cad843f47fdba5597a4f9e6ddd4bfb6ff5d85028c25cb12f6"
+dependencies = [
+ "unicode-bidi",
+ "unicode-normalization",
+]
+
+[[package]]
+name = "image"
+version = "0.24.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5690139d2f55868e080017335e4b94cb7414274c74f1669c84fb5feba2c9f69d"
dependencies = [
"bytemuck",
"byteorder",
@@ -2105,7 +1939,6 @@ dependencies = [
"exr",
"gif",
"jpeg-decoder",
- "num-rational",
"num-traits",
"png",
"qoi",
@@ -2120,42 +1953,12 @@ checksum = "ce23b50ad8242c51a442f3ff322d56b02f08852c77e4c0b4d3fd684abc89c683"
[[package]]
name = "indexmap"
-version = "1.9.3"
+version = "2.2.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bd070e393353796e801d209ad339e89596eb4c8d430d18ede6a1cced8fafbd99"
-dependencies = [
- "autocfg",
- "hashbrown 0.12.3",
-]
-
-[[package]]
-name = "indexmap"
-version = "2.0.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ad227c3af19d4914570ad36d30409928b75967c298feb9ea1969db3a610bb14e"
+checksum = "7b0b929d511467233429c45a44ac1dcaa21ba0f5ba11e4879e6ed28ddb4f9df4"
dependencies = [
"equivalent",
- "hashbrown 0.14.0",
-]
-
-[[package]]
-name = "instant"
-version = "0.1.12"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7a5bbe824c507c5da5956355e86a746d82e0e1464f65d862cc5e71da70e94b2c"
-dependencies = [
- "cfg-if",
-]
-
-[[package]]
-name = "io-lifetimes"
-version = "1.0.11"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "eae7b9aee968036d54dce06cebaefd919e4472e753296daccd6d344e3e2df0c2"
-dependencies = [
- "hermit-abi",
- "libc",
- "windows-sys",
+ "hashbrown",
]
[[package]]
@@ -2164,17 +1967,17 @@ version = "0.3.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "b58db92f96b720de98181bbbe63c831e87005ab460c1bf306eb2622b4707997f"
dependencies = [
- "socket2 0.5.4",
+ "socket2",
"widestring",
- "windows-sys",
+ "windows-sys 0.48.0",
"winreg",
]
[[package]]
name = "ipnet"
-version = "2.8.0"
+version = "2.9.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "28b29a3cd74f0f4598934efe3aeba42bae0eb4680554128851ebbecb02af14e6"
+checksum = "8f518f335dce6725a761382244631d86cf0ccb2863413590b31338feb467f9c3"
[[package]]
name = "ipnetwork"
@@ -2196,24 +1999,24 @@ dependencies = [
[[package]]
name = "itertools"
-version = "0.11.0"
+version = "0.12.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b1c173a5686ce8bfa551b3563d0c2170bf24ca44da99c7ca4bfdab5418c3fe57"
+checksum = "ba291022dbbd398a455acf126c1e341954079855bc60dfdda641363bd6922569"
dependencies = [
"either",
]
[[package]]
name = "itoa"
-version = "1.0.9"
+version = "1.0.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "af150ab688ff2122fcef229be89cb50dd66af9e01a4ff320cc137eecc9bacc38"
+checksum = "b1a46d1a171d865aa5f83f92695765caa047a9b4cbae2cbf37dbd613a793fd4c"
[[package]]
name = "javascriptcore6"
-version = "0.2.0"
+version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9ab2e7a6ba3112cf23e7bf63f0aefe5058e6b4f1f759d47bf22922f73ed17e79"
+checksum = "d95a69260c40bbf52066f2c6fa520c00c1ec8ab8208636cf3070c0157c5221f8"
dependencies = [
"glib",
"javascriptcore6-sys",
@@ -2222,9 +2025,9 @@ dependencies = [
[[package]]
name = "javascriptcore6-sys"
-version = "0.2.0"
+version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f5a26b89c59d679b0d57dd98235e3125b132407cc14c3fb6382df4b84475c39f"
+checksum = "fc104f99c5adcd7ed53dd491121c111e05e3e8d40020d3519e98c346ca64186b"
dependencies = [
"glib-sys",
"gobject-sys",
@@ -2240,31 +2043,22 @@ checksum = "47f142fe24a9c9944451e8349de0a56af5f3e7226dc46f3ed4d4ecc0b85af75e"
[[package]]
name = "jpeg-decoder"
-version = "0.3.0"
+version = "0.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bc0000e42512c92e31c2252315bda326620a4e034105e900c98ec492fa077b3e"
+checksum = "f5d4a7da358eff58addd2877a45865158f0d78c911d43a5784ceb7bbf52833b0"
dependencies = [
"rayon",
]
[[package]]
name = "js-sys"
-version = "0.3.64"
+version = "0.3.69"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c5f195fe497f702db0f318b07fdd68edb16955aed830df8363d837542f8f935a"
+checksum = "29c15563dc2726973df627357ce0c9ddddbea194836909d655df6a75d2cf296d"
dependencies = [
"wasm-bindgen",
]
-[[package]]
-name = "kv-log-macro"
-version = "1.0.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0de8b303297635ad57c9f5059fd9cee7a47f8e8daa09df0fcd07dd39fb22977f"
-dependencies = [
- "log",
-]
-
[[package]]
name = "lazy_static"
version = "1.4.0"
@@ -2279,9 +2073,9 @@ checksum = "03087c2bad5e1034e8cace5926dec053fb3790248370865f5117a7d0213354c8"
[[package]]
name = "libadwaita"
-version = "0.5.3"
+version = "0.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2fe7e70c06507ed10a16cda707f358fbe60fe0dc237498f78c686ade92fd979c"
+checksum = "91b4990248b9e1ec5e72094a2ccaea70ec3809f88f6fd52192f2af306b87c5d9"
dependencies = [
"gdk-pixbuf",
"gdk4",
@@ -2295,9 +2089,9 @@ dependencies = [
[[package]]
name = "libadwaita-sys"
-version = "0.5.3"
+version = "0.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5e10aaa38de1d53374f90deeb4535209adc40cc5dba37f9704724169bceec69a"
+checksum = "23a748e4e92be1265cd9e93d569c0b5dfc7814107985aa6743d670ab281ea1a8"
dependencies = [
"gdk4-sys",
"gio-sys",
@@ -2311,21 +2105,32 @@ dependencies = [
[[package]]
name = "libc"
-version = "0.2.148"
+version = "0.2.153"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9cdc71e17332e86d2e1d38c1f99edcb6288ee11b815fb1a4b049eaa2114d369b"
+checksum = "9c198f91728a82281a64e1f4f9eeb25d82cb32a5de251c6bd1b5154d63a8e7bd"
[[package]]
name = "libm"
-version = "0.2.7"
+version = "0.2.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f7012b1bbb0719e1097c47611d3898568c546d597c2e74d66f6087edd5233ff4"
+checksum = "4ec2a862134d2a7d32d7983ddcdd1c4923530833c9f2ea1a44fc5fa473989058"
+
+[[package]]
+name = "libredox"
+version = "0.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "85c833ca1e66078851dba29046874e38f08b2c883700aa29a03ddd3b23814ee8"
+dependencies = [
+ "bitflags 2.4.2",
+ "libc",
+ "redox_syscall",
+]
[[package]]
name = "libsqlite3-sys"
-version = "0.26.0"
+version = "0.27.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "afc22eff61b133b115c6e8c74e818c628d6d5e7a502afea6f64dee076dd94326"
+checksum = "cf4e226dcd58b4be396f7bd3c20da8fdee2911400705297ba7d2d7cc2c30f716"
dependencies = [
"pkg-config",
"vcpkg",
@@ -2359,15 +2164,9 @@ dependencies = [
[[package]]
name = "linux-raw-sys"
-version = "0.3.8"
+version = "0.4.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ef53942eb7bf7ff43a617b3e2c1c4a5ecf5944a7c1bc12d7ee39bbb15e5c1519"
-
-[[package]]
-name = "linux-raw-sys"
-version = "0.4.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1a9bad9f94746442c783ca431b22403b519cd7fbeed0533fdd6328b2f2212128"
+checksum = "01cda141df6706de531b6c46c3a33ecca755538219bd484262fa09410c13539c"
[[package]]
name = "locale_config"
@@ -2384,9 +2183,9 @@ dependencies = [
[[package]]
name = "lock_api"
-version = "0.4.10"
+version = "0.4.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c1cc9717a20b1bb222f333e6a92fd32f7d8a18ddc5a3191a11af45dcbf4dcd16"
+checksum = "3c168f8615b12bc01f9c17e2eb0cc07dcae1940121185446edc3744920e8ef45"
dependencies = [
"autocfg",
"scopeguard",
@@ -2394,12 +2193,11 @@ dependencies = [
[[package]]
name = "log"
-version = "0.4.20"
+version = "0.4.21"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b5e6163cb8c49088c2c36f57875e58ccd8c87c7427f7fbd50ea6710b2f3f2e8f"
+checksum = "90ed8c1e510134f979dbc4f070f87d4313098b704861a105fe34231c70a3901c"
dependencies = [
"serde",
- "value-bag",
]
[[package]]
@@ -2410,9 +2208,9 @@ checksum = "a94d21414c1f4a51209ad204c1776a3d0765002c76c6abcb602a6f09f1e881c7"
[[package]]
name = "log4rs"
-version = "1.2.0"
+version = "1.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d36ca1786d9e79b8193a68d480a0907b612f109537115c6ff655a3a1967533fd"
+checksum = "0816135ae15bd0391cf284eab37e6e3ee0a6ee63d2ceeb659862bd8d0a984ca6"
dependencies = [
"anyhow",
"arc-swap",
@@ -2423,7 +2221,9 @@ dependencies = [
"libc",
"log",
"log-mdc",
+ "once_cell",
"parking_lot",
+ "rand",
"serde",
"serde-value",
"serde_json",
@@ -2449,23 +2249,14 @@ version = "0.1.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "c41e0c4fef86961ac6d6f8a82609f55f31b05e4fce149ac5710e439df7619ba4"
-[[package]]
-name = "mach2"
-version = "0.4.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6d0d1830bcd151a6fc4aea1369af235b36c1528fe976b8ff678683c9995eade8"
-dependencies = [
- "libc",
-]
-
[[package]]
name = "magic-crypt"
-version = "3.1.12"
+version = "3.1.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0196bd5c76f5f51d7d6563545f86262fef4c82d75466ba6f6d359c40a523318d"
+checksum = "6c42f95f9d296f2dcb50665f507ed5a68a171453142663ce44d77a4eb217b053"
dependencies = [
"aes",
- "base64 0.13.1",
+ "base64 0.21.7",
"block-modes",
"crc-any",
"des",
@@ -2522,12 +2313,6 @@ version = "0.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "ffbee8634e0d45d258acb448e7eaab3fce7a0a467395d4d9f228e3c1f01fb2e4"
-[[package]]
-name = "matches"
-version = "0.1.10"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2532096657941c2fea9c289d370a250971c689d4f143798ff67113ec042024a5"
-
[[package]]
name = "md-5"
version = "0.9.1"
@@ -2547,18 +2332,9 @@ checksum = "490cc448043f947bae3cbee9c203358d62dbee0db12107a74be5c30ccfd09771"
[[package]]
name = "memchr"
-version = "2.6.3"
+version = "2.7.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8f232d6ef707e1956a43342693d2a31e72989554d58299d7a88738cc95b0d35c"
-
-[[package]]
-name = "memoffset"
-version = "0.7.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5de893c32cde5f383baa4c04c5d6dbdd735cfd4a794b0debdb2bb1b421da5ff4"
-dependencies = [
- "autocfg",
-]
+checksum = "523dc4f511e55ab87b694dc30d0f820d60906ef06413f93d4d7a1385599cc149"
[[package]]
name = "memoffset"
@@ -2576,7 +2352,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "0f23f71580015254b020e856feac3df5878c2c7a8812297edd6c0a485ac9dada"
dependencies = [
"serde",
- "toml",
+ "toml 0.7.8",
]
[[package]]
@@ -2608,11 +2384,11 @@ dependencies = [
[[package]]
name = "miniflux_api"
-version = "0.5.0"
+version = "0.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c402b227e14d83831bfb5a5d4bc347b1a925889f733b27697610a307b0bd8e89"
+checksum = "babaa4cdaadf81050c03f93f16375cf305a29b2d6f099d66ff40aae93afcfee2"
dependencies = [
- "base64 0.13.1",
+ "base64 0.21.7",
"log",
"reqwest",
"serde",
@@ -2624,9 +2400,9 @@ dependencies = [
[[package]]
name = "miniz_oxide"
-version = "0.7.1"
+version = "0.7.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e7810e0be55b428ada41041c41f32c9f1a42817901b4ccf45fa3d4b6561e74c7"
+checksum = "9d811f3e15f28568be3407c8e7fdb6514c1cda3cb30683f15b6a1a1dc4ea14a7"
dependencies = [
"adler",
"simd-adler32",
@@ -2634,23 +2410,23 @@ dependencies = [
[[package]]
name = "mio"
-version = "0.8.8"
+version = "0.8.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "927a765cd3fc26206e66b296465fa9d3e5ab003e651c1b3c060e7956d96b19d2"
+checksum = "a4a650543ca06a924e8b371db273b2756685faae30f8487da1b56505a8f78b0c"
dependencies = [
"libc",
- "wasi 0.11.0+wasi-snapshot-preview1",
- "windows-sys",
+ "wasi",
+ "windows-sys 0.48.0",
]
[[package]]
name = "moka"
-version = "0.11.3"
+version = "0.12.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fa6e72583bf6830c956235bff0d5afec8cf2952f579ebad18ae7821a917d950f"
+checksum = "b1911e88d5831f748a4097a43862d129e3c6fca831eecac9b8db6d01d93c9de2"
dependencies = [
- "async-io",
- "async-lock",
+ "async-lock 2.8.0",
+ "async-trait",
"crossbeam-channel",
"crossbeam-epoch",
"crossbeam-utils",
@@ -2659,7 +2435,6 @@ dependencies = [
"parking_lot",
"quanta",
"rustc_version",
- "scheduled-thread-pool",
"skeptic",
"smallvec",
"tagptr",
@@ -2701,12 +2476,12 @@ checksum = "e4a24736216ec316047a1fc4252e27dabb04218aa4a3f37c6e7ddbf1f9782b54"
[[package]]
name = "news-flash"
version = "2.3.0-alpha.0"
-source = "git+https://gitlab.com/news_flash/news_flash.git#b1763aa942149891afa760255724b0a03319e1e4"
+source = "git+https://gitlab.com/news_flash/news_flash.git#46cf25eff46655e314ae38b28c04f0f943b3910a"
dependencies = [
"article_scraper",
"async-trait",
- "base64 0.21.4",
- "bitflags 2.4.0",
+ "base64 0.21.7",
+ "bitflags 2.4.2",
"bytes",
"chrono",
"commafeed_api",
@@ -2721,7 +2496,7 @@ dependencies = [
"greader_api",
"hex",
"image",
- "itertools 0.11.0",
+ "itertools 0.12.1",
"libxml",
"log",
"magic-crypt",
@@ -2738,7 +2513,7 @@ dependencies = [
"random_color",
"regex",
"reqwest",
- "rust-embed 8.0.0",
+ "rust-embed",
"sanitize-filename",
"semver",
"serde",
@@ -2753,13 +2528,12 @@ name = "news_flash_gtk"
version = "0.0.0"
dependencies = [
"ashpd",
- "base64 0.21.4",
+ "base64 0.22.0",
"bytesize",
"chrono",
- "clap",
"color-backtrace",
"diffus",
- "dirs 5.0.1",
+ "dirs",
"eyre",
"feedly_api",
"futures",
@@ -2779,11 +2553,12 @@ dependencies = [
"news-flash",
"once_cell",
"pango",
+ "parking_lot",
"percent-encoding",
"rc-writer",
"regex",
"reqwest",
- "rust-embed 8.0.0",
+ "rust-embed",
"serde",
"serde_json",
"thiserror",
@@ -2811,7 +2586,7 @@ version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "488e5fb51484deb6bc5bc22f0b0db4902ae7e391d075f8d1a1b9a9674ea326d3"
dependencies = [
- "base64 0.21.4",
+ "base64 0.21.7",
"log",
"reqwest",
"serde",
@@ -2824,14 +2599,15 @@ dependencies = [
[[package]]
name = "nix"
-version = "0.26.4"
+version = "0.28.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "598beaf3cc6fdd9a5dfb1630c2800c7acd31df7aaf0f565796fba2b53ca1af1b"
+checksum = "ab2156c4fce2f8df6c499cc1c763e4394b7482525bf2a9701c9d79d215f519e4"
dependencies = [
- "bitflags 1.3.2",
+ "bitflags 2.4.2",
"cfg-if",
+ "cfg_aliases",
"libc",
- "memoffset 0.7.1",
+ "memoffset",
]
[[package]]
@@ -2846,31 +2622,25 @@ dependencies = [
]
[[package]]
-name = "num-integer"
-version = "0.1.45"
+name = "num-conv"
+version = "0.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "225d3389fb3509a24c93f5c29eb6bde2586b98d9f016636dff58d7c6f7569cd9"
-dependencies = [
- "autocfg",
- "num-traits",
-]
+checksum = "51d515d32fb182ee37cda2ccdcb92950d6a3c2893aa280e540671c2cd0f3b1d9"
[[package]]
-name = "num-rational"
-version = "0.4.1"
+name = "num-integer"
+version = "0.1.46"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0638a1c9d0a3c0914158145bc76cff373a75a627e6ecbfb71cbe6f453a5a19b0"
+checksum = "7969661fd2958a5cb096e56c8e1ad0444ac2bbcd0061bd28660485a44879858f"
dependencies = [
- "autocfg",
- "num-integer",
"num-traits",
]
[[package]]
name = "num-traits"
-version = "0.2.16"
+version = "0.2.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f30b0abd723be7e2ffca1272140fac1a2f084c77ec3e123c192b66af1ee9e6c2"
+checksum = "da0df0e5185db44f69b44f26786fe401b6c293d1907744beaa7fa62b2e5a517a"
dependencies = [
"autocfg",
]
@@ -2922,32 +2692,32 @@ dependencies = [
[[package]]
name = "object"
-version = "0.32.1"
+version = "0.32.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9cf5f9dd3933bd50a9e1f149ec995f39ae2c496d31fd772c1fd45ebc27e902b0"
+checksum = "a6a622008b6e321afc04970976f62ee297fdbaa6f95318ca343e3eebb9648441"
dependencies = [
"memchr",
]
[[package]]
name = "once_cell"
-version = "1.18.0"
+version = "1.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dd8b5dd2ae5ed71462c540258bedcb51965123ad7e7ccf4b9a8cafaa4a63576d"
+checksum = "3fdb12b2476b595f9358c5161aa467c2438859caa136dec86c26fdd2efe17b92"
[[package]]
name = "opaque-debug"
-version = "0.3.0"
+version = "0.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "624a8340c38c1b80fd549087862da4ba43e08858af025b236e509b6649fc13d5"
+checksum = "c08d65885ee38876c4f86fa503fb49d7b507c2b62552df7c70b2fce627e06381"
[[package]]
name = "openssl"
-version = "0.10.57"
+version = "0.10.64"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bac25ee399abb46215765b1cb35bc0212377e58a061560d8b29b024fd0430e7c"
+checksum = "95a0481286a310808298130d22dd1fef0fa571e05a8f44ec801801e84b216b1f"
dependencies = [
- "bitflags 2.4.0",
+ "bitflags 2.4.2",
"cfg-if",
"foreign-types",
"libc",
@@ -2964,7 +2734,7 @@ checksum = "a948666b637a0f465e8564c73e89d4dde00d72d4d473cc972f390fc3dcee7d9c"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.37",
+ "syn 2.0.52",
]
[[package]]
@@ -2975,9 +2745,9 @@ checksum = "ff011a302c396a5197692431fc1948019154afc178baf7d8e37367442a4601cf"
[[package]]
name = "openssl-sys"
-version = "0.9.93"
+version = "0.9.101"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "db4d56a4c0478783083cfafcc42493dd4a981d41669da64b4572a2a089b51b1d"
+checksum = "dda2b0f344e78efc2facf7d195d098df0dd72151b26ab98da807afc26c198dff"
dependencies = [
"cc",
"libc",
@@ -2987,9 +2757,9 @@ dependencies = [
[[package]]
name = "opml"
-version = "1.1.5"
+version = "1.1.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "657e16a7677a52c9bcfca579d77c087bc4240644d7e5491b359bb76ed62c779d"
+checksum = "df2f96426c857a92676dc29a9e2a181eb39321047ac994491c69eae01619ddf2"
dependencies = [
"hard-xml",
"serde",
@@ -3004,9 +2774,9 @@ checksum = "04744f49eae99ab78e0d5c0b603ab218f515ea8cfe5a456d7629ad883a3b6e7d"
[[package]]
name = "ordered-float"
-version = "2.10.0"
+version = "2.10.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7940cf2ca942593318d07fcf2596cdca60a85c9e7fab408a5e21a4f9dcd40d87"
+checksum = "68f19d67e5a2795c94e73e0bb1cc1a7edeb2e28efd39e2e1c9b7a40c1108b11c"
dependencies = [
"num-traits",
]
@@ -3023,22 +2793,21 @@ dependencies = [
[[package]]
name = "pango"
-version = "0.18.0"
+version = "0.19.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "06a9e54b831d033206160096b825f2070cf5fda7e35167b1c01e9e774f9202d1"
+checksum = "7809e8af4df8d024a066106b72ca6bc7253a484ae3867041a96103ef8a13188d"
dependencies = [
"gio",
"glib",
"libc",
- "once_cell",
"pango-sys",
]
[[package]]
name = "pango-sys"
-version = "0.18.0"
+version = "0.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "436737e391a843e5933d6d9aa102cb126d501e815b83601365a948a518555dc5"
+checksum = "f52ef6a881c19fbfe3b1484df5cad411acaaba29dbec843941c3110d19f340ea"
dependencies = [
"glib-sys",
"gobject-sys",
@@ -3048,9 +2817,9 @@ dependencies = [
[[package]]
name = "parking"
-version = "2.1.1"
+version = "2.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e52c774a4c39359c1d1c52e43f73dd91a75a614652c825408eec30c95a9b2067"
+checksum = "bb813b8af86854136c6922af0598d719255ecb2179515e6e7730d468f05c9cae"
[[package]]
name = "parking_lot"
@@ -3064,22 +2833,22 @@ dependencies = [
[[package]]
name = "parking_lot_core"
-version = "0.9.8"
+version = "0.9.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "93f00c865fe7cabf650081affecd3871070f26767e7b2070a3ffae14c654b447"
+checksum = "4c42a9226546d68acdd9c0a280d17ce19bfe27a46bf68784e4066115788d008e"
dependencies = [
"cfg-if",
"libc",
- "redox_syscall 0.3.5",
+ "redox_syscall",
"smallvec",
- "windows-targets",
+ "windows-targets 0.48.5",
]
[[package]]
name = "percent-encoding"
-version = "2.3.0"
+version = "2.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9b2a4787296e9989611394c33f193f676704af1686e70b8f8033ab5ba9a35a94"
+checksum = "e3148f5046208a5d56bcfc03053e3ca6334e51da8dfb19b6cdc8b306fae3283e"
[[package]]
name = "phf"
@@ -3107,7 +2876,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "5d5285893bb5eb82e6aaf5d59ee909a06a16737a8970984dd7746ba9283498d6"
dependencies = [
"phf_shared",
- "rand 0.8.5",
+ "rand",
]
[[package]]
@@ -3116,7 +2885,7 @@ version = "0.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "b6796ad771acdc0123d2a88dc428b5e38ef24456743ddb1744ed628f9815c096"
dependencies = [
- "siphasher",
+ "siphasher 0.3.11",
]
[[package]]
@@ -3138,21 +2907,21 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "668d31b1c4eba19242f2088b2bf3316b82ca31082a8335764db4e083db7485d4"
dependencies = [
"atomic-waker",
- "fastrand 2.0.1",
+ "fastrand",
"futures-io",
]
[[package]]
name = "pkg-config"
-version = "0.3.27"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "26072860ba924cbfa98ea39c8c19b4dd6a4a25423dbdf219c1eca91aa0cf6964"
+checksum = "d231b230927b5e4ad203db57bbcbee2802f6bce620b1e4a9024a07d94e2907ec"
[[package]]
name = "png"
-version = "0.17.10"
+version = "0.17.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dd75bf2d8dd3702b9707cdbc56a5b9ef42cec752eb8b3bafc01234558442aa64"
+checksum = "06e4b0d3d1312775e782c86c91a111aa1f910cbb65e1337f9975b5f9a554b5e1"
dependencies = [
"bitflags 1.3.2",
"crc32fast",
@@ -3163,20 +2932,24 @@ dependencies = [
[[package]]
name = "polling"
-version = "2.8.0"
+version = "3.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4b2d323e8ca7996b3e23126511a523f7e62924d93ecd5ae73b333815b0eb3dce"
+checksum = "24f040dee2588b4963afb4e420540439d126f73fdacf4a9c486a96d840bac3c9"
dependencies = [
- "autocfg",
- "bitflags 1.3.2",
"cfg-if",
"concurrent-queue",
- "libc",
- "log",
"pin-project-lite",
- "windows-sys",
+ "rustix",
+ "tracing",
+ "windows-sys 0.52.0",
]
+[[package]]
+name = "powerfmt"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "439ee305def115ba05938db6eb1644ff94165c5ab5e9420d1c1bcedbba909391"
+
[[package]]
name = "ppv-lite86"
version = "0.2.17"
@@ -3191,12 +2964,11 @@ checksum = "925383efa346730478fb4838dbe9137d2a47675ad789c546d150a6e1dd4ab31c"
[[package]]
name = "proc-macro-crate"
-version = "1.3.1"
+version = "3.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7f4c021e1093a56626774e81216a4ce732a735e5bad4868a03f3ed65ca0c3919"
+checksum = "6d37c51ca738a55da99dc0c4a34860fd675453b8b36209178c2249bb13651284"
dependencies = [
- "once_cell",
- "toml_edit",
+ "toml_edit 0.21.1",
]
[[package]]
@@ -3225,9 +2997,9 @@ dependencies = [
[[package]]
name = "proc-macro2"
-version = "1.0.67"
+version = "1.0.78"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3d433d9f1a3e8c1263d9456598b16fec66f4acc9a74dacffd35c7bb09b3a1328"
+checksum = "e2422ad645d89c99f8f3e6b88a9fdeca7fabeac836b1002371c4367c8f984aae"
dependencies = [
"unicode-ident",
]
@@ -3250,11 +3022,11 @@ dependencies = [
[[package]]
name = "pulldown-cmark"
-version = "0.9.3"
+version = "0.9.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "77a1a2f1f0a7ecff9c31abbe177637be0e97a0aef46cf8738ece09327985d998"
+checksum = "57206b407293d2bcd3af849ce869d52068623f19e1b5ff8e8778e3309439682b"
dependencies = [
- "bitflags 1.3.2",
+ "bitflags 2.4.2",
"memchr",
"unicase",
]
@@ -3270,16 +3042,15 @@ dependencies = [
[[package]]
name = "quanta"
-version = "0.11.1"
+version = "0.12.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a17e662a7a8291a865152364c20c7abc5e60486ab2001e8ec10b24862de0b9ab"
+checksum = "9ca0b7bac0b97248c40bb77288fc52029cf1459c0461ea1b05ee32ccf011de2c"
dependencies = [
"crossbeam-utils",
"libc",
- "mach2",
"once_cell",
"raw-cpuid",
- "wasi 0.11.0+wasi-snapshot-preview1",
+ "wasi",
"web-sys",
"winapi",
]
@@ -3292,9 +3063,9 @@ checksum = "a1d01941d82fa2ab50be1e79e6714289dd7cde78eba4c074bc5a4374f650dfe0"
[[package]]
name = "quick-xml"
-version = "0.27.1"
+version = "0.31.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ffc053f057dd768a56f62cd7e434c42c831d296968997e9ac1f76ea7c2d14c41"
+checksum = "1004a344b30a54e2ee58d66a71b32d2db2feb0a31f9a2d302bf0536f15de2a33"
dependencies = [
"encoding_rs",
"memchr",
@@ -3302,9 +3073,9 @@ dependencies = [
[[package]]
name = "quote"
-version = "1.0.33"
+version = "1.0.35"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5267fca4496028628a95160fc423a33e8b2e6af8a5302579e322e4b520293cae"
+checksum = "291ec9ab5efd934aaf503a6466c5d5251535d108ee747472c3977cc5acc868ef"
dependencies = [
"proc-macro2",
]
@@ -3320,20 +3091,6 @@ dependencies = [
"scheduled-thread-pool",
]
-[[package]]
-name = "rand"
-version = "0.7.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6a6b1679d49b24bbfe0c803429aa1874472f50d9b363131f0e89fc356b544d03"
-dependencies = [
- "getrandom 0.1.16",
- "libc",
- "rand_chacha 0.2.2",
- "rand_core 0.5.1",
- "rand_hc",
- "rand_pcg",
-]
-
[[package]]
name = "rand"
version = "0.8.5"
@@ -3341,18 +3098,8 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "34af8d1a0e25924bc5b7c43c079c942339d8f0a8b57c39049bef581b46327404"
dependencies = [
"libc",
- "rand_chacha 0.3.1",
- "rand_core 0.6.4",
-]
-
-[[package]]
-name = "rand_chacha"
-version = "0.2.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f4c8ed856279c9737206bf725bf36935d8666ead7aa69b52be55af369d193402"
-dependencies = [
- "ppv-lite86",
- "rand_core 0.5.1",
+ "rand_chacha",
+ "rand_core",
]
[[package]]
@@ -3362,16 +3109,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "e6c10a63a0fa32252be49d21e7709d4d4baf8d231c2dbce1eaa8141b9b127d88"
dependencies = [
"ppv-lite86",
- "rand_core 0.6.4",
-]
-
-[[package]]
-name = "rand_core"
-version = "0.5.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "90bde5296fc891b0cef12a6d03ddccc162ce7b2aff54160af9338f8d40df6d19"
-dependencies = [
- "getrandom 0.1.16",
+ "rand_core",
]
[[package]]
@@ -3380,50 +3118,32 @@ version = "0.6.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "ec0be4795e2f6a28069bec0b5ff3e2ac9bafc99e6a9a7dc3547996c5c816922c"
dependencies = [
- "getrandom 0.2.10",
-]
-
-[[package]]
-name = "rand_hc"
-version = "0.2.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ca3129af7b92a17112d59ad498c6f81eaf463253766b90396d39ea7a39d6613c"
-dependencies = [
- "rand_core 0.5.1",
-]
-
-[[package]]
-name = "rand_pcg"
-version = "0.2.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "16abd0c1b639e9eb4d7c50c0b8100b0d0f849be2349829c740fe8e6eb4816429"
-dependencies = [
- "rand_core 0.5.1",
+ "getrandom",
]
[[package]]
name = "random_color"
-version = "0.6.1"
+version = "0.8.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f5f34bd6526786b2ce5141fd37a4084b5da1ebae74595b5b0d05482a7cef7181"
+checksum = "0085421bc527effa7ed6d46bac0a28734663c47abe03d80a5e78e441fad85196"
dependencies = [
- "rand 0.7.3",
+ "rand",
]
[[package]]
name = "raw-cpuid"
-version = "10.7.0"
+version = "11.0.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6c297679cb867470fa8c9f67dbba74a78d78e3e98d7cf2b08d6d71540f797332"
+checksum = "9d86a7c4638d42c44551f4791a20e687dbb4c3de1f33c43dd71e355cd429def1"
dependencies = [
- "bitflags 1.3.2",
+ "bitflags 2.4.2",
]
[[package]]
name = "rayon"
-version = "1.8.0"
+version = "1.9.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9c27db03db7734835b3f53954b534c91069375ce6ccaa2e065441e07d9b6cdb1"
+checksum = "e4963ed1bc86e4f3ee217022bd855b297cef07fb9eac5dfa1f788b220b49b3bd"
dependencies = [
"either",
"rayon-core",
@@ -3431,9 +3151,9 @@ dependencies = [
[[package]]
name = "rayon-core"
-version = "1.12.0"
+version = "1.12.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5ce3fb6ad83f861aac485e76e1985cd109d9a3713802152be56c3b1f0e0658ed"
+checksum = "1465873a3dfdaa8ae7cb14b4383657caab0b3e8a0aa9ae8e04b044854c8dfce2"
dependencies = [
"crossbeam-deque",
"crossbeam-utils",
@@ -3447,38 +3167,29 @@ checksum = "ca8049c74229f22d8cba889ee1d541b05da9c9668d8fe2011bb922250d0be148"
[[package]]
name = "redox_syscall"
-version = "0.2.16"
+version = "0.4.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fb5a58c1855b4b6819d59012155603f0b22ad30cad752600aadfcb695265519a"
-dependencies = [
- "bitflags 1.3.2",
-]
-
-[[package]]
-name = "redox_syscall"
-version = "0.3.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "567664f262709473930a4bf9e51bf2ebf3348f2e748ccc50dea20646858f8f29"
+checksum = "4722d768eff46b75989dd134e5c353f0d6296e5aaa3132e776cbdb56be7731aa"
dependencies = [
"bitflags 1.3.2",
]
[[package]]
name = "redox_users"
-version = "0.4.3"
+version = "0.4.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b033d837a7cf162d7993aded9304e30a83213c648b6e389db233191f891e5c2b"
+checksum = "a18479200779601e498ada4e8c1e1f50e3ee19deb0259c25825a98b5603b2cb4"
dependencies = [
- "getrandom 0.2.10",
- "redox_syscall 0.2.16",
+ "getrandom",
+ "libredox",
"thiserror",
]
[[package]]
name = "regex"
-version = "1.9.5"
+version = "1.10.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "697061221ea1b4a94a624f67d0ae2bfe4e22b8a17b6a192afb11046542cc8c47"
+checksum = "b62dbe01f0b06f9d8dc7d49e05a0785f153b00b2c227856282f671e0318c9b15"
dependencies = [
"aho-corasick",
"memchr",
@@ -3488,9 +3199,9 @@ dependencies = [
[[package]]
name = "regex-automata"
-version = "0.3.8"
+version = "0.4.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c2f401f4955220693b56f8ec66ee9c78abffd8d1c4f23dc41a23839eb88f0795"
+checksum = "86b83b8b9847f9bf95ef68afb0b8e6cdb80f498442f5179a29fad448fcc1eaea"
dependencies = [
"aho-corasick",
"memchr",
@@ -3499,18 +3210,18 @@ dependencies = [
[[package]]
name = "regex-syntax"
-version = "0.7.5"
+version = "0.8.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dbb5fb1acd8a1a18b3dd5be62d25485eb770e05afb408a9627d14d451bae12da"
+checksum = "c08c74e62047bb2de4ff487b251e4a92e24f48745648451635cec7d591162d9f"
[[package]]
name = "reqwest"
-version = "0.11.20"
+version = "0.11.24"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3e9ad3fe7488d7e34558a2033d45a0c90b72d97b4f80705666fea71472e2e6a1"
+checksum = "c6920094eb85afde5e4a138be3f2de8bbdf28000f0029e72c45025a56b042251"
dependencies = [
"async-compression",
- "base64 0.21.4",
+ "base64 0.21.7",
"bytes",
"cookie",
"cookie_store",
@@ -3521,7 +3232,6 @@ dependencies = [
"http",
"http-body",
"hyper",
- "hyper-rustls",
"hyper-tls",
"ipnet",
"js-sys",
@@ -3532,14 +3242,14 @@ dependencies = [
"once_cell",
"percent-encoding",
"pin-project-lite",
- "rustls",
"rustls-pemfile",
"serde",
"serde_json",
"serde_urlencoded",
+ "sync_wrapper",
+ "system-configuration",
"tokio",
"tokio-native-tls",
- "tokio-rustls",
"tokio-socks",
"tokio-util",
"tower-service",
@@ -3549,7 +3259,6 @@ dependencies = [
"wasm-bindgen-futures",
"wasm-streams",
"web-sys",
- "webpki-roots",
"winreg",
]
@@ -3563,85 +3272,36 @@ dependencies = [
"quick-error",
]
-[[package]]
-name = "ring"
-version = "0.16.20"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3053cf52e236a3ed746dfc745aa9cacf1b791d846bdaf412f60a8d7d6e17c8fc"
-dependencies = [
- "cc",
- "libc",
- "once_cell",
- "spin 0.5.2",
- "untrusted",
- "web-sys",
- "winapi",
-]
-
[[package]]
name = "rust-embed"
-version = "6.8.1"
+version = "8.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a36224c3276f8c4ebc8c20f158eca7ca4359c8db89991c4925132aaaf6702661"
+checksum = "fb78f46d0066053d16d4ca7b898e9343bc3530f71c61d5ad84cd404ada068745"
dependencies = [
- "rust-embed-impl 6.8.1",
- "rust-embed-utils 7.8.1",
- "walkdir",
-]
-
-[[package]]
-name = "rust-embed"
-version = "8.0.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b1e7d90385b59f0a6bf3d3b757f3ca4ece2048265d70db20a2016043d4509a40"
-dependencies = [
- "rust-embed-impl 8.0.0",
- "rust-embed-utils 8.0.0",
+ "rust-embed-impl",
+ "rust-embed-utils",
"walkdir",
]
[[package]]
name = "rust-embed-impl"
-version = "6.8.1"
+version = "8.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "49b94b81e5b2c284684141a2fb9e2a31be90638caf040bf9afbc5a0416afe1ac"
+checksum = "b91ac2a3c6c0520a3fb3dd89321177c3c692937c4eb21893378219da10c44fc8"
dependencies = [
"proc-macro2",
"quote",
- "rust-embed-utils 7.8.1",
- "syn 2.0.37",
- "walkdir",
-]
-
-[[package]]
-name = "rust-embed-impl"
-version = "8.0.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3c3d8c6fd84090ae348e63a84336b112b5c3918b3bf0493a581f7bd8ee623c29"
-dependencies = [
- "proc-macro2",
- "quote",
- "rust-embed-utils 8.0.0",
+ "rust-embed-utils",
"shellexpand",
- "syn 2.0.37",
+ "syn 2.0.52",
"walkdir",
]
[[package]]
name = "rust-embed-utils"
-version = "7.8.1"
+version = "8.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9d38ff6bf570dc3bb7100fce9f7b60c33fa71d80e88da3f2580df4ff2bdded74"
-dependencies = [
- "sha2 0.10.8",
- "walkdir",
-]
-
-[[package]]
-name = "rust-embed-utils"
-version = "8.0.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "873feff8cb7bf86fdf0a71bb21c95159f4e4a37dd7a4bd1855a940909b583ada"
+checksum = "86f69089032567ffff4eada41c573fc43ff466c7db7c5688b2e7969584345581"
dependencies = [
"sha2 0.10.8",
"walkdir",
@@ -3664,67 +3324,31 @@ dependencies = [
[[package]]
name = "rustix"
-version = "0.37.23"
+version = "0.38.31"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4d69718bf81c6127a49dc64e44a742e8bb9213c0ff8869a22c308f84c1d4ab06"
+checksum = "6ea3e1a662af26cd7a3ba09c0297a31af215563ecf42817c98df621387f4e949"
dependencies = [
- "bitflags 1.3.2",
- "errno",
- "io-lifetimes",
- "libc",
- "linux-raw-sys 0.3.8",
- "windows-sys",
-]
-
-[[package]]
-name = "rustix"
-version = "0.38.14"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "747c788e9ce8e92b12cd485c49ddf90723550b654b32508f979b71a7b1ecda4f"
-dependencies = [
- "bitflags 2.4.0",
+ "bitflags 2.4.2",
"errno",
"libc",
- "linux-raw-sys 0.4.7",
- "windows-sys",
-]
-
-[[package]]
-name = "rustls"
-version = "0.21.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cd8d6c9f025a446bc4d18ad9632e69aec8f287aa84499ee335599fabd20c3fd8"
-dependencies = [
- "log",
- "ring",
- "rustls-webpki",
- "sct",
+ "linux-raw-sys",
+ "windows-sys 0.52.0",
]
[[package]]
name = "rustls-pemfile"
-version = "1.0.3"
+version = "1.0.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2d3987094b1d07b653b7dfdc3f70ce9a1da9c51ac18c1b06b662e4f9a0e9f4b2"
+checksum = "1c74cae0a4cf6ccbbf5f359f08efdf8ee7e1dc532573bf0db71968cb56b1448c"
dependencies = [
- "base64 0.21.4",
-]
-
-[[package]]
-name = "rustls-webpki"
-version = "0.101.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3c7d5dece342910d9ba34d259310cae3e0154b873b35408b787b59bce53d34fe"
-dependencies = [
- "ring",
- "untrusted",
+ "base64 0.21.7",
]
[[package]]
name = "ryu"
-version = "1.0.15"
+version = "1.0.17"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ad4cc8da4ef723ed60bced201181d83791ad433213d8c24efffda1eec85d741"
+checksum = "e86697c916019a8588c99b5fac3cead74ec0b4b819707a682fd4d23fa0ce1ba1"
[[package]]
name = "same-file"
@@ -3747,11 +3371,11 @@ dependencies = [
[[package]]
name = "schannel"
-version = "0.1.22"
+version = "0.1.23"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0c3733bf4cf7ea0880754e19cb5a462007c4a8c1914bff372ccc95b464f1df88"
+checksum = "fbc91545643bcf3a0bbb6569265615222618bdf33ce4ffbbd13c4bbd4c093534"
dependencies = [
- "windows-sys",
+ "windows-sys 0.52.0",
]
[[package]]
@@ -3769,16 +3393,6 @@ version = "1.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "94143f37725109f92c262ed2cf5e59bce7498c01bcc1502d7b9afe439a4e9f49"
-[[package]]
-name = "sct"
-version = "0.7.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d53dcdb7c9f8158937a7981b48accfd39a43af418591a5d008c7b22b5e1b7ca4"
-dependencies = [
- "ring",
- "untrusted",
-]
-
[[package]]
name = "security-framework"
version = "2.9.2"
@@ -3804,18 +3418,18 @@ dependencies = [
[[package]]
name = "semver"
-version = "1.0.19"
+version = "1.0.22"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ad977052201c6de01a8ef2aa3378c4bd23217a056337d1d6da40468d267a4fb0"
+checksum = "92d43fe69e652f3df9bdc2b85b2854a0825b86e4fb76bc44d945137d053639ca"
dependencies = [
"serde",
]
[[package]]
name = "serde"
-version = "1.0.188"
+version = "1.0.197"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cf9e0fcba69a370eed61bcf2b728575f726b50b55cba78064753d708ddc7549e"
+checksum = "3fb1c873e1b9b056a4dc4c0c198b24c3ffa059243875552b2bd0933b1aee4ce2"
dependencies = [
"serde_derive",
]
@@ -3832,20 +3446,20 @@ dependencies = [
[[package]]
name = "serde_derive"
-version = "1.0.188"
+version = "1.0.197"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4eca7ac642d82aa35b60049a6eccb4be6be75e599bd2e9adb5f875a737654af2"
+checksum = "7eb0b34b42edc17f6b7cac84a52a1c5f0e1bb2227e997ca9011ea3dd34e8610b"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.37",
+ "syn 2.0.52",
]
[[package]]
name = "serde_json"
-version = "1.0.107"
+version = "1.0.114"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6b420ce6e3d8bd882e9b243c6eed35dbc9a6110c9769e74b584e0d68d1f20c65"
+checksum = "c5f09b1bd632ef549eaa9f60a1f8de742bdbc698e6cee2095fc84dde5f549ae0"
dependencies = [
"itoa",
"ryu",
@@ -3854,20 +3468,20 @@ dependencies = [
[[package]]
name = "serde_repr"
-version = "0.1.16"
+version = "0.1.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8725e1dfadb3a50f7e5ce0b1a540466f6ed3fe7a0fca2ac2b8b831d31316bd00"
+checksum = "0b2e6b945e9d3df726b65d6ee24060aff8e3533d431f677a9695db04eff9dfdb"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.37",
+ "syn 2.0.52",
]
[[package]]
name = "serde_spanned"
-version = "0.6.3"
+version = "0.6.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "96426c9936fd7a0124915f9185ea1d20aa9445cc9821142f0a73bc9207a2e186"
+checksum = "eb3622f419d1296904700073ea6cc23ad690adbd66f13ea683df73298736f0c1"
dependencies = [
"serde",
]
@@ -3886,14 +3500,15 @@ dependencies = [
[[package]]
name = "serde_yaml"
-version = "0.8.26"
+version = "0.9.32"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "578a7433b776b56a35785ed5ce9a7e777ac0598aac5a6dd1b4b18a307c7fc71b"
+checksum = "8fd075d994154d4a774f95b51fb96bdc2832b0ea48425c92546073816cda1f2f"
dependencies = [
- "indexmap 1.9.3",
+ "indexmap",
+ "itoa",
"ryu",
"serde",
- "yaml-rust",
+ "unsafe-libyaml",
]
[[package]]
@@ -3933,11 +3548,11 @@ dependencies = [
[[package]]
name = "shellexpand"
-version = "2.1.2"
+version = "3.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7ccc8076840c4da029af4f87e4e8daeb0fca6b87bbb02e10cb60b791450e11e4"
+checksum = "da03fa3b94cc19e3ebfc88c4229c49d8f08cdbd1228870a45f0ffdf84988e14b"
dependencies = [
- "dirs 4.0.0",
+ "dirs",
]
[[package]]
@@ -3961,6 +3576,12 @@ version = "0.3.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "38b58827f4464d87d377d175e90bf58eb00fd8716ff0a62f80356b5e61555d0d"
+[[package]]
+name = "siphasher"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "54ac45299ccbd390721be55b412d41931911f654fa99e2cb8bfb57184b2061fe"
+
[[package]]
name = "skeptic"
version = "0.13.7"
@@ -3987,35 +3608,25 @@ dependencies = [
[[package]]
name = "smallvec"
-version = "1.11.1"
+version = "1.13.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "942b4a808e05215192e39f4ab80813e599068285906cc91aa64f923db842bd5a"
+checksum = "e6ecd384b10a64542d77071bd64bd7b231f4ed5940fba55e98c3de13824cf3d7"
[[package]]
name = "socket2"
-version = "0.4.9"
+version = "0.5.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "64a4a911eed85daf18834cfaa86a79b7d266ff93ff5ba14005426219480ed662"
+checksum = "05ffd9c0a93b7543e062e759284fcf5f5e3b098501104bfbdde4d404db792871"
dependencies = [
"libc",
- "winapi",
-]
-
-[[package]]
-name = "socket2"
-version = "0.5.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4031e820eb552adee9295814c0ced9e5cf38ddf1e8b7d566d6de8e2538ea989e"
-dependencies = [
- "libc",
- "windows-sys",
+ "windows-sys 0.52.0",
]
[[package]]
name = "soup3"
-version = "0.5.0"
+version = "0.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "471f924a40f31251afc77450e781cb26d55c0b650842efafc9c6cbd2f7cc4f9f"
+checksum = "3fb6bbb0e56d089517432ca8aac0879e5f343b309783384a7fdbdd6d5232e681"
dependencies = [
"futures-channel",
"gio",
@@ -4026,9 +3637,9 @@ dependencies = [
[[package]]
name = "soup3-sys"
-version = "0.5.0"
+version = "0.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7ebe8950a680a12f24f15ebe1bf70db7af98ad242d9db43596ad3108aab86c27"
+checksum = "f9c6d7beef555a91c6129f5e71ecf4b6e9eac8b48914098d713b1537ebbf6917"
dependencies = [
"gio-sys",
"glib-sys",
@@ -4037,12 +3648,6 @@ dependencies = [
"system-deps",
]
-[[package]]
-name = "spin"
-version = "0.5.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6e63cff320ae2c57904679ba7cb63280a3dc4613885beafb148ee7bf9aa9042d"
-
[[package]]
name = "spin"
version = "0.9.8"
@@ -4084,12 +3689,6 @@ dependencies = [
"quote",
]
-[[package]]
-name = "strsim"
-version = "0.10.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "73473c0e59e6d5812c5dfe2a064a6444949f089e20eec9a2e5506596494e4623"
-
[[package]]
name = "syn"
version = "1.0.109"
@@ -4103,9 +3702,9 @@ dependencies = [
[[package]]
name = "syn"
-version = "2.0.37"
+version = "2.0.52"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7303ef2c05cd654186cb250d29049a24840ca25d2747c25c0381c8d9e2f582e8"
+checksum = "b699d15b36d1f02c3e7c69f8ffef53de37aefae075d8488d4ba1a7788d574a07"
dependencies = [
"proc-macro2",
"quote",
@@ -4113,15 +3712,42 @@ dependencies = [
]
[[package]]
-name = "system-deps"
-version = "6.1.1"
+name = "sync_wrapper"
+version = "0.1.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "30c2de8a4d8f4b823d634affc9cd2a74ec98c53a756f317e529a48046cbf71f3"
+checksum = "2047c6ded9c721764247e62cd3b03c09ffc529b2ba5b10ec482ae507a4a70160"
+
+[[package]]
+name = "system-configuration"
+version = "0.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ba3a3adc5c275d719af8cb4272ea1c4a6d668a777f37e115f6d11ddbc1c8e0e7"
+dependencies = [
+ "bitflags 1.3.2",
+ "core-foundation",
+ "system-configuration-sys",
+]
+
+[[package]]
+name = "system-configuration-sys"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a75fb188eb626b924683e3b95e3a48e63551fcfb51949de2f06a9d91dbee93c9"
+dependencies = [
+ "core-foundation-sys",
+ "libc",
+]
+
+[[package]]
+name = "system-deps"
+version = "6.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2a2d580ff6a20c55dfb86be5f9c238f67835d0e81cbdea8bf5680e0897320331"
dependencies = [
"cfg-expr",
"heck",
"pkg-config",
- "toml",
+ "toml 0.8.10",
"version-compare",
]
@@ -4133,27 +3759,26 @@ checksum = "7b2093cf4c8eb1e67749a6762251bc9cd836b6fc171623bd0a9d324d37af2417"
[[package]]
name = "target-lexicon"
-version = "0.12.11"
+version = "0.12.14"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9d0e916b1148c8e263850e1ebcbd046f333e0683c724876bb0da63ea4373dc8a"
+checksum = "e1fc403891a21bcfb7c37834ba66a547a8f402146eba7265b5a6d88059c9ff2f"
[[package]]
name = "temp-dir"
-version = "0.1.11"
+version = "0.1.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "af547b166dd1ea4b472165569fc456cfb6818116f854690b0ff205e636523dab"
+checksum = "dd16aa9ffe15fe021c6ee3766772132c6e98dfa395a167e16864f61a9cfb71d6"
[[package]]
name = "tempfile"
-version = "3.8.0"
+version = "3.10.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cb94d2f3cc536af71caac6b6fcebf65860b347e7ce0cc9ebe8f70d3e521054ef"
+checksum = "85b77fafb263dd9d05cbeac119526425676db3784113aa9295c88498cbf8bff1"
dependencies = [
"cfg-if",
- "fastrand 2.0.1",
- "redox_syscall 0.3.5",
- "rustix 0.38.14",
- "windows-sys",
+ "fastrand",
+ "rustix",
+ "windows-sys 0.52.0",
]
[[package]]
@@ -4169,49 +3794,48 @@ dependencies = [
[[package]]
name = "termcolor"
-version = "1.3.0"
+version = "1.4.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6093bad37da69aab9d123a8091e4be0aa4a03e4d601ec641c327398315f62b64"
+checksum = "06794f8f6c5c898b3275aebefa6b8a1cb24cd2c6c79397ab15774837a0bc5755"
dependencies = [
"winapi-util",
]
[[package]]
name = "thiserror"
-version = "1.0.49"
+version = "1.0.57"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1177e8c6d7ede7afde3585fd2513e611227efd6481bd78d2e82ba1ce16557ed4"
+checksum = "1e45bcbe8ed29775f228095caf2cd67af7a4ccf756ebff23a306bf3e8b47b24b"
dependencies = [
"thiserror-impl",
]
[[package]]
name = "thiserror-impl"
-version = "1.0.49"
+version = "1.0.57"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "10712f02019e9288794769fba95cd6847df9874d49d871d062172f9dd41bc4cc"
+checksum = "a953cb265bef375dae3de6663da4d3804eee9682ea80d8e2542529b73c531c81"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.37",
+ "syn 2.0.52",
]
[[package]]
name = "thread-id"
-version = "4.2.0"
+version = "4.2.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "79474f573561cdc4871a0de34a51c92f7f5a56039113fbb5b9c9f96bdb756669"
+checksum = "f0ec81c46e9eb50deaa257be2f148adf052d1fb7701cfd55ccfab2525280b70b"
dependencies = [
"libc",
- "redox_syscall 0.2.16",
"winapi",
]
[[package]]
name = "tiff"
-version = "0.9.0"
+version = "0.9.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6d172b0f4d3fba17ba89811858b9d3d97f928aece846475bbda076ca46736211"
+checksum = "ba1310fcea54c6a9a4fd1aad794ecc02c31682f6bfbecdf460bf19533eed1e3e"
dependencies = [
"flate2",
"jpeg-decoder",
@@ -4231,12 +3855,14 @@ dependencies = [
[[package]]
name = "time"
-version = "0.3.29"
+version = "0.3.34"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "426f806f4089c493dcac0d24c29c01e2c38baf8e30f1b716ee37e83d200b18fe"
+checksum = "c8248b6521bb14bc45b4067159b9b6ad792e2d6d754d6c41fb50e29fefe38749"
dependencies = [
"deranged",
"itoa",
+ "num-conv",
+ "powerfmt",
"serde",
"time-core",
"time-macros",
@@ -4250,10 +3876,11 @@ checksum = "ef927ca75afb808a4d64dd374f00a2adf8d0fcff8e7b184af886c3c87ec4a3f3"
[[package]]
name = "time-macros"
-version = "0.2.15"
+version = "0.2.17"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4ad70d68dba9e1f8aceda7aa6711965dfec1cac869f311a51bd08b3a2ccbce20"
+checksum = "7ba3a3ef41e6672a2f0f001392bb5dcd3ff0a9992d618ca761a11c3121547774"
dependencies = [
+ "num-conv",
"time-core",
]
@@ -4274,9 +3901,9 @@ checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20"
[[package]]
name = "tokio"
-version = "1.32.0"
+version = "1.36.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "17ed6077ed6cd6c74735e21f37eb16dc3935f96878b1fe961074089cc80893f9"
+checksum = "61285f6515fa018fb2d1e46eb21223fff441ee8db5d0f1435e8ab4f5cdb80931"
dependencies = [
"backtrace",
"bytes",
@@ -4284,20 +3911,20 @@ dependencies = [
"mio",
"num_cpus",
"pin-project-lite",
- "socket2 0.5.4",
+ "socket2",
"tokio-macros",
- "windows-sys",
+ "windows-sys 0.48.0",
]
[[package]]
name = "tokio-macros"
-version = "2.1.0"
+version = "2.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "630bdcf245f78637c13ec01ffae6187cca34625e8c63150d424b59e55af2675e"
+checksum = "5b8a1e28f2deaa14e508979454cb3a223b10b938b45af148bc0986de36f1923b"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.37",
+ "syn 2.0.52",
]
[[package]]
@@ -4310,16 +3937,6 @@ dependencies = [
"tokio",
]
-[[package]]
-name = "tokio-rustls"
-version = "0.24.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c28327cf380ac148141087fbfb9de9d7bd4e84ab5d2c28fbc911d753de8a7081"
-dependencies = [
- "rustls",
- "tokio",
-]
-
[[package]]
name = "tokio-socks"
version = "0.5.1"
@@ -4334,9 +3951,9 @@ dependencies = [
[[package]]
name = "tokio-util"
-version = "0.7.9"
+version = "0.7.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1d68074620f57a0b21594d9735eb2e98ab38b17f80d3fcb189fca266771ca60d"
+checksum = "5419f34732d9eb6ee4c3578b7989078579b7f039cbbb9ca2c4da015749371e15"
dependencies = [
"bytes",
"futures-core",
@@ -4355,14 +3972,26 @@ dependencies = [
"serde",
"serde_spanned",
"toml_datetime",
- "toml_edit",
+ "toml_edit 0.19.15",
+]
+
+[[package]]
+name = "toml"
+version = "0.8.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9a9aad4a3066010876e8dcf5a8a06e70a558751117a145c6ce2b82c2e2054290"
+dependencies = [
+ "serde",
+ "serde_spanned",
+ "toml_datetime",
+ "toml_edit 0.22.6",
]
[[package]]
name = "toml_datetime"
-version = "0.6.3"
+version = "0.6.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7cda73e2f1397b1262d6dfdcef8aafae14d1de7748d66822d3bfeeb6d03e5e4b"
+checksum = "3550f4e9685620ac18a50ed434eb3aec30db8ba93b0287467bca5826ea25baf1"
dependencies = [
"serde",
]
@@ -4373,11 +4002,35 @@ version = "0.19.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "1b5bb770da30e5cbfde35a2d7b9b8a2c4b8ef89548a7a6aeab5c9a576e3e7421"
dependencies = [
- "indexmap 2.0.1",
+ "indexmap",
"serde",
"serde_spanned",
"toml_datetime",
- "winnow",
+ "winnow 0.5.40",
+]
+
+[[package]]
+name = "toml_edit"
+version = "0.21.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6a8534fd7f78b5405e860340ad6575217ce99f38d4d5c8f2442cb5ecb50090e1"
+dependencies = [
+ "indexmap",
+ "toml_datetime",
+ "winnow 0.5.40",
+]
+
+[[package]]
+name = "toml_edit"
+version = "0.22.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2c1b5fd4128cc8d3e0cb74d4ed9a9cc7c7284becd4df68f5f940e1ad123606f6"
+dependencies = [
+ "indexmap",
+ "serde",
+ "serde_spanned",
+ "toml_datetime",
+ "winnow 0.6.5",
]
[[package]]
@@ -4388,11 +4041,10 @@ checksum = "b6bc1c9ce2b5135ac7f93c72918fc37feb872bdc6a5533a8b85eb4b86bfdae52"
[[package]]
name = "tracing"
-version = "0.1.37"
+version = "0.1.40"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8ce8c33a8d48bd45d624a6e523445fd21ec13d3653cd51f681abf67418f54eb8"
+checksum = "c3523ab5a71916ccf420eebdf5521fcef02141234bbc0b8a49f2fdc4544364ef"
dependencies = [
- "cfg-if",
"pin-project-lite",
"tracing-attributes",
"tracing-core",
@@ -4400,35 +4052,35 @@ dependencies = [
[[package]]
name = "tracing-attributes"
-version = "0.1.26"
+version = "0.1.27"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5f4f31f56159e98206da9efd823404b79b6ef3143b4a7ab76e67b1751b25a4ab"
+checksum = "34704c8d6ebcbc939824180af020566b01a7c01f80641264eba0999f6c2b6be7"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.37",
+ "syn 2.0.52",
]
[[package]]
name = "tracing-core"
-version = "0.1.31"
+version = "0.1.32"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0955b8137a1df6f1a2e9a37d8a6656291ff0297c1a97c24e0d8425fe2312f79a"
+checksum = "c06d3da6113f116aaee68e4d601191614c9053067f9ab7f6edbcb161237daa54"
dependencies = [
"once_cell",
]
[[package]]
name = "triomphe"
-version = "0.1.9"
+version = "0.1.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0eee8098afad3fb0c54a9007aab6804558410503ad676d4633f9c2559a00ac0f"
+checksum = "859eb650cfee7434994602c3a68b25d77ad9e68c8a6cd491616ef86661382eb3"
[[package]]
name = "trust-dns-proto"
-version = "0.22.0"
+version = "0.23.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4f7f83d1e4a0e4358ac54c5c3681e5d7da5efc5a7a632c90bb6d6669ddd9bc26"
+checksum = "3119112651c157f4488931a01e586aa459736e9d6046d3bd9105ffb69352d374"
dependencies = [
"async-trait",
"cfg-if",
@@ -4437,10 +4089,10 @@ dependencies = [
"futures-channel",
"futures-io",
"futures-util",
- "idna 0.2.3",
+ "idna 0.4.0",
"ipnet",
- "lazy_static",
- "rand 0.8.5",
+ "once_cell",
+ "rand",
"smallvec",
"thiserror",
"tinyvec",
@@ -4451,16 +4103,17 @@ dependencies = [
[[package]]
name = "trust-dns-resolver"
-version = "0.22.0"
+version = "0.23.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "aff21aa4dcefb0a1afbfac26deb0adc93888c7d295fb63ab273ef276ba2b7cfe"
+checksum = "10a3e6c3aff1718b3c73e395d1f35202ba2ffa847c6a62eea0db8fb4cfe30be6"
dependencies = [
"cfg-if",
"futures-util",
"ipconfig",
- "lazy_static",
"lru-cache",
+ "once_cell",
"parking_lot",
+ "rand",
"resolv-conf",
"smallvec",
"thiserror",
@@ -4471,9 +4124,9 @@ dependencies = [
[[package]]
name = "try-lock"
-version = "0.2.4"
+version = "0.2.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3528ecfd12c466c6f163363caf2d02a71161dd5e1cc6ae7b34207ea2d42d81ed"
+checksum = "e421abadd41a4225275504ea4d6566923418b7f05506fbc9c0fe86ba7396114b"
[[package]]
name = "typemap-ors"
@@ -4492,10 +4145,11 @@ checksum = "42ff0bf0c66b8238c6f3b578df37d0b7848e55df8577b3f74f92a69acceeb825"
[[package]]
name = "uds_windows"
-version = "1.0.2"
+version = "1.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ce65604324d3cce9b966701489fbd0cf318cb1f7bd9dd07ac9a4ee6fb791930d"
+checksum = "89daebc3e6fd160ac4aa9fc8b3bf71e1f74fbf92367ae71fb83a037e8bf164b9"
dependencies = [
+ "memoffset",
"tempfile",
"winapi",
]
@@ -4511,9 +4165,9 @@ dependencies = [
[[package]]
name = "unicode-bidi"
-version = "0.3.13"
+version = "0.3.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "92888ba5573ff080736b3648696b70cafad7d250551175acbaa4e0385b3e1460"
+checksum = "08f95100a766bf4f8f28f90d77e0a5461bbdb219042e7679bebe79004fed8d75"
[[package]]
name = "unicode-ident"
@@ -4523,9 +4177,9 @@ checksum = "3354b9ac3fae1ff6755cb6db53683adb661634f67557942dea4facebec0fee4b"
[[package]]
name = "unicode-normalization"
-version = "0.1.22"
+version = "0.1.23"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5c5713f0fc4b5db668a2ac63cdb7bb4469d8c9fed047b1d0292cc7b0ce2ba921"
+checksum = "a56d1686db2308d901306f92a263857ef59ea39678a5458e7cb17f01415101f5"
dependencies = [
"tinyvec",
]
@@ -4540,19 +4194,19 @@ dependencies = [
]
[[package]]
-name = "untrusted"
-version = "0.7.1"
+name = "unsafe-libyaml"
+version = "0.2.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a156c684c91ea7d62626509bce3cb4e1d9ed5c4d978f7b4352658f96a4c26b4a"
+checksum = "ab4c90930b95a82d00dc9e9ac071b4991924390d46cbd0dfe566148667605e4b"
[[package]]
name = "url"
-version = "2.4.1"
+version = "2.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "143b538f18257fac9cad154828a57c6bf5157e1aa604d4816b5995bf6de87ae5"
+checksum = "31e6302e3bb753d46e83516cae55ae196fc0c309407cf11ab35cc51a4c2a4633"
dependencies = [
"form_urlencoded",
- "idna 0.4.0",
+ "idna 0.5.0",
"percent-encoding",
"serde",
]
@@ -4563,27 +4217,15 @@ version = "0.7.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "09cc8ee72d2a9becf2f2febe0205bbed8fc6615b7cb429ad062dc7b7ddd036a9"
-[[package]]
-name = "utf8parse"
-version = "0.2.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "711b9620af191e0cdc7468a8d14e709c3dcdb115b36f838e601583af800a370a"
-
[[package]]
name = "uuid"
-version = "1.4.1"
+version = "1.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "79daa5ed5740825c40b389c5e50312b9c86df53fccd33f281df655642b43869d"
+checksum = "f00cc9702ca12d3c81455259621e676d0f7251cec66a21e98fe2e9a37db93b2a"
dependencies = [
- "getrandom 0.2.10",
+ "getrandom",
]
-[[package]]
-name = "value-bag"
-version = "1.4.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d92ccd67fb88503048c01b59152a04effd0782d035a83a6d256ce6085f08f4a3"
-
[[package]]
name = "vcpkg"
version = "0.2.15"
@@ -4602,17 +4244,11 @@ version = "0.9.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "49874b5167b65d7193b8aba1567f5c7d93d001cafc34600cee003eda787e483f"
-[[package]]
-name = "waker-fn"
-version = "1.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f3c4517f54858c779bbcbf228f4fca63d121bf85fbecb2dc578cdf4a39395690"
-
[[package]]
name = "walkdir"
-version = "2.4.0"
+version = "2.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d71d857dc86794ca4c280d616f7da00d2dbfd8cd788846559a6813e6aa4b54ee"
+checksum = "29790946404f91d9c5d06f9874efddea1dc06c5efe94541a7d6863108e3a5e4b"
dependencies = [
"same-file",
"winapi-util",
@@ -4627,12 +4263,6 @@ dependencies = [
"try-lock",
]
-[[package]]
-name = "wasi"
-version = "0.9.0+wasi-snapshot-preview1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cccddf32554fecc6acb585f82a32a72e28b48f8c4c1883ddfeeeaa96f7d8e519"
-
[[package]]
name = "wasi"
version = "0.11.0+wasi-snapshot-preview1"
@@ -4641,9 +4271,9 @@ checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
[[package]]
name = "wasm-bindgen"
-version = "0.2.87"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7706a72ab36d8cb1f80ffbf0e071533974a60d0a308d01a5d0375bf60499a342"
+checksum = "4be2531df63900aeb2bca0daaaddec08491ee64ceecbee5076636a3b026795a8"
dependencies = [
"cfg-if",
"wasm-bindgen-macro",
@@ -4651,24 +4281,24 @@ dependencies = [
[[package]]
name = "wasm-bindgen-backend"
-version = "0.2.87"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5ef2b6d3c510e9625e5fe6f509ab07d66a760f0885d858736483c32ed7809abd"
+checksum = "614d787b966d3989fa7bb98a654e369c762374fd3213d212cfc0251257e747da"
dependencies = [
"bumpalo",
"log",
"once_cell",
"proc-macro2",
"quote",
- "syn 2.0.37",
+ "syn 2.0.52",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-futures"
-version = "0.4.37"
+version = "0.4.42"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c02dbc21516f9f1f04f187958890d7e6026df8d16540b7ad9492bc34a67cea03"
+checksum = "76bc14366121efc8dbb487ab05bcc9d346b3b5ec0eaa76e46594cabbe51762c0"
dependencies = [
"cfg-if",
"js-sys",
@@ -4678,9 +4308,9 @@ dependencies = [
[[package]]
name = "wasm-bindgen-macro"
-version = "0.2.87"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dee495e55982a3bd48105a7b947fd2a9b4a8ae3010041b9e0faab3f9cd028f1d"
+checksum = "a1f8823de937b71b9460c0c34e25f3da88250760bec0ebac694b49997550d726"
dependencies = [
"quote",
"wasm-bindgen-macro-support",
@@ -4688,28 +4318,28 @@ dependencies = [
[[package]]
name = "wasm-bindgen-macro-support"
-version = "0.2.87"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "54681b18a46765f095758388f2d0cf16eb8d4169b639ab575a8f5693af210c7b"
+checksum = "e94f17b526d0a461a191c78ea52bbce64071ed5c04c9ffe424dcb38f74171bb7"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.37",
+ "syn 2.0.52",
"wasm-bindgen-backend",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-shared"
-version = "0.2.87"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ca6ad05a4870b2bf5fe995117d3728437bd27d7cd5f06f13c17443ef369775a1"
+checksum = "af190c94f2773fdb3729c55b007a722abb5384da03bc0986df4c289bf5567e96"
[[package]]
name = "wasm-streams"
-version = "0.3.0"
+version = "0.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b4609d447824375f43e1ffbc051b50ad8f4b3ae8219680c94452ea05eb240ac7"
+checksum = "b65dc4c90b63b118468cf747d8bf3566c1913ef60be765b5730ead9e0a3ba129"
dependencies = [
"futures-util",
"js-sys",
@@ -4720,9 +4350,9 @@ dependencies = [
[[package]]
name = "web-sys"
-version = "0.3.64"
+version = "0.3.69"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9b85cbef8c220a6abc02aefd892dfc0fc23afb1c6a426316ec33253a3877249b"
+checksum = "77afa9a11836342370f4817622a2f0f418b134426d91a82dfb48f532d2ec13ef"
dependencies = [
"js-sys",
"wasm-bindgen",
@@ -4730,9 +4360,9 @@ dependencies = [
[[package]]
name = "webkit6"
-version = "0.2.0"
+version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "111922d85b0f570bc1468d190037299fd0eca36f24147f74c1ad20fae5e21370"
+checksum = "9d82bda18116290a9effc1e0f8dbd00236807bf4a9b990b9484bad5ba852fc06"
dependencies = [
"gdk4",
"gio",
@@ -4740,16 +4370,15 @@ dependencies = [
"gtk4",
"javascriptcore6",
"libc",
- "once_cell",
"soup3",
"webkit6-sys",
]
[[package]]
name = "webkit6-sys"
-version = "0.2.0"
+version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cfc4fbaf44fd645711e36a97437443e7f06b401fb66ccc3e5ae17eeb6f5cb681"
+checksum = "6c459941f1b22750cbda435ede3f94ed8e8dd8c8608d324ecb0b9a8556b49abd"
dependencies = [
"gdk4-sys",
"gio-sys",
@@ -4762,17 +4391,11 @@ dependencies = [
"system-deps",
]
-[[package]]
-name = "webpki-roots"
-version = "0.25.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "14247bb57be4f377dfb94c72830b8ce8fc6beac03cf4bf7b9732eadd414123fc"
-
[[package]]
name = "weezl"
-version = "0.1.7"
+version = "0.1.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9193164d4de03a926d909d3bc7c30543cecb35400c02114792c2cae20d5e2dbb"
+checksum = "53a85b86a771b1c87058196170769dd264f66c0782acf1ae6cc51bfd64b39082"
[[package]]
name = "widestring"
@@ -4812,12 +4435,12 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
[[package]]
-name = "windows"
-version = "0.48.0"
+name = "windows-core"
+version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e686886bc078bc1b0b600cac0147aadb815089b6e4da64016cbd754b6342700f"
+checksum = "33ab640c8d7e35bf8ba19b884ba838ceb4fba93a4e8c65a9059d08afcfc683d9"
dependencies = [
- "windows-targets",
+ "windows-targets 0.52.4",
]
[[package]]
@@ -4826,7 +4449,16 @@ version = "0.48.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "677d2418bec65e3338edb076e806bc1ec15693c5d0104683f2efe857f61056a9"
dependencies = [
- "windows-targets",
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "windows-sys"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "282be5f36a8ce781fad8c8ae18fa3f9beff57ec1b52cb3de0789201425d9a33d"
+dependencies = [
+ "windows-targets 0.52.4",
]
[[package]]
@@ -4835,13 +4467,28 @@ version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "9a2fa6e2155d7247be68c096456083145c183cbbbc2764150dda45a87197940c"
dependencies = [
- "windows_aarch64_gnullvm",
- "windows_aarch64_msvc",
- "windows_i686_gnu",
- "windows_i686_msvc",
- "windows_x86_64_gnu",
- "windows_x86_64_gnullvm",
- "windows_x86_64_msvc",
+ "windows_aarch64_gnullvm 0.48.5",
+ "windows_aarch64_msvc 0.48.5",
+ "windows_i686_gnu 0.48.5",
+ "windows_i686_msvc 0.48.5",
+ "windows_x86_64_gnu 0.48.5",
+ "windows_x86_64_gnullvm 0.48.5",
+ "windows_x86_64_msvc 0.48.5",
+]
+
+[[package]]
+name = "windows-targets"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7dd37b7e5ab9018759f893a1952c9420d060016fc19a472b4bb20d1bdd694d1b"
+dependencies = [
+ "windows_aarch64_gnullvm 0.52.4",
+ "windows_aarch64_msvc 0.52.4",
+ "windows_i686_gnu 0.52.4",
+ "windows_i686_msvc 0.52.4",
+ "windows_x86_64_gnu 0.52.4",
+ "windows_x86_64_gnullvm 0.52.4",
+ "windows_x86_64_msvc 0.52.4",
]
[[package]]
@@ -4850,36 +4497,72 @@ version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "2b38e32f0abccf9987a4e3079dfb67dcd799fb61361e53e2882c3cbaf0d905d8"
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bcf46cf4c365c6f2d1cc93ce535f2c8b244591df96ceee75d8e83deb70a9cac9"
+
[[package]]
name = "windows_aarch64_msvc"
version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "dc35310971f3b2dbbf3f0690a219f40e2d9afcf64f9ab7cc1be722937c26b4bc"
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "da9f259dd3bcf6990b55bffd094c4f7235817ba4ceebde8e6d11cd0c5633b675"
+
[[package]]
name = "windows_i686_gnu"
version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "a75915e7def60c94dcef72200b9a8e58e5091744960da64ec734a6c6e9b3743e"
+[[package]]
+name = "windows_i686_gnu"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b474d8268f99e0995f25b9f095bc7434632601028cf86590aea5c8a5cb7801d3"
+
[[package]]
name = "windows_i686_msvc"
version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "8f55c233f70c4b27f66c523580f78f1004e8b5a8b659e05a4eb49d4166cca406"
+[[package]]
+name = "windows_i686_msvc"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1515e9a29e5bed743cb4415a9ecf5dfca648ce85ee42e15873c3cd8610ff8e02"
+
[[package]]
name = "windows_x86_64_gnu"
version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "53d40abd2583d23e4718fddf1ebec84dbff8381c07cae67ff7768bbf19c6718e"
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5eee091590e89cc02ad514ffe3ead9eb6b660aedca2183455434b93546371a03"
+
[[package]]
name = "windows_x86_64_gnullvm"
version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "0b7b52767868a23d5bab768e390dc5f5c55825b6d30b86c844ff2dc7414044cc"
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "77ca79f2451b49fa9e2af39f0747fe999fcda4f5e241b2898624dca97a1f2177"
+
[[package]]
name = "windows_x86_64_msvc"
version = "0.48.5"
@@ -4887,10 +4570,25 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "ed94fce61571a4006852b7389a063ab983c02eb1bb37b47f8272ce92d06d9538"
[[package]]
-name = "winnow"
-version = "0.5.15"
+name = "windows_x86_64_msvc"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7c2e3184b9c4e92ad5167ca73039d0c42476302ab603e2fec4487511f38ccefc"
+checksum = "32b752e52a2da0ddfbdbcc6fceadfeede4c939ed16d13e648833a61dfb611ed8"
+
+[[package]]
+name = "winnow"
+version = "0.5.40"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f593a95398737aeed53e489c785df13f3618e41dbcd6718c6addbf1395aa6876"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "winnow"
+version = "0.6.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dffa400e67ed5a4dd237983829e66475f0a4a26938c4b04c21baede6262215b8"
dependencies = [
"memchr",
]
@@ -4902,16 +4600,16 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "524e57b2c537c0f9b1e69f1965311ec12182b4122e45035b1508cd24d2adadb1"
dependencies = [
"cfg-if",
- "windows-sys",
+ "windows-sys 0.48.0",
]
[[package]]
name = "xdg-home"
-version = "1.0.0"
+version = "1.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2769203cd13a0c6015d515be729c526d041e9cf2c0cc478d57faee85f40c6dcd"
+checksum = "21e5a325c3cb8398ad6cf859c1135b25dd29e186679cf2da7581d9679f63b38e"
dependencies = [
- "nix",
+ "libc",
"winapi",
]
@@ -4934,9 +4632,9 @@ dependencies = [
[[package]]
name = "xmlparser"
-version = "0.13.5"
+version = "0.13.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4d25c75bf9ea12c4040a97f829154768bbbce366287e2dc044af160cd79a13fd"
+checksum = "66fee0b777b0f5ac1c69bb06d361268faafa61cd4682ae064a171c16c433e9e4"
[[package]]
name = "xmltree"
@@ -4947,50 +4645,39 @@ dependencies = [
"xml-rs",
]
-[[package]]
-name = "yaml-rust"
-version = "0.4.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "56c1936c4cc7a1c9ab21a1ebb602eb942ba868cbd44a99cb7cdc5892335e1c85"
-dependencies = [
- "linked-hash-map",
-]
-
[[package]]
name = "zbus"
-version = "3.14.1"
+version = "4.1.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "31de390a2d872e4cd04edd71b425e29853f786dc99317ed72d73d6fcf5ebb948"
+checksum = "c9ff46f2a25abd690ed072054733e0bc3157e3d4c45f41bd183dce09c2ff8ab9"
dependencies = [
"async-broadcast",
"async-executor",
"async-fs",
"async-io",
- "async-lock",
+ "async-lock 3.3.0",
"async-process",
"async-recursion",
"async-task",
"async-trait",
"blocking",
- "byteorder",
"derivative",
"enumflags2",
- "event-listener 2.5.3",
+ "event-listener 5.2.0",
"futures-core",
"futures-sink",
"futures-util",
"hex",
"nix",
- "once_cell",
"ordered-stream",
- "rand 0.8.5",
+ "rand",
"serde",
"serde_repr",
"sha1",
"static_assertions",
"tracing",
"uds_windows",
- "winapi",
+ "windows-sys 0.52.0",
"xdg-home",
"zbus_macros",
"zbus_names",
@@ -4999,9 +4686,9 @@ dependencies = [
[[package]]
name = "zbus_macros"
-version = "3.14.1"
+version = "4.1.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "41d1794a946878c0e807f55a397187c11fc7a038ba5d868e7db4f3bd7760bc9d"
+checksum = "4e0e3852c93dcdb49c9462afe67a2a468f7bd464150d866e861eaf06208633e0"
dependencies = [
"proc-macro-crate",
"proc-macro2",
@@ -5013,9 +4700,9 @@ dependencies = [
[[package]]
name = "zbus_names"
-version = "2.6.0"
+version = "3.0.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fb80bb776dbda6e23d705cf0123c3b95df99c4ebeaec6c2599d4a5419902b4a9"
+checksum = "4b9b1fef7d021261cc16cba64c351d291b715febe0fa10dc3a443ac5a5022e6c"
dependencies = [
"serde",
"static_assertions",
@@ -5033,13 +4720,12 @@ dependencies = [
[[package]]
name = "zvariant"
-version = "3.15.0"
+version = "4.0.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "44b291bee0d960c53170780af148dca5fa260a63cdd24f1962fa82e03e53338c"
+checksum = "2c1b3ca6db667bfada0f1ebfc94b2b1759ba25472ee5373d4551bb892616389a"
dependencies = [
- "byteorder",
+ "endi",
"enumflags2",
- "libc",
"serde",
"static_assertions",
"url",
@@ -5048,9 +4734,9 @@ dependencies = [
[[package]]
name = "zvariant_derive"
-version = "3.15.0"
+version = "4.0.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "934d7a7dfc310d6ee06c87ffe88ef4eca7d3e37bb251dece2ef93da8f17d8ecd"
+checksum = "b7a4b236063316163b69039f77ce3117accb41a09567fd24c168e43491e521bc"
dependencies = [
"proc-macro-crate",
"proc-macro2",
@@ -5061,9 +4747,9 @@ dependencies = [
[[package]]
name = "zvariant_utils"
-version = "1.0.1"
+version = "1.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7234f0d811589db492d16893e3f21e8e2fd282e6d01b0cddee310322062cc200"
+checksum = "00bedb16a193cc12451873fee2a1bc6550225acece0e36f333e68326c73c8172"
dependencies = [
"proc-macro2",
"quote",
diff --git a/pkgs/applications/networking/feedreaders/newsflash/default.nix b/pkgs/applications/networking/feedreaders/newsflash/default.nix
index 5631a8419cc2..e6bc4c841c17 100644
--- a/pkgs/applications/networking/feedreaders/newsflash/default.nix
+++ b/pkgs/applications/networking/feedreaders/newsflash/default.nix
@@ -25,22 +25,21 @@
stdenv.mkDerivation (finalAttrs: {
pname = "newsflash";
- version = "3.0.2";
+ version = "3.1.6";
src = fetchFromGitLab {
owner = "news-flash";
repo = "news_flash_gtk";
rev = "refs/tags/v.${finalAttrs.version}";
- sha256 = "sha256-tJKr2bGkdpEb+25eN0ZfHhEDl5Zdf8fdaC/rNMbH8Ws=";
+ hash = "sha256-zEf61aKtiuTCmhzkfVkTLtIRCb4DVXVtI+9Az9dU9HE=";
};
cargoDeps = rustPlatform.importCargoLock {
lockFile = ./Cargo.lock;
outputHashes = {
- "news-flash-2.3.0-alpha.0" = "sha256-H0osT7IrPbQ3RQYJZE7J+n7u4UCT86LAybUF3vvIXkA=";
+ "news-flash-2.3.0-alpha.0" = "sha256-Gr7EyAbIFABZx9GR/WvshF0vfJaul7wz4pro2EbwSM8=";
"newsblur_api-0.2.0" = "sha256-eysCB19znQF8mRwQ64nSp6KuvJ1Trot4g4WCdQDedo8=";
- "article_scraper-2.0.0" = "sha256-FnOmrZyYewOuU8Au7fhmSJHN7UPCx/CxBV8UtSHattU=";
- "commafeed_api-0.1.0" = "sha256-69UAmyUm0WG3qPoWZw4PekXh1RjIP5l3dx3gjWfxJDQ=";
+ "article_scraper-2.0.0" = "sha256-URiteEJ1kXoGfRopGoRI/4iPbzd+F9bQaMJKpkrh/sE=";
};
};
diff --git a/pkgs/applications/networking/feedreaders/newsflash/no-post-install.patch b/pkgs/applications/networking/feedreaders/newsflash/no-post-install.patch
index ab4972b11a13..ba316812bbeb 100644
--- a/pkgs/applications/networking/feedreaders/newsflash/no-post-install.patch
+++ b/pkgs/applications/networking/feedreaders/newsflash/no-post-install.patch
@@ -1,14 +1,15 @@
diff --git a/meson.build b/meson.build
-index 1d7089c..1952e7f 100644
+index e554ddd7..55a5048a 100644
--- a/meson.build
+++ b/meson.build
-@@ -58,8 +58,8 @@ meson.add_dist_script(
+@@ -56,10 +56,3 @@ meson.add_dist_script(
+ subdir('data')
+ subdir('po')
subdir('src')
-
- gnome.post_install(
+-
+-gnome.post_install(
- gtk_update_icon_cache: true,
-+ gtk_update_icon_cache: false,
- glib_compile_schemas: false,
+- glib_compile_schemas: false,
- update_desktop_database: true,
-+ update_desktop_database: false,
- )
+-)
+-
diff --git a/pkgs/applications/networking/feedreaders/photon/default.nix b/pkgs/applications/networking/feedreaders/photon/default.nix
index 34612e2a87fc..1717327d865c 100644
--- a/pkgs/applications/networking/feedreaders/photon/default.nix
+++ b/pkgs/applications/networking/feedreaders/photon/default.nix
@@ -19,6 +19,7 @@ buildGoModule rec {
meta = with lib; {
description = "RSS/Atom reader with the focus on speed, usability and a bit of unix philosophy";
+ mainProgram = "photon";
homepage = "https://sr.ht/~ghost08/photon";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ kmein ];
diff --git a/pkgs/applications/networking/feedreaders/rssguard/default.nix b/pkgs/applications/networking/feedreaders/rssguard/default.nix
index 5c031ebc479c..499b8a2893d0 100644
--- a/pkgs/applications/networking/feedreaders/rssguard/default.nix
+++ b/pkgs/applications/networking/feedreaders/rssguard/default.nix
@@ -25,6 +25,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Simple RSS/Atom feed reader with online synchronization";
+ mainProgram = "rssguard";
longDescription = ''
RSS Guard is a simple, light and easy-to-use RSS/ATOM feed aggregator
developed using Qt framework and with online feed synchronization support
diff --git a/pkgs/applications/networking/feedreaders/rsstail/default.nix b/pkgs/applications/networking/feedreaders/rsstail/default.nix
index af2dbd1a9a4f..524e8d76b697 100644
--- a/pkgs/applications/networking/feedreaders/rsstail/default.nix
+++ b/pkgs/applications/networking/feedreaders/rsstail/default.nix
@@ -25,6 +25,7 @@ stdenv.mkDerivation {
meta = with lib; {
description = "Monitor RSS feeds for new entries";
+ mainProgram = "rsstail";
longDescription = ''
RSSTail is more or less an RSS reader: it monitors an RSS feed and if it
detects a new entry it'll emit only that new entry.
diff --git a/pkgs/applications/networking/feedreaders/russ/default.nix b/pkgs/applications/networking/feedreaders/russ/default.nix
index 4bf3c2a34000..6780b58f0d01 100644
--- a/pkgs/applications/networking/feedreaders/russ/default.nix
+++ b/pkgs/applications/networking/feedreaders/russ/default.nix
@@ -23,6 +23,7 @@ rustPlatform.buildRustPackage {
meta = with lib; {
description = "A TUI RSS reader with vim-like controls and a local-first, offline-first focus";
+ mainProgram = "russ";
homepage = "https://github.com/ckampfe/russ";
license = with licenses; [ agpl3Only ];
maintainers = with maintainers; [ blusk ];
diff --git a/pkgs/applications/networking/feedreaders/tuifeed/default.nix b/pkgs/applications/networking/feedreaders/tuifeed/default.nix
index 89494bb6d017..6ee7a6148445 100644
--- a/pkgs/applications/networking/feedreaders/tuifeed/default.nix
+++ b/pkgs/applications/networking/feedreaders/tuifeed/default.nix
@@ -22,6 +22,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "A terminal feed reader with a fancy UI";
+ mainProgram = "tuifeed";
homepage = "https://github.com/veeso/tuifeed";
license = with licenses; [ mit ];
maintainers = with maintainers; [ devhell ];
diff --git a/pkgs/applications/networking/feedreaders/yarr/default.nix b/pkgs/applications/networking/feedreaders/yarr/default.nix
index ab3e6af5723e..205e52012cd7 100644
--- a/pkgs/applications/networking/feedreaders/yarr/default.nix
+++ b/pkgs/applications/networking/feedreaders/yarr/default.nix
@@ -30,6 +30,7 @@ buildGoModule rec {
meta = with lib; {
description = "Yet another rss reader";
+ mainProgram = "yarr";
homepage = "https://github.com/nkanaev/yarr";
changelog = "https://github.com/nkanaev/yarr/blob/v${version}/doc/changelog.txt";
license = licenses.mit;
diff --git a/pkgs/applications/networking/firehol/iprange.nix b/pkgs/applications/networking/firehol/iprange.nix
index 7dc43d5c116c..d2504b73cc30 100644
--- a/pkgs/applications/networking/firehol/iprange.nix
+++ b/pkgs/applications/networking/firehol/iprange.nix
@@ -11,6 +11,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "manage IP ranges";
+ mainProgram = "iprange";
homepage = "https://github.com/firehol/iprange";
license = licenses.gpl2;
maintainers = with maintainers; [ oxzi ];
diff --git a/pkgs/applications/networking/flent/http-getter.nix b/pkgs/applications/networking/flent/http-getter.nix
index 21c9d3ca6fb1..5ea07cfe4f9d 100644
--- a/pkgs/applications/networking/flent/http-getter.nix
+++ b/pkgs/applications/networking/flent/http-getter.nix
@@ -17,6 +17,7 @@ stdenv.mkDerivation {
meta = with lib; {
homepage = "https://github.com/tohojo/http-getter";
description = "Simple getter for HTTP URLs using cURL";
+ mainProgram = "http-getter";
platforms = platforms.unix;
license = licenses.gpl3;
};
diff --git a/pkgs/applications/networking/flexget/default.nix b/pkgs/applications/networking/flexget/default.nix
index c2f13faa10c4..bf26bbd002bc 100644
--- a/pkgs/applications/networking/flexget/default.nix
+++ b/pkgs/applications/networking/flexget/default.nix
@@ -6,7 +6,7 @@
python3.pkgs.buildPythonApplication rec {
pname = "flexget";
- version = "3.11.17";
+ version = "3.11.25";
pyproject = true;
# Fetch from GitHub in order to use `requirements.in`
@@ -14,7 +14,7 @@ python3.pkgs.buildPythonApplication rec {
owner = "Flexget";
repo = "Flexget";
rev = "refs/tags/v${version}";
- hash = "sha256-C6BVSrF6xM3tnNnGS39T57N9jB5LnOq4W1hcF99CgPk=";
+ hash = "sha256-bvCogSBB990LIkk273EMTlqNN303JKr5WCI8g7hLU9Q=";
};
postPatch = ''
@@ -42,7 +42,7 @@ python3.pkgs.buildPythonApplication rec {
loguru
more-itertools
packaging
- pendulum_3
+ pendulum
psutil
pynzb
pyrsistent
diff --git a/pkgs/applications/networking/ftp/filezilla/default.nix b/pkgs/applications/networking/ftp/filezilla/default.nix
index 16a4e9b200c1..23dfae71080a 100644
--- a/pkgs/applications/networking/ftp/filezilla/default.nix
+++ b/pkgs/applications/networking/ftp/filezilla/default.nix
@@ -22,11 +22,11 @@
stdenv.mkDerivation rec {
pname = "filezilla";
- version = "3.66.4";
+ version = "3.66.5";
src = fetchurl {
url = "https://download.filezilla-project.org/client/FileZilla_${version}_src.tar.xz";
- hash = "sha256-pA8E4C76rntQ0VFe4cNsSw5EWBhWbEUORAv9bHDpsgM=";
+ hash = "sha256-khIoGbrmNBBwuktuy0V+ZzC0bn3ImUKZCQPymJA9Gzs=";
};
configureFlags = [
diff --git a/pkgs/applications/networking/geph/default.nix b/pkgs/applications/networking/geph/default.nix
index 1b839d748477..68cf0d61dacc 100644
--- a/pkgs/applications/networking/geph/default.nix
+++ b/pkgs/applications/networking/geph/default.nix
@@ -19,7 +19,7 @@
}:
let
- version = "4.10.1";
+ version = "4.11.0";
geph-meta = with lib; {
description = "A modular Internet censorship circumvention system designed specifically to deal with national filtering.";
homepage = "https://geph.io";
@@ -36,10 +36,10 @@ in
owner = "geph-official";
repo = pname;
rev = "v${version}";
- hash = "sha256-e0Pdg4pQ5s1wvTnFm1rKuAwkYtCtu2Uacd7yH3EHeCo=";
+ hash = "sha256-6zii8WxJp++yqTkxejNDta7IW+SG0uPgmnWqX5Oa9PU=";
};
- cargoHash = "sha256-Kwc+EOH2pJJVvIcTUfL39Xrv/7YmTPUDge7mmjDs9pQ=";
+ cargoHash = "sha256-WI525ufJxuepRZHyx8tO4K+7WZuM/NlTVNqVMJH6avg=";
nativeBuildInputs = [ perl ];
@@ -55,8 +55,8 @@ in
src = fetchFromGitHub {
owner = "geph-official";
repo = "gephgui-pkg";
- rev = "4163e12188dd679ba548e127fc9771cb5e87bab0";
- hash = "sha256-wBvhfgp5sZTRCBR9HZqs1G0VaIt9DW2e9CWMAp/T5WI=";
+ rev = "3a6d2fa85603e9ac3d5d6286685d8a8ca792a508";
+ hash = "sha256-SE1TwYvR3+zwdPxlanq4hovmJsOdCJQzWfSJ6sSyJ5k=";
fetchSubmodules = true;
};
@@ -64,7 +64,7 @@ in
pname = "${pname}-pnpm-deps";
inherit src version;
- sourceRoot = "source/gephgui-wry/gephgui";
+ sourceRoot = "${src.name}/gephgui-wry/gephgui";
nativeBuildInputs = [
jq
@@ -95,7 +95,7 @@ in
pname = "gephgui-wry";
inherit version src;
- sourceRoot = "source/gephgui-wry";
+ sourceRoot = "${src.name}/gephgui-wry";
cargoLock = {
lockFile = ./Cargo.lock;
diff --git a/pkgs/applications/networking/gnmic/default.nix b/pkgs/applications/networking/gnmic/default.nix
index 37d22d3e32d6..223c5c4beb02 100644
--- a/pkgs/applications/networking/gnmic/default.nix
+++ b/pkgs/applications/networking/gnmic/default.nix
@@ -8,16 +8,16 @@
buildGoModule rec {
pname = "gnmic";
- version = "0.35.1";
+ version = "0.36.2";
src = fetchFromGitHub {
owner = "openconfig";
repo = pname;
rev = "v${version}";
- hash = "sha256-1Rtq/tRDU8hwrEYhP2/2qPWAYeCPL03m4NpXO3sGUdo=";
+ hash = "sha256-PUOIKPkzM6riiXR8R1Io0QI/qr6HaexfFgbp2Hx2SOo=";
};
- vendorHash = "sha256-HoOjVfpowb5jvAYdQ3cbCQmSl1RJKPDjvOaOGfhe5TY=";
+ vendorHash = "sha256-zrG/rNoYtfVNN50g41txLQIcBAKi1yE5p1TODrDiXzU=";
ldflags = [
"-s" "-w"
diff --git a/pkgs/applications/networking/gns3/default.nix b/pkgs/applications/networking/gns3/default.nix
index 500d4c169280..c039a8885ae0 100644
--- a/pkgs/applications/networking/gns3/default.nix
+++ b/pkgs/applications/networking/gns3/default.nix
@@ -12,25 +12,25 @@ in {
guiStable = mkGui {
channel = "stable";
- version = "2.2.45";
- hash = "sha256-SMnhPz5zTPtidy/BIvauDM60WgDLG+NIr9rdUrQhz0A=";
+ version = "2.2.46";
+ hash = "sha256-i/Eq66dYDGR4RLJ76ZlKruhU0KC9KlMMf8Wb91ZoyY0=";
};
guiPreview = mkGui {
channel = "stable";
- version = "2.2.45";
- hash = "sha256-SMnhPz5zTPtidy/BIvauDM60WgDLG+NIr9rdUrQhz0A=";
+ version = "2.2.46";
+ hash = "sha256-i/Eq66dYDGR4RLJ76ZlKruhU0KC9KlMMf8Wb91ZoyY0=";
};
serverStable = mkServer {
channel = "stable";
- version = "2.2.45";
- hash = "sha256-1GwhZEPfRW1e+enJipy7YOnA4QzeqZ7aCG92GrsZhms=";
+ version = "2.2.46";
+ hash = "sha256-A6rAhc/EGvbqVdg1jXxNX3bKQLcGurqa7hKh9LvH+es=";
};
serverPreview = mkServer {
channel = "stable";
- version = "2.2.45";
- hash = "sha256-1GwhZEPfRW1e+enJipy7YOnA4QzeqZ7aCG92GrsZhms=";
+ version = "2.2.46";
+ hash = "sha256-A6rAhc/EGvbqVdg1jXxNX3bKQLcGurqa7hKh9LvH+es=";
};
}
diff --git a/pkgs/applications/networking/gns3/gui.nix b/pkgs/applications/networking/gns3/gui.nix
index 218334bbd92f..895df8abfbc7 100644
--- a/pkgs/applications/networking/gns3/gui.nix
+++ b/pkgs/applications/networking/gns3/gui.nix
@@ -20,7 +20,7 @@ python3.pkgs.buildPythonApplication rec {
inherit hash;
owner = "GNS3";
repo = pname;
- rev = "v${version}";
+ rev = "refs/tags/v${version}";
};
nativeBuildInputs = with python3.pkgs; [
diff --git a/pkgs/applications/networking/gopher/geomyidae/default.nix b/pkgs/applications/networking/gopher/geomyidae/default.nix
index bd57b968140b..442757a8bbe4 100644
--- a/pkgs/applications/networking/gopher/geomyidae/default.nix
+++ b/pkgs/applications/networking/gopher/geomyidae/default.nix
@@ -16,6 +16,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A gopher daemon for Linux/BSD";
+ mainProgram = "geomyidae";
homepage = "gopher://bitreich.org/1/scm/geomyidae";
license = licenses.mit;
maintainers = [ maintainers.athas ];
diff --git a/pkgs/applications/networking/gopher/phetch/default.nix b/pkgs/applications/networking/gopher/phetch/default.nix
index 8cdd3dc06325..ed327dab740a 100644
--- a/pkgs/applications/networking/gopher/phetch/default.nix
+++ b/pkgs/applications/networking/gopher/phetch/default.nix
@@ -37,6 +37,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "A quick lil gopher client for your terminal, written in rust";
+ mainProgram = "phetch";
longDescription = ''
phetch is a terminal client designed to help you quickly navigate the gophersphere.
- <1MB executable for Linux, Mac, and NetBSD
diff --git a/pkgs/applications/networking/gopher/sacc/default.nix b/pkgs/applications/networking/gopher/sacc/default.nix
index 686f671e13a5..2e89930f2b78 100644
--- a/pkgs/applications/networking/gopher/sacc/default.nix
+++ b/pkgs/applications/networking/gopher/sacc/default.nix
@@ -27,6 +27,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A terminal gopher client";
+ mainProgram = "sacc";
homepage = "gopher://bitreich.org/1/scm/sacc";
license = licenses.isc;
maintainers = [ maintainers.sternenseemann ];
diff --git a/pkgs/applications/networking/headlines/default.nix b/pkgs/applications/networking/headlines/default.nix
index 8db698e963bc..a4e5a8e684c6 100644
--- a/pkgs/applications/networking/headlines/default.nix
+++ b/pkgs/applications/networking/headlines/default.nix
@@ -14,7 +14,7 @@
, gtkmm4
, libsecret
, fetchFromGitLab
-, makeWrapper
+, wrapGAppsHook4
, xdg-utils
, youtube-dl
, ffmpeg
@@ -34,7 +34,7 @@ stdenv.mkDerivation rec {
nativeBuildInputs = [
cmake
pkg-config
- makeWrapper
+ wrapGAppsHook4
];
buildInputs = [
@@ -56,10 +56,10 @@ stdenv.mkDerivation rec {
gst-plugins-bad
]);
- postFixup = ''
- wrapProgram "$out/bin/headlines" \
- --prefix PATH : "${lib.makeBinPath [ xdg-utils youtube-dl ffmpeg ]}" \
- --prefix GST_PLUGIN_SYSTEM_PATH_1_0 : "$GST_PLUGIN_SYSTEM_PATH_1_0"
+ preFixup = ''
+ gappsWrapperArgs+=(
+ --prefix PATH : "${lib.makeBinPath [ xdg-utils youtube-dl ffmpeg ]}"
+ )
'';
meta = with lib; {
diff --git a/pkgs/applications/networking/ids/daq/default.nix b/pkgs/applications/networking/ids/daq/default.nix
index dc40337bd723..a8d2f52052dc 100644
--- a/pkgs/applications/networking/ids/daq/default.nix
+++ b/pkgs/applications/networking/ids/daq/default.nix
@@ -20,6 +20,7 @@ stdenv.mkDerivation rec {
meta = {
description = "Data AcQuisition library (DAQ), for packet I/O";
+ mainProgram = "daq-modules-config";
homepage = "https://www.snort.org";
maintainers = with lib.maintainers; [ aycanirican ];
license = lib.licenses.gpl2;
diff --git a/pkgs/applications/networking/ids/suricata/default.nix b/pkgs/applications/networking/ids/suricata/default.nix
index eb784c95b9fc..1ea754149554 100644
--- a/pkgs/applications/networking/ids/suricata/default.nix
+++ b/pkgs/applications/networking/ids/suricata/default.nix
@@ -33,11 +33,11 @@
in
stdenv.mkDerivation rec {
pname = "suricata";
- version = "7.0.2";
+ version = "7.0.3";
src = fetchurl {
url = "https://www.openinfosecfoundation.org/download/${pname}-${version}.tar.gz";
- hash = "sha256-tOtgSDjvmag5a8i3u1TK0R8kQsvXy7MA5/WqsZCXvE0=";
+ hash = "sha256-6gdC16mHg/GvSldmGvYGi8LYUKw+ygSzIE0ozhZeNf8=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/networking/ids/zeek/broker/default.nix b/pkgs/applications/networking/ids/zeek/broker/default.nix
index ef37f4c2ed06..1e9b6f3f0c08 100644
--- a/pkgs/applications/networking/ids/zeek/broker/default.nix
+++ b/pkgs/applications/networking/ids/zeek/broker/default.nix
@@ -24,12 +24,12 @@ let
hash = "sha256-AVaKcRjF5ZiSR8aPSLBzSTeWVwGWW/aSyQJcN0Yhza0=";
};
caf' = caf.overrideAttrs (old: {
- version = "unstable-2022-11-17-zeek";
+ version = "unstable-2024-01-07-zeek";
src = fetchFromGitHub {
owner = "zeek";
repo = "actor-framework";
- rev = "4f580d89f35ae4d475505101623c8b022c0c6aa6";
- hash = "sha256-8KGXg072lZiq/rC5ZuThDGRjeYvVVFBd3ea8yhUHOYY=";
+ rev = "e3048cdd13e085c97870a55eb1f9de04e25320f3";
+ hash = "sha256-uisoYXiZbFQa/TfWGRrCJ23MX4bg8Ds86ffC8sZSRNQ=";
};
cmakeFlags = old.cmakeFlags ++ [
"-DCAF_ENABLE_TESTING=OFF"
@@ -39,7 +39,7 @@ let
in
stdenv.mkDerivation rec {
pname = "zeek-broker";
- version = "2.7.0";
+ version = "6.2.0";
outputs = [ "out" "py" ];
strictDeps = true;
@@ -48,7 +48,7 @@ stdenv.mkDerivation rec {
owner = "zeek";
repo = "broker";
rev = "v${version}";
- hash = "sha256-fwLqw7PPYUDm+eJxDpCtY/W6XianqBDPHOhzDQoooYo=";
+ hash = "sha256-SG5TzozKvYc7qcEPJgiEtsxgzdZbbJt90lmuUbCPyv0=";
};
postUnpack = ''
rmdir $sourceRoot/cmake $sourceRoot/3rdparty
@@ -82,6 +82,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Zeek's Messaging Library";
+ mainProgram = "broker-benchmark";
homepage = "https://github.com/zeek/broker";
license = licenses.bsd3;
platforms = platforms.unix;
diff --git a/pkgs/applications/networking/ids/zeek/default.nix b/pkgs/applications/networking/ids/zeek/default.nix
index d5b07d7e5c03..09bf661f54b1 100644
--- a/pkgs/applications/networking/ids/zeek/default.nix
+++ b/pkgs/applications/networking/ids/zeek/default.nix
@@ -26,11 +26,11 @@ let
in
stdenv.mkDerivation rec {
pname = "zeek";
- version = "6.1.1";
+ version = "6.2.0";
src = fetchurl {
url = "https://download.zeek.org/zeek-${version}.tar.gz";
- sha256 = "sha256-j8Vl6Vc/Wu1JpDV0UoXkLcEPUjRUnvwVAq91RPaDN+U=";
+ hash = "sha256-Y1Z+j5+Ffqho5Q2O8uQgNxsxPE7gqHaxe1SZEJDA0oY=";
};
strictDeps = true;
diff --git a/pkgs/applications/networking/instant-messengers/SkypeExport/default.nix b/pkgs/applications/networking/instant-messengers/SkypeExport/default.nix
index f402faf965be..de70f49fe604 100644
--- a/pkgs/applications/networking/instant-messengers/SkypeExport/default.nix
+++ b/pkgs/applications/networking/instant-messengers/SkypeExport/default.nix
@@ -27,6 +27,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Export Skype history to HTML";
+ mainProgram = "SkypeExport";
homepage = "https://github.com/Temptin/SkypeExport";
license = licenses.gpl2;
platforms = platforms.unix;
diff --git a/pkgs/applications/networking/instant-messengers/abaddon/default.nix b/pkgs/applications/networking/instant-messengers/abaddon/default.nix
index 3dbab9211dfa..7101bba8d196 100644
--- a/pkgs/applications/networking/instant-messengers/abaddon/default.nix
+++ b/pkgs/applications/networking/instant-messengers/abaddon/default.nix
@@ -77,6 +77,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A discord client reimplementation, written in C++";
+ mainProgram = "abaddon";
homepage = "https://github.com/uowuo/abaddon";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ genericnerdyusername ];
diff --git a/pkgs/applications/networking/instant-messengers/alfaview/default.nix b/pkgs/applications/networking/instant-messengers/alfaview/default.nix
index 0cf3230bf88a..666140c8dec2 100644
--- a/pkgs/applications/networking/instant-messengers/alfaview/default.nix
+++ b/pkgs/applications/networking/instant-messengers/alfaview/default.nix
@@ -5,11 +5,11 @@
stdenv.mkDerivation rec {
pname = "alfaview";
- version = "9.8.1";
+ version = "9.9.1";
src = fetchurl {
url = "https://assets.alfaview.com/stable/linux/deb/${pname}_${version}.deb";
- hash = "sha256-agi0f3aj5nHGV2/TAjaX+tY8/4nTdRlRiRn6rkTqokY=";
+ hash = "sha256-GZLIVpXQ22W4JykdLJ7pTogOFhDaiukgsLa2E7giiaU=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/networking/instant-messengers/beeper/default.nix b/pkgs/applications/networking/instant-messengers/beeper/default.nix
index 21542fc5f475..ce49c9e8c29a 100644
--- a/pkgs/applications/networking/instant-messengers/beeper/default.nix
+++ b/pkgs/applications/networking/instant-messengers/beeper/default.nix
@@ -11,11 +11,11 @@
}:
let
pname = "beeper";
- version = "3.95.22";
+ version = "3.100.26";
name = "${pname}-${version}";
src = fetchurl {
- url = "https://download.todesktop.com/2003241lzgn20jd/beeper-3.95.22-build-240206gs9w02ysg-x86_64.AppImage";
- hash = "sha256-svTHoKLlKoCEL+Cc5VCJBJQSO8b/4T1Ukpwbc2nuHxA=";
+ url = "https://download.todesktop.com/2003241lzgn20jd/beeper-3.100.26-build-240314pjsp57xom-x86_64.AppImage";
+ hash = "sha256-KYjB7ZfjoVf6UoXQvmtAqtD23JNQGqboNzXekAiJF7k=";
};
appimage = appimageTools.wrapType2 {
inherit version pname src;
diff --git a/pkgs/applications/networking/instant-messengers/bitlbee/default.nix b/pkgs/applications/networking/instant-messengers/bitlbee/default.nix
index 99ce3f8811ef..32b3096c3c66 100644
--- a/pkgs/applications/networking/instant-messengers/bitlbee/default.nix
+++ b/pkgs/applications/networking/instant-messengers/bitlbee/default.nix
@@ -47,6 +47,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "IRC instant messaging gateway";
+ mainProgram = "bitlbee";
longDescription = ''
BitlBee brings IM (instant messaging) to IRC clients. It's a
diff --git a/pkgs/applications/networking/instant-messengers/briar-desktop/default.nix b/pkgs/applications/networking/instant-messengers/briar-desktop/default.nix
index 4bdc8f7f6deb..c0fd454a2fd8 100644
--- a/pkgs/applications/networking/instant-messengers/briar-desktop/default.nix
+++ b/pkgs/applications/networking/instant-messengers/briar-desktop/default.nix
@@ -55,6 +55,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Decentalized and secure messnger";
+ mainProgram = "briar-desktop";
homepage = "https://code.briarproject.org/briar/briar-desktop";
license = licenses.gpl3;
maintainers = with maintainers; [ onny ];
diff --git a/pkgs/applications/networking/instant-messengers/chatterino2/default.nix b/pkgs/applications/networking/instant-messengers/chatterino2/default.nix
index 4353c983320b..19c91baf9a43 100644
--- a/pkgs/applications/networking/instant-messengers/chatterino2/default.nix
+++ b/pkgs/applications/networking/instant-messengers/chatterino2/default.nix
@@ -21,6 +21,7 @@ stdenv.mkDerivation rec {
'';
meta = with lib; {
description = "A chat client for Twitch chat";
+ mainProgram = "chatterino";
longDescription = ''
Chatterino is a chat client for Twitch chat. It aims to be an
improved/extended version of the Twitch web chat. Chatterino 2 is
diff --git a/pkgs/applications/networking/instant-messengers/chatty/default.nix b/pkgs/applications/networking/instant-messengers/chatty/default.nix
index 9faecf609272..adacea2d019a 100644
--- a/pkgs/applications/networking/instant-messengers/chatty/default.nix
+++ b/pkgs/applications/networking/instant-messengers/chatty/default.nix
@@ -15,6 +15,7 @@
, gnome-desktop
, gspell
, gtk4
+, gst_all_1
, json-glib
, libgcrypt
, libadwaita
@@ -29,7 +30,7 @@
stdenv.mkDerivation rec {
pname = "chatty";
- version = "0.8.1";
+ version = "0.8.2";
src = fetchFromGitLab {
domain = "gitlab.gnome.org";
@@ -37,7 +38,7 @@ stdenv.mkDerivation rec {
repo = "Chatty";
rev = "v${version}";
fetchSubmodules = true;
- hash = "sha256-5IkQnXAKl0duy/B6+z7PXYv5zxakxJCgQhWBw5wioWg=";
+ hash = "sha256-7SdoN9JjGwELOdISJwS1Afcydp/rE2uq/tHO/UrFKNU=";
};
nativeBuildInputs = [
@@ -58,6 +59,7 @@ stdenv.mkDerivation rec {
gnome-desktop
gspell
gtk4
+ gst_all_1.gstreamer
json-glib
libgcrypt
libadwaita
@@ -78,6 +80,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "XMPP and SMS messaging via libpurple and ModemManager";
+ mainProgram = "chatty";
homepage = "https://gitlab.gnome.org/World/Chatty";
changelog = "https://gitlab.gnome.org/World/Chatty/-/blob/${src.rev}/NEWS";
license = licenses.gpl3Plus;
diff --git a/pkgs/applications/networking/instant-messengers/cordless/default.nix b/pkgs/applications/networking/instant-messengers/cordless/default.nix
index 01bd4ff50e4c..35c2c0dbffb3 100644
--- a/pkgs/applications/networking/instant-messengers/cordless/default.nix
+++ b/pkgs/applications/networking/instant-messengers/cordless/default.nix
@@ -18,6 +18,7 @@ buildGoModule rec {
meta = with lib; {
homepage = "https://github.com/Bios-Marcel/cordless";
description = "Discord terminal client";
+ mainProgram = "cordless";
license = licenses.bsd3;
maintainers = with maintainers; [ colemickens ];
};
diff --git a/pkgs/applications/networking/instant-messengers/coyim/default.nix b/pkgs/applications/networking/instant-messengers/coyim/default.nix
index 61fb4b43a033..8223f9598b61 100644
--- a/pkgs/applications/networking/instant-messengers/coyim/default.nix
+++ b/pkgs/applications/networking/instant-messengers/coyim/default.nix
@@ -30,6 +30,7 @@ buildGoPackage rec {
meta = with lib; {
description = "a safe and secure chat client";
+ mainProgram = "coyim";
homepage = "https://coy.im/";
license = licenses.gpl3;
platforms = [ "x86_64-linux" "x86_64-darwin" ];
diff --git a/pkgs/applications/networking/instant-messengers/deltachat-desktop/default.nix b/pkgs/applications/networking/instant-messengers/deltachat-desktop/default.nix
index ab6b0ff5abc4..d53b122068a9 100644
--- a/pkgs/applications/networking/instant-messengers/deltachat-desktop/default.nix
+++ b/pkgs/applications/networking/instant-messengers/deltachat-desktop/default.nix
@@ -1,7 +1,7 @@
{ lib
, buildNpmPackage
, copyDesktopItems
-, electron_26
+, electron_28
, buildGoModule
, esbuild
, fetchFromGitHub
@@ -36,16 +36,16 @@ let
in
buildNpmPackage rec {
pname = "deltachat-desktop";
- version = "1.42.2";
+ version = "1.44.1";
src = fetchFromGitHub {
owner = "deltachat";
repo = "deltachat-desktop";
rev = "v${version}";
- hash = "sha256-c8eK6YpxCP+Ga/VcqbbOUYuL1h4xspjglCZ1wiEAags=";
+ hash = "sha256-fL+9oPQ5dAgvQREZ7A+hKo2MnZKeVvadQDvDPsDNbnQ=";
};
- npmDepsHash = "sha256-7xMSsKESK9BqQrMvxceEhsETwDFue0/viCNULtzzwGo=";
+ npmDepsHash = "sha256-rUxJLDsAfp+brecTThYTdHIVIfVkKwZ/W5sHV0hHHIk=";
postPatch = ''
test \
@@ -103,7 +103,7 @@ buildNpmPackage rec {
$out/lib/node_modules/deltachat-desktop/html-dist/fonts
done
- makeWrapper ${electron_26}/bin/electron $out/bin/deltachat \
+ makeWrapper ${lib.getExe electron_28} $out/bin/deltachat \
--set LD_PRELOAD ${sqlcipher}/lib/libsqlcipher${stdenv.hostPlatform.extensions.sharedLibrary} \
--add-flags $out/lib/node_modules/deltachat-desktop
diff --git a/pkgs/applications/networking/instant-messengers/dino/default.nix b/pkgs/applications/networking/instant-messengers/dino/default.nix
index 879314a97f0e..258f75df4d10 100644
--- a/pkgs/applications/networking/instant-messengers/dino/default.nix
+++ b/pkgs/applications/networking/instant-messengers/dino/default.nix
@@ -121,6 +121,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Modern Jabber/XMPP Client using GTK/Vala";
+ mainProgram = "dino";
homepage = "https://github.com/dino/dino";
license = licenses.gpl3Plus;
platforms = platforms.linux ++ platforms.darwin;
diff --git a/pkgs/applications/networking/instant-messengers/discord-screenaudio/default.nix b/pkgs/applications/networking/instant-messengers/discord-screenaudio/default.nix
index 44ef7cae84c7..bdbd550b6731 100644
--- a/pkgs/applications/networking/instant-messengers/discord-screenaudio/default.nix
+++ b/pkgs/applications/networking/instant-messengers/discord-screenaudio/default.nix
@@ -46,6 +46,7 @@ stdenv.mkDerivation rec {
meta = {
description = "A custom discord client that supports streaming with audio on Linux";
+ mainProgram = "discord-screenaudio";
homepage = "https://github.com/maltejur/discord-screenaudio";
downloadPage = "https://github.com/maltejur/discord-screenaudio/releases";
changelog = "https://github.com/maltejur/discord-screenaudio/releases/tag/v${version}";
diff --git a/pkgs/applications/networking/instant-messengers/discord/default.nix b/pkgs/applications/networking/instant-messengers/discord/default.nix
index 38415b9b5b1d..2537ad916385 100644
--- a/pkgs/applications/networking/instant-messengers/discord/default.nix
+++ b/pkgs/applications/networking/instant-messengers/discord/default.nix
@@ -2,52 +2,52 @@
let
versions =
if stdenv.isLinux then {
- stable = "0.0.43";
- ptb = "0.0.67";
- canary = "0.0.277";
- development = "0.0.13";
+ stable = "0.0.45";
+ ptb = "0.0.74";
+ canary = "0.0.300";
+ development = "0.0.14";
} else {
- stable = "0.0.294";
- ptb = "0.0.97";
- canary = "0.0.416";
- development = "0.0.30";
+ stable = "0.0.296";
+ ptb = "0.0.102";
+ canary = "0.0.435";
+ development = "0.0.31";
};
version = versions.${branch};
srcs = rec {
x86_64-linux = {
stable = fetchurl {
url = "https://dl.discordapp.net/apps/linux/${version}/discord-${version}.tar.gz";
- hash = "sha256-DO8bS5luSKhKW6sJZhz4xVeIPexQVoaD4xYugHCN3uk=";
+ hash = "sha256-dSDc5EyWk/aH5JFG6WYfJqnb0Y2/b46YcdNB2Z9wRn0=";
};
ptb = fetchurl {
url = "https://dl-ptb.discordapp.net/apps/linux/${version}/discord-ptb-${version}.tar.gz";
- hash = "sha256-LySb261stSdUWMfCZ6Ca/MZMhnJ+CEEKmm38cuD1k1s=";
+ hash = "sha256-I466kZg4FE6oPem7wxR6Snd8V3nFF5hH70zlGTCcsZk=";
};
canary = fetchurl {
url = "https://dl-canary.discordapp.net/apps/linux/${version}/discord-canary-${version}.tar.gz";
- hash = "sha256-AOhwBr/bOKAQtQ41oaYxU/2708Rt5arBzjpGdWUnHZU=";
+ hash = "sha256-GmPnc13LBBsMgTiUkOstL1u0l29NGUUQBQKTlXcJWsE=";
};
development = fetchurl {
url = "https://dl-development.discordapp.net/apps/linux/${version}/discord-development-${version}.tar.gz";
- hash = "sha256-/vYi82c9ef83MSBtmnZRGEgTNTOj/01zRUbvBWR0ayo=";
+ hash = "sha256-QR71x+AUT2s/f8QBSJwSDqmqDRQBu3kUxAiXgfOsdOE=";
};
};
x86_64-darwin = {
stable = fetchurl {
url = "https://dl.discordapp.net/apps/osx/${version}/Discord.dmg";
- hash = "sha256-OzaAHCGusctuQk2uzJhCQCTI6SNRGQZXbQ0FgPZLV8w=";
+ hash = "sha256-0bSyL/J2P1pVzv9pFTNSR3V2NkQcDTd74t8KT+WVd64=";
};
ptb = fetchurl {
url = "https://dl-ptb.discordapp.net/apps/osx/${version}/DiscordPTB.dmg";
- hash = "sha256-nONU9TZAWtxqh5PpvgsvaEHESOKhMYGTe184EgCNxHQ=";
+ hash = "sha256-33x6M++EsRJXTbsC4BCa21Yz7cbAhsosPO1WqYq/lCY=";
};
canary = fetchurl {
url = "https://dl-canary.discordapp.net/apps/osx/${version}/DiscordCanary.dmg";
- hash = "sha256-3Vl5qQihUqyQdHnM/968xaCPIM6P6ImLQAiWKXwYnps=";
+ hash = "sha256-Jreet8EstaTAYAmQrzRaJE/b+xwgRVXIW8elEY7amvw=";
};
development = fetchurl {
url = "https://dl-development.discordapp.net/apps/osx/${version}/DiscordDevelopment.dmg";
- hash = "sha256-ffTIfurfYprKAJbOOGzzxCfGQofiVy+Q0NmEJ59ENk4=";
+ hash = "sha256-He/9KH1oMyj9ofYSwHhdqm7jKDsvrGpPPjLED9fSq30=";
};
};
aarch64-darwin = x86_64-darwin;
diff --git a/pkgs/applications/networking/instant-messengers/element/element-desktop.nix b/pkgs/applications/networking/instant-messengers/element/element-desktop.nix
index 46a7f821446c..ffbee0f25f82 100644
--- a/pkgs/applications/networking/instant-messengers/element/element-desktop.nix
+++ b/pkgs/applications/networking/instant-messengers/element/element-desktop.nix
@@ -16,6 +16,7 @@
, AppKit
, CoreServices
, desktopToDarwinBundle
+, libnotify
, useKeytar ? true
}:
@@ -77,7 +78,13 @@ stdenv.mkDerivation (finalAttrs: builtins.removeAttrs pinData [ "hashes" ] // {
runHook postBuild
'';
- installPhase = ''
+ installPhase =
+ let
+ libPath = lib.makeLibraryPath [
+ libnotify
+ ];
+ in
+ ''
runHook preInstall
# resources
@@ -104,6 +111,7 @@ stdenv.mkDerivation (finalAttrs: builtins.removeAttrs pinData [ "hashes" ] // {
# LD_PRELOAD workaround for sqlcipher not found: https://github.com/matrix-org/seshat/issues/102
makeWrapper '${electron}/bin/electron' "$out/bin/${executableName}" \
--set LD_PRELOAD ${sqlcipher}/lib/libsqlcipher.so \
+ --set LD_LIBRARY_PATH "${libPath}" \
--add-flags "$out/share/element/electron" \
--add-flags "\''${NIXOS_OZONE_WL:+\''${WAYLAND_DISPLAY:+--ozone-platform-hint=auto --enable-features=WaylandWindowDecorations}}"
diff --git a/pkgs/applications/networking/instant-messengers/element/pin.nix b/pkgs/applications/networking/instant-messengers/element/pin.nix
index 9a10c2eddba8..8cb54de82410 100644
--- a/pkgs/applications/networking/instant-messengers/element/pin.nix
+++ b/pkgs/applications/networking/instant-messengers/element/pin.nix
@@ -1,9 +1,9 @@
{
- "version" = "1.11.57";
+ "version" = "1.11.60";
"hashes" = {
- "desktopSrcHash" = "sha256-U1Koq+YrTQnbJAQmMuBioU6lxtw3oH9U3W3iMIDbibY=";
- "desktopYarnHash" = "03kx7g1fhm4qn6iq450156fgw1x6bf0sngmqhd2hrhp699mjxs5s";
- "webSrcHash" = "sha256-ZoB6ALNUDYh8nYUYsPNeiCaXn3qvg3NRJzDRJaHT4oU=";
- "webYarnHash" = "0vznx306p3racnq5xv27ywvlrdxql9x8i3fl77i5vlc8g7crpc3m";
+ "desktopSrcHash" = "sha256-r4Kcf8iBACHSiUceHq5T2BswGqgVkMBm/5ANq0smA20=";
+ "desktopYarnHash" = "16psxfai8dyckm4xwrg5czv6l4163x2nlqqcdgly6axabllgacj1";
+ "webSrcHash" = "sha256-k+jtTUuLaux2HSDsLT2ktcShQTNNnDe0e3Icoa31WdE=";
+ "webYarnHash" = "0rgdfdkri7mxs7rvp3rwbnijbcs5chc02smw1kbb00j6qzf6k3dj";
};
}
diff --git a/pkgs/applications/networking/instant-messengers/ferdium/default.nix b/pkgs/applications/networking/instant-messengers/ferdium/default.nix
index 2730af4d4e65..a2fe31c1099d 100644
--- a/pkgs/applications/networking/instant-messengers/ferdium/default.nix
+++ b/pkgs/applications/networking/instant-messengers/ferdium/default.nix
@@ -6,13 +6,13 @@ let
aarch64-linux = "arm64";
}."${stdenv.hostPlatform.system}" or (throw "Unsupported system: ${stdenv.hostPlatform.system}");
hash = {
- amd64-linux_hash = "sha256-X1wGrxwENEXKhJkY8cg0iFVJTnJzWDs/4jsluq01sZM=";
- arm64-linux_hash = "sha256-7qjM2H88rc+oGT8u4z5DzKMxu03yRDrXVJ9joK58vwM=";
+ amd64-linux_hash = "sha256-ERAMFb69Y2kWiHIBn4ITEZJlx+YIpzqDye80vchOXl0=";
+ arm64-linux_hash = "sha256-B3zlhxJQaDoZ69nu/dXUbY2qxJ6FAp4CqU8+TLoNwsg=";
}."${arch}-linux_hash";
in mkFranzDerivation rec {
pname = "ferdium";
name = "Ferdium";
- version = "6.7.0";
+ version = "6.7.1";
src = fetchurl {
url = "https://github.com/ferdium/ferdium-app/releases/download/v${version}/Ferdium-linux-${version}-${arch}.deb";
inherit hash;
diff --git a/pkgs/applications/networking/instant-messengers/flare-signal/Cargo.lock b/pkgs/applications/networking/instant-messengers/flare-signal/Cargo.lock
index c3a79854053e..f345596ff115 100644
--- a/pkgs/applications/networking/instant-messengers/flare-signal/Cargo.lock
+++ b/pkgs/applications/networking/instant-messengers/flare-signal/Cargo.lock
@@ -35,9 +35,9 @@ dependencies = [
[[package]]
name = "aes"
-version = "0.8.3"
+version = "0.8.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ac1f845298e95f983ff1944b728ae08b8cebab80d684f0a832ed0fc74dfa27e2"
+checksum = "b169f7a6d4742236a0a00c541b845991d0ac43e546831af1249753ab4c3aa3a0"
dependencies = [
"cfg-if",
"cipher",
@@ -100,9 +100,9 @@ dependencies = [
[[package]]
name = "anstream"
-version = "0.6.11"
+version = "0.6.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6e2e1ebcb11de5c03c67de28a7df593d32191b44939c482e97702baaaa6ab6a5"
+checksum = "96b09b5178381e0874812a9b157f7fe84982617e48f71f4e3235482775e5b540"
dependencies = [
"anstyle",
"anstyle-parse",
@@ -114,9 +114,9 @@ dependencies = [
[[package]]
name = "anstyle"
-version = "1.0.4"
+version = "1.0.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7079075b41f533b8c61d2a4d073c4676e1f8b249ff94a393b0595db304e0dd87"
+checksum = "8901269c6307e8d93993578286ac0edf7f195079ffff5ebdeea6a59ffb7e36bc"
[[package]]
name = "anstyle-parse"
@@ -148,9 +148,9 @@ dependencies = [
[[package]]
name = "anyhow"
-version = "1.0.79"
+version = "1.0.80"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "080e9890a082662b09c1ad45f567faeeb47f22b5fb23895fbe1e651e718e25ca"
+checksum = "5ad32ce52e4161730f7098c077cd2ed6229b5804ccf99e5366be1ab72a98b4e1"
[[package]]
name = "arrayref"
@@ -197,13 +197,13 @@ dependencies = [
[[package]]
name = "async-channel"
-version = "2.1.1"
+version = "2.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ca33f4bc4ed1babef42cad36cc1f51fa88be00420404e5b1e80ab1b18f7678c"
+checksum = "f28243a43d821d11341ab73c80bed182dc015c514b951616cf79bd4af39af0c3"
dependencies = [
"concurrent-queue",
- "event-listener 4.0.3",
- "event-listener-strategy",
+ "event-listener 5.1.0",
+ "event-listener-strategy 0.5.0",
"futures-core",
"pin-project-lite",
]
@@ -230,9 +230,9 @@ dependencies = [
[[package]]
name = "async-io"
-version = "2.3.0"
+version = "2.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fb41eb19024a91746eba0773aa5e16036045bbf45733766661099e182ea6a744"
+checksum = "8f97ab0c5b00a7cdbe5a371b9a782ee7be1316095885c8a4ea1daf490eb0ef65"
dependencies = [
"async-lock 3.3.0",
"cfg-if",
@@ -240,8 +240,8 @@ dependencies = [
"futures-io",
"futures-lite 2.2.0",
"parking",
- "polling 3.3.2",
- "rustix 0.38.30",
+ "polling 3.5.0",
+ "rustix 0.38.31",
"slab",
"tracing",
"windows-sys 0.52.0",
@@ -263,7 +263,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "d034b430882f8381900d3fe6f0aaa3ad94f2cb4ac519b429692a1bc2dda4ae7b"
dependencies = [
"event-listener 4.0.3",
- "event-listener-strategy",
+ "event-listener-strategy 0.4.0",
"pin-project-lite",
]
@@ -280,7 +280,7 @@ dependencies = [
"cfg-if",
"event-listener 3.1.0",
"futures-lite 1.13.0",
- "rustix 0.38.30",
+ "rustix 0.38.31",
"windows-sys 0.48.0",
]
@@ -292,7 +292,7 @@ checksum = "5fd55a5ba1179988837d24ab4c7cc8ed6efdeff578ede0416b4225a5fca35bd0"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -301,13 +301,13 @@ version = "0.2.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "9e47d90f65a225c4527103a8d747001fc56e375203592b25ad103e1ca13124c5"
dependencies = [
- "async-io 2.3.0",
+ "async-io 2.3.1",
"async-lock 2.8.0",
"atomic-waker",
"cfg-if",
"futures-core",
"futures-io",
- "rustix 0.38.30",
+ "rustix 0.38.31",
"signal-hook-registry",
"slab",
"windows-sys 0.48.0",
@@ -327,7 +327,7 @@ checksum = "c980ee35e870bd1a4d2c8294d4c04d0499e67bca1e4b5cefcc693c2fa00caea9"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -369,7 +369,7 @@ dependencies = [
"cc",
"cfg-if",
"libc",
- "miniz_oxide 0.7.1",
+ "miniz_oxide 0.7.2",
"object",
"rustc-demangle",
]
@@ -472,15 +472,15 @@ dependencies = [
[[package]]
name = "bumpalo"
-version = "3.14.0"
+version = "3.15.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7f30e7476521f6f8af1a1c4c0b8cc94f0bee37d91763d0ca2665f299b6cd8aec"
+checksum = "c764d619ca78fccbf3069b37bd7af92577f044bb15236036662d79b6559f25b7"
[[package]]
name = "bytemuck"
-version = "1.14.0"
+version = "1.14.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "374d28ec25809ee0e23827c2ab573d729e293f281dfe393500e7ad618baa61c6"
+checksum = "a2ef034f05691a48569bd920a96c81b9d91bbad1ab5ac7c4616c1f6ef36cb79f"
[[package]]
name = "byteorder"
@@ -530,19 +530,18 @@ dependencies = [
[[package]]
name = "cc"
-version = "1.0.83"
+version = "1.0.86"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f1174fb0b6ec23863f8b971027804a42614e347eafb0a95bf0b12cdae21fc4d0"
+checksum = "7f9fa1897e4325be0d68d48df6aa1a71ac2ed4d27723887e7754192705350730"
dependencies = [
- "jobserver",
"libc",
]
[[package]]
name = "cfg-expr"
-version = "0.15.6"
+version = "0.15.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6100bc57b6209840798d95cb2775684849d332f7bd788db2a8c8caf7ef82a41a"
+checksum = "fa50868b64a9a6fda9d593ce778849ea8715cd2a3d2cc17ffdb4a2f2f2f1961d"
dependencies = [
"smallvec",
"target-lexicon",
@@ -580,9 +579,9 @@ dependencies = [
[[package]]
name = "chrono"
-version = "0.4.32"
+version = "0.4.34"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "41daef31d7a747c5c847246f36de49ced6f7403b4cdabc807a97b5cc184cda7a"
+checksum = "5bc015644b92d5890fab7489e49d21f879d5c990186827d42ec511919404f38b"
dependencies = [
"android-tzdata",
"iana-time-zone",
@@ -656,9 +655,9 @@ dependencies = [
[[package]]
name = "crc32fast"
-version = "1.3.2"
+version = "1.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b540bd8bc810d3885c6ea91e2018302f68baba2129ab3e88f32389ee9370880d"
+checksum = "b3855a8a784b474f333699ef2bbca9db2c4a1f6d9088a90a2d25b1eb53111eaa"
dependencies = [
"cfg-if",
]
@@ -738,7 +737,7 @@ source = "git+https://github.com/signalapp/curve25519-dalek?tag=signal-curve2551
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -787,7 +786,7 @@ checksum = "487585f4d0c6655fe74905e2504d8ad6908e4db67f744eb140876906c2f3175d"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -798,15 +797,15 @@ checksum = "56ce8c6da7551ec6c462cbaf3bfbc75131ebbfa1c944aeaa9dab51ca1c5f0c3b"
[[package]]
name = "either"
-version = "1.9.0"
+version = "1.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a26ae43d7bcc3b814de94796a5e736d4029efb0ee900c12e2d54c993ad1a1e07"
+checksum = "11157ac094ffbdde99aa67b23417ebdd801842852b500e395a45a9c0aac03e4a"
[[package]]
name = "enumflags2"
-version = "0.7.8"
+version = "0.7.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5998b4f30320c9d93aed72f63af821bfdac50465b75428fce77b48ec482c3939"
+checksum = "3278c9d5fb675e0a51dabcf4c0d355f692b064171535ba72361be1528a9d8e8d"
dependencies = [
"enumflags2_derive",
"serde",
@@ -814,13 +813,13 @@ dependencies = [
[[package]]
name = "enumflags2_derive"
-version = "0.7.8"
+version = "0.7.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f95e2801cd355d4a1a3e3953ce6ee5ae9603a5c833455343a8bfe3f44d418246"
+checksum = "5c785274071b1b420972453b306eeca06acf4633829db4223b58a2a8c5953bc4"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -835,9 +834,9 @@ dependencies = [
[[package]]
name = "env_logger"
-version = "0.11.0"
+version = "0.11.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9eeb342678d785662fd2514be38c459bb925f02b68dd2a3e0f21d7ef82d979dd"
+checksum = "6c012a26a7f605efc424dd53697843a72be7dc86ad2d01f7814337794a12231d"
dependencies = [
"anstream",
"anstyle",
@@ -904,6 +903,17 @@ dependencies = [
"pin-project-lite",
]
+[[package]]
+name = "event-listener"
+version = "5.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b7ad6fd685ce13acd6d9541a30f6db6567a7a24c9ffd4ba2955d29e3f22c8b27"
+dependencies = [
+ "concurrent-queue",
+ "parking",
+ "pin-project-lite",
+]
+
[[package]]
name = "event-listener-strategy"
version = "0.4.0"
@@ -915,16 +925,26 @@ dependencies = [
]
[[package]]
-name = "exr"
-version = "1.71.0"
+name = "event-listener-strategy"
+version = "0.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "832a761f35ab3e6664babfbdc6cef35a4860e816ec3916dcfd0882954e98a8a8"
+checksum = "feedafcaa9b749175d5ac357452a9d41ea2911da598fde46ce1fe02c37751291"
+dependencies = [
+ "event-listener 5.1.0",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "exr"
+version = "1.72.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "887d93f60543e9a9362ef8a21beedd0a833c5d9610e18c67abe15a5963dcb1a4"
dependencies = [
"bit_field",
"flume",
"half",
"lebe",
- "miniz_oxide 0.7.1",
+ "miniz_oxide 0.7.2",
"rayon-core",
"smallvec",
"zune-inflate",
@@ -978,7 +998,7 @@ checksum = "0ce7134b9999ecaf8bcd65542e436736ef32ddca1b3e06094cb6ec5755203b80"
[[package]]
name = "flare"
-version = "0.12.0"
+version = "0.13.0"
dependencies = [
"ashpd",
"async-trait",
@@ -1017,7 +1037,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "46303f565772937ffe1d394a4fac6f411c6013172fadde9dcdb1e147a086940e"
dependencies = [
"crc32fast",
- "miniz_oxide 0.7.1",
+ "miniz_oxide 0.7.2",
]
[[package]]
@@ -1141,7 +1161,7 @@ checksum = "87750cf4b7a4c0625b1529e4c543c2182106e4dedc60a2a6455e00d212c489ac"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -1430,11 +1450,11 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "0bb0228f477c0900c880fd78c8759b95c7636dbd7842707f49e132378aa2acdc"
dependencies = [
"heck 0.4.1",
- "proc-macro-crate 2.0.1",
+ "proc-macro-crate 2.0.2",
"proc-macro-error",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -1593,10 +1613,11 @@ dependencies = [
[[package]]
name = "half"
-version = "2.2.1"
+version = "2.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "02b4af3693f1b705df946e9fe5631932443781d0aabb423b62fcd4d73f6d2fd0"
+checksum = "bc52e53916c08643f1b56ec082790d1e86a32e58dc5268f897f313fbae7b4872"
dependencies = [
+ "cfg-if",
"crunchy",
]
@@ -1647,9 +1668,9 @@ checksum = "95505c38b4572b2d910cecb0281560f54b440a19336cbbcb27bf6ce6adc6f5a8"
[[package]]
name = "hermit-abi"
-version = "0.3.4"
+version = "0.3.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5d3d0e0f38255e7fa3cf31335b3a56f05febd18025f4db5ef7a0cfb4f8da651f"
+checksum = "bd5256b483761cd23699d0da46cc6fd2ee3be420bbe6d020ae4a091e70b7e9fd"
[[package]]
name = "hex"
@@ -1799,9 +1820,9 @@ dependencies = [
[[package]]
name = "iana-time-zone"
-version = "0.1.59"
+version = "0.1.60"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b6a67363e2aa4443928ce15e57ebae94fd8949958fd1223c4cfc0cd473ad7539"
+checksum = "e7ffbb5a1b541ea2561f8c41c087286cc091e21e556a4f09a8f6cbf17b69b141"
dependencies = [
"android_system_properties",
"core-foundation-sys",
@@ -1862,16 +1883,16 @@ dependencies = [
"gif 0.12.0",
"jpeg-decoder 0.3.1",
"num-traits",
- "png 0.17.11",
+ "png 0.17.13",
"qoi",
"tiff 0.9.1",
]
[[package]]
name = "indexmap"
-version = "2.1.0"
+version = "2.2.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d530e1a18b1cb4c484e6e34556a0d948706958449fca0cab753d649f2bce3d1f"
+checksum = "233cf39063f058ea2caae4091bf4a3ef70a653afbc026f5c4a4135d114e3c177"
dependencies = [
"equivalent",
"hashbrown",
@@ -1931,15 +1952,6 @@ version = "1.0.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "b1a46d1a171d865aa5f83f92695765caa047a9b4cbae2cbf37dbd613a793fd4c"
-[[package]]
-name = "jobserver"
-version = "0.1.27"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8c37f63953c4c63420ed5fd3d6d398c719489b9f872b9fa683262f8edd363c7d"
-dependencies = [
- "libc",
-]
-
[[package]]
name = "jpeg-decoder"
version = "0.1.22"
@@ -1960,9 +1972,9 @@ dependencies = [
[[package]]
name = "js-sys"
-version = "0.3.67"
+version = "0.3.68"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9a1d36f1235bc969acba30b7f5990b864423a6068a10f7c90ae8f0112e3a59d1"
+checksum = "406cda4b368d531c842222cf9d2600a9a4acce8d29423695379c6868a143a9ee"
dependencies = [
"wasm-bindgen",
]
@@ -2016,9 +2028,9 @@ dependencies = [
[[package]]
name = "libc"
-version = "0.2.152"
+version = "0.2.153"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "13e3bf6590cbc649f4d1a3eefc9d5d6eb746f5200ffb04e5e142700b8faa56e7"
+checksum = "9c198f91728a82281a64e1f4f9eeb25d82cb32a5de251c6bd1b5154d63a8e7bd"
[[package]]
name = "libm"
@@ -2061,7 +2073,7 @@ dependencies = [
[[package]]
name = "libsignal-service"
version = "0.1.0"
-source = "git+https://github.com/whisperfish/libsignal-service-rs?rev=a2e7540a71866a62028ad0205574a5feb0e717ec#a2e7540a71866a62028ad0205574a5feb0e717ec"
+source = "git+https://github.com/whisperfish/libsignal-service-rs?rev=1a8cdf81#1a8cdf81e66477077bd130cdd0313762e3d5e3c8"
dependencies = [
"aes",
"aes-gcm",
@@ -2096,7 +2108,7 @@ dependencies = [
[[package]]
name = "libsignal-service-hyper"
version = "0.1.0"
-source = "git+https://github.com/whisperfish/libsignal-service-rs?rev=a2e7540a71866a62028ad0205574a5feb0e717ec#a2e7540a71866a62028ad0205574a5feb0e717ec"
+source = "git+https://github.com/whisperfish/libsignal-service-rs?rev=1a8cdf81#1a8cdf81e66477077bd130cdd0313762e3d5e3c8"
dependencies = [
"async-trait",
"async-tungstenite",
@@ -2280,9 +2292,9 @@ dependencies = [
[[package]]
name = "miniz_oxide"
-version = "0.7.1"
+version = "0.7.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e7810e0be55b428ada41041c41f32c9f1a42817901b4ccf45fa3d4b6561e74c7"
+checksum = "9d811f3e15f28568be3407c8e7fdb6514c1cda3cb30683f15b6a1a1dc4ea14a7"
dependencies = [
"adler",
"simd-adler32",
@@ -2394,28 +2406,27 @@ dependencies = [
[[package]]
name = "num-complex"
-version = "0.4.4"
+version = "0.4.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ba157ca0885411de85d6ca030ba7e2a83a28636056c7c699b07c8b6f7383214"
+checksum = "23c6602fda94a57c990fe0df199a035d83576b496aa29f4e634a8ac6004e68a6"
dependencies = [
"num-traits",
]
[[package]]
name = "num-integer"
-version = "0.1.45"
+version = "0.1.46"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "225d3389fb3509a24c93f5c29eb6bde2586b98d9f016636dff58d7c6f7569cd9"
+checksum = "7969661fd2958a5cb096e56c8e1ad0444ac2bbcd0061bd28660485a44879858f"
dependencies = [
- "autocfg",
"num-traits",
]
[[package]]
name = "num-iter"
-version = "0.1.43"
+version = "0.1.44"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7d03e6c028c5dc5cac6e2dec0efda81fc887605bb3d884578bb6d6bf7514e252"
+checksum = "d869c01cc0c455284163fd0092f1f93835385ccab5a98a0dcc497b2f8bf055a9"
dependencies = [
"autocfg",
"num-integer",
@@ -2447,9 +2458,9 @@ dependencies = [
[[package]]
name = "num-traits"
-version = "0.2.17"
+version = "0.2.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "39e3200413f237f41ab11ad6d161bc7239c84dcb631773ccd7de3dfe4b5c267c"
+checksum = "da0df0e5185db44f69b44f26786fe401b6c293d1907744beaa7fa62b2e5a517a"
dependencies = [
"autocfg",
]
@@ -2482,7 +2493,7 @@ dependencies = [
"proc-macro-crate 1.3.1",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -2634,7 +2645,7 @@ dependencies = [
"cfg-if",
"instant",
"libc",
- "redox_syscall 0.2.16",
+ "redox_syscall",
"smallvec",
"winapi",
]
@@ -2688,22 +2699,22 @@ dependencies = [
[[package]]
name = "pin-project"
-version = "1.1.3"
+version = "1.1.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fda4ed1c6c173e3fc7a83629421152e01d7b1f9b7f65fb301e490e8cfc656422"
+checksum = "0302c4a0442c456bd56f841aee5c3bfd17967563f6fadc9ceb9f9c23cf3807e0"
dependencies = [
"pin-project-internal",
]
[[package]]
name = "pin-project-internal"
-version = "1.1.3"
+version = "1.1.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4359fd9c9171ec6e8c62926d6faaf553a8dc3f64e1507e76da7911b4f6a04405"
+checksum = "266c042b60c9c76b8d53061e52b2e0d1116abc57cefc8c5cd671619a56ac3690"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -2731,9 +2742,9 @@ dependencies = [
[[package]]
name = "pkg-config"
-version = "0.3.29"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2900ede94e305130c13ddd391e0ab7cbaeb783945ae07a279c268cb05109c6cb"
+checksum = "d231b230927b5e4ad203db57bbcbee2802f6bce620b1e4a9024a07d94e2907ec"
[[package]]
name = "platforms"
@@ -2755,15 +2766,15 @@ dependencies = [
[[package]]
name = "png"
-version = "0.17.11"
+version = "0.17.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1f6c3c3e617595665b8ea2ff95a86066be38fb121ff920a9c0eb282abcd1da5a"
+checksum = "06e4b0d3d1312775e782c86c91a111aa1f910cbb65e1337f9975b5f9a554b5e1"
dependencies = [
"bitflags 1.3.2",
"crc32fast",
"fdeflate",
"flate2",
- "miniz_oxide 0.7.1",
+ "miniz_oxide 0.7.2",
]
[[package]]
@@ -2795,14 +2806,14 @@ dependencies = [
[[package]]
name = "polling"
-version = "3.3.2"
+version = "3.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "545c980a3880efd47b2e262f6a4bb6daad6555cf3367aa9c4e52895f69537a41"
+checksum = "24f040dee2588b4963afb4e420540439d126f73fdacf4a9c486a96d840bac3c9"
dependencies = [
"cfg-if",
"concurrent-queue",
"pin-project-lite",
- "rustix 0.38.30",
+ "rustix 0.38.31",
"tracing",
"windows-sys 0.52.0",
]
@@ -2870,7 +2881,7 @@ checksum = "94e851c7654eed9e68d7d27164c454961a616cf8c203d500607ef22c737b51bb"
[[package]]
name = "presage"
version = "0.6.0-dev"
-source = "git+https://github.com/Schmiddiii/presage?rev=75011227faac60773bb1422d365ecc7fed95484a#75011227faac60773bb1422d365ecc7fed95484a"
+source = "git+https://github.com/Schmiddiii/presage?rev=03ffb349a125518fdc6087ebfb6a5d82a1600da6#03ffb349a125518fdc6087ebfb6a5d82a1600da6"
dependencies = [
"base64",
"futures",
@@ -2889,7 +2900,7 @@ dependencies = [
[[package]]
name = "presage-store-cipher"
version = "0.1.0"
-source = "git+https://github.com/Schmiddiii/presage?rev=75011227faac60773bb1422d365ecc7fed95484a#75011227faac60773bb1422d365ecc7fed95484a"
+source = "git+https://github.com/Schmiddiii/presage?rev=03ffb349a125518fdc6087ebfb6a5d82a1600da6#03ffb349a125518fdc6087ebfb6a5d82a1600da6"
dependencies = [
"blake3",
"chacha20poly1305",
@@ -2906,7 +2917,7 @@ dependencies = [
[[package]]
name = "presage-store-sled"
version = "0.6.0-dev"
-source = "git+https://github.com/Schmiddiii/presage?rev=75011227faac60773bb1422d365ecc7fed95484a#75011227faac60773bb1422d365ecc7fed95484a"
+source = "git+https://github.com/Schmiddiii/presage?rev=03ffb349a125518fdc6087ebfb6a5d82a1600da6#03ffb349a125518fdc6087ebfb6a5d82a1600da6"
dependencies = [
"async-trait",
"base64",
@@ -2931,7 +2942,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "a41cf62165e97c7f814d2221421dbb9afcbcdb0a88068e5ea206e19951c2cbb5"
dependencies = [
"proc-macro2",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -2946,9 +2957,9 @@ dependencies = [
[[package]]
name = "proc-macro-crate"
-version = "2.0.1"
+version = "2.0.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "97dc5fea232fc28d2f597b37c4876b348a40e33f3b02cc975c8d006d78d94b1a"
+checksum = "b00f26d3400549137f92511a46ac1cd8ce37cb5598a96d382381458b992a5d24"
dependencies = [
"toml_datetime",
"toml_edit 0.20.2",
@@ -3044,7 +3055,7 @@ dependencies = [
"prost 0.12.3",
"prost-types 0.12.3",
"regex",
- "syn 2.0.48",
+ "syn 2.0.50",
"tempfile",
"which",
]
@@ -3072,7 +3083,7 @@ dependencies = [
"itertools 0.11.0",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -3208,15 +3219,6 @@ dependencies = [
"bitflags 1.3.2",
]
-[[package]]
-name = "redox_syscall"
-version = "0.4.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4722d768eff46b75989dd134e5c353f0d6296e5aaa3132e776cbdb56be7731aa"
-dependencies = [
- "bitflags 1.3.2",
-]
-
[[package]]
name = "regex"
version = "1.10.3"
@@ -3231,9 +3233,9 @@ dependencies = [
[[package]]
name = "regex-automata"
-version = "0.4.4"
+version = "0.4.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3b7fa1134405e2ec9353fd416b17f8dacd46c473d7d3fd1cf202706a14eb792a"
+checksum = "5bb987efffd3c6d0d8f5f89510bb458559eab11e4f869acb20bf845e016259cd"
dependencies = [
"aho-corasick",
"memchr",
@@ -3266,16 +3268,17 @@ checksum = "c08c74e62047bb2de4ff487b251e4a92e24f48745648451635cec7d591162d9f"
[[package]]
name = "ring"
-version = "0.17.7"
+version = "0.17.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "688c63d65483050968b2a8937f7995f443e27041a0f7700aa59b0822aedebb74"
+checksum = "c17fa4cb658e3583423e915b9f3acc01cceaee1860e33d59ebae66adc3a2dc0d"
dependencies = [
"cc",
+ "cfg-if",
"getrandom",
"libc",
"spin 0.9.8",
"untrusted",
- "windows-sys 0.48.0",
+ "windows-sys 0.52.0",
]
[[package]]
@@ -3309,9 +3312,9 @@ dependencies = [
[[package]]
name = "rustix"
-version = "0.38.30"
+version = "0.38.31"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "322394588aaf33c24007e8bb3238ee3e4c5c09c084ab32bc73890b99ff326bca"
+checksum = "6ea3e1a662af26cd7a3ba09c0297a31af215563ecf42817c98df621387f4e949"
dependencies = [
"bitflags 2.4.2",
"errno",
@@ -3349,9 +3352,9 @@ dependencies = [
[[package]]
name = "rustls-pemfile"
-version = "2.0.0"
+version = "2.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "35e4980fa29e4c4b212ffb3db068a564cbf560e51d3944b7c88bd8bf5bec64f4"
+checksum = "3c333bb734fcdedcea57de1602543590f545f127dc8b533324318fd492c5c70b"
dependencies = [
"base64",
"rustls-pki-types",
@@ -3359,15 +3362,15 @@ dependencies = [
[[package]]
name = "rustls-pki-types"
-version = "1.1.0"
+version = "1.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9e9d979b3ce68192e42760c7810125eb6cf2ea10efae545a156063e61f314e2a"
+checksum = "048a63e5b3ac996d78d402940b5fa47973d2d080c6c6fffa1d0f19c4445310b7"
[[package]]
name = "rustls-webpki"
-version = "0.102.1"
+version = "0.102.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ef4ca26037c909dedb327b48c3327d0ba91d3dd3c4e05dad328f210ffb68e95b"
+checksum = "faaa0a62740bedb9b2ef5afa303da42764c012f743917351dc9a237ea1663610"
dependencies = [
"ring",
"rustls-pki-types",
@@ -3382,9 +3385,9 @@ checksum = "7ffc183a10b4478d04cbbbfc96d0873219d962dd5accaff2ffbd4ceb7df837f4"
[[package]]
name = "ryu"
-version = "1.0.16"
+version = "1.0.17"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f98d2aa92eebf49b69786be48e4477826b256916e84a57ff2a4f21923b48eb4c"
+checksum = "e86697c916019a8588c99b5fac3cead74ec0b4b819707a682fd4d23fa0ce1ba1"
[[package]]
name = "schannel"
@@ -3432,35 +3435,35 @@ dependencies = [
[[package]]
name = "semver"
-version = "1.0.21"
+version = "1.0.22"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b97ed7a9823b74f99c7742f5336af7be5ecd3eeafcb1507d1fa93347b1d589b0"
+checksum = "92d43fe69e652f3df9bdc2b85b2854a0825b86e4fb76bc44d945137d053639ca"
[[package]]
name = "serde"
-version = "1.0.195"
+version = "1.0.197"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "63261df402c67811e9ac6def069e4786148c4563f4b50fd4bf30aa370d626b02"
+checksum = "3fb1c873e1b9b056a4dc4c0c198b24c3ffa059243875552b2bd0933b1aee4ce2"
dependencies = [
"serde_derive",
]
[[package]]
name = "serde_derive"
-version = "1.0.195"
+version = "1.0.197"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "46fe8f8603d81ba86327b23a2e9cdf49e1255fb94a4c5f297f6ee0547178ea2c"
+checksum = "7eb0b34b42edc17f6b7cac84a52a1c5f0e1bb2227e997ca9011ea3dd34e8610b"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
name = "serde_json"
-version = "1.0.111"
+version = "1.0.114"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "176e46fa42316f18edd598015a5166857fc835ec732f5215eac6b7bdbf0a84f4"
+checksum = "c5f09b1bd632ef549eaa9f60a1f8de742bdbc698e6cee2095fc84dde5f549ae0"
dependencies = [
"itoa",
"ryu",
@@ -3475,7 +3478,7 @@ checksum = "0b2e6b945e9d3df726b65d6ee24060aff8e3533d431f677a9695db04eff9dfdb"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -3689,9 +3692,9 @@ dependencies = [
[[package]]
name = "syn"
-version = "2.0.48"
+version = "2.0.50"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0f3531638e407dfc0814761abb7c00a5b54992b849452a0646b7f65c9f770f3f"
+checksum = "74f1bdc9872430ce9b75da68329d1c1746faf50ffac5f19e02b71e37ff881ffb"
dependencies = [
"proc-macro2",
"quote",
@@ -3737,35 +3740,34 @@ checksum = "dd16aa9ffe15fe021c6ee3766772132c6e98dfa395a167e16864f61a9cfb71d6"
[[package]]
name = "tempfile"
-version = "3.9.0"
+version = "3.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "01ce4141aa927a6d1bd34a041795abd0db1cccba5d5f24b009f694bdf3a1f3fa"
+checksum = "a365e8cd18e44762ef95d87f284f4b5cd04107fec2ff3052bd6a3e6069669e67"
dependencies = [
"cfg-if",
"fastrand 2.0.1",
- "redox_syscall 0.4.1",
- "rustix 0.38.30",
+ "rustix 0.38.31",
"windows-sys 0.52.0",
]
[[package]]
name = "thiserror"
-version = "1.0.56"
+version = "1.0.57"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d54378c645627613241d077a3a79db965db602882668f9136ac42af9ecb730ad"
+checksum = "1e45bcbe8ed29775f228095caf2cd67af7a4ccf756ebff23a306bf3e8b47b24b"
dependencies = [
"thiserror-impl",
]
[[package]]
name = "thiserror-impl"
-version = "1.0.56"
+version = "1.0.57"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fa0faa943b50f3db30a20aa7e265dbc66076993efed8463e8de414e5d06d3471"
+checksum = "a953cb265bef375dae3de6663da4d3804eee9682ea80d8e2542529b73c531c81"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -3807,9 +3809,9 @@ checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20"
[[package]]
name = "tokio"
-version = "1.35.1"
+version = "1.36.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c89b4efa943be685f629b149f53829423f8f5531ea21249408e8e2f8671ec104"
+checksum = "61285f6515fa018fb2d1e46eb21223fff441ee8db5d0f1435e8ab4f5cdb80931"
dependencies = [
"backtrace",
"bytes",
@@ -3842,7 +3844,7 @@ checksum = "5b8a1e28f2deaa14e508979454cb3a223b10b938b45af148bc0986de36f1923b"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -3955,7 +3957,7 @@ checksum = "34704c8d6ebcbc939824180af020566b01a7c01f80641264eba0999f6c2b6be7"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
@@ -4044,18 +4046,18 @@ checksum = "3354b9ac3fae1ff6755cb6db53683adb661634f67557942dea4facebec0fee4b"
[[package]]
name = "unicode-normalization"
-version = "0.1.22"
+version = "0.1.23"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5c5713f0fc4b5db668a2ac63cdb7bb4469d8c9fed047b1d0292cc7b0ce2ba921"
+checksum = "a56d1686db2308d901306f92a263857ef59ea39678a5458e7cb17f01415101f5"
dependencies = [
"tinyvec",
]
[[package]]
name = "unicode-segmentation"
-version = "1.10.1"
+version = "1.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1dd624098567895118886609431a7c3b8f516e41d30e0643f03d94592a147e36"
+checksum = "d4c87d22b6e3f4a18d4d40ef354e97c90fcb14dd91d7dc0aa9d8a1172ebf7202"
[[package]]
name = "unicode-xid"
@@ -4153,9 +4155,9 @@ checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
[[package]]
name = "wasm-bindgen"
-version = "0.2.90"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b1223296a201415c7fad14792dbefaace9bd52b62d33453ade1c5b5f07555406"
+checksum = "c1e124130aee3fb58c5bdd6b639a0509486b0338acaaae0c84a5124b0f588b7f"
dependencies = [
"cfg-if",
"wasm-bindgen-macro",
@@ -4163,24 +4165,24 @@ dependencies = [
[[package]]
name = "wasm-bindgen-backend"
-version = "0.2.90"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fcdc935b63408d58a32f8cc9738a0bffd8f05cc7c002086c6ef20b7312ad9dcd"
+checksum = "c9e7e1900c352b609c8488ad12639a311045f40a35491fb69ba8c12f758af70b"
dependencies = [
"bumpalo",
"log",
"once_cell",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-macro"
-version = "0.2.90"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3e4c238561b2d428924c49815533a8b9121c664599558a5d9ec51f8a1740a999"
+checksum = "b30af9e2d358182b5c7449424f017eba305ed32a7010509ede96cdc4696c46ed"
dependencies = [
"quote",
"wasm-bindgen-macro-support",
@@ -4188,22 +4190,22 @@ dependencies = [
[[package]]
name = "wasm-bindgen-macro-support"
-version = "0.2.90"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bae1abb6806dc1ad9e560ed242107c0f6c84335f1749dd4e8ddb012ebd5e25a7"
+checksum = "642f325be6301eb8107a83d12a8ac6c1e1c54345a7ef1a9261962dfefda09e66"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
"wasm-bindgen-backend",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-shared"
-version = "0.2.90"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4d91413b1c31d7539ba5ef2451af3f0b833a005eb27a631cec32bc0635a8602b"
+checksum = "4f186bd2dcf04330886ce82d6f33dd75a7bfcf69ecf5763b89fcde53b6ac9838"
[[package]]
name = "weezl"
@@ -4220,7 +4222,7 @@ dependencies = [
"either",
"home",
"once_cell",
- "rustix 0.38.30",
+ "rustix 0.38.31",
]
[[package]]
@@ -4388,18 +4390,18 @@ checksum = "dff9641d1cd4be8d1a070daf9e3773c5f67e78b4d9d42263020c057706765c04"
[[package]]
name = "winnow"
-version = "0.5.34"
+version = "0.5.40"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b7cf47b659b318dccbd69cc4797a39ae128f533dce7902a1096044d1967b9c16"
+checksum = "f593a95398737aeed53e489c785df13f3618e41dbcd6718c6addbf1395aa6876"
dependencies = [
"memchr",
]
[[package]]
name = "x25519-dalek"
-version = "2.0.0"
+version = "2.0.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fb66477291e7e8d2b0ff1bcb900bf29489a9692816d79874bea351e7a8b6de96"
+checksum = "c7e468321c81fb07fa7f4c636c3972b9100f0346e5b6a9f2bd0603a52f7ed277"
dependencies = [
"curve25519-dalek",
"rand_core",
@@ -4409,19 +4411,19 @@ dependencies = [
[[package]]
name = "xdg-home"
-version = "1.0.0"
+version = "1.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2769203cd13a0c6015d515be729c526d041e9cf2c0cc478d57faee85f40c6dcd"
+checksum = "21e5a325c3cb8398ad6cf859c1135b25dd29e186679cf2da7581d9679f63b38e"
dependencies = [
- "nix",
+ "libc",
"winapi",
]
[[package]]
name = "zbus"
-version = "3.14.1"
+version = "3.15.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "31de390a2d872e4cd04edd71b425e29853f786dc99317ed72d73d6fcf5ebb948"
+checksum = "c45d06ae3b0f9ba1fb2671268b975557d8f5a84bb5ec6e43964f87e763d8bca8"
dependencies = [
"async-broadcast",
"async-process",
@@ -4455,9 +4457,9 @@ dependencies = [
[[package]]
name = "zbus_macros"
-version = "3.14.1"
+version = "3.15.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "41d1794a946878c0e807f55a397187c11fc7a038ba5d868e7db4f3bd7760bc9d"
+checksum = "b4a1ba45ed0ad344b85a2bb5a1fe9830aed23d67812ea39a586e7d0136439c7d"
dependencies = [
"proc-macro-crate 1.3.1",
"proc-macro2",
@@ -4495,7 +4497,7 @@ checksum = "ce36e65b0d2999d2aafac989fb249189a141aee1f53c612c1f37d72631959f69"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.50",
]
[[package]]
diff --git a/pkgs/applications/networking/instant-messengers/flare-signal/default.nix b/pkgs/applications/networking/instant-messengers/flare-signal/default.nix
index d61bb07d2bcf..f21241e10b60 100644
--- a/pkgs/applications/networking/instant-messengers/flare-signal/default.nix
+++ b/pkgs/applications/networking/instant-messengers/flare-signal/default.nix
@@ -21,14 +21,14 @@
stdenv.mkDerivation rec {
pname = "flare";
- version = "0.12.0";
+ version = "0.13.0";
src = fetchFromGitLab {
domain = "gitlab.com";
owner = "schmiddi-on-mobile";
repo = "flare";
rev = version;
- hash = "sha256-Dg5UhVTmxiwPIbU8fG/ehX9Zp8WI2V+JoOEI7P1Way4=";
+ hash = "sha256-WfW2xUlF1vCaYFVP6ds06+niULKZgMMxgAOm66LK2xQ=";
};
cargoDeps = rustPlatform.importCargoLock {
@@ -36,8 +36,8 @@ stdenv.mkDerivation rec {
outputHashes = {
"curve25519-dalek-4.0.0" = "sha256-KUXvYXeVvJEQ/+dydKzXWCZmA2bFa2IosDzaBL6/Si0=";
"libsignal-protocol-0.1.0" = "sha256-FCrJO7porlY5FrwZ2c67UPd4tgN7cH2/3DTwfPjihwM=";
- "libsignal-service-0.1.0" = "sha256-lzyUUP1mhxxIU+xCr+5VAoeEO6FlDgeEJtWhm9avJb8=";
- "presage-0.6.0-dev" = "sha256-PqMz6jJuL/4LVY3kNFQ9NmKt3D6cwQkGiPs2QJsL01A=";
+ "libsignal-service-0.1.0" = "sha256-XkCb83IvlnmvhHD8Vi9D5fNuBOoR9yX0/Vlb+YhrDz8=";
+ "presage-0.6.0-dev" = "sha256-zot92dlGtB7B423BU74oqpPzQKvLm2Dw9P8lCWkbsoE=";
};
};
@@ -71,6 +71,7 @@ stdenv.mkDerivation rec {
meta = {
changelog = "https://gitlab.com/schmiddi-on-mobile/flare/-/blob/${src.rev}/CHANGELOG.md";
description = "An unofficial Signal GTK client";
+ mainProgram = "flare";
homepage = "https://gitlab.com/schmiddi-on-mobile/flare";
license = lib.licenses.agpl3Plus;
maintainers = with lib.maintainers; [ dotlambda ];
diff --git a/pkgs/applications/networking/instant-messengers/fluffychat/default.nix b/pkgs/applications/networking/instant-messengers/fluffychat/default.nix
index 869ed18c2cb3..99562f4054ae 100644
--- a/pkgs/applications/networking/instant-messengers/fluffychat/default.nix
+++ b/pkgs/applications/networking/instant-messengers/fluffychat/default.nix
@@ -18,18 +18,19 @@ let
in
flutter.buildFlutterApplication (rec {
pname = "fluffychat-${targetFlutterPlatform}";
- version = "1.17.1";
+ version = "1.18.0";
src = fetchFromGitHub {
owner = "krille-chan";
repo = "fluffychat";
rev = "refs/tags/v${version}";
- hash = "sha256-SCZtdmpUaCwORIJgT9lQO/It+WSzkhBOd6liLzPBerU=";
+ hash = "sha256-xm3+zBqg/mW2XxqFDXxeC+gIc+TgeciJmQf8w1kcW5Y=";
};
inherit pubspecLock;
gitHashes = {
+ flutter_shortcuts = "sha256-4nptZ7/tM2W/zylk3rfQzxXgQ6AipFH36gcIb/0RbHo=";
keyboard_shortcuts = "sha256-U74kRujftHPvpMOIqVT0Ph+wi1ocnxNxIFA1krft4Os=";
wakelock_windows = "sha256-Dfwe3dSScD/6kvkP67notcbb+EgTQ3kEYcH7wpra2dI=";
};
diff --git a/pkgs/applications/networking/instant-messengers/fluffychat/pubspec.lock.json b/pkgs/applications/networking/instant-messengers/fluffychat/pubspec.lock.json
index ea9578706e02..b6df5968cd9a 100644
--- a/pkgs/applications/networking/instant-messengers/fluffychat/pubspec.lock.json
+++ b/pkgs/applications/networking/instant-messengers/fluffychat/pubspec.lock.json
@@ -1,15 +1,5 @@
{
"packages": {
- "_fe_analyzer_shared": {
- "dependency": "transitive",
- "description": {
- "name": "_fe_analyzer_shared",
- "sha256": "ae92f5d747aee634b87f89d9946000c2de774be1d6ac3e58268224348cd0101a",
- "url": "https://pub.dev"
- },
- "source": "hosted",
- "version": "61.0.0"
- },
"adaptive_dialog": {
"dependency": "direct main",
"description": {
@@ -20,26 +10,6 @@
"source": "hosted",
"version": "2.0.0"
},
- "analyzer": {
- "dependency": "transitive",
- "description": {
- "name": "analyzer",
- "sha256": "ea3d8652bda62982addfd92fdc2d0214e5f82e43325104990d4f4c4a2a313562",
- "url": "https://pub.dev"
- },
- "source": "hosted",
- "version": "5.13.0"
- },
- "analyzer_plugin": {
- "dependency": "transitive",
- "description": {
- "name": "analyzer_plugin",
- "sha256": "c1d5f167683de03d5ab6c3b53fc9aeefc5d59476e7810ba7bbddff50c6f4392d",
- "url": "https://pub.dev"
- },
- "source": "hosted",
- "version": "0.11.2"
- },
"animations": {
"dependency": "direct main",
"description": {
@@ -310,36 +280,6 @@
"source": "hosted",
"version": "1.0.6"
},
- "dart_code_metrics": {
- "dependency": "direct dev",
- "description": {
- "name": "dart_code_metrics",
- "sha256": "3dede3f7abc077a4181ec7445448a289a9ce08e2981e6a4d49a3fb5099d47e1f",
- "url": "https://pub.dev"
- },
- "source": "hosted",
- "version": "5.7.6"
- },
- "dart_code_metrics_presets": {
- "dependency": "transitive",
- "description": {
- "name": "dart_code_metrics_presets",
- "sha256": "b71eadf02a3787ebd5c887623f83f6fdc204d45c75a081bd636c4104b3fd8b73",
- "url": "https://pub.dev"
- },
- "source": "hosted",
- "version": "1.8.0"
- },
- "dart_style": {
- "dependency": "transitive",
- "description": {
- "name": "dart_style",
- "sha256": "1efa911ca7086affd35f463ca2fc1799584fb6aa89883cf0af8e3664d6a02d55",
- "url": "https://pub.dev"
- },
- "source": "hosted",
- "version": "2.3.2"
- },
"dart_webrtc": {
"dependency": "transitive",
"description": {
@@ -424,11 +364,11 @@
"dependency": "direct main",
"description": {
"name": "emoji_picker_flutter",
- "sha256": "009c51efc763d5a6ba05a5628b8b2184c327cd117d66ea9c3e7edf2ff269c423",
+ "sha256": "8506341d62efd116d6fb1481450bffdbac659d3d90d46d9cc610bfae5f33cc54",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "1.6.3"
+ "version": "1.6.4"
},
"emoji_proposal": {
"dependency": "direct main",
@@ -494,11 +434,11 @@
"dependency": "transitive",
"description": {
"name": "file",
- "sha256": "1b92bec4fc2a72f59a8e15af5f52cd441e4a7860b49499d69dfa817af20e925d",
+ "sha256": "5fc22d7c25582e38ad9a8515372cd9a93834027aacf1801cf01164dac0ffa08c",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "6.1.4"
+ "version": "7.0.0"
},
"file_picker": {
"dependency": "direct main",
@@ -592,6 +532,16 @@
"source": "sdk",
"version": "0.0.0"
},
+ "flutter_file_dialog": {
+ "dependency": "direct main",
+ "description": {
+ "name": "flutter_file_dialog",
+ "sha256": "9344b8f07be6a1b6f9854b723fb0cf84a8094ba94761af1d213589d3cb087488",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.0.2"
+ },
"flutter_foreground_task": {
"dependency": "direct main",
"description": {
@@ -726,11 +676,11 @@
"dependency": "direct main",
"description": {
"name": "flutter_local_notifications",
- "sha256": "bb5cd63ff7c91d6efe452e41d0d0ae6348925c82eafd10ce170ef585ea04776e",
+ "sha256": "c18f1de98fe0bb9dd5ba91e1330d4febc8b6a7de6aae3ffe475ef423723e72f3",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "16.2.0"
+ "version": "16.3.2"
},
"flutter_local_notifications_linux": {
"dependency": "transitive",
@@ -888,6 +838,17 @@
"source": "hosted",
"version": "3.0.0"
},
+ "flutter_shortcuts": {
+ "dependency": "direct main",
+ "description": {
+ "path": ".",
+ "ref": "HEAD",
+ "resolved-ref": "930c51d56c87a7f8cefdf8c1db52c194baddc37d",
+ "url": "https://github.com/krille-chan/flutter_shortcuts.git"
+ },
+ "source": "git",
+ "version": "1.4.0"
+ },
"flutter_svg": {
"dependency": "transitive",
"description": {
@@ -1040,11 +1001,11 @@
"dependency": "direct main",
"description": {
"name": "go_router",
- "sha256": "c247a4f76071c3b97bb5ae8912968870d5565644801c5e09f3bc961b4d874895",
+ "sha256": "07ee2436909f749d606f53521dc1725dd738dc5196e5ff815bc254253c594075",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "12.1.1"
+ "version": "13.1.0"
},
"gradient_borders": {
"dependency": "transitive",
@@ -1333,6 +1294,36 @@
"source": "hosted",
"version": "0.8.2"
},
+ "leak_tracker": {
+ "dependency": "transitive",
+ "description": {
+ "name": "leak_tracker",
+ "sha256": "78eb209deea09858f5269f5a5b02be4049535f568c07b275096836f01ea323fa",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "10.0.0"
+ },
+ "leak_tracker_flutter_testing": {
+ "dependency": "transitive",
+ "description": {
+ "name": "leak_tracker_flutter_testing",
+ "sha256": "b46c5e37c19120a8a01918cfaf293547f47269f7cb4b0058f21531c2465d6ef0",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.0.1"
+ },
+ "leak_tracker_testing": {
+ "dependency": "transitive",
+ "description": {
+ "name": "leak_tracker_testing",
+ "sha256": "a597f72a664dbd293f3bfc51f9ba69816f84dcd403cdac7066cb3f6003f3ab47",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.0.1"
+ },
"linkify": {
"dependency": "direct main",
"description": {
@@ -1417,31 +1408,31 @@
"dependency": "transitive",
"description": {
"name": "matcher",
- "sha256": "1803e76e6653768d64ed8ff2e1e67bea3ad4b923eb5c56a295c3e634bad5960e",
+ "sha256": "d2323aa2060500f906aa31a895b4030b6da3ebdcc5619d14ce1aada65cd161cb",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "0.12.16"
+ "version": "0.12.16+1"
},
"material_color_utilities": {
"dependency": "transitive",
"description": {
"name": "material_color_utilities",
- "sha256": "9528f2f296073ff54cb9fee677df673ace1218163c3bc7628093e7eed5203d41",
+ "sha256": "0e0a020085b65b6083975e499759762399b4475f766c21668c4ecca34ea74e5a",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "0.5.0"
+ "version": "0.8.0"
},
"matrix": {
"dependency": "direct main",
"description": {
"name": "matrix",
- "sha256": "ae57870b14484044896a07abbc102b29cfafcfe38c382e954ba057e63196afdd",
+ "sha256": "84e5745dd41468a2870d119e597529e6471f3ce2f400e4b35d5bd6a036a98692",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "0.25.5"
+ "version": "0.25.7"
},
"matrix_api_lite": {
"dependency": "transitive",
@@ -1457,11 +1448,11 @@
"dependency": "transitive",
"description": {
"name": "meta",
- "sha256": "a6e590c838b18133bb482a2745ad77c5bb7715fb0451209e1a7567d416678b8e",
+ "sha256": "d584fa6707a52763a52446f02cc621b077888fb63b93bbcb1143a7be5a0c0c04",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "1.10.0"
+ "version": "1.11.0"
},
"mgrs_dart": {
"dependency": "transitive",
@@ -1537,11 +1528,11 @@
"dependency": "direct main",
"description": {
"name": "package_info_plus",
- "sha256": "7e76fad405b3e4016cd39d08f455a4eb5199723cf594cd1b8916d47140d93017",
+ "sha256": "88bc797f44a94814f2213db1c9bd5badebafdfb8290ca9f78d4b9ee2a3db4d79",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "4.2.0"
+ "version": "5.0.1"
},
"package_info_plus_platform_interface": {
"dependency": "transitive",
@@ -1567,11 +1558,11 @@
"dependency": "transitive",
"description": {
"name": "path",
- "sha256": "8829d8a55c13fc0e37127c29fedf290c102f4e40ae94ada574091fe0ff96c917",
+ "sha256": "087ce49c3f0dc39180befefc60fdb4acd8f8620e5682fe2476afd0b3688bb4af",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "1.8.3"
+ "version": "1.9.0"
},
"path_parsing": {
"dependency": "transitive",
@@ -1717,11 +1708,11 @@
"dependency": "transitive",
"description": {
"name": "platform",
- "sha256": "ae68c7bfcd7383af3629daafb32fb4e8681c7154428da4febcff06200585f102",
+ "sha256": "12220bb4b65720483f8fa9450b4332347737cf8213dd2840d8b2c823e47243ec",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "3.1.2"
+ "version": "3.1.4"
},
"platform_detect": {
"dependency": "transitive",
@@ -1777,11 +1768,11 @@
"dependency": "transitive",
"description": {
"name": "process",
- "sha256": "53fd8db9cec1d37b0574e12f07520d582019cb6c44abf5479a01505099a34a09",
+ "sha256": "21e54fd2faf1b5bdd5102afd25012184a6793927648ea81eea80552ac9405b32",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "4.2.4"
+ "version": "5.0.2"
},
"proj4dart": {
"dependency": "transitive",
@@ -1813,16 +1804,6 @@
"source": "hosted",
"version": "2.1.4"
},
- "pub_updater": {
- "dependency": "transitive",
- "description": {
- "name": "pub_updater",
- "sha256": "05ae70703e06f7fdeb05f7f02dd680b8aad810e87c756a618f33e1794635115c",
- "url": "https://pub.dev"
- },
- "source": "hosted",
- "version": "0.3.0"
- },
"pubspec_parse": {
"dependency": "transitive",
"description": {
@@ -2633,11 +2614,11 @@
"dependency": "transitive",
"description": {
"name": "vm_service",
- "sha256": "c538be99af830f478718b51630ec1b6bee5e74e52c8a802d328d9e71d35d2583",
+ "sha256": "b3d56ff4341b8f182b96aceb2fa20e3dcb336b9f867bc0eafc0de10f1048e957",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "11.10.0"
+ "version": "13.0.0"
},
"wakelock_platform_interface": {
"dependency": "transitive",
@@ -2653,11 +2634,11 @@
"dependency": "direct main",
"description": {
"name": "wakelock_plus",
- "sha256": "f45a6c03aa3f8322e0a9d7f4a0482721c8789cb41d555407367650b8f9c26018",
+ "sha256": "f268ca2116db22e57577fb99d52515a24bdc1d570f12ac18bb762361d43b043d",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "1.1.3"
+ "version": "1.1.4"
},
"wakelock_plus_platform_interface": {
"dependency": "transitive",
@@ -2680,35 +2661,25 @@
"source": "git",
"version": "0.2.2"
},
- "watcher": {
- "dependency": "transitive",
- "description": {
- "name": "watcher",
- "sha256": "3d2ad6751b3c16cf07c7fca317a1413b3f26530319181b37e3b9039b84fc01d8",
- "url": "https://pub.dev"
- },
- "source": "hosted",
- "version": "1.1.0"
- },
"web": {
"dependency": "transitive",
"description": {
"name": "web",
- "sha256": "afe077240a270dcfd2aafe77602b4113645af95d0ad31128cc02bce5ac5d5152",
+ "sha256": "edc8a9573dd8c5a83a183dae1af2b6fd4131377404706ca4e5420474784906fa",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "0.3.0"
+ "version": "0.4.0"
},
"webdriver": {
"dependency": "transitive",
"description": {
"name": "webdriver",
- "sha256": "3c923e918918feeb90c4c9fdf1fe39220fa4c0e8e2c0fffaded174498ef86c49",
+ "sha256": "003d7da9519e1e5f329422b36c4dcdf18d7d2978d1ba099ea4e45ba490ed845e",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "3.0.2"
+ "version": "3.0.3"
},
"webrtc_interface": {
"dependency": "direct main",
diff --git a/pkgs/applications/networking/instant-messengers/freetalk/default.nix b/pkgs/applications/networking/instant-messengers/freetalk/default.nix
index fdfbbc5b275b..e259c6b60f8d 100644
--- a/pkgs/applications/networking/instant-messengers/freetalk/default.nix
+++ b/pkgs/applications/networking/instant-messengers/freetalk/default.nix
@@ -23,6 +23,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Console XMPP client";
+ mainProgram = "freetalk";
license = licenses.gpl3Plus ;
maintainers = with maintainers; [ raskin ];
platforms = platforms.unix;
diff --git a/pkgs/applications/networking/instant-messengers/go-neb/default.nix b/pkgs/applications/networking/instant-messengers/go-neb/default.nix
index d1947825c42b..82cfb3126c86 100644
--- a/pkgs/applications/networking/instant-messengers/go-neb/default.nix
+++ b/pkgs/applications/networking/instant-messengers/go-neb/default.nix
@@ -23,6 +23,7 @@ buildGoModule {
meta = with lib; {
broken = stdenv.isDarwin;
description = "Extensible matrix bot written in Go";
+ mainProgram = "go-neb";
homepage = "https://github.com/matrix-org/go-neb";
license = licenses.asl20;
maintainers = with maintainers; [ hexa maralorn ];
diff --git a/pkgs/applications/networking/instant-messengers/gomuks/default.nix b/pkgs/applications/networking/instant-messengers/gomuks/default.nix
index d7fd40029843..e25a94d0bef8 100644
--- a/pkgs/applications/networking/instant-messengers/gomuks/default.nix
+++ b/pkgs/applications/networking/instant-messengers/gomuks/default.nix
@@ -51,6 +51,7 @@ buildGoModule rec {
meta = with lib; {
homepage = "https://maunium.net/go/gomuks/";
description = "A terminal based Matrix client written in Go";
+ mainProgram = "gomuks";
license = licenses.agpl3Plus;
maintainers = with maintainers; [ chvp emily ];
};
diff --git a/pkgs/applications/networking/instant-messengers/gurk-rs/default.nix b/pkgs/applications/networking/instant-messengers/gurk-rs/default.nix
index 352fa1a511cd..63d8581aa766 100644
--- a/pkgs/applications/networking/instant-messengers/gurk-rs/default.nix
+++ b/pkgs/applications/networking/instant-messengers/gurk-rs/default.nix
@@ -43,6 +43,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "Signal Messenger client for terminal";
+ mainProgram = "gurk";
homepage = "https://github.com/boxdot/gurk-rs";
license = licenses.agpl3Only;
maintainers = with maintainers; [ devhell ];
diff --git a/pkgs/applications/networking/instant-messengers/iamb/default.nix b/pkgs/applications/networking/instant-messengers/iamb/default.nix
index ea8351c74f66..6e4e5276e582 100644
--- a/pkgs/applications/networking/instant-messengers/iamb/default.nix
+++ b/pkgs/applications/networking/instant-messengers/iamb/default.nix
@@ -31,6 +31,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "A Matrix client for Vim addicts";
+ mainProgram = "iamb";
homepage = "https://github.com/ulyssa/iamb";
changelog = "https://github.com/ulyssa/iamb/releases/tag/${src.rev}";
license = licenses.asl20;
diff --git a/pkgs/applications/networking/instant-messengers/jackline/default.nix b/pkgs/applications/networking/instant-messengers/jackline/default.nix
index 2c86b3e86fa8..946b77512e17 100644
--- a/pkgs/applications/networking/instant-messengers/jackline/default.nix
+++ b/pkgs/applications/networking/instant-messengers/jackline/default.nix
@@ -47,6 +47,7 @@ buildDunePackage rec {
meta = with lib; {
homepage = "https://github.com/hannesm/jackline";
description = "minimalistic secure XMPP client in OCaml";
+ mainProgram = "jackline";
license = licenses.bsd2;
maintainers = with maintainers; [ sternenseemann ];
};
diff --git a/pkgs/applications/networking/instant-messengers/jami/default.nix b/pkgs/applications/networking/instant-messengers/jami/default.nix
index 7acbd1b3b393..98b4b69e6929 100644
--- a/pkgs/applications/networking/instant-messengers/jami/default.nix
+++ b/pkgs/applications/networking/instant-messengers/jami/default.nix
@@ -249,6 +249,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://jami.net/";
description = "The free and universal communication platform that respects the privacy and freedoms of its users";
+ mainProgram = "jami";
license = licenses.gpl3Plus;
platforms = platforms.linux;
maintainers = [ maintainers.linsui ];
diff --git a/pkgs/applications/networking/instant-messengers/jitsi/default.nix b/pkgs/applications/networking/instant-messengers/jitsi/default.nix
index cb634f434d24..a9ee84fbbb95 100644
--- a/pkgs/applications/networking/instant-messengers/jitsi/default.nix
+++ b/pkgs/applications/networking/instant-messengers/jitsi/default.nix
@@ -67,6 +67,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://desktop.jitsi.org/";
description = "Open Source Video Calls and Chat";
+ mainProgram = "jitsi";
sourceProvenance = with sourceTypes; [
binaryBytecode
binaryNativeCode
diff --git a/pkgs/applications/networking/instant-messengers/kaidan/default.nix b/pkgs/applications/networking/instant-messengers/kaidan/default.nix
index 5a242e9cd517..b710421adb4a 100644
--- a/pkgs/applications/networking/instant-messengers/kaidan/default.nix
+++ b/pkgs/applications/networking/instant-messengers/kaidan/default.nix
@@ -57,6 +57,7 @@ mkDerivation rec {
meta = with lib; {
description = "User-friendly and modern chat app, using XMPP";
+ mainProgram = "kaidan";
longDescription = ''
Kaidan is a user-friendly and modern chat app for every device. It uses
the open communication protocol XMPP (Jabber). Unlike other chat apps,
diff --git a/pkgs/applications/networking/instant-messengers/kdeltachat/default.nix b/pkgs/applications/networking/instant-messengers/kdeltachat/default.nix
index 30d1ee2dcda8..955c20c2c6f6 100644
--- a/pkgs/applications/networking/instant-messengers/kdeltachat/default.nix
+++ b/pkgs/applications/networking/instant-messengers/kdeltachat/default.nix
@@ -45,6 +45,7 @@ mkDerivation rec {
meta = with lib; {
description = "Delta Chat client using Kirigami framework";
+ mainProgram = "kdeltachat";
homepage = "https://git.sr.ht/~link2xt/kdeltachat";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ dotlambda ];
diff --git a/pkgs/applications/networking/instant-messengers/linphone/default.nix b/pkgs/applications/networking/instant-messengers/linphone/default.nix
index 1d930612e9f9..778e1dc9cf50 100644
--- a/pkgs/applications/networking/instant-messengers/linphone/default.nix
+++ b/pkgs/applications/networking/instant-messengers/linphone/default.nix
@@ -134,6 +134,7 @@ mkDerivation rec {
meta = with lib; {
homepage = "https://www.linphone.org/";
description = "Open source SIP phone for voice/video calls and instant messaging";
+ mainProgram = "linphone";
license = licenses.gpl3Plus;
platforms = platforms.linux;
maintainers = with maintainers; [ jluttine ];
diff --git a/pkgs/applications/networking/instant-messengers/matrix-commander/default.nix b/pkgs/applications/networking/instant-messengers/matrix-commander/default.nix
index 154803697873..54c485525d7e 100644
--- a/pkgs/applications/networking/instant-messengers/matrix-commander/default.nix
+++ b/pkgs/applications/networking/instant-messengers/matrix-commander/default.nix
@@ -55,6 +55,7 @@ buildPythonApplication rec {
meta = with lib; {
description = "Simple but convenient CLI-based Matrix client app for sending and receiving";
+ mainProgram = "matrix-commander";
homepage = "https://github.com/8go/matrix-commander";
license = licenses.gpl3Plus;
platforms = platforms.unix;
diff --git a/pkgs/applications/networking/instant-messengers/matrix-dl/default.nix b/pkgs/applications/networking/instant-messengers/matrix-dl/default.nix
index 0b2be12ad0b3..b16b0bbd7c4e 100644
--- a/pkgs/applications/networking/instant-messengers/matrix-dl/default.nix
+++ b/pkgs/applications/networking/instant-messengers/matrix-dl/default.nix
@@ -25,6 +25,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "Download backlogs from Matrix as raw text";
+ mainProgram = "matrix-dl";
homepage = src.meta.homepage;
license = licenses.gpl1Plus;
maintainers = with maintainers; [ aw ];
diff --git a/pkgs/applications/networking/instant-messengers/matrixcli/default.nix b/pkgs/applications/networking/instant-messengers/matrixcli/default.nix
deleted file mode 100644
index 9b501facb7f6..000000000000
--- a/pkgs/applications/networking/instant-messengers/matrixcli/default.nix
+++ /dev/null
@@ -1,53 +0,0 @@
-{ lib, fetchFromGitHub
- , buildPythonApplication, buildPythonPackage
- , pygobject3, pytest-runner, requests, responses, pytest, python-olm
- , canonicaljson, olm
-}:
-let
- mainsrc = fetchFromGitHub {
- owner = "saadnpq";
- repo = "matrixcli";
- rev = "61ebde173ca2f77185c261c2b7f6db297ca89863";
- sha256 = "sha256-eH/8b8IyfXqUo7odSECYF+84pXTsP+5S7pFR3oWXknU=";
- fetchSubmodules = true;
- };
-
- sdk = buildPythonPackage rec {
- name = "${pname}-${version}";
- pname = "matrix-python-sdk-matrixcli";
- version = "0.0.2019-08-15";
-
- src = "${mainsrc}/matrix-python-sdk/";
-
- propagatedBuildInputs = [
- requests responses olm python-olm canonicaljson
- pytest-runner pytest
- ];
-
- doCheck = false;
- doInstallCheck = false;
-
- meta = {
- license = lib.licenses.asl20;
- description = "Fork of Matrix Python SDK";
- platforms = lib.platforms.linux;
- };
- };
-
-in
-buildPythonApplication rec {
- pname = "matrixcli";
- version = "0.0.2019-08-15";
-
- src = mainsrc;
-
- propagatedBuildInputs = [pygobject3 sdk];
-
- meta = {
- description = "CLI client for Matrix";
- license = lib.licenses.gpl3;
- maintainers = [lib.maintainers.raskin];
- platforms = lib.platforms.linux;
- homepage = "https://github.com/saadnpq/matrixcli";
- };
-}
diff --git a/pkgs/applications/networking/instant-messengers/mattermost-desktop/default.nix b/pkgs/applications/networking/instant-messengers/mattermost-desktop/default.nix
index 56bc542d4206..ac9d1b136934 100644
--- a/pkgs/applications/networking/instant-messengers/mattermost-desktop/default.nix
+++ b/pkgs/applications/networking/instant-messengers/mattermost-desktop/default.nix
@@ -1,24 +1,24 @@
{ lib
, stdenv
, fetchurl
-, electron_26
+, electron_28
, makeWrapper
}:
let
pname = "mattermost-desktop";
- version = "5.5.1";
+ version = "5.7.0";
srcs = {
"x86_64-linux" = {
url = "https://releases.mattermost.com/desktop/${version}/${pname}-${version}-linux-x64.tar.gz";
- hash = "sha256-bRiO5gYM7nrnkbHBP3B9zAK2YV5POkc3stEsbZJ48VA=";
+ hash = "sha256-1xfU9+VzjhSVWsP1AYizphhQ2010GbQBgQ4dxvY3TBU=";
};
"aarch64-linux" = {
url = "https://releases.mattermost.com/desktop/${version}/${pname}-${version}-linux-arm64.tar.gz";
- hash = "sha256-Z4U6Jbwasra69QPHJ9/7WwMSxh0O9r4QIe/xC3WRf4w=";
+ hash = "sha256-RrH+R9IuokKK+zfmCmOt38hD1HvWJbKqmxTFhQ3RcqQ=";
};
};
@@ -52,7 +52,7 @@ stdenv.mkDerivation {
substituteInPlace $out/share/applications/Mattermost.desktop \
--replace /share/mattermost-desktop/mattermost-desktop /bin/mattermost-desktop
- makeWrapper ${electron_26}/bin/electron $out/bin/${pname} \
+ makeWrapper '${lib.getExe electron_28}' $out/bin/${pname} \
--add-flags $out/share/${pname}/app.asar
runHook postInstall
@@ -60,6 +60,7 @@ stdenv.mkDerivation {
meta = with lib; {
description = "Mattermost Desktop client";
+ mainProgram = "mattermost-desktop";
homepage = "https://about.mattermost.com/";
sourceProvenance = with sourceTypes; [ binaryNativeCode ];
license = licenses.asl20;
diff --git a/pkgs/applications/networking/instant-messengers/mcabber/default.nix b/pkgs/applications/networking/instant-messengers/mcabber/default.nix
index 3acd11a9a0d3..60ec25e5f172 100644
--- a/pkgs/applications/networking/instant-messengers/mcabber/default.nix
+++ b/pkgs/applications/networking/instant-messengers/mcabber/default.nix
@@ -35,6 +35,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "http://mcabber.com/";
description = "Small Jabber console client";
+ mainProgram = "mcabber";
license = licenses.gpl2;
maintainers = with maintainers; [ pSub ];
platforms = with platforms; linux;
diff --git a/pkgs/applications/networking/instant-messengers/mm/default.nix b/pkgs/applications/networking/instant-messengers/mm/default.nix
index b6c48a0e948c..a4f17b6709d1 100644
--- a/pkgs/applications/networking/instant-messengers/mm/default.nix
+++ b/pkgs/applications/networking/instant-messengers/mm/default.nix
@@ -14,6 +14,7 @@ buildGoModule {
meta = with lib; {
description = "A file system based matrix client";
+ mainProgram = "mm";
homepage = "https://git.lost.host/meutraa/mm";
license = licenses.isc;
maintainers = with maintainers; [ ];
diff --git a/pkgs/applications/networking/instant-messengers/nchat/default.nix b/pkgs/applications/networking/instant-messengers/nchat/default.nix
index 51b704f5bc7b..0e3c7f6803fb 100644
--- a/pkgs/applications/networking/instant-messengers/nchat/default.nix
+++ b/pkgs/applications/networking/instant-messengers/nchat/default.nix
@@ -36,6 +36,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Terminal-based chat client with support for Telegram and WhatsApp";
+ mainProgram = "nchat";
homepage = "https://github.com/d99kris/nchat";
license = licenses.mit;
maintainers = with maintainers; [ sikmir ];
diff --git a/pkgs/applications/networking/instant-messengers/neosay/default.nix b/pkgs/applications/networking/instant-messengers/neosay/default.nix
index 3f76bdade3a8..46ea22da5db8 100644
--- a/pkgs/applications/networking/instant-messengers/neosay/default.nix
+++ b/pkgs/applications/networking/instant-messengers/neosay/default.nix
@@ -20,6 +20,7 @@ buildGoModule rec {
meta = with lib; {
description = "Pipe stdin to matrix";
+ mainProgram = "neosay";
homepage = "https://github.com/donuts-are-good/neosay";
license = licenses.mit;
maintainers = with maintainers; [ janik ];
diff --git a/pkgs/applications/networking/instant-messengers/pantalaimon/default.nix b/pkgs/applications/networking/instant-messengers/pantalaimon/default.nix
index dbe944d189b6..5b5669a3a6a2 100644
--- a/pkgs/applications/networking/instant-messengers/pantalaimon/default.nix
+++ b/pkgs/applications/networking/instant-messengers/pantalaimon/default.nix
@@ -1,31 +1,41 @@
-{ lib, stdenv, buildPythonApplication, fetchFromGitHub, pythonOlder,
- attrs, aiohttp, appdirs, click, keyring, logbook, peewee, janus,
- prompt-toolkit, matrix-nio, dbus-python, pydbus, notify2, pygobject3,
- setuptools, installShellFiles, nixosTests,
-
- pytest, faker, pytest-aiohttp, aioresponses,
-
- enableDbusUi ? true
+{ lib
+, stdenv
+, python3Packages
+, fetchFromGitHub
+, installShellFiles
+, nixosTests
+, enableDbusUi ? true
}:
-buildPythonApplication rec {
+python3Packages.buildPythonApplication rec {
pname = "pantalaimon";
version = "0.10.5";
-
- disabled = pythonOlder "3.6";
+ pyproject = true;
# pypi tarball miss tests
src = fetchFromGitHub {
owner = "matrix-org";
- repo = pname;
+ repo = "pantalaimon";
rev = version;
- sha256 = "sha256-yMhE3wKRbFHoL0vdFR8gMkNU7Su4FHbAwKQYADaaWpk=";
+ hash = "sha256-yMhE3wKRbFHoL0vdFR8gMkNU7Su4FHbAwKQYADaaWpk=";
};
- propagatedBuildInputs = [
+ build-system = [
+ installShellFiles
+ ] ++ (with python3Packages; [
+ setuptools
+ pythonRelaxDepsHook
+ ]);
+
+ pythonRelaxDeps = [
+ "matrix-nio"
+ ];
+
+ dependencies = with python3Packages; [
aiohttp
appdirs
attrs
+ cachetools
click
janus
keyring
@@ -33,34 +43,28 @@ buildPythonApplication rec {
matrix-nio
peewee
prompt-toolkit
- setuptools
]
++ matrix-nio.optional-dependencies.e2e
- ++ lib.optionals enableDbusUi [
- dbus-python
- notify2
- pygobject3
- pydbus
+ ++ lib.optionals enableDbusUi optional-dependencies.ui;
+
+ optional-dependencies.ui = with python3Packages; [
+ dbus-python
+ notify2
+ pygobject3
+ pydbus
];
- nativeCheckInputs = [
- pytest
+ nativeCheckInputs = with python3Packages; [
+ aioresponses
faker
pytest-aiohttp
- aioresponses
- ];
-
- nativeBuildInputs = [
- installShellFiles
- ];
+ pytestCheckHook
+ ]
+ ++ lib.flatten (lib.attrValues optional-dependencies);
# darwin has difficulty communicating with server, fails some integration tests
doCheck = !stdenv.isDarwin;
- checkPhase = ''
- pytest
- '';
-
postInstall = ''
installManPage docs/man/*.[1-9]
'';
diff --git a/pkgs/applications/networking/instant-messengers/pidgin/default.nix b/pkgs/applications/networking/instant-messengers/pidgin/default.nix
index 1314853ad668..211c5b49bf9a 100644
--- a/pkgs/applications/networking/instant-messengers/pidgin/default.nix
+++ b/pkgs/applications/networking/instant-messengers/pidgin/default.nix
@@ -43,11 +43,11 @@
let
unwrapped = stdenv.mkDerivation rec {
pname = "pidgin";
- version = "2.14.12";
+ version = "2.14.13";
src = fetchurl {
url = "mirror://sourceforge/pidgin/pidgin-${version}.tar.bz2";
- sha256 = "sha256-KwUka+IIYF7buTrp7cB5WD1EniqXENttNI0X9ZAgpLc=";
+ sha256 = "sha256-EgBJ3I4X4JoqfSVq/yGR/4SRq7hAyMfrMZoWHi3xa6g=";
};
nativeBuildInputs = [ makeWrapper intltool ];
diff --git a/pkgs/applications/networking/instant-messengers/profanity/default.nix b/pkgs/applications/networking/instant-messengers/profanity/default.nix
index cb8b09c336db..cca91da3abda 100644
--- a/pkgs/applications/networking/instant-messengers/profanity/default.nix
+++ b/pkgs/applications/networking/instant-messengers/profanity/default.nix
@@ -92,6 +92,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "http://www.profanity.im/";
description = "A console based XMPP client";
+ mainProgram = "profanity";
longDescription = ''
Profanity is a console based XMPP client written in C using ncurses and
libstrophe, inspired by Irssi.
diff --git a/pkgs/applications/networking/instant-messengers/psi-plus/default.nix b/pkgs/applications/networking/instant-messengers/psi-plus/default.nix
index 750ebe186bb8..295f92b9ef9a 100644
--- a/pkgs/applications/networking/instant-messengers/psi-plus/default.nix
+++ b/pkgs/applications/networking/instant-messengers/psi-plus/default.nix
@@ -99,6 +99,7 @@ mkDerivation rec {
meta = with lib; {
homepage = "https://psi-plus.com";
description = "XMPP (Jabber) client based on Qt5";
+ mainProgram = "psi-plus";
maintainers = with maintainers; [ orivej misuzu unclechu ];
license = licenses.gpl2Only;
platforms = platforms.linux;
diff --git a/pkgs/applications/networking/instant-messengers/psi/default.nix b/pkgs/applications/networking/instant-messengers/psi/default.nix
index 62b6c8f8e59c..7745fc9fc909 100644
--- a/pkgs/applications/networking/instant-messengers/psi/default.nix
+++ b/pkgs/applications/networking/instant-messengers/psi/default.nix
@@ -25,6 +25,7 @@ mkDerivation rec {
meta = with lib; {
homepage = "https://psi-im.org";
description = "An XMPP (Jabber) client";
+ mainProgram = "psi";
maintainers = [ maintainers.raskin ];
license = licenses.gpl2;
platforms = platforms.linux;
diff --git a/pkgs/applications/networking/instant-messengers/qq/sources.nix b/pkgs/applications/networking/instant-messengers/qq/sources.nix
index eda78b80edef..0353fcc19fe2 100644
--- a/pkgs/applications/networking/instant-messengers/qq/sources.nix
+++ b/pkgs/applications/networking/instant-messengers/qq/sources.nix
@@ -1,8 +1,8 @@
# Generated by ./update.sh - do not update manually!
-# Last updated: 2024-01-27
+# Last updated: 2024-02-16
{
- version = "3.2.5-21159";
- urlhash = "feb78c41";
- arm64_hash = "sha256-3zbhFKQrO1tMSnWJllLJLaVeVpLs44WBbuuyU1LTMIU=";
- amd64_hash = "sha256-pX99V18gjlMM6+jC9U7E5YvHDODGsrj0twt9K4rJN4Y=";
+ version = "3.2.5-21453";
+ urlhash = "852276c1";
+ arm64_hash = "sha256-g0J1FWG07bkabDHp2nuS48MNut2+N7gk0k5zWcmuQVw=";
+ amd64_hash = "sha256-6Qe4bP3q9RLEIJn9EfqqYaVTF8FRBNcjtVPl+zY7v5c=";
}
diff --git a/pkgs/applications/networking/instant-messengers/qtox/default.nix b/pkgs/applications/networking/instant-messengers/qtox/default.nix
index 8273a6fdbc6d..09240ae4713b 100644
--- a/pkgs/applications/networking/instant-messengers/qtox/default.nix
+++ b/pkgs/applications/networking/instant-messengers/qtox/default.nix
@@ -69,6 +69,7 @@ mkDerivation rec {
meta = with lib; {
broken = stdenv.isDarwin;
description = "Qt Tox client";
+ mainProgram = "qtox";
homepage = "https://tox.chat";
license = licenses.gpl3;
maintainers = with maintainers; [ akaWolf peterhoeg ];
diff --git a/pkgs/applications/networking/instant-messengers/quaternion/default.nix b/pkgs/applications/networking/instant-messengers/quaternion/default.nix
index 133766d8cc0d..2a0bfce75631 100644
--- a/pkgs/applications/networking/instant-messengers/quaternion/default.nix
+++ b/pkgs/applications/networking/instant-messengers/quaternion/default.nix
@@ -4,7 +4,7 @@
, cmake
, wrapQtAppsHook
, qtbase
-, qtquickcontrols2
+, qtquickcontrols2 ? null # only a separate package on qt5
, qtkeychain
, qtmultimedia
, qttools
@@ -13,15 +13,19 @@
, olm
}:
-stdenv.mkDerivation rec {
+let
+ inherit (lib) cmakeBool;
+
+in
+stdenv.mkDerivation (finalAttrs: {
pname = "quaternion";
- version = "0.0.96-beta4";
+ version = "0.0.96.1";
src = fetchFromGitHub {
owner = "quotient-im";
repo = "Quaternion";
- rev = "refs/tags/${version}";
- hash = "sha256-yItl31Ze48lRIIey+FlRLMVAkg4mHu8G1sFOceHvTJw=";
+ rev = finalAttrs.version;
+ hash = "sha256-lRCSEb/ldVnEv6z0moU4P5rf0ssKb9Bw+4QEssLjuwI=";
};
buildInputs = [
@@ -36,8 +40,12 @@ stdenv.mkDerivation rec {
nativeBuildInputs = [ cmake qttools wrapQtAppsHook ];
+ # qt6 needs UTF
+ env.LANG = "C.UTF-8";
+
cmakeFlags = [
- "-DBUILD_WITH_QT6=OFF"
+ # drop this from 0.0.97 onwards as it will be qt6 only
+ (cmakeBool "BUILD_WITH_QT6" ((lib.versions.major qtbase.version) == "6"))
];
postInstall =
@@ -52,9 +60,10 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Cross-platform desktop IM client for the Matrix protocol";
+ mainProgram = "quaternion";
homepage = "https://matrix.org/ecosystem/clients/quaternion/";
license = licenses.gpl3;
maintainers = with maintainers; [ peterhoeg ];
- inherit (qtquickcontrols2.meta) platforms;
+ inherit (qtbase.meta) platforms;
};
-}
+})
diff --git a/pkgs/applications/networking/instant-messengers/ratox/default.nix b/pkgs/applications/networking/instant-messengers/ratox/default.nix
index 5dcb22050763..4a80071a6cea 100644
--- a/pkgs/applications/networking/instant-messengers/ratox/default.nix
+++ b/pkgs/applications/networking/instant-messengers/ratox/default.nix
@@ -27,6 +27,7 @@ in stdenv.mkDerivation {
meta = with lib; {
description = "FIFO based tox client";
+ mainProgram = "ratox";
homepage = "http://ratox.2f30.org/";
license = licenses.isc;
maintainers = with maintainers; [ ehmry ];
diff --git a/pkgs/applications/networking/instant-messengers/ricochet/default.nix b/pkgs/applications/networking/instant-messengers/ricochet/default.nix
deleted file mode 100644
index 99c7cbbc7d8e..000000000000
--- a/pkgs/applications/networking/instant-messengers/ricochet/default.nix
+++ /dev/null
@@ -1,74 +0,0 @@
-{ mkDerivation
-, lib
-, fetchFromGitHub
-, pkg-config
-, makeDesktopItem
-, qtbase
-, qttools
-, qtmultimedia
-, qtquickcontrols
-, openssl
-, protobuf
-, qmake
-}:
-
-mkDerivation rec {
- pname = "ricochet";
- version = "1.1.4";
-
- src = fetchFromGitHub {
- owner = "ricochet-im";
- repo = "ricochet";
- rev = "v${version}";
- sha256 = "sha256-CGVTHa0Hqj90WvB6ZbA156DVgzv/R7blsU550y2Ai9c=";
- };
-
- desktopItem = makeDesktopItem {
- name = "ricochet";
- exec = "ricochet";
- icon = "ricochet";
- desktopName = "Ricochet";
- genericName = "Ricochet";
- comment = meta.description;
- categories = [ "Office" "Email" ];
- };
-
- buildInputs = [
- qtbase
- qttools
- qtmultimedia
- qtquickcontrols
- openssl
- protobuf
- ];
-
- nativeBuildInputs = [ pkg-config qmake ];
-
- preConfigure = ''
- export NIX_CFLAGS_COMPILE="$NIX_CFLAGS_COMPILE $(pkg-config --cflags openssl)"
- '';
-
- qmakeFlags = [ "DEFINES+=RICOCHET_NO_PORTABLE" ];
-
- installPhase = ''
- mkdir -p $out/bin
- cp ricochet $out/bin
-
- mkdir -p $out/share/applications
- cp $desktopItem/share/applications"/"* $out/share/applications
-
- mkdir -p $out/share/pixmaps
- cp icons/ricochet.png $out/share/pixmaps/ricochet.png
- '';
-
- # RCC: Error in 'translation/embedded.qrc': Cannot find file 'ricochet_en.qm'
- enableParallelBuilding = false;
-
- meta = with lib; {
- description = "Anonymous peer-to-peer instant messaging";
- homepage = "https://ricochet.im";
- license = licenses.bsd3;
- maintainers = [ maintainers.codsl maintainers.jgillich maintainers.np ];
- platforms = platforms.linux;
- };
-}
diff --git a/pkgs/applications/networking/instant-messengers/rocketchat-desktop/default.nix b/pkgs/applications/networking/instant-messengers/rocketchat-desktop/default.nix
index a05df511ab0a..737e867bf5a6 100644
--- a/pkgs/applications/networking/instant-messengers/rocketchat-desktop/default.nix
+++ b/pkgs/applications/networking/instant-messengers/rocketchat-desktop/default.nix
@@ -4,11 +4,11 @@ let
in
stdenv.mkDerivation rec {
pname = "rocketchat-desktop";
- version = "3.9.11";
+ version = "3.9.14";
src = fetchurl {
url = "https://github.com/RocketChat/Rocket.Chat.Electron/releases/download/${version}/rocketchat-${version}-linux-amd64.deb";
- hash = "sha256-jyBHXzzFkCHGy8tdnE/daNbADYYAINBlC5td+wHOl4k=";
+ hash = "sha256-1ZNxdzkkhsDPbwyTTTKmF7p10VgGRvRw31W91m1H4YM=";
};
nativeBuildInputs = [
@@ -88,6 +88,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Official Desktop client for Rocket.Chat";
+ mainProgram = "rocketchat-desktop";
homepage = "https://github.com/RocketChat/Rocket.Chat.Electron";
sourceProvenance = with sourceTypes; [ binaryNativeCode ];
license = licenses.mit;
diff --git a/pkgs/applications/networking/instant-messengers/seren/default.nix b/pkgs/applications/networking/instant-messengers/seren/default.nix
index ed02def3eb88..590a0639dc84 100644
--- a/pkgs/applications/networking/instant-messengers/seren/default.nix
+++ b/pkgs/applications/networking/instant-messengers/seren/default.nix
@@ -21,6 +21,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A simple ncurses VoIP program based on the Opus codec";
+ mainProgram = "seren";
longDescription = ''
Seren is a simple VoIP program based on the Opus codec
that allows you to create a voice conference from the terminal, with up to 10
diff --git a/pkgs/applications/networking/instant-messengers/session-desktop/default.nix b/pkgs/applications/networking/instant-messengers/session-desktop/default.nix
index 9b2dc1e62ef3..bc0afb8b6883 100644
--- a/pkgs/applications/networking/instant-messengers/session-desktop/default.nix
+++ b/pkgs/applications/networking/instant-messengers/session-desktop/default.nix
@@ -57,6 +57,7 @@ stdenvNoCC.mkDerivation {
meta = with lib; {
description = "Onion routing based messenger";
+ mainProgram = "session-desktop";
homepage = "https://getsession.org/";
license = licenses.gpl3Only;
maintainers = with maintainers; [ alexnortung ];
diff --git a/pkgs/applications/networking/instant-messengers/signal-cli/default.nix b/pkgs/applications/networking/instant-messengers/signal-cli/default.nix
index 4f8f972620ac..2f62a08f4625 100644
--- a/pkgs/applications/networking/instant-messengers/signal-cli/default.nix
+++ b/pkgs/applications/networking/instant-messengers/signal-cli/default.nix
@@ -47,6 +47,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/AsamK/signal-cli";
description = "Command-line and dbus interface for communicating with the Signal messaging service";
+ mainProgram = "signal-cli";
changelog = "https://github.com/AsamK/signal-cli/blob/v${version}/CHANGELOG.md";
license = licenses.gpl3;
maintainers = with maintainers; [ ivan ];
diff --git a/pkgs/applications/networking/instant-messengers/signal-desktop/signal-desktop-aarch64.nix b/pkgs/applications/networking/instant-messengers/signal-desktop/signal-desktop-aarch64.nix
index c1ccec86b366..46c049b9d2f2 100644
--- a/pkgs/applications/networking/instant-messengers/signal-desktop/signal-desktop-aarch64.nix
+++ b/pkgs/applications/networking/instant-messengers/signal-desktop/signal-desktop-aarch64.nix
@@ -2,7 +2,7 @@
callPackage ./generic.nix { } rec {
pname = "signal-desktop";
dir = "Signal";
- version = "6.44.0";
+ version = "6.46.0";
url = "https://github.com/0mniteck/Signal-Desktop-Mobian/raw/${version}/builds/release/signal-desktop_${version}_arm64.deb";
- hash = "sha256-M4Xiy8cDQciMzgGl1/eeKZjEaelVtkk6JXJYBP4ua2s=";
+ hash = "sha256-rHmG2brzlQtYd3l5EFhjndPF5T7nQWzUhEe7LsEFVpc=";
}
diff --git a/pkgs/applications/networking/instant-messengers/signal-desktop/signal-desktop-beta.nix b/pkgs/applications/networking/instant-messengers/signal-desktop/signal-desktop-beta.nix
index 33f78a190e8d..18ed9ac055e8 100644
--- a/pkgs/applications/networking/instant-messengers/signal-desktop/signal-desktop-beta.nix
+++ b/pkgs/applications/networking/instant-messengers/signal-desktop/signal-desktop-beta.nix
@@ -1,8 +1,8 @@
{ callPackage }:
-callPackage ./generic.nix {} rec {
+callPackage ./generic.nix { } rec {
pname = "signal-desktop-beta";
dir = "Signal Beta";
- version = "6.48.0-beta.1";
+ version = "7.3.0-beta.1";
url = "https://updates.signal.org/desktop/apt/pool/s/signal-desktop-beta/signal-desktop-beta_${version}_amd64.deb";
- hash = "sha256-lDiab7XMXcg0XI4+7DJr5PWBAWes3cnL6oxiLy63eqY=";
+ hash = "sha256-sXZC6JgeMlX3lNPSMdB64SyiOAMPkjDAjYZrEwnIMpM=";
}
diff --git a/pkgs/applications/networking/instant-messengers/signal-desktop/signal-desktop.nix b/pkgs/applications/networking/instant-messengers/signal-desktop/signal-desktop.nix
index 9a129a9ce628..10ea168355b3 100644
--- a/pkgs/applications/networking/instant-messengers/signal-desktop/signal-desktop.nix
+++ b/pkgs/applications/networking/instant-messengers/signal-desktop/signal-desktop.nix
@@ -1,8 +1,8 @@
{ callPackage }:
-callPackage ./generic.nix {} rec {
+callPackage ./generic.nix { } rec {
pname = "signal-desktop";
dir = "Signal";
- version = "6.46.0";
+ version = "7.2.1";
url = "https://updates.signal.org/desktop/apt/pool/s/signal-desktop/signal-desktop_${version}_amd64.deb";
- hash = "sha256-6s6wFg2mJRaxEyWkZrCefspAdlcDwbjxXpx5CMNGW94=";
+ hash = "sha256-IJ808xvPqGivccqH/mOMSHu/mU/JLFJ798UztwXWtm0=";
}
diff --git a/pkgs/applications/networking/instant-messengers/signalbackup-tools/default.nix b/pkgs/applications/networking/instant-messengers/signalbackup-tools/default.nix
index 0854e5300a66..27d2c9a72b0e 100644
--- a/pkgs/applications/networking/instant-messengers/signalbackup-tools/default.nix
+++ b/pkgs/applications/networking/instant-messengers/signalbackup-tools/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "signalbackup-tools";
- version = "20240205";
+ version = "20240319";
src = fetchFromGitHub {
owner = "bepaald";
repo = pname;
rev = version;
- hash = "sha256-Iwos+WJrCWXbNpuaZTLyc8OEtfMA0EF1DIyLNlsZSx4=";
+ hash = "sha256-aDf7HId0A4GBiG3AJZLAtVEq54RywV/gx4Sa3c/KLg0=";
};
postPatch = ''
@@ -32,6 +32,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Tool to work with Signal Backup files";
+ mainProgram = "signalbackup-tools";
homepage = "https://github.com/bepaald/signalbackup-tools";
license = licenses.gpl3Only;
maintainers = [ maintainers.malo ];
diff --git a/pkgs/applications/networking/instant-messengers/signaldctl/default.nix b/pkgs/applications/networking/instant-messengers/signaldctl/default.nix
index 82637dbbb0c9..d1c152013b57 100644
--- a/pkgs/applications/networking/instant-messengers/signaldctl/default.nix
+++ b/pkgs/applications/networking/instant-messengers/signaldctl/default.nix
@@ -33,6 +33,7 @@ buildGoModule rec {
meta = with lib; {
description = "A golang library for communicating with signald";
+ mainProgram = "signaldctl";
homepage = "https://signald.org/signaldctl/";
license = licenses.gpl3;
maintainers = with maintainers; [ colinsane ];
diff --git a/pkgs/applications/networking/instant-messengers/silc-client/default.nix b/pkgs/applications/networking/instant-messengers/silc-client/default.nix
index 417cb4dbb844..9dd94225acfe 100644
--- a/pkgs/applications/networking/instant-messengers/silc-client/default.nix
+++ b/pkgs/applications/networking/instant-messengers/silc-client/default.nix
@@ -31,6 +31,7 @@ stdenv.mkDerivation rec {
meta = {
homepage = "http://silcnet.org/";
description = "Secure Internet Live Conferencing server";
+ mainProgram = "silc";
license = lib.licenses.gpl2;
maintainers = with lib.maintainers; [viric];
platforms = with lib.platforms; linux;
diff --git a/pkgs/applications/networking/instant-messengers/slack/default.nix b/pkgs/applications/networking/instant-messengers/slack/default.nix
index 7012b8e8f43e..b19d1f6f8a01 100644
--- a/pkgs/applications/networking/instant-messengers/slack/default.nix
+++ b/pkgs/applications/networking/instant-messengers/slack/default.nix
@@ -181,7 +181,11 @@ let
--replace /usr/bin/ $out/bin/ \
--replace /usr/share/pixmaps/slack.png slack \
--replace bin/slack "bin/slack -s"
-
+ '' + lib.optionalString stdenv.hostPlatform.isLinux ''
+ # Prevent Un-blacklist pipewire integration to enable screen sharing on wayland.
+ # https://github.com/flathub/com.slack.Slack/issues/101#issuecomment-1807073763
+ sed -i -e 's/,"WebRTCPipeWireCapturer"/,"LebRTCPipeWireCapturer"/' $out/lib/slack/resources/app.asar
+ '' + ''
runHook postInstall
'';
};
diff --git a/pkgs/applications/networking/instant-messengers/ssh-chat/default.nix b/pkgs/applications/networking/instant-messengers/ssh-chat/default.nix
index 15ff9a9e2b50..425b0535e741 100644
--- a/pkgs/applications/networking/instant-messengers/ssh-chat/default.nix
+++ b/pkgs/applications/networking/instant-messengers/ssh-chat/default.nix
@@ -15,6 +15,7 @@ buildGoModule rec {
meta = with lib; {
description = "Chat over SSH";
+ mainProgram = "ssh-chat";
homepage = "https://github.com/shazow/ssh-chat";
license = licenses.mit;
maintainers = with maintainers; [ luc65r ];
diff --git a/pkgs/applications/networking/instant-messengers/tangram/default.nix b/pkgs/applications/networking/instant-messengers/tangram/default.nix
index df460db31664..c32e55ffde4e 100644
--- a/pkgs/applications/networking/instant-messengers/tangram/default.nix
+++ b/pkgs/applications/networking/instant-messengers/tangram/default.nix
@@ -82,6 +82,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Run web apps on your desktop";
+ mainProgram = "re.sonny.Tangram";
homepage = "https://github.com/sonnyp/Tangram";
license = licenses.gpl3Only;
platforms = platforms.linux;
diff --git a/pkgs/applications/networking/instant-messengers/teams-for-linux/default.nix b/pkgs/applications/networking/instant-messengers/teams-for-linux/default.nix
index 98edbce3cb49..0d430af0894c 100644
--- a/pkgs/applications/networking/instant-messengers/teams-for-linux/default.nix
+++ b/pkgs/applications/networking/instant-messengers/teams-for-linux/default.nix
@@ -9,6 +9,7 @@
, fetchYarnDeps
, prefetch-yarn-deps
, electron
+, libnotify
, libpulseaudio
, pipewire
, alsa-utils
@@ -19,18 +20,18 @@
stdenv.mkDerivation (finalAttrs: {
pname = "teams-for-linux";
- version = "1.4.10";
+ version = "1.4.14";
src = fetchFromGitHub {
owner = "IsmaelMartinez";
repo = "teams-for-linux";
rev = "v${finalAttrs.version}";
- hash = "sha256-dR9YJJBBxvnJkD42+MwIql3B1dlA6WUSLJ//lW22mmc=";
+ hash = "sha256-qdox6C6ztWECwSqHZoZHMbqPFrokPK0u44NUG+SHmPk=";
};
offlineCache = fetchYarnDeps {
yarnLock = "${finalAttrs.src}/yarn.lock";
- hash = "sha256-Z2vnLr14F/Etuq9yWH7ygQwa54an7v99LbU3gPcEuII=";
+ hash = "sha256-++ZPsBH0qHCykexpY2aZukAc+Ak1wEzAUker8ZLxA9Q=";
};
nativeBuildInputs = [ yarn prefetch-yarn-deps nodejs copyDesktopItems makeWrapper ];
@@ -71,11 +72,11 @@ stdenv.mkDerivation (finalAttrs: {
done
popd
- # Linux needs 'aplay' for notification sounds, 'libpulse' for meeting sound, and 'libpipewire' for screen sharing
+ # Linux needs 'aplay' for notification sounds, 'libpulse' for meeting sound, 'libpipewire' for screen sharing and 'libnotify' for notifications
makeWrapper '${electron}/bin/electron' "$out/bin/teams-for-linux" \
${lib.optionalString stdenv.isLinux ''
--prefix PATH : ${lib.makeBinPath [ alsa-utils which ]} \
- --prefix LD_LIBRARY_PATH : ${lib.makeLibraryPath [ libpulseaudio pipewire ]} \
+ --prefix LD_LIBRARY_PATH : ${lib.makeLibraryPath [ libpulseaudio pipewire libnotify ]} \
''} \
--add-flags "$out/share/teams-for-linux/app.asar" \
--add-flags "\''${NIXOS_OZONE_WL:+\''${WAYLAND_DISPLAY:+--ozone-platform-hint=auto --enable-features=WaylandWindowDecorations}}"
@@ -100,6 +101,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
description = "Unofficial Microsoft Teams client for Linux";
+ mainProgram = "teams-for-linux";
homepage = "https://github.com/IsmaelMartinez/teams-for-linux";
license = lib.licenses.gpl3Only;
maintainers = with lib.maintainers; [ muscaln lilyinstarlight qjoly chvp ];
diff --git a/pkgs/applications/networking/instant-messengers/teamspeak/client.nix b/pkgs/applications/networking/instant-messengers/teamspeak/client.nix
index a0c7b678d5a0..45197d2d7086 100644
--- a/pkgs/applications/networking/instant-messengers/teamspeak/client.nix
+++ b/pkgs/applications/networking/instant-messengers/teamspeak/client.nix
@@ -13,7 +13,7 @@ let
[ zlib glib libpng freetype xorg.libSM xorg.libICE xorg.libXrender openssl
xorg.libXrandr xorg.libXfixes xorg.libXcursor xorg.libXinerama
xorg.libxcb fontconfig xorg.libXext xorg.libX11 alsa-lib qtbase qtwebengine qtwebchannel qtsvg
- qtwebsockets libpulseaudio quazip llvmPackages.libcxx llvmPackages.libcxxabi
+ qtwebsockets libpulseaudio quazip llvmPackages.libcxx
];
desktopItem = makeDesktopItem {
diff --git a/pkgs/applications/networking/instant-messengers/telegram/kotatogram-desktop/default.nix b/pkgs/applications/networking/instant-messengers/telegram/kotatogram-desktop/default.nix
index dbd81911501e..f0732ec03920 100644
--- a/pkgs/applications/networking/instant-messengers/telegram/kotatogram-desktop/default.nix
+++ b/pkgs/applications/networking/instant-messengers/telegram/kotatogram-desktop/default.nix
@@ -209,6 +209,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Kotatogram – experimental Telegram Desktop fork";
+ mainProgram = "kotatogram-desktop";
longDescription = ''
Unofficial desktop client for the Telegram messenger, based on Telegram Desktop.
diff --git a/pkgs/applications/networking/instant-messengers/telegram/telegram-desktop/default.nix b/pkgs/applications/networking/instant-messengers/telegram/telegram-desktop/default.nix
index 2e270607274d..8f94ec6e5acd 100644
--- a/pkgs/applications/networking/instant-messengers/telegram/telegram-desktop/default.nix
+++ b/pkgs/applications/networking/instant-messengers/telegram/telegram-desktop/default.nix
@@ -64,14 +64,14 @@ let
in
stdenv.mkDerivation rec {
pname = "telegram-desktop";
- version = "4.14.15";
+ version = "4.15.2";
src = fetchFromGitHub {
owner = "telegramdesktop";
repo = "tdesktop";
rev = "v${version}";
fetchSubmodules = true;
- hash = "sha256-706FAtXS541D7H/Qc86eC1FLUWu1/tZuCq3GgJ0L/Ds=";
+ hash = "sha256-gzwDezOmIvSF4fPHAslf8DyBAgCYkD5ySX+MKKMXhSg=";
};
patches = [
diff --git a/pkgs/applications/networking/instant-messengers/telegram/telegram-desktop/macos.patch b/pkgs/applications/networking/instant-messengers/telegram/telegram-desktop/macos.patch
index c8424359fdbf..3036af515ea3 100644
--- a/pkgs/applications/networking/instant-messengers/telegram/telegram-desktop/macos.patch
+++ b/pkgs/applications/networking/instant-messengers/telegram/telegram-desktop/macos.patch
@@ -54,7 +54,19 @@ diff --git a/Telegram/lib_webview/webview/platform/mac/webview_mac.mm b/Telegram
index 738e574..80ff5f0 100644
--- a/Telegram/lib_webview/webview/platform/mac/webview_mac.mm
+++ b/Telegram/lib_webview/webview/platform/mac/webview_mac.mm
-@@ -254,10 +254,12 @@ void *Instance::winId() {
+@@ -314,9 +314,11 @@ Instance::Instance(Config config) {
+ _dataRequestHandler = std::move(config.dataRequestHandler);
+ [configuration setURLSchemeHandler:_handler forURLScheme:stdToNS(kDataUrlScheme)];
+ _webview = [[WKWebView alloc] initWithFrame:NSZeroRect configuration:configuration];
++#if 0
+ if (@available(macOS 13.3, *)) {
+ _webview.inspectable = config.debug ? YES : NO;
+ }
++#endif
+ [_manager addScriptMessageHandler:_handler name:@"external"];
+ [_webview setNavigationDelegate:_handler];
+ [_webview setUIDelegate:_handler];
+@@ -658,10 +660,12 @@ void *Instance::winId() {
}
void Instance::setOpaqueBg(QColor opaqueBg) {
diff --git a/pkgs/applications/networking/instant-messengers/telegram/tg/default.nix b/pkgs/applications/networking/instant-messengers/telegram/tg/default.nix
index 0918bfee91e2..7cdbda355d38 100644
--- a/pkgs/applications/networking/instant-messengers/telegram/tg/default.nix
+++ b/pkgs/applications/networking/instant-messengers/telegram/tg/default.nix
@@ -1,4 +1,12 @@
-{ lib, buildPythonApplication, fetchFromGitHub, pythonOlder, python-telegram }:
+{ lib
+, buildPythonApplication
+, fetchFromGitHub
+, pythonOlder
+, fetchpatch
+, stdenv
+, libnotify
+, python-telegram
+}:
buildPythonApplication rec {
pname = "tg";
@@ -12,12 +20,27 @@ buildPythonApplication rec {
hash = "sha256-apHd26XnOz5nak+Kz8PJPsonQfTWDyPz7Mi/tWf7zwM=";
};
+ patches = [
+ # Fix sending messages
+ # https://github.com/paul-nameless/tg/pull/306
+ (fetchpatch {
+ url = "https://github.com/mindtheegab/tg/commit/13e2b266989d2d757a394b0fb8cb7fd6ccc2b70c.patch";
+ hash = "sha256-Wja6xBOlPuACzhbT8Yl3F8qSh3Kd9G1lnr9VarbPrfM=";
+ })
+ ];
+
+ # Fix notifications on platforms other than darwin by providing notify-send
+ postPatch = lib.optionalString (!stdenv.isDarwin) ''
+ sed -i 's|^NOTIFY_CMD = .*|NOTIFY_CMD = "${libnotify}/bin/notify-send {title} {message} -i {icon_path}"|' tg/config.py
+ '';
+
propagatedBuildInputs = [ python-telegram ];
doCheck = false; # No tests
meta = with lib; {
description = "Terminal client for telegram";
+ mainProgram = "tg";
homepage = "https://github.com/paul-nameless/tg";
license = licenses.unlicense;
maintainers = with maintainers; [ sikmir ];
diff --git a/pkgs/applications/networking/instant-messengers/telepathy/gabble/default.nix b/pkgs/applications/networking/instant-messengers/telepathy/gabble/default.nix
index ed2723c0435c..dc4b11caf0b9 100644
--- a/pkgs/applications/networking/instant-messengers/telepathy/gabble/default.nix
+++ b/pkgs/applications/networking/instant-messengers/telepathy/gabble/default.nix
@@ -31,6 +31,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Jabber/XMPP connection manager for the Telepathy framework";
+ mainProgram = "telepathy-gabble-xmpp-console";
homepage = "https://telepathy.freedesktop.org/components/telepathy-gabble/";
license = licenses.lgpl21Plus;
platforms = lib.platforms.unix;
diff --git a/pkgs/applications/networking/instant-messengers/tensor/default.nix b/pkgs/applications/networking/instant-messengers/tensor/default.nix
index ffa603fb80b1..c8b38ccb35ec 100644
--- a/pkgs/applications/networking/instant-messengers/tensor/default.nix
+++ b/pkgs/applications/networking/instant-messengers/tensor/default.nix
@@ -62,6 +62,7 @@ mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/davidar/tensor";
description = "Cross-platform Qt5/QML-based Matrix client";
+ mainProgram = "tensor";
license = licenses.gpl3;
maintainers = with maintainers; [ peterhoeg ];
inherit (qtbase.meta) platforms;
diff --git a/pkgs/applications/networking/instant-messengers/threema-desktop/default.nix b/pkgs/applications/networking/instant-messengers/threema-desktop/default.nix
index 0cb8cc4ff2e5..fc796ac7a349 100644
--- a/pkgs/applications/networking/instant-messengers/threema-desktop/default.nix
+++ b/pkgs/applications/networking/instant-messengers/threema-desktop/default.nix
@@ -46,6 +46,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Desktop client for Threema, a privacy-focused end-to-end encrypted mobile messenger";
+ mainProgram = "threema";
homepage = "https://threema.ch";
sourceProvenance = with sourceTypes; [ binaryNativeCode ];
license = licenses.agpl3Only;
diff --git a/pkgs/applications/networking/instant-messengers/toxic/default.nix b/pkgs/applications/networking/instant-messengers/toxic/default.nix
index 2f16cb78454f..bd3f30adc688 100644
--- a/pkgs/applications/networking/instant-messengers/toxic/default.nix
+++ b/pkgs/applications/networking/instant-messengers/toxic/default.nix
@@ -25,6 +25,7 @@ stdenv.mkDerivation rec {
meta = with lib; src.meta // {
description = "Reference CLI for Tox";
+ mainProgram = "toxic";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ ehmry ];
platforms = platforms.linux;
diff --git a/pkgs/applications/networking/instant-messengers/turses/default.nix b/pkgs/applications/networking/instant-messengers/turses/default.nix
index 240931065e6c..19e50f3fe8bf 100644
--- a/pkgs/applications/networking/instant-messengers/turses/default.nix
+++ b/pkgs/applications/networking/instant-messengers/turses/default.nix
@@ -87,6 +87,7 @@ buildPythonPackage rec {
meta = with lib; {
description = "A Twitter client for the console";
+ mainProgram = "turses";
homepage = "https://github.com/louipc/turses";
license = licenses.gpl3Only;
maintainers = with maintainers; [ ];
diff --git a/pkgs/applications/networking/instant-messengers/twitch-tui/default.nix b/pkgs/applications/networking/instant-messengers/twitch-tui/default.nix
index 0c0949688382..982b41b27946 100644
--- a/pkgs/applications/networking/instant-messengers/twitch-tui/default.nix
+++ b/pkgs/applications/networking/instant-messengers/twitch-tui/default.nix
@@ -11,16 +11,16 @@
rustPlatform.buildRustPackage rec {
pname = "twitch-tui";
- version = "2.6.3";
+ version = "2.6.5";
src = fetchFromGitHub {
owner = "Xithrius";
repo = pname;
rev = "refs/tags/v${version}";
- hash = "sha256-h8qpsrMFFb49yfNb5mKEYRpul0hB0m1rDCvVW6jW+Pg=";
+ hash = "sha256-eJw3C38+FdiMLE+x+akIo8c/VqsbWVbcGJj1Lb2vImE=";
};
- cargoHash = "sha256-L7psqmU4Zd7c0mbd4pK/tmPslTaxIhQoWtN0/RRMerA=";
+ cargoHash = "sha256-zhRrZr5RXKhjZCIjN3lRJ8BJlW/zxKJUzWtpOygX9oo=";
nativeBuildInputs = [
pkg-config
diff --git a/pkgs/applications/networking/instant-messengers/utox/default.nix b/pkgs/applications/networking/instant-messengers/utox/default.nix
index 7fee1f5c3a83..c5aa13da0d33 100644
--- a/pkgs/applications/networking/instant-messengers/utox/default.nix
+++ b/pkgs/applications/networking/instant-messengers/utox/default.nix
@@ -35,6 +35,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Lightweight Tox client";
+ mainProgram = "utox";
homepage = "https://github.com/uTox/uTox";
license = licenses.gpl3;
maintainers = with maintainers; [ ];
diff --git a/pkgs/applications/networking/instant-messengers/vk-cli/default.nix b/pkgs/applications/networking/instant-messengers/vk-cli/default.nix
index a22437bf8da0..9d089a383556 100644
--- a/pkgs/applications/networking/instant-messengers/vk-cli/default.nix
+++ b/pkgs/applications/networking/instant-messengers/vk-cli/default.nix
@@ -46,6 +46,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A console (ncurses) client for vk.com written in D";
+ mainProgram = "vk-cli";
homepage = "https://github.com/vk-cli/vk";
sourceProvenance = with sourceTypes; [ binaryNativeCode ];
license = licenses.asl20;
diff --git a/pkgs/applications/networking/instant-messengers/webcord/default.nix b/pkgs/applications/networking/instant-messengers/webcord/default.nix
index d2ccfb8a4b03..d2796142e8f7 100644
--- a/pkgs/applications/networking/instant-messengers/webcord/default.nix
+++ b/pkgs/applications/networking/instant-messengers/webcord/default.nix
@@ -7,23 +7,23 @@
, libpulseaudio
, libnotify
, xdg-utils
-, electron_28
+, electron_29
, makeDesktopItem
, nix-update-script
}:
buildNpmPackage rec {
pname = "webcord";
- version = "4.7.1";
+ version = "4.8.0";
src = fetchFromGitHub {
owner = "SpacingBat3";
repo = "WebCord";
rev = "v${version}";
- hash = "sha256-JzKXIdXR/C3HRbpmSUq3qXYpLnVQjIY/uO+wbt1k2jI=";
+ hash = "sha256-x9Ejb8yxgQhlEfUUfoqbgSffNNtOoFeAyb3OISR+Jz4=";
};
- npmDepsHash = "sha256-KbMoM1zYOjX2Dwu6DJZLyezRx78AC9piPw3xsX3Kb3I=";
+ npmDepsHash = "sha256-7H4I4vKygMgsPh+OvZZGhpkoQQu1a51yUTwEPJSBM18=";
nativeBuildInputs = [
copyDesktopItems
@@ -61,7 +61,7 @@ buildNpmPackage rec {
install -Dm644 sources/assets/icons/app.png $out/share/icons/hicolor/256x256/apps/webcord.png
# Add xdg-utils to path via suffix, per PR #181171
- makeWrapper '${lib.getExe electron_28}' $out/bin/webcord \
+ makeWrapper '${lib.getExe electron_29}' $out/bin/webcord \
--prefix LD_LIBRARY_PATH : ${libPath}:$out/opt/webcord \
--suffix PATH : "${binPath}" \
--add-flags "\''${NIXOS_OZONE_WL:+\''${WAYLAND_DISPLAY:+--ozone-platform-hint=auto --enable-features=WaylandWindowDecorations}}" \
diff --git a/pkgs/applications/networking/instant-messengers/whatsapp-for-linux/default.nix b/pkgs/applications/networking/instant-messengers/whatsapp-for-linux/default.nix
index efca89de7cbb..366dcbeffaea 100644
--- a/pkgs/applications/networking/instant-messengers/whatsapp-for-linux/default.nix
+++ b/pkgs/applications/networking/instant-messengers/whatsapp-for-linux/default.nix
@@ -71,6 +71,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/eneshecan/whatsapp-for-linux";
description = "Whatsapp desktop messaging app";
+ mainProgram = "whatsapp-for-linux";
license = licenses.gpl3Only;
maintainers = with maintainers; [ bartuka ];
platforms = [ "x86_64-linux" ];
diff --git a/pkgs/applications/networking/instant-messengers/xmppc/default.nix b/pkgs/applications/networking/instant-messengers/xmppc/default.nix
index c1a8891a073e..47eb18f191dc 100644
--- a/pkgs/applications/networking/instant-messengers/xmppc/default.nix
+++ b/pkgs/applications/networking/instant-messengers/xmppc/default.nix
@@ -30,6 +30,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Command Line Interface Tool for XMPP";
+ mainProgram = "xmppc";
homepage = "https://codeberg.org/Anoxinon_e.V./xmppc";
license = licenses.gpl3Plus;
platforms = platforms.unix;
diff --git a/pkgs/applications/networking/instant-messengers/ytalk/default.nix b/pkgs/applications/networking/instant-messengers/ytalk/default.nix
index 1ccc9fbe6f57..29ebc5f43d56 100644
--- a/pkgs/applications/networking/instant-messengers/ytalk/default.nix
+++ b/pkgs/applications/networking/instant-messengers/ytalk/default.nix
@@ -14,6 +14,7 @@ stdenv.mkDerivation rec {
meta = {
homepage = "http://ytalk.ourproject.org";
description = "A terminal based talk client";
+ mainProgram = "ytalk";
platforms = lib.platforms.unix;
maintainers = with lib.maintainers; [ taeer ];
license = lib.licenses.gpl2Plus;
diff --git a/pkgs/applications/networking/instant-messengers/zoom-us/default.nix b/pkgs/applications/networking/instant-messengers/zoom-us/default.nix
index dcd573e69d30..5c96be3bb82e 100644
--- a/pkgs/applications/networking/instant-messengers/zoom-us/default.nix
+++ b/pkgs/applications/networking/instant-messengers/zoom-us/default.nix
@@ -48,23 +48,23 @@ let
# and often with different versions. We write them on three lines
# like this (rather than using {}) so that the updater script can
# find where to edit them.
- versions.aarch64-darwin = "5.17.5.29101";
- versions.x86_64-darwin = "5.17.5.29101";
- versions.x86_64-linux = "5.17.5.2543";
+ versions.aarch64-darwin = "5.17.11.31580";
+ versions.x86_64-darwin = "5.17.11.31580";
+ versions.x86_64-linux = "5.17.11.3835";
srcs = {
aarch64-darwin = fetchurl {
url = "https://zoom.us/client/${versions.aarch64-darwin}/zoomusInstallerFull.pkg?archType=arm64";
name = "zoomusInstallerFull.pkg";
- hash = "sha256-Zq/8r4Ny9m+Ym6YMm49iMoITvkGO9q1DxQ0IqHC/7Us=";
+ hash = "sha256-oCCZksH5sgfPVxh6I7ZpIMvkMX0+HnL1R9voNRjTUP4=";
};
x86_64-darwin = fetchurl {
url = "https://zoom.us/client/${versions.x86_64-darwin}/zoomusInstallerFull.pkg";
- hash = "sha256-/GTBPIswV+YSvnbrSYefrLfcv5eXsRCe3vaTDGmptl8=";
+ hash = "sha256-6bIUfS6bZWh7fEq2xY++nIbnmE5DJxte6sjyoSKUMzU=";
};
x86_64-linux = fetchurl {
url = "https://zoom.us/client/${versions.x86_64-linux}/zoom_x86_64.pkg.tar.xz";
- hash = "sha256-R8LHyL5ojnaLBk00W997PtnKzDwMaADIpYClKDYkJcQ=";
+ hash = "sha256-eIa8ESoYi0gPbJbqahqKKvnM7rGPT+WeMIYCyFEWHGE=";
};
};
diff --git a/pkgs/applications/networking/irc/bip/default.nix b/pkgs/applications/networking/irc/bip/default.nix
index f1a611263614..2eb1b5d8607f 100644
--- a/pkgs/applications/networking/irc/bip/default.nix
+++ b/pkgs/applications/networking/irc/bip/default.nix
@@ -1,9 +1,8 @@
{ lib
, stdenv
, fetchurl
+, autoreconfHook
, pkg-config
-, autoconf
-, automake
, bison
, flex
, openssl
@@ -21,12 +20,17 @@ stdenv.mkDerivation {
outputs = [ "out" "man" "doc" ];
- nativeBuildInputs = [ pkg-config autoconf automake ];
+ postPatch = ''
+ # Drop blanket -Werror to avoid build failure on fresh toolchains
+ # and libraries. Without the cnage build fails on gcc-13 and on
+ # openssl-3.
+ substituteInPlace src/Makefile.am --replace-fail ' -Werror ' ' '
+ '';
+
+ nativeBuildInputs = [ autoreconfHook pkg-config ];
buildInputs = [ bison flex openssl ];
- # FIXME: Openssl3 deprecated PEM_read_DHparams and DH_free
- # https://projects.duckcorp.org/issues/780
- env.NIX_CFLAGS_COMPILE = "-Wno-error=deprecated-declarations";
+ enableParallelBuilding = true;
meta = {
description = "An IRC proxy (bouncer)";
diff --git a/pkgs/applications/networking/irc/communi/default.nix b/pkgs/applications/networking/irc/communi/default.nix
index cbd099c33bc7..4f81dd657c62 100644
--- a/pkgs/applications/networking/irc/communi/default.nix
+++ b/pkgs/applications/networking/irc/communi/default.nix
@@ -70,6 +70,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A simple and elegant cross-platform IRC client";
+ mainProgram = "communi";
homepage = "https://github.com/communi/communi-desktop";
license = licenses.bsd3;
maintainers = with maintainers; [ hrdinka ];
diff --git a/pkgs/applications/networking/irc/convos/default.nix b/pkgs/applications/networking/irc/convos/default.nix
index da4967916d44..2fc2e0585910 100644
--- a/pkgs/applications/networking/irc/convos/default.nix
+++ b/pkgs/applications/networking/irc/convos/default.nix
@@ -90,6 +90,7 @@ perlPackages.buildPerlPackage rec {
meta = {
homepage = "https://convos.chat";
description = "Convos is the simplest way to use IRC in your browser";
+ mainProgram = "convos";
license = lib.licenses.artistic2;
maintainers = with lib.maintainers; [ sgo ];
};
diff --git a/pkgs/applications/networking/irc/halloy/default.nix b/pkgs/applications/networking/irc/halloy/default.nix
index 3d7faf5ac348..2758914f73cc 100644
--- a/pkgs/applications/networking/irc/halloy/default.nix
+++ b/pkgs/applications/networking/irc/halloy/default.nix
@@ -15,13 +15,13 @@
rustPlatform.buildRustPackage rec {
pname = "halloy";
- version = "2024.1";
+ version = "2024.3";
src = fetchFromGitHub {
owner = "squidowl";
repo = "halloy";
rev = "refs/tags/${version}";
- hash = "sha256-mOP6Xxo1p3Mi36RmraMe4qpqJGQqHs/7fZzruAODr1E=";
+ hash = "sha256-9yEkM65c8R71oQ0C54xZqwRh609+HSaq4Hb8izNM52A=";
};
cargoLock = {
@@ -49,6 +49,7 @@ rustPlatform.buildRustPackage rec {
darwin.apple_sdk.frameworks.AppKit
darwin.apple_sdk.frameworks.CoreFoundation
darwin.apple_sdk.frameworks.CoreGraphics
+ darwin.apple_sdk.frameworks.Cocoa
darwin.apple_sdk.frameworks.Foundation
darwin.apple_sdk.frameworks.Metal
darwin.apple_sdk.frameworks.QuartzCore
@@ -72,6 +73,15 @@ rustPlatform.buildRustPackage rec {
})
];
+ postFixup = lib.optional stdenv.isLinux (
+ let
+ rpathWayland = lib.makeLibraryPath [ wayland vulkan-loader libxkbcommon ];
+ in
+ ''
+ rpath=$(patchelf --print-rpath $out/bin/halloy)
+ patchelf --set-rpath "$rpath:${rpathWayland}" $out/bin/halloy
+ '');
+
postInstall = ''
install -Dm644 assets/linux/org.squidowl.halloy.png $out/share/icons/hicolor/128x128/apps/org.squidowl.halloy.png
'';
diff --git a/pkgs/applications/networking/irc/ii/default.nix b/pkgs/applications/networking/irc/ii/default.nix
index 8fcbdce97291..8fca00992252 100644
--- a/pkgs/applications/networking/irc/ii/default.nix
+++ b/pkgs/applications/networking/irc/ii/default.nix
@@ -17,6 +17,7 @@ stdenv.mkDerivation rec {
homepage = "https://tools.suckless.org/ii/";
license = lib.licenses.mit;
description = "Irc it, simple FIFO based irc client";
+ mainProgram = "ii";
platforms = lib.platforms.unix;
};
}
diff --git a/pkgs/applications/networking/irc/irccloud/default.nix b/pkgs/applications/networking/irc/irccloud/default.nix
index d6b7af7c0a86..9f1ff1008177 100644
--- a/pkgs/applications/networking/irc/irccloud/default.nix
+++ b/pkgs/applications/networking/irc/irccloud/default.nix
@@ -30,6 +30,7 @@ in appimageTools.wrapType2 {
meta = with lib; {
description = "A desktop client for IRCCloud";
+ mainProgram = "irccloud";
homepage = "https://www.irccloud.com";
license = licenses.asl20;
platforms = [ "x86_64-linux" ];
diff --git a/pkgs/applications/networking/irc/ircdog/default.nix b/pkgs/applications/networking/irc/ircdog/default.nix
index 5da057b29154..56c951798fe9 100644
--- a/pkgs/applications/networking/irc/ircdog/default.nix
+++ b/pkgs/applications/networking/irc/ircdog/default.nix
@@ -18,6 +18,7 @@ buildGoModule rec {
meta = with lib; {
description = "ircdog is a simple wrapper over the raw IRC protocol that can respond to pings, and interprets formatting codes";
+ mainProgram = "ircdog";
homepage = "https://github.com/ergochat/ircdog";
changelog = "https://github.com/ergochat/ircdog/releases/tag/v${version}";
license = licenses.isc;
diff --git a/pkgs/applications/networking/irc/irssi/default.nix b/pkgs/applications/networking/irc/irssi/default.nix
index d95b64f04298..940242b6668d 100644
--- a/pkgs/applications/networking/irc/irssi/default.nix
+++ b/pkgs/applications/networking/irc/irssi/default.nix
@@ -49,6 +49,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Terminal based IRC client";
+ mainProgram = "irssi";
homepage = "https://irssi.org";
license = licenses.gpl2Plus;
maintainers = with maintainers; [ fab lovek323 ];
diff --git a/pkgs/applications/networking/irc/kirc/default.nix b/pkgs/applications/networking/irc/kirc/default.nix
index c21a444b61c1..ea2e45bff88e 100644
--- a/pkgs/applications/networking/irc/kirc/default.nix
+++ b/pkgs/applications/networking/irc/kirc/default.nix
@@ -21,6 +21,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://mcpcpc.github.io/kirc/";
description = "Tiny IRC client written in C99";
+ mainProgram = "kirc";
longDescription = ''
kirc is a tiny open-source Internet Relay Chat (IRC) client designed with
usability and cross-platform compatibility in mind.
diff --git a/pkgs/applications/networking/irc/senpai/default.nix b/pkgs/applications/networking/irc/senpai/default.nix
index fbf5dcb844d4..f27a31e66088 100644
--- a/pkgs/applications/networking/irc/senpai/default.nix
+++ b/pkgs/applications/networking/irc/senpai/default.nix
@@ -35,6 +35,7 @@ buildGoModule rec {
meta = with lib; {
description = "Your everyday IRC student";
+ mainProgram = "senpai";
homepage = "https://sr.ht/~taiite/senpai/";
changelog = "https://git.sr.ht/~delthas/senpai/refs/v${version}";
license = licenses.isc;
diff --git a/pkgs/applications/networking/irc/sic/default.nix b/pkgs/applications/networking/irc/sic/default.nix
index d5a0737403a8..82c5852128e9 100644
--- a/pkgs/applications/networking/irc/sic/default.nix
+++ b/pkgs/applications/networking/irc/sic/default.nix
@@ -15,6 +15,7 @@ stdenv.mkDerivation rec {
meta = {
description = "Simple IRC client";
+ mainProgram = "sic";
homepage = "https://tools.suckless.org/sic/";
license = lib.licenses.mit;
platforms = lib.platforms.unix;
diff --git a/pkgs/applications/networking/irc/srain/default.nix b/pkgs/applications/networking/irc/srain/default.nix
index 2a9ac4b0cdab..42fd7e1fb653 100644
--- a/pkgs/applications/networking/irc/srain/default.nix
+++ b/pkgs/applications/networking/irc/srain/default.nix
@@ -6,6 +6,7 @@
, libconfig
, libsoup
, libsecret
+, libayatana-appindicator
, openssl
, gettext
, glib
@@ -20,13 +21,13 @@
stdenv.mkDerivation rec {
pname = "srain";
- version = "1.5.1";
+ version = "1.6.0";
src = fetchFromGitHub {
owner = "SrainApp";
repo = "srain";
rev = version;
- sha256 = "sha256-PiLjlsYmgxXpvcqDkwS/6WmTEimMH8+OndUG2Hehdm0=";
+ hash = "sha256-IGAb24aDeBXyxuyb/EWUetQZJg28GJLT0WK7ZmmHgyg=";
};
nativeBuildInputs = [
@@ -47,11 +48,13 @@ stdenv.mkDerivation rec {
libconfig
libsoup
libsecret
+ libayatana-appindicator
openssl
];
meta = with lib; {
description = "Modern IRC client written in GTK";
+ mainProgram = "srain";
homepage = "https://srain.silverrainz.me";
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/networking/irc/weechat/scripts/wee-slack/default.nix b/pkgs/applications/networking/irc/weechat/scripts/wee-slack/default.nix
index c1b9b9fd6063..46d676682b5b 100644
--- a/pkgs/applications/networking/irc/weechat/scripts/wee-slack/default.nix
+++ b/pkgs/applications/networking/irc/weechat/scripts/wee-slack/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "wee-slack";
- version = "2.10.1";
+ version = "2.10.2";
src = fetchFromGitHub {
repo = "wee-slack";
owner = "wee-slack";
rev = "v${version}";
- sha256 = "sha256-J4s7+JFd/y1espp3HZCs48++fhN6lmpaglGkgomtf3o=";
+ sha256 = "sha256-EtPhaNFYDxxSrSLXHHnY4ARpRycNNxbg5QPKtnPem04=";
};
patches = [
diff --git a/pkgs/applications/networking/jnetmap/default.nix b/pkgs/applications/networking/jnetmap/default.nix
index 8aea49decb7e..b3c90beba36d 100644
--- a/pkgs/applications/networking/jnetmap/default.nix
+++ b/pkgs/applications/networking/jnetmap/default.nix
@@ -30,6 +30,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Graphical network monitoring and documentation tool";
+ mainProgram = "jnetmap";
homepage = "http://www.rakudave.ch/jnetmap/";
sourceProvenance = with sourceTypes; [ binaryBytecode ];
license = licenses.gpl3Plus;
diff --git a/pkgs/applications/networking/libcoap/default.nix b/pkgs/applications/networking/libcoap/default.nix
index e3038e83ad07..1c0fece2edf4 100644
--- a/pkgs/applications/networking/libcoap/default.nix
+++ b/pkgs/applications/networking/libcoap/default.nix
@@ -4,13 +4,13 @@
}:
stdenv.mkDerivation rec {
pname = "libcoap";
- version = "4.3.4";
+ version = "4.3.4a";
src = fetchFromGitHub {
repo = "libcoap";
owner = "obgm";
rev = "v${version}";
fetchSubmodules = true;
- sha256 = "sha256-x8r5fHY8J0NYE7nPSw/bPpK/iTLKioKpQKmVw73KOtg=";
+ sha256 = "sha256-SzuXFn4rihZIHxKSH5waC5362mhsOtBdRatIGI6nv4I=";
};
nativeBuildInputs = [
automake
diff --git a/pkgs/applications/networking/localsend/default.nix b/pkgs/applications/networking/localsend/default.nix
index d7758fb325a4..3854b347c061 100644
--- a/pkgs/applications/networking/localsend/default.nix
+++ b/pkgs/applications/networking/localsend/default.nix
@@ -7,23 +7,24 @@
, pkg-config
, libayatana-appindicator
, undmg
+, makeBinaryWrapper
}:
let
pname = "localsend";
- version = "1.13.1";
+ version = "1.14.0";
- linux = flutter313.buildFlutterApplication {
+ linux = flutter313.buildFlutterApplication rec {
inherit pname version;
src = fetchFromGitHub {
owner = pname;
repo = pname;
rev = "v${version}";
- hash = "sha256-GJHCKNtKvwQAG3AUkhk0G4k/qsmLOUQAyyi9Id7NJh8=";
+ hash = "sha256-CO0uFcZnOfE31EZxRUpgtod3+1lyXPpbytHB45DEM98=";
};
- sourceRoot = "source/app";
+ sourceRoot = "${src.name}/app";
pubspecLock = lib.importJSON ./pubspec.lock.json;
@@ -57,7 +58,9 @@ let
categories = [ "Network" ];
};
- meta = meta // {
+ passthru.updateScript = ./update.sh;
+
+ meta = metaCommon // {
mainProgram = "localsend_app";
};
};
@@ -67,25 +70,26 @@ let
src = fetchurl {
url = "https://github.com/localsend/localsend/releases/download/v${version}/LocalSend-${version}.dmg";
- hash = "sha256-YCy6NlmEPsOFtIZ27mOYDnMPd1tj3YO2bwNDdM3K/uY=";
+ hash = "sha256-L7V48QoOA0cjx45n+9Xav/zzCzCsZB3TBip0WGusMXg=";
};
- nativeBuildInputs = [ undmg ];
+ nativeBuildInputs = [ undmg makeBinaryWrapper ];
sourceRoot = ".";
installPhase = ''
mkdir -p $out/Applications
cp -r *.app $out/Applications
+ makeBinaryWrapper $out/Applications/LocalSend.app/Contents/MacOS/LocalSend $out/bin/localsend
'';
- meta = meta // {
+ meta = metaCommon // {
sourceProvenance = with lib.sourceTypes; [ binaryNativeCode ];
platforms = [ "x86_64-darwin" "aarch64-darwin" ];
};
};
- meta = with lib; {
+ metaCommon = with lib; {
description = "An open source cross-platform alternative to AirDrop";
homepage = "https://localsend.org/";
license = licenses.mit;
diff --git a/pkgs/applications/networking/localsend/pubspec.lock.json b/pkgs/applications/networking/localsend/pubspec.lock.json
index 204b031d8185..2f16aafd6ce2 100644
--- a/pkgs/applications/networking/localsend/pubspec.lock.json
+++ b/pkgs/applications/networking/localsend/pubspec.lock.json
@@ -44,11 +44,11 @@
"dependency": "transitive",
"description": {
"name": "archive",
- "sha256": "7b875fd4a20b165a3084bd2d210439b22ebc653f21cea4842729c0c30c82596b",
+ "sha256": "22600aa1e926be775fa5fe7e6894e7fb3df9efda8891c73f70fb3262399a432d",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "3.4.9"
+ "version": "3.4.10"
},
"args": {
"dependency": "transitive",
@@ -124,31 +124,31 @@
"dependency": "transitive",
"description": {
"name": "build_resolvers",
- "sha256": "64e12b0521812d1684b1917bc80945625391cb9bdd4312536b1d69dcb6133ed8",
+ "sha256": "339086358431fa15d7eca8b6a36e5d783728cf025e559b834f4609a1fcfb7b0a",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "2.4.1"
+ "version": "2.4.2"
},
"build_runner": {
"dependency": "direct dev",
"description": {
"name": "build_runner",
- "sha256": "67d591d602906ef9201caf93452495ad1812bea2074f04e25dbd7c133785821b",
+ "sha256": "581bacf68f89ec8792f5e5a0b2c4decd1c948e97ce659dc783688c8a88fbec21",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "2.4.7"
+ "version": "2.4.8"
},
"build_runner_core": {
"dependency": "transitive",
"description": {
"name": "build_runner_core",
- "sha256": "c9e32d21dd6626b5c163d48b037ce906bbe428bc23ab77bcd77bb21e593b6185",
+ "sha256": "4ae8ffe5ac758da294ecf1802f2aff01558d8b1b00616aa7538ea9a8a5d50799",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "7.2.11"
+ "version": "7.3.0"
},
"built_collection": {
"dependency": "transitive",
@@ -164,11 +164,11 @@
"dependency": "transitive",
"description": {
"name": "built_value",
- "sha256": "69acb7007eb2a31dc901512bfe0f7b767168be34cb734835d54c070bfa74c1b2",
+ "sha256": "fedde275e0a6b798c3296963c5cd224e3e1b55d0e478d5b7e65e6b540f363a0e",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "8.8.0"
+ "version": "8.9.1"
},
"characters": {
"dependency": "transitive",
@@ -194,11 +194,11 @@
"dependency": "transitive",
"description": {
"name": "cli_util",
- "sha256": "b8db3080e59b2503ca9e7922c3df2072cf13992354d5e944074ffa836fba43b7",
+ "sha256": "c05b7406fdabc7a49a3929d4af76bcaccbbffcbcdcf185b082e1ae07da323d19",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "0.4.0"
+ "version": "0.4.1"
},
"clock": {
"dependency": "transitive",
@@ -214,11 +214,11 @@
"dependency": "transitive",
"description": {
"name": "code_builder",
- "sha256": "b2151ce26a06171005b379ecff6e08d34c470180ffe16b8e14b6d52be292b55f",
+ "sha256": "f692079e25e7869c14132d39f223f8eec9830eb76131925143b2129c4bb01b37",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "4.8.0"
+ "version": "4.10.0"
},
"collection": {
"dependency": "direct main",
@@ -343,21 +343,21 @@
"dependency": "direct main",
"description": {
"name": "dart_mappable",
- "sha256": "c2d4775336d7166590766a1c4451edde2a302efe2a2a35ac09eef9025102e10f",
+ "sha256": "7b6d38ae95f1ae8ffa65df9a5464f14b56c2de94699a035202ca4cd3a0ba249e",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "4.0.1"
+ "version": "4.2.0"
},
"dart_mappable_builder": {
"dependency": "direct dev",
"description": {
"name": "dart_mappable_builder",
- "sha256": "41926353f9bc20f659c39f1d83d90c9ae5fd0d14aec9b59732cf714eee589b7a",
+ "sha256": "98c058f7e80a98ea42d357d888ed1648d96bedac8b16872b58fc7024faefcdfe",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "4.0.1"
+ "version": "4.2.0"
},
"dart_style": {
"dependency": "transitive",
@@ -413,11 +413,11 @@
"dependency": "direct main",
"description": {
"name": "device_info_plus",
- "sha256": "0042cb3b2a76413ea5f8a2b40cec2a33e01d0c937e91f0f7c211fde4f7739ba6",
+ "sha256": "77f757b789ff68e4eaf9c56d1752309bd9f7ad557cb105b938a7f8eb89e59110",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "9.1.1"
+ "version": "9.1.2"
},
"device_info_plus_platform_interface": {
"dependency": "transitive",
@@ -433,21 +433,21 @@
"dependency": "direct main",
"description": {
"name": "dio",
- "sha256": "797e1e341c3dd2f69f2dad42564a6feff3bfb87187d05abb93b9609e6f1645c3",
+ "sha256": "49af28382aefc53562459104f64d16b9dfd1e8ef68c862d5af436cc8356ce5a8",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "5.4.0"
+ "version": "5.4.1"
},
"dynamic_color": {
"dependency": "direct main",
"description": {
"name": "dynamic_color",
- "sha256": "8b8bd1d798bd393e11eddeaa8ae95b12ff028bf7d5998fc5d003488cd5f4ce2f",
+ "sha256": "a866f1f8947bfdaf674d7928e769eac7230388a2e7a2542824fad4bb5b87be3b",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "1.6.8"
+ "version": "1.6.9"
},
"extended_image": {
"dependency": "transitive",
@@ -513,31 +513,31 @@
"dependency": "direct main",
"description": {
"name": "file_selector",
- "sha256": "84eaf3e034d647859167d1f01cfe7b6352488f34c1b4932635012b202014c25b",
+ "sha256": "5019692b593455127794d5718304ff1ae15447dea286cdda9f0db2a796a1b828",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "1.0.1"
+ "version": "1.0.3"
},
"file_selector_android": {
"dependency": "transitive",
"description": {
"name": "file_selector_android",
- "sha256": "b7556052dbcc25ef88f6eba45ab98aa5600382af8dfdabc9d644a93d97b7be7f",
+ "sha256": "1cd66575f063b689e041aec836905ba7be18d76c9f0634d0d75daec825f67095",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "0.5.0+4"
+ "version": "0.5.0+7"
},
"file_selector_ios": {
"dependency": "transitive",
"description": {
"name": "file_selector_ios",
- "sha256": "2f48db7e338b2255101c35c604b7ca5ab588dce032db7fc418a2fe5f28da63f8",
+ "sha256": "b015154e6d9fddbc4d08916794df170b44531798c8dd709a026df162d07ad81d",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "0.5.1+7"
+ "version": "0.5.1+8"
},
"file_selector_linux": {
"dependency": "transitive",
@@ -563,11 +563,11 @@
"dependency": "transitive",
"description": {
"name": "file_selector_platform_interface",
- "sha256": "0aa47a725c346825a2bd396343ce63ac00bda6eff2fbc43eabe99737dede8262",
+ "sha256": "a3994c26f10378a039faa11de174d7b78eb8f79e4dd0af2a451410c1a5c3f66b",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "2.6.1"
+ "version": "2.6.2"
},
"file_selector_web": {
"dependency": "transitive",
@@ -655,11 +655,11 @@
"dependency": "direct main",
"description": {
"name": "flutter_markdown",
- "sha256": "35108526a233cc0755664d445f8a6b4b61e6f8fe993b3658b80b4a26827fc196",
+ "sha256": "21b085a1c185e46701373866144ced56cfb7a0c33f63c916bb8fe2d0c1491278",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "0.6.18+2"
+ "version": "0.6.19"
},
"flutter_plugin_android_lifecycle": {
"dependency": "transitive",
@@ -697,21 +697,21 @@
"dependency": "direct main",
"description": {
"name": "gal",
- "sha256": "e53ff8647a031e7e4562b4f5978d3008328aeef650c293a3c92d8411e3e299f7",
+ "sha256": "54c9b72528efce7c66234f3b6dd01cb0304fd8af8196de15571d7bdddb940977",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "2.1.4"
+ "version": "2.3.0"
},
"get_it": {
"dependency": "transitive",
"description": {
"name": "get_it",
- "sha256": "f79870884de16d689cf9a7d15eedf31ed61d750e813c538a6efb92660fea83c3",
+ "sha256": "e6017ce7fdeaf218dc51a100344d8cb70134b80e28b760f8bb23c242437bafd7",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "7.6.4"
+ "version": "7.6.7"
},
"glob": {
"dependency": "transitive",
@@ -807,51 +807,51 @@
"dependency": "transitive",
"description": {
"name": "image",
- "sha256": "028f61960d56f26414eb616b48b04eb37d700cbe477b7fb09bf1d7ce57fd9271",
+ "sha256": "4c68bfd5ae83e700b5204c1e74451e7bf3cf750e6843c6e158289cf56bda018e",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "4.1.3"
+ "version": "4.1.7"
},
"image_picker": {
"dependency": "direct main",
"description": {
"name": "image_picker",
- "sha256": "7d7f2768df2a8b0a3cefa5ef4f84636121987d403130e70b17ef7e2cf650ba84",
+ "sha256": "26222b01a0c9a2c8fe02fc90b8208bd3325da5ed1f4a2acabf75939031ac0bdd",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "1.0.4"
+ "version": "1.0.7"
},
"image_picker_android": {
"dependency": "transitive",
"description": {
"name": "image_picker_android",
- "sha256": "d6a6e78821086b0b737009b09363018309bbc6de3fd88cc5c26bc2bb44a4957f",
+ "sha256": "39f2bfe497e495450c81abcd44b62f56c2a36a37a175da7d137b4454977b51b1",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "0.8.8+2"
+ "version": "0.8.9+3"
},
"image_picker_for_web": {
"dependency": "transitive",
"description": {
"name": "image_picker_for_web",
- "sha256": "50bc9ae6a77eea3a8b11af5eb6c661eeb858fdd2f734c2a4fd17086922347ef7",
+ "sha256": "e2423c53a68b579a7c37a1eda967b8ae536c3d98518e5db95ca1fe5719a730a3",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "3.0.1"
+ "version": "3.0.2"
},
"image_picker_ios": {
"dependency": "transitive",
"description": {
"name": "image_picker_ios",
- "sha256": "76ec722aeea419d03aa915c2c96bf5b47214b053899088c9abb4086ceecf97a7",
+ "sha256": "fadafce49e8569257a0cad56d24438a6fa1f0cbd7ee0af9b631f7492818a4ca3",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "0.8.8+4"
+ "version": "0.8.9+1"
},
"image_picker_linux": {
"dependency": "transitive",
@@ -877,11 +877,11 @@
"dependency": "transitive",
"description": {
"name": "image_picker_platform_interface",
- "sha256": "ed9b00e63977c93b0d2d2b343685bed9c324534ba5abafbb3dfbd6a780b1b514",
+ "sha256": "fa4e815e6fcada50e35718727d83ba1c92f1edf95c0b4436554cec301b56233b",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "2.9.1"
+ "version": "2.9.3"
},
"image_picker_windows": {
"dependency": "transitive",
@@ -897,41 +897,41 @@
"dependency": "direct main",
"description": {
"name": "in_app_purchase",
- "sha256": "bdda02b5b11b56d5e29c7f0c57c433db3452b0c8ce1c37cbfcf1de52946efd9f",
+ "sha256": "def70fbaa2a274f4d835677459f6f7afc5469de912438f86076e51cbd4cbd5b4",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "3.1.11"
+ "version": "3.1.13"
},
"in_app_purchase_android": {
"dependency": "transitive",
"description": {
"name": "in_app_purchase_android",
- "sha256": "c4b84caa4e2c7ffebda444c5033fd8423cc3a45a6e1066929bbbcd4daf665db5",
+ "sha256": "c94aebe986723b6f4e4d8551d160c6602e73d0b38bf86a59fb054dfeb44bc1e5",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "0.3.0+15"
+ "version": "0.3.1"
},
"in_app_purchase_platform_interface": {
"dependency": "transitive",
"description": {
"name": "in_app_purchase_platform_interface",
- "sha256": "5168afbc54f406f741252b66d41872c1193a0066a6edcb587176290b92e2d537",
+ "sha256": "412efce2b9238c5ace4f057acad43f793ed06880e366d26ae322e796cadb051a",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "1.3.6"
+ "version": "1.3.7"
},
"in_app_purchase_storekit": {
"dependency": "transitive",
"description": {
"name": "in_app_purchase_storekit",
- "sha256": "29526f5ce85bd908b4cacdadb2e8ef299bccbb516b90d2881805343f868502ab",
+ "sha256": "c4b17a7f2ca8ddc7fd7996a8c32a3af6beddf91d651997c8675a5f23c103c9bc",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "0.3.7"
+ "version": "0.3.8+1"
},
"intl": {
"dependency": "direct main",
@@ -1017,11 +1017,11 @@
"dependency": "transitive",
"description": {
"name": "markdown",
- "sha256": "acf35edccc0463a9d7384e437c015a3535772e09714cf60e07eeef3a15870dcd",
+ "sha256": "1b134d9f8ff2da15cb298efe6cd8b7d2a78958c1b00384ebcbdf13fe340a6c90",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "7.1.1"
+ "version": "7.2.1"
},
"matcher": {
"dependency": "transitive",
@@ -1073,6 +1073,16 @@
"source": "hosted",
"version": "1.0.4"
},
+ "mockito": {
+ "dependency": "direct dev",
+ "description": {
+ "name": "mockito",
+ "sha256": "6841eed20a7befac0ce07df8116c8b8233ed1f4486a7647c7fc5a02ae6163917",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "5.4.4"
+ },
"msix": {
"dependency": "direct dev",
"description": {
@@ -1097,11 +1107,11 @@
"dependency": "direct main",
"description": {
"name": "network_info_plus",
- "sha256": "2d9e88b9a459e5d4e224f828d26cc38ea140511e89b943116939994324be5c96",
+ "sha256": "4601b815b1c6a46d84839f65cd774a7d999738471d910fae00d813e9e98b04e1",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "4.1.0"
+ "version": "4.1.0+1"
},
"network_info_plus_platform_interface": {
"dependency": "transitive",
@@ -1137,11 +1147,11 @@
"dependency": "direct main",
"description": {
"name": "open_filex",
- "sha256": "a6c95237767c5647e68b71a476602fcf4f1bfc530c126265e53addae22ef5fc2",
+ "sha256": "74e2280754cf8161e860746c3181db2c996d6c1909c7057b738ede4a469816b8",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "4.3.4"
+ "version": "4.4.0"
},
"package_config": {
"dependency": "transitive",
@@ -1197,31 +1207,31 @@
"dependency": "direct main",
"description": {
"name": "path_provider",
- "sha256": "a1aa8aaa2542a6bc57e381f132af822420216c80d4781f7aa085ca3229208aaa",
+ "sha256": "b27217933eeeba8ff24845c34003b003b2b22151de3c908d0e679e8fe1aa078b",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "2.1.1"
+ "version": "2.1.2"
},
"path_provider_android": {
"dependency": "transitive",
"description": {
"name": "path_provider_android",
- "sha256": "e595b98692943b4881b219f0a9e3945118d3c16bd7e2813f98ec6e532d905f72",
+ "sha256": "477184d672607c0a3bf68fbbf601805f92ef79c82b64b4d6eb318cbca4c48668",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "2.2.1"
+ "version": "2.2.2"
},
"path_provider_foundation": {
"dependency": "transitive",
"description": {
"name": "path_provider_foundation",
- "sha256": "19314d595120f82aca0ba62787d58dde2cc6b5df7d2f0daf72489e38d1b57f2d",
+ "sha256": "5a7999be66e000916500be4f15a3633ebceb8302719b47b9cc49ce924125350f",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "2.3.1"
+ "version": "2.3.2"
},
"path_provider_linux": {
"dependency": "transitive",
@@ -1237,11 +1247,11 @@
"dependency": "transitive",
"description": {
"name": "path_provider_platform_interface",
- "sha256": "94b1e0dd80970c1ce43d5d4e050a9918fce4f4a775e6142424c30a29a363265c",
+ "sha256": "88f5779f72ba699763fa3a3b06aa4bf6de76c8e5de842cf6f29e2e06476c2334",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "2.1.1"
+ "version": "2.1.2"
},
"path_provider_windows": {
"dependency": "transitive",
@@ -1328,31 +1338,31 @@
"dependency": "transitive",
"description": {
"name": "platform",
- "sha256": "0a279f0707af40c890e80b1e9df8bb761694c074ba7e1d4ab1bc4b728e200b59",
+ "sha256": "12220bb4b65720483f8fa9450b4332347737cf8213dd2840d8b2c823e47243ec",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "3.1.3"
+ "version": "3.1.4"
},
"plugin_platform_interface": {
"dependency": "transitive",
"description": {
"name": "plugin_platform_interface",
- "sha256": "f4f88d4a900933e7267e2b353594774fc0d07fb072b47eedcd5b54e1ea3269f8",
+ "sha256": "4820fbfdb9478b1ebae27888254d445073732dae3d6ea81f0b7e06d5dedc3f02",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "2.1.7"
+ "version": "2.1.8"
},
"pointycastle": {
"dependency": "transitive",
"description": {
"name": "pointycastle",
- "sha256": "7c1e5f0d23c9016c5bbd8b1473d0d3fb3fc851b876046039509e18e0c7485f2c",
+ "sha256": "43ac87de6e10afabc85c445745a7b799e04de84cebaa4fd7bf55a5e1e9604d29",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "3.7.3"
+ "version": "3.7.4"
},
"pool": {
"dependency": "transitive",
@@ -1368,11 +1378,11 @@
"dependency": "direct main",
"description": {
"name": "pretty_qr_code",
- "sha256": "799fa8d5c605028302cb7debbf3f180ce56678c4927fb2ecc4b174a3bee526d6",
+ "sha256": "47a0fde3967e01ea31985d1a11a998fab1ab900becdba592e9abb0a4034b807e",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "3.0.0"
+ "version": "3.2.1"
},
"provider": {
"dependency": "transitive",
@@ -1418,21 +1428,21 @@
"dependency": "transitive",
"description": {
"name": "refena",
- "sha256": "dad98c0d372617054a86b33a504fb973ba083c21b7a2b321a4b2142fae3342a2",
+ "sha256": "a29603854d785e5259c6fb99268847e918f54463a861accc36cc913daea95cb8",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "1.5.0"
+ "version": "1.6.1"
},
"refena_flutter": {
"dependency": "direct main",
"description": {
"name": "refena_flutter",
- "sha256": "fcd8e28e50515182a40abc2718600bbac11ba4757c2b2b92b71177fbc2ee9721",
+ "sha256": "2bee85271c8d8ced0238d98c1c056dbabfd4af207f9cc248d10af5a37820ae3e",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "1.5.0"
+ "version": "1.6.0"
},
"refena_inspector": {
"dependency": "direct dev",
@@ -1464,6 +1474,16 @@
"source": "hosted",
"version": "0.8.0"
},
+ "saf_stream": {
+ "dependency": "direct main",
+ "description": {
+ "name": "saf_stream",
+ "sha256": "1db21cfa5914a5cf9a7c962b5d57fc8c07011561e365e7ff7f8013019cc3c0f3",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.4.0"
+ },
"screen_retriever": {
"dependency": "direct main",
"description": {
@@ -1495,7 +1515,7 @@
"version": "0.0.7"
},
"share_handler_ios": {
- "dependency": "transitive",
+ "dependency": "direct main",
"description": {
"name": "share_handler_ios",
"sha256": "522e5284ef186e83c34acea16fd65469db56a78a4c932c95e71a5be8a0e02d51",
@@ -1538,11 +1558,11 @@
"dependency": "transitive",
"description": {
"name": "shared_preferences_foundation",
- "sha256": "7bf53a9f2d007329ee6f3df7268fd498f8373602f943c975598bbb34649b62a7",
+ "sha256": "7708d83064f38060c7b39db12aefe449cb8cdc031d6062280087bc4cdb988f5c",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "2.3.4"
+ "version": "2.3.5"
},
"shared_preferences_linux": {
"dependency": "transitive",
@@ -1558,11 +1578,11 @@
"dependency": "direct main",
"description": {
"name": "shared_preferences_platform_interface",
- "sha256": "d4ec5fc9ebb2f2e056c617112aa75dcf92fc2e4faaf2ae999caa297473f75d8a",
+ "sha256": "22e2ecac9419b4246d7c22bfbbda589e3acf5c0351137d87dd2939d984d37c3b",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "2.3.1"
+ "version": "2.3.2"
},
"shared_preferences_web": {
"dependency": "transitive",
@@ -1588,11 +1608,11 @@
"dependency": "direct main",
"description": {
"name": "shared_storage",
- "sha256": "7c65a9d64f0f5521256be974cfd74010af12196657cec9f9fb7b03b2f11bcaf6",
+ "sha256": "cf20428d06af065311b71e09cbfbbfe431e979a3bf9180001c1952129b7c708f",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "0.8.0"
+ "version": "0.8.1"
},
"shelf": {
"dependency": "direct main",
@@ -1664,31 +1684,31 @@
"dependency": "direct main",
"description": {
"name": "slang",
- "sha256": "fe73e995287db77a32ef5a4ab8247bd20adc7a27ba2a4ba373a8132164eba654",
+ "sha256": "95dee03eb3fd1b36c99f365d4eace270a0d83c6148f8e7d1057806ef60cfaf12",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "3.26.1"
+ "version": "3.29.0"
},
"slang_build_runner": {
"dependency": "direct dev",
"description": {
"name": "slang_build_runner",
- "sha256": "72364adbb8d682b48b72402fd67f84e1b62fd96424eae98477a8fe58faec280c",
+ "sha256": "929ea4bf24f11e09afd2b01abd658f550da7eb4039ae83d91bc220f942e18cb3",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "3.26.1"
+ "version": "3.29.0"
},
"slang_flutter": {
"dependency": "direct main",
"description": {
"name": "slang_flutter",
- "sha256": "df1d6e5a7237028bcf94f7401d575fdfee8c9ca066b3e9a02acdcd5096e2a25a",
+ "sha256": "34c7cf297c608e24d3957a29e75c6790f4dbbfb1a4783d261a6c1e33ede7ad0f",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "3.26.1"
+ "version": "3.29.0"
},
"slang_gpt": {
"dependency": "direct dev",
@@ -1704,11 +1724,11 @@
"dependency": "transitive",
"description": {
"name": "source_gen",
- "sha256": "fc0da689e5302edb6177fdd964efcb7f58912f43c28c2047a808f5bfff643d16",
+ "sha256": "14658ba5f669685cd3d63701d01b31ea748310f7ab854e471962670abcf57832",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "1.4.0"
+ "version": "1.5.0"
},
"source_map_stack_trace": {
"dependency": "transitive",
@@ -1844,11 +1864,11 @@
"dependency": "transitive",
"description": {
"name": "time",
- "sha256": "83427e11d9072e038364a5e4da559e85869b227cf699a541be0da74f14140124",
+ "sha256": "ad8e018a6c9db36cb917a031853a1aae49467a93e0d464683e029537d848c221",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "2.1.3"
+ "version": "2.1.4"
},
"timing": {
"dependency": "transitive",
@@ -1895,41 +1915,41 @@
"dependency": "direct main",
"description": {
"name": "url_launcher",
- "sha256": "b1c9e98774adf8820c96fbc7ae3601231d324a7d5ebd8babe27b6dfac91357ba",
+ "sha256": "c512655380d241a337521703af62d2c122bf7b77a46ff7dd750092aa9433499c",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "6.2.1"
+ "version": "6.2.4"
},
"url_launcher_android": {
"dependency": "transitive",
"description": {
"name": "url_launcher_android",
- "sha256": "31222ffb0063171b526d3e569079cf1f8b294075ba323443fdc690842bfd4def",
+ "sha256": "d4ed0711849dd8e33eb2dd69c25db0d0d3fdc37e0a62e629fe32f57a22db2745",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "6.2.0"
+ "version": "6.3.0"
},
"url_launcher_ios": {
"dependency": "transitive",
"description": {
"name": "url_launcher_ios",
- "sha256": "bba3373219b7abb6b5e0d071b0fe66dfbe005d07517a68e38d4fc3638f35c6d3",
+ "sha256": "75bb6fe3f60070407704282a2d295630cab232991eb52542b18347a8a941df03",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "6.2.1"
+ "version": "6.2.4"
},
"url_launcher_linux": {
"dependency": "transitive",
"description": {
"name": "url_launcher_linux",
- "sha256": "9f2d390e096fdbe1e6e6256f97851e51afc2d9c423d3432f1d6a02a8a9a8b9fd",
+ "sha256": "ab360eb661f8879369acac07b6bb3ff09d9471155357da8443fd5d3cf7363811",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "3.1.0"
+ "version": "3.1.1"
},
"url_launcher_macos": {
"dependency": "transitive",
@@ -1945,11 +1965,11 @@
"dependency": "transitive",
"description": {
"name": "url_launcher_platform_interface",
- "sha256": "980e8d9af422f477be6948bdfb68df8433be71f5743a188968b0c1b887807e50",
+ "sha256": "552f8a1e663569be95a8190206a38187b531910283c3e982193e4f2733f01029",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "2.2.0"
+ "version": "2.3.2"
},
"url_launcher_web": {
"dependency": "transitive",
@@ -1965,11 +1985,11 @@
"dependency": "transitive",
"description": {
"name": "url_launcher_windows",
- "sha256": "7754a1ad30ee896b265f8d14078b0513a4dba28d358eabb9d5f339886f4a1adc",
+ "sha256": "ecf9725510600aa2bb6d7ddabe16357691b6d2805f66216a97d1b881e21beff7",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "3.1.0"
+ "version": "3.1.1"
},
"uuid": {
"dependency": "direct main",
@@ -1995,21 +2015,21 @@
"dependency": "transitive",
"description": {
"name": "video_player",
- "sha256": "e16f0a83601a78d165dabc17e4dac50997604eb9e4cc76e10fa219046b70cef3",
+ "sha256": "fbf28ce8bcfe709ad91b5789166c832cb7a684d14f571a81891858fefb5bb1c2",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "2.8.1"
+ "version": "2.8.2"
},
"video_player_android": {
"dependency": "transitive",
"description": {
"name": "video_player_android",
- "sha256": "3fe89ab07fdbce786e7eb25b58532d6eaf189ceddc091cb66cba712f8d9e8e55",
+ "sha256": "4dd9b8b86d70d65eecf3dcabfcdfbb9c9115d244d022654aba49a00336d540c2",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "2.4.10"
+ "version": "2.4.12"
},
"video_player_avfoundation": {
"dependency": "transitive",
@@ -2025,21 +2045,21 @@
"dependency": "transitive",
"description": {
"name": "video_player_platform_interface",
- "sha256": "be72301bf2c0150ab35a8c34d66e5a99de525f6de1e8d27c0672b836fe48f73a",
+ "sha256": "236454725fafcacf98f0f39af0d7c7ab2ce84762e3b63f2cbb3ef9a7e0550bc6",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "6.2.1"
+ "version": "6.2.2"
},
"video_player_web": {
"dependency": "transitive",
"description": {
"name": "video_player_web",
- "sha256": "ab7a462b07d9ca80bed579e30fb3bce372468f1b78642e0911b10600f2c5cb5b",
+ "sha256": "34beb3a07d4331a24f7e7b2f75b8e2b103289038e07e65529699a671b6a6e2cb",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "2.1.2"
+ "version": "2.1.3"
},
"vm_service": {
"dependency": "transitive",
@@ -2115,11 +2135,11 @@
"dependency": "direct main",
"description": {
"name": "wechat_assets_picker",
- "sha256": "0856437acd3a013f34cbca4448ad08bd004f387fc2b11b007b4713f00d63fcb7",
+ "sha256": "f78c7797dc88e3c9170d318acc9f535ca104ab648cc69ab3b7745f1ceac29910",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "8.8.0"
+ "version": "8.8.1+1"
},
"win32": {
"dependency": "transitive",
@@ -2145,21 +2165,21 @@
"dependency": "direct main",
"description": {
"name": "window_manager",
- "sha256": "dcc865277f26a7dad263a47d0e405d77e21f12cb71f30333a52710a408690bd7",
+ "sha256": "b3c895bdf936c77b83c5254bec2e6b3f066710c1f89c38b20b8acc382b525494",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "0.3.7"
+ "version": "0.3.8"
},
"xdg_directories": {
"dependency": "transitive",
"description": {
"name": "xdg_directories",
- "sha256": "589ada45ba9e39405c198fe34eb0f607cddb2108527e658136120892beac46d2",
+ "sha256": "faea9dee56b520b55a566385b84f2e8de55e7496104adada9962e0bd11bcff1d",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "1.0.3"
+ "version": "1.0.4"
},
"xml": {
"dependency": "transitive",
@@ -2185,11 +2205,11 @@
"dependency": "direct main",
"description": {
"name": "yaru",
- "sha256": "037219db9ffe757eae0d929cb9dc1a8b27af9850dc6c0d89ecf597dc4f78a5b6",
+ "sha256": "e9ccb22cb283ecf3f6b21d64dee9764d4abff65a44f48ce21aa13b9eae3e3be5",
"url": "https://pub.dev"
},
"source": "hosted",
- "version": "1.2.0"
+ "version": "1.2.2"
}
},
"sdks": {
diff --git a/pkgs/applications/networking/localsend/update.sh b/pkgs/applications/networking/localsend/update.sh
index e75b28435537..fa69be0ba995 100755
--- a/pkgs/applications/networking/localsend/update.sh
+++ b/pkgs/applications/networking/localsend/update.sh
@@ -1,5 +1,5 @@
#! /usr/bin/env nix-shell
-#! nix-shell -I nixpkgs=./. -i bash -p curl gnused jq yq nix-prefetch-url
+#! nix-shell -I nixpkgs=./. -i bash -p curl gnused jq yq nix
set -eou pipefail
diff --git a/pkgs/applications/networking/mailreaders/afew/default.nix b/pkgs/applications/networking/mailreaders/afew/default.nix
index bcd9573974d3..9c40ce8f0f68 100644
--- a/pkgs/applications/networking/mailreaders/afew/default.nix
+++ b/pkgs/applications/networking/mailreaders/afew/default.nix
@@ -54,6 +54,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
homepage = "https://github.com/afewmail/afew";
description = "An initial tagging script for notmuch mail";
+ mainProgram = "afew";
license = licenses.isc;
maintainers = with maintainers; [ flokli ];
};
diff --git a/pkgs/applications/networking/mailreaders/alot/default.nix b/pkgs/applications/networking/mailreaders/alot/default.nix
index 471b603f26a1..cc3273dcdca9 100644
--- a/pkgs/applications/networking/mailreaders/alot/default.nix
+++ b/pkgs/applications/networking/mailreaders/alot/default.nix
@@ -90,6 +90,7 @@ with python3.pkgs; buildPythonApplication rec {
meta = with lib; {
homepage = "https://github.com/pazz/alot";
description = "Terminal MUA using notmuch mail";
+ mainProgram = "alot";
license = licenses.gpl3Plus;
platforms = platforms.linux;
maintainers = with maintainers; [ milibopp ];
diff --git a/pkgs/applications/networking/mailreaders/astroid/default.nix b/pkgs/applications/networking/mailreaders/astroid/default.nix
index 518d47a9a40a..249d262fe1e9 100644
--- a/pkgs/applications/networking/mailreaders/astroid/default.nix
+++ b/pkgs/applications/networking/mailreaders/astroid/default.nix
@@ -58,6 +58,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://astroidmail.github.io/";
description = "GTK frontend to the notmuch mail system";
+ mainProgram = "astroid";
maintainers = with maintainers; [ bdimcheff SuprDewd ];
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/networking/mailreaders/betterbird/default.nix b/pkgs/applications/networking/mailreaders/betterbird/default.nix
index 3e9ebbceed75..6ca7c348969f 100644
--- a/pkgs/applications/networking/mailreaders/betterbird/default.nix
+++ b/pkgs/applications/networking/mailreaders/betterbird/default.nix
@@ -44,6 +44,7 @@ in ((buildMozillaMach {
applicationName = "Betterbird";
binaryName = "betterbird";
+ branding = "comm/mail/branding/betterbird";
inherit (thunderbird-unwrapped) application extraPatches;
src = fetchurl {
@@ -92,11 +93,6 @@ in ((buildMozillaMach {
libdbusmenu-gtk3
];
- extraConfigureFlags = [
- "--enable-application=comm/mail"
- "--with-branding=comm/mail/branding/betterbird"
- ];
-
meta = with lib; {
description = "Betterbird is a fine-tuned version of Mozilla Thunderbird, Thunderbird on steroids, if you will";
homepage = "https://www.betterbird.eu/";
diff --git a/pkgs/applications/networking/mailreaders/caeml/default.nix b/pkgs/applications/networking/mailreaders/caeml/default.nix
index 54b4c7f60cdb..f109ccfc3137 100644
--- a/pkgs/applications/networking/mailreaders/caeml/default.nix
+++ b/pkgs/applications/networking/mailreaders/caeml/default.nix
@@ -17,6 +17,7 @@ buildGoModule rec {
meta = with lib; {
description = "cat eml files";
+ mainProgram = "caeml";
longDescription = ''
Reads an email file from either STDIN or from a file passed as the first
argument, digests it and outputs it to STDOUT in a more human readable
diff --git a/pkgs/applications/networking/mailreaders/claws-mail/default.nix b/pkgs/applications/networking/mailreaders/claws-mail/default.nix
index 51f6eeacd1be..16bc93304921 100644
--- a/pkgs/applications/networking/mailreaders/claws-mail/default.nix
+++ b/pkgs/applications/networking/mailreaders/claws-mail/default.nix
@@ -153,6 +153,7 @@ in stdenv.mkDerivation rec {
meta = with lib; {
description = "The user-friendly, lightweight, and fast email client";
+ mainProgram = "claws-mail";
homepage = "https://www.claws-mail.org/";
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/networking/mailreaders/electron-mail/default.nix b/pkgs/applications/networking/mailreaders/electron-mail/default.nix
index a7b51585e3a0..da26d45b2b41 100644
--- a/pkgs/applications/networking/mailreaders/electron-mail/default.nix
+++ b/pkgs/applications/networking/mailreaders/electron-mail/default.nix
@@ -29,6 +29,7 @@ in appimageTools.wrapType2 {
meta = with lib; {
description = "ElectronMail is an Electron-based unofficial desktop client for ProtonMail";
+ mainProgram = "electron-mail";
homepage = "https://github.com/vladimiry/ElectronMail";
license = licenses.gpl3;
maintainers = [ maintainers.princemachiavelli ];
diff --git a/pkgs/applications/networking/mailreaders/evolution/evolution/default.nix b/pkgs/applications/networking/mailreaders/evolution/evolution/default.nix
index a92f7b86c00b..252bfb08e93f 100644
--- a/pkgs/applications/networking/mailreaders/evolution/evolution/default.nix
+++ b/pkgs/applications/networking/mailreaders/evolution/evolution/default.nix
@@ -128,6 +128,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Evolution";
description = "Personal information management application that provides integrated mail, calendaring and address book functionality";
+ mainProgram = "evolution";
maintainers = teams.gnome.members;
license = licenses.lgpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/networking/mailreaders/hasmail/default.nix b/pkgs/applications/networking/mailreaders/hasmail/default.nix
index cc2f5c91921d..9f148618b254 100644
--- a/pkgs/applications/networking/mailreaders/hasmail/default.nix
+++ b/pkgs/applications/networking/mailreaders/hasmail/default.nix
@@ -34,6 +34,7 @@ buildGoModule rec {
meta = with lib; {
description = "Simple tray icon for detecting new email on IMAP servers";
+ mainProgram = "hasmail";
homepage = "https://github.com/jonhoo/hasmail";
license = licenses.unlicense;
maintainers = with maintainers; [ doronbehar ];
diff --git a/pkgs/applications/networking/mailreaders/himalaya/default.nix b/pkgs/applications/networking/mailreaders/himalaya/default.nix
index e200148cc076..7ab696685b52 100644
--- a/pkgs/applications/networking/mailreaders/himalaya/default.nix
+++ b/pkgs/applications/networking/mailreaders/himalaya/default.nix
@@ -3,6 +3,9 @@
, fetchFromGitHub
, stdenv
, pkg-config
+, AppKit
+, Cocoa
+, Security
, installShellFiles
, installShellCompletions ? stdenv.hostPlatform == stdenv.buildPlatform
, installManPages ? stdenv.hostPlatform == stdenv.buildPlatform
@@ -16,22 +19,23 @@ rustPlatform.buildRustPackage rec {
inherit buildNoDefaultFeatures buildFeatures;
pname = "himalaya";
- version = "1.0.0-beta.2";
+ version = "1.0.0-beta.3";
src = fetchFromGitHub {
owner = "soywod";
repo = pname;
rev = "v${version}";
- hash = "sha256-dLj/bEPz3SD1v54yXbtVdUJKQsyw0OJxmQh10ql+3iI=";
+ hash = "sha256-B7eswDq4tKyg881i3pLd6h+HsObK0c2dQnYuvPAGJHk=";
};
- cargoSha256 = "0IYpuKq5amAcYtsDMzJGghbxkuldAulsgUmChTl2DIg=";
+ cargoSha256 = "jOzuCXsrtXp8dmJTBqrEq4nog6smEPbdsFAy+ruPtY8=";
nativeBuildInputs = [ ]
++ lib.optional (builtins.elem "pgp-gpg" buildFeatures) pkg-config
++ lib.optional (installManPages || installShellCompletions) installShellFiles;
buildInputs = [ ]
+ ++ lib.optionals stdenv.isDarwin [ AppKit Cocoa Security ]
++ lib.optional (builtins.elem "notmuch" buildFeatures) notmuch
++ lib.optional (builtins.elem "pgp-gpg" buildFeatures) gpgme;
@@ -48,6 +52,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "CLI to manage emails";
+ mainProgram = "himalaya";
homepage = "https://pimalaya.org/himalaya/cli/latest/";
changelog = "https://github.com/soywod/himalaya/blob/v${version}/CHANGELOG.md";
license = licenses.mit;
diff --git a/pkgs/applications/networking/mailreaders/imapfilter.nix b/pkgs/applications/networking/mailreaders/imapfilter.nix
index 30c161783944..3277dee46a9d 100644
--- a/pkgs/applications/networking/mailreaders/imapfilter.nix
+++ b/pkgs/applications/networking/mailreaders/imapfilter.nix
@@ -20,6 +20,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
homepage = "https://github.com/lefcha/imapfilter";
description = "Mail filtering utility";
+ mainProgram = "imapfilter";
license = lib.licenses.mit;
platforms = lib.platforms.unix;
maintainers = with lib.maintainers; [ doronbehar ];
diff --git a/pkgs/applications/networking/mailreaders/lumail/default.nix b/pkgs/applications/networking/mailreaders/lumail/default.nix
index 878b778c5a50..e35d37dfe224 100644
--- a/pkgs/applications/networking/mailreaders/lumail/default.nix
+++ b/pkgs/applications/networking/mailreaders/lumail/default.nix
@@ -74,6 +74,7 @@ stdenv.mkDerivation {
meta = with lib; {
description = "Console-based email client";
+ mainProgram = "lumail2";
homepage = "https://lumail.org/";
license = licenses.gpl2;
platforms = platforms.linux;
diff --git a/pkgs/applications/networking/mailreaders/mailcheck/default.nix b/pkgs/applications/networking/mailreaders/mailcheck/default.nix
index 43590c9d3361..b28b874adbc6 100644
--- a/pkgs/applications/networking/mailreaders/mailcheck/default.nix
+++ b/pkgs/applications/networking/mailreaders/mailcheck/default.nix
@@ -13,6 +13,7 @@ stdenv.mkDerivation rec {
meta = {
description = "Simple command line tool to check for new messages";
+ mainProgram = "mailcheck";
homepage = "https://mailcheck.sourceforge.net/";
license = lib.licenses.gpl2;
maintainers = with lib.maintainers; [ kovirobi ];
diff --git a/pkgs/applications/networking/mailreaders/mailspring/darwin.nix b/pkgs/applications/networking/mailreaders/mailspring/darwin.nix
new file mode 100644
index 000000000000..cccc46a4f5eb
--- /dev/null
+++ b/pkgs/applications/networking/mailreaders/mailspring/darwin.nix
@@ -0,0 +1,34 @@
+{ lib
+, stdenv
+, fetchurl
+, pname
+, version
+, meta
+, unzip
+, makeWrapper
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ inherit pname version meta;
+
+ src = fetchurl {
+ url = "https://github.com/Foundry376/Mailspring/releases/download/${finalAttrs.version}/Mailspring-AppleSilicon.zip";
+ hash = "sha256-LYv3643oj58WR+4IE4XmJmCgD9S2AXNbPwW5W0QCuGI=";
+ };
+ dontUnpack = true;
+
+ nativeBuildInputs = [
+ unzip
+ makeWrapper
+ ];
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p $out/Applications $out/bin
+ unzip $src -d $out/Applications
+ makeWrapper $out/Applications/Mailspring.app/Contents/MacOS/Mailspring $out/bin/mailspring
+
+ runHook postInstall
+ '';
+})
diff --git a/pkgs/applications/networking/mailreaders/mailspring/default.nix b/pkgs/applications/networking/mailreaders/mailspring/default.nix
index a211650d3d9a..ba8436717a40 100644
--- a/pkgs/applications/networking/mailreaders/mailspring/default.nix
+++ b/pkgs/applications/networking/mailreaders/mailspring/default.nix
@@ -1,105 +1,26 @@
-{ stdenv
-, lib
-, fetchurl
-, autoPatchelfHook
-, alsa-lib
-, coreutils
-, db
-, dpkg
-, glib
-, gtk3
-, wrapGAppsHook
-, libkrb5
-, libsecret
-, nss
-, openssl
-, udev
-, xorg
-, mesa
-, libdrm
-, libappindicator
+{ lib
+, stdenv
+, callPackage
}:
-
-stdenv.mkDerivation (finalAttrs: {
+let
pname = "mailspring";
version = "1.13.3";
- src = fetchurl {
- url = "https://github.com/Foundry376/Mailspring/releases/download/${finalAttrs.version}/mailspring-${finalAttrs.version}-amd64.deb";
- hash = "sha256-2F5k8zRRI6x1EQ0k8wvIq1Q3Lnrn2ROp/Mq+H7Vqzlc=";
- };
-
- nativeBuildInputs = [
- autoPatchelfHook
- dpkg
- wrapGAppsHook
- ];
-
- buildInputs = [
- alsa-lib
- db
- glib
- gtk3
- libkrb5
- libsecret
- nss
- xorg.libxkbfile
- xorg.libXdamage
- xorg.libXScrnSaver
- xorg.libXtst
- xorg.libxshmfence
- mesa
- libdrm
- ];
-
- runtimeDependencies = [
- coreutils
- openssl
- (lib.getLib udev)
- libappindicator
- libsecret
- ];
-
- unpackPhase = ''
- runHook preUnpack
-
- dpkg -x $src .
-
- runHook postUnpack
- '';
-
- installPhase = ''
- runHook preInstall
-
- mkdir -p $out/{bin,lib}
- cp -ar ./usr/share $out
-
- substituteInPlace $out/share/mailspring/resources/app.asar.unpacked/mailsync \
- --replace dirname ${coreutils}/bin/dirname
-
- ln -s $out/share/mailspring/mailspring $out/bin/mailspring
- ln -s ${lib.getLib openssl}/lib/libcrypto.so $out/lib/libcrypto.so.1.0.0
-
- runHook postInstall
- '';
-
- postFixup = /* sh */ ''
- substituteInPlace $out/share/applications/Mailspring.desktop \
- --replace Exec=mailspring Exec=$out/bin/mailspring
- '';
-
- meta = {
+ meta = with lib; {
description = "A beautiful, fast and maintained fork of Nylas Mail by one of the original authors";
downloadPage = "https://github.com/Foundry376/Mailspring";
homepage = "https://getmailspring.com";
- license = lib.licenses.gpl3Plus;
+ license = licenses.gpl3Plus;
longDescription = ''
Mailspring is an open-source mail client forked from Nylas Mail and built with Electron.
Mailspring's sync engine runs locally, but its source is not open.
'';
mainProgram = "mailspring";
- maintainers = with lib.maintainers; [ toschmidt ];
- platforms = [ "x86_64-linux" ];
- sourceProvenance = with lib.sourceTypes; [ binaryNativeCode ];
+ maintainers = with maintainers; [ toschmidt ];
+ platforms = [ "x86_64-linux" "aarch64-darwin" ];
+ sourceProvenance = with sourceTypes; [ binaryNativeCode ];
};
-})
+
+ linux = callPackage ./linux.nix { inherit pname version meta; };
+ darwin = callPackage ./darwin.nix { inherit pname version meta; };
+in if stdenv.hostPlatform.isDarwin then darwin else linux
diff --git a/pkgs/applications/networking/mailreaders/mailspring/linux.nix b/pkgs/applications/networking/mailreaders/mailspring/linux.nix
new file mode 100644
index 000000000000..dff8796f6ce2
--- /dev/null
+++ b/pkgs/applications/networking/mailreaders/mailspring/linux.nix
@@ -0,0 +1,92 @@
+{ stdenv
+, lib
+, pname
+, version
+, meta
+, fetchurl
+, autoPatchelfHook
+, alsa-lib
+, coreutils
+, db
+, dpkg
+, glib
+, gtk3
+, wrapGAppsHook
+, libkrb5
+, libsecret
+, nss
+, openssl
+, udev
+, xorg
+, mesa
+, libdrm
+, libappindicator
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ inherit pname version meta;
+
+ src = fetchurl {
+ url = "https://github.com/Foundry376/Mailspring/releases/download/${finalAttrs.version}/mailspring-${finalAttrs.version}-amd64.deb";
+ hash = "sha256-2F5k8zRRI6x1EQ0k8wvIq1Q3Lnrn2ROp/Mq+H7Vqzlc=";
+ };
+
+ nativeBuildInputs = [
+ autoPatchelfHook
+ dpkg
+ wrapGAppsHook
+ ];
+
+ buildInputs = [
+ alsa-lib
+ db
+ glib
+ gtk3
+ libkrb5
+ libsecret
+ nss
+ xorg.libxkbfile
+ xorg.libXdamage
+ xorg.libXScrnSaver
+ xorg.libXtst
+ xorg.libxshmfence
+ mesa
+ libdrm
+ ];
+
+ runtimeDependencies = [
+ coreutils
+ openssl
+ (lib.getLib udev)
+ libappindicator
+ libsecret
+ ];
+
+ unpackPhase = ''
+ runHook preUnpack
+
+ dpkg -x $src .
+
+ runHook postUnpack
+ '';
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p $out/{bin,lib}
+ cp -ar ./usr/share $out
+
+ substituteInPlace $out/share/mailspring/resources/app.asar.unpacked/mailsync \
+ --replace-fail dirname ${coreutils}/bin/dirname
+
+ ln -s $out/share/mailspring/mailspring $out/bin/mailspring
+ ln -s ${lib.getLib openssl}/lib/libcrypto.so $out/lib/libcrypto.so.1.0.0
+
+ runHook postInstall
+ '';
+
+ postFixup = /* sh */ ''
+ substituteInPlace $out/share/applications/Mailspring.desktop \
+ --replace-fail Exec=mailspring Exec=$out/bin/mailspring
+ '';
+})
diff --git a/pkgs/applications/networking/mailreaders/meli/default.nix b/pkgs/applications/networking/mailreaders/meli/default.nix
index 7de15eade835..3dc373a09024 100644
--- a/pkgs/applications/networking/mailreaders/meli/default.nix
+++ b/pkgs/applications/networking/mailreaders/meli/default.nix
@@ -74,6 +74,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
broken = (stdenv.isLinux && stdenv.isAarch64);
description = "Terminal e-mail client and e-mail client library";
+ mainProgram = "meli";
homepage = "https://meli.delivery";
license = licenses.gpl3;
maintainers = with maintainers; [ _0x4A6F matthiasbeyer ];
diff --git a/pkgs/applications/networking/mailreaders/mlarchive2maildir/default.nix b/pkgs/applications/networking/mailreaders/mlarchive2maildir/default.nix
index 7d3be7c62baf..d381dd0b0f27 100644
--- a/pkgs/applications/networking/mailreaders/mlarchive2maildir/default.nix
+++ b/pkgs/applications/networking/mailreaders/mlarchive2maildir/default.nix
@@ -22,6 +22,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
homepage = "https://github.com/flokli/mlarchive2maildir";
description = "Imports mail from (pipermail) archives into a maildir";
+ mainProgram = "mlarchive2maildir";
license = licenses.mit;
maintainers = with maintainers; [ flokli ];
};
diff --git a/pkgs/applications/networking/mailreaders/msgviewer/default.nix b/pkgs/applications/networking/mailreaders/msgviewer/default.nix
index fd980893124f..14d2556616c7 100644
--- a/pkgs/applications/networking/mailreaders/msgviewer/default.nix
+++ b/pkgs/applications/networking/mailreaders/msgviewer/default.nix
@@ -27,6 +27,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Viewer for .msg files (MS Outlook)";
+ mainProgram = "msgviewer";
homepage = "https://www.washington.edu/alpine/";
sourceProvenance = with sourceTypes; [ binaryBytecode ];
license = licenses.asl20;
diff --git a/pkgs/applications/networking/mailreaders/mutt/default.nix b/pkgs/applications/networking/mailreaders/mutt/default.nix
index 6674e957cb5b..7da31db64e08 100644
--- a/pkgs/applications/networking/mailreaders/mutt/default.nix
+++ b/pkgs/applications/networking/mailreaders/mutt/default.nix
@@ -23,12 +23,12 @@ assert gpgmeSupport -> sslSupport;
stdenv.mkDerivation rec {
pname = "mutt";
- version = "2.2.12";
+ version = "2.2.13";
outputs = [ "out" "doc" "info" ];
src = fetchurl {
url = "http://ftp.mutt.org/pub/mutt/${pname}-${version}.tar.gz";
- hash = "sha256-BDrzEvZLjlb3/Qv3f4SiBdTEmAML2VhkV2ZcR7sYzjg=";
+ hash = "sha256-6yP63cHMl9hnaT86Sp8wlJrZN2WtW2/a4nl6QAHFjvs=";
};
patches = [
diff --git a/pkgs/applications/networking/mailreaders/neomutt/default.nix b/pkgs/applications/networking/mailreaders/neomutt/default.nix
index 6616a87ba6ef..7bad118dc38b 100644
--- a/pkgs/applications/networking/mailreaders/neomutt/default.nix
+++ b/pkgs/applications/networking/mailreaders/neomutt/default.nix
@@ -105,6 +105,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A small but very powerful text-based mail client";
+ mainProgram = "neomutt";
homepage = "http://www.neomutt.org";
license = licenses.gpl2Plus;
maintainers = with maintainers; [ erikryb vrthra ma27 raitobezarius ];
diff --git a/pkgs/applications/networking/mailreaders/notmuch-bower/default.nix b/pkgs/applications/networking/mailreaders/notmuch-bower/default.nix
index 79b553a5dc92..125ea3958408 100644
--- a/pkgs/applications/networking/mailreaders/notmuch-bower/default.nix
+++ b/pkgs/applications/networking/mailreaders/notmuch-bower/default.nix
@@ -35,6 +35,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/wangp/bower";
description = "A curses terminal client for the Notmuch email system";
+ mainProgram = "bower";
maintainers = with maintainers; [ jgart ];
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/networking/mailreaders/notmuch/default.nix b/pkgs/applications/networking/mailreaders/notmuch/default.nix
index b2970b02f7db..ba2f75669847 100644
--- a/pkgs/applications/networking/mailreaders/notmuch/default.nix
+++ b/pkgs/applications/networking/mailreaders/notmuch/default.nix
@@ -16,11 +16,11 @@
stdenv.mkDerivation rec {
pname = "notmuch";
- version = "0.38.2";
+ version = "0.38.3";
src = fetchurl {
url = "https://notmuchmail.org/releases/notmuch-${version}.tar.xz";
- hash = "sha256-UoLr5HQrA+4A/Dq4NZaflNIpJ523IyESvcUAnYYelH4=";
+ hash = "sha256-mvRsyA2li0MByiuu/MJaQNES0DFVB+YywPPw8IMo0FQ=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/networking/mailreaders/notmuch/muchsync.nix b/pkgs/applications/networking/mailreaders/notmuch/muchsync.nix
index c1db11908012..3c933eaa4b8a 100644
--- a/pkgs/applications/networking/mailreaders/notmuch/muchsync.nix
+++ b/pkgs/applications/networking/mailreaders/notmuch/muchsync.nix
@@ -16,6 +16,7 @@ stdenv.mkDerivation rec {
XAPIAN_CONFIG = "${xapian}/bin/xapian-config";
meta = {
description = "Synchronize maildirs and notmuch databases";
+ mainProgram = "muchsync";
homepage = "http://www.muchsync.org/";
platforms = lib.platforms.unix;
maintainers = with lib.maintainers; [];
diff --git a/pkgs/applications/networking/mailreaders/notmuch/mutt.nix b/pkgs/applications/networking/mailreaders/notmuch/mutt.nix
index 4cd9706bdb97..92d82f057fbd 100644
--- a/pkgs/applications/networking/mailreaders/notmuch/mutt.nix
+++ b/pkgs/applications/networking/mailreaders/notmuch/mutt.nix
@@ -38,6 +38,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Mutt support for notmuch";
+ mainProgram = "notmuch-mutt";
homepage = "https://notmuchmail.org/";
license = with licenses; gpl3;
maintainers = with maintainers; [ peterhoeg ];
diff --git a/pkgs/applications/networking/mailreaders/notmuch/notmuch-mailmover.nix b/pkgs/applications/networking/mailreaders/notmuch/notmuch-mailmover.nix
index ea59ad817249..2467e79255b5 100644
--- a/pkgs/applications/networking/mailreaders/notmuch/notmuch-mailmover.nix
+++ b/pkgs/applications/networking/mailreaders/notmuch/notmuch-mailmover.nix
@@ -32,6 +32,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "Application to assign notmuch tagged mails to IMAP folders";
+ mainProgram = "notmuch-mailmover";
homepage = "https://github.com/michaeladler/notmuch-mailmover/";
license = licenses.asl20;
maintainers = with maintainers; [ michaeladler archer-65 ];
diff --git a/pkgs/applications/networking/mailreaders/sylpheed/default.nix b/pkgs/applications/networking/mailreaders/sylpheed/default.nix
index 90b7dbd28d4c..d45c7f6dd575 100644
--- a/pkgs/applications/networking/mailreaders/sylpheed/default.nix
+++ b/pkgs/applications/networking/mailreaders/sylpheed/default.nix
@@ -44,6 +44,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://sylpheed.sraoss.jp/en/";
description = "Lightweight and user-friendly e-mail client";
+ mainProgram = "sylpheed";
maintainers = with maintainers; [ eelco ];
platforms = platforms.linux ++ platforms.darwin;
license = licenses.gpl2;
diff --git a/pkgs/applications/networking/mailreaders/thunderbird-bin/release_sources.nix b/pkgs/applications/networking/mailreaders/thunderbird-bin/release_sources.nix
index ebef5257a99d..e4809db566e8 100644
--- a/pkgs/applications/networking/mailreaders/thunderbird-bin/release_sources.nix
+++ b/pkgs/applications/networking/mailreaders/thunderbird-bin/release_sources.nix
@@ -1,665 +1,665 @@
{
- version = "115.6.0";
+ version = "115.7.0";
sources = [
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/af/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/af/thunderbird-115.7.0.tar.bz2";
locale = "af";
arch = "linux-x86_64";
- sha256 = "da001289185e3eb531e4eb10b43aec013e969ce4954024b5796f18ffd0f8a9fb";
+ sha256 = "43041825f2fe7a42b7e8be09714cfe5506660a90ab09307a21810ec9debde878";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/ar/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/ar/thunderbird-115.7.0.tar.bz2";
locale = "ar";
arch = "linux-x86_64";
- sha256 = "bcb77b4bb7393f77e2210028425083b6b7aa65a59e412f4df2a33d2c2d0e9992";
+ sha256 = "f307adc4e42c4e28672874882f2f72ac15bc9049474c5ebed701e0f83b81afac";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/ast/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/ast/thunderbird-115.7.0.tar.bz2";
locale = "ast";
arch = "linux-x86_64";
- sha256 = "0acc512da93eb40baa100e49c7b49a6a8075c710f1293eaf3cb0ad418cf9258f";
+ sha256 = "fe9d8a9d397f8476d0c59ad4a91faa8eb4b38a9cf03b324c95a5fc806ebd4c48";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/be/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/be/thunderbird-115.7.0.tar.bz2";
locale = "be";
arch = "linux-x86_64";
- sha256 = "b2ab2849364cafb7cdad18615196b15c81cf348c2ec8b89adb58976ad1a9c0ef";
+ sha256 = "b194ae99c1d495452673120ce3655620bf067dc1b82962f809c923adf26ff36c";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/bg/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/bg/thunderbird-115.7.0.tar.bz2";
locale = "bg";
arch = "linux-x86_64";
- sha256 = "79d61375c3cb3ffb2fa1681b4713ca735debc63eee4bac4891a355089f1791e9";
+ sha256 = "c92da8ab1290f5329a12fa7012a0d1b0326bfa43b536b01f686dc97f751b3723";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/br/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/br/thunderbird-115.7.0.tar.bz2";
locale = "br";
arch = "linux-x86_64";
- sha256 = "4fcbef93f3222f1fcc822d1c8b915741ea9a117dc9113ae9cd80a7d513a47a22";
+ sha256 = "8ff05ea4ebbd715cdce40c5efff1ce81694831bbe0b41d0095155e4c867adc9b";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/ca/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/ca/thunderbird-115.7.0.tar.bz2";
locale = "ca";
arch = "linux-x86_64";
- sha256 = "52741c1815a031eae8bf44fbe7b2ab4ed102f20e74d3c5fbaf59b13ea86b71b9";
+ sha256 = "9b210ff3c48526bb4fe0d436d6afbe16c2d0d629670ce5ffb0bef066621f4a1e";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/cak/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/cak/thunderbird-115.7.0.tar.bz2";
locale = "cak";
arch = "linux-x86_64";
- sha256 = "a835a155e52909edfc3457e51eea3528eb87e0c965aee37e118993181141b173";
+ sha256 = "9e3c55b3c49219f77b3c081875180a4b47d962912066a1c4450db5753228922f";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/cs/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/cs/thunderbird-115.7.0.tar.bz2";
locale = "cs";
arch = "linux-x86_64";
- sha256 = "859151674b39809b1b2da6e541434b618e738f60de19a4b9fcd94dae5b332a4a";
+ sha256 = "d1bbe0569cb2f3a7562b17d150bf2dfca48805a634e57567c7e11bb750c49208";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/cy/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/cy/thunderbird-115.7.0.tar.bz2";
locale = "cy";
arch = "linux-x86_64";
- sha256 = "cb08c0ac49c3cfe58e14bd9fa96c3310e0e6e4fbd715398a4607ad97cc417479";
+ sha256 = "b3e86253d181567ea88065a73093e59dbc6462c47d0ce103295588f801655785";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/da/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/da/thunderbird-115.7.0.tar.bz2";
locale = "da";
arch = "linux-x86_64";
- sha256 = "c27fc8ea397bcb7115ca07ef69feeb12a92eb6406f2a74ed6ada6cae6e2d8156";
+ sha256 = "9a16ab856922682f56034f155b5363a745ad48e18a01cdc4590bdb486a359575";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/de/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/de/thunderbird-115.7.0.tar.bz2";
locale = "de";
arch = "linux-x86_64";
- sha256 = "34af72c8f962588a08d41169c3abb94b1562860f4ecf9848ab0e89e07529d8a0";
+ sha256 = "d38742d49daa3a6d3c0a613647be48feb3a7ea51a7905fc7c81438c3fdf8b7b7";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/dsb/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/dsb/thunderbird-115.7.0.tar.bz2";
locale = "dsb";
arch = "linux-x86_64";
- sha256 = "429e7f4440cb65ca4996617b9a07264d73ee1c8e20a94a1966fbb68070ef7e41";
+ sha256 = "59e86ae65167858aafb1e9a364c6e926b26bcb48b7fc4bbe8d054db6624f2a4f";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/el/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/el/thunderbird-115.7.0.tar.bz2";
locale = "el";
arch = "linux-x86_64";
- sha256 = "9bf897dc0f73664506b1ce7bc1306cadf2ce3fb2ca73b893f0784967a4ee45ca";
+ sha256 = "176fbbee70d2aca0e1d6183541e299c26fcff4d875093a5191a071c5cafa39bf";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/en-CA/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/en-CA/thunderbird-115.7.0.tar.bz2";
locale = "en-CA";
arch = "linux-x86_64";
- sha256 = "ff48e5422448f1af914e5b8e95f59f159ca734f26ab702483fa07ee02221e218";
+ sha256 = "367c79a033ee595af8fb8e50600ac5fcb9d8c364563f8b3cc167d5b1a8fa3a77";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/en-GB/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/en-GB/thunderbird-115.7.0.tar.bz2";
locale = "en-GB";
arch = "linux-x86_64";
- sha256 = "3e11fbe6c4a951dbfc2d9b784adb4b1357360ff9fc88466b1b3d7bd8def230e4";
+ sha256 = "a53c8bae8328a422e23a3ac3346ef82fb9c211ffb8ce1e41f96e6c9426a79e31";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/en-US/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/en-US/thunderbird-115.7.0.tar.bz2";
locale = "en-US";
arch = "linux-x86_64";
- sha256 = "cc0c4e1739b80d1b44e73b8c197cb1d3e00068f857c6de862cef546b39078f9a";
+ sha256 = "2bc751e6fe6e8b63d53edb1a0596235cc06ad89d1bdd0e2de16d5d5948c3c95a";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/es-AR/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/es-AR/thunderbird-115.7.0.tar.bz2";
locale = "es-AR";
arch = "linux-x86_64";
- sha256 = "423d90a4055d6a803924be4736f01aa979ab8536fc0a9cd00ffd79c8e748e572";
+ sha256 = "c7ed62c544f0ca1c405cdf6fc69c5833b0d94896301c332b36c5901f1236af94";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/es-ES/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/es-ES/thunderbird-115.7.0.tar.bz2";
locale = "es-ES";
arch = "linux-x86_64";
- sha256 = "475ad1b68213ce8a2ad98cd41e2c790afee5f5130ff2f7fcc0a5703bc61da22b";
+ sha256 = "8d81ab2fa14116873fbad2e99e2508842abef4730b91d690f51d71737f2e3bc0";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/es-MX/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/es-MX/thunderbird-115.7.0.tar.bz2";
locale = "es-MX";
arch = "linux-x86_64";
- sha256 = "96ed320d46cafdcbbbceb1c49668b1c056609eace810e0a617f9bfa994ddd76a";
+ sha256 = "5eda939b29972016c6696e5e7fa43a2b5c4183ea5bd0bd1f4f2dd99119cb5c54";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/et/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/et/thunderbird-115.7.0.tar.bz2";
locale = "et";
arch = "linux-x86_64";
- sha256 = "c020d639caf49e623a0d45cab53b0133cac623ca27618897ae5ec36b8a34592d";
+ sha256 = "c58f75ddd48e14ab407f73aff0377e6212b6464494e5f31db69d4d55caee2c83";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/eu/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/eu/thunderbird-115.7.0.tar.bz2";
locale = "eu";
arch = "linux-x86_64";
- sha256 = "86252f65293288719ed4567acd92e6dc9f2a8fac0e6b88e98be8d7d669f810cd";
+ sha256 = "22a4b27fa459545359a2d5c27d145d24906188cb7a0284105e1ad11b0f73dc00";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/fi/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/fi/thunderbird-115.7.0.tar.bz2";
locale = "fi";
arch = "linux-x86_64";
- sha256 = "e09139970bf66503174f39a4939bfbaa85b8da898163a131a9d960d6a17a8de5";
+ sha256 = "d72131bda9fadad25a2cbb4d7b6b61b8f1dabe217b313f13f779a6d5f9bb8b71";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/fr/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/fr/thunderbird-115.7.0.tar.bz2";
locale = "fr";
arch = "linux-x86_64";
- sha256 = "f4481a21721f84d2efa4a899e2ea391804b38626fc8f5ff452b294cf9bc4faea";
+ sha256 = "9d18a047151a4238fdd289b7a5bd53ff9ff0a93c630f951fc4e7003e755c0d42";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/fy-NL/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/fy-NL/thunderbird-115.7.0.tar.bz2";
locale = "fy-NL";
arch = "linux-x86_64";
- sha256 = "a4efd5e4cd5a15602b6782f2e85c9f141d00f6594df5d08cddbef23edfb97d29";
+ sha256 = "fb80cd419de4629e89dcbaba9c985896136a333ad058cf191f7f44ba0c83006a";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/ga-IE/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/ga-IE/thunderbird-115.7.0.tar.bz2";
locale = "ga-IE";
arch = "linux-x86_64";
- sha256 = "9874315770d2ba7ffb9cff453d3f3e2678ddc80ec7a1852764f618dfadfedc91";
+ sha256 = "5a7f3564eaa756bcb62d007942d24e9608d0e0141622a8c8377a5c7b445f8d73";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/gd/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/gd/thunderbird-115.7.0.tar.bz2";
locale = "gd";
arch = "linux-x86_64";
- sha256 = "6a4f13edb5e31b39c673c662a2b83c4e14fdaca787c2941dad4dd2789e502fe3";
+ sha256 = "69edffe9345a6af183eb4a74dcf9c95a4befc2b16a9742fa3fde18f8b7b9c87f";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/gl/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/gl/thunderbird-115.7.0.tar.bz2";
locale = "gl";
arch = "linux-x86_64";
- sha256 = "46cde24d08a2682d1bfcc7854aa837f51f71c41e35e21f0cb020f89edf516909";
+ sha256 = "421043a75717e547138a2005972245797c96cf88fdaabef364ca4d9b0bf5a1e2";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/he/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/he/thunderbird-115.7.0.tar.bz2";
locale = "he";
arch = "linux-x86_64";
- sha256 = "44ede6bbdb3fc9b4a69a027411556e32eed1f6cc566b1485eb1c5f7ce6ba7016";
+ sha256 = "2acc9bd99978163980f7e158ae2a313179fbda07835c18089963ceba35bb4781";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/hr/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/hr/thunderbird-115.7.0.tar.bz2";
locale = "hr";
arch = "linux-x86_64";
- sha256 = "09d43fdfc6d48103679fea209b352d5e8bc6482576cb6dd0d847511f9a13df81";
+ sha256 = "74754c9cc085c82dd9d37f65879ba9b88ca6af52057d0d30c98a84195b86c505";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/hsb/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/hsb/thunderbird-115.7.0.tar.bz2";
locale = "hsb";
arch = "linux-x86_64";
- sha256 = "ad302adb04144d99ac41664782fe75d585f237f4d49448c343938d5f4f123a5b";
+ sha256 = "b7ae135e68fd21af6a4d6970ab5122d5c7ce2de0688e427f6480cab203d27585";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/hu/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/hu/thunderbird-115.7.0.tar.bz2";
locale = "hu";
arch = "linux-x86_64";
- sha256 = "e0ca4d0509c0d955e29e95e1eed698afcb957ef286a371e36869aef6f29509d4";
+ sha256 = "2d761be46744974c1a1b929a89d73b27294e2a482904b1add7a9556152c15786";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/hy-AM/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/hy-AM/thunderbird-115.7.0.tar.bz2";
locale = "hy-AM";
arch = "linux-x86_64";
- sha256 = "58de958a95f25abcc3741c12c4b685cb2a09988f562a7d19612e961bcac68e02";
+ sha256 = "3b0d3f0d40b917624c31d35095cd485d053f12b8312e4053fe47802e82023005";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/id/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/id/thunderbird-115.7.0.tar.bz2";
locale = "id";
arch = "linux-x86_64";
- sha256 = "420c848b532601e1c792205c6b7cc23df64daee47537ec420aa57e69df9a0490";
+ sha256 = "7a4569879f566393054e16b010bae3d2f2d5b828695a5dfe6a6774bc537977ad";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/is/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/is/thunderbird-115.7.0.tar.bz2";
locale = "is";
arch = "linux-x86_64";
- sha256 = "68a567d9fe636c04d762bde1430cb9c1dd60924a3b24c5e1c5ac9b36d7ed66ad";
+ sha256 = "9247aec277ecf41e5cdd77ab8d736761fdd7bb949b8ee1c0fdcfccd74dc8773c";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/it/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/it/thunderbird-115.7.0.tar.bz2";
locale = "it";
arch = "linux-x86_64";
- sha256 = "8a1270d03d0263e6be0a4118f5e8d5e18f5f458a0050926a0f7f576856b79ea1";
+ sha256 = "d1652cfca8c5f080a307c34007c9c78d838cb1acfdc0fc9cd72f36453b018629";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/ja/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/ja/thunderbird-115.7.0.tar.bz2";
locale = "ja";
arch = "linux-x86_64";
- sha256 = "34deb785f2b1f122c067363780faf0734de79e0ec408e4ced086817f82085b30";
+ sha256 = "68064850c1e25bc73f22a3ae0fe282f57c8a9f80f40c1e92ef509ba765c65730";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/ka/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/ka/thunderbird-115.7.0.tar.bz2";
locale = "ka";
arch = "linux-x86_64";
- sha256 = "9ee1b702a34ac9e5dd2f1b5d1a2f49e4a70413b2f0f964fba9b9a778391a85fa";
+ sha256 = "2ea682113f30fadb5e566aba99bfd9d46af001fe96fd0d4621bebbd7d67b963f";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/kab/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/kab/thunderbird-115.7.0.tar.bz2";
locale = "kab";
arch = "linux-x86_64";
- sha256 = "22eb4d3ca7c0a4864cfc85629b5213b273bc71b99d5b1f81f93fd1751d6e6968";
+ sha256 = "033ff18a47270f17cc20e57cca82fecf0568fda0b27389010c3a2f205ccaf016";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/kk/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/kk/thunderbird-115.7.0.tar.bz2";
locale = "kk";
arch = "linux-x86_64";
- sha256 = "01d58f41fcf51e2af5a36ae14afcbca06245f7d9397469a8955a587a07e60e90";
+ sha256 = "70c28b0ceec23b1d45810a18c12b4f45f6f8678cd2b1a38909d78862449284f3";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/ko/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/ko/thunderbird-115.7.0.tar.bz2";
locale = "ko";
arch = "linux-x86_64";
- sha256 = "3483d1f236ae0c01e82905e3cbb2cbaaea4309d3f2d20a6314193c29e69a8f11";
+ sha256 = "a5a3117e73878f651526028df9d34c559d8216b44efcf0ca3bd915e987f091d5";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/lt/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/lt/thunderbird-115.7.0.tar.bz2";
locale = "lt";
arch = "linux-x86_64";
- sha256 = "7a4ad439ed1a7569711e2f1e4a0a707b40901df2422d5284b6a7f95468f7fa2a";
+ sha256 = "7db1090e0c0927cc4f08ec521b61ad963bef88a78ddc82a02470be4eecf1843d";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/lv/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/lv/thunderbird-115.7.0.tar.bz2";
locale = "lv";
arch = "linux-x86_64";
- sha256 = "8292b0ae96ac9ec242499502b4aa6dbe116900574d3fdd9389e2e4021db8eed0";
+ sha256 = "e03d64a0b3f577f7711d67e596bb5d5d6cb068cbfbecdc7c54777d36c045e831";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/ms/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/ms/thunderbird-115.7.0.tar.bz2";
locale = "ms";
arch = "linux-x86_64";
- sha256 = "279906b0465c22131768a29ad016cc2bb8685bbc97efd9e11c9014ceb609d90e";
+ sha256 = "abc09d8b1121a4d6ef749df9fef98c0a265b21b2dc2a049f4567b0d97febffd5";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/nb-NO/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/nb-NO/thunderbird-115.7.0.tar.bz2";
locale = "nb-NO";
arch = "linux-x86_64";
- sha256 = "54e648299959a5749fec47fd469fe90e6f8ba5a1cf9dfce540440ffae7e95a10";
+ sha256 = "5211b558c184d656ab0ca9eeba50537183b97c83ac73e62107e24aed2aafec8a";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/nl/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/nl/thunderbird-115.7.0.tar.bz2";
locale = "nl";
arch = "linux-x86_64";
- sha256 = "e8737763c2bb1ff8775dc110a8c462bf6ab406cc59d2730b56449ea05a663fca";
+ sha256 = "3f784ee5b0520455ad2aad31c51049cad0050fa6cb0e828522a7bb7d73addbf0";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/nn-NO/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/nn-NO/thunderbird-115.7.0.tar.bz2";
locale = "nn-NO";
arch = "linux-x86_64";
- sha256 = "aa635abbca9691b05f4e9d803019c5d0b7389906a35f95ecfd8c24cdf213ecd7";
+ sha256 = "d27a47d442e550b060e39e1a3e91a0b1e8e11ead0f45e5c6f8395e020f4b2901";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/pa-IN/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/pa-IN/thunderbird-115.7.0.tar.bz2";
locale = "pa-IN";
arch = "linux-x86_64";
- sha256 = "3bc693ca9187daaf951403529db420d6e671c086b4a7e78916d4d147e659a076";
+ sha256 = "622e2923c1c83e510101c2ee75119d7b082f57b8baa4a221c40763a7a112540a";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/pl/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/pl/thunderbird-115.7.0.tar.bz2";
locale = "pl";
arch = "linux-x86_64";
- sha256 = "d60fbbc04b8518f61ca4c985b5e1865663e362918b770f27928de7ba915d25bf";
+ sha256 = "ad244cf40a4b444e9f70d367b97aa41b25380d2b3a74e6ddbee11cd5b96e328f";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/pt-BR/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/pt-BR/thunderbird-115.7.0.tar.bz2";
locale = "pt-BR";
arch = "linux-x86_64";
- sha256 = "7320320ccf2ff08c391bd86a10c4c99d5cb77cad0c6fe415e5c5431a8064a76e";
+ sha256 = "3f425ff63743d4967f388c10e5f633d0422ba35bda16000198f6aeb4c4638e3f";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/pt-PT/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/pt-PT/thunderbird-115.7.0.tar.bz2";
locale = "pt-PT";
arch = "linux-x86_64";
- sha256 = "16422f49a5fb7913366d0e322e30b9bebca5300d3769c5182575b82a7395a02b";
+ sha256 = "af59fbcf575bc7bdf056c2c4959461dff3409fab2fa094679b9a49425f355436";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/rm/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/rm/thunderbird-115.7.0.tar.bz2";
locale = "rm";
arch = "linux-x86_64";
- sha256 = "0a54e9e865b9898fbd33d07ae667fe60812bc064e5b4a88b5f767da91ca28e9f";
+ sha256 = "cfe5722c04ca1ea80f92050149a0603399c4a2bfac4ecce636b6de9fd9efb920";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/ro/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/ro/thunderbird-115.7.0.tar.bz2";
locale = "ro";
arch = "linux-x86_64";
- sha256 = "6d5f82b1eb6fe3d1866fc76b84c88d3ae28034b46654452fc0dabcd9fa2c428b";
+ sha256 = "735c2dc1cf68f4dea40bde5338de3f03daaac48c72d926f1222fcb38ef3a5cc3";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/ru/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/ru/thunderbird-115.7.0.tar.bz2";
locale = "ru";
arch = "linux-x86_64";
- sha256 = "fb776a1f5c868511bf8637685f22e76705344fd9d2067459a8dd1107277c339a";
+ sha256 = "8d7e34d8c0dffede30632faab6c88df4384053b20484c887e00a70767f528a27";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/sk/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/sk/thunderbird-115.7.0.tar.bz2";
locale = "sk";
arch = "linux-x86_64";
- sha256 = "bf33c801e6dcab70044718038dc54d50d9e7b057068de1995ba512465e2801b3";
+ sha256 = "0ad76482313a3ef3263d74031bc5f4c4d09fed95ddfdce16799f6a158db4fb24";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/sl/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/sl/thunderbird-115.7.0.tar.bz2";
locale = "sl";
arch = "linux-x86_64";
- sha256 = "e5afce769cb574d5dd02edfd7ca312548c2fab18cc62e38a10e6775d16637698";
+ sha256 = "7e480b7030e6d50871cbeefcd45be12e3d3afe2035c8e8f34391e87f0632d13b";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/sq/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/sq/thunderbird-115.7.0.tar.bz2";
locale = "sq";
arch = "linux-x86_64";
- sha256 = "ad02884d14abd534489edc8ff4d015e6921ca15016c08541a97f7ea6d5bd6178";
+ sha256 = "70ce1cee01b2c229cd66612316c47da202cf40f7f16724eb3bff81b50b9f64de";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/sr/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/sr/thunderbird-115.7.0.tar.bz2";
locale = "sr";
arch = "linux-x86_64";
- sha256 = "370ff6430560a6714b91ffd8d62b4ada6148ec323464256fa44e19d71028faaf";
+ sha256 = "6a95ccc4d59a83ac4f9fb05a09b300bd2ba7c9ff519a93230b86cda5afe5b2fa";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/sv-SE/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/sv-SE/thunderbird-115.7.0.tar.bz2";
locale = "sv-SE";
arch = "linux-x86_64";
- sha256 = "5e2576e14dd06abe73c00dacdb303d5d0066f87c229794e3bca8a84de53b4bc4";
+ sha256 = "86249dba9674e5f35cc23a69bec3f4c55f9f40259c6c53c24b8d5b9c931b0828";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/th/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/th/thunderbird-115.7.0.tar.bz2";
locale = "th";
arch = "linux-x86_64";
- sha256 = "9485d3cd7f25d020591c24b4c73b00b05bff50ea9b4cc0dc7a3f09458efc2e08";
+ sha256 = "aa4717d3cf5be13d38a8c9662409cdccb3f0e75e4e0b88a6e1609466b222ed3e";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/tr/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/tr/thunderbird-115.7.0.tar.bz2";
locale = "tr";
arch = "linux-x86_64";
- sha256 = "b971537c4eb887aa30ad98cb93914fc899f06c8fb7ae58c20eed6e72dcbb4034";
+ sha256 = "f160d523fdc0263a596748aabf430141ad00845f76c251811ed0e1d5e4ac8804";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/uk/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/uk/thunderbird-115.7.0.tar.bz2";
locale = "uk";
arch = "linux-x86_64";
- sha256 = "bad0b8865e6f2f1c4774ff37527eb88ce61d141a7c50d54015e87c12dcfe7f31";
+ sha256 = "2b28cec1ddbb65812a2c2ed8c239f10ec28c89358587450d0a263c738033f7ad";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/uz/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/uz/thunderbird-115.7.0.tar.bz2";
locale = "uz";
arch = "linux-x86_64";
- sha256 = "c9f13e53d4493370c094309ff1e1901cd87ee7596c65e89282024b1d6d2a07c7";
+ sha256 = "391998b0aa13f56f2527ce25f91a78bd68a5740e752e624355bea1a494582db8";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/vi/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/vi/thunderbird-115.7.0.tar.bz2";
locale = "vi";
arch = "linux-x86_64";
- sha256 = "70c65de801a4cf090ef8870f97a8cf824629474354f8706ba1f0bbb7a6f156ac";
+ sha256 = "cff0aa2ae9e0103a8a53ab31afcc415f351a8adc2034aaadde9d7a3f0ac92f8e";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/zh-CN/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/zh-CN/thunderbird-115.7.0.tar.bz2";
locale = "zh-CN";
arch = "linux-x86_64";
- sha256 = "5351d9fe1a2cca6515e0ffbfd34fe74d260b930558bc168d1b8656f419604332";
+ sha256 = "3c8ea8438c8a98e79636685061efac38ab26707087fe47cb784f4852e74fec6f";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-x86_64/zh-TW/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-x86_64/zh-TW/thunderbird-115.7.0.tar.bz2";
locale = "zh-TW";
arch = "linux-x86_64";
- sha256 = "7949d2eca09e5474a05d8994324d48425c72277f1e96aa950f5c65c2da8fd442";
+ sha256 = "925ab3461b2315db94466464cf91a59ec1a81bb736fb488d2270241d37492149";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/af/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/af/thunderbird-115.7.0.tar.bz2";
locale = "af";
arch = "linux-i686";
- sha256 = "07b291ebf3f7867cb3aa0fe82a19a74e9cf83c86bc4f92c213824660a6f4bf28";
+ sha256 = "4c50b55bec1742e702a888ffb02ff1f30362cc271a5175d3063fcc378d3f6633";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/ar/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/ar/thunderbird-115.7.0.tar.bz2";
locale = "ar";
arch = "linux-i686";
- sha256 = "45d528cc36d44960aad6cd64d6a5d1a75900135751d9a1eb1461f10e8e681c54";
+ sha256 = "4d959f8020872645c2737a4fec6dfb07f542145c90d453cebd933d4742c89a68";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/ast/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/ast/thunderbird-115.7.0.tar.bz2";
locale = "ast";
arch = "linux-i686";
- sha256 = "1c7d2ddbea9f05d2b40acd8a05611ff797085d8e99a6b06b1fee17492df56d73";
+ sha256 = "a9a137e284afefd0ff8620ce67853b5a51736e9af9e32ac18d5568e49539b77c";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/be/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/be/thunderbird-115.7.0.tar.bz2";
locale = "be";
arch = "linux-i686";
- sha256 = "25a0accbce2e1989ec71db07b5e5831e52a686b240ebed156416f5b9ff3b4d9c";
+ sha256 = "b1c99d634c1410d467e10a3b6a2bd3210327dde6c809434cee6000da7e57c4f7";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/bg/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/bg/thunderbird-115.7.0.tar.bz2";
locale = "bg";
arch = "linux-i686";
- sha256 = "a99f0e59db770fdf62d853e506f853e38f65e92d04d6b28a90f8062e4192ee7f";
+ sha256 = "94c027ce4fd411d7f5c6969ce70b83a1b1713e75dd5ef79261c89ea0c5f08472";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/br/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/br/thunderbird-115.7.0.tar.bz2";
locale = "br";
arch = "linux-i686";
- sha256 = "8b1444de4034807486f2614e04b3da6d2cadb96f86d2cd36aa46925dad5eeec0";
+ sha256 = "33c00ef61021816de04389b14fe7ab97f185d35e162824d166d8f2dea4faa957";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/ca/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/ca/thunderbird-115.7.0.tar.bz2";
locale = "ca";
arch = "linux-i686";
- sha256 = "b2dd4d74b9c482c7426078cbe08a3f745ddef0a9fe16a6a5691bb7651be4f577";
+ sha256 = "548e53b0186bb328b45fdb741a3181f1e8ba7ecfdf8ef969aeb24d8af5676020";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/cak/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/cak/thunderbird-115.7.0.tar.bz2";
locale = "cak";
arch = "linux-i686";
- sha256 = "c8bb93aaa0731caf672e41af38b7fe5d34170e50c3e868dd363ef679102a944e";
+ sha256 = "145c24539255010e00a7899f980ba5f6c8cc13c6f3925c9c17bbd1f2b125883a";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/cs/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/cs/thunderbird-115.7.0.tar.bz2";
locale = "cs";
arch = "linux-i686";
- sha256 = "1d579af4752467d7cac2f772d2d7b8cae65cd9371e3a3771e21ec538858528a1";
+ sha256 = "a98f117f5f188f66c120699350778e8ad44c5fd989bfb38055ec1bc8501213c0";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/cy/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/cy/thunderbird-115.7.0.tar.bz2";
locale = "cy";
arch = "linux-i686";
- sha256 = "4e9c8480087c8fd4a40e85035473ae5c5e8d1ff9b26ac6efc31162af25234e80";
+ sha256 = "b6be218c10a41afee4094f2ce4077f7fe49c51c8ba6259a81f6127b897654212";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/da/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/da/thunderbird-115.7.0.tar.bz2";
locale = "da";
arch = "linux-i686";
- sha256 = "53c595e918ad887d3b2aa2048b9874a83a40dd511bcca678ba1ae4a66c401895";
+ sha256 = "1cfaf7590ff7659e0583a7610290014db437b374d148855a049d2a06006d9020";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/de/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/de/thunderbird-115.7.0.tar.bz2";
locale = "de";
arch = "linux-i686";
- sha256 = "1f0c3eca7d299c7c790b87586cfcca0398e124537156a555838b03b77c270eea";
+ sha256 = "0e7319ee0268b364602ee7eee3246b1cda62e573100e7091d5410d9d195bf9f8";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/dsb/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/dsb/thunderbird-115.7.0.tar.bz2";
locale = "dsb";
arch = "linux-i686";
- sha256 = "7f941eda6eced0fadc81155eb2c51102b5e6186fb5855f7b65e7ae2422e635a9";
+ sha256 = "e7b51ab3de11f681740fccc887731cc816f3efa27a3ece879020e2375d4aeb6e";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/el/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/el/thunderbird-115.7.0.tar.bz2";
locale = "el";
arch = "linux-i686";
- sha256 = "29a0af6776493e1e9a4afa170170ff9979817b907b163e934d9f2caa8bfe20bc";
+ sha256 = "659251286ed9463604dbf1bb753789dadbd1e3b59c029c568bf5865384c07eb4";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/en-CA/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/en-CA/thunderbird-115.7.0.tar.bz2";
locale = "en-CA";
arch = "linux-i686";
- sha256 = "a0cb55277af0920026bd4785162c39f10ba5b2d35dcb435965130a922f6cc128";
+ sha256 = "0437ccb4e1d6c5b1fa8f91c3eb32176abc11d757242afab013ded4562b080b04";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/en-GB/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/en-GB/thunderbird-115.7.0.tar.bz2";
locale = "en-GB";
arch = "linux-i686";
- sha256 = "a2e64df8fd7b0f5e3ffbfbd3df46cdfc21fea228f3aaf6a0caee5c684449b39b";
+ sha256 = "08c923762d609967decba41884601a5fa7385986220f222f0061e70eba401ae1";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/en-US/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/en-US/thunderbird-115.7.0.tar.bz2";
locale = "en-US";
arch = "linux-i686";
- sha256 = "d5d79f81b57a35d49f7d6dcc1df6b09db68d258ae603695d8ca332e8ffd6402a";
+ sha256 = "8b5d6e9f13c7686a3945bae5a26f083849d610fbddfb7d9a8467f4402f0186c8";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/es-AR/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/es-AR/thunderbird-115.7.0.tar.bz2";
locale = "es-AR";
arch = "linux-i686";
- sha256 = "2122cececa06db9facb24c13404a47889e2800226b4ecb273c041e185b9a3ade";
+ sha256 = "66c8d5a311caa8dcd7ba497fe8c7dd0eddbe9405f72fe06ed134a8233f544710";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/es-ES/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/es-ES/thunderbird-115.7.0.tar.bz2";
locale = "es-ES";
arch = "linux-i686";
- sha256 = "fcf3ad6437237515917fa413826b0b607eb959422755d5871f572c5a82d05ba7";
+ sha256 = "e00d5af4ba228a9aab68a3a813dd4cc03dbe9dd28dc262b993788bd8ce0d7cb2";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/es-MX/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/es-MX/thunderbird-115.7.0.tar.bz2";
locale = "es-MX";
arch = "linux-i686";
- sha256 = "8fc061bad54d290f8b912014ec3bb7651fb2ba1e102d6053cfc298fb333c5cac";
+ sha256 = "fefd4a6ebb4888c1d2514299c99ac0dcd910f583be6621064bb8e35bb81e927e";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/et/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/et/thunderbird-115.7.0.tar.bz2";
locale = "et";
arch = "linux-i686";
- sha256 = "f47aa257578ce3d7879c28d4262d7738383c07d4abe35a24e3b8b468523444c6";
+ sha256 = "b1260be6c4e3803b8219fd8b948be6c33db0e03ba79c0b5452ddd95749973992";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/eu/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/eu/thunderbird-115.7.0.tar.bz2";
locale = "eu";
arch = "linux-i686";
- sha256 = "51b9bc06f9426f2876503d7bbaa6e8c5814f60e6bd24905d0f20cafbaeb7b53a";
+ sha256 = "831c248aeb1af3a405a280c59533967a26347be767757d494b2fb835519cddc6";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/fi/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/fi/thunderbird-115.7.0.tar.bz2";
locale = "fi";
arch = "linux-i686";
- sha256 = "9c4cc3c76fe99e7f6444e074cb8ce141380db9c838471ea38477695366ece9a2";
+ sha256 = "e2867848f23e12c9e326b575bf5fc5c0f847deebfd8758ef7adaa6ddfcb5fc22";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/fr/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/fr/thunderbird-115.7.0.tar.bz2";
locale = "fr";
arch = "linux-i686";
- sha256 = "ee526f7532b1b81900cc1368fcd791cdd4eafcb9166d2a4aa49d9bdfd0476c5a";
+ sha256 = "2f723caaff319c5a63e92f20c14652fb86e9b5b6b1e47f08ebbb4d5b9e5a5d39";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/fy-NL/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/fy-NL/thunderbird-115.7.0.tar.bz2";
locale = "fy-NL";
arch = "linux-i686";
- sha256 = "ebc8eef40b181c51bfe78b199030092d15038ebee265dd2e3ab89c131f7e4e46";
+ sha256 = "6f6f3e9113b61da74599132cc793b12e908fdfe6dbec6e082891e39c7a2d7651";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/ga-IE/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/ga-IE/thunderbird-115.7.0.tar.bz2";
locale = "ga-IE";
arch = "linux-i686";
- sha256 = "9bb4ca3b5172854fb1d71a1f928db8a525327c712174b6ac5eddca82494a5629";
+ sha256 = "802d402c45748b480de1b27d151624ed9c96a2bc93978262e654c561a939db5a";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/gd/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/gd/thunderbird-115.7.0.tar.bz2";
locale = "gd";
arch = "linux-i686";
- sha256 = "e7e8252a3d114208e345b1261f9266ac641ab1760214910dfe0b3f5270bb2a4c";
+ sha256 = "8ddd2009c6849bb8ae2e5d14202f87831e2613366eaba5da1dfc65b831a46ffe";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/gl/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/gl/thunderbird-115.7.0.tar.bz2";
locale = "gl";
arch = "linux-i686";
- sha256 = "e00f131ffb5aec831566e4fd8045ca316cc4c01459d2fcd57f59136f9a7a37fa";
+ sha256 = "a726f1269893be461f42f9277d5b6c7f65e2ccfd618735048fa18265390ff633";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/he/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/he/thunderbird-115.7.0.tar.bz2";
locale = "he";
arch = "linux-i686";
- sha256 = "940cee2f7bef2852cf3091ca8abe0cc9c5a9fbef90a19869179798480dbcd323";
+ sha256 = "cb0b69268c2abd876965c70f060d7c67a4682af815500fbbc35869cb8dffd500";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/hr/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/hr/thunderbird-115.7.0.tar.bz2";
locale = "hr";
arch = "linux-i686";
- sha256 = "ff9ba02327db837af53c0e97d444d5235048e2db3cf2c9e6121c6ec7739bdbeb";
+ sha256 = "bf7930a372eb970c4cd16196eedbd471d61f1db3cc142d51a8067e2462419af3";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/hsb/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/hsb/thunderbird-115.7.0.tar.bz2";
locale = "hsb";
arch = "linux-i686";
- sha256 = "6086a73ef05c195391f299f42cf15d9576e184885c61c967e924faa6a5b3464d";
+ sha256 = "e83cdd093bdd55b696f42192cd585afb996ea6aa4a58f9ae977a9cb2b2511c9d";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/hu/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/hu/thunderbird-115.7.0.tar.bz2";
locale = "hu";
arch = "linux-i686";
- sha256 = "2c70ed224b2ea9487c0939828b02d2ef37d71119ff856ea53d2004926881dfa6";
+ sha256 = "a4b5f3b5675b54e12667ab0937a50a8d96869fcd832e9d8d87fd103e5938c6be";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/hy-AM/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/hy-AM/thunderbird-115.7.0.tar.bz2";
locale = "hy-AM";
arch = "linux-i686";
- sha256 = "acb94ddfa982536817cb9579d6c6f0d6e78081c005d94e10b4e2a684dd010fa1";
+ sha256 = "9edefe8788e0497edc6e4000a16043f485ce521d5df4baede0645fb16e52311a";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/id/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/id/thunderbird-115.7.0.tar.bz2";
locale = "id";
arch = "linux-i686";
- sha256 = "fc141b8199a3e5b1c8793a7ed49357f92bf6abdbf878a02d7e5d5710e165f18c";
+ sha256 = "6e30f98b85df9b828e8867b4e58db6b7fd185562fc2195c76ddbe196be23a15a";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/is/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/is/thunderbird-115.7.0.tar.bz2";
locale = "is";
arch = "linux-i686";
- sha256 = "0aa15d63f08e8d1e04757bf20855ae5e21759d9c51ee50597db98fee648cbb35";
+ sha256 = "5a9295d0342affda3f2b1aa19ffd65414d7999cc6830e559f3daa74757a514fb";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/it/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/it/thunderbird-115.7.0.tar.bz2";
locale = "it";
arch = "linux-i686";
- sha256 = "5a103f2bbed408abfebe04221d44114dc885620c8003c9f79108f0e27180a045";
+ sha256 = "bba64e37a0133bb691a4a6686ce5306609a80f445fc8b56e65baa16d7d8055a2";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/ja/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/ja/thunderbird-115.7.0.tar.bz2";
locale = "ja";
arch = "linux-i686";
- sha256 = "a02a44ca0442aa593b15831bd0aeedc155852f906dd58801102c382b06623497";
+ sha256 = "1edd799ab0ec6277921783724c4bdcaa34b7e6ff812be387c346db4d0808a649";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/ka/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/ka/thunderbird-115.7.0.tar.bz2";
locale = "ka";
arch = "linux-i686";
- sha256 = "b77707369d2baac5e181d957e39585d470ad4b4602b56d574184d29477fd047b";
+ sha256 = "84aebac8730987c95f3f5b339b8e20abb107d72c61359bf9c4721c8a2a95805b";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/kab/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/kab/thunderbird-115.7.0.tar.bz2";
locale = "kab";
arch = "linux-i686";
- sha256 = "5e3283b39f8b59d677aa60aa1766d647e0feb2deaad25f159066b5c420932be2";
+ sha256 = "1cfddc33c172f410ef42986f7b3cf699924b68f687d962fa72712a167cc0809b";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/kk/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/kk/thunderbird-115.7.0.tar.bz2";
locale = "kk";
arch = "linux-i686";
- sha256 = "6451c736c8cf44f08855c1b5a5d18a823d864732f03b2f7c611590271c99cf04";
+ sha256 = "729f782298fca07ca2d85546dfab3c76cb65d93ffa667ebbdb9d37ade60bdfe0";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/ko/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/ko/thunderbird-115.7.0.tar.bz2";
locale = "ko";
arch = "linux-i686";
- sha256 = "a56d3f4536fecb6090d2ac939fcf03c43b277a078cea0648850ee1dc40ad5f8a";
+ sha256 = "1ff325f445014c8de6e20f3751f8e860a7d5926b49db22e6b11da30982d923dd";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/lt/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/lt/thunderbird-115.7.0.tar.bz2";
locale = "lt";
arch = "linux-i686";
- sha256 = "15862bf349b8325bd58e3efb588de9d07c0be47f40c3550398e5297aa43bac26";
+ sha256 = "f68c2036565210abaa1600edf452f56f0ee2a4ff5abe559c5a5ae91b69eb077f";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/lv/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/lv/thunderbird-115.7.0.tar.bz2";
locale = "lv";
arch = "linux-i686";
- sha256 = "429c366482550f0ac705a5abe34a43aeb28004129ffe9f3869c831135c3f0ca0";
+ sha256 = "2aad0b4d05ae097f9868d44e14d24708b913aee3acb20ff917b31e83aa6b0580";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/ms/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/ms/thunderbird-115.7.0.tar.bz2";
locale = "ms";
arch = "linux-i686";
- sha256 = "f6a9353b2abca86f7f45260b1673a32df8581da6f72cb3dc16d7112adf49b610";
+ sha256 = "0b01eb8435a9d1a517892d510076c1ed6774820fe9194f00565b6febc3f296ed";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/nb-NO/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/nb-NO/thunderbird-115.7.0.tar.bz2";
locale = "nb-NO";
arch = "linux-i686";
- sha256 = "13b7a2b10bce00585f10d6172d2fb8468d457e8d589e4f924b5de118008d750d";
+ sha256 = "19c4d4f33c13733e8ac803ac4b31c6dfdce690096a0069e3a5ce98880439089c";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/nl/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/nl/thunderbird-115.7.0.tar.bz2";
locale = "nl";
arch = "linux-i686";
- sha256 = "19e3c05e1f46bd34bfb91e0e42b923f4011ba7a67b90542b8b50229ab43f78a2";
+ sha256 = "c2c3cac2d43649bff80c1deadfa277f47436a9ca81c774513f032059a29aa634";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/nn-NO/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/nn-NO/thunderbird-115.7.0.tar.bz2";
locale = "nn-NO";
arch = "linux-i686";
- sha256 = "50218d6fd52cabdb1e4fb1aefb4b53bbd444fc8d5fafeeb59268123e9cbc327a";
+ sha256 = "aaed36153be0f65e1af44bc25540f88e03500580390f8624a388f0667389e0f8";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/pa-IN/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/pa-IN/thunderbird-115.7.0.tar.bz2";
locale = "pa-IN";
arch = "linux-i686";
- sha256 = "2ac0194a1b51396244216cf44d7cee1dc19e80a1069b9da035229569ef07c8b2";
+ sha256 = "4035e2465ea039f20982a0e91fd216a39c7ddd0095cfd6ae60e394a48102c392";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/pl/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/pl/thunderbird-115.7.0.tar.bz2";
locale = "pl";
arch = "linux-i686";
- sha256 = "71c3cf6acd6c28462430da90ff5de20820370a79c2e8062dec0dc64c7558c841";
+ sha256 = "3e9a503f0fc39064c3d994160bbc3b52a9ab5b1c023a48dca8034407dc505250";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/pt-BR/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/pt-BR/thunderbird-115.7.0.tar.bz2";
locale = "pt-BR";
arch = "linux-i686";
- sha256 = "ff0b5ede7048dc9b59cbe0fd0a5b67b4139ffc8573ca708828598dab7881ef8f";
+ sha256 = "642caf0a4792c2d101057cd0d7f90e9537571b9e3a8f0b396a4aa5e0564168ab";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/pt-PT/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/pt-PT/thunderbird-115.7.0.tar.bz2";
locale = "pt-PT";
arch = "linux-i686";
- sha256 = "2a1da87542faa02b14fe24e0fe5087a91154e34da44cd85d99c2f0ecd4d90609";
+ sha256 = "af59efb2183b11a73c019897eda156c55b95ce2d257b1a3124a984ada97120e9";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/rm/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/rm/thunderbird-115.7.0.tar.bz2";
locale = "rm";
arch = "linux-i686";
- sha256 = "0039a65b5cb2f4e65cd80d74b25a39f3d2865848fa657d4025185c4d02493fb3";
+ sha256 = "fea0f8a58ba8cd743dc625b9ec788574144cda664040936359a5b3b2c9ef480c";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/ro/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/ro/thunderbird-115.7.0.tar.bz2";
locale = "ro";
arch = "linux-i686";
- sha256 = "d6fb761490e0281bb5a2e03afa6abb8fed34233ba1308d84c4f37d0a0f799126";
+ sha256 = "fe82637776187b797e9472ab3a78fed34fe032b23d0a46c96dfa67da2a0a74c4";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/ru/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/ru/thunderbird-115.7.0.tar.bz2";
locale = "ru";
arch = "linux-i686";
- sha256 = "971a40cf457113c40a51297972acb085dbfa95eead3050254f8b591a192cabe8";
+ sha256 = "3fab0c82c60d92bedef1a9e25d2aa9575adb946810f8f3abda7717f89e488ed2";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/sk/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/sk/thunderbird-115.7.0.tar.bz2";
locale = "sk";
arch = "linux-i686";
- sha256 = "3463d64670355ed590097997e1a4a138ac5f5993ea153a59eac26410aa2a8544";
+ sha256 = "d505b5831f7f22a90668913e7993b2219dad771db35bceedc2643828f0c7d783";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/sl/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/sl/thunderbird-115.7.0.tar.bz2";
locale = "sl";
arch = "linux-i686";
- sha256 = "143190c87d22e14da14a26e9e8f6aef73b34bdd198d13bd1e4e01160614a198f";
+ sha256 = "36cb47d404234d732d103039ab1f313658188b8042eaea0e1a8f5e49d24ff8d1";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/sq/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/sq/thunderbird-115.7.0.tar.bz2";
locale = "sq";
arch = "linux-i686";
- sha256 = "5d886885ba9265cc67b8ce99a5adf847936882ead50b3720c441b20b0175b3e2";
+ sha256 = "3ba43c6e088e6fdca88ee78ca02cafd4b748a68c20ac0ed8cad8e8ba9b636220";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/sr/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/sr/thunderbird-115.7.0.tar.bz2";
locale = "sr";
arch = "linux-i686";
- sha256 = "c1eb74299fb983fef8267d60fffa62901c47de795ee6bb9baadfdbd56331da61";
+ sha256 = "b808b77217c2ff2b9f6e1deb9ba6255356d57885ab1eff1c315f2391b5b8ddb5";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/sv-SE/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/sv-SE/thunderbird-115.7.0.tar.bz2";
locale = "sv-SE";
arch = "linux-i686";
- sha256 = "a4d9cb7e3c9a2b4bd82044cf1d78d9500c5f861acebf6392a5ff7e68e35fb0e2";
+ sha256 = "7d6eb148002d3514c38d8f42d43263a631b1c5e47e4134e643dac542f283a6f5";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/th/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/th/thunderbird-115.7.0.tar.bz2";
locale = "th";
arch = "linux-i686";
- sha256 = "1f7eec0902cc77f3d945b6e654256a605a5fe98938e6219a52eb4af12e01f055";
+ sha256 = "14b851b5383cf3d55de003ed10d17ef36c3b30559ba88cc9132e525578e4af66";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/tr/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/tr/thunderbird-115.7.0.tar.bz2";
locale = "tr";
arch = "linux-i686";
- sha256 = "1f67cbac18b7815664e1c9192ded480f9aee3a62ed3ec0491bd0abf724e54430";
+ sha256 = "73193cffd5587d744715d649fb6cd5ed5d030b0ba86084d21f82bb9f591d5e35";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/uk/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/uk/thunderbird-115.7.0.tar.bz2";
locale = "uk";
arch = "linux-i686";
- sha256 = "9d92994ed190b15c31e81eb1768b86805e7fd2507b3a6f3a2923fd7a965592d5";
+ sha256 = "e52afbc0d3883e2984e5e37e911dec0e04fa583db3b0981833c3f29a2764fbba";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/uz/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/uz/thunderbird-115.7.0.tar.bz2";
locale = "uz";
arch = "linux-i686";
- sha256 = "5f47edfa7c15c7b8c35b594f3c05ba69df037b4d22f0e6575ceb1683b1616cac";
+ sha256 = "160db3d3c7ea9c10337397a81fd9360c160aa0c5195d1be70d3ef3f714e85745";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/vi/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/vi/thunderbird-115.7.0.tar.bz2";
locale = "vi";
arch = "linux-i686";
- sha256 = "492d522445a75a96c6a30bd247bc23ec3d7caf9f3f79a0217a762d2066007c00";
+ sha256 = "3ea81e0cb98da53b7b640d52454cf2cdebe2cffadbcad2b683a8e8da13659abf";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/zh-CN/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/zh-CN/thunderbird-115.7.0.tar.bz2";
locale = "zh-CN";
arch = "linux-i686";
- sha256 = "34ae2af74f6d6fe6ace208a5a69ddb13c26de3b99295d34c61de071f2eba112f";
+ sha256 = "f54999ae86a4eea357e246abe4bfa6baa52f418c1d3ec882c48bcb7dfc4c8e11";
}
- { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.6.0/linux-i686/zh-TW/thunderbird-115.6.0.tar.bz2";
+ { url = "http://archive.mozilla.org/pub/thunderbird/releases/115.7.0/linux-i686/zh-TW/thunderbird-115.7.0.tar.bz2";
locale = "zh-TW";
arch = "linux-i686";
- sha256 = "dfc2c8affdb13b18ca77d04d6aa44d14bdbe9dc359babb09afbe652727419393";
+ sha256 = "8057a54b11112fc67661ffd3fb7f8ac4d532fee4c4c4029f10a21b7f5a9cf10e";
}
];
}
diff --git a/pkgs/applications/networking/mailreaders/thunderbird/packages.nix b/pkgs/applications/networking/mailreaders/thunderbird/packages.nix
index 4f74168837fd..956842ca9bcc 100644
--- a/pkgs/applications/networking/mailreaders/thunderbird/packages.nix
+++ b/pkgs/applications/networking/mailreaders/thunderbird/packages.nix
@@ -44,13 +44,13 @@ rec {
thunderbird-115 = (buildMozillaMach rec {
pname = "thunderbird";
- version = "115.7.0";
+ version = "115.9.0";
application = "comm/mail";
applicationName = "Mozilla Thunderbird";
binaryName = pname;
src = fetchurl {
url = "mirror://mozilla/thunderbird/releases/${version}/source/thunderbird-${version}.source.tar.xz";
- sha512 = "de9edb81cf5da494101bf927a5b963ccdec0cc9bff87ebd72d896c6e25102c1113b326f67302a81abd237048aa1e6150c4a97fe4b1892bc80030cbab9099e2d8";
+ sha512 = "8ff0bed6e6d7f337ebae09011a10b59343ae7a8355ed1da2d72ec0d4218010adfae78e42565e5b784df26cef4702f313dc9616ac5ca5530fb772d77bdf7f2ea4";
};
extraPatches = [
# The file to be patched is different from firefox's `no-buildconfig-ffx90.patch`.
diff --git a/pkgs/applications/networking/mailreaders/tutanota-desktop/default.nix b/pkgs/applications/networking/mailreaders/tutanota-desktop/default.nix
index 7f83f9dc7d9d..141a6f483518 100644
--- a/pkgs/applications/networking/mailreaders/tutanota-desktop/default.nix
+++ b/pkgs/applications/networking/mailreaders/tutanota-desktop/default.nix
@@ -1,61 +1,37 @@
-{ stdenv, lib, fetchurl, makeDesktopItem, copyDesktopItems, makeWrapper,
-electron, libsecret }:
+{ lib
+, appimageTools
+, fetchurl
+}:
-stdenv.mkDerivation rec {
+appimageTools.wrapType2 rec {
pname = "tutanota-desktop";
- version = "3.119.3";
+ version = "218.240227.0";
src = fetchurl {
- url = "https://github.com/tutao/tutanota/releases/download/tutanota-desktop-release-${version}/${pname}-${version}-unpacked-linux.tar.gz";
- name = "tutanota-desktop-${version}.tar.gz";
- hash = "sha256-TdjvU12nh1sTfGTdBn+7dbEunaF38YjDvceEns4iRbA=";
+ url = "https://github.com/tutao/tutanota/releases/download/tutanota-desktop-release-${version}/tutanota-desktop-linux.AppImage";
+ hash = "sha256-Ks046Z2jycOb63q3g16nJrHpaH0FJH+c+ZGTldfHllI=";
};
- nativeBuildInputs = [
- copyDesktopItems
- makeWrapper
- ];
+ extraPkgs = pkgs: (appimageTools.defaultFhsEnvArgs.multiPkgs pkgs) ++ [ pkgs.libsecret ];
- dontConfigure = true;
- dontBuild = true;
+ extraInstallCommands =
+ let appimageContents = appimageTools.extract { inherit pname version src; };
+ in ''
+ mv $out/bin/${pname}-${version} $out/bin/${pname}
- desktopItems = makeDesktopItem {
- name = pname;
- exec = "tutanota-desktop";
- icon = "tutanota-desktop";
- comment = meta.description;
- desktopName = "Tutanota Desktop";
- genericName = "Email Reader";
- };
+ install -Dm 444 ${appimageContents}/tutanota-desktop.desktop -t $out/share/applications
+ install -Dm 444 ${appimageContents}/tutanota-desktop.png -t $out/share/pixmaps
- installPhase = ''
- runHook preInstall
-
- mkdir -p $out/bin $out/opt/tutanota-desktop $out/share/tutanota-desktop
-
- cp -r ./ $out/opt/tutanota-desktop
- mv $out/opt/tutanota-desktop/{locales,resources} $out/share/tutanota-desktop
-
- for icon_size in 64 512; do
- icon=resources/icons/icon/$icon_size.png
- path=$out/share/icons/hicolor/$icon_size'x'$icon_size/apps/tutanota-desktop.png
- install -Dm644 $icon $path
- done
-
- makeWrapper ${electron}/bin/electron \
- $out/bin/tutanota-desktop \
- --add-flags $out/share/tutanota-desktop/resources/app.asar \
- --run "mkdir -p /tmp/tutanota" \
- --prefix LD_LIBRARY_PATH : ${lib.makeLibraryPath [ libsecret stdenv.cc.cc.lib ]}
-
- runHook postInstall
- '';
+ substituteInPlace $out/share/applications/tutanota-desktop.desktop \
+ --replace 'Exec=AppRun' 'Exec=${pname}'
+ '';
meta = with lib; {
- description = "Tutanota official desktop client";
- homepage = "https://tutanota.com/";
+ description = "Tuta official desktop client";
+ homepage = "https://tuta.com/";
changelog = "https://github.com/tutao/tutanota/releases/tag/tutanota-desktop-release-${version}";
license = licenses.gpl3Only;
+ sourceProvenance = with sourceTypes; [ binaryNativeCode ];
maintainers = with maintainers; [ wolfangaukang ];
mainProgram = "tutanota-desktop";
platforms = [ "x86_64-linux" ];
diff --git a/pkgs/applications/networking/mhost/default.nix b/pkgs/applications/networking/mhost/default.nix
index ac02ca8f64c1..f4313187636c 100644
--- a/pkgs/applications/networking/mhost/default.nix
+++ b/pkgs/applications/networking/mhost/default.nix
@@ -1,19 +1,22 @@
-{ lib, stdenv, fetchFromGitHub, rustPlatform, Security }:
+{ lib, stdenv, fetchFromGitHub, rustPlatform, Security, SystemConfiguration }:
rustPlatform.buildRustPackage rec {
pname = "mhost";
- version = "0.3.0";
+ version = "0.3.1";
src = fetchFromGitHub {
owner = "lukaspustina";
repo = pname;
rev = "v${version}";
- sha256 = "1j0378f8gj8hdcdhpj6lqlnriasmjxzri42wjj9pygzkmpd3ym86";
+ sha256 = "sha256-6jn9jOCh96d9y2l1OZ5hgxg7sYXPUFzJiiT95OR7lD0=";
};
- cargoSha256 = "0gqrya0bpdd67k2sxib7f4npnrx84d9r4hjq2sg2xz4j8pmgs018";
+ cargoHash = "sha256-d2JYT/eJaGm8pfmjsuSZiQxlzcsypFH53F/9joW0J6I=";
- buildInputs = lib.optional stdenv.isDarwin Security;
+ buildInputs = lib.optionals stdenv.isDarwin [
+ Security
+ SystemConfiguration
+ ];
CARGO_CRATE_NAME = "mhost";
diff --git a/pkgs/applications/networking/mumble/default.nix b/pkgs/applications/networking/mumble/default.nix
index 27fdde3c2a66..1ba63841ce5f 100644
--- a/pkgs/applications/networking/mumble/default.nix
+++ b/pkgs/applications/networking/mumble/default.nix
@@ -48,6 +48,7 @@ let
meta = with lib; {
description = "Low-latency, high quality voice chat software";
+ mainProgram = "mumble-server";
homepage = "https://mumble.info";
license = licenses.bsd3;
maintainers = with maintainers; [ infinisil felixsinger ];
diff --git a/pkgs/applications/networking/netmaker/default.nix b/pkgs/applications/networking/netmaker/default.nix
index c2967e0f3588..6a3de1308753 100644
--- a/pkgs/applications/networking/netmaker/default.nix
+++ b/pkgs/applications/networking/netmaker/default.nix
@@ -10,16 +10,16 @@
buildGoModule rec {
pname = "netmaker";
- version = "0.21.2";
+ version = "0.23.0";
src = fetchFromGitHub {
owner = "gravitl";
repo = pname;
rev = "v${version}";
- hash = "sha256-0KyBRIMXGqg4MdTyN3Kw1rVbZ7ULlfW6M9DSfAUQF8A=";
+ hash = "sha256-M2DY+C0g8G+DjicMeT3Ojn4GzG7vaE1OHKSy7O6T1Kk=";
};
- vendorHash = "sha256-B9r+p9kL/8h5qGmJ2WChnU3qKFf9z76YFqn6M2dXsDg=";
+ vendorHash = "sha256-SUu0OvHCmlssH9HbAaMbiG0gF/ezxgf1n0HBiB/2PTs=";
inherit subPackages;
diff --git a/pkgs/applications/networking/newsreaders/liferea/default.nix b/pkgs/applications/networking/newsreaders/liferea/default.nix
index 0851d0f30e79..06ec0b304576 100644
--- a/pkgs/applications/networking/newsreaders/liferea/default.nix
+++ b/pkgs/applications/networking/newsreaders/liferea/default.nix
@@ -1,6 +1,5 @@
{ lib, stdenv
, fetchurl
-, fetchpatch
, pkg-config
, intltool
, python3Packages
@@ -25,23 +24,13 @@
stdenv.mkDerivation rec {
pname = "liferea";
- version = "1.15.5";
+ version = "1.15.6";
src = fetchurl {
url = "https://github.com/lwindolf/${pname}/releases/download/v${version}/${pname}-${version}.tar.bz2";
- hash = "sha256-7lanrs63N6ZnqxvjcW/+cUZVDqUbML2gftQUc/sLr3Q=";
+ hash = "sha256-4P/0fwHofsRjZcLuFdkUKxWIp/9P5yXA2ED/zqTGd94=";
};
- patches = [
- # Pull upstream fix for libxml2-2.12 compatibility:
- # https://github.com/lwindolf/liferea/pull/1329
- (fetchpatch {
- name = "libxml2-2.12.patch";
- url = "https://github.com/lwindolf/liferea/commit/be8ef494586d9ef73c04ec4ca058a9a158ae3562.patch";
- hash = "sha256-K1R7dJMm7ui6QKQqAHCo/ZrLCW3PhPU1EKRPEICtCsQ=";
- })
- ];
-
nativeBuildInputs = [
wrapGAppsHook
python3Packages.wrapPython
diff --git a/pkgs/applications/networking/newsreaders/pan/default.nix b/pkgs/applications/networking/newsreaders/pan/default.nix
index 82f8ec4d55b1..24e2585a24db 100644
--- a/pkgs/applications/networking/newsreaders/pan/default.nix
+++ b/pkgs/applications/networking/newsreaders/pan/default.nix
@@ -54,6 +54,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A GTK-based Usenet newsreader good at both text and binaries";
+ mainProgram = "pan";
homepage = "http://pan.rebelbase.com/";
maintainers = [ maintainers.eelco ];
platforms = platforms.linux;
diff --git a/pkgs/applications/networking/newsreaders/raven-reader/default.nix b/pkgs/applications/networking/newsreaders/raven-reader/default.nix
index b78afb6a726e..46970cbef118 100644
--- a/pkgs/applications/networking/newsreaders/raven-reader/default.nix
+++ b/pkgs/applications/networking/newsreaders/raven-reader/default.nix
@@ -30,6 +30,7 @@ appimageTools.wrapType2 {
meta = with lib; {
description = "Open source desktop news reader with flexible settings to optimize your experience";
+ mainProgram = "raven-reader";
homepage = "https://ravenreader.app/";
license = licenses.mit;
maintainers = with maintainers; [ wolfangaukang ];
diff --git a/pkgs/applications/networking/nextcloud-client/default.nix b/pkgs/applications/networking/nextcloud-client/default.nix
index 58cb1c6a71b6..a6f0cf62b503 100644
--- a/pkgs/applications/networking/nextcloud-client/default.nix
+++ b/pkgs/applications/networking/nextcloud-client/default.nix
@@ -26,7 +26,7 @@
stdenv.mkDerivation rec {
pname = "nextcloud-client";
- version = "3.11.1";
+ version = "3.12.1";
outputs = [ "out" "dev" ];
@@ -34,7 +34,7 @@ stdenv.mkDerivation rec {
owner = "nextcloud";
repo = "desktop";
rev = "v${version}";
- hash = "sha256-gskFI6nxRb5lx6EwWuqghqg7NmCaj0JS7PpV0i4qUqQ=";
+ hash = "sha256-WGmabfOuEs9WRq1Ta7ZiZQuscoEdxhaFhuppE7MpZfk=";
};
patches = [
diff --git a/pkgs/applications/networking/nextdns/default.nix b/pkgs/applications/networking/nextdns/default.nix
index 094fa8007d7b..678e9f68ee21 100644
--- a/pkgs/applications/networking/nextdns/default.nix
+++ b/pkgs/applications/networking/nextdns/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "nextdns";
- version = "1.41.0";
+ version = "1.42.0";
src = fetchFromGitHub {
owner = "nextdns";
repo = "nextdns";
rev = "v${version}";
- sha256 = "sha256-uLX5M9DW8wfVKSV+/pwy+ZK6M6OQSq7qYjRcBvOOqOQ=";
+ sha256 = "sha256-aQUz6FK04h3nzieK9fX7odVVt/zcdhXlX3T1Z1rN/ak=";
};
- vendorHash = "sha256-vYE/GdN2ooSW4LMg1D5t5zOgATruB4Q449JdNo87fkM=";
+ vendorHash = "sha256-DATSGSFRMrX972CWCiSIlOhDuAG3zcVyuILZ3IpVirM=";
ldflags = [ "-s" "-w" "-X main.version=${version}" ];
diff --git a/pkgs/applications/networking/onionshare/default.nix b/pkgs/applications/networking/onionshare/default.nix
index 6cb15ad685d9..9b184a8fa13d 100644
--- a/pkgs/applications/networking/onionshare/default.nix
+++ b/pkgs/applications/networking/onionshare/default.nix
@@ -1,39 +1,40 @@
{ lib
, stdenv
, buildPythonApplication
-, substituteAll
-, fetchFromGitHub
-, isPy3k
+, cepa
, colorama
+, fetchFromGitHub
, flask
+, flask-compress
, flask-httpauth
, flask-socketio
, gevent-socketio
, gevent-websocket
-, cepa
+, obfs4
, psutil
-, pyqt5
, pycrypto
, pynacl
-, pyside2
+, pyqt5
+, pyside6
, pysocks
, pytestCheckHook
, qrcode
, qt5
, requests
-, unidecode
-, tor
-, obfs4
, snowflake
+, substituteAll
+, tor
+, unidecode
+, waitress
}:
let
- version = "2.6";
+ version = "2.6.1";
src = fetchFromGitHub {
owner = "onionshare";
repo = "onionshare";
rev = "v${version}";
- sha256 = "sha256-LA7XlzoCXUiG/9subTddAd22336wO9sOHCIBlQK4Ga4=";
+ sha256 = "sha256-LR3Ao4Q8kEDwrFV+gYdMSEeYF4hDtEa1rJgvRRrJMwc=";
};
meta = with lib; {
description = "Securely and anonymously send and receive files";
@@ -57,8 +58,7 @@ let
homepage = "https://onionshare.org/";
license = licenses.gpl3Plus;
- maintainers = with maintainers; [ lourkeur ];
- mainProgram = "onionshare-cli";
+ maintainers = with maintainers; [ bbjubjub ];
};
# TODO: package meek https://support.torproject.org/glossary/meek/
@@ -68,7 +68,7 @@ in
rec {
onionshare = buildPythonApplication {
pname = "onionshare-cli";
- inherit version meta;
+ inherit version;
src = "${src}/cli";
patches = [
# hardcode store paths of dependencies
@@ -79,23 +79,27 @@ rec {
})
];
propagatedBuildInputs = [
+ cepa
colorama
flask
+ flask-compress
flask-httpauth
flask-socketio
gevent-socketio
gevent-websocket
- cepa
psutil
pycrypto
pynacl
+ pyside6
+ qrcode
requests
unidecode
+ waitress
];
buildInputs = [
- tor
obfs4
+ tor
];
nativeCheckInputs = [
@@ -107,19 +111,25 @@ rec {
export HOME="$(mktemp -d)"
'';
- disabledTests = [
+ disabledTests = lib.optionals stdenv.isLinux [
"test_get_tor_paths_linux" # expects /usr instead of /nix/store
] ++ lib.optionals stdenv.isDarwin [
+ # requires meek-client which is not packaged
+ "test_get_tor_paths_darwin"
# on darwin (and only on darwin) onionshare attempts to discover
# user's *real* homedir via /etc/passwd, making it more painful
# to fake
"test_receive_mode_webhook"
];
+
+ meta = meta // {
+ mainProgram = "onionshare-cli";
+ };
};
onionshare-gui = buildPythonApplication {
pname = "onionshare";
- inherit version meta;
+ inherit version;
src = "${src}/desktop";
patches = [
# hardcode store paths of dependencies
@@ -128,16 +138,15 @@ rec {
inherit tor meek obfs4 snowflake;
inherit (tor) geoip;
})
- ./fix-qrcode-gui.patch
];
propagatedBuildInputs = [
onionshare
- pyqt5
- pyside2
psutil
- qrcode
+ pyqt5
+ pyside6
pysocks
+ qrcode
];
nativeBuildInputs = [ qt5.wrapQtAppsHook ];
@@ -149,12 +158,18 @@ rec {
cp $src/org.onionshare.OnionShare.appdata.xml $out/share/appdata
'';
+ dontWrapQtApps = true;
+
preFixup = ''
- wrapQtApp $out/bin/onionshare
+ makeWrapperArgs+=("''${qtWrapperArgs[@]}")
'';
doCheck = false;
pythonImportsCheck = [ "onionshare" ];
+
+ meta = meta // {
+ mainProgram = "onionshare";
+ };
};
}
diff --git a/pkgs/applications/networking/onionshare/fix-qrcode-gui.patch b/pkgs/applications/networking/onionshare/fix-qrcode-gui.patch
deleted file mode 100644
index 97ee3817ab68..000000000000
--- a/pkgs/applications/networking/onionshare/fix-qrcode-gui.patch
+++ /dev/null
@@ -1,14 +0,0 @@
-diff --git desktop/onionshare/widgets.py desktop/onionshare/widgets.py
-index 64a07703..bca974fb 100644
---- desktop/onionshare/widgets.py
-+++ desktop/onionshare/widgets.py
-@@ -101,7 +101,7 @@ class Image(qrcode.image.base.BaseImage):
- A custom Image class, for use with the QR Code pixmap.
- """
-
-- def __init__(self, border, width, box_size):
-+ def __init__(self, border, width, box_size, *args, **kargs):
- self.border = border
- self.width = width
- self.box_size = box_size
-
diff --git a/pkgs/applications/networking/p2p/enhanced-ctorrent/default.nix b/pkgs/applications/networking/p2p/enhanced-ctorrent/default.nix
index b83729ebc693..e8432b4c5c24 100644
--- a/pkgs/applications/networking/p2p/enhanced-ctorrent/default.nix
+++ b/pkgs/applications/networking/p2p/enhanced-ctorrent/default.nix
@@ -24,6 +24,7 @@ stdenv.mkDerivation {
meta = {
broken = stdenv.isDarwin;
description = "BitTorrent client written in C++";
+ mainProgram = "ctorrent";
longDescription = ''
CTorrent, a BitTorrent client implemented in C++, with bugfixes and
performance enhancements.
diff --git a/pkgs/applications/networking/p2p/flood-for-transmission/default.nix b/pkgs/applications/networking/p2p/flood-for-transmission/default.nix
index 2ffbeba1f40a..2b1e554c8ed3 100644
--- a/pkgs/applications/networking/p2p/flood-for-transmission/default.nix
+++ b/pkgs/applications/networking/p2p/flood-for-transmission/default.nix
@@ -6,13 +6,13 @@
buildNpmPackage rec {
pname = "flood-for-transmission";
- version = "2024-01-24T16-52-06";
+ version = "2024-02-10T19-10-27";
src = fetchFromGitHub {
owner = "johman10";
repo = pname;
rev = version;
- hash = "sha256-ZV/Gk9DlYkMh8j034YGvMVN7MeOJgFARyOr9Atrs3j4=";
+ hash = "sha256-JhUBtjHWtfFwjOScDu+WtjE42yhWYPA6KD+kJsltbsY=";
};
npmDepsHash = "sha256-VHWM0vxFKucrmoJiwYpjw7QqhBQw9rPPQVIIevp6Wn0=";
diff --git a/pkgs/applications/networking/p2p/fragments/default.nix b/pkgs/applications/networking/p2p/fragments/default.nix
index 5034f401a98d..edb31ba66957 100644
--- a/pkgs/applications/networking/p2p/fragments/default.nix
+++ b/pkgs/applications/networking/p2p/fragments/default.nix
@@ -83,5 +83,6 @@ in stdenv.mkDerivation rec {
maintainers = with maintainers; [ emilytrau ];
license = licenses.gpl3Plus;
platforms = platforms.linux;
+ mainProgram = "fragments";
};
}
diff --git a/pkgs/applications/networking/p2p/frostwire/frostwire-bin.nix b/pkgs/applications/networking/p2p/frostwire/frostwire-bin.nix
index fd5424cee342..2d8cf8780f63 100644
--- a/pkgs/applications/networking/p2p/frostwire/frostwire-bin.nix
+++ b/pkgs/applications/networking/p2p/frostwire/frostwire-bin.nix
@@ -35,6 +35,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://www.frostwire.com/";
description = "BitTorrent Client and Cloud File Downloader";
+ mainProgram = "frostwire";
sourceProvenance = with sourceTypes; [
binaryBytecode
binaryNativeCode
diff --git a/pkgs/applications/networking/p2p/libutp/3.4.nix b/pkgs/applications/networking/p2p/libutp/3.4.nix
index dfff1eeed239..6f543789fd61 100644
--- a/pkgs/applications/networking/p2p/libutp/3.4.nix
+++ b/pkgs/applications/networking/p2p/libutp/3.4.nix
@@ -22,6 +22,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "uTorrent Transport Protocol library";
+ mainProgram = "ucat";
homepage = "https://github.com/transmission/libutp";
license = licenses.mit;
maintainers = with maintainers; [ emilytrau ];
diff --git a/pkgs/applications/networking/p2p/magnetico/default.nix b/pkgs/applications/networking/p2p/magnetico/default.nix
index a51dba890a40..6c09ae892e27 100644
--- a/pkgs/applications/networking/p2p/magnetico/default.nix
+++ b/pkgs/applications/networking/p2p/magnetico/default.nix
@@ -36,9 +36,11 @@ buildGoModule rec {
passthru.tests = { inherit (nixosTests) magnetico; };
meta = with lib; {
+ # Build fails with Go >=1.21, couldn't be fixed by updating module dependencies.
+ broken = true;
description = "Autonomous (self-hosted) BitTorrent DHT search engine suite";
- homepage = "https://github.com/boramalper/magnetico";
- license = licenses.agpl3;
+ homepage = "https://github.com/ireun/magnetico";
+ license = licenses.agpl3Only;
badPlatforms = platforms.darwin;
maintainers = with maintainers; [ rnhmjoj ];
};
diff --git a/pkgs/applications/networking/p2p/opentracker/default.nix b/pkgs/applications/networking/p2p/opentracker/default.nix
index ebc105147d3d..45b5044e1d6c 100644
--- a/pkgs/applications/networking/p2p/opentracker/default.nix
+++ b/pkgs/applications/networking/p2p/opentracker/default.nix
@@ -33,6 +33,7 @@ stdenv.mkDerivation {
license = licenses.beerware;
platforms = platforms.linux;
description = "Bittorrent tracker project which aims for minimal resource usage and is intended to run at your wlan router";
+ mainProgram = "opentracker";
maintainers = with maintainers; [ makefu ];
};
}
diff --git a/pkgs/applications/networking/p2p/pyrosimple/default.nix b/pkgs/applications/networking/p2p/pyrosimple/default.nix
index 2f75ce1b29a1..866727ba4349 100644
--- a/pkgs/applications/networking/p2p/pyrosimple/default.nix
+++ b/pkgs/applications/networking/p2p/pyrosimple/default.nix
@@ -10,14 +10,14 @@
python3.pkgs.buildPythonApplication rec {
pname = "pyrosimple";
- version = "2.12.1";
+ version = "2.13.0";
format = "pyproject";
src = fetchFromGitHub {
owner = "kannibalox";
repo = pname;
rev = "refs/tags/v${version}";
- hash = "sha256-ppSQknpRoxq35t7lPbqz7MPJzy98yq/GgSchPOx4VT4=";
+ hash = "sha256-e69e1Aa10/pew1UZBCIPIH3BK7I8C3HiW59fRuSZlkc=";
};
pythonRelaxDeps = [
diff --git a/pkgs/applications/networking/p2p/stig/default.nix b/pkgs/applications/networking/p2p/stig/default.nix
index 2119e80a93f4..b36ad59194d2 100644
--- a/pkgs/applications/networking/p2p/stig/default.nix
+++ b/pkgs/applications/networking/p2p/stig/default.nix
@@ -62,6 +62,8 @@ python310Packages.buildPythonApplication rec {
description = "TUI and CLI for the BitTorrent client Transmission";
homepage = "https://github.com/rndusr/stig";
license = licenses.gpl3Plus;
- maintainers = with maintainers; [ doronbehar ];
+ # Too many broken tests, and it fails to launch
+ broken = true;
+ maintainers = with maintainers; [ ];
};
}
diff --git a/pkgs/applications/networking/p2p/storrent/default.nix b/pkgs/applications/networking/p2p/storrent/default.nix
index a21a9fef3855..469eae7987c8 100644
--- a/pkgs/applications/networking/p2p/storrent/default.nix
+++ b/pkgs/applications/networking/p2p/storrent/default.nix
@@ -18,6 +18,7 @@ buildGoModule rec {
meta = with lib; {
homepage = "https://github.com/jech/storrent";
description = "An implementation of the BitTorrent protocol that is optimised for streaming media";
+ mainProgram = "storrent";
license = licenses.mit;
platforms = platforms.linux;
maintainers = [ maintainers.marsam ];
diff --git a/pkgs/applications/networking/p2p/transgui/default.nix b/pkgs/applications/networking/p2p/transgui/default.nix
index 4f3f711d1f2c..707ff45b3498 100644
--- a/pkgs/applications/networking/p2p/transgui/default.nix
+++ b/pkgs/applications/networking/p2p/transgui/default.nix
@@ -4,13 +4,13 @@
stdenv.mkDerivation rec {
pname = "transgui";
- version = "unstable-2023-10-19";
+ version = "unstable-2024-02-26";
src = fetchFromGitHub {
owner = "transmission-remote-gui";
repo = "transgui";
- rev = "b1f5c2334edb6659c04863ef4a534ba1e57284f0";
- hash = "sha256-XCokcA5lINC9B+qwg0vjkymwa16ZNHRKLI829+X7CvE=";
+ rev = "25df397d92fbd53b970ef72a6ffd9f644458f935";
+ hash = "sha256-jQIe2vTDeJM/lhl6alNhEPOqXjyd18x+Kg29+le/dks=";
};
nativeBuildInputs = [ pkg-config unzip ];
diff --git a/pkgs/applications/networking/p2p/transmission-remote-gtk/default.nix b/pkgs/applications/networking/p2p/transmission-remote-gtk/default.nix
index 28b425a05e3b..35c368a826f7 100644
--- a/pkgs/applications/networking/p2p/transmission-remote-gtk/default.nix
+++ b/pkgs/applications/networking/p2p/transmission-remote-gtk/default.nix
@@ -59,6 +59,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "GTK remote control for the Transmission BitTorrent client";
+ mainProgram = "transmission-remote-gtk";
homepage = "https://github.com/transmission-remote-gtk/transmission-remote-gtk";
changelog = "https://github.com/transmission-remote-gtk/transmission-remote-gtk/releases/tag/${version}";
license = licenses.gpl2;
diff --git a/pkgs/applications/networking/p2p/transmission/4.nix b/pkgs/applications/networking/p2p/transmission/4.nix
index 9e0a1d69ef21..75515ef414b0 100644
--- a/pkgs/applications/networking/p2p/transmission/4.nix
+++ b/pkgs/applications/networking/p2p/transmission/4.nix
@@ -27,8 +27,10 @@
, gtkmm3
, xorg
, wrapGAppsHook
-, enableQt ? false
+, enableQt5 ? false
+, enableQt6 ? false
, qt5
+, qt6Packages
, nixosTests
, enableSystemd ? lib.meta.availableOn stdenv.hostPlatform systemd
, enableDaemon ? true
@@ -37,6 +39,24 @@
, apparmorRulesFromClosure
}:
+let
+ inherit (lib) cmakeBool optionals;
+
+ apparmorRules = apparmorRulesFromClosure { name = "transmission-daemon"; } ([
+ curl
+ libdeflate
+ libevent
+ libnatpmp
+ libpsl
+ miniupnpc
+ openssl
+ pcre
+ zlib
+ ]
+ ++ optionals enableSystemd [ systemd ]
+ ++ optionals stdenv.isLinux [ inotify-tools ]);
+
+in
stdenv.mkDerivation (finalAttrs: {
pname = "transmission";
version = "4.0.5";
@@ -51,21 +71,17 @@ stdenv.mkDerivation (finalAttrs: {
outputs = [ "out" "apparmor" ];
- cmakeFlags =
- let
- mkFlag = opt: if opt then "ON" else "OFF";
- in
- [
- "-DENABLE_MAC=OFF" # requires xcodebuild
- "-DENABLE_GTK=${mkFlag enableGTK3}"
- "-DENABLE_QT=${mkFlag enableQt}"
- "-DENABLE_DAEMON=${mkFlag enableDaemon}"
- "-DENABLE_CLI=${mkFlag enableCli}"
- "-DINSTALL_LIB=${mkFlag installLib}"
- ] ++ lib.optionals stdenv.isDarwin [
- # Transmission sets this to 10.13 if not explicitly specified, see https://github.com/transmission/transmission/blob/0be7091eb12f4eb55f6690f313ef70a66795ee72/CMakeLists.txt#L7-L16.
- "-DCMAKE_OSX_DEPLOYMENT_TARGET=${stdenv.hostPlatform.darwinMinVersion}"
- ];
+ cmakeFlags = [
+ (cmakeBool "ENABLE_CLI" enableCli)
+ (cmakeBool "ENABLE_DAEMON" enableDaemon)
+ (cmakeBool "ENABLE_GTK" enableGTK3)
+ (cmakeBool "ENABLE_MAC" false) # requires xcodebuild
+ (cmakeBool "ENABLE_QT" (enableQt5 || enableQt6))
+ (cmakeBool "INSTALL_LIB" installLib)
+ ] ++ optionals stdenv.isDarwin [
+ # Transmission sets this to 10.13 if not explicitly specified, see https://github.com/transmission/transmission/blob/0be7091eb12f4eb55f6690f313ef70a66795ee72/CMakeLists.txt#L7-L16.
+ "-DCMAKE_OSX_DEPLOYMENT_TARGET=${stdenv.hostPlatform.darwinMinVersion}"
+ ];
postPatch = ''
# Clean third-party libraries to ensure system ones are used.
@@ -89,8 +105,9 @@ stdenv.mkDerivation (finalAttrs: {
cmake
python3
]
- ++ lib.optionals enableGTK3 [ wrapGAppsHook ]
- ++ lib.optionals enableQt [ qt5.wrapQtAppsHook ]
+ ++ optionals enableGTK3 [ wrapGAppsHook ]
+ ++ optionals enableQt5 [ qt5.wrapQtAppsHook ]
+ ++ optionals enableQt6 [ qt6Packages.wrapQtAppsHook ]
;
buildInputs = [
@@ -109,11 +126,12 @@ stdenv.mkDerivation (finalAttrs: {
utf8cpp
zlib
]
- ++ lib.optionals enableQt [ qt5.qttools qt5.qtbase ]
- ++ lib.optionals enableGTK3 [ gtkmm3 xorg.libpthreadstubs ]
- ++ lib.optionals enableSystemd [ systemd ]
- ++ lib.optionals stdenv.isLinux [ inotify-tools ]
- ++ lib.optionals stdenv.isDarwin [ libiconv Foundation ];
+ ++ optionals enableQt5 (with qt5; [ qttools qtbase ])
+ ++ optionals enableQt6 (with qt6Packages; [ qttools qtbase qtsvg ])
+ ++ optionals enableGTK3 [ gtkmm3 xorg.libpthreadstubs ]
+ ++ optionals enableSystemd [ systemd ]
+ ++ optionals stdenv.isLinux [ inotify-tools ]
+ ++ optionals stdenv.isDarwin [ libiconv Foundation ];
postInstall = ''
mkdir $apparmor
@@ -123,11 +141,7 @@ stdenv.mkDerivation (finalAttrs: {
include
include
include
- include "${apparmorRulesFromClosure { name = "transmission-daemon"; } ([
- curl libevent openssl pcre zlib libdeflate libpsl libnatpmp miniupnpc
- ] ++ lib.optionals enableSystemd [ systemd ]
- ++ lib.optionals stdenv.isLinux [ inotify-tools ]
- )}"
+ include "${apparmorRules}"
r @{PROC}/sys/kernel/random/uuid,
r @{PROC}/sys/vm/overcommit_memory,
r @{PROC}/@{pid}/environ,
@@ -147,9 +161,9 @@ stdenv.mkDerivation (finalAttrs: {
smoke-test = nixosTests.bittorrent;
};
- meta = {
+ meta = with lib; {
description = "A fast, easy and free BitTorrent client";
- mainProgram = if enableQt then "transmission-qt" else if enableGTK3 then "transmission-gtk" else "transmission-cli";
+ mainProgram = if (enableQt5 || enableQt6) then "transmission-qt" else if enableGTK3 then "transmission-gtk" else "transmission-cli";
longDescription = ''
Transmission is a BitTorrent client which features a simple interface
on top of a cross-platform back-end.
@@ -161,9 +175,9 @@ stdenv.mkDerivation (finalAttrs: {
* Bluetack (PeerGuardian) blocklists with automatic updates
* Full encryption, DHT, and PEX support
'';
- homepage = "http://www.transmissionbt.com/";
- license = with lib.licenses; [ gpl2Plus mit ];
- maintainers = with lib.maintainers; [ astsmtl ];
- platforms = lib.platforms.unix;
+ homepage = "https://www.transmissionbt.com/";
+ license = with licenses; [ gpl2Plus mit ];
+ maintainers = with maintainers; [ astsmtl ];
+ platforms = platforms.unix;
};
})
diff --git a/pkgs/applications/networking/p2p/tremc/default.nix b/pkgs/applications/networking/p2p/tremc/default.nix
index 48e6a76c29a5..6a75a02d854b 100644
--- a/pkgs/applications/networking/p2p/tremc/default.nix
+++ b/pkgs/applications/networking/p2p/tremc/default.nix
@@ -54,6 +54,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "Curses interface for transmission";
+ mainProgram = "tremc";
homepage = "https://github.com/tremc/tremc";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ kashw2 ];
diff --git a/pkgs/applications/networking/p2p/tremotesf/default.nix b/pkgs/applications/networking/p2p/tremotesf/default.nix
index df898599701b..6cf8c73bfb69 100644
--- a/pkgs/applications/networking/p2p/tremotesf/default.nix
+++ b/pkgs/applications/networking/p2p/tremotesf/default.nix
@@ -45,6 +45,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "Remote GUI for transmission-daemon";
+ mainProgram = "tremotesf";
license = licenses.gpl3Plus;
homepage = "https://github.com/equeim/tremotesf2";
maintainers = with maintainers; [ sochotnicky ];
diff --git a/pkgs/applications/networking/p2p/tribler/default.nix b/pkgs/applications/networking/p2p/tribler/default.nix
index e5949d2b11aa..bb009ff0adf0 100644
--- a/pkgs/applications/networking/p2p/tribler/default.nix
+++ b/pkgs/applications/networking/p2p/tribler/default.nix
@@ -108,6 +108,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Decentralised P2P filesharing client based on the Bittorrent protocol";
+ mainProgram = "tribler";
homepage = "https://www.tribler.org/";
license = licenses.lgpl21Plus;
maintainers = with maintainers; [ xvapx viric mkg20001 ];
diff --git a/pkgs/applications/networking/p2p/zeronet-conservancy/default.nix b/pkgs/applications/networking/p2p/zeronet-conservancy/default.nix
index df91e40eab82..71799de37fc3 100644
--- a/pkgs/applications/networking/p2p/zeronet-conservancy/default.nix
+++ b/pkgs/applications/networking/p2p/zeronet-conservancy/default.nix
@@ -47,6 +47,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "A fork/continuation of the ZeroNet project";
+ mainProgram = "zeronet";
longDescription = ''
zeronet-conservancy is a fork/continuation of ZeroNet project (that has
been abandoned by its creator) that is dedicated to sustaining existing
diff --git a/pkgs/applications/networking/pcloud/default.nix b/pkgs/applications/networking/pcloud/default.nix
index aae1c3cfb771..d5db07a819ac 100644
--- a/pkgs/applications/networking/pcloud/default.nix
+++ b/pkgs/applications/networking/pcloud/default.nix
@@ -38,13 +38,13 @@
let
pname = "pcloud";
- version = "1.14.4";
- code = "XZDh750ZBgJa45xqQ8H1ztdMFX2wVhOCTOFk";
+ version = "1.14.5";
+ code = "XZ0AMJ0ZdrENNeVMNI4Tz3lO1nxr577ryOMV";
# Archive link's codes: https://www.pcloud.com/release-notes/linux.html
src = fetchzip {
url = "https://api.pcloud.com/getpubzip?code=${code}&filename=pcloud-${version}.zip";
- hash = "sha256-1KF3tF62lkT6tfeP/dMaZITXp4Vyegp3lFYdLJ49OR8=";
+ hash = "sha256-a577iWPrke3EizG03m0+hjSoPzA4wDai/QMX2Zl7MF0=";
};
appimageContents = appimageTools.extractType2 {
diff --git a/pkgs/applications/networking/pjsip/default.nix b/pkgs/applications/networking/pjsip/default.nix
index 1943a395bd7b..124a1d40f3b3 100644
--- a/pkgs/applications/networking/pjsip/default.nix
+++ b/pkgs/applications/networking/pjsip/default.nix
@@ -15,13 +15,13 @@
}:
stdenv.mkDerivation (finalAttrs: {
pname = "pjsip";
- version = "2.14";
+ version = "2.14.1";
src = fetchFromGitHub {
owner = finalAttrs.pname;
repo = "pjproject";
rev = "refs/tags/${finalAttrs.version}";
- hash = "sha256-PWCeIvnBAOqbcNYPhIY7hykdvLzoD9lssSViCCPNT68=";
+ hash = "sha256-LDA3o1QMrAxcGuOi/YRoMzXmw/wFkfDs2wweZuIJ2RY=";
};
patches = [
@@ -35,10 +35,7 @@ stdenv.mkDerivation (finalAttrs: {
++ lib.optional stdenv.isLinux alsa-lib
++ lib.optionals stdenv.isDarwin [ AppKit CoreFoundation Security ];
- env = lib.optionalAttrs (stdenv.cc.libcxx != null) {
- # work around https://github.com/NixOS/nixpkgs/issues/166205
- NIX_LDFLAGS = "-l${stdenv.cc.libcxx.cxxabi.libName}";
- } // lib.optionalAttrs stdenv.cc.isClang {
+ env = lib.optionalAttrs stdenv.cc.isClang {
CXXFLAGS = "-std=c++11";
} // lib.optionalAttrs stdenv.isDarwin {
NIX_CFLAGS_LINK = "-headerpad_max_install_names";
diff --git a/pkgs/applications/networking/powerdns-admin/default.nix b/pkgs/applications/networking/powerdns-admin/default.nix
index 61a728d983b3..07a155e15e4c 100644
--- a/pkgs/applications/networking/powerdns-admin/default.nix
+++ b/pkgs/applications/networking/powerdns-admin/default.nix
@@ -1,12 +1,12 @@
{ lib, stdenv, fetchFromGitHub, fetchYarnDeps, mkYarnPackage, nixosTests, writeText, python3 }:
let
- version = "0.4.1";
+ version = "0.4.2";
src = fetchFromGitHub {
owner = "PowerDNS-Admin";
repo = "PowerDNS-Admin";
rev = "v${version}";
- hash = "sha256-AwqEcAPD1SF1Ma3wtH03mXlTywM0Q19hciCmTtlr3gk=";
+ hash = "sha256-q9mt8wjSNFb452Xsg+qhNOWa03KJkYVGAeCWVSzZCyk=";
};
python = python3;
@@ -29,7 +29,7 @@ let
offlineCache = fetchYarnDeps {
yarnLock = "${src}/yarn.lock";
- hash = "sha256-3ebT19LrbYuypdJaoB3tClVVP0Fi8tHx3Xi6ge/DpA4=";
+ hash = "sha256-rXIts+dgOuZQGyiSke1NIG7b4lFlR/Gfu3J6T3wP3aY=";
};
# Copied from package.json, see also
@@ -130,6 +130,7 @@ in stdenv.mkDerivation {
meta = with lib; {
description = "A PowerDNS web interface with advanced features";
+ mainProgram = "powerdns-admin";
homepage = "https://github.com/PowerDNS-Admin/PowerDNS-Admin";
license = licenses.mit;
maintainers = with maintainers; [ Flakebi zhaofengli ];
diff --git a/pkgs/applications/networking/protonmail-bridge/default.nix b/pkgs/applications/networking/protonmail-bridge/default.nix
index 772326c1b710..8b04fc7e3f49 100644
--- a/pkgs/applications/networking/protonmail-bridge/default.nix
+++ b/pkgs/applications/networking/protonmail-bridge/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "protonmail-bridge";
- version = "3.8.2";
+ version = "3.10.0";
src = fetchFromGitHub {
owner = "ProtonMail";
repo = "proton-bridge";
rev = "v${version}";
- hash = "sha256-I42f7cV5QsUIPkcc6YDTboS4/LrObHAE3w9S48jsaKM=";
+ hash = "sha256-xjpySIbt7f86PRR/9F1DXMc0G+pBq3/75STW6Zw6IhE=";
};
- vendorHash = "sha256-6xofWf5WFE1wuCwx8iOMcC3gxDzZB3uw3WErLWluBM8=";
+ vendorHash = "sha256-cgQcI6yrnc5BUuyOkaYu24GzCaGe+BgXOC2zdt1Z1Lg=";
nativeBuildInputs = [ pkg-config ];
@@ -37,17 +37,14 @@ buildGoModule rec {
postInstall = ''
mv $out/bin/Desktop-Bridge $out/bin/protonmail-bridge # The cli is named like that in other distro packages
- install -Dm444 dist/proton-bridge.desktop -t $out/share/applications
- install -Dm444 dist/bridge.svg $out/share/icons/hicolor/scalable/apps/protonmail-bridge.svg
'';
- meta = with lib; {
- homepage = "https://github.com/ProtonMail/proton-bridge";
+ meta = {
changelog = "https://github.com/ProtonMail/proton-bridge/blob/${src.rev}/Changelog.md";
- downloadPage = "https://github.com/ProtonMail/proton-bridge/releases";
- license = licenses.gpl3Plus;
- maintainers = with maintainers; [ mrfreezeex ];
description = "Use your ProtonMail account with your local e-mail client";
+ downloadPage = "https://github.com/ProtonMail/proton-bridge/releases";
+ homepage = "https://github.com/ProtonMail/proton-bridge";
+ license = lib.licenses.gpl3Plus;
longDescription = ''
An application that runs on your computer in the background and seamlessly encrypts
and decrypts your mail as it enters and leaves your computer.
@@ -55,5 +52,6 @@ buildGoModule rec {
To work, use secret-service freedesktop.org API (e.g. Gnome keyring) or pass.
'';
mainProgram = "protonmail-bridge";
+ maintainers = with lib.maintainers; [ mrfreezeex daniel-fahey ];
};
}
diff --git a/pkgs/applications/networking/protonvpn-cli/2.nix b/pkgs/applications/networking/protonvpn-cli/2.nix
index c3e9bd2ac215..c8f21cfc0df9 100644
--- a/pkgs/applications/networking/protonvpn-cli/2.nix
+++ b/pkgs/applications/networking/protonvpn-cli/2.nix
@@ -13,7 +13,7 @@
buildPythonApplication rec {
pname = "protonvpn-cli_2";
- version = "2.2.11";
+ version = "2.2.12";
format = "setuptools";
disabled = pythonOlder "3.5";
@@ -23,7 +23,7 @@ buildPythonApplication rec {
repo = "linux-cli-community";
# There is a tag and branch with the same name
rev = "refs/tags/v${version}";
- sha256 = "sha256-CWQpisJPBXbf+d5tCGuxfSQQZBeF36WFF4b6OSUn3GY=";
+ sha256 = "sha256-vNbqjdkIRK+MkYRKUUe7W5Ytc1PU1t5ZLr9fPDOZXUs=";
};
propagatedBuildInputs = [
diff --git a/pkgs/applications/networking/pyload-ng/default.nix b/pkgs/applications/networking/pyload-ng/default.nix
index 1f638d43daef..09304784d312 100644
--- a/pkgs/applications/networking/pyload-ng/default.nix
+++ b/pkgs/applications/networking/pyload-ng/default.nix
@@ -1,13 +1,13 @@
{ lib, fetchPypi, nixosTests, python3 }:
python3.pkgs.buildPythonApplication rec {
- version = "0.5.0b3.dev75";
+ version = "0.5.0b3.dev80";
pname = "pyload-ng";
pyproject = true;
src = fetchPypi {
inherit pname version;
- hash = "sha256-1lPIKkZESonDaVCnac0iUu/gCqXVDBhNZrk5S0eC6F0=";
+ hash = "sha256-1vIkEctoj6udowYxFwY42f/zL9Elw2Nl6ZaL2x30k/M=";
};
patches = [
@@ -21,8 +21,6 @@ python3.pkgs.buildPythonApplication rec {
postPatch = ''
# relax version bounds
sed -i 's/\([A-z0-9]*\)~=.*$/\1/' setup.cfg
- # not sure what Flask-Session2 is but flask-session works just fine
- sed -i '/Flask-Session2/d' setup.cfg
'';
propagatedBuildInputs = with python3.pkgs; [
diff --git a/pkgs/applications/networking/remote/anydesk/default.nix b/pkgs/applications/networking/remote/anydesk/default.nix
index 5155e29a0bda..08e428b18b07 100644
--- a/pkgs/applications/networking/remote/anydesk/default.nix
+++ b/pkgs/applications/networking/remote/anydesk/default.nix
@@ -7,14 +7,14 @@ let
description = "Desktop sharing application, providing remote support and online meetings";
in stdenv.mkDerivation rec {
pname = "anydesk";
- version = "6.3.0";
+ version = "6.3.1";
src = fetchurl {
urls = [
"https://download.anydesk.com/linux/anydesk-${version}-amd64.tar.gz"
"https://download.anydesk.com/linux/generic-linux/anydesk-${version}-amd64.tar.gz"
];
- hash = "sha256-seMzfTXOGa+TljgpmIsgFOis+79r0bWt+4vH3Nb+5FI=";
+ hash = "sha256-qVksva6+EfAQiOexP8NlDSCR5Ab2WGsuCG4BD87rlag=";
};
buildInputs = [
diff --git a/pkgs/applications/networking/remote/citrix-workspace/default.nix b/pkgs/applications/networking/remote/citrix-workspace/default.nix
index f6004c2a3fae..891dfe5d8e9e 100644
--- a/pkgs/applications/networking/remote/citrix-workspace/default.nix
+++ b/pkgs/applications/networking/remote/citrix-workspace/default.nix
@@ -1,7 +1,7 @@
{ lib, callPackage }:
# For detailed information about the Citrix source-tarball, please refer to the OEM
-# reference guide: https://developer-docs.citrix.com/projects/workspace-app-for-linux-oem-guide/en/latest/
+# reference guide: https://developer-docs.citrix.com/en-us/citrix-workspace-app-for-linux/citrix-workspace-app-for-linux-oem-reference-guide
let
inherit (callPackage ./sources.nix { }) supportedVersions unsupportedVersions;
@@ -13,7 +13,7 @@ let
Citrix Workspace at version ${x} is not supported anymore!
Actively supported releases are listed here:
- https://www.citrix.com/support/product-lifecycle/milestones/receiver.html
+ https://www.citrix.com/support/product-lifecycle/workspace-app.html
'')) unsupportedVersions
);
diff --git a/pkgs/applications/networking/remote/citrix-workspace/generic.nix b/pkgs/applications/networking/remote/citrix-workspace/generic.nix
index bf14b0321943..f751f3237e41 100644
--- a/pkgs/applications/networking/remote/citrix-workspace/generic.nix
+++ b/pkgs/applications/networking/remote/citrix-workspace/generic.nix
@@ -2,7 +2,7 @@
, file, atk, alsa-lib, cairo, fontconfig, gdk-pixbuf, glib, webkitgtk, gtk2-x11, gtk3
, heimdal, krb5, libsoup, libvorbis, speex, openssl, zlib, xorg, pango, gtk2
, gnome2, mesa, nss, nspr, gtk_engines, freetype, dconf, libpng12, libxml2
-, libjpeg, libredirect, tzdata, cacert, systemd, libcxxabi, libcxx, e2fsprogs, symlinkJoin
+, libjpeg, libredirect, tzdata, cacert, systemd, libcxx, e2fsprogs, symlinkJoin
, libpulseaudio, pcsclite, glib-networking, llvmPackages_12, opencv4
, libfaketime
, libinput, libcap, libjson, libsecret, libcanberra-gtk3
@@ -22,6 +22,18 @@ let
ln -sf $out/lib/libssl.so $out/lib/libssl.so.1.0.0
'';
};
+
+ opencv4' = symlinkJoin {
+ name = "opencv4-compat";
+ nativeBuildInputs = [ makeWrapper ];
+ paths = [ opencv4 ];
+ postBuild = ''
+ for so in ${opencv4}/lib/*.so; do
+ ln -s "$so" $out/lib/$(basename "$so").407
+ done
+ '';
+ };
+
in
stdenv.mkDerivation rec {
@@ -84,7 +96,6 @@ stdenv.mkDerivation rec {
libcap
libcanberra-gtk3
libcxx
- libcxxabi
libinput
libjpeg
libjson
@@ -98,7 +109,7 @@ stdenv.mkDerivation rec {
mesa
nspr
nss
- opencv4
+ opencv4'
openssl'
pango
speex
@@ -184,7 +195,7 @@ stdenv.mkDerivation rec {
${mkWrappers copyCert extraCerts}
- # See https://developer-docs.citrix.com/projects/workspace-app-for-linux-oem-guide/en/latest/reference-information/#library-files
+ # See https://developer-docs.citrix.com/en-us/citrix-workspace-app-for-linux/citrix-workspace-app-for-linux-oem-reference-guide/reference-information/#library-files
# Those files are fallbacks to support older libwekit.so and libjpeg.so
rm $out/opt/citrix-icaclient/lib/ctxjpeg_fb_8.so || true
rm $out/opt/citrix-icaclient/lib/UIDialogLibWebKit.so || true
@@ -226,7 +237,7 @@ stdenv.mkDerivation rec {
license = licenses.unfree;
description = "Citrix Workspace";
sourceProvenance = with sourceTypes; [ binaryNativeCode ];
- platforms = platforms.linux;
+ platforms = [ "x86_64-linux" ] ++ optional (versionOlder version "24") "i686-linux";
maintainers = with maintainers; [ michaeladler ];
inherit homepage;
};
diff --git a/pkgs/applications/networking/remote/citrix-workspace/sources.nix b/pkgs/applications/networking/remote/citrix-workspace/sources.nix
index 3d9391168514..00c1ff878b96 100644
--- a/pkgs/applications/networking/remote/citrix-workspace/sources.nix
+++ b/pkgs/applications/networking/remote/citrix-workspace/sources.nix
@@ -12,30 +12,8 @@ let
# for Linux.
#
# The latest versions can be found at https://www.citrix.com/downloads/workspace-app/linux/
+ # x86 is unsupported past 23.11, see https://docs.citrix.com/en-us/citrix-workspace-app-for-linux/deprecation
supportedVersions = lib.mapAttrs mkVersionInfo {
-
- "23.02.0" = {
- major = "23";
- minor = "2";
- patch = "0";
- x64hash = "d0030a4782ba4b2628139635a12a7de044a4eb36906ef1eadb05b6ea77c1a7bc";
- x86hash = "39228fc8dd69adca4e56991c1ebc0832fec183c3ab5abd2d65c66b39b634391b";
- x64suffix = "10";
- x86suffix = "10";
- homepage = "https://www.citrix.com/downloads/workspace-app/legacy-workspace-app-for-linux/workspace-app-for-linux-latest6.html";
- };
-
- "23.07.0" = {
- major = "23";
- minor = "7";
- patch = "0";
- x64hash = "d4001226e79b5353fc74da4c8ed4f6295c1859fe18142cb5de345a3c7ae48168";
- x86hash = "4a7da238286ae28d7baf0fefa1e7e09d077c8bc56c2bf7bec00da42c331bee59";
- x64suffix = "17";
- x86suffix = "17";
- homepage = "https://www.citrix.com/downloads/workspace-app/legacy-workspace-app-for-linux/workspace-app-for-linux-latest21.html";
- };
-
"23.09.0" = {
major = "23";
minor = "9";
@@ -55,17 +33,27 @@ let
x86hash = "65b8c144e51b5bd78b98ae69e0fa76d6c020a857d74fd5254be49492527072b6";
x64suffix = "82";
x86suffix = "82";
- homepage = "https://www.citrix.com/downloads/workspace-app/linux/workspace-app-for-linux-latest.html";
+ homepage = "https://www.citrix.com/downloads/workspace-app/legacy-workspace-app-for-linux/workspace-app-for-linux-latest10.html";
};
+ "24.02.0" = {
+ major = "24";
+ minor = "2";
+ patch = "0";
+ x64hash = "eaeb5d3bd079d4e5c9707da67f5f7a25cb765e19c36d01861290655dbf2aaee4";
+ x86hash = "";
+ x64suffix = "65";
+ x86suffix = "";
+ homepage = "https://www.citrix.com/downloads/workspace-app/linux/workspace-app-for-linux-latest.html";
+ };
};
# Retain attribute-names for abandoned versions of Citrix workspace to
# provide a meaningful error-message if it's attempted to use such an old one.
#
# The lifespans of Citrix products can be found here:
- # https://www.citrix.com/support/product-lifecycle/milestones/receiver.html
- unsupportedVersions = [ ];
+ # https://www.citrix.com/support/product-lifecycle/workspace-app.html
+ unsupportedVersions = [ "23.02.0" "23.07.0" ];
in {
inherit supportedVersions unsupportedVersions;
}
diff --git a/pkgs/applications/networking/remote/dayon/default.nix b/pkgs/applications/networking/remote/dayon/default.nix
index 9d0089493570..ea46ec131fbc 100644
--- a/pkgs/applications/networking/remote/dayon/default.nix
+++ b/pkgs/applications/networking/remote/dayon/default.nix
@@ -6,18 +6,18 @@
, jre
, makeWrapper
, copyDesktopItems
-, canonicalize-jars-hook
+, stripJavaArchivesHook
}:
stdenv.mkDerivation (finalAttrs: {
pname = "dayon";
- version = "13.0.1";
+ version = "14.0.0";
src = fetchFromGitHub {
owner = "RetGal";
repo = "dayon";
rev = "v${finalAttrs.version}";
- hash = "sha256-nevDC4kfVSgfmJZiCj82mc+/yZcIgub3CP9qi9ISF3o=";
+ hash = "sha256-cUaWfOpR0sNq8cRghZVW9mTVhJ5us12/lzucxetiVkg=";
};
nativeBuildInputs = [
@@ -25,7 +25,7 @@ stdenv.mkDerivation (finalAttrs: {
jdk
makeWrapper
copyDesktopItems
- canonicalize-jars-hook
+ stripJavaArchivesHook
];
buildPhase = ''
diff --git a/pkgs/applications/networking/remote/rdesktop/default.nix b/pkgs/applications/networking/remote/rdesktop/default.nix
index e2ee9428ad24..a544984ee76b 100644
--- a/pkgs/applications/networking/remote/rdesktop/default.nix
+++ b/pkgs/applications/networking/remote/rdesktop/default.nix
@@ -27,6 +27,7 @@ stdenv.mkDerivation (rec {
meta = {
description = "Open source client for Windows Terminal Services";
+ mainProgram = "rdesktop";
homepage = "http://www.rdesktop.org/";
platforms = lib.platforms.linux ++ lib.platforms.darwin;
license = lib.licenses.gpl2;
diff --git a/pkgs/applications/networking/remote/waypipe/default.nix b/pkgs/applications/networking/remote/waypipe/default.nix
index 306f31f0628d..8924006e81ef 100644
--- a/pkgs/applications/networking/remote/waypipe/default.nix
+++ b/pkgs/applications/networking/remote/waypipe/default.nix
@@ -5,14 +5,14 @@
stdenv.mkDerivation rec {
pname = "waypipe";
- version = "0.8.6";
+ version = "0.9.0";
src = fetchFromGitLab {
domain = "gitlab.freedesktop.org";
owner = "mstoeckl";
repo = "waypipe";
rev = "v${version}";
- hash = "sha256-1VLPnP4BmF9Zha0uVsPjA/WbF/oLfZmdDX57SzqrV5A=";
+ hash = "sha256-zk5IzZiFff9EeJn24/QmE1ybcBkxpaz6Owp77CfCwV0=";
};
strictDeps = true;
@@ -34,7 +34,7 @@ stdenv.mkDerivation rec {
changelog = "https://gitlab.freedesktop.org/mstoeckl/waypipe/-/releases#v${version}";
license = licenses.mit;
platforms = platforms.linux;
- maintainers = with maintainers; [ primeos ];
+ maintainers = with maintainers; [ mic92 ];
mainProgram = "waypipe";
};
}
diff --git a/pkgs/applications/networking/remote/wayvnc/default.nix b/pkgs/applications/networking/remote/wayvnc/default.nix
index 19d19310591d..0712e68e324b 100644
--- a/pkgs/applications/networking/remote/wayvnc/default.nix
+++ b/pkgs/applications/networking/remote/wayvnc/default.nix
@@ -18,13 +18,13 @@
stdenv.mkDerivation rec {
pname = "wayvnc";
- version = "0.7.2";
+ version = "0.8.0";
src = fetchFromGitHub {
owner = "any1";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-6at0p1Xc25K5l6sq2uMWpaLVvZMNlWC0ybyZyrIw41I=";
+ hash = "sha256-IGEM212CU91+pT8xq3BzrPrIDUZxZveb2jhatMGJAsw=";
};
strictDeps = true;
diff --git a/pkgs/applications/networking/remote/x2goclient/default.nix b/pkgs/applications/networking/remote/x2goclient/default.nix
index 854fee0b6b65..848590d4cb87 100644
--- a/pkgs/applications/networking/remote/x2goclient/default.nix
+++ b/pkgs/applications/networking/remote/x2goclient/default.nix
@@ -60,6 +60,7 @@ qt5.mkDerivation rec {
meta = with lib; {
description = "Graphical NoMachine NX3 remote desktop client";
+ mainProgram = "x2goclient";
homepage = "http://x2go.org/";
maintainers = with maintainers; [ ];
license = licenses.gpl2;
diff --git a/pkgs/applications/networking/remote/xrdp/default.nix b/pkgs/applications/networking/remote/xrdp/default.nix
index c9f679d9e124..3d6d1de3d964 100644
--- a/pkgs/applications/networking/remote/xrdp/default.nix
+++ b/pkgs/applications/networking/remote/xrdp/default.nix
@@ -22,7 +22,7 @@
}:
let
- version = "0.9.24";
+ version = "0.9.25.1";
patchedXrdpSrc = applyPatches {
patches = [ ./dynamic_config.patch ];
name = "xrdp-patched-${version}";
@@ -31,19 +31,19 @@ let
repo = "xrdp";
rev = "v${version}";
fetchSubmodules = true;
- hash = "sha256-Kvj72l+jmoad6VgmCYW2KtQAbJMJ8AZjNIYJ5lUNzRM=";
+ hash = "sha256-oAs0oWkCyj3ObdJuHLfT25ZzkTrxNAXDiFU64OOP4Ow=";
};
};
xorgxrdp = stdenv.mkDerivation rec {
pname = "xorgxrdp";
- version = "0.9.19";
+ version = "0.9.20";
src = fetchFromGitHub {
owner = "neutrinolabs";
repo = "xorgxrdp";
rev = "v${version}";
- hash = "sha256-WI1KyJDQkmNHwweZMbNd2KUfawaieoGMDMQfeD12cZs=";
+ hash = "sha256-cAAWk/GqR5zJmh7EAzX3qJiYNl/RrDWdncdFeqsFIaU=";
};
nativeBuildInputs = [ pkg-config autoconf automake which libtool nasm ];
diff --git a/pkgs/applications/networking/rymdport/default.nix b/pkgs/applications/networking/rymdport/default.nix
index 8f78701fb9e5..7108b2306b79 100644
--- a/pkgs/applications/networking/rymdport/default.nix
+++ b/pkgs/applications/networking/rymdport/default.nix
@@ -11,16 +11,16 @@
buildGoModule rec {
pname = "rymdport";
- version = "3.5.2";
+ version = "3.5.3";
src = fetchFromGitHub {
owner = "Jacalz";
repo = "rymdport";
rev = "v${version}";
- hash = "sha256-LTCr1OFh+1QQhXFNl9SoLPqEY0ERlLlWfSxRKjyyqPk=";
+ hash = "sha256-lCtFm360UeypzYpivlYXxuqZ0BzGzGkkq31dmgjwv4M=";
};
- vendorHash = "sha256-twXeLNWy/5wTaFb645mCeI5PzByEGj5aCWl6vO+qRLQ=";
+ vendorHash = "sha256-PXRy12JWYQQMMzh7jrEhquileY2oYFvqt8KZvrfp2o0=";
nativeBuildInputs = [
pkg-config
diff --git a/pkgs/applications/networking/seafile-client/default.nix b/pkgs/applications/networking/seafile-client/default.nix
index 4da47eddd61b..8dbecdd50aa3 100644
--- a/pkgs/applications/networking/seafile-client/default.nix
+++ b/pkgs/applications/networking/seafile-client/default.nix
@@ -16,13 +16,13 @@
stdenv.mkDerivation rec {
pname = "seafile-client";
- version = "9.0.4";
+ version = "9.0.5";
src = fetchFromGitHub {
owner = "haiwen";
repo = "seafile-client";
rev = "v${version}";
- sha256 = "sha256-Qt4Y7s2BMwuKXTYjHAzK40HgAsxlk98af3irOXT4/Vs=";
+ sha256 = "sha256-fAPEtULab3Ug4gRCS+Eigp48JkORi7tvic2vp5jaw44=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/networking/siproxd/default.nix b/pkgs/applications/networking/siproxd/default.nix
index 1b2d3053d9be..f9dea3e2bf80 100644
--- a/pkgs/applications/networking/siproxd/default.nix
+++ b/pkgs/applications/networking/siproxd/default.nix
@@ -16,6 +16,7 @@ stdenv.mkDerivation rec {
meta = {
homepage = "http://siproxd.sourceforge.net/";
description = "A masquerading SIP Proxy Server";
+ mainProgram = "siproxd";
maintainers = with lib.maintainers; [viric];
platforms = with lib.platforms; linux;
license = lib.licenses.gpl2Plus;
diff --git a/pkgs/applications/networking/sniffers/qtwirediff/default.nix b/pkgs/applications/networking/sniffers/qtwirediff/default.nix
index d4fe6c8ee708..8ed53ec0771f 100644
--- a/pkgs/applications/networking/sniffers/qtwirediff/default.nix
+++ b/pkgs/applications/networking/sniffers/qtwirediff/default.nix
@@ -47,6 +47,7 @@ stdenv.mkDerivation {
meta = {
description = "Debugging tool to diff network traffic leveraging Wireshark";
+ mainProgram = "qtwirediff";
homepage = "https://github.com/aaptel/qtwirediff";
license = lib.licenses.gpl3Plus;
maintainers = with lib.maintainers; [ janik ];
diff --git a/pkgs/applications/networking/sniffers/sngrep/default.nix b/pkgs/applications/networking/sniffers/sngrep/default.nix
index 1e27a01fa5e6..8ffadab3ed44 100644
--- a/pkgs/applications/networking/sniffers/sngrep/default.nix
+++ b/pkgs/applications/networking/sniffers/sngrep/default.nix
@@ -49,6 +49,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A tool for displaying SIP calls message flows from terminal";
+ mainProgram = "sngrep";
homepage = "https://github.com/irontec/sngrep";
license = licenses.gpl3Plus;
platforms = platforms.unix;
diff --git a/pkgs/applications/networking/sniffers/whsniff/default.nix b/pkgs/applications/networking/sniffers/whsniff/default.nix
index 514336e7a6b9..da50fe39a5e0 100644
--- a/pkgs/applications/networking/sniffers/whsniff/default.nix
+++ b/pkgs/applications/networking/sniffers/whsniff/default.nix
@@ -18,6 +18,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/homewsn/whsniff";
description = "Packet sniffer for 802.15.4 wireless networks";
+ mainProgram = "whsniff";
maintainers = with maintainers; [ snicket2100 ];
platforms = platforms.linux;
license = licenses.gpl2Only;
diff --git a/pkgs/applications/networking/sniffers/wireshark/default.nix b/pkgs/applications/networking/sniffers/wireshark/default.nix
index deae24ec6547..f9ec3d21f6e9 100644
--- a/pkgs/applications/networking/sniffers/wireshark/default.nix
+++ b/pkgs/applications/networking/sniffers/wireshark/default.nix
@@ -54,7 +54,7 @@ assert withQt -> qt6 != null;
stdenv.mkDerivation rec {
pname = "wireshark-${if withQt then "qt" else "cli"}";
- version = "4.2.2";
+ version = "4.2.3";
outputs = [ "out" "dev" ];
@@ -62,7 +62,7 @@ stdenv.mkDerivation rec {
repo = "wireshark";
owner = "wireshark";
rev = "v${version}";
- hash = "sha256-4SxrlNrVg8Yc1THyRPEQDM/yQzDTLM1ppVwCw9vResE=";
+ hash = "sha256-2kJBVO40F1m43317g337bk84ZSf6WPK04ir0xc5qxTc=";
};
patches = [
diff --git a/pkgs/applications/networking/ssb-patchwork/default.nix b/pkgs/applications/networking/ssb-patchwork/default.nix
index 91875c1b5584..75776f02150b 100644
--- a/pkgs/applications/networking/ssb-patchwork/default.nix
+++ b/pkgs/applications/networking/ssb-patchwork/default.nix
@@ -22,7 +22,7 @@ let
desktopItem = makeDesktopItem {
name = "ssb-patchwork";
exec = "${binary}/bin/ssb-patchwork";
- icon = "ssb-patchwork.png";
+ icon = "ssb-patchwork";
comment = "Client for the decentralized social network Secure Scuttlebutt";
desktopName = "Patchwork";
genericName = "Patchwork";
@@ -46,7 +46,7 @@ in
sea-slang for gossip - a scuttlebutt is basically a watercooler on a ship.
'';
homepage = "https://www.scuttlebutt.nz/";
- license = licenses.agpl3;
+ license = licenses.agpl3Only;
maintainers = with maintainers; [ asymmetric picnoir cyplo ];
mainProgram = "ssb-patchwork";
platforms = [ "x86_64-linux" ];
diff --git a/pkgs/applications/networking/sync/casync/default.nix b/pkgs/applications/networking/sync/casync/default.nix
index 7a1252f806fb..79064f6bc107 100644
--- a/pkgs/applications/networking/sync/casync/default.nix
+++ b/pkgs/applications/networking/sync/casync/default.nix
@@ -57,6 +57,7 @@ stdenv.mkDerivation {
meta = with lib; {
description = "Content-Addressable Data Synchronizer";
+ mainProgram = "casync";
homepage = "https://github.com/systemd/casync";
license = licenses.lgpl21Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/networking/sync/celeste/default.nix b/pkgs/applications/networking/sync/celeste/default.nix
index 5e56e5be7117..1836ebd1e6d9 100644
--- a/pkgs/applications/networking/sync/celeste/default.nix
+++ b/pkgs/applications/networking/sync/celeste/default.nix
@@ -86,6 +86,7 @@ rustPlatform.buildRustPackage rec {
meta = {
changelog = "https://github.com/hwittenborn/celeste/blob/${src.rev}/CHANGELOG.md";
description = "GUI file synchronization client that can sync with any cloud provider";
+ mainProgram = "celeste";
homepage = "https://github.com/hwittenborn/celeste";
license = lib.licenses.gpl3Only;
maintainers = with lib.maintainers; [ dotlambda ];
diff --git a/pkgs/applications/networking/sync/desync/default.nix b/pkgs/applications/networking/sync/desync/default.nix
index 8a5e3965ffd5..e2c43315338e 100644
--- a/pkgs/applications/networking/sync/desync/default.nix
+++ b/pkgs/applications/networking/sync/desync/default.nix
@@ -21,6 +21,7 @@ buildGoModule rec {
meta = with lib; {
description = "Content-addressed binary distribution system";
+ mainProgram = "desync";
longDescription = "An alternate implementation of the casync protocol and storage mechanism with a focus on production-readiness";
homepage = "https://github.com/folbricht/desync";
changelog = "https://github.com/folbricht/desync/releases/tag/v${version}";
diff --git a/pkgs/applications/networking/sync/lcsync/default.nix b/pkgs/applications/networking/sync/lcsync/default.nix
index 1d06ee1e4fd6..591a95fd008c 100644
--- a/pkgs/applications/networking/sync/lcsync/default.nix
+++ b/pkgs/applications/networking/sync/lcsync/default.nix
@@ -25,6 +25,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
changelog = "https://codeberg.org/librecast/lcsync/src/tag/v${finalAttrs.version}/CHANGELOG.md";
description = "Librecast File and Syncing Tool";
+ mainProgram = "lcsync";
homepage = "https://librecast.net/lcsync.html";
license = [ lib.licenses.gpl2 lib.licenses.gpl3 ];
maintainers = with lib.maintainers; [ albertchae aynish DMills27 jasonodoom jleightcap ];
diff --git a/pkgs/applications/networking/sync/lsyncd/default.nix b/pkgs/applications/networking/sync/lsyncd/default.nix
index cc9663dd6fc2..a5dc0bc8cf51 100644
--- a/pkgs/applications/networking/sync/lsyncd/default.nix
+++ b/pkgs/applications/networking/sync/lsyncd/default.nix
@@ -33,6 +33,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/axkibe/lsyncd";
description = "A utility that synchronizes local directories with remote targets";
+ mainProgram = "lsyncd";
license = licenses.gpl2Plus;
platforms = platforms.all;
maintainers = with maintainers; [ bobvanderlinden ];
diff --git a/pkgs/applications/networking/sync/onedrive/default.nix b/pkgs/applications/networking/sync/onedrive/default.nix
index b2c3b30d8719..a4616c2aa923 100644
--- a/pkgs/applications/networking/sync/onedrive/default.nix
+++ b/pkgs/applications/networking/sync/onedrive/default.nix
@@ -48,6 +48,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A complete tool to interact with OneDrive on Linux";
+ mainProgram = "onedrive";
homepage = "https://github.com/abraunegg/onedrive";
license = licenses.gpl3Only;
maintainers = with maintainers; [ srgom peterhoeg bertof ];
diff --git a/pkgs/applications/networking/sync/openrsync/default.nix b/pkgs/applications/networking/sync/openrsync/default.nix
index 0398ccd96094..8b91bf24407c 100644
--- a/pkgs/applications/networking/sync/openrsync/default.nix
+++ b/pkgs/applications/networking/sync/openrsync/default.nix
@@ -3,7 +3,7 @@
, fetchFromGitHub
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation {
pname = "openrsync";
version = "unstable-2022-05-08";
@@ -20,6 +20,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://www.openrsync.org/";
description = "BSD-licensed implementation of rsync";
+ mainProgram = "openrsync";
license = licenses.isc;
maintainers = with maintainers; [ fgaz ];
# https://github.com/kristapsdz/openrsync#portability
diff --git a/pkgs/applications/networking/sync/rclone/browser.nix b/pkgs/applications/networking/sync/rclone/browser.nix
index 1917fc40c0ee..99945267fa99 100644
--- a/pkgs/applications/networking/sync/rclone/browser.nix
+++ b/pkgs/applications/networking/sync/rclone/browser.nix
@@ -26,6 +26,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
inherit (src.meta) homepage;
description = "Graphical Frontend to Rclone written in Qt";
+ mainProgram = "rclone-browser";
license = licenses.unlicense;
platforms = platforms.linux;
maintainers = with maintainers; [ dotlambda ];
diff --git a/pkgs/applications/networking/sync/rclone/default.nix b/pkgs/applications/networking/sync/rclone/default.nix
index 735133d641fe..3b9727e2914a 100644
--- a/pkgs/applications/networking/sync/rclone/default.nix
+++ b/pkgs/applications/networking/sync/rclone/default.nix
@@ -1,21 +1,21 @@
{ lib, stdenv, buildGoModule, fetchFromGitHub, buildPackages, installShellFiles
, makeWrapper
-, enableCmount ? true, fuse, macfuse-stubs
+, enableCmount ? true, fuse, fuse3, macfuse-stubs
, librclone
}:
buildGoModule rec {
pname = "rclone";
- version = "1.65.2";
+ version = "1.66.0";
src = fetchFromGitHub {
- owner = pname;
- repo = pname;
+ owner = "rclone";
+ repo = "rclone";
rev = "v${version}";
- hash = "sha256-P7VJ6pauZ7J8LvyYNi7ANsKrYOcmInZCfRO+X+K6LzI=";
+ hash = "sha256-75RnAROICtRUDn95gSCNO0F6wes4CkJteNfUN38GQIY=";
};
- vendorHash = "sha256-budC8psvTtfVi3kYOaJ+dy/9H11ekJVkXMmeV9RhXVU=";
+ vendorHash = "sha256-zGBwgIuabLDqWbutvPHDbPRo5Dd9kNfmgToZXy7KVgI=";
subPackages = [ "." ];
@@ -46,12 +46,12 @@ buildGoModule rec {
ln -s $out/bin/rclone $out/bin/rclonefs
ln -s $out/bin/rclone $out/bin/mount.rclone
'' + lib.optionalString (enableCmount && !stdenv.isDarwin)
- # use --suffix here to ensure we don't shadow /run/wrappers/bin/fusermount,
+ # use --suffix here to ensure we don't shadow /run/wrappers/bin/fusermount3,
# as the setuid wrapper is required as non-root on NixOS.
''
wrapProgram $out/bin/rclone \
- --suffix PATH : "${lib.makeBinPath [ fuse ] }" \
- --prefix LD_LIBRARY_PATH : "${fuse}/lib"
+ --suffix PATH : "${lib.makeBinPath [ fuse3 ] }" \
+ --prefix LD_LIBRARY_PATH : "${fuse3}/lib"
'';
passthru.tests = {
diff --git a/pkgs/applications/networking/sync/storj-uplink/default.nix b/pkgs/applications/networking/sync/storj-uplink/default.nix
index d1f141be8229..8f625a1fe1b0 100644
--- a/pkgs/applications/networking/sync/storj-uplink/default.nix
+++ b/pkgs/applications/networking/sync/storj-uplink/default.nix
@@ -5,18 +5,18 @@
buildGoModule rec {
pname = "storj-uplink";
- version = "1.96.2";
+ version = "1.100.3";
src = fetchFromGitHub {
owner = "storj";
repo = "storj";
rev = "v${version}";
- hash = "sha256-mQIrXDEfMMrubQyn90eu0k3isvnpaF237Tpd84HhUfU=";
+ hash = "sha256-/aR6M/zL7xL+ujmGgu9J8Toiy7/0ou76nYJ0vwd5RVM=";
};
subPackages = [ "cmd/uplink" ];
- vendorHash = "sha256-cUhdl0jqgkA89NeOdFSifR5LsTjeYifOXqBu3qCAovk=";
+ vendorHash = "sha256-84PI1tZFiodnGvMwObELVxXMCgIWINOrO0ISAWRnxRM=";
ldflags = [ "-s" "-w" ];
diff --git a/pkgs/applications/networking/sync/wdt/default.nix b/pkgs/applications/networking/sync/wdt/default.nix
index f6eb3a326cbd..521453e5ecb8 100644
--- a/pkgs/applications/networking/sync/wdt/default.nix
+++ b/pkgs/applications/networking/sync/wdt/default.nix
@@ -14,13 +14,13 @@
stdenv.mkDerivation {
pname = "wdt";
- version = "unstable-2023-12-01";
+ version = "unstable-2024-02-05";
src = fetchFromGitHub {
owner = "facebook";
repo = "wdt";
- rev = "66f17af009ef6eaf2707bb8bb511ba6bcf3d9bbe";
- sha256 = "sha256-ucnFcpH9Duru35kRT769zMX2BMqufZJopd2srKPJkrU=";
+ rev = "d94b2d5df6f1c803f9f3b8ed9247b752fa853865";
+ sha256 = "sha256-9TeJbZZq9uQ6KaEBFGDyIGcXgxi2y1aj55vxv5dAIzw=";
};
nativeBuildInputs = [ cmake ];
diff --git a/pkgs/applications/networking/syncthing/default.nix b/pkgs/applications/networking/syncthing/default.nix
index 5a3c42f2deb5..89c7147310dc 100644
--- a/pkgs/applications/networking/syncthing/default.nix
+++ b/pkgs/applications/networking/syncthing/default.nix
@@ -13,16 +13,16 @@ let
common = { stname, target, postInstall ? "" }:
buildGoModule rec {
pname = stname;
- version = "1.27.2";
+ version = "1.27.4";
src = fetchFromGitHub {
owner = "syncthing";
repo = "syncthing";
rev = "v${version}";
- hash = "sha256-CDOWN2b7DCRqhbJmiz4zp+q03GwmuVKv1MZT3NaO7lI=";
+ hash = "sha256-TXkZJAN72Qa3Mo2TYvCHNOl3BWfRxu78XXHW48Jjj0M=";
};
- vendorHash = "sha256-3MWVx0N17YgvFira56gvqMJlR1o/udtmWBn9oEVEp04=";
+ vendorHash = "sha256-ALdmETpEmvA3bTfBRK6LaO7bnlH03fljX1P7rqzskG4=";
nativeBuildInputs = lib.optionals stdenv.isDarwin [
# Recent versions of macOS seem to require binaries to be signed when
diff --git a/pkgs/applications/networking/taler/default.nix b/pkgs/applications/networking/taler/default.nix
index 474247d24b14..c1de12fb9427 100644
--- a/pkgs/applications/networking/taler/default.nix
+++ b/pkgs/applications/networking/taler/default.nix
@@ -1,4 +1,4 @@
-{ lib, stdenv, fetchgit, curl, gnunet, jansson, libgcrypt, libmicrohttpd_0_9_74
+{ lib, stdenv, fetchgit, curl, gnunet, jansson, libgcrypt, libmicrohttpd
, qrencode, libsodium, libtool, libunistring, pkg-config, postgresql
, autoreconfHook, python39, recutils, wget, jq, gettext, texinfo
}:
@@ -36,7 +36,7 @@ let
];
buildInputs = [
libgcrypt
- libmicrohttpd_0_9_74
+ libmicrohttpd
jansson
libsodium
postgresql
diff --git a/pkgs/applications/networking/twingate/default.nix b/pkgs/applications/networking/twingate/default.nix
index 3ac7e18086a9..c8e218a3fdff 100644
--- a/pkgs/applications/networking/twingate/default.nix
+++ b/pkgs/applications/networking/twingate/default.nix
@@ -13,11 +13,11 @@
stdenv.mkDerivation rec {
pname = "twingate";
- version = "2024.018.111147";
+ version = "2024.63.115357";
src = fetchurl {
url = "https://binaries.twingate.com/client/linux/DEB/x86_64/${version}/twingate-amd64.deb";
- hash = "sha256-lOW4Y2zRP1UGMgBSC3K92mF5172kp0B1nwfRpE1QX/M=";
+ hash = "sha256-VSm9gnHfo9LPwUvNwLeX7OjqMYgFUgGYSxx/qDndfwo=";
};
buildInputs = [
diff --git a/pkgs/applications/networking/upnp-router-control/default.nix b/pkgs/applications/networking/upnp-router-control/default.nix
index 928c7a69fd8c..761975a277bc 100644
--- a/pkgs/applications/networking/upnp-router-control/default.nix
+++ b/pkgs/applications/networking/upnp-router-control/default.nix
@@ -12,12 +12,12 @@
, gupnp_1_6
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "upnp-router-control";
version = "0.3.4";
src = fetchzip {
- url = "https://launchpad.net/upnp-router-control/trunk/${version}/+download/upnp-router-control-${version}.tar.xz";
+ url = "https://launchpad.net/upnp-router-control/trunk/${finalAttrs.version}/+download/upnp-router-control-${finalAttrs.version}.tar.xz";
hash = "sha256-28F/OB2fHemn7HLVFEDmefRA5AsEaQKy+Qbcv75z9w0=";
};
@@ -50,4 +50,4 @@ stdenv.mkDerivation rec {
platforms = platforms.all;
mainProgram = "upnp-router-control";
};
-}
+})
diff --git a/pkgs/applications/networking/wgcf/default.nix b/pkgs/applications/networking/wgcf/default.nix
index b088c61e599d..ecd4b9e06fa2 100644
--- a/pkgs/applications/networking/wgcf/default.nix
+++ b/pkgs/applications/networking/wgcf/default.nix
@@ -2,18 +2,18 @@
buildGoModule rec {
pname = "wgcf";
- version = "2.2.21";
+ version = "2.2.22";
src = fetchFromGitHub {
owner = "ViRb3";
repo = pname;
rev = "refs/tags/v${version}";
- hash = "sha256-FzzPDTRmDCBS7EZOgj4ckytbtlRPqPdHpyn3nF0yHdc=";
+ hash = "sha256-NzXIzOMc6rVX65FJe/S8rkYJbWNPWRz+mH7vP7Ch9Kw=";
};
subPackages = ".";
- vendorHash = "sha256-cGtm+rUgYppwwL/BizWikPUyFExHzLucL2o2g9PgGNw=";
+ vendorHash = "sha256-GinKmXHXWEGmCz83AU3z5JBmPnWJ9Q2EqEPgaTUiDgs=";
meta = with lib; {
description = "Cross-platform, unofficial CLI for Cloudflare Warp";
diff --git a/pkgs/applications/networking/xpipe/default.nix b/pkgs/applications/networking/xpipe/default.nix
index 7894a0fd030e..7221687ae714 100644
--- a/pkgs/applications/networking/xpipe/default.nix
+++ b/pkgs/applications/networking/xpipe/default.nix
@@ -33,14 +33,14 @@ let
}.${system} or throwSystem;
hash = {
- x86_64-linux = "sha256-kSJFKKqiSTa7sfHwZ3N7O01Eoi4cr86X7Dxkg+pzSgU=";
+ x86_64-linux = "sha256-L76UTgy1tGxj5KVXefz2uj2M/sse2n0byqRtW/wvwz8=";
}.${system} or throwSystem;
displayname = "XPipe";
in stdenvNoCC.mkDerivation rec {
pname = "xpipe";
- version = "1.7.16";
+ version = "8.4";
src = fetchzip {
url = "https://github.com/xpipe-io/xpipe/releases/download/${version}/xpipe-portable-linux-${arch}.tar.gz";
diff --git a/pkgs/applications/networking/yaup/default.nix b/pkgs/applications/networking/yaup/default.nix
index a5c49ece00d1..cc6ba09076cd 100644
--- a/pkgs/applications/networking/yaup/default.nix
+++ b/pkgs/applications/networking/yaup/default.nix
@@ -8,7 +8,7 @@
, miniupnpc
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation {
pname = "yaup";
version = "unstable-2019-10-16";
diff --git a/pkgs/applications/office/PageEdit/default.nix b/pkgs/applications/office/PageEdit/default.nix
index 0c98eaac4b37..5f47ca9bbe68 100644
--- a/pkgs/applications/office/PageEdit/default.nix
+++ b/pkgs/applications/office/PageEdit/default.nix
@@ -28,6 +28,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "ePub XHTML Visual Editor";
+ mainProgram = "pageedit";
homepage = "https://sigil-ebook.com/pageedit/";
license = licenses.gpl3Plus;
maintainers = [ maintainers.pasqui23 ];
diff --git a/pkgs/applications/office/abiword/default.nix b/pkgs/applications/office/abiword/default.nix
index 8f62a4187611..0ca18f8e360b 100644
--- a/pkgs/applications/office/abiword/default.nix
+++ b/pkgs/applications/office/abiword/default.nix
@@ -64,6 +64,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Word processing program, similar to Microsoft Word";
+ mainProgram = "abiword";
homepage = "https://www.abisource.com/";
license = licenses.gpl3;
platforms = platforms.linux;
diff --git a/pkgs/applications/office/appflowy/default.nix b/pkgs/applications/office/appflowy/default.nix
index 05b6171a07fc..ee508a1d59b9 100644
--- a/pkgs/applications/office/appflowy/default.nix
+++ b/pkgs/applications/office/appflowy/default.nix
@@ -13,11 +13,11 @@
stdenv.mkDerivation rec {
pname = "appflowy";
- version = "0.4.6";
+ version = "0.5.2";
src = fetchzip {
url = "https://github.com/AppFlowy-IO/appflowy/releases/download/${version}/AppFlowy-${version}-linux-x86_64.tar.gz";
- hash = "sha256-496uXlJ/3ID8fnW/LKwk0Waca4gSQBuKIFMJ4EJGcsA=";
+ hash = "sha256-yXrdV/m6Ss9DyYleA5K7Wz1RUa8fznDJl5Yvco+jaiA=";
stripRoot = false;
};
@@ -79,5 +79,6 @@ stdenv.mkDerivation rec {
changelog = "https://github.com/AppFlowy-IO/appflowy/releases/tag/${version}";
maintainers = with maintainers; [ darkonion0 ];
platforms = [ "x86_64-linux" ];
+ mainProgram = "appflowy";
};
}
diff --git a/pkgs/applications/office/beamerpresenter/default.nix b/pkgs/applications/office/beamerpresenter/default.nix
index 947c9946e5d3..c3e080ad3d52 100644
--- a/pkgs/applications/office/beamerpresenter/default.nix
+++ b/pkgs/applications/office/beamerpresenter/default.nix
@@ -87,7 +87,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Modular multi screen pdf presentation viewer";
homepage = "https://github.com/stiglers-eponym/BeamerPresenter";
- license = with licenses; [ agpl3 gpl3Plus ];
+ license = with licenses; [ agpl3Only gpl3Plus ];
platforms = platforms.all;
maintainers = with maintainers; [ pacien dotlambda ];
mainProgram = "beamerpresenter";
diff --git a/pkgs/applications/office/beancount/bean-add.nix b/pkgs/applications/office/beancount/bean-add.nix
index 1d5bafbdb406..9d451668eff6 100644
--- a/pkgs/applications/office/beancount/bean-add.nix
+++ b/pkgs/applications/office/beancount/bean-add.nix
@@ -22,6 +22,7 @@ stdenv.mkDerivation {
meta = {
homepage = "https://github.com/simon-v/bean-add/";
description = "beancount transaction entry assistant";
+ mainProgram = "bean-add";
# The (only) source file states:
# License: "Do what you feel is right, but don't be a jerk" public license.
diff --git a/pkgs/applications/office/beebeep/default.nix b/pkgs/applications/office/beebeep/default.nix
index 8fa5fc980714..978066b7199a 100644
--- a/pkgs/applications/office/beebeep/default.nix
+++ b/pkgs/applications/office/beebeep/default.nix
@@ -36,6 +36,7 @@ mkDerivation rec {
meta = with lib; {
homepage = "https://www.beebeep.net/";
description = "BeeBEEP is the free office messenger that is indispensable in all those places where privacy and security are an essential requirement.";
+ mainProgram = "beebeep";
platforms = platforms.linux;
license = licenses.gpl2Only;
maintainers = with maintainers; [ mglolenstine ];
diff --git a/pkgs/applications/office/bookworm/default.nix b/pkgs/applications/office/bookworm/default.nix
index 621fce9e4579..13d3c46c946d 100644
--- a/pkgs/applications/office/bookworm/default.nix
+++ b/pkgs/applications/office/bookworm/default.nix
@@ -82,6 +82,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A simple, focused eBook reader";
+ mainProgram = "com.github.babluboy.bookworm";
longDescription = ''
Read the books you love without having to worry about different format complexities like epub, pdf, mobi, cbr, etc.
'';
diff --git a/pkgs/applications/office/csv2odf/default.nix b/pkgs/applications/office/csv2odf/default.nix
index 436e8d97bdba..3ab5ff356b6e 100644
--- a/pkgs/applications/office/csv2odf/default.nix
+++ b/pkgs/applications/office/csv2odf/default.nix
@@ -11,6 +11,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
homepage = "https://sourceforge.net/p/csv2odf/wiki/Main_Page/";
description = "Convert csv files to OpenDocument Format";
+ mainProgram = "csv2odf";
longDescription = ''
csv2odf is a command line tool that can convert a comma separated value
(csv) file to an odf, ods, html, xlsx, or docx document that can be viewed in
diff --git a/pkgs/applications/office/cutemarked-ng/default.nix b/pkgs/applications/office/cutemarked-ng/default.nix
index 9488546aad6e..79555fc2f113 100644
--- a/pkgs/applications/office/cutemarked-ng/default.nix
+++ b/pkgs/applications/office/cutemarked-ng/default.nix
@@ -49,6 +49,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A Qt-based, free and open source markdown editor";
+ mainProgram = "cutemarked";
homepage = "https://github.com/Waqar144/CuteMarkEd-NG";
license = licenses.gpl2Plus;
maintainers = with maintainers; [ rewine ];
diff --git a/pkgs/applications/office/endeavour/default.nix b/pkgs/applications/office/endeavour/default.nix
index 09442c8b56ba..851eab538818 100644
--- a/pkgs/applications/office/endeavour/default.nix
+++ b/pkgs/applications/office/endeavour/default.nix
@@ -62,6 +62,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Personal task manager for GNOME";
+ mainProgram = "endeavour";
homepage = "https://gitlab.gnome.org/World/Endeavour";
license = licenses.gpl3Plus;
maintainers = teams.gnome.members;
diff --git a/pkgs/applications/office/espanso/default.nix b/pkgs/applications/office/espanso/default.nix
index d4d0290277e1..1b02f3be80ec 100644
--- a/pkgs/applications/office/espanso/default.nix
+++ b/pkgs/applications/office/espanso/default.nix
@@ -120,11 +120,6 @@ rustPlatform.buildRustPackage rec {
--replace '"/usr/local/bin/espanso"' '"${placeholder "out"}/bin/espanso"'
'';
- env = lib.optionalAttrs stdenv.cc.isClang {
- # Work around https://github.com/NixOS/nixpkgs/issues/166205.
- NIX_LDFLAGS = "-l${stdenv.cc.libcxx.cxxabi.libName}";
- };
-
# Some tests require networking
doCheck = false;
@@ -150,6 +145,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "Cross-platform Text Expander written in Rust";
+ mainProgram = "espanso";
homepage = "https://espanso.org";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ kimat thehedgeh0g ];
diff --git a/pkgs/applications/office/fava/default.nix b/pkgs/applications/office/fava/default.nix
index 9cdc5d1b5014..d00600bbea59 100644
--- a/pkgs/applications/office/fava/default.nix
+++ b/pkgs/applications/office/fava/default.nix
@@ -2,12 +2,12 @@
python3.pkgs.buildPythonApplication rec {
pname = "fava";
- version = "1.27.2";
+ version = "1.27.3";
format = "pyproject";
src = fetchPypi {
inherit pname version;
- hash = "sha256-kLQAWFHDmzsBjVMm/ZUn+TFkM52W0h0jg0wSp1tmPZQ=";
+ hash = "sha256-GsnXZaazEiOhyjbIinHRD1fdoqlAp3d5csrmtydxmGM=";
};
nativeBuildInputs = with python3.pkgs; [ setuptools-scm ];
@@ -47,6 +47,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "Web interface for beancount";
+ mainProgram = "fava";
homepage = "https://beancount.github.io/fava";
changelog = "https://beancount.github.io/fava/changelog.html";
license = licenses.mit;
diff --git a/pkgs/applications/office/foliate/default.nix b/pkgs/applications/office/foliate/default.nix
index 0ca0f87a073a..7a7aa8c1c9a1 100644
--- a/pkgs/applications/office/foliate/default.nix
+++ b/pkgs/applications/office/foliate/default.nix
@@ -51,6 +51,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A simple and modern GTK eBook reader";
+ mainProgram = "foliate";
homepage = "https://johnfactotum.github.io/foliate";
license = licenses.gpl3Only;
maintainers = with maintainers; [ onny ];
diff --git a/pkgs/applications/office/gnote/default.nix b/pkgs/applications/office/gnote/default.nix
index 922c41d1d42f..29c72b24cdce 100644
--- a/pkgs/applications/office/gnote/default.nix
+++ b/pkgs/applications/office/gnote/default.nix
@@ -52,6 +52,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Gnote";
description = "A note taking application";
+ mainProgram = "gnote";
maintainers = with maintainers; [ jfvillablanca ];
license = licenses.gpl3Only;
platforms = platforms.linux;
diff --git a/pkgs/applications/office/gnumeric/default.nix b/pkgs/applications/office/gnumeric/default.nix
index d0331a742472..7e351afadf53 100644
--- a/pkgs/applications/office/gnumeric/default.nix
+++ b/pkgs/applications/office/gnumeric/default.nix
@@ -7,11 +7,11 @@ let
inherit (python3Packages) python pygobject3;
in stdenv.mkDerivation rec {
pname = "gnumeric";
- version = "1.12.56";
+ version = "1.12.57";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "UaOPNaxbD3He+oueIL8uCFY3mPHLMzeamhdyb7Hj4bI=";
+ sha256 = "r/ULG2I0DCT8z0U9X60+f7c/S8SzT340tsPS2a9qHk8=";
};
configureFlags = [ "--disable-component" ];
diff --git a/pkgs/applications/office/grisbi/default.nix b/pkgs/applications/office/grisbi/default.nix
index 81f97b116b05..b0242fc4ae43 100644
--- a/pkgs/applications/office/grisbi/default.nix
+++ b/pkgs/applications/office/grisbi/default.nix
@@ -30,6 +30,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A personnal accounting application";
+ mainProgram = "grisbi";
longDescription = ''
Grisbi is an application written by French developers, so it perfectly
respects French accounting rules. Grisbi can manage multiple accounts,
diff --git a/pkgs/applications/office/gtg/default.nix b/pkgs/applications/office/gtg/default.nix
index 44aaa6c7146e..d7bad1d13390 100644
--- a/pkgs/applications/office/gtg/default.nix
+++ b/pkgs/applications/office/gtg/default.nix
@@ -72,6 +72,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = " A personal tasks and TODO-list items organizer";
+ mainProgram = "gtg";
longDescription = ''
"Getting Things GNOME" (GTG) is a personal tasks and ToDo list organizer inspired by the "Getting Things Done" (GTD) methodology.
GTG is intended to help you track everything you need to do and need to know, from small tasks to large projects.
diff --git a/pkgs/applications/office/hledger-check-fancyassertions/default.nix b/pkgs/applications/office/hledger-check-fancyassertions/default.nix
index 9ffac0f31291..366f4fb2ae97 100644
--- a/pkgs/applications/office/hledger-check-fancyassertions/default.nix
+++ b/pkgs/applications/office/hledger-check-fancyassertions/default.nix
@@ -39,5 +39,6 @@ stdenvNoCC.mkDerivation rec {
license = licenses.gpl3;
maintainers = [ maintainers.DamienCassou ];
platforms = lib.platforms.all; # GHC can cross-compile
+ mainProgram = "hledger-check-fancyassertions";
};
}
diff --git a/pkgs/applications/office/homebank/default.nix b/pkgs/applications/office/homebank/default.nix
index 4a3272a4e599..023a5729ed80 100644
--- a/pkgs/applications/office/homebank/default.nix
+++ b/pkgs/applications/office/homebank/default.nix
@@ -3,10 +3,10 @@
stdenv.mkDerivation rec {
pname = "homebank";
- version = "5.7.3";
+ version = "5.7.4";
src = fetchurl {
url = "https://www.gethomebank.org/public/sources/homebank-${version}.tar.gz";
- hash = "sha256-ad8XKlmazWZim/mLNmnsFSy5Oni7yv3HQxYX3SXzXcU=";
+ hash = "sha256-Qs5xRsh16gyjyTORtqm/RxTbRiHGP0oJTcxviYW7VOQ=";
};
nativeBuildInputs = [ pkg-config wrapGAppsHook intltool ];
@@ -14,9 +14,10 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Free, easy, personal accounting for everyone";
+ mainProgram = "homebank";
homepage = "https://www.gethomebank.org";
license = licenses.gpl2Plus;
- maintainers = with maintainers; [ pSub ];
+ maintainers = with maintainers; [ pSub frlan ];
platforms = platforms.linux ++ platforms.darwin;
};
}
diff --git a/pkgs/applications/office/ib/tws/default.nix b/pkgs/applications/office/ib/tws/default.nix
index 24c8cafcdda5..3b267d79f39f 100644
--- a/pkgs/applications/office/ib/tws/default.nix
+++ b/pkgs/applications/office/ib/tws/default.nix
@@ -16,8 +16,6 @@ stdenv.mkDerivation rec {
sha256 = "1a2jiwwnr5g3xfba1a89c257bdbnq4zglri8hz021vk7f6s4rlrf";
};
- phases = [ "unpackPhase" "buildPhase" "installPhase" ];
-
buildInputs = [ jdk ];
buildPhase = ''
diff --git a/pkgs/applications/office/iotas/default.nix b/pkgs/applications/office/iotas/default.nix
index 4b6a251f0460..08a4a1bd3d6e 100644
--- a/pkgs/applications/office/iotas/default.nix
+++ b/pkgs/applications/office/iotas/default.nix
@@ -19,15 +19,15 @@
python3.pkgs.buildPythonApplication rec {
pname = "iotas";
- version = "0.2.7";
- format = "other";
+ version = "0.2.10";
+ pyproject = false;
src = fetchFromGitLab {
domain = "gitlab.gnome.org";
- owner = "cheywood";
- repo = pname;
+ owner = "World";
+ repo = "iotas";
rev = version;
- hash = "sha256-k3Qbi/BwkJlQzlyTlo9SjJ1M3zMFHo4669rzd+BBPzQ=";
+ hash = "sha256-aITt+TJb/LrVOyb/mAC7U6/NJ4stHD76jjBFC7Pt7fU=";
};
nativeBuildInputs = [
@@ -57,6 +57,7 @@ python3.pkgs.buildPythonApplication rec {
markdown-it-py
linkify-it-py
mdit-py-plugins
+ pypandoc
];
# prevent double wrapping
@@ -67,9 +68,10 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "Simple note taking with mobile-first design and Nextcloud sync";
- homepage = "https://gitlab.gnome.org/cheywood/iotas";
+ homepage = "https://gitlab.gnome.org/World/iotas";
license = licenses.gpl3Plus;
platforms = platforms.linux;
+ mainProgram = "iotas";
maintainers = with maintainers; [ zendo ];
};
}
diff --git a/pkgs/applications/office/jameica/default.nix b/pkgs/applications/office/jameica/default.nix
index 655de5227bb0..66d2a80c5555 100644
--- a/pkgs/applications/office/jameica/default.nix
+++ b/pkgs/applications/office/jameica/default.nix
@@ -1,4 +1,18 @@
-{ lib, stdenv, fetchFromGitHub, makeDesktopItem, makeWrapper, wrapGAppsHook, ant, jdk, jre, gtk2, glib, xorg, Cocoa }:
+{ lib
+, stdenv
+, fetchFromGitHub
+, makeDesktopItem
+, makeWrapper
+, wrapGAppsHook
+, stripJavaArchivesHook
+, ant
+, jdk
+, jre
+, gtk2
+, glib
+, libXtst
+, Cocoa
+}:
let
_version = "2.10.4";
@@ -26,10 +40,6 @@ stdenv.mkDerivation rec {
pname = "jameica";
inherit version;
- nativeBuildInputs = [ ant jdk wrapGAppsHook makeWrapper ];
- buildInputs = lib.optionals stdenv.isLinux [ gtk2 glib xorg.libXtst ]
- ++ lib.optional stdenv.isDarwin Cocoa;
-
src = fetchFromGitHub {
owner = "willuhn";
repo = "jameica";
@@ -37,15 +47,23 @@ stdenv.mkDerivation rec {
hash = "sha256-MSVSd5DyVL+dcfTDv1M99hxickPwT2Pt6QGNsu6DGZI=";
};
+ nativeBuildInputs = [ ant jdk wrapGAppsHook makeWrapper stripJavaArchivesHook ];
+ buildInputs = lib.optionals stdenv.isLinux [ gtk2 glib libXtst ]
+ ++ lib.optional stdenv.isDarwin Cocoa;
+
dontWrapGApps = true;
# there is also a build.gradle, but it only seems to be used to vendor 3rd party libraries
# and is not able to build the application itself
buildPhase = ''
- (cd build; ant -Dsystem.version=${version} init compile jar)
+ runHook preBuild
+ ant -f build -Dsystem.version=${version} init compile jar
+ runHook postBuild
'';
installPhase = ''
+ runHook preInstall
+
mkdir -p $out/libexec $out/lib $out/bin $out/share/{applications,jameica-${version},java}/
# copy libraries except SWT
@@ -57,6 +75,8 @@ stdenv.mkDerivation rec {
install -Dm644 plugin.xml $out/share/java/
install -Dm644 build/jameica-icon.png $out/share/pixmaps/jameica.png
cp ${desktopItem}/share/applications/* $out/share/applications/
+
+ runHook postInstall
'';
postFixup = ''
@@ -83,5 +103,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2Plus;
platforms = [ "x86_64-linux" "i686-linux" "x86_64-darwin" "aarch64-linux" ];
maintainers = with maintainers; [ flokli r3dl3g ];
+ mainProgram = "jameica";
};
}
diff --git a/pkgs/applications/office/karlender/default.nix b/pkgs/applications/office/karlender/default.nix
index 222a91b5d620..db3e5c6876d9 100644
--- a/pkgs/applications/office/karlender/default.nix
+++ b/pkgs/applications/office/karlender/default.nix
@@ -54,6 +54,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "Mobile-friendly GTK calendar application";
+ mainProgram = "karlender";
homepage = "https://gitlab.com/floers/karlender";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ chuangzhu ];
diff --git a/pkgs/applications/office/kbibtex/default.nix b/pkgs/applications/office/kbibtex/default.nix
index 1b3b4accc4ea..e7b04848ca40 100644
--- a/pkgs/applications/office/kbibtex/default.nix
+++ b/pkgs/applications/office/kbibtex/default.nix
@@ -70,6 +70,7 @@ mkDerivation rec {
meta = with lib; {
description = "Bibliography editor for KDE";
+ mainProgram = "kbibtex";
homepage = "https://userbase.kde.org/KBibTeX";
changelog = "https://invent.kde.org/office/kbibtex/-/raw/v${version}/ChangeLog";
license = licenses.gpl2Plus;
diff --git a/pkgs/applications/office/kitsas/default.nix b/pkgs/applications/office/kitsas/default.nix
index c3c3f5392679..e1b3a2b1a729 100644
--- a/pkgs/applications/office/kitsas/default.nix
+++ b/pkgs/applications/office/kitsas/default.nix
@@ -41,6 +41,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/artoh/kitupiikki";
description = "An accounting tool suitable for Finnish associations and small business";
+ mainProgram = "kitsas";
maintainers = with maintainers; [ gspia ];
license = licenses.gpl3Plus;
platforms = platforms.unix;
diff --git a/pkgs/applications/office/kmymoney/default.nix b/pkgs/applications/office/kmymoney/default.nix
index 3b39f88add75..a910d76b29c9 100644
--- a/pkgs/applications/office/kmymoney/default.nix
+++ b/pkgs/applications/office/kmymoney/default.nix
@@ -76,6 +76,7 @@ stdenv.mkDerivation rec {
meta = {
description = "Personal finance manager for KDE";
+ mainProgram = "kmymoney";
homepage = "https://kmymoney.org/";
platforms = lib.platforms.linux;
license = lib.licenses.gpl2Plus;
diff --git a/pkgs/applications/office/ktimetracker/default.nix b/pkgs/applications/office/ktimetracker/default.nix
index 3b1700cc24fa..58720625cc8e 100644
--- a/pkgs/applications/office/ktimetracker/default.nix
+++ b/pkgs/applications/office/ktimetracker/default.nix
@@ -25,6 +25,7 @@ kio knotifications kwindowsystem kxmlgui ktextwidgets
meta = with lib; {
description = "Todo management and time tracking application";
+ mainProgram = "ktimetracker";
license = licenses.gpl2;
homepage = "https://userbase.kde.org/KTimeTracker";
maintainers = with maintainers; [ dtzWill ];
diff --git a/pkgs/applications/office/ledger-web/default.nix b/pkgs/applications/office/ledger-web/default.nix
index ce8b401cb6b9..e19d4492ac91 100644
--- a/pkgs/applications/office/ledger-web/default.nix
+++ b/pkgs/applications/office/ledger-web/default.nix
@@ -19,5 +19,6 @@ bundlerApp {
license = licenses.mit;
maintainers = with maintainers; [ peterhoeg manveru nicknovitski ];
platforms = platforms.linux;
+ mainProgram = "ledger_web";
};
}
diff --git a/pkgs/applications/office/libreoffice/default.nix b/pkgs/applications/office/libreoffice/default.nix
index e7fa0c53f730..46677de9bbc1 100644
--- a/pkgs/applications/office/libreoffice/default.nix
+++ b/pkgs/applications/office/libreoffice/default.nix
@@ -98,7 +98,7 @@
, gpgme
, libwebp
, abseil-cpp
-, langs ? [ "ar" "ca" "cs" "da" "de" "en-GB" "en-US" "eo" "es" "fr" "hu" "it" "ja" "nl" "pl" "pt" "pt-BR" "ro" "ru" "sl" "tr" "uk" "zh-CN" ]
+, langs ? [ "ar" "ca" "cs" "da" "de" "en-GB" "en-US" "eo" "es" "fi" "fr" "hu" "it" "ja" "nl" "pl" "pt" "pt-BR" "ro" "ru" "sl" "tr" "uk" "zh-CN" ]
, withHelp ? true
, kdeIntegration ? false
, wrapQtAppsHook ? null
diff --git a/pkgs/applications/office/mendeley/default.nix b/pkgs/applications/office/mendeley/default.nix
index 81857f99f37d..2808dd14e2c6 100644
--- a/pkgs/applications/office/mendeley/default.nix
+++ b/pkgs/applications/office/mendeley/default.nix
@@ -7,13 +7,13 @@
let
pname = "mendeley";
- version = "2.105.0";
+ version = "2.110.2";
executableName = "${pname}-reference-manager";
src = fetchurl {
url = "https://static.mendeley.com/bin/desktop/mendeley-reference-manager-${version}-x86_64.AppImage";
- hash = "sha256-vs430WLApRu+Xw2gYgriOD0jsQqTW+qhI1g4r67W9aM=";
+ hash = "sha256-AJNNCPEwLAO1+Zub6Yyad5Zcsl35zf4dEboyGE9wSX8=";
};
appimageContents = appimageTools.extractType2 {
@@ -39,6 +39,7 @@ in appimageTools.wrapType2 {
license = licenses.unfree;
platforms = [ "x86_64-linux" ];
maintainers = with maintainers; [ dtzWill atila ];
+ mainProgram = "mendeley-reference-manager";
};
}
diff --git a/pkgs/applications/office/micropad/default.nix b/pkgs/applications/office/micropad/default.nix
index c693d83c7cfe..c50e14015f3b 100644
--- a/pkgs/applications/office/micropad/default.nix
+++ b/pkgs/applications/office/micropad/default.nix
@@ -96,5 +96,6 @@ in
license = licenses.mpl20;
maintainers = with maintainers; [rhysmdnz];
inherit (electron.meta) platforms;
+ mainProgram = "micropad";
};
}
diff --git a/pkgs/applications/office/moneyplex/default.nix b/pkgs/applications/office/moneyplex/default.nix
deleted file mode 100644
index 08d926a6d5e2..000000000000
--- a/pkgs/applications/office/moneyplex/default.nix
+++ /dev/null
@@ -1,123 +0,0 @@
-{ lib, stdenv, fetchurl, patchelf, coreutils, pcsclite
-, zlib, glib, gdk-pixbuf, gtk2, cairo, pango, libX11, atk, openssl
-, runtimeShell }:
-
-let
- libPath = lib.makeLibraryPath [
- stdenv.cc.cc zlib glib gdk-pixbuf gtk2 cairo pango libX11 atk openssl
- ];
-
- src_i686 = {
- url = "http://www.matrica.com/download/distribution/moneyplex_16_install32_22424.tar.gz";
- sha256 = "0yfpc6s85r08g796dycl378kagkma865vp7j72npia3hjc4vwamr";
- };
-
- src_x86_64 = {
- url = "http://www.matrica.com/download/distribution/moneyplex_16_install64_22424.tar.gz";
- sha256 = "03vxbg1yp8qyvcn6bw2a5s134nxzq9cn0vqbmlld7hh4knbsfqzw";
- };
-in
-
-stdenv.mkDerivation {
- pname = "moneyplex";
- version = "16.0.22424";
-
- src = fetchurl (if stdenv.hostPlatform.system == "i686-linux" then src_i686
- else if stdenv.hostPlatform.system == "x86_64-linux" then src_x86_64
- else throw "moneyplex requires i686-linux or x86_64-linux");
-
-
- phases = [ "unpackPhase" "installPhase" "postInstall" ];
-
- buildInputs = [ ];
-
- installPhase =
- ''
- mkdir -p "$out/opt/moneyplex"
- cp -r . $out/opt/moneyplex
-
- mkdir "$out/bin"
-
- cat > $out/bin/moneyplex < $out/share/applications/moneyplex.desktop < $out
+ '';
unpackCmd = "dpkg -x $src .";
sourceRoot = ".";
@@ -102,6 +131,6 @@ stdenv.mkDerivation rec {
sourceProvenance = with sourceTypes; [ binaryNativeCode ];
hydraPlatforms = [ ];
license = licenses.unfreeRedistributable;
- maintainers = with maintainers; [ mlatus th0rgal rewine ];
+ maintainers = with maintainers; [ mlatus th0rgal rewine pokon548 ];
};
}
diff --git a/pkgs/applications/office/zk/default.nix b/pkgs/applications/office/zk/default.nix
index 066c2d244b72..6cdbb0d63af2 100644
--- a/pkgs/applications/office/zk/default.nix
+++ b/pkgs/applications/office/zk/default.nix
@@ -26,5 +26,6 @@ buildGoModule rec {
license = licenses.gpl3;
description = "A zettelkasten plain text note-taking assistant";
homepage = "https://github.com/mickael-menu/zk";
+ mainProgram = "zk";
};
}
diff --git a/pkgs/applications/office/zotero/default.nix b/pkgs/applications/office/zotero/default.nix
index a5c49591f086..e343790da2c7 100644
--- a/pkgs/applications/office/zotero/default.nix
+++ b/pkgs/applications/office/zotero/default.nix
@@ -41,12 +41,12 @@
stdenv.mkDerivation rec {
pname = "zotero";
- version = "6.0.30";
+ version = "6.0.35";
src = fetchurl {
url =
"https://download.zotero.org/client/release/${version}/Zotero-${version}_linux-x86_64.tar.bz2";
- hash = "sha256-4XQZ1xw9Qtk3SzHMsEUk+HuIYtHDAOMgpwzbAd5QQpU=";
+ hash = "sha256-HAVLmamEPuFf0548/iEXes+f4XnQ7kU1u9hyOYhVyZ0=";
};
nativeBuildInputs = [ wrapGAppsHook ];
diff --git a/pkgs/applications/office/zotero/zotero_7.nix b/pkgs/applications/office/zotero/zotero_7.nix
index 941581d22df0..4d4ea36c5acf 100644
--- a/pkgs/applications/office/zotero/zotero_7.nix
+++ b/pkgs/applications/office/zotero/zotero_7.nix
@@ -47,8 +47,8 @@ stdenv.mkDerivation rec {
version = "7.0.0-beta";
src = fetchurl {
- url = "https://download.zotero.org/client/beta/${version}.51%2B7c5600913/Zotero-${version}.51%2B7c5600913_linux-x86_64.tar.bz2";
- hash = "sha256-zJ+jG7zlvWq+WEYOPyMIhqHPfsUe9tn0cbRyibQ7bFw=";
+ url = "https://download.zotero.org/client/beta/${version}.65%2Bb047f3d90/Zotero-${version}.65%2Bb047f3d90_linux-x86_64.tar.bz2";
+ hash = "sha256-e7T/hvb8bFb4hkouihBkgqUu4ugYoUMHNVKxh0r/WUM=";
};
nativeBuildInputs = [
@@ -125,10 +125,12 @@ stdenv.mkDerivation rec {
# install desktop file and icons.
mkdir -p $out/share/applications
cp ${desktopItem}/share/applications/* $out/share/applications/
- for size in 16 32 48 256; do
- install -Dm444 chrome/icons/default/default$size.png \
+ for size in 32 64 128; do
+ install -Dm444 icons/icon$size.png \
$out/share/icons/hicolor/''${size}x''${size}/apps/zotero.png
done
+ install -Dm444 icons/symbolic.svg \
+ $out/share/icons/hicolor/symbolic/apps/zotero-symbolic.svg
runHook postInstall
'';
@@ -142,6 +144,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://www.zotero.org";
description = "Collect, organize, cite, and share your research sources";
+ mainProgram = "zotero";
sourceProvenance = with sourceTypes; [ binaryNativeCode ];
license = licenses.agpl3Only;
platforms = [ "x86_64-linux" ];
diff --git a/pkgs/applications/plasma-mobile/plasma-dialer.nix b/pkgs/applications/plasma-mobile/plasma-dialer.nix
index 1c2223e3c89f..5a5132cd9051 100644
--- a/pkgs/applications/plasma-mobile/plasma-dialer.nix
+++ b/pkgs/applications/plasma-mobile/plasma-dialer.nix
@@ -79,6 +79,7 @@ mkDerivation rec {
meta = with lib; {
description = "Dialer for Plasma Mobile";
+ mainProgram = "plasmaphonedialer";
homepage = "https://invent.kde.org/plasma-mobile/plasma-dialer";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ samueldr ];
diff --git a/pkgs/applications/plasma-mobile/plasma-phonebook.nix b/pkgs/applications/plasma-mobile/plasma-phonebook.nix
index 7e465260da8d..bc186b67d317 100644
--- a/pkgs/applications/plasma-mobile/plasma-phonebook.nix
+++ b/pkgs/applications/plasma-mobile/plasma-phonebook.nix
@@ -33,6 +33,7 @@ mkDerivation rec {
meta = with lib; {
description = "Phone book for Plasma Mobile";
+ mainProgram = "plasma-phonebook";
homepage = "https://invent.kde.org/plasma-mobile/plasma-phonebook";
# https://invent.kde.org/plasma-mobile/plasma-phonebook/-/commit/3ac27760417e51c051c5dd44155c3f42dd000e4f
license = licenses.gpl3Plus;
diff --git a/pkgs/applications/plasma-mobile/plasma-settings.nix b/pkgs/applications/plasma-mobile/plasma-settings.nix
index d44998ebd17d..8e7b20672fa9 100644
--- a/pkgs/applications/plasma-mobile/plasma-settings.nix
+++ b/pkgs/applications/plasma-mobile/plasma-settings.nix
@@ -50,6 +50,7 @@ mkDerivation rec {
meta = with lib; {
description = "Settings application for Plasma Mobile";
+ mainProgram = "plasma-settings";
homepage = "https://invent.kde.org/plasma-mobile/plasma-settings";
# https://invent.kde.org/plasma-mobile/plasma-settings/-/commit/a59007f383308503e59498b3036e1483bca26e35
license = licenses.gpl2Plus;
diff --git a/pkgs/applications/plasma-mobile/spacebar.nix b/pkgs/applications/plasma-mobile/spacebar.nix
index 659b92228d2c..7ae6e7684acc 100644
--- a/pkgs/applications/plasma-mobile/spacebar.nix
+++ b/pkgs/applications/plasma-mobile/spacebar.nix
@@ -49,6 +49,7 @@ mkDerivation {
meta = with lib; {
description = "SMS application for Plasma Mobile";
+ mainProgram = "spacebar";
homepage = "https://invent.kde.org/plasma-mobile/spacebar";
license = licenses.gpl2Plus;
maintainers = with maintainers; [ samueldr ];
diff --git a/pkgs/applications/printing/pappl/default.nix b/pkgs/applications/printing/pappl/default.nix
index 4c0bcfb4ed38..821944387eff 100644
--- a/pkgs/applications/printing/pappl/default.nix
+++ b/pkgs/applications/printing/pappl/default.nix
@@ -13,23 +13,15 @@
stdenv.mkDerivation rec {
pname = "pappl";
- version = "1.1.0";
+ version = "1.4.6";
src = fetchFromGitHub {
owner = "michaelrsweet";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-FsmR0fFb9bU9G3oUyJU1eDLcoZ6OQ2//TINlPrW6lU0=";
+ sha256 = "sha256-d7QD6Kz4tBVHGFPBYcvRSzW+EtsNgpfweFvCx3ovfWE=";
};
- patches = [
- (fetchpatch {
- name = "file-offset-bits-64-linux.patch";
- url = "https://github.com/michaelrsweet/pappl/commit/7ec4ce4331b6637c54a37943269e05d15ff6dd47.patch";
- sha256 = "sha256-x5lriopWw6Mn2qjv19flsleEzPMHU4jYWRy0y6hTL5k=";
- })
- ];
-
outputs = [ "out" "dev" ];
nativeBuildInputs = [
@@ -63,9 +55,10 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "C-based framework/library for developing CUPS Printer Applications";
+ mainProgram = "pappl-makeresheader";
homepage = "https://github.com/michaelrsweet/pappl";
license = licenses.asl20;
platforms = platforms.linux; # should also work for darwin, but requires additional work
- maintainers = with maintainers; [ jonringer ];
+ maintainers = with maintainers; [ jonringer ChlorideCull ];
};
}
diff --git a/pkgs/applications/radio/abracadabra/default.nix b/pkgs/applications/radio/abracadabra/default.nix
index 38c140af9b7c..a9de02d1fa80 100644
--- a/pkgs/applications/radio/abracadabra/default.nix
+++ b/pkgs/applications/radio/abracadabra/default.nix
@@ -6,13 +6,13 @@
stdenv.mkDerivation rec {
pname = "abracadabra";
- version = "2.4.0";
+ version = "2.5.0";
src = fetchFromGitHub {
owner = "KejPi";
repo = "AbracaDABra";
rev = "v${version}";
- hash = "sha256-viB6vRqBvYbFJh6wYs7kIk4sY9SZHRz1KlHJ3DTwUFQ=";
+ hash = "sha256-w/WAcTorLCzqHLwQjbZwaHGytLXHr4eW7Yx768on67Q=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/radio/aldo/default.nix b/pkgs/applications/radio/aldo/default.nix
index cbe906e4672d..3488ddecde39 100644
--- a/pkgs/applications/radio/aldo/default.nix
+++ b/pkgs/applications/radio/aldo/default.nix
@@ -22,5 +22,6 @@ in stdenv.mkDerivation {
license = licenses.gpl3Plus;
maintainers = with maintainers; [ ];
platforms = platforms.linux;
+ mainProgram = "aldo";
};
}
diff --git a/pkgs/applications/radio/anytone-emu/default.nix b/pkgs/applications/radio/anytone-emu/default.nix
index eea51546057e..5f4731616667 100644
--- a/pkgs/applications/radio/anytone-emu/default.nix
+++ b/pkgs/applications/radio/anytone-emu/default.nix
@@ -38,5 +38,6 @@ rustPlatform.buildRustPackage rec {
license = licenses.gpl3Only;
maintainers = with maintainers; [ janik ];
platforms = platforms.linux;
+ mainProgram = "anytone-emu";
};
}
diff --git a/pkgs/applications/radio/btlejack/default.nix b/pkgs/applications/radio/btlejack/default.nix
index c5eb8d5cfe4d..5be832d6d9a6 100644
--- a/pkgs/applications/radio/btlejack/default.nix
+++ b/pkgs/applications/radio/btlejack/default.nix
@@ -20,6 +20,7 @@ buildPythonApplication rec {
meta = with lib; {
homepage = "https://github.com/virtualabs/btlejack";
description = "Bluetooth Low Energy Swiss-army knife";
+ mainProgram = "btlejack";
license = licenses.mit;
maintainers = with maintainers; [ oxzi ];
};
diff --git a/pkgs/applications/radio/chirp/default.nix b/pkgs/applications/radio/chirp/default.nix
index 6b41c36550ef..066c93361899 100644
--- a/pkgs/applications/radio/chirp/default.nix
+++ b/pkgs/applications/radio/chirp/default.nix
@@ -9,13 +9,13 @@
python3.pkgs.buildPythonApplication rec {
pname = "chirp";
- version = "unstable-2023-06-02";
+ version = "unstable-2024-02-08";
src = fetchFromGitHub {
owner = "kk7ds";
repo = "chirp";
- rev = "72789c3652c332dc68ba694f8f8f005913fe5c95";
- hash = "sha256-WQwCX7h9BFLdYOBVVntxQ6g4t3j7QLfNmlHVLzlRh7U=";
+ rev = "902043a937ee3611744f2a4e35cd902c7b0a8d0b";
+ hash = "sha256-oDUtR1xD73rfBRKkbE1f68siO/4oxoLxw16w1qa9fEo=";
};
buildInputs = [
glib
@@ -44,6 +44,7 @@ python3.pkgs.buildPythonApplication rec {
description = "A free, open-source tool for programming your amateur radio";
homepage = "https://chirp.danplanet.com/";
license = licenses.gpl3Plus;
+ maintainers = [ maintainers.emantor ];
platforms = platforms.linux;
};
}
diff --git a/pkgs/applications/radio/cloudlog/default.nix b/pkgs/applications/radio/cloudlog/default.nix
index efdf95fc9841..7d4df1d0e9bb 100644
--- a/pkgs/applications/radio/cloudlog/default.nix
+++ b/pkgs/applications/radio/cloudlog/default.nix
@@ -8,13 +8,13 @@
stdenvNoCC.mkDerivation rec {
pname = "cloudlog";
- version = "2.6.3";
+ version = "2.6.6";
src = fetchFromGitHub {
owner = "magicbug";
repo = "Cloudlog";
rev = version;
- hash = "sha256-axulZxMSgpBtF2cUCUWiVdiEOAalvo6RNtG4xpEmC7o=";
+ hash = "sha256-wq7CCf+IJ7BpbLwXBemDrsqXy2dHITubQKNR2bUfqW0=";
};
postPatch = ''
diff --git a/pkgs/applications/radio/cqrlog/default.nix b/pkgs/applications/radio/cqrlog/default.nix
index a167642dd7e7..af1e283249d8 100644
--- a/pkgs/applications/radio/cqrlog/default.nix
+++ b/pkgs/applications/radio/cqrlog/default.nix
@@ -95,6 +95,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Linux logging program for amateur radio operators";
+ mainProgram = "cqrlog";
homepage = "https://www.cqrlog.com/";
license = licenses.gpl2Plus;
maintainers = with maintainers; [ shamilton ];
diff --git a/pkgs/applications/radio/cubicsdr/default.nix b/pkgs/applications/radio/cubicsdr/default.nix
index 2af45d0394cb..839fc9ceaaa1 100644
--- a/pkgs/applications/radio/cubicsdr/default.nix
+++ b/pkgs/applications/radio/cubicsdr/default.nix
@@ -36,5 +36,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2Plus;
maintainers = with maintainers; [ lasandell ];
platforms = platforms.unix;
+ mainProgram = "CubicSDR";
};
}
diff --git a/pkgs/applications/radio/dmrconfig/default.nix b/pkgs/applications/radio/dmrconfig/default.nix
index dab93eabe71c..292263c0f269 100644
--- a/pkgs/applications/radio/dmrconfig/default.nix
+++ b/pkgs/applications/radio/dmrconfig/default.nix
@@ -47,5 +47,6 @@ stdenv.mkDerivation rec {
license = licenses.asl20;
maintainers = with maintainers; [ ];
platforms = platforms.linux;
+ mainProgram = "dmrconfig";
};
}
diff --git a/pkgs/applications/radio/dsd/default.nix b/pkgs/applications/radio/dsd/default.nix
index c98974740667..72b6f305d3c6 100644
--- a/pkgs/applications/radio/dsd/default.nix
+++ b/pkgs/applications/radio/dsd/default.nix
@@ -34,5 +34,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2;
platforms = platforms.unix;
maintainers = with maintainers; [ andrew-d ];
+ mainProgram = "dsd";
};
}
diff --git a/pkgs/applications/radio/ebook2cw/default.nix b/pkgs/applications/radio/ebook2cw/default.nix
index 329d87d80f4c..4c1d2a3424e7 100644
--- a/pkgs/applications/radio/ebook2cw/default.nix
+++ b/pkgs/applications/radio/ebook2cw/default.nix
@@ -28,5 +28,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2;
platforms = platforms.all;
maintainers = with maintainers; [ earldouglas ];
+ mainProgram = "ebook2cw";
};
}
diff --git a/pkgs/applications/radio/flamp/default.nix b/pkgs/applications/radio/flamp/default.nix
index 6d9c58eb9ab9..4e7326af1932 100644
--- a/pkgs/applications/radio/flamp/default.nix
+++ b/pkgs/applications/radio/flamp/default.nix
@@ -37,5 +37,6 @@ stdenv.mkDerivation (finalAttrs: {
maintainers = with maintainers; [ stteague ];
platforms = platforms.unix;
broken = stdenv.system == "x86_64-darwin";
+ mainProgram = "flamp";
};
})
diff --git a/pkgs/applications/radio/flex-ndax/default.nix b/pkgs/applications/radio/flex-ndax/default.nix
index b1bda9fadce3..d350d481b9f5 100644
--- a/pkgs/applications/radio/flex-ndax/default.nix
+++ b/pkgs/applications/radio/flex-ndax/default.nix
@@ -21,5 +21,6 @@ buildGoModule rec {
description = "FlexRadio digital audio transport (DAX) connector for PulseAudio";
license = licenses.mit;
maintainers = with maintainers; [ mvs ];
+ mainProgram = "nDAX";
};
}
diff --git a/pkgs/applications/radio/fllog/default.nix b/pkgs/applications/radio/fllog/default.nix
index b9490e47e5a5..46b99349be4f 100644
--- a/pkgs/applications/radio/fllog/default.nix
+++ b/pkgs/applications/radio/fllog/default.nix
@@ -30,5 +30,6 @@ stdenv.mkDerivation rec {
license = lib.licenses.gpl3Plus;
maintainers = with lib.maintainers; [ dysinger ];
platforms = lib.platforms.linux;
+ mainProgram = "fllog";
};
}
diff --git a/pkgs/applications/radio/flmsg/default.nix b/pkgs/applications/radio/flmsg/default.nix
index d4b97e3a29dc..510c6e4b3323 100644
--- a/pkgs/applications/radio/flmsg/default.nix
+++ b/pkgs/applications/radio/flmsg/default.nix
@@ -30,5 +30,6 @@ stdenv.mkDerivation rec {
license = lib.licenses.gpl3Plus;
maintainers = with lib.maintainers; [ dysinger ];
platforms = lib.platforms.linux;
+ mainProgram = "flmsg";
};
}
diff --git a/pkgs/applications/radio/flrig/default.nix b/pkgs/applications/radio/flrig/default.nix
index 83c28d47f7bb..18c4ab1c2052 100644
--- a/pkgs/applications/radio/flrig/default.nix
+++ b/pkgs/applications/radio/flrig/default.nix
@@ -32,5 +32,6 @@ stdenv.mkDerivation rec {
license = lib.licenses.gpl3Plus;
maintainers = with lib.maintainers; [ dysinger ];
platforms = lib.platforms.linux;
+ mainProgram = "flrig";
};
}
diff --git a/pkgs/applications/radio/flwrap/default.nix b/pkgs/applications/radio/flwrap/default.nix
index 04b96b36c144..96c3125552d6 100644
--- a/pkgs/applications/radio/flwrap/default.nix
+++ b/pkgs/applications/radio/flwrap/default.nix
@@ -30,5 +30,6 @@ stdenv.mkDerivation rec {
license = lib.licenses.gpl3Plus;
maintainers = with lib.maintainers; [ dysinger ];
platforms = lib.platforms.linux;
+ mainProgram = "flwrap";
};
}
diff --git a/pkgs/applications/radio/freedv/default.nix b/pkgs/applications/radio/freedv/default.nix
index 4af1843b17b7..f02337ff71be 100644
--- a/pkgs/applications/radio/freedv/default.nix
+++ b/pkgs/applications/radio/freedv/default.nix
@@ -92,5 +92,6 @@ stdenv.mkDerivation rec {
license = licenses.lgpl21;
maintainers = with maintainers; [ mvs wegank ];
platforms = platforms.unix;
+ mainProgram = "freedv";
};
}
diff --git a/pkgs/applications/radio/gnss-sdr/default.nix b/pkgs/applications/radio/gnss-sdr/default.nix
index c1d3950bed01..2a0d61a8e596 100644
--- a/pkgs/applications/radio/gnss-sdr/default.nix
+++ b/pkgs/applications/radio/gnss-sdr/default.nix
@@ -21,13 +21,13 @@
gnuradio.pkgs.mkDerivation rec {
pname = "gnss-sdr";
- version = "0.0.17";
+ version = "0.0.19.1";
src = fetchFromGitHub {
owner = "gnss-sdr";
repo = "gnss-sdr";
rev = "v${version}";
- sha256 = "sha256-0aAjkrVAswoRL/KANBSZ5Jq4Y9VwOHZKUKLpXDdKtk8=";
+ sha256 = "sha256-IbkYdw1pwI+FMnZMChsxMz241Kv4EzMcBb0mm6/jq1k=";
};
patches = [
@@ -75,27 +75,27 @@ gnuradio.pkgs.mkDerivation rec {
];
cmakeFlags = [
- "-DGFlags_INCLUDE_DIRS=${gflags}/include"
- "-DGLOG_INCLUDE_DIR=${glog}/include"
+ (lib.cmakeFeature "GFlags_INCLUDE_DIRS" "${gflags}/include")
+ (lib.cmakeFeature "GLOG_INCLUDE_DIR" "${glog}/include")
# Should use .dylib if darwin support is requested
- "-DGFlags_LIBS=${gflags}/lib/libgflags.so"
- "-DGLOG_LIBRARIES=${glog}/lib/libglog.so"
+ (lib.cmakeFeature "GFlags_LIBS" "${gflags}/lib/libgflags.so")
+ (lib.cmakeFeature "-DGLOG_LIBRARIES" "${glog}/lib/libglog.so")
# Use our dependencies glog, gflags and armadillo dependencies
- "-DENABLE_OWN_GLOG=OFF"
- "-DENABLE_OWN_ARMADILLO=OFF"
- "-DENABLE_ORC=ON"
- "-DENABLE_LOG=ON"
- "-DENABLE_RAW_UDP=${if enableRawUdp then "ON" else "OFF"}"
- "-DENABLE_UHD=${if (gnuradio.hasFeature "gr-uhd") then "ON" else "OFF"}"
- "-DENABLE_FMCOMMS2=${if (gnuradio.hasFeature "gr-iio" && gnuradio.hasFeature "gr-pdu") then "ON" else "OFF"}"
- "-DENABLE_PLUTOSDR=${if (gnuradio.hasFeature "gr-iio") then "ON" else "OFF"}"
- "-DENABLE_AD9361=${if (gnuradio.hasFeature "gr-pdu") then "ON" else "OFF"}"
- "-DENABLE_UNIT_TESTING=OFF"
+ (lib.cmakeBool "ENABLE_OWN_GLOG" false)
+ (lib.cmakeBool "ENABLE_OWN_ARMADILLO" false)
+ (lib.cmakeBool "ENABLE_ORC" true)
+ (lib.cmakeBool "ENABLE_LOG" true)
+ (lib.cmakeBool "ENABLE_RAW_UDP" enableRawUdp)
+ (lib.cmakeBool "ENABLE_UHD" (gnuradio.hasFeature "gr-uhd"))
+ (lib.cmakeBool "ENABLE_FMCOMMS2" (gnuradio.hasFeature "gr-iio" && gnuradio.hasFeature "gr-pdu"))
+ (lib.cmakeBool "ENABLE_PLUTOSDR" (gnuradio.hasFeature "gr-iio"))
+ (lib.cmakeBool "ENABLE_AD9361" (gnuradio.hasFeature "gr-pdu"))
+ (lib.cmakeBool "ENABLE_UNIT_TESTING" false)
# gnss-sdr doesn't truly depend on BLAS or LAPACK, as long as
# armadillo is built using both, so skip checking for them.
- "-DBLAS_LIBRARIES=-lblas"
- "-DLAPACK_LIBRARIES=-llapack"
+ (lib.cmakeFeature "BLAS_LIBRARIES" "-lblas")
+ (lib.cmakeFeature "LAPACK_LIBRARIES" "-llapack")
];
meta = with lib; {
diff --git a/pkgs/applications/radio/gnss-sdr/fix_libcpu_features_install_path.patch b/pkgs/applications/radio/gnss-sdr/fix_libcpu_features_install_path.patch
index 46925e741a1c..4ff86bfab4be 100644
--- a/pkgs/applications/radio/gnss-sdr/fix_libcpu_features_install_path.patch
+++ b/pkgs/applications/radio/gnss-sdr/fix_libcpu_features_install_path.patch
@@ -1,7 +1,7 @@
--- i/CMakeLists.txt
+++ w/CMakeLists.txt
-@@ -1210,7 +1210,7 @@ if(NOT VOLKGNSSSDR_FOUND)
- BINARY_DIR ${CMAKE_BINARY_DIR}/volk_gnsssdr_module/build
+@@ -1233,7 +1233,7 @@ if(NOT VOLKGNSSSDR_FOUND)
+ BINARY_DIR ${GNSSSDR_BINARY_DIR}/volk_gnsssdr_module/build
CMAKE_ARGS ${VOLK_GNSSSDR_CMAKE_ARGS}
-DCMAKE_BUILD_TYPE=$<$:None>$<$:Debug>$<$:Release>$<$:RelWithDebInfo>$<$:MinSizeRel>$<$:NoOptWithASM>$<$:Coverage>$<$:O2WithASM>$<$:O3WithASM>$<$:ASAN>
- -DCMAKE_INSTALL_LIBDIR=${CMAKE_INSTALL_LIBDIR}
@@ -9,17 +9,17 @@
DOWNLOAD_COMMAND ""
UPDATE_COMMAND ""
PATCH_COMMAND ""
-@@ -1248,7 +1248,7 @@ if(NOT VOLKGNSSSDR_FOUND)
+@@ -1274,7 +1274,7 @@ if(NOT VOLKGNSSSDR_FOUND)
)
set(VOLK_GNSSSDR_BUILD_BYPRODUCTS
${VOLK_GNSSSDR_BUILD_BYPRODUCTS}
-- ${CMAKE_BINARY_DIR}/volk_gnsssdr_module/install/${CMAKE_INSTALL_LIBDIR}/${CMAKE_FIND_LIBRARY_PREFIXES}cpu_features${CMAKE_STATIC_LIBRARY_SUFFIX}
-+ ${CMAKE_BINARY_DIR}/volk_gnsssdr_module/install/lib/${CMAKE_FIND_LIBRARY_PREFIXES}cpu_features${CMAKE_STATIC_LIBRARY_SUFFIX}
+- ${GNSSSDR_BINARY_DIR}/volk_gnsssdr_module/install/${CMAKE_INSTALL_LIBDIR}/${CMAKE_FIND_LIBRARY_PREFIXES}cpu_features${CMAKE_STATIC_LIBRARY_SUFFIX}
++ ${GNSSSDR_BINARY_DIR}/volk_gnsssdr_module/install/lib/${CMAKE_FIND_LIBRARY_PREFIXES}cpu_features${CMAKE_STATIC_LIBRARY_SUFFIX}
)
endif()
endif()
-@@ -1261,7 +1261,7 @@ if(NOT VOLKGNSSSDR_FOUND)
- BINARY_DIR ${CMAKE_BINARY_DIR}/volk_gnsssdr_module/build
+@@ -1287,7 +1287,7 @@ if(NOT VOLKGNSSSDR_FOUND)
+ BINARY_DIR ${GNSSSDR_BINARY_DIR}/volk_gnsssdr_module/build
CMAKE_ARGS ${VOLK_GNSSSDR_CMAKE_ARGS}
-DCMAKE_BUILD_TYPE=$<$:None>$<$:Debug>$<$:Release>$<$:RelWithDebInfo>$<$:MinSizeRel>$<$:NoOptWithASM>$<$:Coverage>$<$:O2WithASM>$<$:O3WithASM>$<$:ASAN>
- -DCMAKE_INSTALL_LIBDIR=${CMAKE_INSTALL_LIBDIR}
@@ -27,8 +27,8 @@
DOWNLOAD_COMMAND ""
UPDATE_COMMAND ""
PATCH_COMMAND ""
-@@ -1280,7 +1280,7 @@ if(NOT VOLKGNSSSDR_FOUND)
- BINARY_DIR ${CMAKE_BINARY_DIR}/volk_gnsssdr_module/build
+@@ -1306,7 +1306,7 @@ if(NOT VOLKGNSSSDR_FOUND)
+ BINARY_DIR ${GNSSSDR_BINARY_DIR}/volk_gnsssdr_module/build
CMAKE_ARGS ${VOLK_GNSSSDR_CMAKE_ARGS}
-DCMAKE_BUILD_TYPE=$<$:None>$<$:Debug>$<$:Release>$<$:RelWithDebInfo>$<$:MinSizeRel>$<$:NoOptWithASM>$<$:Coverage>$<$:O2WithASM>$<$:O3WithASM>$<$:ASAN>
- -DCMAKE_INSTALL_LIBDIR=${CMAKE_INSTALL_LIBDIR}
@@ -36,12 +36,12 @@
DOWNLOAD_COMMAND ""
UPDATE_COMMAND ""
PATCH_COMMAND ""
-@@ -1319,7 +1319,7 @@ if(NOT VOLKGNSSSDR_FOUND)
+@@ -1346,7 +1346,7 @@ if(NOT VOLKGNSSSDR_FOUND)
if(CMAKE_VERSION VERSION_GREATER 3.0 AND SUPPORTED_CPU_FEATURES_ARCH)
if(NOT CPUFEATURES_FOUND AND ENABLE_CPUFEATURES)
set_target_properties(Volkgnsssdr::volkgnsssdr PROPERTIES
-- INTERFACE_LINK_LIBRARIES ${CMAKE_BINARY_DIR}/volk_gnsssdr_module/install/${CMAKE_INSTALL_LIBDIR}/${CMAKE_FIND_LIBRARY_PREFIXES}cpu_features${CMAKE_STATIC_LIBRARY_SUFFIX}
-+ INTERFACE_LINK_LIBRARIES ${CMAKE_BINARY_DIR}/volk_gnsssdr_module/install/lib/${CMAKE_FIND_LIBRARY_PREFIXES}cpu_features${CMAKE_STATIC_LIBRARY_SUFFIX}
+- INTERFACE_LINK_LIBRARIES ${GNSSSDR_BINARY_DIR}/volk_gnsssdr_module/install/${CMAKE_INSTALL_LIBDIR}/${CMAKE_FIND_LIBRARY_PREFIXES}cpu_features${CMAKE_STATIC_LIBRARY_SUFFIX}
++ INTERFACE_LINK_LIBRARIES ${GNSSSDR_BINARY_DIR}/volk_gnsssdr_module/install/lib/${CMAKE_FIND_LIBRARY_PREFIXES}cpu_features${CMAKE_STATIC_LIBRARY_SUFFIX}
)
endif()
endif()
diff --git a/pkgs/applications/radio/gnuradio/shared.nix b/pkgs/applications/radio/gnuradio/shared.nix
index cd674e41815e..da3479777d4a 100644
--- a/pkgs/applications/radio/gnuradio/shared.nix
+++ b/pkgs/applications/radio/gnuradio/shared.nix
@@ -125,6 +125,7 @@ in {
meta = with lib; {
description = "Software Defined Radio (SDR) software";
+ mainProgram = "gnuradio-config-info";
longDescription = ''
GNU Radio is a free & open-source software development toolkit that
provides signal processing blocks to implement software radios. It can be
diff --git a/pkgs/applications/radio/gqrx/default.nix b/pkgs/applications/radio/gqrx/default.nix
index a4b12cc676fc..1079b2c19641 100644
--- a/pkgs/applications/radio/gqrx/default.nix
+++ b/pkgs/applications/radio/gqrx/default.nix
@@ -81,6 +81,7 @@ gnuradioMinimal.pkgs.mkDerivation rec {
meta = with lib; {
description = "Software defined radio (SDR) receiver";
+ mainProgram = "gqrx";
longDescription = ''
Gqrx is a software defined radio receiver powered by GNU Radio and the Qt
GUI toolkit. It can process I/Q data from many types of input devices,
diff --git a/pkgs/applications/radio/gridtracker/default.nix b/pkgs/applications/radio/gridtracker/default.nix
index 31eb90fb2240..5769fbbdf1c8 100644
--- a/pkgs/applications/radio/gridtracker/default.nix
+++ b/pkgs/applications/radio/gridtracker/default.nix
@@ -29,6 +29,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "An amateur radio companion to WSJT-X or JTDX";
+ mainProgram = "gridtracker";
longDescription = ''
GridTracker listens to traffic from WSJT-X/JTDX, displays it on a map,
and has a sophisticated alerting and filtering system for finding and
diff --git a/pkgs/applications/radio/grig/default.nix b/pkgs/applications/radio/grig/default.nix
index 33ae8b8ff88b..b288317c03c7 100644
--- a/pkgs/applications/radio/grig/default.nix
+++ b/pkgs/applications/radio/grig/default.nix
@@ -23,6 +23,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A simple Ham Radio control (CAT) program based on Hamlib";
+ mainProgram = "grig";
longDescription = ''
Grig is a graphical user interface for the Ham Radio Control Libraries.
It is intended to be simple and generic, presenting the user with the
diff --git a/pkgs/applications/radio/guglielmo/default.nix b/pkgs/applications/radio/guglielmo/default.nix
index f449fc061d3b..98cde58e8cff 100644
--- a/pkgs/applications/radio/guglielmo/default.nix
+++ b/pkgs/applications/radio/guglielmo/default.nix
@@ -47,6 +47,7 @@ mkDerivation rec {
meta = with lib; {
description = "Qt based FM / Dab tuner";
+ mainProgram = "guglielmo";
homepage = "https://github.com/marcogrecopriolo/guglielmo";
license = licenses.gpl2Only;
maintainers = [ maintainers.markuskowa ];
diff --git a/pkgs/applications/radio/hackrf/default.nix b/pkgs/applications/radio/hackrf/default.nix
index 647f3efd6706..bc6b2e424f60 100644
--- a/pkgs/applications/radio/hackrf/default.nix
+++ b/pkgs/applications/radio/hackrf/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "hackrf";
- version = "2023.01.1";
+ version = "2024.02.1";
src = fetchFromGitHub {
owner = "greatscottgadgets";
repo = "hackrf";
rev = "v${version}";
- sha256 = "sha256-zvSSCNtqHOZVlrBggjgxEyUTqTiAIAhdzUkm4Pm9b3k=";
+ sha256 = "sha256-b3nGrk2P6ZLYBSCSD7c0aIApCh3ZoVDcFftybqm4vx0=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/radio/inspectrum/default.nix b/pkgs/applications/radio/inspectrum/default.nix
index fa5eda7b783b..afdf80ede1a0 100644
--- a/pkgs/applications/radio/inspectrum/default.nix
+++ b/pkgs/applications/radio/inspectrum/default.nix
@@ -36,6 +36,7 @@ gnuradio3_8Minimal.pkgs.mkDerivation rec {
meta = with lib; {
description = "Tool for analysing captured signals from sdr receivers";
+ mainProgram = "inspectrum";
homepage = "https://github.com/miek/inspectrum";
maintainers = with maintainers; [ mog ];
platforms = platforms.linux;
diff --git a/pkgs/applications/radio/kalibrate-hackrf/default.nix b/pkgs/applications/radio/kalibrate-hackrf/default.nix
index f10840e1bea9..1d821bba4adf 100644
--- a/pkgs/applications/radio/kalibrate-hackrf/default.nix
+++ b/pkgs/applications/radio/kalibrate-hackrf/default.nix
@@ -34,5 +34,6 @@ stdenv.mkDerivation {
license = licenses.bsd2;
platforms = platforms.linux;
maintainers = [ maintainers.mog ];
+ mainProgram = "kal-hackrf";
};
}
diff --git a/pkgs/applications/radio/kappanhang/default.nix b/pkgs/applications/radio/kappanhang/default.nix
index 41037c509219..be8fa24a949e 100644
--- a/pkgs/applications/radio/kappanhang/default.nix
+++ b/pkgs/applications/radio/kappanhang/default.nix
@@ -22,5 +22,6 @@ buildGoModule rec {
license = licenses.mit;
platforms = platforms.linux;
maintainers = with maintainers; [ mvs ];
+ mainProgram = "kappanhang";
};
}
diff --git a/pkgs/applications/radio/klog/default.nix b/pkgs/applications/radio/klog/default.nix
index 248561b3ee13..a9b9c5959a8c 100644
--- a/pkgs/applications/radio/klog/default.nix
+++ b/pkgs/applications/radio/klog/default.nix
@@ -16,6 +16,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A multiplatform free hamradio logger";
+ mainProgram = "klog";
longDescription = ''
KLog provides QSO management, useful QSL management DX-Cluster client, DXCC management,
ClubLog integration, WSJT-X, DX-Marathon support and much more.
diff --git a/pkgs/applications/radio/minimodem/default.nix b/pkgs/applications/radio/minimodem/default.nix
index c023e76b273e..a09e62dfd191 100644
--- a/pkgs/applications/radio/minimodem/default.nix
+++ b/pkgs/applications/radio/minimodem/default.nix
@@ -36,6 +36,7 @@ stdenv.mkDerivation rec {
license = lib.licenses.gpl3Plus;
platforms = with lib.platforms; linux;
maintainers = with lib.maintainers; [ relrod ];
+ mainProgram = "minimodem";
};
}
diff --git a/pkgs/applications/radio/multimon-ng/default.nix b/pkgs/applications/radio/multimon-ng/default.nix
index 776313d49161..646f8a990249 100644
--- a/pkgs/applications/radio/multimon-ng/default.nix
+++ b/pkgs/applications/radio/multimon-ng/default.nix
@@ -21,6 +21,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Multimon is a digital baseband audio protocol decoder";
+ mainProgram = "multimon-ng";
longDescription = ''
multimon-ng a fork of multimon, a digital baseband audio
protocol decoder for common signaling modes in commercial and
diff --git a/pkgs/applications/radio/noaa-apt/default.nix b/pkgs/applications/radio/noaa-apt/default.nix
index 95ab7f9baadd..65024c24b00e 100644
--- a/pkgs/applications/radio/noaa-apt/default.nix
+++ b/pkgs/applications/radio/noaa-apt/default.nix
@@ -66,5 +66,6 @@ rustPlatform.buildRustPackage rec {
maintainers = with maintainers; [ trepetti tmarkus ];
platforms = platforms.all;
changelog = "https://github.com/martinber/noaa-apt/releases/tag/v${version}";
+ mainProgram = "noaa-apt";
};
}
diff --git a/pkgs/applications/radio/openwebrx/default.nix b/pkgs/applications/radio/openwebrx/default.nix
index 4ca4d369d79c..b85d02ce042e 100644
--- a/pkgs/applications/radio/openwebrx/default.nix
+++ b/pkgs/applications/radio/openwebrx/default.nix
@@ -94,6 +94,7 @@ buildPythonApplication rec {
meta = with lib; {
homepage = "https://github.com/jketterl/openwebrx";
description = "A simple DSP library and command-line tool for Software Defined Radio";
+ mainProgram = "openwebrx";
license = licenses.gpl3Only;
maintainers = teams.c3d2.members;
};
diff --git a/pkgs/applications/radio/pat/default.nix b/pkgs/applications/radio/pat/default.nix
index bddb3b986a33..61cee240e5cf 100644
--- a/pkgs/applications/radio/pat/default.nix
+++ b/pkgs/applications/radio/pat/default.nix
@@ -41,5 +41,6 @@ buildGoModule rec {
license = licenses.mit;
maintainers = with maintainers; [ dotemup sarcasticadmin ];
platforms = platforms.unix;
+ mainProgram = "pat";
};
}
diff --git a/pkgs/applications/radio/qlog/default.nix b/pkgs/applications/radio/qlog/default.nix
index 0298c3f78367..a752592d412d 100644
--- a/pkgs/applications/radio/qlog/default.nix
+++ b/pkgs/applications/radio/qlog/default.nix
@@ -16,13 +16,13 @@
stdenv.mkDerivation rec {
pname = "qlog";
- version = "0.32.0";
+ version = "0.33.1";
src = fetchFromGitHub {
owner = "foldynl";
repo = "QLog";
rev = "v${version}";
- hash = "sha256-GU4TdGtVh7CgiPYQJp0D6X9G1ge4Lzp/AaqbtyOWGtw=";
+ hash = "sha256-stPzkCLcjzQT0n1NRGT7YN625RPYhJ9FuMkjtFZwtbA=";
fetchSubmodules = true;
};
@@ -48,6 +48,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Amateur radio logbook software";
+ mainProgram = "qlog";
license = with licenses; [ gpl3Only ];
homepage = "https://github.com/foldynl/QLog";
maintainers = with maintainers; [ oliver-koss mkg20001 ];
diff --git a/pkgs/applications/radio/qradiolink/default.nix b/pkgs/applications/radio/qradiolink/default.nix
index 78ff080f4032..ab413ca82e38 100644
--- a/pkgs/applications/radio/qradiolink/default.nix
+++ b/pkgs/applications/radio/qradiolink/default.nix
@@ -79,8 +79,9 @@ gnuradio3_8.pkgs.mkDerivation rec {
meta = with lib; {
description = "SDR transceiver application for analog and digital modes";
+ mainProgram = "qradiolink";
homepage = "http://qradiolink.org/";
- license = licenses.agpl3;
+ license = licenses.agpl3Plus;
maintainers = [ maintainers.markuskowa ];
platforms = platforms.linux;
};
diff --git a/pkgs/applications/radio/qsstv/default.nix b/pkgs/applications/radio/qsstv/default.nix
index 6e7a28eb7c74..43d9ad13e4b9 100644
--- a/pkgs/applications/radio/qsstv/default.nix
+++ b/pkgs/applications/radio/qsstv/default.nix
@@ -26,6 +26,7 @@ mkDerivation rec {
meta = with lib; {
description = "Qt-based slow-scan TV and fax";
+ mainProgram = "qsstv";
homepage = "http://users.telenet.be/on4qz/";
platforms = platforms.linux;
license = lib.licenses.gpl3;
diff --git a/pkgs/applications/radio/rtl_433/default.nix b/pkgs/applications/radio/rtl_433/default.nix
index 8619179efae4..46b9a9ed2ea3 100644
--- a/pkgs/applications/radio/rtl_433/default.nix
+++ b/pkgs/applications/radio/rtl_433/default.nix
@@ -25,5 +25,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl2Plus;
maintainers = with maintainers; [ earldouglas markuskowa ];
platforms = platforms.all;
+ mainProgram = "rtl_433";
};
}
diff --git a/pkgs/applications/radio/sdrangel/default.nix b/pkgs/applications/radio/sdrangel/default.nix
index 4c547cb4b125..aa5b3426d19b 100644
--- a/pkgs/applications/radio/sdrangel/default.nix
+++ b/pkgs/applications/radio/sdrangel/default.nix
@@ -52,13 +52,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "sdrangel";
- version = "7.17.3";
+ version = "7.19.1";
src = fetchFromGitHub {
owner = "f4exb";
repo = "sdrangel";
rev = "v${finalAttrs.version}";
- hash = "sha256-NjahPDHM6qbBXTpDSe8HQPslMO0yTd6/0piNzrFNerM=";
+ hash = "sha256-nPJaO8GDA2HtbvC7mHzbFMQMs1tRYB44lw2lnUy6Di4=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/radio/sdrplay/default.nix b/pkgs/applications/radio/sdrplay/default.nix
index 8e7c14ec5e24..9d9ee298e09c 100644
--- a/pkgs/applications/radio/sdrplay/default.nix
+++ b/pkgs/applications/radio/sdrplay/default.nix
@@ -64,5 +64,6 @@ stdenv.mkDerivation rec {
license = licenses.unfree;
maintainers = with maintainers; [ pmenke zaninime ];
platforms = platforms.linux;
+ mainProgram = "sdrplay_apiService";
};
}
diff --git a/pkgs/applications/radio/sdrpp/default.nix b/pkgs/applications/radio/sdrpp/default.nix
index 76fa70984c20..1ae5c028d28e 100644
--- a/pkgs/applications/radio/sdrpp/default.nix
+++ b/pkgs/applications/radio/sdrpp/default.nix
@@ -134,5 +134,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl3Only;
platforms = platforms.unix;
maintainers = with maintainers; [ sikmir ];
+ mainProgram = "sdrpp";
};
}
diff --git a/pkgs/applications/radio/sigdigger/default.nix b/pkgs/applications/radio/sigdigger/default.nix
index 4273d148ce28..03f783f970da 100644
--- a/pkgs/applications/radio/sigdigger/default.nix
+++ b/pkgs/applications/radio/sigdigger/default.nix
@@ -51,6 +51,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Qt-based digital signal analyzer, using Suscan core and Sigutils DSP library";
+ mainProgram = "SigDigger";
homepage = "https://github.com/BatchDrake/SigDigger";
license = licenses.gpl3;
platforms = platforms.all;
diff --git a/pkgs/applications/radio/soapyremote/default.nix b/pkgs/applications/radio/soapyremote/default.nix
index ebb34b0a0ce5..c6e7cddd0903 100644
--- a/pkgs/applications/radio/soapyremote/default.nix
+++ b/pkgs/applications/radio/soapyremote/default.nix
@@ -27,5 +27,6 @@ in stdenv.mkDerivation {
license = licenses.boost;
maintainers = with maintainers; [ markuskowa ];
platforms = platforms.unix;
+ mainProgram = "SoapySDRServer";
};
}
diff --git a/pkgs/applications/radio/srsran/default.nix b/pkgs/applications/radio/srsran/default.nix
index a6745d2c406c..d5897fe32020 100644
--- a/pkgs/applications/radio/srsran/default.nix
+++ b/pkgs/applications/radio/srsran/default.nix
@@ -41,10 +41,12 @@ stdenv.mkDerivation rec {
zeromq
];
+ cmakeFlags = [ "-DENABLE_WERROR=OFF" ];
+
meta = with lib; {
homepage = "https://www.srslte.com/";
description = "Open-source 4G and 5G software radio suite.";
- license = licenses.agpl3;
+ license = licenses.agpl3Plus;
platforms = with platforms; linux ;
maintainers = with maintainers; [ hexagonal-sun ];
};
diff --git a/pkgs/applications/radio/tncattach/default.nix b/pkgs/applications/radio/tncattach/default.nix
index 5d88c84f7174..c536112e63a9 100644
--- a/pkgs/applications/radio/tncattach/default.nix
+++ b/pkgs/applications/radio/tncattach/default.nix
@@ -28,5 +28,6 @@ stdenv.mkDerivation rec {
license = licenses.mit;
maintainers = with maintainers; [ sarcasticadmin ];
platforms = platforms.linux;
+ mainProgram = "tncattach";
};
}
diff --git a/pkgs/applications/radio/tqsl/default.nix b/pkgs/applications/radio/tqsl/default.nix
index a207ba2f1468..a67ddcbee6ab 100644
--- a/pkgs/applications/radio/tqsl/default.nix
+++ b/pkgs/applications/radio/tqsl/default.nix
@@ -21,6 +21,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Software for using the ARRL Logbook of the World";
+ mainProgram = "tqsl";
homepage = "https://www.arrl.org/tqsl-download";
license = licenses.bsd3;
platforms = platforms.linux;
diff --git a/pkgs/applications/radio/wsjtx/default.nix b/pkgs/applications/radio/wsjtx/default.nix
index 23fb34db0e32..b624898664c8 100644
--- a/pkgs/applications/radio/wsjtx/default.nix
+++ b/pkgs/applications/radio/wsjtx/default.nix
@@ -27,7 +27,7 @@ stdenv.mkDerivation rec {
These modes were all designed for making reliable, confirmed ham radio
contacts under extreme weak-signal conditions.
'';
- homepage = "https://physics.princeton.edu/pulsar/k1jt/wsjtx.html";
+ homepage = "https://wsjt.sourceforge.io";
license = with licenses; [ gpl3Plus ];
platforms = platforms.linux;
maintainers = with maintainers; [ lasandell numinit melling ];
diff --git a/pkgs/applications/radio/xlog/default.nix b/pkgs/applications/radio/xlog/default.nix
index fe2b915e93ad..4e492af65600 100644
--- a/pkgs/applications/radio/xlog/default.nix
+++ b/pkgs/applications/radio/xlog/default.nix
@@ -28,5 +28,6 @@ stdenv.mkDerivation rec {
maintainers = [ maintainers.mafo ];
license = licenses.gpl3;
platforms = platforms.unix;
+ mainProgram = "xlog";
};
}
diff --git a/pkgs/applications/science/astronomy/astrolog/default.nix b/pkgs/applications/science/astronomy/astrolog/default.nix
index 743a58e9e053..7ad05e35e24e 100644
--- a/pkgs/applications/science/astronomy/astrolog/default.nix
+++ b/pkgs/applications/science/astronomy/astrolog/default.nix
@@ -58,6 +58,7 @@ stdenv.mkDerivation rec {
maintainers = [ maintainers.kmein ];
homepage = "https://astrolog.org/astrolog.htm";
description = "Freeware astrology program";
+ mainProgram = "astrolog";
platforms = platforms.linux;
license = licenses.gpl2Plus;
};
diff --git a/pkgs/applications/science/astronomy/calcmysky/default.nix b/pkgs/applications/science/astronomy/calcmysky/default.nix
index cf762d36de74..9b7f50415ecd 100644
--- a/pkgs/applications/science/astronomy/calcmysky/default.nix
+++ b/pkgs/applications/science/astronomy/calcmysky/default.nix
@@ -11,13 +11,13 @@
stdenv.mkDerivation rec {
pname = "calcmysky";
- version = "0.3.1";
+ version = "0.3.2";
src = fetchFromGitHub {
owner = "10110111";
repo = "CalcMySky";
rev = "refs/tags/v${version}";
- hash = "sha256-oqYOXoIPVqCD3HL7ShNoF89W725hFHX0Ei/yVJNTS5I=";
+ hash = "sha256-AP6YkORbvH8PzF869s2OWbTwTfwMC+RLJx3V3BqVy88=";
};
nativeBuildInputs = [ cmake wrapQtAppsHook ];
diff --git a/pkgs/applications/science/astronomy/celestia/default.nix b/pkgs/applications/science/astronomy/celestia/default.nix
index d9130223e836..8b40196fdbff 100644
--- a/pkgs/applications/science/astronomy/celestia/default.nix
+++ b/pkgs/applications/science/astronomy/celestia/default.nix
@@ -29,6 +29,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://celestia.space/";
description = "Real-time 3D simulation of space";
+ mainProgram = "celestia";
changelog = "https://github.com/CelestiaProject/Celestia/releases/tag/${version}";
license = licenses.gpl2Plus;
maintainers = with maintainers; [ hjones2199 ];
diff --git a/pkgs/applications/science/astronomy/gpredict/default.nix b/pkgs/applications/science/astronomy/gpredict/default.nix
index 1fd2d4ac2adc..56c5a92460dd 100644
--- a/pkgs/applications/science/astronomy/gpredict/default.nix
+++ b/pkgs/applications/science/astronomy/gpredict/default.nix
@@ -29,6 +29,7 @@ in stdenv.mkDerivation {
meta = with lib; {
description = "Real time satellite tracking and orbit prediction";
+ mainProgram = "gpredict";
longDescription = ''
Gpredict is a real time satellite tracking and orbit prediction program
written using the GTK widgets. Gpredict is targetted mainly towards ham radio
diff --git a/pkgs/applications/science/astronomy/gravit/default.nix b/pkgs/applications/science/astronomy/gravit/default.nix
index a957bfc988bc..36f58d8d18a1 100644
--- a/pkgs/applications/science/astronomy/gravit/default.nix
+++ b/pkgs/applications/science/astronomy/gravit/default.nix
@@ -38,6 +38,7 @@ stdenv.mkDerivation rec {
broken = (stdenv.isLinux && stdenv.isAarch64);
homepage = "https://github.com/gak/gravit";
description = "Beautiful OpenGL-based gravity simulator";
+ mainProgram = "gravit";
license = lib.licenses.gpl2Plus;
longDescription = ''
diff --git a/pkgs/applications/science/astronomy/kstars/default.nix b/pkgs/applications/science/astronomy/kstars/default.nix
index 14c684d432c4..ab141666c0aa 100644
--- a/pkgs/applications/science/astronomy/kstars/default.nix
+++ b/pkgs/applications/science/astronomy/kstars/default.nix
@@ -40,6 +40,7 @@ mkDerivation rec {
meta = with lib; {
description = "Virtual planetarium astronomy software";
+ mainProgram = "kstars";
homepage = "https://kde.org/applications/education/org.kde.kstars";
longDescription = ''
It provides an accurate graphical simulation of the night sky, from any location on Earth, at any date and time.
diff --git a/pkgs/applications/science/astronomy/stellarium/default.nix b/pkgs/applications/science/astronomy/stellarium/default.nix
index cad727c75fd6..59472c4b25ce 100644
--- a/pkgs/applications/science/astronomy/stellarium/default.nix
+++ b/pkgs/applications/science/astronomy/stellarium/default.nix
@@ -95,6 +95,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
description = "Free open-source planetarium";
+ mainProgram = "stellarium";
homepage = "https://stellarium.org/";
license = lib.licenses.gpl2Plus;
platforms = lib.platforms.unix;
diff --git a/pkgs/applications/science/astronomy/xearth/default.nix b/pkgs/applications/science/astronomy/xearth/default.nix
index 7fcc588658ae..6123f277fd12 100644
--- a/pkgs/applications/science/astronomy/xearth/default.nix
+++ b/pkgs/applications/science/astronomy/xearth/default.nix
@@ -17,6 +17,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "sets the X root window to an image of the Earth";
+ mainProgram = "xearth";
homepage = "https://xearth.org";
longDescription =
'' Xearth sets the X root window to an image of the Earth, as seen from your favorite vantage point in space,
diff --git a/pkgs/applications/science/astronomy/xplanet/default.nix b/pkgs/applications/science/astronomy/xplanet/default.nix
index 94aa92a1b713..677bc0cdf077 100644
--- a/pkgs/applications/science/astronomy/xplanet/default.nix
+++ b/pkgs/applications/science/astronomy/xplanet/default.nix
@@ -30,6 +30,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Renders an image of the earth or other planets into the X root window";
+ mainProgram = "xplanet";
homepage = "https://xplanet.sourceforge.net";
license = licenses.gpl2;
maintainers = with maintainers; [ lassulus sander ];
diff --git a/pkgs/applications/science/biology/aragorn/default.nix b/pkgs/applications/science/biology/aragorn/default.nix
index 4ee8501e8e13..3ec62ada04c9 100644
--- a/pkgs/applications/science/biology/aragorn/default.nix
+++ b/pkgs/applications/science/biology/aragorn/default.nix
@@ -44,6 +44,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "Detects tRNA, mtRNA, and tmRNA genes in nucleotide sequences";
+ mainProgram = "aragorn";
homepage = "http://www.ansikte.se/ARAGORN/";
license = licenses.gpl3Plus;
maintainers = [ maintainers.bzizou ];
diff --git a/pkgs/applications/science/biology/astral/default.nix b/pkgs/applications/science/biology/astral/default.nix
index 6a9513c6b817..34077949b97d 100644
--- a/pkgs/applications/science/biology/astral/default.nix
+++ b/pkgs/applications/science/biology/astral/default.nix
@@ -2,59 +2,78 @@
, stdenvNoCC
, fetchFromGitHub
, jdk8
-, makeWrapper
, jre8
+, strip-nondeterminism
+, makeWrapper
, zip
}:
+
let
jdk = jdk8;
jre = jre8;
in
-stdenvNoCC.mkDerivation rec {
+stdenvNoCC.mkDerivation (finalAttrs: {
pname = "astral";
version = "5.7.1";
src = fetchFromGitHub {
owner = "smirarab";
repo = "ASTRAL";
- rev = "v${version}";
- sha256 = "043w2z6gbrisqirdid022f4b8jps1pp5syi344krv2bis1gjq5sn";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-VhcsX9BxiZ0nISN6Xe4N+kq0iBMCtNhyxDrm9cwXfBA=";
};
- nativeBuildInputs = [ jdk makeWrapper jre zip ];
+ patches = [
+ # we can't use stripJavaArchivesHook here, because the build process puts a .jar file into a zip file
+ # this patch calls strip-nondeterminism manually
+ ./make-deterministic.patch
+ ];
+
+ nativeBuildInputs = [
+ jdk
+ zip
+ strip-nondeterminism
+ makeWrapper
+ ];
buildPhase = ''
+ runHook preBuild
patchShebangs ./make.sh
./make.sh
+ runHook postBuild
'';
doCheck = true;
checkPhase = ''
runHook preCheck
- java -jar astral.${version}.jar -i main/test_data/song_primates.424.gene.tre
+ java -jar astral.${finalAttrs.version}.jar -i main/test_data/song_primates.424.gene.tre
runHook postCheck
'';
installPhase = ''
- mkdir -p $out/share/lib
- mkdir -p $out/bin
- mv astral.${version}.jar $out/share/
- mv lib/*.jar $out/share/lib
- mv Astral.${version}.zip $out/share/
- cp -a main/test_data $out/share/
+ runHook preInstall
+
+ install -Dm644 astral.${finalAttrs.version}.jar -t $out/share
+ install -Dm644 lib/*.jar -t $out/share/lib
+ install -Dm644 Astral.${finalAttrs.version}.zip -t $out/share
+ cp -a main/test_data $out/share
+
makeWrapper ${jre}/bin/java $out/bin/astral \
- --add-flags "-jar $out/share/astral.${version}.jar"
+ --add-flags "-jar $out/share/astral.${finalAttrs.version}.jar"
+
+ runHook postInstall
'';
meta = with lib; {
homepage = "https://github.com/smirarab/ASTRAL";
description = "Tool for estimating an unrooted species tree given a set of unrooted gene trees";
+ mainProgram = "astral";
sourceProvenance = with sourceTypes; [
fromSource
- binaryBytecode # source bundles dependencies as jars
+ binaryBytecode # source bundles dependencies as jars
];
license = licenses.asl20;
- maintainers = with maintainers; [ bzizou ];
+ maintainers = with maintainers; [ bzizou tomasajt ];
};
-}
+})
diff --git a/pkgs/applications/science/biology/astral/make-deterministic.patch b/pkgs/applications/science/biology/astral/make-deterministic.patch
new file mode 100644
index 000000000000..940182e2f49f
--- /dev/null
+++ b/pkgs/applications/science/biology/astral/make-deterministic.patch
@@ -0,0 +1,21 @@
+diff --git a/make.sh b/make.sh
+index 3ff6529..937b1a2 100644
+--- a/make.sh
++++ b/make.sh
+@@ -17,6 +17,8 @@ jar cvfm ../astral.$version.jar ../manifest.text phylonet/util/BitSet.* phylonet
+
+ cd ..
+
++strip-nondeterminism --type jar astral.$version.jar
++
+ chmod +x astral.$version.jar
+ sed -e "s/__astral.jar__/astral.$version.jar/g" -e "s/__astral.zip__/Astral.$version.zip/g" README.template.md > README.md
+ sed -e "s/__astral.jar__/astral.$version.jar/g" -e "s/__astral.zip__/Astral.$version.zip/g" astral-tutorial-template.md > astral-tutorial.md
+@@ -32,6 +34,7 @@ ln -s ../astral-tutorial.pdf .
+ cd ..
+ rm -f Astral.$version.zip
+ zip -r Astral.$version.zip Astral
++strip-nondeterminism --type zip Astral.$version.zip
+
+ set +x
+ echo "
diff --git a/pkgs/applications/science/biology/bamtools/default.nix b/pkgs/applications/science/biology/bamtools/default.nix
index 05373dafd381..602254e2966a 100644
--- a/pkgs/applications/science/biology/bamtools/default.nix
+++ b/pkgs/applications/science/biology/bamtools/default.nix
@@ -32,6 +32,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "C++ API & command-line toolkit for working with BAM data";
+ mainProgram = "bamtools";
homepage = "https://github.com/pezmaster31/bamtools";
changelog = "https://github.com/pezmaster31/bamtools/releases/tag/${finalAttrs.src.rev}";
license = licenses.mit;
diff --git a/pkgs/applications/science/biology/bioawk/default.nix b/pkgs/applications/science/biology/bioawk/default.nix
index cfbb1a551fac..f7da30fc4f72 100644
--- a/pkgs/applications/science/biology/bioawk/default.nix
+++ b/pkgs/applications/science/biology/bioawk/default.nix
@@ -42,6 +42,7 @@ stdenv.mkDerivation {
meta = with lib; {
description = "BWK awk modified for biological data";
+ mainProgram = "bioawk";
homepage = "https://github.com/lh3/bioawk";
license = licenses.hpnd;
maintainers = with maintainers; [ natsukium ];
diff --git a/pkgs/applications/science/biology/bwa-mem2/default.nix b/pkgs/applications/science/biology/bwa-mem2/default.nix
index b34b6dc8c90f..c339baf446d2 100644
--- a/pkgs/applications/science/biology/bwa-mem2/default.nix
+++ b/pkgs/applications/science/biology/bwa-mem2/default.nix
@@ -46,6 +46,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "Next version of the bwa-mem algorithm in bwa, a software package for mapping low-divergent sequences against a large reference genome";
+ mainProgram = "bwa-mem2";
license = licenses.mit;
homepage = "https://github.com/bwa-mem2/bwa-mem2/";
changelog = "https://github.com/bwa-mem2/bwa-mem2/blob/${finalAttrs.src.rev}/NEWS.md";
diff --git a/pkgs/applications/science/biology/bwa/default.nix b/pkgs/applications/science/biology/bwa/default.nix
index 4786f25beb50..8c883e2617bf 100644
--- a/pkgs/applications/science/biology/bwa/default.nix
+++ b/pkgs/applications/science/biology/bwa/default.nix
@@ -38,6 +38,7 @@ stdenv.mkDerivation {
meta = with lib; {
description = "A software package for mapping low-divergent sequences against a large reference genome, such as the human genome";
+ mainProgram = "bwa";
license = licenses.gpl3Plus;
homepage = "https://bio-bwa.sourceforge.net/";
maintainers = with maintainers; [ luispedro ];
diff --git a/pkgs/applications/science/biology/cmtk/default.nix b/pkgs/applications/science/biology/cmtk/default.nix
index a131c6645499..d7d23dd2d46e 100644
--- a/pkgs/applications/science/biology/cmtk/default.nix
+++ b/pkgs/applications/science/biology/cmtk/default.nix
@@ -36,6 +36,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "Computational Morphometry Toolkit";
+ mainProgram = "cmtk";
longDescription = ''A software toolkit for computational morphometry of
biomedical images, CMTK comprises a set of command line tools and a
back-end general-purpose library for processing and I/O'';
diff --git a/pkgs/applications/science/biology/conglomerate/default.nix b/pkgs/applications/science/biology/conglomerate/default.nix
index fe1c1f5511d1..d9092b9eeb11 100644
--- a/pkgs/applications/science/biology/conglomerate/default.nix
+++ b/pkgs/applications/science/biology/conglomerate/default.nix
@@ -32,6 +32,6 @@ stdenv.mkDerivation rec {
description = "More command-line utilities for working with MINC files";
maintainers = with maintainers; [ bcdarwin ];
platforms = platforms.unix;
- license = licenses.free;
+ license = licenses.hpndUc;
};
}
diff --git a/pkgs/applications/science/biology/dcm2niix/default.nix b/pkgs/applications/science/biology/dcm2niix/default.nix
index 605ecaadf648..a7f30dbc075d 100644
--- a/pkgs/applications/science/biology/dcm2niix/default.nix
+++ b/pkgs/applications/science/biology/dcm2niix/default.nix
@@ -57,6 +57,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "DICOM to NIfTI converter";
+ mainProgram = "dcm2niix";
longDescription = ''
dcm2niix is designed to convert neuroimaging data from the DICOM format to the NIfTI format.
'';
diff --git a/pkgs/applications/science/biology/deeptools/default.nix b/pkgs/applications/science/biology/deeptools/default.nix
index a7a1f77dfe90..2abd0825e6e3 100644
--- a/pkgs/applications/science/biology/deeptools/default.nix
+++ b/pkgs/applications/science/biology/deeptools/default.nix
@@ -5,14 +5,14 @@
python3.pkgs.buildPythonApplication rec {
pname = "deeptools";
- version = "3.5.4";
+ version = "3.5.5";
pyproject = true;
src = fetchFromGitHub {
owner = "deeptools";
repo = "deepTools";
- rev = version;
- hash = "sha256-A8YdlMptmJyxWW0EYLjXFIWjIO/mttEC7VYdlCe9MaI=";
+ rev = "refs/tags/${version}";
+ hash = "sha256-2kSlL7Y5f/FjVtStnmz+GlTw2oymrtxOCaXlqgbQ7FU=";
};
nativeBuildInputs = with python3.pkgs; [
diff --git a/pkgs/applications/science/biology/delly/default.nix b/pkgs/applications/science/biology/delly/default.nix
index b483b3d57bef..34ea942b4f5c 100644
--- a/pkgs/applications/science/biology/delly/default.nix
+++ b/pkgs/applications/science/biology/delly/default.nix
@@ -54,6 +54,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "Structural variant caller for mapped DNA sequenced data";
+ mainProgram = "delly";
license = licenses.bsd3;
maintainers = with maintainers; [ scalavision ];
platforms = platforms.unix;
diff --git a/pkgs/applications/science/biology/diamond/default.nix b/pkgs/applications/science/biology/diamond/default.nix
index 9f47a9f0e4c5..f3afff0f5eb7 100644
--- a/pkgs/applications/science/biology/diamond/default.nix
+++ b/pkgs/applications/science/biology/diamond/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "diamond";
- version = "2.1.8";
+ version = "2.1.9";
src = fetchFromGitHub {
owner = "bbuchfink";
repo = "diamond";
rev = "v${version}";
- sha256 = "sha256-6L/eS3shfJ33bsXo1BaCO4lKklh2KbOIO2tZsvwcjnA=";
+ sha256 = "sha256-cTg9TEpz3FSgX2tpfU4y55cCgFY5+mQY86FziHAwd+s=";
};
@@ -17,6 +17,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Accelerated BLAST compatible local sequence aligner";
+ mainProgram = "diamond";
longDescription = ''
DIAMOND is a sequence aligner for protein and translated DNA searches, designed for high performance analysis of big sequence data. The key features are:
- Pairwise alignment of proteins and translated DNA at 100x-10,000x speed of BLAST.
diff --git a/pkgs/applications/science/biology/dssp/default.nix b/pkgs/applications/science/biology/dssp/default.nix
index 006b46db9974..6c7157a91764 100644
--- a/pkgs/applications/science/biology/dssp/default.nix
+++ b/pkgs/applications/science/biology/dssp/default.nix
@@ -51,6 +51,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "Calculate the most likely secondary structure assignment given the 3D structure of a protein";
+ mainProgram = "mkdssp";
homepage = "https://github.com/PDB-REDO/dssp";
changelog = "https://github.com/PDB-REDO/libcifpp/releases/tag/${finalAttrs.src.rev}";
license = licenses.bsd2;
diff --git a/pkgs/applications/science/biology/est-sfs/default.nix b/pkgs/applications/science/biology/est-sfs/default.nix
index fe4a3e49930c..c306cfd71c39 100644
--- a/pkgs/applications/science/biology/est-sfs/default.nix
+++ b/pkgs/applications/science/biology/est-sfs/default.nix
@@ -25,6 +25,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://sourceforge.net/projects/est-usfs";
description = "Estimate the unfolded site frequency spectrum and ancestral states";
+ mainProgram = "est-sfs";
license = licenses.gpl3;
maintainers = [ maintainers.bzizou ];
platforms = platforms.all;
diff --git a/pkgs/applications/science/biology/fastp/default.nix b/pkgs/applications/science/biology/fastp/default.nix
index e7fc0d4a35f1..0495da0e3c3d 100644
--- a/pkgs/applications/science/biology/fastp/default.nix
+++ b/pkgs/applications/science/biology/fastp/default.nix
@@ -25,6 +25,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Ultra-fast all-in-one FASTQ preprocessor";
+ mainProgram = "fastp";
license = licenses.mit;
homepage = "https://github.com/OpenGene/fastp";
maintainers = with maintainers; [ jbedo ];
diff --git a/pkgs/applications/science/biology/febio-studio/default.nix b/pkgs/applications/science/biology/febio-studio/default.nix
index 550d221c74eb..708bd0f4305a 100644
--- a/pkgs/applications/science/biology/febio-studio/default.nix
+++ b/pkgs/applications/science/biology/febio-studio/default.nix
@@ -53,6 +53,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "FEBio Suite Solver";
+ mainProgram = "FEBioStudio";
license = with licenses; [ mit ];
homepage = "https://febio.org/";
platforms = platforms.unix;
diff --git a/pkgs/applications/science/biology/flywheel-cli/default.nix b/pkgs/applications/science/biology/flywheel-cli/default.nix
index 254a3c011d27..51600d31c513 100644
--- a/pkgs/applications/science/biology/flywheel-cli/default.nix
+++ b/pkgs/applications/science/biology/flywheel-cli/default.nix
@@ -41,6 +41,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Library and command line interface for interacting with a Flywheel site";
+ mainProgram = "fw";
homepage = "https://gitlab.com/flywheel-io/public/python-cli";
sourceProvenance = with sourceTypes; [ binaryNativeCode ];
license = licenses.mit;
diff --git a/pkgs/applications/science/biology/genmap/default.nix b/pkgs/applications/science/biology/genmap/default.nix
index c6f9cf1fb193..235135cb6050 100644
--- a/pkgs/applications/science/biology/genmap/default.nix
+++ b/pkgs/applications/science/biology/genmap/default.nix
@@ -32,6 +32,7 @@ stdenv.mkDerivation rec {
meta = {
description = "Ultra-fast computation of genome mappability";
+ mainProgram = "genmap";
license = lib.licenses.bsd3;
homepage = "https://github.com/cpockrandt/genmap";
maintainers = with lib.maintainers; [ jbedo ];
diff --git a/pkgs/applications/science/biology/igv/default.nix b/pkgs/applications/science/biology/igv/default.nix
index be663628a426..0d8d87ac4109 100644
--- a/pkgs/applications/science/biology/igv/default.nix
+++ b/pkgs/applications/science/biology/igv/default.nix
@@ -2,10 +2,10 @@
stdenv.mkDerivation rec {
pname = "igv";
- version = "2.17.1";
+ version = "2.17.3";
src = fetchzip {
url = "https://data.broadinstitute.org/igv/projects/downloads/${lib.versions.majorMinor version}/IGV_${version}.zip";
- sha256 = "sha256-EXI1jVr8cJPYLLe81hzqLpP3IypHBZ0cb6z+WrDeFKQ=";
+ sha256 = "sha256-SGqkWBv4nol0+lnGN7wBHJvndcIqZ5+Wt1wAcXA42cU=";
};
installPhase = ''
diff --git a/pkgs/applications/science/biology/iqtree/default.nix b/pkgs/applications/science/biology/iqtree/default.nix
index 37a8a58a92e1..17b2ba9f9bde 100644
--- a/pkgs/applications/science/biology/iqtree/default.nix
+++ b/pkgs/applications/science/biology/iqtree/default.nix
@@ -33,6 +33,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "http://www.iqtree.org/";
description = "Efficient and versatile phylogenomic software by maximum likelihood";
+ mainProgram = "iqtree2";
license = licenses.lgpl2;
maintainers = with maintainers; [ bzizou ];
platforms = [ "x86_64-linux" "x86_64-darwin" ];
diff --git a/pkgs/applications/science/biology/itsx/default.nix b/pkgs/applications/science/biology/itsx/default.nix
index 843f0df57924..366b4add2ed7 100644
--- a/pkgs/applications/science/biology/itsx/default.nix
+++ b/pkgs/applications/science/biology/itsx/default.nix
@@ -26,6 +26,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Improved software detection and extraction of ITS1 and ITS2 from ribosomal ITS sequences of fungi and other eukaryotes for use in environmental sequencing";
+ mainProgram = "ITSx";
homepage = "https://microbiology.se/software/itsx/";
license = licenses.gpl3;
maintainers = [ maintainers.bzizou ];
diff --git a/pkgs/applications/science/biology/jbrowse/default.nix b/pkgs/applications/science/biology/jbrowse/default.nix
index 3ed6a885aa75..15694ae3e638 100644
--- a/pkgs/applications/science/biology/jbrowse/default.nix
+++ b/pkgs/applications/science/biology/jbrowse/default.nix
@@ -31,6 +31,7 @@ appimageTools.wrapType2 {
meta = with lib; {
description = "The next-generation genome browser";
+ mainProgram = "jbrowse-desktop";
homepage = "https://jbrowse.org/jb2/";
license = licenses.asl20;
maintainers = with maintainers; [ benwbooth ];
diff --git a/pkgs/applications/science/biology/kalign/default.nix b/pkgs/applications/science/biology/kalign/default.nix
index 3ca83978d969..f26b679f799d 100644
--- a/pkgs/applications/science/biology/kalign/default.nix
+++ b/pkgs/applications/science/biology/kalign/default.nix
@@ -37,6 +37,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
description = "A fast multiple sequence alignment program";
+ mainProgram = "kalign";
homepage = "https://github.com/TimoLassmann/kalign";
changelog = "https://github.com/TimoLassmann/kalign/releases/tag/${finalAttrs.src.rev}";
license = lib.licenses.gpl3Plus;
diff --git a/pkgs/applications/science/biology/kallisto/default.nix b/pkgs/applications/science/biology/kallisto/default.nix
index a193fa59238f..55c555e387de 100644
--- a/pkgs/applications/science/biology/kallisto/default.nix
+++ b/pkgs/applications/science/biology/kallisto/default.nix
@@ -22,6 +22,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Program for quantifying abundances of transcripts from RNA-Seq data";
+ mainProgram = "kallisto";
homepage = "https://pachterlab.github.io/kallisto";
license = licenses.bsd2;
platforms = platforms.linux;
diff --git a/pkgs/applications/science/biology/last/default.nix b/pkgs/applications/science/biology/last/default.nix
index 96182dc835d5..3bc84e414bb7 100644
--- a/pkgs/applications/science/biology/last/default.nix
+++ b/pkgs/applications/science/biology/last/default.nix
@@ -9,13 +9,13 @@
stdenv.mkDerivation rec {
pname = "last";
- version = "1540";
+ version = "1542";
src = fetchFromGitLab {
owner = "mcfrith";
repo = "last";
rev = "refs/tags/${version}";
- hash = "sha256-oGGpPWWQ5EVK9n5BLQwt1Wch2Xn24B+NrKg6+VrsUMY=";
+ hash = "sha256-ZzvyyecYiBscogfN9/FnDbHg/lqb8y14n9C2KLIqhFA=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/science/biology/macs2/default.nix b/pkgs/applications/science/biology/macs2/default.nix
index 8884d41d9064..73f12af6a605 100644
--- a/pkgs/applications/science/biology/macs2/default.nix
+++ b/pkgs/applications/science/biology/macs2/default.nix
@@ -46,6 +46,7 @@ python3.pkgs.buildPythonPackage rec {
meta = with lib; {
description = "Model-based Analysis for ChIP-Seq";
+ mainProgram = "macs2";
homepage = "https://github.com/macs3-project/MACS/";
changelog = "https://github.com/macs3-project/MACS/releases/tag/v${version}";
license = licenses.bsd3;
diff --git a/pkgs/applications/science/biology/macse/default.nix b/pkgs/applications/science/biology/macse/default.nix
index 2ac09ca21ab6..06937f7e645e 100644
--- a/pkgs/applications/science/biology/macse/default.nix
+++ b/pkgs/applications/science/biology/macse/default.nix
@@ -24,6 +24,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Multiple alignment of coding sequences";
+ mainProgram = "macse";
homepage = "https://bioweb.supagro.inra.fr/macse/";
sourceProvenance = with sourceTypes; [ binaryBytecode ];
license = licenses.gpl2;
diff --git a/pkgs/applications/science/biology/mafft/default.nix b/pkgs/applications/science/biology/mafft/default.nix
index e9acd1c88b66..f2e3ead2e913 100644
--- a/pkgs/applications/science/biology/mafft/default.nix
+++ b/pkgs/applications/science/biology/mafft/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "mafft";
- version = "7.520";
+ version = "7.525";
src = fetchFromGitLab {
owner = "sysimm";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-H+EcKahJWwidAx+IUT4uCZEty+S8hUeMSB8VbTu5SmQ=";
+ sha256 = "sha256-ckBmvFssYAmYcBHAEftjQqBV0MB0theGfemaPx3XUws=";
};
preBuild = ''
diff --git a/pkgs/applications/science/biology/minia/default.nix b/pkgs/applications/science/biology/minia/default.nix
index 023a05d7504f..3665639a2cd9 100644
--- a/pkgs/applications/science/biology/minia/default.nix
+++ b/pkgs/applications/science/biology/minia/default.nix
@@ -25,8 +25,9 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Short read genome assembler";
+ mainProgram = "minia";
homepage = "https://github.com/GATB/minia";
- license = licenses.agpl3;
+ license = licenses.agpl3Plus;
maintainers = with maintainers; [ jbedo ];
platforms = [ "x86_64-linux" ];
};
diff --git a/pkgs/applications/science/biology/minimap2/default.nix b/pkgs/applications/science/biology/minimap2/default.nix
index 35c1b076d7eb..eeb31dee0538 100644
--- a/pkgs/applications/science/biology/minimap2/default.nix
+++ b/pkgs/applications/science/biology/minimap2/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "minimap2";
- version = "2.26";
+ version = "2.27";
src = fetchFromGitHub {
repo = pname;
owner = "lh3";
rev = "v${version}";
- sha256 = "sha256-vK8Z/j6Ndu1vMFYPPzViP4evtIhyVVFwsfTqNCYnXpQ=";
+ sha256 = "sha256-F6IJrYD2dk+5bKKVIahLiNnD/Hd/CjNQQd9zz0Gkans=";
};
buildInputs = [ zlib ];
@@ -24,6 +24,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A versatile pairwise aligner for genomic and spliced nucleotide sequences";
+ mainProgram = "minimap2";
homepage = "https://lh3.github.io/minimap2";
license = licenses.mit;
platforms = platforms.all;
diff --git a/pkgs/applications/science/biology/mmseqs2/default.nix b/pkgs/applications/science/biology/mmseqs2/default.nix
index 3e39fcb2918b..18acee7a5f14 100644
--- a/pkgs/applications/science/biology/mmseqs2/default.nix
+++ b/pkgs/applications/science/biology/mmseqs2/default.nix
@@ -42,6 +42,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Ultra fast and sensitive sequence search and clustering suite";
+ mainProgram = "mmseqs";
homepage = "https://mmseqs.com/";
license = licenses.gpl3;
maintainers = with maintainers; [ natsukium ];
diff --git a/pkgs/applications/science/biology/mrbayes/default.nix b/pkgs/applications/science/biology/mrbayes/default.nix
index e4c2bbe65652..54f5b9bbb370 100644
--- a/pkgs/applications/science/biology/mrbayes/default.nix
+++ b/pkgs/applications/science/biology/mrbayes/default.nix
@@ -13,6 +13,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Bayesian Inference of Phylogeny";
+ mainProgram = "mb";
longDescription = ''
Bayesian inference of phylogeny is based upon a
quantity called the posterior probability distribution of trees, which is
diff --git a/pkgs/applications/science/biology/muscle/default.nix b/pkgs/applications/science/biology/muscle/default.nix
index 366d0278227d..cdcf6c7b50aa 100644
--- a/pkgs/applications/science/biology/muscle/default.nix
+++ b/pkgs/applications/science/biology/muscle/default.nix
@@ -20,6 +20,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Multiple sequence alignment with top benchmark scores scalable to thousands of sequences";
+ mainProgram = "muscle";
license = licenses.gpl3Plus;
homepage = "https://www.drive5.com/muscle/";
maintainers = with maintainers; [ unode thyol ];
diff --git a/pkgs/applications/science/biology/obitools/obitools3.nix b/pkgs/applications/science/biology/obitools/obitools3.nix
index 9bf579a80c3b..f415c9c39141 100644
--- a/pkgs/applications/science/biology/obitools/obitools3.nix
+++ b/pkgs/applications/science/biology/obitools/obitools3.nix
@@ -27,6 +27,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib ; {
description = "Management of analyses and data in DNA metabarcoding";
+ mainProgram = "obi";
homepage = "https://git.metabarcoding.org/obitools/obitools3";
license = licenses.cecill20;
maintainers = [ maintainers.bzizou ];
diff --git a/pkgs/applications/science/biology/octopus/default.nix b/pkgs/applications/science/biology/octopus/default.nix
index 35898aae9f3e..c594108c6f47 100644
--- a/pkgs/applications/science/biology/octopus/default.nix
+++ b/pkgs/applications/science/biology/octopus/default.nix
@@ -19,10 +19,17 @@ stdenv.mkDerivation rec {
sha256 = "sha256-VaUr63v7mzhh4VBghH7a7qrqOYwl6vucmmKzTi9yAjY=";
}) ];
- env.NIX_CFLAGS_COMPILE = toString [
- # Needed with GCC 12
- "-Wno-error=deprecated-declarations"
- ];
+ postPatch = ''
+ # Disable -Werror to avoid build failure on fresh toolchains like
+ # gcc-13.
+ substituteInPlace lib/date/CMakeLists.txt --replace-fail ' -Werror ' ' '
+ substituteInPlace lib/ranger/CMakeLists.txt --replace-fail ' -Werror ' ' '
+ substituteInPlace lib/tandem/CMakeLists.txt --replace-fail ' -Werror ' ' '
+ substituteInPlace src/CMakeLists.txt --replace-fail ' -Werror ' ' '
+
+ # Fix gcc-13 build due to missing header.
+ sed -e '1i #include ' -i src/core/tools/vargen/utils/assembler.hpp
+ '';
postInstall = ''
mkdir $out/bin
@@ -31,6 +38,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Bayesian haplotype-based mutation calling";
+ mainProgram = "octopus";
license = licenses.mit;
homepage = "https://github.com/luntergroup/octopus";
maintainers = with maintainers; [ jbedo ];
diff --git a/pkgs/applications/science/biology/plink-ng/default.nix b/pkgs/applications/science/biology/plink-ng/default.nix
index 00fd0ff0083e..0e65bed4cf3e 100644
--- a/pkgs/applications/science/biology/plink-ng/default.nix
+++ b/pkgs/applications/science/biology/plink-ng/default.nix
@@ -33,6 +33,7 @@ stdenv.mkDerivation rec {
meta = {
broken = (stdenv.isLinux && stdenv.isAarch64);
description = "A comprehensive update to the PLINK association analysis toolset";
+ mainProgram = "plink";
homepage = "https://www.cog-genomics.org/plink2";
license = lib.licenses.gpl3;
platforms = lib.platforms.linux;
diff --git a/pkgs/applications/science/biology/prodigal/default.nix b/pkgs/applications/science/biology/prodigal/default.nix
index 28a21522c6b8..cd83a723f91e 100644
--- a/pkgs/applications/science/biology/prodigal/default.nix
+++ b/pkgs/applications/science/biology/prodigal/default.nix
@@ -18,6 +18,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Fast, reliable protein-coding gene prediction for prokaryotic genomes";
+ mainProgram = "prodigal";
homepage = "https://github.com/hyattpd/Prodigal";
license = licenses.gpl3;
platforms = platforms.all;
diff --git a/pkgs/applications/science/biology/repseek/default.nix b/pkgs/applications/science/biology/repseek/default.nix
index 5966c83b7a5f..e5e0193f7911 100644
--- a/pkgs/applications/science/biology/repseek/default.nix
+++ b/pkgs/applications/science/biology/repseek/default.nix
@@ -19,6 +19,7 @@ stdenv.mkDerivation rec {
meta = {
description = "Tool to retrieve approximate repeats from large DNA sequences";
+ mainProgram = "repseek";
homepage = "https://bioinfo.mnhn.fr/abi/public/RepSeek";
maintainers = [ lib.maintainers.bzizou ];
license = lib.licenses.lgpl21;
diff --git a/pkgs/applications/science/biology/sambamba/default.nix b/pkgs/applications/science/biology/sambamba/default.nix
index e1722a7086d8..b6635f02aacd 100644
--- a/pkgs/applications/science/biology/sambamba/default.nix
+++ b/pkgs/applications/science/biology/sambamba/default.nix
@@ -38,6 +38,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "SAM/BAM processing tool";
+ mainProgram = "sambamba";
homepage = "https://lomereiter.github.io/sambamba/";
maintainers = with maintainers; [ jbedo ];
license = with licenses; gpl2;
diff --git a/pkgs/applications/science/biology/samblaster/default.nix b/pkgs/applications/science/biology/samblaster/default.nix
index 4315dd2767e1..f16d0e42d8ef 100644
--- a/pkgs/applications/science/biology/samblaster/default.nix
+++ b/pkgs/applications/science/biology/samblaster/default.nix
@@ -20,6 +20,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Tool for marking duplicates and extracting discordant/split reads from SAM/BAM files";
+ mainProgram = "samblaster";
maintainers = with maintainers; [ jbedo ];
license = licenses.mit;
homepage = "https://github.com/GregoryFaust/samblaster";
diff --git a/pkgs/applications/science/biology/samtools/samtools_0_1_19.nix b/pkgs/applications/science/biology/samtools/samtools_0_1_19.nix
index 2472e4976cad..edb3a9a9da5b 100644
--- a/pkgs/applications/science/biology/samtools/samtools_0_1_19.nix
+++ b/pkgs/applications/science/biology/samtools/samtools_0_1_19.nix
@@ -25,6 +25,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Tools for manipulating SAM/BAM/CRAM format";
+ mainProgram = "samtools";
license = licenses.mit;
homepage = "https://samtools.sourceforge.net/";
platforms = platforms.unix;
diff --git a/pkgs/applications/science/biology/seaview/default.nix b/pkgs/applications/science/biology/seaview/default.nix
index 640d2f5ae416..06549748018d 100644
--- a/pkgs/applications/science/biology/seaview/default.nix
+++ b/pkgs/applications/science/biology/seaview/default.nix
@@ -16,6 +16,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "GUI for molecular phylogeny";
+ mainProgram = "seaview";
longDescription = ''
SeaView is a multiplatform, graphical user interface for multiple sequence alignment and molecular phylogeny.
- SeaView reads and writes various file formats (NEXUS, MSF, CLUSTAL, FASTA, PHYLIP, MASE, Newick) of DNA and protein sequences and of phylogenetic trees.
diff --git a/pkgs/applications/science/biology/seqkit/default.nix b/pkgs/applications/science/biology/seqkit/default.nix
index 9682265b9342..1c572ba56354 100644
--- a/pkgs/applications/science/biology/seqkit/default.nix
+++ b/pkgs/applications/science/biology/seqkit/default.nix
@@ -5,16 +5,16 @@
buildGoModule rec {
pname = "seqkit";
- version = "2.7.0";
+ version = "2.8.0";
src = fetchFromGitHub {
owner = "shenwei356";
repo = "seqkit";
rev = "v${version}";
- sha256 = "sha256-tnVkFING9BH/iNOdKeCsSk4ln2fLUUpI5ASaQ7CCdrg=";
+ sha256 = "sha256-JsrmRUbSNCFJ58tIblKq+VRXCD1mBeCAcosDGiVb5Gs=";
};
- vendorHash = "sha256-o7XGBI05BK7kOBagVV2eteJmkzLTmio41KOm46GdzDU=";
+ vendorHash = "sha256-0//kySYhNmfiwiys/Ku0/8RzKpnxO0+byD8pcIkvDY0=";
meta = with lib; {
description = "cross-platform and ultrafast toolkit for FASTA/Q file manipulation";
diff --git a/pkgs/applications/science/biology/seqtk/default.nix b/pkgs/applications/science/biology/seqtk/default.nix
index 90bd4bb3c65e..417bec9c062f 100644
--- a/pkgs/applications/science/biology/seqtk/default.nix
+++ b/pkgs/applications/science/biology/seqtk/default.nix
@@ -31,6 +31,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Toolkit for processing sequences in FASTA/Q formats";
+ mainProgram = "seqtk";
license = licenses.mit;
homepage = "https://github.com/lh3/seqtk";
platforms = platforms.all;
diff --git a/pkgs/applications/science/biology/somatic-sniper/default.nix b/pkgs/applications/science/biology/somatic-sniper/default.nix
index 034409cfb013..553725fb4566 100644
--- a/pkgs/applications/science/biology/somatic-sniper/default.nix
+++ b/pkgs/applications/science/biology/somatic-sniper/default.nix
@@ -20,6 +20,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Identify single nucleotide positions that are different between tumor and normal";
+ mainProgram = "bam-somaticsniper";
license = licenses.mit;
homepage = "https://github.com/genome/somatic-sniper";
maintainers = with maintainers; [ jbedo ];
diff --git a/pkgs/applications/science/biology/sortmerna/default.nix b/pkgs/applications/science/biology/sortmerna/default.nix
index a529867aaa74..d47aae9ce665 100644
--- a/pkgs/applications/science/biology/sortmerna/default.nix
+++ b/pkgs/applications/science/biology/sortmerna/default.nix
@@ -32,10 +32,15 @@ stdenv.mkDerivation rec {
substituteInPlace src/sortmerna/CMakeLists.txt \
--replace "target_link_libraries(sortmerna" \
"target_link_libraries(sortmerna Threads::Threads"
+
+ # Fix gcc-13 build by adding missing includes:
+ # https://github.com/sortmerna/sortmerna/issues/412
+ sed -e '1i #include ' -i include/kseq_load.hpp
'';
meta = with lib; {
description = "Tools for filtering, mapping, and OTU-picking from shotgun genomics data";
+ mainProgram = "sortmerna";
license = licenses.lgpl3;
platforms = platforms.x86_64;
homepage = "https://bioinfo.lifl.fr/RNA/sortmerna/";
diff --git a/pkgs/applications/science/biology/svaba/default.nix b/pkgs/applications/science/biology/svaba/default.nix
index d36c4b668ec3..fec5a5a3b92d 100644
--- a/pkgs/applications/science/biology/svaba/default.nix
+++ b/pkgs/applications/science/biology/svaba/default.nix
@@ -36,6 +36,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
broken = (stdenv.isLinux && stdenv.isAarch64);
description = "Structural variant and INDEL caller for DNA sequencing data, using genome-wide local assembly";
+ mainProgram = "svaba";
license = licenses.gpl3;
homepage = "https://github.com/walaj/svaba";
maintainers = with maintainers; [ scalavision ];
diff --git a/pkgs/applications/science/biology/tebreak/default.nix b/pkgs/applications/science/biology/tebreak/default.nix
index a046aee1c6c3..879f9b95d846 100644
--- a/pkgs/applications/science/biology/tebreak/default.nix
+++ b/pkgs/applications/science/biology/tebreak/default.nix
@@ -40,6 +40,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "Find and characterise transposable element insertions";
+ mainProgram = "tebreak";
homepage = "https://github.com/adamewing/tebreak";
license = licenses.mit;
maintainers = with maintainers; [ jbedo ];
diff --git a/pkgs/applications/science/biology/trimmomatic/default.nix b/pkgs/applications/science/biology/trimmomatic/default.nix
index 53cff76badce..db19278eaa47 100644
--- a/pkgs/applications/science/biology/trimmomatic/default.nix
+++ b/pkgs/applications/science/biology/trimmomatic/default.nix
@@ -5,7 +5,7 @@
, jdk
, jre
, makeWrapper
-, canonicalize-jars-hook
+, stripJavaArchivesHook
}:
stdenv.mkDerivation (finalAttrs: {
@@ -29,7 +29,7 @@ stdenv.mkDerivation (finalAttrs: {
ant
jdk
makeWrapper
- canonicalize-jars-hook
+ stripJavaArchivesHook
];
buildPhase = ''
diff --git a/pkgs/applications/science/biology/veryfasttree/default.nix b/pkgs/applications/science/biology/veryfasttree/default.nix
index 63597a75d494..6c054fecd742 100644
--- a/pkgs/applications/science/biology/veryfasttree/default.nix
+++ b/pkgs/applications/science/biology/veryfasttree/default.nix
@@ -29,6 +29,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
description = "Speeding up the estimation of phylogenetic trees for large alignments through parallelization and vectorization strategies";
+ mainProgram = "VeryFastTree";
homepage = "https://github.com/citiususc/veryfasttree";
license = lib.licenses.gpl3Plus;
maintainers = with lib.maintainers; [ thyol ];
diff --git a/pkgs/applications/science/chemistry/apbs/default.nix b/pkgs/applications/science/chemistry/apbs/default.nix
index 228c77ee5c0e..ec8f8e7ffbf6 100644
--- a/pkgs/applications/science/chemistry/apbs/default.nix
+++ b/pkgs/applications/science/chemistry/apbs/default.nix
@@ -104,6 +104,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "Software for biomolecular electrostatics and solvation calculations";
+ mainProgram = "apbs";
homepage = "https://www.poissonboltzmann.org/";
changelog = "https://github.com/Electrostatics/apbs/releases/tag/v${finalAttrs.version}";
license = licenses.bsd3;
diff --git a/pkgs/applications/science/chemistry/autodock-vina/default.nix b/pkgs/applications/science/chemistry/autodock-vina/default.nix
index 499bedb929ff..d56b8a02a20d 100644
--- a/pkgs/applications/science/chemistry/autodock-vina/default.nix
+++ b/pkgs/applications/science/chemistry/autodock-vina/default.nix
@@ -20,10 +20,10 @@ stdenv.mkDerivation (finalAttrs: {
hash = "sha256-yguUMEX0tn75wKrPKyqlCYbBFaEwC5b1s3k9xept1Fw=";
};
- sourceRoot =
- if stdenv.isDarwin
- then "source/build/mac/release"
- else "source/build/linux/release";
+ sourceRoot = "${finalAttrs.src.name}/build/${
+ if stdenv.hostPlatform.isDarwin then "mac"
+ else "linux"
+ }/release";
buildInputs = [
boost'
diff --git a/pkgs/applications/science/chemistry/avogadro2/default.nix b/pkgs/applications/science/chemistry/avogadro2/default.nix
index dad31b738a84..7ee42c1a793c 100644
--- a/pkgs/applications/science/chemistry/avogadro2/default.nix
+++ b/pkgs/applications/science/chemistry/avogadro2/default.nix
@@ -12,13 +12,13 @@ let
in stdenv.mkDerivation rec {
pname = "avogadro2";
- version = "1.98.1";
+ version = "1.99.0";
src = fetchFromGitHub {
owner = "OpenChemistry";
repo = "avogadroapp";
rev = version;
- hash = "sha256-N35WGYZbgfjKnorzGKCnbBvlrlt9Vr04YIG2R3k+b8A=";
+ hash = "sha256-m8kX4WzOmPE/BZQRePOoUAdMPdWb6pmcqtPvDdEIIao=";
};
postUnpack = ''
@@ -37,10 +37,11 @@ in stdenv.mkDerivation rec {
propagatedBuildInputs = [ openbabel ];
- qtWrapperArgs = [ "--prefix PATH : ${openbabel}/bin" ];
+ qtWrapperArgs = [ "--prefix PATH : ${lib.getBin openbabel}/bin" ];
meta = with lib; {
description = "Molecule editor and visualizer";
+ mainProgram = "avogadro2";
maintainers = with maintainers; [ sheepforce ];
homepage = "https://github.com/OpenChemistry/avogadroapp";
platforms = platforms.mesaPlatforms;
diff --git a/pkgs/applications/science/chemistry/d-seams/default.nix b/pkgs/applications/science/chemistry/d-seams/default.nix
index 260b1e24a082..5b5d8cfbd9d4 100644
--- a/pkgs/applications/science/chemistry/d-seams/default.nix
+++ b/pkgs/applications/science/chemistry/d-seams/default.nix
@@ -25,6 +25,7 @@ clangStdenv.mkDerivation rec {
meta = with lib; {
description =
"d-SEAMS: Deferred Structural Elucidation Analysis for Molecular Simulations";
+ mainProgram = "yodaStruct";
longDescription = ''
d-SEAMS, is a free and open-source postprocessing engine for the analysis
of molecular dynamics trajectories, which is specifically able to
diff --git a/pkgs/applications/science/chemistry/element/default.nix b/pkgs/applications/science/chemistry/element/default.nix
index c18fc9a563b9..c3c4a0fe582e 100644
--- a/pkgs/applications/science/chemistry/element/default.nix
+++ b/pkgs/applications/science/chemistry/element/default.nix
@@ -15,6 +15,7 @@ buildGoModule rec {
meta = with lib; {
description = "The periodic table on the command line";
+ mainProgram = "element";
homepage = "https://github.com/gennaro-tedesco/element";
license = licenses.asl20;
maintainers = [ maintainers.j0hax ];
diff --git a/pkgs/applications/science/chemistry/ergoscf/default.nix b/pkgs/applications/science/chemistry/ergoscf/default.nix
index 5343f102b249..3ee33cf19b74 100644
--- a/pkgs/applications/science/chemistry/ergoscf/default.nix
+++ b/pkgs/applications/science/chemistry/ergoscf/default.nix
@@ -38,6 +38,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Quantum chemistry program for large-scale self-consistent field calculations";
+ mainProgram = "ergo";
homepage = "http://www.ergoscf.org";
license = licenses.gpl3Plus;
maintainers = [ maintainers.markuskowa ];
diff --git a/pkgs/applications/science/chemistry/jmol/default.nix b/pkgs/applications/science/chemistry/jmol/default.nix
index f1c6714df228..ee3ab5f1f3a5 100644
--- a/pkgs/applications/science/chemistry/jmol/default.nix
+++ b/pkgs/applications/science/chemistry/jmol/default.nix
@@ -25,14 +25,14 @@ let
};
in
stdenv.mkDerivation rec {
- version = "16.1.53";
+ version = "16.1.63";
pname = "jmol";
src = let
baseVersion = "${lib.versions.major version}.${lib.versions.minor version}";
in fetchurl {
url = "mirror://sourceforge/jmol/Jmol/Version%20${baseVersion}/Jmol%20${version}/Jmol-${version}-binary.tar.gz";
- hash = "sha256-GoNcY9/OzRzC3tqdsoVqeG02EWn+thk0BaoWCWLk3sg=";
+ hash = "sha256-zUX3msosz0LNQJuEUbFgT32Hw0Wq4CgW1iHMkvReysU=";
};
patchPhase = ''
@@ -53,6 +53,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A Java 3D viewer for chemical structures";
+ mainProgram = "jmol";
homepage = "https://sourceforge.net/projects/jmol";
sourceProvenance = with sourceTypes; [ binaryBytecode ];
license = licenses.lgpl2;
diff --git a/pkgs/applications/science/chemistry/nwchem/default.nix b/pkgs/applications/science/chemistry/nwchem/default.nix
index a7d9462a7fbd..61266a9f0285 100644
--- a/pkgs/applications/science/chemistry/nwchem/default.nix
+++ b/pkgs/applications/science/chemistry/nwchem/default.nix
@@ -208,6 +208,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Open Source High-Performance Computational Chemistry";
+ mainProgram = "nwchem";
platforms = [ "x86_64-linux" ];
maintainers = with maintainers; [ sheepforce markuskowa ];
homepage = "https://nwchemgit.github.io";
diff --git a/pkgs/applications/science/chemistry/openmolcas/default.nix b/pkgs/applications/science/chemistry/openmolcas/default.nix
index 0aeb01c2916b..8ad979473955 100644
--- a/pkgs/applications/science/chemistry/openmolcas/default.nix
+++ b/pkgs/applications/science/chemistry/openmolcas/default.nix
@@ -13,7 +13,7 @@
, libxc
, makeWrapper
, gsl
-, boost175
+, boost180
, autoPatchelfHook
# Note that the CASPT2 module is broken with MPI
# See https://gitlab.com/Molcas/OpenMolcas/-/issues/169
@@ -41,16 +41,15 @@ let
};
in
-stdenv.mkDerivation {
+stdenv.mkDerivation rec {
pname = "openmolcas";
- version = "23.10";
+ version = "24.02";
src = fetchFromGitLab {
owner = "Molcas";
repo = "OpenMolcas";
- # The tag keeps moving, fix a hash instead
- rev = "c74317e68572d1da82fdce4210b005c2c1b1de53"; # 2023-09-25
- hash = "sha256-wBrASZ6YFsWsu/TreEZ6Q+VxNQwCwMpyPC8AOqmNxos=";
+ rev = "v${version}";
+ hash = "sha256-4Ek0cnaRfLEbj1Nj31rRp9b2sois4rIFTcpOUq9h2mw=";
};
patches = [
@@ -59,9 +58,6 @@ stdenv.mkDerivation {
# Required for a local QCMaquis build
./qcmaquis.patch
-
- # PyParsing >= 3.11 compatibility, can be removed on next release
- ./pyparsing.patch
];
postPatch = ''
@@ -93,7 +89,7 @@ stdenv.mkDerivation {
armadillo
libxc
gsl.dev
- boost175
+ boost180
] ++ lib.optionals enableMpi [
mpi
globalarrays
@@ -155,7 +151,7 @@ stdenv.mkDerivation {
homepage = "https://gitlab.com/Molcas/OpenMolcas";
maintainers = [ maintainers.markuskowa ];
license = with licenses; [ lgpl21Only bsd3 ];
- platforms = [ "x86_64-linux" ];
+ platforms = [ "aarch64-linux" "x86_64-linux" ];
mainProgram = "pymolcas";
};
}
diff --git a/pkgs/applications/science/chemistry/openmolcas/pyparsing.patch b/pkgs/applications/science/chemistry/openmolcas/pyparsing.patch
deleted file mode 100644
index 271b52840ff9..000000000000
--- a/pkgs/applications/science/chemistry/openmolcas/pyparsing.patch
+++ /dev/null
@@ -1,37 +0,0 @@
-diff --git a/Tools/pymolcas/emil_grammar.py b/Tools/pymolcas/emil_grammar.py
-index acbbae8..509c56f 100644
---- a/Tools/pymolcas/emil_grammar.py
-+++ b/Tools/pymolcas/emil_grammar.py
-@@ -15,6 +15,14 @@
-
- from __future__ import (unicode_literals, division, absolute_import, print_function)
-
-+try:
-+ u = unicode
-+ del u
-+ py2 = True
-+except NameError:
-+ pass
-+
-+
- from re import sub
- from pyparsing import *
-
-@@ -24,6 +32,8 @@ def chomp(s):
-
- def chompAction(s, l, t):
- try:
-+ if (py2):
-+ pass
- return list(map(lambda s: chomp(unicode(s)), t))
- except NameError:
- return list(map(chomp, t))
-@@ -33,6 +43,8 @@ def removeEMILEnd(s):
-
- def removeEMILEndAction(s, l, t):
- try:
-+ if (py2):
-+ pass
- return list(map(lambda s: removeEMILEnd(unicode(s)), t))
- except NameError:
- return list(map(removeEMILEnd, t))
diff --git a/pkgs/applications/science/chemistry/pymol/default.nix b/pkgs/applications/science/chemistry/pymol/default.nix
index 553e82eed8fb..0e4728dd65d6 100644
--- a/pkgs/applications/science/chemistry/pymol/default.nix
+++ b/pkgs/applications/science/chemistry/pymol/default.nix
@@ -71,6 +71,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
inherit description;
+ mainProgram = "pymol";
homepage = "https://www.pymol.org/";
license = licenses.mit;
maintainers = with maintainers; [ samlich ];
diff --git a/pkgs/applications/science/chemistry/siesta/default.nix b/pkgs/applications/science/chemistry/siesta/default.nix
index c0e0e874cbd6..02586dea2e29 100644
--- a/pkgs/applications/science/chemistry/siesta/default.nix
+++ b/pkgs/applications/science/chemistry/siesta/default.nix
@@ -64,6 +64,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A first-principles materials simulation code using DFT";
+ mainProgram = "siesta";
longDescription = ''
SIESTA is both a method and its computer program
implementation, to perform efficient electronic structure
diff --git a/pkgs/applications/science/chemistry/wxmacmolplt/default.nix b/pkgs/applications/science/chemistry/wxmacmolplt/default.nix
index e2a4fdf0cb18..ae6c0df87905 100644
--- a/pkgs/applications/science/chemistry/wxmacmolplt/default.nix
+++ b/pkgs/applications/science/chemistry/wxmacmolplt/default.nix
@@ -35,6 +35,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Graphical user interface for GAMESS-US";
+ mainProgram = "wxmacmolplt";
homepage = "https://brettbode.github.io/wxmacmolplt/";
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/applications/science/computer-architecture/qtrvsim/default.nix b/pkgs/applications/science/computer-architecture/qtrvsim/default.nix
index 73c3c9a11f17..c60ee224aa2a 100644
--- a/pkgs/applications/science/computer-architecture/qtrvsim/default.nix
+++ b/pkgs/applications/science/computer-architecture/qtrvsim/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "QtRVSim";
- version = "0.9.6";
+ version = "0.9.7";
src = fetchFromGitHub {
owner = "cvut";
repo = "qtrvsim";
rev = "refs/tags/v${version}";
- sha256 = "sha256-cC3DvQj2VBnGad6ZDn3x4gHQfsPpySzjTi17PQoaxPU=";
+ sha256 = "sha256-SelmqHauj5Yxg043NZqR4bhqW5clwg1h7UD8mW7j7vE=";
};
nativeBuildInputs = [ cmake wrapQtAppsHook ];
diff --git a/pkgs/applications/science/computer-architecture/timeloop/default.nix b/pkgs/applications/science/computer-architecture/timeloop/default.nix
index 4e794aaa29e2..ec8ec3ed8abc 100644
--- a/pkgs/applications/science/computer-architecture/timeloop/default.nix
+++ b/pkgs/applications/science/computer-architecture/timeloop/default.nix
@@ -15,13 +15,13 @@
stdenv.mkDerivation rec {
pname = "timeloop";
- version = "unstable-2022-11-29";
+ version = "3.0.3";
src = fetchFromGitHub {
owner = "NVlabs";
repo = "timeloop";
- rev = "905ba953432c812772de935d57fd0a674a89d3c1";
- hash = "sha256-EXiWXf8hdX4vFRNk9wbFSOsix/zVkwrafGUtFrsoAN0=";
+ rev = "v${version}";
+ hash = "sha256-CGPhrBNzFdERAA/Eym2v0+FvFUe+VkBLnwYEqEMHE9k=";
};
nativeBuildInputs = [ scons ];
@@ -46,10 +46,14 @@ stdenv.mkDerivation rec {
env.NIX_CFLAGS_COMPILE = lib.optionalString stdenv.isDarwin "-fno-lto";
postPatch = ''
+ # Fix gcc-13 build failure due to missing includes:
+ sed -e '1i #include ' -i \
+ include/compound-config/compound-config.hpp
+
# use nix ar/ranlib
substituteInPlace ./SConstruct \
- --replace "env.Replace(AR = \"gcc-ar\")" "" \
- --replace "env.Replace(RANLIB = \"gcc-ranlib\")" ""
+ --replace-fail "env.Replace(AR = \"gcc-ar\")" "pass" \
+ --replace-fail "env.Replace(RANLIB = \"gcc-ranlib\")" "pass"
'' + lib.optionalString stdenv.isDarwin ''
# prevent clang from dying on errors that gcc is fine with
substituteInPlace ./src/SConscript --replace "-Werror" "-Wno-inconsistent-missing-override"
diff --git a/pkgs/applications/science/electronics/appcsxcad/default.nix b/pkgs/applications/science/electronics/appcsxcad/default.nix
index 2e882aff361d..c401e150f35e 100644
--- a/pkgs/applications/science/electronics/appcsxcad/default.nix
+++ b/pkgs/applications/science/electronics/appcsxcad/default.nix
@@ -46,6 +46,7 @@ mkDerivation {
meta = with lib; {
description = "Minimal Application using the QCSXCAD library";
+ mainProgram = "AppCSXCAD";
homepage = "https://github.com/thliebig/AppCSXCAD";
license = licenses.gpl3;
maintainers = with maintainers; [ matthuszagh ];
diff --git a/pkgs/applications/science/electronics/archimedes/default.nix b/pkgs/applications/science/electronics/archimedes/default.nix
index 631f44e80633..9d9498df00da 100644
--- a/pkgs/applications/science/electronics/archimedes/default.nix
+++ b/pkgs/applications/science/electronics/archimedes/default.nix
@@ -21,6 +21,7 @@ stdenv.mkDerivation rec {
meta = {
description = "GNU package for semiconductor device simulations";
+ mainProgram = "archimedes";
homepage = "https://www.gnu.org/software/archimedes";
license = lib.licenses.gpl2Plus;
platforms = with lib.platforms; linux;
diff --git a/pkgs/applications/science/electronics/caneda/default.nix b/pkgs/applications/science/electronics/caneda/default.nix
index 1adac4832a13..4a4cf61c7f65 100644
--- a/pkgs/applications/science/electronics/caneda/default.nix
+++ b/pkgs/applications/science/electronics/caneda/default.nix
@@ -16,6 +16,7 @@ mkDerivation rec {
meta = {
description = "Open source EDA software focused on easy of use and portability";
+ mainProgram = "caneda";
homepage = "http://caneda.org";
license = lib.licenses.gpl2Plus;
maintainers = with lib.maintainers; [viric];
diff --git a/pkgs/applications/science/electronics/dataexplorer/default.nix b/pkgs/applications/science/electronics/dataexplorer/default.nix
index 8fd9dcc5eaa8..aa471d2bfcaf 100644
--- a/pkgs/applications/science/electronics/dataexplorer/default.nix
+++ b/pkgs/applications/science/electronics/dataexplorer/default.nix
@@ -8,11 +8,11 @@
stdenv.mkDerivation rec {
pname = "dataexplorer";
- version = "3.8.3";
+ version = "3.8.5";
src = fetchurl {
url = "mirror://savannah/dataexplorer/dataexplorer-${version}-src.tar.gz";
- sha256 = "sha256-vU9klb6Mweg8yxnClsIdelG4uW92if64SJ7UHumYYbs=";
+ sha256 = "sha256-b68xIZNbzHdPyZwLngcnjcoBtI6AeTdrblz/qx/HbGQ=";
};
nativeBuildInputs = [ ant makeWrapper ];
diff --git a/pkgs/applications/science/electronics/degate/default.nix b/pkgs/applications/science/electronics/degate/default.nix
index 2aa20aec6503..30ded5d1354f 100644
--- a/pkgs/applications/science/electronics/degate/default.nix
+++ b/pkgs/applications/science/electronics/degate/default.nix
@@ -67,6 +67,7 @@ in stdenv.mkDerivation rec {
meta = with lib; {
description = "A modern and open-source cross-platform software for chips reverse engineering";
+ mainProgram = "Degate";
homepage = "https://degate.readthedocs.io/";
license = licenses.gpl3;
platforms = platforms.unix;
diff --git a/pkgs/applications/science/electronics/digital/default.nix b/pkgs/applications/science/electronics/digital/default.nix
index ed90b03dbc3e..41deecbb6224 100644
--- a/pkgs/applications/science/electronics/digital/default.nix
+++ b/pkgs/applications/science/electronics/digital/default.nix
@@ -60,6 +60,7 @@ maven.buildMavenPackage rec {
meta = with lib; {
homepage = "https://github.com/hneemann/Digital";
description = pkgDescription;
+ mainProgram = "digital";
license = licenses.gpl3Only;
platforms = [ "x86_64-linux" "x86_64-darwin" ];
maintainers = with maintainers; [ Dettorer ];
diff --git a/pkgs/applications/science/electronics/diylc/default.nix b/pkgs/applications/science/electronics/diylc/default.nix
index 8530e6927fe9..ced8c72d2155 100644
--- a/pkgs/applications/science/electronics/diylc/default.nix
+++ b/pkgs/applications/science/electronics/diylc/default.nix
@@ -67,6 +67,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Multi platform circuit layout and schematic drawing tool";
+ mainProgram = "diylc";
homepage = "https://bancika.github.io/diy-layout-creator/";
changelog = "https://github.com/bancika/diy-layout-creator/releases";
license = licenses.gpl3Plus;
diff --git a/pkgs/applications/science/electronics/dsview/default.nix b/pkgs/applications/science/electronics/dsview/default.nix
index ec53e976aec5..3c8e7ad19064 100644
--- a/pkgs/applications/science/electronics/dsview/default.nix
+++ b/pkgs/applications/science/electronics/dsview/default.nix
@@ -30,6 +30,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A GUI program for supporting various instruments from DreamSourceLab, including logic analyzer, oscilloscope, etc";
+ mainProgram = "DSView";
homepage = "https://www.dreamsourcelab.com/";
license = licenses.gpl3Plus;
platforms = platforms.unix;
diff --git a/pkgs/applications/science/electronics/dwfv/default.nix b/pkgs/applications/science/electronics/dwfv/default.nix
index ed340271f262..2d88e2647dfd 100644
--- a/pkgs/applications/science/electronics/dwfv/default.nix
+++ b/pkgs/applications/science/electronics/dwfv/default.nix
@@ -13,6 +13,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "A simple digital waveform viewer with vi-like key bindings";
+ mainProgram = "dwfv";
homepage = "https://github.com/psurply/dwfv";
license = licenses.mit;
maintainers = with maintainers; [ newam ];
diff --git a/pkgs/applications/science/electronics/fped/default.nix b/pkgs/applications/science/electronics/fped/default.nix
index 138d45c787cd..6d10426b298a 100644
--- a/pkgs/applications/science/electronics/fped/default.nix
+++ b/pkgs/applications/science/electronics/fped/default.nix
@@ -41,6 +41,7 @@ stdenv.mkDerivation {
meta = {
description = "An editor that allows the interactive creation of footprints electronic components";
+ mainProgram = "fped";
homepage = "http://projects.qi-hardware.com/index.php/p/fped/";
license = licenses.gpl2;
maintainers = with maintainers; [ expipiplus1 ];
diff --git a/pkgs/applications/science/electronics/fritzing/default.nix b/pkgs/applications/science/electronics/fritzing/default.nix
index efe70f125d04..955edb9b59ae 100644
--- a/pkgs/applications/science/electronics/fritzing/default.nix
+++ b/pkgs/applications/science/electronics/fritzing/default.nix
@@ -54,28 +54,29 @@ stdenv.mkDerivation {
qtbase
qtsvg
qtserialport
- qtwayland
qt5compat
boost
libgit2
quazip
libngspice
clipper
+ ] ++ lib.optionals stdenv.isLinux [
+ qtwayland
];
postPatch = ''
# Use packaged quazip, libgit and ngspice
sed -i "/pri\/quazipdetect.pri/d" phoenix.pro
sed -i "/pri\/spicedetect.pri/d" phoenix.pro
- substituteInPlace phoenix.pro \
- --replace 'LIBGIT_STATIC = true' 'LIBGIT_STATIC = false'
+ substituteInPlace pri/libgit2detect.pri \
+ --replace-fail 'LIBGIT_STATIC = true' 'LIBGIT_STATIC = false'
#TODO: Do not hardcode SHA.
substituteInPlace src/fapplication.cpp \
- --replace 'PartsChecker::getSha(dir.absolutePath());' '"${partsSha}";'
+ --replace-fail 'PartsChecker::getSha(dir.absolutePath());' '"${partsSha}";'
substituteInPlace phoenix.pro \
- --replace "6.5.10" "${qtbase.version}"
+ --replace-fail "6.5.10" "${qtbase.version}"
mkdir parts
cp -a ${parts}/* parts/
@@ -92,6 +93,13 @@ stdenv.mkDerivation {
"phoenix.pro"
];
+ postInstall = lib.optionalString stdenv.isDarwin ''
+ mkdir $out/Applications
+ mv $out/bin/Fritzing.app $out/Applications/Fritzing.app
+ cp FritzingInfo.plist $out/Applications/Fritzing.app/Contents/Info.plist
+ makeWrapper $out/Applications/Fritzing.app/Contents/MacOS/Fritzing $out/bin/Fritzing
+ '';
+
postFixup = ''
# generate the parts.db file
QT_QPA_PLATFORM=offscreen "$out/bin/Fritzing" \
@@ -105,7 +113,7 @@ stdenv.mkDerivation {
homepage = "https://fritzing.org/";
license = with licenses; [ gpl3 cc-by-sa-30 ];
maintainers = with maintainers; [ robberer muscaln ];
- platforms = platforms.linux;
+ platforms = platforms.unix;
mainProgram = "Fritzing";
};
}
diff --git a/pkgs/applications/science/electronics/gaw/default.nix b/pkgs/applications/science/electronics/gaw/default.nix
index a54602de13f3..5dec368f454c 100644
--- a/pkgs/applications/science/electronics/gaw/default.nix
+++ b/pkgs/applications/science/electronics/gaw/default.nix
@@ -20,6 +20,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Gtk Analog Wave viewer";
+ mainProgram = "gaw";
longDescription = ''
Gaw is a software tool for displaying analog waveforms from
sampled datas, for example from the output of simulators or
diff --git a/pkgs/applications/science/electronics/gerbv/default.nix b/pkgs/applications/science/electronics/gerbv/default.nix
index 652a96617cbc..5f178e08280a 100644
--- a/pkgs/applications/science/electronics/gerbv/default.nix
+++ b/pkgs/applications/science/electronics/gerbv/default.nix
@@ -46,6 +46,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A Gerber (RS-274X) viewer";
+ mainProgram = "gerbv";
homepage = "https://gerbv.github.io/";
changelog = "https://github.com/gerbv/gerbv/releases/tag/v${version}";
license = licenses.gpl2Plus;
diff --git a/pkgs/applications/science/electronics/gnucap/default.nix b/pkgs/applications/science/electronics/gnucap/default.nix
deleted file mode 100644
index 0ec10f2444fd..000000000000
--- a/pkgs/applications/science/electronics/gnucap/default.nix
+++ /dev/null
@@ -1,27 +0,0 @@
-{ lib, stdenv, fetchurl }:
-
-stdenv.mkDerivation rec {
- pname = "gnucap";
- version = "20210107";
-
- src = fetchurl {
- url = "https://git.savannah.gnu.org/cgit/gnucap.git/snapshot/${pname}-${version}.tar.gz";
- sha256 = "12rlwd4mfc54qq1wrx5k8qk578xls5z4isf94ybkf2z6qxk4mhnj";
- };
-
- doCheck = true;
-
- meta = with lib; {
- description = "Gnu Circuit Analysis Package";
- longDescription = ''
-Gnucap is a modern general purpose circuit simulator with several advantages over Spice derivatives.
-It performs nonlinear dc and transient analyses, fourier analysis, and ac analysis.
- '';
- homepage = "http://www.gnucap.org/";
- changelog = "https://git.savannah.gnu.org/cgit/gnucap.git/plain/NEWS?h=v${version}";
- license = licenses.gpl3Plus;
- platforms = platforms.all;
- broken = stdenv.isDarwin; # Relies on LD_LIBRARY_PATH
- maintainers = [ maintainers.raboof ];
- };
-}
diff --git a/pkgs/applications/science/electronics/hal-hardware-analyzer/default.nix b/pkgs/applications/science/electronics/hal-hardware-analyzer/default.nix
index 6bdc197269cc..4c3692266a5c 100644
--- a/pkgs/applications/science/electronics/hal-hardware-analyzer/default.nix
+++ b/pkgs/applications/science/electronics/hal-hardware-analyzer/default.nix
@@ -144,6 +144,7 @@ in stdenv.mkDerivation rec {
meta = with lib; {
description = "A comprehensive reverse engineering and manipulation framework for gate-level netlists";
+ mainProgram = "hal";
homepage = "https://github.com/emsec/hal";
license = licenses.mit;
platforms = platforms.unix;
diff --git a/pkgs/applications/science/electronics/hyp2mat/default.nix b/pkgs/applications/science/electronics/hyp2mat/default.nix
index 799af4c43086..71da4a259d54 100644
--- a/pkgs/applications/science/electronics/hyp2mat/default.nix
+++ b/pkgs/applications/science/electronics/hyp2mat/default.nix
@@ -45,6 +45,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Import Hyperlynx Boardsim files to openEMS, an open source 3D full-wave electromagnetic field solver";
+ mainProgram = "hyp2mat";
homepage = "https://github.com/koendv/hyp2mat";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ matthuszagh ];
diff --git a/pkgs/applications/science/electronics/kicad/base.nix b/pkgs/applications/science/electronics/kicad/base.nix
index bff63f3b2d7e..d884a60c984f 100644
--- a/pkgs/applications/science/electronics/kicad/base.nix
+++ b/pkgs/applications/science/electronics/kicad/base.nix
@@ -21,6 +21,10 @@
, libpthreadstubs
, libXdmcp
, unixODBC
+, libgit2
+, libsecret
+, libgcrypt
+, libgpg-error
, util-linux
, libselinux
@@ -92,12 +96,11 @@ stdenv.mkDerivation rec {
cmakeFlags = [
"-DKICAD_USE_EGL=ON"
"-DOCC_INCLUDE_DIR=${opencascade-occt}/include/opencascade"
+ # https://gitlab.com/kicad/code/kicad/-/issues/17133
+ "-DCMAKE_CTEST_ARGUMENTS='--exclude-regex;qa_spice'"
]
- ++ optionals (stable) [
- # https://gitlab.com/kicad/code/kicad/-/issues/12491
- # should be resolved in the next major? release
- "-DCMAKE_CTEST_ARGUMENTS='--exclude-regex;qa_eeschema'"
- ]
+ ++ optional (stdenv.hostPlatform.system == "aarch64-linux")
+ "-DCMAKE_CTEST_ARGUMENTS=--exclude-regex;'qa_spice|qa_cli'"
++ optional (stable && !withNgspice) "-DKICAD_SPICE=OFF"
++ optionals (!withScripting) [
"-DKICAD_SCRIPTING_WXPYTHON=OFF"
@@ -126,6 +129,10 @@ stdenv.mkDerivation rec {
doxygen
graphviz
pkg-config
+ libgit2
+ libsecret
+ libgcrypt
+ libgpg-error
]
# wanted by configuration on linux, doesn't seem to affect performance
# no effect on closure size
@@ -180,13 +187,14 @@ stdenv.mkDerivation rec {
doInstallCheck = !(debug);
installCheckTarget = "test";
- pythonForTests = python.withPackages(ps: with ps; [
- numpy
- pytest
- cairosvg
- pytest-image-diff
- ]);
- nativeInstallCheckInputs = optional (!stable) pythonForTests;
+ nativeInstallCheckInputs = [
+ (python.withPackages(ps: with ps; [
+ numpy
+ pytest
+ cairosvg
+ pytest-image-diff
+ ]))
+ ];
dontStrip = debug;
@@ -196,7 +204,7 @@ stdenv.mkDerivation rec {
Just the build products, the libraries are passed via an env var in the wrapper, default.nix
'';
homepage = "https://www.kicad.org/";
- license = lib.licenses.agpl3;
+ license = lib.licenses.agpl3Plus;
platforms = lib.platforms.all;
};
}
diff --git a/pkgs/applications/science/electronics/kicad/default.nix b/pkgs/applications/science/electronics/kicad/default.nix
index fa0c7ae7a75e..99670eee8a46 100644
--- a/pkgs/applications/science/electronics/kicad/default.nix
+++ b/pkgs/applications/science/electronics/kicad/default.nix
@@ -205,9 +205,9 @@ stdenv.mkDerivation rec {
"--prefix GIO_EXTRA_MODULES : ${dconf}/lib/gio/modules"
# required to open a bug report link in firefox-wayland
"--set-default MOZ_DBUS_REMOTE 1"
- "--set-default KICAD7_FOOTPRINT_DIR ${footprints}/share/kicad/footprints"
- "--set-default KICAD7_SYMBOL_DIR ${symbols}/share/kicad/symbols"
- "--set-default KICAD7_TEMPLATE_DIR ${template_dir}"
+ "--set-default KICAD8_FOOTPRINT_DIR ${footprints}/share/kicad/footprints"
+ "--set-default KICAD8_SYMBOL_DIR ${symbols}/share/kicad/symbols"
+ "--set-default KICAD8_TEMPLATE_DIR ${template_dir}"
]
++ optionals (addons != [ ]) (
let stockDataPath = symlinkJoin {
@@ -218,11 +218,11 @@ stdenv.mkDerivation rec {
];
};
in
- [ "--set-default NIX_KICAD7_STOCK_DATA_PATH ${stockDataPath}" ]
+ [ "--set-default NIX_KICAD8_STOCK_DATA_PATH ${stockDataPath}" ]
)
++ optionals (with3d)
[
- "--set-default KICAD7_3DMODEL_DIR ${packages3d}/share/kicad/3dmodels"
+ "--set-default KICAD8_3DMODEL_DIR ${packages3d}/share/kicad/3dmodels"
]
++ optionals (withNgspice) [ "--prefix LD_LIBRARY_PATH : ${libngspice}/lib" ]
diff --git a/pkgs/applications/science/electronics/kicad/runtime_stock_data_path.patch b/pkgs/applications/science/electronics/kicad/runtime_stock_data_path.patch
index 16f7e493c623..43e99a531cf5 100644
--- a/pkgs/applications/science/electronics/kicad/runtime_stock_data_path.patch
+++ b/pkgs/applications/science/electronics/kicad/runtime_stock_data_path.patch
@@ -6,7 +6,7 @@ index a74cdd9..790cc58 100644
{
wxString path;
-+ if( wxGetEnv( wxT( "NIX_KICAD7_STOCK_DATA_PATH" ), &path ) ) {
++ if( wxGetEnv( wxT( "NIX_KICAD8_STOCK_DATA_PATH" ), &path ) ) {
+ return path;
+ }
+
diff --git a/pkgs/applications/science/electronics/kicad/versions.nix b/pkgs/applications/science/electronics/kicad/versions.nix
index 11837638e1ab..36114fff73a3 100644
--- a/pkgs/applications/science/electronics/kicad/versions.nix
+++ b/pkgs/applications/science/electronics/kicad/versions.nix
@@ -3,67 +3,67 @@
{
"kicad" = {
kicadVersion = {
- version = "7.0.10";
+ version = "8.0.1";
src = {
- rev = "7daac78752749fc919e932be6156914aa83c926f";
- sha256 = "0z459yi0s02mwdgbr3xxw43gn9yjhvfkjnsxmns5mksgzsr5nmhh";
+ rev = "20421d65e5a7ede894345d337ab47b469f5ba154";
+ sha256 = "096kvmm96ccxir1rspgzzjkp6y2j80l3w2vphg9iv3drxmjp7qjv";
};
};
libVersion = {
- version = "7.0.10";
+ version = "8.0.1";
libSources = {
- symbols.rev = "eedf6c9ddac2816023e817d4dc91032f9d7390b9";
- symbols.sha256 = "0nlgmxf9z1vf4g350dfkxql1dawgmw275wqxkgszsfxmhdfpmi9v";
- templates.rev = "9ce98cc45f3778e05c404edebf0f98de5c247ffe";
- templates.sha256 = "0mykfwwik7472i4r0isc5szj3dnmvd0538p0vlmzh4rcgj3pj3vm";
- footprints.rev = "7061fc9847ecc1b838e60dc6826db534028494f6";
- footprints.sha256 = "1az6fzh1lma71mj12bc4bblnmzjayrxhkb8w9rjvlhvvgv33cdmy";
- packages3d.rev = "d7345b34daaa23acf0d4506ed937fb424b5b18cd";
- packages3d.sha256 = "0xzyi4mgyifwc6dppdzh6jq294mkj0a71cwkqw2ymz1kfbksw626";
+ symbols.rev = "d6aff3948edfca2bacf36900ff080f6b3f65fe4c";
+ symbols.sha256 = "00xnvikmqd1zkg9p1f89kvryvkybl5f20baij6babqyc29nbzkwy";
+ templates.rev = "0a6c4f798a68a5c639d54b4d3093460ab9267816";
+ templates.sha256 = "0m9bggz3cm27kqpjjwxy19mqzk0c69bywcjkqcni7kafr21c6k4z";
+ footprints.rev = "226b4f3d5c10a4126d88b895188bdab629fe60b0";
+ footprints.sha256 = "1bb3mb2a7vkridgmqqm9ib3hv2m4zx1i14mglb11sribypy0ma5p";
+ packages3d.rev = "49c1cd4017499b8a7f6dedbe7ede834d1713eb28";
+ packages3d.sha256 = "0b5jwr5bbd0kzb75nj3028knjrv0872dk54sbsnxaz669q8zaxap";
};
};
};
"kicad-testing" = {
kicadVersion = {
- version = "7.0-2024-01-27";
+ version = "8.0-2024-02-23";
src = {
- rev = "13fcb571f7e5bf4bf142d151651fc577aca32053";
- sha256 = "0wvk3wx5lm2jvyip6b96ja464hdzp9klb7b7ng5i3mdldabh0jba";
+ rev = "14d71c8ca6b48d2eb956bb069acf05a37b1b2652";
+ sha256 = "0xqd0xbpnvsvba75526nwgzr8l2cfxy99sjmg13sjxfx7rq16kqi";
};
};
libVersion = {
- version = "7.0-2024-01-27";
+ version = "8.0-2024-02-23";
libSources = {
- symbols.rev = "eedf6c9ddac2816023e817d4dc91032f9d7390b9";
- symbols.sha256 = "0nlgmxf9z1vf4g350dfkxql1dawgmw275wqxkgszsfxmhdfpmi9v";
- templates.rev = "9ce98cc45f3778e05c404edebf0f98de5c247ffe";
- templates.sha256 = "0mykfwwik7472i4r0isc5szj3dnmvd0538p0vlmzh4rcgj3pj3vm";
- footprints.rev = "7061fc9847ecc1b838e60dc6826db534028494f6";
- footprints.sha256 = "1az6fzh1lma71mj12bc4bblnmzjayrxhkb8w9rjvlhvvgv33cdmy";
- packages3d.rev = "d7345b34daaa23acf0d4506ed937fb424b5b18cd";
- packages3d.sha256 = "0xzyi4mgyifwc6dppdzh6jq294mkj0a71cwkqw2ymz1kfbksw626";
+ symbols.rev = "e228d4e8b295364e90e36c57f4023d8285ba88cd";
+ symbols.sha256 = "049h2a7yn6ks8sybppixa872dbvyd0rwf9r6nixvdg6d13fl6rwf";
+ templates.rev = "2e00c233b67e35323f90d04c190bf70237a252f2";
+ templates.sha256 = "0m9bggz3cm27kqpjjwxy19mqzk0c69bywcjkqcni7kafr21c6k4z";
+ footprints.rev = "6e5329a6d4aaa81290e23af3eba88f505c2f61b0";
+ footprints.sha256 = "0ypjlbmzmcl3pha3q2361va70c988b1drxy8320gm66jkzfc21a1";
+ packages3d.rev = "d1e521228d9f5888836b1a6a35fb05fb925456fa";
+ packages3d.sha256 = "0lcy1av7ixg1f7arflk50jllpc1749sfvf3h62hkxsz97wkr97xj";
};
};
};
"kicad-unstable" = {
kicadVersion = {
- version = "2023-08-15";
+ version = "2024-02-23";
src = {
- rev = "e0d4cf2d5b023a7e5b70d854452376aa3510acd8";
- sha256 = "0666j4q3vz24smcjw9m4ib3ca2dqiqgx2amhv7ys4rzqb6v2pvn2";
+ rev = "b7b64d959f37f00bb0d14b007c3b3908196e1024";
+ sha256 = "1gl7mjqpmqq4m55z6crwb77983g00gi2161ichsc7hsfhs4c8grh";
};
};
libVersion = {
- version = "2023-08-15";
+ version = "2024-02-23";
libSources = {
- symbols.rev = "06d20a4b9f7e5375329194d141b096dcdcb7518a";
- symbols.sha256 = "1wr754m4ykidds3i14gqhvyrj3mbkchp2hkfnr0rjsdaqf4zmqdf";
- templates.rev = "867eef383a0f61015cb69677d5c632d78a2ea01a";
- templates.sha256 = "1qi20mrsfn4fxmr1fyphmil2i9p2nzmwk5rlfchc5aq2194nj3lq";
- footprints.rev = "5d2ac73ae72bfe8b8ee9eeb081a7851b2ca84c24";
- footprints.sha256 = "1qg016ysf0ddm3bd5bkjawlrc0z4r3zhmdjkqkwaaaydnpwp23qz";
- packages3d.rev = "f1dae9f95e59216f3b974f585e5b420db853da9e";
- packages3d.sha256 = "0ciri6lhnh0w9i00z167snj5acnjndi1rgmyls08p45zj4rma8y2";
+ symbols.rev = "8b0c343d8694fe0a968e5c4af69fd161bacf7da1";
+ symbols.sha256 = "049h2a7yn6ks8sybppixa872dbvyd0rwf9r6nixvdg6d13fl6rwf";
+ templates.rev = "0a6c4f798a68a5c639d54b4d3093460ab9267816";
+ templates.sha256 = "0m9bggz3cm27kqpjjwxy19mqzk0c69bywcjkqcni7kafr21c6k4z";
+ footprints.rev = "ded6b053460faae5783c538a38e91e2b4bddcf2e";
+ footprints.sha256 = "035bf37n4vrihaj4zfdncisdx9fly1vya7lhkxhlsbv5blpi4a5y";
+ packages3d.rev = "984667325076d4e50dab14e755aeacf97f42194c";
+ packages3d.sha256 = "0lkaxv02h4sxrnm8zr17wl9d07mazlisad78r35gry741i362cdg";
};
};
};
diff --git a/pkgs/applications/science/electronics/magic-vlsi/default.nix b/pkgs/applications/science/electronics/magic-vlsi/default.nix
index a6237be645b5..5fc4b0036f9f 100644
--- a/pkgs/applications/science/electronics/magic-vlsi/default.nix
+++ b/pkgs/applications/science/electronics/magic-vlsi/default.nix
@@ -13,11 +13,11 @@
stdenv.mkDerivation rec {
pname = "magic-vlsi";
- version = "8.3.460";
+ version = "8.3.465";
src = fetchurl {
url = "http://opencircuitdesign.com/magic/archive/magic-${version}.tgz";
- sha256 = "sha256-MiwwCVpbmEuGwY36/ctfD0xK4RL5tolM/YPSHLIzrgk=";
+ sha256 = "sha256-cb9A8rpjD3x3fJo+xexlyzn+/3M5iUJmSoBraom9zd8=";
};
nativeBuildInputs = [ python3 ];
diff --git a/pkgs/applications/science/electronics/nanovna-saver/default.nix b/pkgs/applications/science/electronics/nanovna-saver/default.nix
index 61788938e40a..d1b38d8b8e07 100644
--- a/pkgs/applications/science/electronics/nanovna-saver/default.nix
+++ b/pkgs/applications/science/electronics/nanovna-saver/default.nix
@@ -47,6 +47,7 @@ python3.pkgs.buildPythonApplication rec {
homepage = "https://github.com/NanoVNA-Saver/nanovna-saver";
description =
"A tool for reading, displaying and saving data from the NanoVNA";
+ mainProgram = "NanoVNASaver";
longDescription = ''
A multiplatform tool to save Touchstone files from the NanoVNA, sweep
frequency spans in segments to gain more than 101 data points, and
diff --git a/pkgs/applications/science/electronics/nvc/default.nix b/pkgs/applications/science/electronics/nvc/default.nix
index 378daf9c498c..48c9b17816e9 100644
--- a/pkgs/applications/science/electronics/nvc/default.nix
+++ b/pkgs/applications/science/electronics/nvc/default.nix
@@ -57,6 +57,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "VHDL compiler and simulator";
+ mainProgram = "nvc";
homepage = "https://www.nickg.me.uk/nvc/";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ wegank ];
diff --git a/pkgs/applications/science/electronics/openboardview/default.nix b/pkgs/applications/science/electronics/openboardview/default.nix
index 9e498881d2e2..4eaf29133a44 100644
--- a/pkgs/applications/science/electronics/openboardview/default.nix
+++ b/pkgs/applications/science/electronics/openboardview/default.nix
@@ -67,6 +67,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Linux SDL/ImGui edition software for viewing .brd files";
+ mainProgram = "openboardview";
homepage = "https://github.com/OpenBoardView/OpenBoardView";
license = licenses.mit;
platforms = platforms.unix;
diff --git a/pkgs/applications/science/electronics/openhantek6022/default.nix b/pkgs/applications/science/electronics/openhantek6022/default.nix
index b6e5d89a3e97..ca0c651fad27 100644
--- a/pkgs/applications/science/electronics/openhantek6022/default.nix
+++ b/pkgs/applications/science/electronics/openhantek6022/default.nix
@@ -23,6 +23,7 @@ mkDerivation rec {
meta = with lib; {
description = "Free software for Hantek and compatible (Voltcraft/Darkwire/Protek/Acetech) USB digital signal oscilloscopes";
+ mainProgram = "OpenHantek";
homepage = "https://github.com/OpenHantek/OpenHantek6022";
license = licenses.gpl3;
maintainers = with maintainers; [ baracoder ];
diff --git a/pkgs/applications/science/electronics/pulseview/default.nix b/pkgs/applications/science/electronics/pulseview/default.nix
index cab42731c336..52685f1df254 100644
--- a/pkgs/applications/science/electronics/pulseview/default.nix
+++ b/pkgs/applications/science/electronics/pulseview/default.nix
@@ -25,6 +25,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Qt-based LA/scope/MSO GUI for sigrok (a signal analysis software suite)";
+ mainProgram = "pulseview";
homepage = "https://sigrok.org/";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ bjornfor vifino ];
diff --git a/pkgs/applications/science/electronics/qucs-s/default.nix b/pkgs/applications/science/electronics/qucs-s/default.nix
index 593e9d9187b7..4117638a1fda 100644
--- a/pkgs/applications/science/electronics/qucs-s/default.nix
+++ b/pkgs/applications/science/electronics/qucs-s/default.nix
@@ -18,13 +18,13 @@
stdenv.mkDerivation rec {
pname = "qucs-s";
- version = "2.1.0";
+ version = "24.1.0";
src = fetchFromGitHub {
owner = "ra3xdh";
repo = "qucs_s";
rev = version;
- sha256 = "sha256-C7TLOuC0CHredDiWFIAFmOlV8ivX0j4bs3b8IB8FsqE=";
+ sha256 = "sha256-ei9CPlJg+Kfjh7vu5VnT6DNLmmnA8wZ2A1jXnm//Fgo=";
};
nativeBuildInputs = [ flex bison wrapQtAppsHook cmake ];
diff --git a/pkgs/applications/science/electronics/systemc/default.nix b/pkgs/applications/science/electronics/systemc/default.nix
index dc6186841f47..4e14d08e63bf 100644
--- a/pkgs/applications/science/electronics/systemc/default.nix
+++ b/pkgs/applications/science/electronics/systemc/default.nix
@@ -1,4 +1,4 @@
-{ lib, stdenv, fetchFromGitHub, autoreconfHook }:
+{ lib, stdenv, fetchFromGitHub, cmake }:
stdenv.mkDerivation rec {
pname = "systemc";
@@ -11,16 +11,21 @@ stdenv.mkDerivation rec {
sha256 = "0sj8wlkp68cjhmkd9c9lvm3lk3sckczpz7w9vby64inc1f9fnf0b";
};
- enableParallelBuilding = true;
- nativeBuildInputs = [ autoreconfHook ];
+ nativeBuildInputs = [ cmake ];
- configureFlags = [ "--with-unix-layout" ];
+ cmakeFlags = [
+ # Undefined reference to the sc_core::sc_api_version_2_3_4_XXX
+ # https://github.com/accellera-official/systemc/issues/21
+ "-DCMAKE_CXX_STANDARD=17"
+ ];
+
+ doCheck = stdenv.buildPlatform.canExecute stdenv.hostPlatform;
meta = with lib; {
description = "The language for System-level design, modeling and verification";
homepage = "https://systemc.org/";
license = licenses.asl20;
- platforms = platforms.linux;
+ platforms = platforms.unix;
maintainers = with maintainers; [ victormignot amiloradovsky ];
};
}
diff --git a/pkgs/applications/science/electronics/tkgate/1.x.nix b/pkgs/applications/science/electronics/tkgate/1.x.nix
index f8741cd8d180..f20ce5e0acd0 100644
--- a/pkgs/applications/science/electronics/tkgate/1.x.nix
+++ b/pkgs/applications/science/electronics/tkgate/1.x.nix
@@ -35,6 +35,7 @@ stdenv.mkDerivation rec {
meta = {
description = "Event driven digital circuit simulator with a TCL/TK-based graphical editor";
+ mainProgram = "gmac";
homepage = "http://www.tkgate.org/";
license = lib.licenses.gpl2Plus;
hydraPlatforms = lib.platforms.linux;
diff --git a/pkgs/applications/science/electronics/verilator/default.nix b/pkgs/applications/science/electronics/verilator/default.nix
index 8d0fef289104..92f8fa52b91f 100644
--- a/pkgs/applications/science/electronics/verilator/default.nix
+++ b/pkgs/applications/science/electronics/verilator/default.nix
@@ -1,18 +1,27 @@
-{ lib, stdenv, fetchFromGitHub, perl, flex, bison, python3, autoconf,
+{ lib, stdenv, fetchFromGitHub, fetchpatch, perl, flex, bison, python3, autoconf,
which, cmake, ccache, help2man, makeWrapper, glibcLocales,
systemc, git, numactl }:
stdenv.mkDerivation rec {
pname = "verilator";
- version = "5.020";
+ version = "5.022";
src = fetchFromGitHub {
owner = pname;
repo = pname;
rev = "v${version}";
- hash = "sha256-7kxH/RPM+fjDuybwJgTYm0X6wpaqesGfu57plrExd8c=";
+ hash = "sha256-Ya3lqK8BfvMVLZUrD2Et6OmptteWXp5VmZb2x2G/V/E=";
};
+ patches = [
+ (fetchpatch {
+ # Fix try-lock spuriously fail in V3ThreadPool destructor
+ # https://github.com/verilator/verilator/pull/4938
+ url = "https://github.com/verilator/verilator/commit/4b9cce4369c78423779238e585ed693c456d464e.patch";
+ hash = "sha256-sGrk/pxqZqUcmJdzQoPlzXMmYqHCOmd9Y2n6ieVNg1U=";
+ })
+ ];
+
enableParallelBuilding = true;
buildInputs = [ perl python3 systemc ]; # ccache
nativeBuildInputs = [ makeWrapper flex bison autoconf help2man git ];
@@ -37,6 +46,11 @@ stdenv.mkDerivation rec {
done
'';
+ env = {
+ SYSTEMC_INCLUDE = "${lib.getDev systemc}/include";
+ SYSTEMC_LIBDIR = "${lib.getLib systemc}/lib";
+ };
+
meta = with lib; {
description = "Fast and robust (System)Verilog simulator/compiler and linter";
homepage = "https://www.veripool.org/verilator";
diff --git a/pkgs/applications/science/electronics/vhd2vl/default.nix b/pkgs/applications/science/electronics/vhd2vl/default.nix
index 0ec14d282b4a..d56a8aa6ce84 100644
--- a/pkgs/applications/science/electronics/vhd2vl/default.nix
+++ b/pkgs/applications/science/electronics/vhd2vl/default.nix
@@ -44,6 +44,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "VHDL to Verilog converter";
+ mainProgram = "vhd2vl";
homepage = "https://github.com/ldoolitt/vhd2vl";
license = licenses.gpl2Plus;
maintainers = with maintainers; [ matthuszagh ];
diff --git a/pkgs/applications/science/electronics/xcircuit/default.nix b/pkgs/applications/science/electronics/xcircuit/default.nix
index 61b63ff1d7a4..c51156d2c1ab 100644
--- a/pkgs/applications/science/electronics/xcircuit/default.nix
+++ b/pkgs/applications/science/electronics/xcircuit/default.nix
@@ -25,6 +25,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Generic drawing program tailored to circuit diagrams";
+ mainProgram = "xcircuit";
homepage = "http://opencircuitdesign.com/xcircuit";
license = licenses.gpl2;
platforms = platforms.linux;
diff --git a/pkgs/applications/science/electronics/xoscope/default.nix b/pkgs/applications/science/electronics/xoscope/default.nix
index 9f9224b5543c..0fa0c1bc79bf 100644
--- a/pkgs/applications/science/electronics/xoscope/default.nix
+++ b/pkgs/applications/science/electronics/xoscope/default.nix
@@ -24,6 +24,7 @@ stdenv.mkDerivation rec {
meta = {
description = "Oscilloscope through the sound card";
+ mainProgram = "xoscope";
homepage = "https://xoscope.sourceforge.net";
license = lib.licenses.gpl2Plus;
maintainers = with lib.maintainers; [viric];
diff --git a/pkgs/applications/science/engineering/brmodelo/default.nix b/pkgs/applications/science/engineering/brmodelo/default.nix
index c0573ae131d3..c484260d25f3 100644
--- a/pkgs/applications/science/engineering/brmodelo/default.nix
+++ b/pkgs/applications/science/engineering/brmodelo/default.nix
@@ -102,6 +102,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Entity-relationship diagram tool for making conceptual and logical database models";
+ mainProgram = "brmodelo";
homepage = "https://github.com/chcandido/brModelo";
license = licenses.gpl3;
maintainers = with maintainers; [ yuu ];
diff --git a/pkgs/applications/science/engineering/strictdoc/default.nix b/pkgs/applications/science/engineering/strictdoc/default.nix
deleted file mode 100644
index 54a4e21a3f60..000000000000
--- a/pkgs/applications/science/engineering/strictdoc/default.nix
+++ /dev/null
@@ -1,82 +0,0 @@
-{ lib
-, fetchFromGitHub
-, python3
-}:
-
-python3.pkgs.buildPythonApplication rec {
- pname = "strictdoc";
- version = "0.0.40";
- format = "pyproject";
-
- src = fetchFromGitHub {
- owner = "strictdoc-project";
- repo = pname;
- rev = "refs/tags/${version}";
- hash = "sha256-kZ8qVhroSPSGAcgUFZb1vRI6JoFyjeg/0qYosbRnwyc=";
- };
-
- postPatch = ''
- substituteInPlace pyproject.toml \
- --replace '"textx >= 3.0.0, == 3.*"' '"textx"' \
- --replace '"docutils >= 0.16, == 0.*"' '"docutils"' \
- --replace '"pygments >= 2.10.0, == 2.*"' '"pygments"' \
- --replace '"lxml >= 4.6.2, == 4.*"' '"lxml"' \
- --replace '"beautifulsoup4 >= 4.12.0, == 4.*"' '"beautifulsoup4"' \
- --replace '"python-datauri >= 0.2.9, == 0.*"' '"python-datauri"' \
- --replace '"XlsxWriter >= 1.3.7, == 1.*"' '"XlsxWriter"' \
- --replace '"xlrd >= 2.0.1, == 2.*"' '"xlrd"' \
- --replace '"reqif >= 0.0.33, == 0.*"' '"reqif"' \
- --replace '"pybtex >= 0.23.0, == 0.*"' '"pybtex"'
- '';
-
- nativeBuildInputs = with python3.pkgs; [
- hatchling
- ];
-
- propagatedBuildInputs = with python3.pkgs; [
- beautifulsoup4
- datauri
- docutils
- fastapi
- html5lib
- jinja2
- lxml
- markupsafe
- pybtex
- pygments
- python-multipart
- reqif
- setuptools
- textx
- toml
- uvicorn
- websockets
- xlrd
- xlsxwriter
- ] ++ uvicorn.optional-dependencies.standard;
-
- nativeCheckInputs = with python3.pkgs; [
- pytestCheckHook
- ];
-
- pythonImportsCheck = [
- "strictdoc"
- ];
-
- disabledTests = [
- # fixture 'fs' not found
- "test_001_load_from_files"
- ];
-
- disabledTestPaths = [
- "tests/end2end/"
- ];
-
- meta = with lib; {
- description = "Software requirements specification tool";
- homepage = "https://github.com/strictdoc-project/strictdoc";
- changelog = "https://github.com/strictdoc-project/strictdoc/releases/tag/${version}";
- license = licenses.asl20;
- maintainers = with maintainers; [ yuu ];
- };
-}
diff --git a/pkgs/applications/science/geometry/tetgen/1.4.nix b/pkgs/applications/science/geometry/tetgen/1.4.nix
index 684f5913f79f..f67bf198443a 100644
--- a/pkgs/applications/science/geometry/tetgen/1.4.nix
+++ b/pkgs/applications/science/geometry/tetgen/1.4.nix
@@ -16,6 +16,7 @@ stdenv.mkDerivation rec {
meta = {
description = "Quality Tetrahedral Mesh Generator and 3D Delaunay Triangulator";
+ mainProgram = "tetgen";
homepage = "http://tetgen.org/";
license = lib.licenses.mit;
platforms = lib.platforms.linux;
diff --git a/pkgs/applications/science/geometry/tetgen/default.nix b/pkgs/applications/science/geometry/tetgen/default.nix
index ff2b211b34d2..dce56dc4e17d 100644
--- a/pkgs/applications/science/geometry/tetgen/default.nix
+++ b/pkgs/applications/science/geometry/tetgen/default.nix
@@ -24,6 +24,7 @@ stdenv.mkDerivation rec {
meta = {
description = "Quality Tetrahedral Mesh Generator and 3D Delaunay Triangulator";
+ mainProgram = "tetgen";
homepage = "http://tetgen.org/";
license = lib.licenses.agpl3Plus;
platforms = lib.platforms.linux;
diff --git a/pkgs/applications/science/logic/abella/default.nix b/pkgs/applications/science/logic/abella/default.nix
index 4483b8ad4756..7878626d6e9a 100644
--- a/pkgs/applications/science/logic/abella/default.nix
+++ b/pkgs/applications/science/logic/abella/default.nix
@@ -27,6 +27,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
description = "Interactive theorem prover";
+ mainProgram = "abella";
longDescription = ''
Abella is an interactive theorem prover based on lambda-tree syntax.
This means that Abella is well-suited for reasoning about the meta-theory
diff --git a/pkgs/applications/science/logic/anders/default.nix b/pkgs/applications/science/logic/anders/default.nix
index eb2be71f1681..dff6c86703d4 100644
--- a/pkgs/applications/science/logic/anders/default.nix
+++ b/pkgs/applications/science/logic/anders/default.nix
@@ -20,6 +20,7 @@ ocamlPackages.buildDunePackage rec {
meta = with lib; {
description = "Modal Homotopy Type System";
+ mainProgram = "anders";
homepage = "https://homotopy.dev/";
license = licenses.isc;
maintainers = [ maintainers.suhr ];
diff --git a/pkgs/applications/science/logic/bitwuzla/default.nix b/pkgs/applications/science/logic/bitwuzla/default.nix
index 4af4058339d9..bacf8620e5fd 100644
--- a/pkgs/applications/science/logic/bitwuzla/default.nix
+++ b/pkgs/applications/science/logic/bitwuzla/default.nix
@@ -61,6 +61,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A SMT solver for fixed-size bit-vectors, floating-point arithmetic, arrays, and uninterpreted functions";
+ mainProgram = "bitwuzla";
homepage = "https://bitwuzla.github.io";
license = licenses.mit;
platforms = platforms.unix;
diff --git a/pkgs/applications/science/logic/boolector/cmake-gtest.patch b/pkgs/applications/science/logic/boolector/cmake-gtest.patch
deleted file mode 100644
index 61a64d3abbbf..000000000000
--- a/pkgs/applications/science/logic/boolector/cmake-gtest.patch
+++ /dev/null
@@ -1,16 +0,0 @@
-diff --git a/cmake/googletest-download.cmake b/cmake/googletest-download.cmake
-index 0ec4d558..d0910313 100644
---- a/cmake/googletest-download.cmake
-+++ b/cmake/googletest-download.cmake
-@@ -9,10 +9,7 @@ ExternalProject_Add(
- googletest
- SOURCE_DIR "@GOOGLETEST_DOWNLOAD_ROOT@/googletest-src"
- BINARY_DIR "@GOOGLETEST_DOWNLOAD_ROOT@/googletest-build"
-- GIT_REPOSITORY
-- https://github.com/google/googletest.git
-- GIT_TAG
-- release-1.10.0
-+ URL REPLACEME
- CONFIGURE_COMMAND ""
- BUILD_COMMAND ""
- INSTALL_COMMAND ""
diff --git a/pkgs/applications/science/logic/boolector/default.nix b/pkgs/applications/science/logic/boolector/default.nix
index 1d7e01c553b6..d23db163aeb6 100644
--- a/pkgs/applications/science/logic/boolector/default.nix
+++ b/pkgs/applications/science/logic/boolector/default.nix
@@ -4,34 +4,31 @@
stdenv.mkDerivation rec {
pname = "boolector";
- version = "3.2.2";
+ version = "3.2.3";
src = fetchFromGitHub {
owner = "boolector";
repo = "boolector";
rev = version;
- sha256 = "1smcy6yp8wvnw2brgnv5bf40v87k4v4fbdbrhi7987vja632k50z";
+ hash = "sha256-CdfpXUbU1+yEmrNyl+hvHlJfpzzzx356naim6vRafDg=";
};
patches = [
- # present in master - remove after 3.2.2
+ # present in master - remove after 3.2.3
(fetchpatch {
- name = "fix-parser-getc-char-casts.patch";
- url = "https://github.com/Boolector/boolector/commit/cc3a70918538c1e71ea5e7273fa1ac098da37c1b.patch";
- sha256 = "0pjvagcy74vxa2q75zbshcz8j7rvhl98549xfcf5y8yyxf5h8hyq";
+ name = "update-unit-tests-to-cpp-14.patch";
+ url = "https://github.com/Boolector/boolector/commit/cc13f371c0c5093d98638ddd213dc835ef3aadf3.patch";
+ hash = "sha256-h8DBhAvUu+wXBwmvwRhHnJv3XrbEpBpvX9D1FI/+avc=";
})
];
- postPatch = ''
- sed s@REPLACEME@file://${gtest.src}@ ${./cmake-gtest.patch} | patch -p1
- '';
-
- nativeBuildInputs = [ cmake ];
+ nativeBuildInputs = [ cmake gtest ];
buildInputs = [ lingeling btor2tools gmp ];
cmakeFlags =
[ "-DBUILD_SHARED_LIBS=ON"
"-DUSE_LINGELING=YES"
+ "-DBtor2Tools_INCLUDE_DIR=${btor2tools.dev}/include/btor2parser"
] ++ (lib.optional (gmp != null) "-DUSE_GMP=YES");
nativeCheckInputs = [ python3 ];
diff --git a/pkgs/applications/science/logic/cadical/default.nix b/pkgs/applications/science/logic/cadical/default.nix
index 49ba06e36cc0..873b3836b73e 100644
--- a/pkgs/applications/science/logic/cadical/default.nix
+++ b/pkgs/applications/science/logic/cadical/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "cadical";
- version = "1.9.4";
+ version = "1.9.5";
src = fetchFromGitHub {
owner = "arminbiere";
repo = "cadical";
rev = "rel-${version}";
- sha256 = "sha256-cSuvvd7ci8jXzFowS7+V3bor7bXCxaKcGdDU91nIo+k=";
+ sha256 = "sha256-mAKuz8WjX+ywQ7Sw5hRMPftsbbilTlmQ9qZVowXxs28=";
};
outputs = [ "out" "dev" "lib" ];
diff --git a/pkgs/applications/science/logic/celf/default.nix b/pkgs/applications/science/logic/celf/default.nix
index 044a6f3ca1c4..e1f0c237f673 100644
--- a/pkgs/applications/science/logic/celf/default.nix
+++ b/pkgs/applications/science/logic/celf/default.nix
@@ -28,6 +28,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Linear logic programming system";
+ mainProgram = "celf";
homepage = "https://github.com/clf/celf";
license = licenses.gpl3;
maintainers = with maintainers; [ bcdarwin ];
diff --git a/pkgs/applications/science/logic/clprover/clprover.nix b/pkgs/applications/science/logic/clprover/clprover.nix
index 2a8c058a80bb..543c6cb4310b 100644
--- a/pkgs/applications/science/logic/clprover/clprover.nix
+++ b/pkgs/applications/science/logic/clprover/clprover.nix
@@ -19,6 +19,7 @@ stdenv.mkDerivation {
meta = with lib; {
description = "Resolution-based theorem prover for Coalition Logic implemented in C++";
+ mainProgram = "CLProver++";
homepage = "https://cgi.csc.liv.ac.uk/~ullrich/CLProver++/";
license = licenses.gpl3; # Note that while the website states that it is GPLv2 but the file in the zip as well as the comments in the source state it is GPLv3
maintainers = with maintainers; [ mgttlinger ];
diff --git a/pkgs/applications/science/logic/coq/default.nix b/pkgs/applications/science/logic/coq/default.nix
index e52a51936180..37a8aba7d8c7 100644
--- a/pkgs/applications/science/logic/coq/default.nix
+++ b/pkgs/applications/science/logic/coq/default.nix
@@ -57,6 +57,7 @@ let
"8.17.1".sha256 = "sha256-x+RwkbxMg9aR0L3WSCtpIz8jwA5cJA4tXAtHMZb20y4=";
"8.18.0".sha256 = "sha256-WhiBs4nzPHQ0R24xAdM49kmxSCPOxiOVMA1iiMYunz4=";
"8.19.0".sha256 = "sha256-ixsYCvCXpBHqJ71hLQklphlwoOO3i/6w2PJjllKqf9k=";
+ "8.19.1".sha256 = "sha256-kmZ8Uk8jpzjOd67aAPp3C+vU2oNaBw9pr7+Uixcgg94=";
};
releaseRev = v: "V${v}";
fetched = import ../../../../build-support/coq/meta-fetch/default.nix
diff --git a/pkgs/applications/science/logic/cryptominisat/default.nix b/pkgs/applications/science/logic/cryptominisat/default.nix
index a028803db139..4be57a194635 100644
--- a/pkgs/applications/science/logic/cryptominisat/default.nix
+++ b/pkgs/applications/science/logic/cryptominisat/default.nix
@@ -8,13 +8,13 @@
stdenv.mkDerivation rec {
pname = "cryptominisat";
- version = "5.11.15";
+ version = "5.11.21";
src = fetchFromGitHub {
owner = "msoos";
repo = "cryptominisat";
rev = version;
- hash = "sha256-OenuIPo5U0+egWMpxfaKWPLbO5YRQJSXLYptih+ZQQ0=";
+ hash = "sha256-8oH9moMjQEWnQXKmKcqmXuXcYkEyvr4hwC1bC4l26mo=";
};
buildInputs = [ python3 boost ];
@@ -22,6 +22,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "An advanced SAT Solver";
+ mainProgram = "cryptominisat5";
homepage = "https://github.com/msoos/cryptominisat";
license = licenses.mit;
maintainers = with maintainers; [ mic92 ];
diff --git a/pkgs/applications/science/logic/cryptoverif/default.nix b/pkgs/applications/science/logic/cryptoverif/default.nix
index 5c15b8a17241..4b74cdd518ca 100644
--- a/pkgs/applications/science/logic/cryptoverif/default.nix
+++ b/pkgs/applications/science/logic/cryptoverif/default.nix
@@ -42,6 +42,7 @@ stdenv.mkDerivation rec {
meta = {
description = "Cryptographic protocol verifier in the computational model";
+ mainProgram = "cryptoverif";
homepage = "https://prosecco.gforge.inria.fr/personal/bblanche/cryptoverif/";
license = lib.licenses.cecill-b;
platforms = lib.platforms.unix;
diff --git a/pkgs/applications/science/logic/cubicle/default.nix b/pkgs/applications/science/logic/cubicle/default.nix
index c9382c5d0f1a..03409e68ea33 100644
--- a/pkgs/applications/science/logic/cubicle/default.nix
+++ b/pkgs/applications/science/logic/cubicle/default.nix
@@ -37,6 +37,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "An open source model checker for verifying safety properties of array-based systems";
+ mainProgram = "cubicle";
homepage = "https://cubicle.lri.fr/";
license = licenses.asl20;
platforms = platforms.unix;
diff --git a/pkgs/applications/science/logic/cvc3/default.nix b/pkgs/applications/science/logic/cvc3/default.nix
index 0385909610e6..bec5a89cc691 100644
--- a/pkgs/applications/science/logic/cvc3/default.nix
+++ b/pkgs/applications/science/logic/cvc3/default.nix
@@ -28,6 +28,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A prover for satisfiability modulo theory (SMT)";
+ mainProgram = "cvc3";
maintainers = with maintainers;
[ raskin ];
platforms = platforms.unix;
diff --git a/pkgs/applications/science/logic/cvc4/default.nix b/pkgs/applications/science/logic/cvc4/default.nix
index 1513c7477985..ac45db8cb312 100644
--- a/pkgs/applications/science/logic/cvc4/default.nix
+++ b/pkgs/applications/science/logic/cvc4/default.nix
@@ -40,6 +40,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A high-performance theorem prover and SMT solver";
+ mainProgram = "cvc4";
homepage = "http://cvc4.cs.stanford.edu/web/";
license = licenses.gpl3;
platforms = platforms.unix;
diff --git a/pkgs/applications/science/logic/cvc5/default.nix b/pkgs/applications/science/logic/cvc5/default.nix
index 4da837ca46f6..d34b29337296 100644
--- a/pkgs/applications/science/logic/cvc5/default.nix
+++ b/pkgs/applications/science/logic/cvc5/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "cvc5";
- version = "1.1.1";
+ version = "1.1.2";
src = fetchFromGitHub {
owner = "cvc5";
repo = "cvc5";
rev = "cvc5-${version}";
- hash = "sha256-TU2ZG6/9bXRPozvEVUiSWixImY38iavD3huhSU8DbCw=";
+ hash = "sha256-v+3/2IUslQOySxFDYgTBWJIDnyjbU2RPdpfLcIkEtgQ=";
};
nativeBuildInputs = [ pkg-config cmake flex ];
@@ -30,6 +30,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A high-performance theorem prover and SMT solver";
+ mainProgram = "cvc5";
homepage = "https://cvc5.github.io";
license = licenses.gpl3Only;
platforms = platforms.unix;
diff --git a/pkgs/applications/science/logic/dafny/default.nix b/pkgs/applications/science/logic/dafny/default.nix
index 5f56d612ab24..83d472c1abb1 100644
--- a/pkgs/applications/science/logic/dafny/default.nix
+++ b/pkgs/applications/science/logic/dafny/default.nix
@@ -8,13 +8,13 @@
buildDotnetModule rec {
pname = "Dafny";
- version = "4.4.0";
+ version = "4.5.0";
src = fetchFromGitHub {
owner = "dafny-lang";
repo = "dafny";
rev = "v${version}";
- hash = "sha256-rnPZms60vRtefEV+3IeVXoZJU9WMjVxPVioRaEcyw/o=";
+ hash = "sha256-NsQhJY++IaLyFc5jqo7TyZBcz0P8VUizGLxdIe9KEO4=";
};
postPatch = ''
diff --git a/pkgs/applications/science/logic/dafny/deps.nix b/pkgs/applications/science/logic/dafny/deps.nix
index a786d8b3d6fd..91fe5624ac7f 100644
--- a/pkgs/applications/science/logic/dafny/deps.nix
+++ b/pkgs/applications/science/logic/dafny/deps.nix
@@ -2,19 +2,19 @@
# Please dont edit it manually, your changes might get overwritten!
{ fetchNuGet }: [
- (fetchNuGet { pname = "Boogie"; version = "3.0.9"; sha256 = "12700rvm3zj73pkkjaypfa72fvqz8bp78hi3jkh89dqavhg3l7p5"; })
- (fetchNuGet { pname = "Boogie.AbstractInterpretation"; version = "3.0.9"; sha256 = "1612d1x7smhcczmk21z9kswjjvq3h0r5mlf1zb8mznyx0154pckg"; })
- (fetchNuGet { pname = "Boogie.BaseTypes"; version = "3.0.9"; sha256 = "0v6x8k61rl6bvp1zbvbhnlpkakbw11c2mf8glafmf4znrakwil23"; })
- (fetchNuGet { pname = "Boogie.CodeContractsExtender"; version = "3.0.9"; sha256 = "045z0j7bhsb8fypzkz8spixfqdchcpsq3bb9bfwb95if2mna4zx2"; })
- (fetchNuGet { pname = "Boogie.Concurrency"; version = "3.0.9"; sha256 = "00k08qh614vciadzk7lr1dcwsvrcfpslvs342amq12c25rxh3125"; })
- (fetchNuGet { pname = "Boogie.Core"; version = "3.0.9"; sha256 = "03fip919iw7y3vwk5nj53jj73ry43z9fpn752j5fbgygkl2zbx4q"; })
- (fetchNuGet { pname = "Boogie.ExecutionEngine"; version = "3.0.9"; sha256 = "098l1qmya021raqgdapxvwq3pra4v7wpv7j3dmmhsnpg8zs30jgi"; })
- (fetchNuGet { pname = "Boogie.Graph"; version = "3.0.9"; sha256 = "1y8aai7wmsyh2pn9bl1rp2nifs3k9b8kb2lqx5rgs1fdiyk2q24j"; })
- (fetchNuGet { pname = "Boogie.Houdini"; version = "3.0.9"; sha256 = "1ssr82swqmjsap6v344v2kwkfsv70gx082dk54x7vpapr56f1fgp"; })
- (fetchNuGet { pname = "Boogie.Model"; version = "3.0.9"; sha256 = "1cy04a7dr1z7dxfkx6l9kfm30rx5wsn7g50b0wyzp4ns6sbkh47f"; })
- (fetchNuGet { pname = "Boogie.Provers.SMTLib"; version = "3.0.9"; sha256 = "1ijzn67wl82ycr1k7gbh8dhq99zxqqjdc48glf4ld832l7sp3vam"; })
- (fetchNuGet { pname = "Boogie.VCExpr"; version = "3.0.9"; sha256 = "0hivg31c8v9ix5b8mici6mxz1yzydwiyvgb510bnghxciwbnd4gp"; })
- (fetchNuGet { pname = "Boogie.VCGeneration"; version = "3.0.9"; sha256 = "1j9853vixzpgdfd60c3hr5padfdj3sbrbhmr6jg9a0cr3afk72sm"; })
+ (fetchNuGet { pname = "Boogie"; version = "3.1.3"; sha256 = "0xzc7s0rjb8dhdkdf71g6pdsnyhbl534xpwd8gbx6g16a87iqx6i"; })
+ (fetchNuGet { pname = "Boogie.AbstractInterpretation"; version = "3.1.3"; sha256 = "0a7v2jkkbh59pyc5nz4avszm3dbmp4amkmr6lvn0gyc3hxgn8d3k"; })
+ (fetchNuGet { pname = "Boogie.BaseTypes"; version = "3.1.3"; sha256 = "1h94yl4ymhd2g14i5w8lnnh2zw7gx65qydzvv8cm8d5yn64gch63"; })
+ (fetchNuGet { pname = "Boogie.CodeContractsExtender"; version = "3.1.3"; sha256 = "0b1h1lz997lgyq34bx3ngnhgcrw8j4qvsa6iygb6bydxz7rirrf4"; })
+ (fetchNuGet { pname = "Boogie.Concurrency"; version = "3.1.3"; sha256 = "1aq0gdz1xkmp82c67vrmyvkncfbbj5zxrsg78lsmmi22h9qbkzm3"; })
+ (fetchNuGet { pname = "Boogie.Core"; version = "3.1.3"; sha256 = "0yhl272lv9lncjval2z7zl9wavlxx8bivj467zl2zzbrxw2k5wz8"; })
+ (fetchNuGet { pname = "Boogie.ExecutionEngine"; version = "3.1.3"; sha256 = "0p0zp329h6mddbswm3pdcyvy03y69vyznv11ph6bkpya21lsxqy7"; })
+ (fetchNuGet { pname = "Boogie.Graph"; version = "3.1.3"; sha256 = "1p8vb4x4iy7f0ycwb8f71j9a2ci8irwg3rvad2hg3rgbihbwp1qj"; })
+ (fetchNuGet { pname = "Boogie.Houdini"; version = "3.1.3"; sha256 = "06qlgi9f70r2w7w6h9qw3lx9dd4pbddpdplqjxi090rpry6dhrbz"; })
+ (fetchNuGet { pname = "Boogie.Model"; version = "3.1.3"; sha256 = "0fbvnrghaq17fdpjx12axxrrjp1mh99skaznmvxd1ylsqqnn4cbk"; })
+ (fetchNuGet { pname = "Boogie.Provers.SMTLib"; version = "3.1.3"; sha256 = "0x7gpc7m04in2gzdn4jgjphd2xjqrdfmh84wzwnwpvi5wyn869jc"; })
+ (fetchNuGet { pname = "Boogie.VCExpr"; version = "3.1.3"; sha256 = "0dyndhqz1yf9qnq9mw73g53rnz0xfbdbi3yk6pg7fdm1m3363h5p"; })
+ (fetchNuGet { pname = "Boogie.VCGeneration"; version = "3.1.3"; sha256 = "1bl83727zc1rhskx548p5pa27804n3f5i9n233jvcz6n6bfjn74k"; })
(fetchNuGet { pname = "CocoR"; version = "2014.12.24"; sha256 = "0ps8h7aawkcc1910qnh13llzb01pvgsjmg862pxp0p4wca2dn7a2"; })
(fetchNuGet { pname = "JetBrains.Annotations"; version = "2021.1.0"; sha256 = "07pnhxxlgx8spmwmakz37nmbvgyb6yjrbrhad5rrn6y767z5r1gb"; })
(fetchNuGet { pname = "MediatR"; version = "8.1.0"; sha256 = "0cqx7yfh998xhsfk5pr6229lcjcs1jxxyqz7dwskc9jddl6a2akp"; })
@@ -40,6 +40,7 @@
(fetchNuGet { pname = "Microsoft.Extensions.FileProviders.Abstractions"; version = "5.0.0"; sha256 = "01ahgd0b2z2zycrr2lcsq2cl59fn04bh51hdwdp9dcsdkpvnasj1"; })
(fetchNuGet { pname = "Microsoft.Extensions.FileProviders.Physical"; version = "5.0.0"; sha256 = "00vii8148a6pk12l9jl0rhjp7apil5q5qcy7v1smnv17lj4p8szd"; })
(fetchNuGet { pname = "Microsoft.Extensions.FileSystemGlobbing"; version = "5.0.0"; sha256 = "0lm6n9vbyjh0l17qcc2y9qwn1cns3dyjmkvbxjp0g9sll32kjpmb"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.Logging"; version = "2.0.0"; sha256 = "1jkwjcq1ld9znz1haazk8ili2g4pzfdp6i7r7rki4hg3jcadn386"; })
(fetchNuGet { pname = "Microsoft.Extensions.Logging"; version = "5.0.0"; sha256 = "1qa1l18q2jh9azya8gv1p8anzcdirjzd9dxxisb4911i9m1648i3"; })
(fetchNuGet { pname = "Microsoft.Extensions.Logging.Abstractions"; version = "5.0.0"; sha256 = "1yza38675dbv1qqnnhqm23alv2bbaqxp0pb7zinjmw8j2mr5r6wc"; })
(fetchNuGet { pname = "Microsoft.Extensions.Options"; version = "2.0.0"; sha256 = "0g4zadlg73f507krilhaaa7h0jdga216syrzjlyf5fdk25gxmjqh"; })
@@ -53,10 +54,9 @@
(fetchNuGet { pname = "Microsoft.NETCore.Platforms"; version = "3.0.0"; sha256 = "1bk8r4r3ihmi6322jmcag14jmw11mjqys202azqjzglcx59pxh51"; })
(fetchNuGet { pname = "Microsoft.NETCore.Targets"; version = "1.0.1"; sha256 = "0ppdkwy6s9p7x9jix3v4402wb171cdiibq7js7i13nxpdky7074p"; })
(fetchNuGet { pname = "Microsoft.NETCore.Targets"; version = "1.1.0"; sha256 = "193xwf33fbm0ni3idxzbr5fdq3i2dlfgihsac9jj7whj0gd902nh"; })
- (fetchNuGet { pname = "Microsoft.TestPlatform.Extensions.TrxLogger"; version = "17.0.0"; sha256 = "067vpfk5690j0d01lfy8mry42pkzz79l873cp2dby0hi8skfklaq"; })
- (fetchNuGet { pname = "Microsoft.TestPlatform.ObjectModel"; version = "16.11.0"; sha256 = "1fc0ghk1cny4i8w43b94pxhl0srxisv6kaflkkp30ncsa9szhkxh"; })
- (fetchNuGet { pname = "Microsoft.TestPlatform.ObjectModel"; version = "17.0.0"; sha256 = "1bh5scbvl6ndldqv20sl34h4y257irm9ziv2wyfc3hka6912fhn7"; })
- (fetchNuGet { pname = "Microsoft.TestPlatform.TestHost"; version = "16.11.0"; sha256 = "0hp1vndf2jhyg1f3miq4g2068z5kpfzy6nmswm25vymghxp1ws4k"; })
+ (fetchNuGet { pname = "Microsoft.TestPlatform.Extensions.TrxLogger"; version = "17.9.0"; sha256 = "0wn38vj9i4gjw5zsl4wcivpqrmp1h5n6m1zxcfwj7yjn9hf45rz9"; })
+ (fetchNuGet { pname = "Microsoft.TestPlatform.ObjectModel"; version = "17.9.0"; sha256 = "1kgsl9w9fganbm9wvlkqgk0ag9hfi58z88rkfybc6kvg78bx89ca"; })
+ (fetchNuGet { pname = "Microsoft.TestPlatform.TestHost"; version = "17.9.0"; sha256 = "19ffh31a1jxzn8j69m1vnk5hyfz3dbxmflq77b8x82zybiilh5nl"; })
(fetchNuGet { pname = "Microsoft.VisualStudio.Threading"; version = "16.7.56"; sha256 = "13x0xrsjxd86clf9cjjwmpzlyp8pkrf13riya7igs8zy93zw2qap"; })
(fetchNuGet { pname = "Microsoft.VisualStudio.Threading.Analyzers"; version = "16.7.56"; sha256 = "04v9df0k7bsc0rzgkw4mnvi43pdrh42vk6xdcwn9m6im33m0nnz2"; })
(fetchNuGet { pname = "Microsoft.VisualStudio.Validation"; version = "15.5.31"; sha256 = "1ah99rn922qa0sd2k3h64m324f2r32pw8cn4cfihgvwx4qdrpmgw"; })
@@ -64,8 +64,8 @@
(fetchNuGet { pname = "Microsoft.Win32.Registry"; version = "4.6.0"; sha256 = "0i4y782yrqqyx85pg597m20gm0v126w0j9ddk5z7xb3crx4z9f2s"; })
(fetchNuGet { pname = "Microsoft.Win32.SystemEvents"; version = "6.0.0"; sha256 = "0c6pcj088g1yd1vs529q3ybgsd2vjlk5y1ic6dkmbhvrp5jibl9p"; })
(fetchNuGet { pname = "Nerdbank.Streams"; version = "2.6.81"; sha256 = "06wihcaga8537ibh0mkj28m720m6vzkqk562zkynhca85nd236yi"; })
+ (fetchNuGet { pname = "Newtonsoft.Json"; version = "11.0.2"; sha256 = "1784xi44f4k8v1fr696hsccmwpy94bz7kixxqlri98zhcxn406b2"; })
(fetchNuGet { pname = "Newtonsoft.Json"; version = "13.0.1"; sha256 = "0fijg0w6iwap8gvzyjnndds0q4b8anwxxvik7y8vgq97dram4srb"; })
- (fetchNuGet { pname = "NuGet.Frameworks"; version = "5.0.0"; sha256 = "18ijvmj13cwjdrrm52c8fpq021531zaz4mj4b4zapxaqzzxf2qjr"; })
(fetchNuGet { pname = "OmniSharp.Extensions.JsonRpc"; version = "0.19.5"; sha256 = "0ilcv3cxcvjkd8ngiydi69pzll07rhqdv5nq9yjnhyj142ynw2cb"; })
(fetchNuGet { pname = "OmniSharp.Extensions.JsonRpc.Generators"; version = "0.19.5"; sha256 = "1mac4yx29ld8fyirg7n0vqn81hzdvcrl8w0l9w5xhnnm6bcd42v8"; })
(fetchNuGet { pname = "OmniSharp.Extensions.LanguageProtocol"; version = "0.19.5"; sha256 = "1clgrbw6dlh46iiiqhavwh15xqar41az352mb5r4ln8ql3wnmk1i"; })
@@ -104,7 +104,7 @@
(fetchNuGet { pname = "runtime.unix.System.IO.FileSystem"; version = "4.3.0"; sha256 = "14nbkhvs7sji5r1saj2x8daz82rnf9kx28d3v2qss34qbr32dzix"; })
(fetchNuGet { pname = "runtime.unix.System.Private.Uri"; version = "4.3.0"; sha256 = "1jx02q6kiwlvfksq1q9qr17fj78y5v6mwsszav4qcz9z25d5g6vk"; })
(fetchNuGet { pname = "runtime.unix.System.Runtime.Extensions"; version = "4.3.0"; sha256 = "0pnxxmm8whx38dp6yvwgmh22smknxmqs5n513fc7m4wxvs1bvi4p"; })
- (fetchNuGet { pname = "Serilog"; version = "2.10.0"; sha256 = "08bih205i632ywryn3zxkhb15dwgyaxbhmm1z3b5nmby9fb25k7v"; })
+ (fetchNuGet { pname = "Serilog"; version = "2.12.0"; sha256 = "0lqxpc96qcjkv9pr1rln7mi4y7n7jdi4vb36c2fv3845w1vswgr4"; })
(fetchNuGet { pname = "Serilog.Extensions.Logging"; version = "3.0.1"; sha256 = "069qy7dm5nxb372ij112ppa6m99b4iaimj3sji74m659fwrcrl9a"; })
(fetchNuGet { pname = "Serilog.Settings.Configuration"; version = "3.1.0"; sha256 = "1cj5am4n073331gbfm2ylqb9cadl4q3ppzgwmm5c8m1drxpiwkb5"; })
(fetchNuGet { pname = "Serilog.Sinks.Debug"; version = "2.0.0"; sha256 = "1i7j870l47gan3gpnnlzkccn5lbm7518cnkp25a3g5gp9l0dbwpw"; })
@@ -114,8 +114,6 @@
(fetchNuGet { pname = "System.Collections"; version = "4.3.0"; sha256 = "19r4y64dqyrq6k4706dnyhhw7fs24kpp3awak7whzss39dakpxk9"; })
(fetchNuGet { pname = "System.Collections.Immutable"; version = "1.7.0"; sha256 = "1gik4sn9jsi1wcy1pyyp0r4sn2g17cwrsh24b2d52vif8p2h24zx"; })
(fetchNuGet { pname = "System.Collections.Immutable"; version = "1.7.1"; sha256 = "1nh4nlxfc7lbnbl86wwk1a3jwl6myz5j6hvgh5sp4krim9901hsq"; })
- (fetchNuGet { pname = "System.Collections.NonGeneric"; version = "4.0.1"; sha256 = "19994r5y5bpdhj7di6w047apvil8lh06lh2c2yv9zc4fc5g9bl4d"; })
- (fetchNuGet { pname = "System.Collections.Specialized"; version = "4.0.1"; sha256 = "1wbv7y686p5x169rnaim7sln67ivmv6r57falrnx8aap9y33mam9"; })
(fetchNuGet { pname = "System.CommandLine"; version = "2.0.0-beta4.22272.1"; sha256 = "1iy5hwwgvx911g3yq65p4zsgpy08w4qz9j3h0igcf7yci44vw8yd"; })
(fetchNuGet { pname = "System.Configuration.ConfigurationManager"; version = "6.0.0"; sha256 = "0sqapr697jbb4ljkq46msg0xx1qpmc31ivva6llyz2wzq3mpmxbw"; })
(fetchNuGet { pname = "System.Diagnostics.Debug"; version = "4.3.0"; sha256 = "00yjlf19wjydyr6cfviaph3vsjzg3d5nvnya26i2fvfg53sknh3y"; })
@@ -124,7 +122,6 @@
(fetchNuGet { pname = "System.Dynamic.Runtime"; version = "4.0.11"; sha256 = "1pla2dx8gkidf7xkciig6nifdsb494axjvzvann8g2lp3dbqasm9"; })
(fetchNuGet { pname = "System.Globalization"; version = "4.0.11"; sha256 = "070c5jbas2v7smm660zaf1gh0489xanjqymkvafcs4f8cdrs1d5d"; })
(fetchNuGet { pname = "System.Globalization"; version = "4.3.0"; sha256 = "1cp68vv683n6ic2zqh2s1fn4c2sd87g5hpp6l4d4nj4536jz98ki"; })
- (fetchNuGet { pname = "System.Globalization.Extensions"; version = "4.0.1"; sha256 = "0hjhdb5ri8z9l93bw04s7ynwrjrhx2n0p34sf33a9hl9phz69fyc"; })
(fetchNuGet { pname = "System.IO"; version = "4.1.0"; sha256 = "1g0yb8p11vfd0kbkyzlfsbsp5z44lwsvyc0h3dpw6vqnbi035ajp"; })
(fetchNuGet { pname = "System.IO"; version = "4.3.0"; sha256 = "05l9qdrzhm4s5dixmx68kxwif4l99ll5gqmh7rqgw554fx0agv5f"; })
(fetchNuGet { pname = "System.IO.FileSystem"; version = "4.0.1"; sha256 = "0kgfpw6w4djqra3w5crrg8xivbanh1w9dh3qapb28q060wb9flp1"; })
diff --git a/pkgs/applications/science/logic/easycrypt/default.nix b/pkgs/applications/science/logic/easycrypt/default.nix
index 2869bcd6e1e3..782d15d61559 100644
--- a/pkgs/applications/science/logic/easycrypt/default.nix
+++ b/pkgs/applications/science/logic/easycrypt/default.nix
@@ -51,5 +51,6 @@ stdenv.mkDerivation rec {
platforms = lib.platforms.all;
homepage = "https://easycrypt.info/";
description = "Computer-Aided Cryptographic Proofs";
+ mainProgram = "easycrypt";
};
}
diff --git a/pkgs/applications/science/logic/easycrypt/runtest.nix b/pkgs/applications/science/logic/easycrypt/runtest.nix
index c0d72d96e1d1..b714dc14991b 100644
--- a/pkgs/applications/science/logic/easycrypt/runtest.nix
+++ b/pkgs/applications/science/logic/easycrypt/runtest.nix
@@ -21,5 +21,6 @@ python3Packages.buildPythonApplication rec {
meta = easycrypt.meta // {
description = "Testing program for EasyCrypt formalizations";
+ mainProgram = "ec-runtest";
};
}
diff --git a/pkgs/applications/science/logic/egglog/default.nix b/pkgs/applications/science/logic/egglog/default.nix
index ab5653b50912..8b94c449c6be 100644
--- a/pkgs/applications/science/logic/egglog/default.nix
+++ b/pkgs/applications/science/logic/egglog/default.nix
@@ -25,6 +25,7 @@ rustPlatform.buildRustPackage {
meta = with lib; {
description = "A fixpoint reasoning system that unifies Datalog and equality saturation";
+ mainProgram = "egglog";
homepage = "https://github.com/egraphs-good/egglog";
license = licenses.mit;
maintainers = with maintainers; [ figsoda ];
diff --git a/pkgs/applications/science/logic/elan/0001-dynamically-patchelf-binaries.patch b/pkgs/applications/science/logic/elan/0001-dynamically-patchelf-binaries.patch
index 74da9d854f34..0b33d4242eb7 100644
--- a/pkgs/applications/science/logic/elan/0001-dynamically-patchelf-binaries.patch
+++ b/pkgs/applications/science/logic/elan/0001-dynamically-patchelf-binaries.patch
@@ -2,7 +2,7 @@ diff --git a/src/elan-dist/src/component/package.rs b/src/elan-dist/src/componen
index c51e76d..ae8159e 100644
--- a/src/elan-dist/src/component/package.rs
+++ b/src/elan-dist/src/component/package.rs
-@@ -56,6 +56,35 @@ fn unpack_without_first_dir(archive: &mut tar::Archive, path: &Path)
+@@ -56,6 +56,37 @@ fn unpack_without_first_dir(archive: &mut tar::Archive, path: &Path)
entry
.unpack(&full_path)
.chain_err(|| ErrorKind::ExtractingPackage)?;
@@ -26,9 +26,11 @@ index c51e76d..ae8159e 100644
+ use std::os::unix::fs::PermissionsExt;
+ let new_path = dest_path.with_extension("orig");
+ ::std::fs::rename(dest_path, &new_path)?;
-+ ::std::fs::write(dest_path, format!(r#"#! @shell@
-+LEAN_CC="${{LEAN_CC:-@cc@}}" exec -a "$0" {} "$@" -L {}/lib # use bundled libraries, but not bundled compiler that doesn't know about NIX_LDFLAGS
-+"#, new_path.to_str().unwrap(), dest_path.parent().unwrap().parent().unwrap().to_str().unwrap()))?;
++ ::std::fs::write(dest_path, r#"#! @shell@
++dir="$(dirname "${BASH_SOURCE[0]}")"
++# use bundled libraries, but not bundled compiler that doesn't know about NIX_LDFLAGS
++LEAN_CC="${LEAN_CC:-@cc@}" exec -a "$0" "$dir/leanc.orig" "$@" -L"$dir/../lib"
++"#)?;
+ ::std::fs::set_permissions(dest_path, ::std::fs::Permissions::from_mode(0o755))?;
+ }
+
diff --git a/pkgs/applications/science/logic/elan/default.nix b/pkgs/applications/science/logic/elan/default.nix
index b0df275085e6..245f8db13ce6 100644
--- a/pkgs/applications/science/logic/elan/default.nix
+++ b/pkgs/applications/science/logic/elan/default.nix
@@ -3,16 +3,16 @@
rustPlatform.buildRustPackage rec {
pname = "elan";
- version = "3.0.0";
+ version = "3.1.1";
src = fetchFromGitHub {
owner = "leanprover";
repo = "elan";
rev = "v${version}";
- sha256 = "sha256-VrCEwAoWKhb1qfJUv3OreTzuKEVQADwZpEQIVEhjwHA=";
+ hash = "sha256-/g5bO3UQcg0XYm62KdoWcVQqOV3SIedWUYLufEcblmE=";
};
- cargoHash = "sha256-SMKFSu5C5mc3U266hEa6RB3GH5te3jIrUZAzj3YNa2E=";
+ cargoHash = "sha256-f8YVUTxHX1FY2p73DlnLDtCJaG/0JImUtJFraV6ErNM=";
nativeBuildInputs = [ pkg-config makeWrapper ];
diff --git a/pkgs/applications/science/logic/fast-downward/default.nix b/pkgs/applications/science/logic/fast-downward/default.nix
index a73d141f45b8..77b538d1cbd8 100644
--- a/pkgs/applications/science/logic/fast-downward/default.nix
+++ b/pkgs/applications/science/logic/fast-downward/default.nix
@@ -59,6 +59,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A domain-independent planning system";
+ mainProgram = "fast-downward";
homepage = "https://www.fast-downward.org/";
license = licenses.gpl3Plus;
platforms = platforms.unix;
diff --git a/pkgs/applications/science/logic/gappa/default.nix b/pkgs/applications/science/logic/gappa/default.nix
index af6673caa54f..2eb8567aaa4c 100644
--- a/pkgs/applications/science/logic/gappa/default.nix
+++ b/pkgs/applications/science/logic/gappa/default.nix
@@ -17,6 +17,7 @@ stdenv.mkDerivation rec {
meta = {
homepage = "http://gappa.gforge.inria.fr/";
description = "Verifying and formally proving properties on numerical programs dealing with floating-point or fixed-point arithmetic";
+ mainProgram = "gappa";
license = with lib.licenses; [ cecill20 gpl2 ];
maintainers = with lib.maintainers; [ vbgl ];
platforms = lib.platforms.all;
diff --git a/pkgs/applications/science/logic/glucose/default.nix b/pkgs/applications/science/logic/glucose/default.nix
index 512f0414f1c6..a2392ef39861 100644
--- a/pkgs/applications/science/logic/glucose/default.nix
+++ b/pkgs/applications/science/logic/glucose/default.nix
@@ -40,6 +40,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Modern, parallel SAT solver (${if enableUnfree then "parallel" else "sequential"} version)";
+ mainProgram = "glucose";
homepage = "https://www.labri.fr/perso/lsimon/research/glucose/";
license = if enableUnfree then licenses.unfreeRedistributable else licenses.mit;
platforms = platforms.unix;
diff --git a/pkgs/applications/science/logic/kissat/default.nix b/pkgs/applications/science/logic/kissat/default.nix
index d1703340527b..65bcebdc6b1a 100644
--- a/pkgs/applications/science/logic/kissat/default.nix
+++ b/pkgs/applications/science/logic/kissat/default.nix
@@ -40,6 +40,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A 'keep it simple and clean bare metal SAT solver' written in C";
+ mainProgram = "kissat";
longDescription = ''
Kissat is a "keep it simple and clean bare metal SAT solver" written in C.
It is a port of CaDiCaL back to C with improved data structures,
diff --git a/pkgs/applications/science/logic/lci/default.nix b/pkgs/applications/science/logic/lci/default.nix
index 593b2c54c5cf..659855c23fb2 100644
--- a/pkgs/applications/science/logic/lci/default.nix
+++ b/pkgs/applications/science/logic/lci/default.nix
@@ -9,6 +9,7 @@ stdenv.mkDerivation rec {
buildInputs = [readline];
meta = {
description = "Lambda calculus interpreter";
+ mainProgram = "lci";
maintainers = with lib.maintainers; [raskin];
platforms = with lib.platforms; linux;
license = lib.licenses.gpl3;
diff --git a/pkgs/applications/science/logic/lean4/default.nix b/pkgs/applications/science/logic/lean4/default.nix
index 97336c06b806..dca55d969db4 100644
--- a/pkgs/applications/science/logic/lean4/default.nix
+++ b/pkgs/applications/science/logic/lean4/default.nix
@@ -10,13 +10,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "lean4";
- version = "4.5.0";
+ version = "4.6.1";
src = fetchFromGitHub {
owner = "leanprover";
repo = "lean4";
rev = "v${finalAttrs.version}";
- hash = "sha256-KTCTk4Fpbmm7FsUo03tAvenC6HuB3zJGax6iGTwLaXM=";
+ hash = "sha256-wUqGADwSocg2ciycCxg9qp+vJLJ2otA/5JpTrkFrDoQ=";
};
postPatch = ''
@@ -50,11 +50,6 @@ stdenv.mkDerivation (finalAttrs: {
"-DINSTALL_LICENSE=OFF"
];
- # Work around https://github.com/NixOS/nixpkgs/issues/166205.
- env = lib.optionalAttrs stdenv.cc.isClang {
- NIX_LDFLAGS = "-l${stdenv.cc.libcxx.cxxabi.libName}";
- };
-
passthru.tests = {
version = testers.testVersion {
package = finalAttrs.finalPackage;
diff --git a/pkgs/applications/science/logic/leo2/default.nix b/pkgs/applications/science/logic/leo2/default.nix
index a72444adbba0..dcf2d5b7c33c 100644
--- a/pkgs/applications/science/logic/leo2/default.nix
+++ b/pkgs/applications/science/logic/leo2/default.nix
@@ -45,6 +45,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A high-performance typed higher order prover";
+ mainProgram = "leo";
maintainers = [ maintainers.raskin ];
platforms = platforms.unix;
license = licenses.bsd3;
diff --git a/pkgs/applications/science/logic/leo3/binary.nix b/pkgs/applications/science/logic/leo3/binary.nix
index 332b28db5fb6..0cdf8a4dc210 100644
--- a/pkgs/applications/science/logic/leo3/binary.nix
+++ b/pkgs/applications/science/logic/leo3/binary.nix
@@ -20,6 +20,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "An automated theorem prover for classical higher-order logic with choice";
+ mainProgram = "leo3";
sourceProvenance = with sourceTypes; [ binaryBytecode ];
license = licenses.bsd3;
maintainers = [maintainers.raskin];
diff --git a/pkgs/applications/science/logic/logisim-evolution/default.nix b/pkgs/applications/science/logic/logisim-evolution/default.nix
index affbfc170b90..3d86c8cafdbc 100644
--- a/pkgs/applications/science/logic/logisim-evolution/default.nix
+++ b/pkgs/applications/science/logic/logisim-evolution/default.nix
@@ -1,25 +1,45 @@
-{ lib, stdenv, fetchurl, jre, makeWrapper, copyDesktopItems, makeDesktopItem, unzip }:
+{ lib
+, stdenv
+, fetchurl
+, jre
+, makeBinaryWrapper
+, copyDesktopItems
+, makeDesktopItem
+, desktopToDarwinBundle
+, unzip
+}:
-stdenv.mkDerivation rec {
+let
+ icon = fetchurl {
+ url = "https://github.com/logisim-evolution/logisim-evolution/raw/9e0afa3cd6a8bfa75dab61830822cde83c70bb4b/artwork/logisim-evolution-icon.svg";
+ hash = "sha256-DNRimhNFt6jLdjqv7o2cNz38K6XnevxD0rGymym3xBs=";
+ };
+in
+stdenv.mkDerivation (finalAttrs: {
pname = "logisim-evolution";
version = "3.8.0";
src = fetchurl {
- url = "https://github.com/logisim-evolution/logisim-evolution/releases/download/v${version}/logisim-evolution-${version}-all.jar";
- sha256 = "sha256-TFm+fa3CMp0OMhnKBc6cLIWGQbIG/OpOOCG7ea7wbCw=";
+ url = "https://github.com/logisim-evolution/logisim-evolution/releases/download/v${finalAttrs.version}/logisim-evolution-${finalAttrs.version}-all.jar";
+ hash = "sha256-TFm+fa3CMp0OMhnKBc6cLIWGQbIG/OpOOCG7ea7wbCw=";
};
-
dontUnpack = true;
- nativeBuildInputs = [ makeWrapper copyDesktopItems unzip ];
+ nativeBuildInputs = [
+ makeBinaryWrapper
+ copyDesktopItems
+ unzip
+ ] ++ lib.optionals stdenv.isDarwin [
+ desktopToDarwinBundle
+ ];
desktopItems = [
(makeDesktopItem {
- name = pname;
+ name = "logisim-evolution";
desktopName = "Logisim-evolution";
exec = "logisim-evolution";
icon = "logisim-evolution";
- comment = meta.description;
+ comment = finalAttrs.meta.description;
categories = [ "Education" ];
})
];
@@ -29,22 +49,19 @@ stdenv.mkDerivation rec {
mkdir -p $out/bin
makeWrapper ${jre}/bin/java $out/bin/logisim-evolution --add-flags "-jar $src"
-
- # Create icons
- unzip $src "resources/logisim/img/*"
- for size in 16 32 48 128 256; do
- install -D "./resources/logisim/img/logisim-icon-$size.png" "$out/share/icons/hicolor/''${size}x''${size}/apps/logisim-evolution.png"
- done
+ install -Dm444 ${icon} $out/share/icons/hicolor/scalable/apps/logisim-evolution.svg
runHook postInstall
'';
- meta = with lib; {
+ meta = {
+ changelog = "https://github.com/logisim-evolution/logisim-evolution/releases/tag/v${finalAttrs.version}";
homepage = "https://github.com/logisim-evolution/logisim-evolution";
description = "Digital logic designer and simulator";
- maintainers = with maintainers; [ emilytrau ];
- sourceProvenance = with sourceTypes; [ binaryBytecode ];
- license = licenses.gpl2Plus;
- platforms = platforms.unix;
+ mainProgram = "logisim-evolution";
+ maintainers = with lib.maintainers; [ emilytrau ];
+ sourceProvenance = with lib.sourceTypes; [ binaryBytecode ];
+ license = lib.licenses.gpl3Only;
+ platforms = lib.platforms.unix;
};
-}
+})
diff --git a/pkgs/applications/science/logic/logisim/default.nix b/pkgs/applications/science/logic/logisim/default.nix
index ea8a1416a77a..d278f1e78468 100644
--- a/pkgs/applications/science/logic/logisim/default.nix
+++ b/pkgs/applications/science/logic/logisim/default.nix
@@ -1,25 +1,39 @@
-{ lib, stdenv, fetchurl, jre, makeWrapper, copyDesktopItems, makeDesktopItem, unzip }:
+{ lib
+, stdenv
+, fetchurl
+, jre
+, makeBinaryWrapper
+, copyDesktopItems
+, makeDesktopItem
+, desktopToDarwinBundle
+, unzip
+}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "logisim";
version = "2.7.1";
src = fetchurl {
- url = "mirror://sourceforge/project/circuit/${lib.versions.majorMinor version}.x/${version}/logisim-generic-${version}.jar";
- sha256 = "1hkvc9zc7qmvjbl9579p84hw3n8wl3275246xlzj136i5b0phain";
+ url = "mirror://sourceforge/project/circuit/${lib.versions.majorMinor finalAttrs.version}.x/${finalAttrs.version}/logisim-generic-${finalAttrs.version}.jar";
+ hash = "sha256-Nip4wSrRjCA/7YaIcsSgHNnBIUE3nZLokrviw35ie8I=";
};
-
dontUnpack = true;
- nativeBuildInputs = [ makeWrapper copyDesktopItems unzip ];
+ nativeBuildInputs = [
+ makeBinaryWrapper
+ copyDesktopItems
+ unzip
+ ] ++ lib.optionals stdenv.isDarwin [
+ desktopToDarwinBundle
+ ];
desktopItems = [
(makeDesktopItem {
- name = pname;
+ name = "logisim";
desktopName = "Logisim";
exec = "logisim";
icon = "logisim";
- comment = meta.description;
+ comment = finalAttrs.meta.description;
categories = [ "Education" ];
})
];
@@ -34,18 +48,19 @@ stdenv.mkDerivation rec {
unzip $src "resources/logisim/img/*"
for size in 16 20 24 48 64 128
do
- install -D "./resources/logisim/img/logisim-icon-$size.png" "$out/share/icons/hicolor/''${size}x''${size}/apps/logisim.png"
+ install -Dm444 "./resources/logisim/img/logisim-icon-$size.png" "$out/share/icons/hicolor/''${size}x''${size}/apps/logisim.png"
done
runHook postInstall
'';
- meta = with lib; {
+ meta = {
homepage = "http://www.cburch.com/logisim/";
description = "Educational tool for designing and simulating digital logic circuits";
- maintainers = with maintainers; [ emilytrau ];
- sourceProvenance = with sourceTypes; [ binaryBytecode ];
- license = licenses.gpl2Plus;
- platforms = platforms.unix;
+ mainProgram = "logisim";
+ maintainers = with lib.maintainers; [ emilytrau ];
+ sourceProvenance = with lib.sourceTypes; [ binaryBytecode ];
+ license = lib.licenses.gpl2Only;
+ platforms = lib.platforms.unix;
};
-}
+})
diff --git a/pkgs/applications/science/logic/ltl2ba/default.nix b/pkgs/applications/science/logic/ltl2ba/default.nix
index 30c13c6036f9..19ade58fbfaa 100644
--- a/pkgs/applications/science/logic/ltl2ba/default.nix
+++ b/pkgs/applications/science/logic/ltl2ba/default.nix
@@ -23,6 +23,7 @@ stdenv.mkDerivation rec {
meta = {
description = "Fast translation from LTL formulae to Buchi automata";
+ mainProgram = "ltl2ba";
homepage = "http://www.lsv.ens-cachan.fr/~gastin/ltl2ba";
license = lib.licenses.gpl2Plus;
platforms = lib.platforms.darwin ++ lib.platforms.linux;
diff --git a/pkgs/applications/science/logic/metis-prover/default.nix b/pkgs/applications/science/logic/metis-prover/default.nix
index 5b17403dc7f4..bd6f71860bdb 100644
--- a/pkgs/applications/science/logic/metis-prover/default.nix
+++ b/pkgs/applications/science/logic/metis-prover/default.nix
@@ -24,6 +24,7 @@ stdenv.mkDerivation {
meta = with lib; {
description = "Automatic theorem prover for first-order logic with equality";
+ mainProgram = "metis";
homepage = "https://www.gilith.com/research/metis/";
license = licenses.mit;
maintainers = with maintainers; [ gebner ];
diff --git a/pkgs/applications/science/logic/monosat/default.nix b/pkgs/applications/science/logic/monosat/default.nix
index 5b894d2c9376..067ba8ceb1b3 100644
--- a/pkgs/applications/science/logic/monosat/default.nix
+++ b/pkgs/applications/science/logic/monosat/default.nix
@@ -61,6 +61,7 @@ let
meta = {
description = "SMT solver for Monotonic Theories";
+ mainProgram = "monosat";
platforms = platforms.unix;
license = if includeGplCode then licenses.gpl2 else licenses.mit;
homepage = "https://github.com/sambayless/monosat";
diff --git a/pkgs/applications/science/logic/msat/default.nix b/pkgs/applications/science/logic/msat/default.nix
index dc2b1a221199..299fe95224eb 100644
--- a/pkgs/applications/science/logic/msat/default.nix
+++ b/pkgs/applications/science/logic/msat/default.nix
@@ -9,5 +9,6 @@ with ocamlPackages; buildDunePackage {
meta = msat.meta // {
description = "SAT solver binary based on the msat library";
+ mainProgram = "msat";
};
}
diff --git a/pkgs/applications/science/logic/naproche/default.nix b/pkgs/applications/science/logic/naproche/default.nix
index f6743745a7b6..d2070ba8240f 100644
--- a/pkgs/applications/science/logic/naproche/default.nix
+++ b/pkgs/applications/science/logic/naproche/default.nix
@@ -2,13 +2,13 @@
with haskellPackages; mkDerivation {
pname = "Naproche-SAD";
- version = "unstable-2023-07-11";
+ version = "unstable-2024-01-18";
src = fetchFromGitHub {
owner = "naproche";
repo = "naproche";
- rev = "4c399d49a86987369bec6e1ac5ae3739cd6db0a8";
- sha256 = "sha256-Ji6yxbDEcwuYAzIZwK5sHNltK1WBFBfpyoEtoID/U4k=";
+ rev = "bb3dbcbd2173e3334bc5bdcd04c07c6836a11387";
+ hash = "sha256-DWcowUjy8/VBuhqvDYlVINHssF4KhuzT0L+m1YwUxoE=";
};
isExecutable = true;
@@ -20,11 +20,7 @@ with haskellPackages; mkDerivation {
];
prePatch = "hpack";
-
- checkPhase = ''
- export NAPROCHE_EPROVER=${eprover}/bin/eprover
- dist/build/Naproche-SAD/Naproche-SAD examples/cantor.ftl.tex -t 60 --tex=on
- '';
+ doCheck = false; # Tests are broken in upstream
postInstall = ''
wrapProgram $out/bin/Naproche-SAD \
@@ -35,4 +31,5 @@ with haskellPackages; mkDerivation {
description = "Write formal proofs in natural language and LaTeX";
maintainers = with lib.maintainers; [ jvanbruegge ];
license = lib.licenses.gpl3Only;
+ mainProgram = "Naproche-SAD";
}
diff --git a/pkgs/applications/science/logic/open-wbo/default.nix b/pkgs/applications/science/logic/open-wbo/default.nix
index 3677eb39de6a..2290ff29224b 100644
--- a/pkgs/applications/science/logic/open-wbo/default.nix
+++ b/pkgs/applications/science/logic/open-wbo/default.nix
@@ -21,6 +21,7 @@ stdenv.mkDerivation {
meta = with lib; {
broken = (stdenv.isLinux && stdenv.isAarch64);
description = "State-of-the-art MaxSAT and Pseudo-Boolean solver";
+ mainProgram = "open-wbo";
maintainers = with maintainers; [ gebner ];
platforms = platforms.unix;
license = licenses.mit;
diff --git a/pkgs/applications/science/logic/opensmt/default.nix b/pkgs/applications/science/logic/opensmt/default.nix
index 5ae032ea3097..4a5f453f3547 100644
--- a/pkgs/applications/science/logic/opensmt/default.nix
+++ b/pkgs/applications/science/logic/opensmt/default.nix
@@ -6,13 +6,13 @@
stdenv.mkDerivation rec {
pname = "opensmt";
- version = "2.5.2";
+ version = "2.6.0";
src = fetchFromGitHub {
owner = "usi-verification-and-security";
repo = "opensmt";
rev = "v${version}";
- sha256 = "sha256-gP2oaTEBVk54oK4Le5VudF7+HM8JXCzVqv8UXc08RFQ=";
+ sha256 = "sha256-glIiyPSkLG7sGYw5ujfl47GuDuPIPdP+UybA1vSn0Uw=";
};
nativeBuildInputs = [ cmake bison flex ];
@@ -31,6 +31,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
broken = (stdenv.isLinux && stdenv.isAarch64);
description = "A satisfiability modulo theory (SMT) solver";
+ mainProgram = "opensmt";
maintainers = [ maintainers.raskin ];
platforms = platforms.linux;
license = if enableReadline then licenses.gpl2Plus else licenses.mit;
diff --git a/pkgs/applications/science/logic/ott/default.nix b/pkgs/applications/science/logic/ott/default.nix
index a00c565fb4e0..b8197aaa4123 100644
--- a/pkgs/applications/science/logic/ott/default.nix
+++ b/pkgs/applications/science/logic/ott/default.nix
@@ -29,6 +29,7 @@ stdenv.mkDerivation rec {
meta = {
description = "A tool for the working semanticist";
+ mainProgram = "ott";
longDescription = ''
Ott is a tool for writing definitions of programming languages and
calculi. It takes as input a definition of a language syntax and
diff --git a/pkgs/applications/science/logic/potassco/clingcon.nix b/pkgs/applications/science/logic/potassco/clingcon.nix
index e024ffb9364e..957ebfd3ec43 100644
--- a/pkgs/applications/science/logic/potassco/clingcon.nix
+++ b/pkgs/applications/science/logic/potassco/clingcon.nix
@@ -7,13 +7,13 @@
stdenv.mkDerivation rec {
pname = "clingcon";
- version = "5.2.0";
+ version = "5.2.1";
src = fetchFromGitHub {
owner = "potassco";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-wZfTneoQSqEnLAVE8WyPh9EABmOEhDgRm6yWAF1T7Nk=";
+ sha256 = "sha256-R2kgcw8VUwhOdvPXnsahT5gnoUd5DXLqfdH++8rFoAA=";
};
postPatch = ''
@@ -32,6 +32,7 @@ stdenv.mkDerivation rec {
meta = {
description = "Extension of clingo to handle constraints over integers";
+ mainProgram = "clingcon";
license = lib.licenses.mit;
platforms = lib.platforms.unix;
homepage = "https://potassco.org/";
diff --git a/pkgs/applications/science/logic/potassco/clingo.nix b/pkgs/applications/science/logic/potassco/clingo.nix
index a3c324d0dbb8..ad3af12429a2 100644
--- a/pkgs/applications/science/logic/potassco/clingo.nix
+++ b/pkgs/applications/science/logic/potassco/clingo.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "clingo";
- version = "5.6.2";
+ version = "5.7.1";
src = fetchFromGitHub {
owner = "potassco";
repo = "clingo";
rev = "v${version}";
- sha256 = "sha256-2vOscD5jengY3z9gHoY9y9y6RLfdzUj7BNKLyppNRac=";
+ sha256 = "sha256-S0JAfMwg49aryKABbC/2oLCEkndVpMVcFE6X0vkbtNc=";
};
nativeBuildInputs = [ cmake ];
diff --git a/pkgs/applications/science/logic/prooftree/default.nix b/pkgs/applications/science/logic/prooftree/default.nix
index 4e65c018d1a2..adb64f02c14f 100644
--- a/pkgs/applications/science/logic/prooftree/default.nix
+++ b/pkgs/applications/science/logic/prooftree/default.nix
@@ -19,6 +19,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A program for proof-tree visualization";
+ mainProgram = "prooftree";
longDescription = ''
Prooftree is a program for proof-tree visualization during interactive
proof development in a theorem prover. It is currently being developed
diff --git a/pkgs/applications/science/logic/redprl/default.nix b/pkgs/applications/science/logic/redprl/default.nix
index 656f3f1b653e..2749730f9d66 100644
--- a/pkgs/applications/science/logic/redprl/default.nix
+++ b/pkgs/applications/science/logic/redprl/default.nix
@@ -29,6 +29,7 @@ stdenv.mkDerivation {
meta = with lib; {
description = "A proof assistant for Nominal Computational Type Theory";
+ mainProgram = "redprl";
homepage = "http://www.redprl.org/";
license = licenses.mit;
maintainers = with maintainers; [ acowley ];
diff --git a/pkgs/applications/science/logic/satallax/default.nix b/pkgs/applications/science/logic/satallax/default.nix
index af11cd0d6d8f..bd3e9d3e8351 100644
--- a/pkgs/applications/science/logic/satallax/default.nix
+++ b/pkgs/applications/science/logic/satallax/default.nix
@@ -80,6 +80,7 @@ stdenv.mkDerivation rec {
meta = {
description = "Automated theorem prover for higher-order logic";
+ mainProgram = "satallax";
license = lib.licenses.mit;
maintainers = [ lib.maintainers.raskin ];
platforms = lib.platforms.unix;
diff --git a/pkgs/applications/science/logic/stp/default.nix b/pkgs/applications/science/logic/stp/default.nix
index 42926a870816..fb41ea07f5a1 100644
--- a/pkgs/applications/science/logic/stp/default.nix
+++ b/pkgs/applications/science/logic/stp/default.nix
@@ -11,6 +11,20 @@ stdenv.mkDerivation rec {
rev = version;
sha256 = "1yg2v4wmswh1sigk47drwsxyayr472mf4i47lqmlcgn9hhbx1q87";
};
+ patches = [
+ # Fix missing type declaration
+ # due to undeterminisitic compilation
+ # of circularly dependent headers
+ ./stdint.patch
+ ];
+
+ postPatch = ''
+ # Upstream fix for gcc-13 support:
+ # https://github.com/stp/stp/pull/462
+ # Can't apply it as is as patch context changed in ither patches.
+ # TODO: remove me on 2.4 release
+ sed -e '1i #include ' -i include/stp/AST/ASTNode.h
+ '';
buildInputs = [ boost zlib minisat cryptominisat python3 ];
nativeBuildInputs = [ cmake bison flex perl ];
@@ -26,7 +40,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Simple Theorem Prover";
- maintainers = with maintainers; [ ];
+ maintainers = with maintainers; [ McSinyx ];
platforms = platforms.linux;
license = licenses.mit;
};
diff --git a/pkgs/applications/science/logic/stp/stdint.patch b/pkgs/applications/science/logic/stp/stdint.patch
new file mode 100644
index 000000000000..6595d5561731
--- /dev/null
+++ b/pkgs/applications/science/logic/stp/stdint.patch
@@ -0,0 +1,16 @@
+diff --git a/include/stp/AST/ASTNode.h b/include/stp/AST/ASTNode.h
+index 91acd726182c..0a17db23ffbf 100644
+--- a/include/stp/AST/ASTNode.h
++++ b/include/stp/AST/ASTNode.h
+@@ -24,9 +24,10 @@ THE SOFTWARE.
+ #ifndef ASTNODE_H
+ #define ASTNODE_H
+
++#include "stp/AST/ASTInternal.h"
+ #include "stp/AST/NodeFactory/HashingNodeFactory.h"
++#include "stp/AST/UsefulDefs.h"
+ #include "stp/Util/Attributes.h"
+-#include "ASTInternal.h"
+ #include "stp/Globals/Globals.h"
+
+ namespace stp
diff --git a/pkgs/applications/science/logic/tlaplus/toolbox.nix b/pkgs/applications/science/logic/tlaplus/toolbox.nix
index 86c3db9942a1..288ddc06806a 100644
--- a/pkgs/applications/science/logic/tlaplus/toolbox.nix
+++ b/pkgs/applications/science/logic/tlaplus/toolbox.nix
@@ -90,6 +90,7 @@ stdenv.mkDerivation rec {
meta = {
homepage = "http://research.microsoft.com/en-us/um/people/lamport/tla/toolbox.html";
description = "IDE for the TLA+ tools";
+ mainProgram = "tla-toolbox";
longDescription = ''
Integrated development environment for the TLA+ tools, based on Eclipse. You can use it
to create and edit your specs, run the PlusCal translator, view the pretty-printed
diff --git a/pkgs/applications/science/logic/vampire/default.nix b/pkgs/applications/science/logic/vampire/default.nix
index a3c1aa3f131d..62427e2ee455 100644
--- a/pkgs/applications/science/logic/vampire/default.nix
+++ b/pkgs/applications/science/logic/vampire/default.nix
@@ -47,6 +47,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://vprover.github.io/";
description = "The Vampire Theorem Prover";
+ mainProgram = "vampire";
platforms = platforms.unix;
license = licenses.bsd3;
maintainers = with maintainers; [ gebner ];
diff --git a/pkgs/applications/science/logic/workcraft/default.nix b/pkgs/applications/science/logic/workcraft/default.nix
index 89f6e23c79d3..cc512acad793 100644
--- a/pkgs/applications/science/logic/workcraft/default.nix
+++ b/pkgs/applications/science/logic/workcraft/default.nix
@@ -25,6 +25,7 @@ stdenv.mkDerivation rec {
meta = {
homepage = "https://workcraft.org/";
description = "Framework for interpreted graph modeling, verification and synthesis";
+ mainProgram = "workcraft";
platforms = lib.platforms.linux;
license = lib.licenses.mit;
maintainers = with lib.maintainers; [ timor ];
diff --git a/pkgs/applications/science/logic/z3/default.nix b/pkgs/applications/science/logic/z3/default.nix
index b247599a0813..f35da4a732b0 100644
--- a/pkgs/applications/science/logic/z3/default.nix
+++ b/pkgs/applications/science/logic/z3/default.nix
@@ -79,6 +79,7 @@ let common = { version, sha256, patches ? [ ], tag ? "z3" }:
meta = with lib; {
description = "A high-performance theorem prover and SMT solver";
+ mainProgram = "z3";
homepage = "https://github.com/Z3Prover/z3";
changelog = "https://github.com/Z3Prover/z3/releases/tag/z3-${version}";
license = licenses.mit;
diff --git a/pkgs/applications/science/logic/zchaff/default.nix b/pkgs/applications/science/logic/zchaff/default.nix
index 57f673042dc8..5e22ff448ed3 100644
--- a/pkgs/applications/science/logic/zchaff/default.nix
+++ b/pkgs/applications/science/logic/zchaff/default.nix
@@ -24,6 +24,7 @@ clangStdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://www.princeton.edu/~chaff/zchaf";
description = "Accelerated SAT Solver from Princeton";
+ mainProgram = "zchaff";
license = licenses.mit;
maintainers = with maintainers; [ siraben ];
platforms = platforms.unix;
diff --git a/pkgs/applications/science/machine-learning/fasttext/default.nix b/pkgs/applications/science/machine-learning/fasttext/default.nix
index 301308f90136..b0a36bedc536 100644
--- a/pkgs/applications/science/machine-learning/fasttext/default.nix
+++ b/pkgs/applications/science/machine-learning/fasttext/default.nix
@@ -15,6 +15,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Library for text classification and representation learning";
+ mainProgram = "fasttext";
homepage = "https://fasttext.cc/";
license = licenses.mit;
platforms = platforms.unix;
diff --git a/pkgs/applications/science/machine-learning/finalfrontier/default.nix b/pkgs/applications/science/machine-learning/finalfrontier/default.nix
index 6b76eea33cef..1a6e9351d3a7 100644
--- a/pkgs/applications/science/machine-learning/finalfrontier/default.nix
+++ b/pkgs/applications/science/machine-learning/finalfrontier/default.nix
@@ -44,6 +44,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "Utility for training word and subword embeddings";
+ mainProgram = "finalfrontier";
homepage = "https://github.com/finalfusion/finalfrontier/";
license = licenses.asl20;
maintainers = with maintainers; [ ];
diff --git a/pkgs/applications/science/machine-learning/labelimg/default.nix b/pkgs/applications/science/machine-learning/labelimg/default.nix
index 23703ed09952..244eaa05d695 100644
--- a/pkgs/applications/science/machine-learning/labelimg/default.nix
+++ b/pkgs/applications/science/machine-learning/labelimg/default.nix
@@ -29,6 +29,7 @@
'';
meta = with lib; {
description = "A graphical image annotation tool and label object bounding boxes in images";
+ mainProgram = "labelImg";
homepage = "https://github.com/tzutalin/labelImg";
license = licenses.mit;
platforms = platforms.linux;
diff --git a/pkgs/applications/science/machine-learning/starspace/default.nix b/pkgs/applications/science/machine-learning/starspace/default.nix
index ab0c10efebce..f4ca74ef5bbf 100644
--- a/pkgs/applications/science/machine-learning/starspace/default.nix
+++ b/pkgs/applications/science/machine-learning/starspace/default.nix
@@ -28,6 +28,9 @@ stdenv.mkDerivation rec {
'';
meta = with lib; {
+ # Does not build against gcc-13. No development activity upstream
+ # for past few years.
+ broken = true;
description = "General-purpose neural model for efficient learning of entity embeddings";
homepage = "https://ai.facebook.com/tools/starspace/";
license = licenses.mit;
diff --git a/pkgs/applications/science/machine-learning/uarmsolver/default.nix b/pkgs/applications/science/machine-learning/uarmsolver/default.nix
index 811f1bd23c80..12bca5531364 100644
--- a/pkgs/applications/science/machine-learning/uarmsolver/default.nix
+++ b/pkgs/applications/science/machine-learning/uarmsolver/default.nix
@@ -23,6 +23,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "universal Association Rule Mining Solver";
+ mainProgram = "uARMSolver";
homepage = "https://github.com/firefly-cpp/uARMSolver";
license = licenses.mit;
platforms = platforms.linux;
diff --git a/pkgs/applications/science/math/LiE/default.nix b/pkgs/applications/science/math/LiE/default.nix
index d05cc90ca44c..7eb9edbb3b19 100644
--- a/pkgs/applications/science/math/LiE/default.nix
+++ b/pkgs/applications/science/math/LiE/default.nix
@@ -9,6 +9,7 @@ stdenv.mkDerivation {
meta = {
description = "A Computer algebra package for Lie group computations";
+ mainProgram = "lie";
homepage = "http://wwwmathlabo.univ-poitiers.fr/~maavl/LiE/";
license = lib.licenses.lgpl3; # see the website
diff --git a/pkgs/applications/science/math/almonds/default.nix b/pkgs/applications/science/math/almonds/default.nix
index ec3891667c58..2b05b552253d 100644
--- a/pkgs/applications/science/math/almonds/default.nix
+++ b/pkgs/applications/science/math/almonds/default.nix
@@ -19,6 +19,7 @@ with python3.pkgs; buildPythonApplication rec {
meta = with lib; {
description = "Terminal Mandelbrot fractal viewer";
+ mainProgram = "almonds";
homepage = "https://github.com/Tenchi2xh/Almonds";
license = licenses.mit;
maintainers = with maintainers; [ infinisil ];
diff --git a/pkgs/applications/science/math/bcal/default.nix b/pkgs/applications/science/math/bcal/default.nix
index fdf07a2a3299..9ba028d1cb65 100644
--- a/pkgs/applications/science/math/bcal/default.nix
+++ b/pkgs/applications/science/math/bcal/default.nix
@@ -29,6 +29,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Storage conversion and expression calculator";
+ mainProgram = "bcal";
homepage = "https://github.com/jarun/bcal";
license = licenses.gpl3Only;
platforms = platforms.unix;
diff --git a/pkgs/applications/science/math/bliss/default.nix b/pkgs/applications/science/math/bliss/default.nix
index 4fccc3a46403..33969c705d05 100644
--- a/pkgs/applications/science/math/bliss/default.nix
+++ b/pkgs/applications/science/math/bliss/default.nix
@@ -30,6 +30,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "An open source tool for computing automorphism groups and canonical forms of graphs. It has both a command line user interface as well as C++ and C programming language APIs";
+ mainProgram = "bliss";
homepage = "http://www.tcs.hut.fi/Software/bliss/";
license = licenses.lgpl3;
platforms = [ "i686-linux" "x86_64-linux" "aarch64-linux" ];
diff --git a/pkgs/applications/science/math/calc/default.nix b/pkgs/applications/science/math/calc/default.nix
index 0f8982eac5b9..699f3d25caf7 100644
--- a/pkgs/applications/science/math/calc/default.nix
+++ b/pkgs/applications/science/math/calc/default.nix
@@ -56,6 +56,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
homepage = "http://www.isthe.com/chongo/tech/comp/calc/";
description = "C-style arbitrary precision calculator";
+ mainProgram = "calc";
changelog = "https://github.com/lcn2/calc/blob/v${finalAttrs.version}/CHANGES";
# The licensing situation depends on readline (see section 3 of the LGPL)
# If linked against readline then GPLv2 otherwise LGPLv2.1
diff --git a/pkgs/applications/science/math/calculix/default.nix b/pkgs/applications/science/math/calculix/default.nix
index 7a89fdd73c84..8af2ea9f4bce 100644
--- a/pkgs/applications/science/math/calculix/default.nix
+++ b/pkgs/applications/science/math/calculix/default.nix
@@ -37,6 +37,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "http://www.calculix.de/";
description = "Three-dimensional structural finite element program";
+ mainProgram = "ccx";
license = licenses.gpl2Plus;
maintainers = with maintainers; [ gebner ];
platforms = platforms.unix;
diff --git a/pkgs/applications/science/math/cemu-ti/default.nix b/pkgs/applications/science/math/cemu-ti/default.nix
index 2f2440eacfed..c8d5bea6f602 100644
--- a/pkgs/applications/science/math/cemu-ti/default.nix
+++ b/pkgs/applications/science/math/cemu-ti/default.nix
@@ -36,6 +36,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Third-party TI-84 Plus CE / TI-83 Premium CE emulator, focused on developer features";
+ mainProgram = "CEmu";
homepage = "https://ce-programming.github.io/CEmu";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ luc65r ];
diff --git a/pkgs/applications/science/math/clp/default.nix b/pkgs/applications/science/math/clp/default.nix
index 4cfff4702e69..06032b4a3182 100644
--- a/pkgs/applications/science/math/clp/default.nix
+++ b/pkgs/applications/science/math/clp/default.nix
@@ -20,6 +20,7 @@ stdenv.mkDerivation rec {
license = licenses.epl20;
homepage = "https://github.com/coin-or/Clp";
description = "An open-source linear programming solver written in C++";
+ mainProgram = "clp";
platforms = platforms.darwin ++ platforms.linux;
maintainers = [ maintainers.vbgl ];
};
diff --git a/pkgs/applications/science/math/ecm/default.nix b/pkgs/applications/science/math/ecm/default.nix
index c59b1a8729a2..222db0e98e6a 100644
--- a/pkgs/applications/science/math/ecm/default.nix
+++ b/pkgs/applications/science/math/ecm/default.nix
@@ -23,6 +23,7 @@ stdenv.mkDerivation {
meta = {
description = "Elliptic Curve Method for Integer Factorization";
+ mainProgram = "ecm";
license = lib.licenses.gpl2Plus;
homepage = "http://ecm.gforge.inria.fr/";
maintainers = [ lib.maintainers.roconnor ];
diff --git a/pkgs/applications/science/math/eigenmath/default.nix b/pkgs/applications/science/math/eigenmath/default.nix
index c43add48fcb6..90a67efd5f05 100644
--- a/pkgs/applications/science/math/eigenmath/default.nix
+++ b/pkgs/applications/science/math/eigenmath/default.nix
@@ -7,13 +7,13 @@
stdenv.mkDerivation rec {
pname = "eigenmath";
- version = "unstable-2024-02-04";
+ version = "unstable-2024-03-11";
src = fetchFromGitHub {
owner = "georgeweigt";
repo = pname;
- rev = "3e37263611e181e2927d63b97b7656790c7f4fe1";
- hash = "sha256-gjmz9Ma7OLQyIry6i2HMNy4Ai5Wh5NUzDKPO2a9Hp+s=";
+ rev = "dfa24af6c747e1c90d79a462c2a5a0716b3a1dc0";
+ hash = "sha256-kgC+E/ecgl27Hs+qCyqg8CjbEyB91AgN397DST/dPMI=";
};
checkPhase = let emulator = stdenv.hostPlatform.emulator buildPackages; in ''
@@ -40,6 +40,7 @@ stdenv.mkDerivation rec {
meta = with lib;{
description = "Computer algebra system written in C";
+ mainProgram = "eigenmath";
homepage = "https://georgeweigt.github.io";
license = licenses.bsd2;
maintainers = with maintainers; [ nickcao ];
diff --git a/pkgs/applications/science/math/engauge-digitizer/default.nix b/pkgs/applications/science/math/engauge-digitizer/default.nix
index 4c26dc2887c8..95306a0820fa 100644
--- a/pkgs/applications/science/math/engauge-digitizer/default.nix
+++ b/pkgs/applications/science/math/engauge-digitizer/default.nix
@@ -52,6 +52,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Engauge Digitizer is a tool for recovering graph data from an image file";
+ mainProgram = "engauge";
homepage = "https://markummitchell.github.io/engauge-digitizer";
license = with licenses; [ gpl2Only ];
platforms = platforms.linux;
diff --git a/pkgs/applications/science/math/geogebra/default.nix b/pkgs/applications/science/math/geogebra/default.nix
index f4c6e724270d..5fa4862bb9b4 100644
--- a/pkgs/applications/science/math/geogebra/default.nix
+++ b/pkgs/applications/science/math/geogebra/default.nix
@@ -1,10 +1,10 @@
-{ lib, stdenv, fetchurl, xorg, jre, makeDesktopItem, makeWrapper, unzip, language ? "en_US" }:
+{ lib, stdenv, fetchurl, libGL, xorg, jre, makeDesktopItem, makeWrapper, unzip, language ? "en_US" }:
let
pname = "geogebra";
version = "5-0-785-0";
srcIcon = fetchurl {
- url = "http://static.geogebra.org/images/geogebra-logo.svg";
+ url = "https://web.archive.org/web/20200227000442if_/https://static.geogebra.org/images/geogebra-logo.svg";
hash = "sha256-Vd7Wteya04JJT4WNirXe8O1sfVKUgc0hKGOy7d47Xgc=";
};
@@ -55,10 +55,10 @@ let
installPhase = ''
install -D geogebra/* -t "$out/libexec/geogebra/"
- # The bundled jogl (required for 3D graphics) links to libXxf86vm
+ # The bundled jogl (required for 3D graphics) links to libXxf86vm, and loads libGL at runtime
# OpenGL versions newer than 3.0 cause "javax.media.opengl.GLException: Not a GL2 implementation"
makeWrapper "$out/libexec/geogebra/geogebra" "$out/bin/geogebra" \
- --prefix LD_LIBRARY_PATH : "${lib.makeLibraryPath [ xorg.libXxf86vm ]}" \
+ --prefix LD_LIBRARY_PATH : "${lib.makeLibraryPath [ libGL xorg.libXxf86vm ]}" \
--set MESA_GL_VERSION_OVERRIDE 3.0 \
--set JAVACMD "${jre}/bin/java" \
--set GG_PATH "$out/libexec/geogebra" \
diff --git a/pkgs/applications/science/math/getdp/default.nix b/pkgs/applications/science/math/getdp/default.nix
index b5f4263c793d..d28f59e4be2f 100644
--- a/pkgs/applications/science/math/getdp/default.nix
+++ b/pkgs/applications/science/math/getdp/default.nix
@@ -2,10 +2,10 @@
stdenv.mkDerivation rec {
pname = "getdp";
- version = "3.5.0";
+ version = "3.6.0";
src = fetchurl {
url = "http://getdp.info/src/getdp-${version}-source.tgz";
- sha256 = "sha256-C/dsSe+puIQBpFfBL3qr2XWXrUnvYy0/uTCKqOpDe9w=";
+ sha256 = "sha256-N1cb72W77Dr+TzeHpLgv5FuFb+SJqyGcfn+kOfEvZgA=";
};
inherit (petsc) mpiSupport;
@@ -17,6 +17,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A General Environment for the Treatment of Discrete Problems";
+ mainProgram = "getdp";
longDescription = ''
GetDP is a free finite element solver using mixed elements to discretize
de Rham-type complexes in one, two and three dimensions. The main
diff --git a/pkgs/applications/science/math/glsurf/default.nix b/pkgs/applications/science/math/glsurf/default.nix
index b4e8c6fb23db..d2ebba75638b 100644
--- a/pkgs/applications/science/math/glsurf/default.nix
+++ b/pkgs/applications/science/math/glsurf/default.nix
@@ -66,6 +66,7 @@ stdenv.mkDerivation rec {
meta = {
homepage = "https://raffalli.eu/~christophe/glsurf/";
description = "A program to draw implicit surfaces and curves";
+ mainProgram = "glsurf";
license = lib.licenses.gpl2Plus;
platforms = lib.platforms.all;
};
diff --git a/pkgs/applications/science/math/gmsh/default.nix b/pkgs/applications/science/math/gmsh/default.nix
index 62a0c3c405df..669d3a3ab8f1 100644
--- a/pkgs/applications/science/math/gmsh/default.nix
+++ b/pkgs/applications/science/math/gmsh/default.nix
@@ -64,6 +64,7 @@ stdenv.mkDerivation rec {
meta = {
description = "A three-dimensional finite element mesh generator";
+ mainProgram = "gmsh";
homepage = "https://gmsh.info/";
license = lib.licenses.gpl2Plus;
};
diff --git a/pkgs/applications/science/math/jags/default.nix b/pkgs/applications/science/math/jags/default.nix
index 087807013694..50d7df24417a 100644
--- a/pkgs/applications/science/math/jags/default.nix
+++ b/pkgs/applications/science/math/jags/default.nix
@@ -17,6 +17,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Just Another Gibbs Sampler";
+ mainProgram = "jags";
license = licenses.gpl2;
homepage = "http://mcmc-jags.sourceforge.net";
maintainers = [ maintainers.andres ];
diff --git a/pkgs/applications/science/math/lp_solve/default.nix b/pkgs/applications/science/math/lp_solve/default.nix
index ee54def6eb97..fdabc8896a58 100644
--- a/pkgs/applications/science/math/lp_solve/default.nix
+++ b/pkgs/applications/science/math/lp_solve/default.nix
@@ -59,6 +59,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A Mixed Integer Linear Programming (MILP) solver";
+ mainProgram = "lp_solve";
homepage = "https://lpsolve.sourceforge.net";
license = licenses.gpl2Plus;
maintainers = with maintainers; [ smironov ];
diff --git a/pkgs/applications/science/math/msieve/default.nix b/pkgs/applications/science/math/msieve/default.nix
index 6456608e7dbc..1de6d416a0e6 100644
--- a/pkgs/applications/science/math/msieve/default.nix
+++ b/pkgs/applications/science/math/msieve/default.nix
@@ -1,12 +1,13 @@
-{ lib, stdenv, fetchurl, zlib, gmp, ecm }:
+{ lib, stdenv, fetchsvn, zlib, gmp, ecm }:
stdenv.mkDerivation rec {
pname = "msieve";
- version = "1.53";
+ version = "r1050";
- src = fetchurl {
- url = "mirror://sourceforge/msieve/msieve/Msieve%20v${version}/msieve${lib.replaceStrings ["."] [""] version}_src.tar.gz";
- sha256 = "1d1vv7j4rh3nnxsmvafi73qy7lw7n3akjlm5pjl3m936yapvmz65";
+ src = fetchsvn {
+ url = "svn://svn.code.sf.net/p/msieve/code/trunk";
+ rev = "1050";
+ hash = "sha256-cn6OhE4zhrpB7BFrRdOnucjATbfo5mLkK7O0Usx1quE=";
};
buildInputs = [ zlib gmp ecm ];
@@ -23,6 +24,7 @@ stdenv.mkDerivation rec {
meta = {
description = "A C library implementing a suite of algorithms to factor large integers";
+ mainProgram = "msieve";
license = lib.licenses.publicDomain;
homepage = "http://msieve.sourceforge.net/";
maintainers = [ lib.maintainers.roconnor ];
diff --git a/pkgs/applications/science/math/nauty/default.nix b/pkgs/applications/science/math/nauty/default.nix
index ef8c041039b1..c1feeffdcb6d 100644
--- a/pkgs/applications/science/math/nauty/default.nix
+++ b/pkgs/applications/science/math/nauty/default.nix
@@ -4,11 +4,11 @@
}:
stdenv.mkDerivation rec {
pname = "nauty";
- version = "2.7r4";
+ version = "2.8.8";
src = fetchurl {
- url = "https://pallini.di.uniroma1.it/nauty${builtins.replaceStrings ["."] [""] version}.tar.gz";
- sha256 = "sha256-uBDIWm/imfO0yfJKr5KcrH+VRsLzXCDh3Qrbx0CISKY=";
+ url = "https://pallini.di.uniroma1.it/nauty${builtins.replaceStrings ["."] ["_"] version}.tar.gz";
+ sha256 = "sha256-FZ0hVoEKa7JAQQzWHrZBrdhQiNnxXIiM2qN7hoH5Kc4=";
};
outputs = [ "out" "dev" ];
@@ -44,7 +44,7 @@ stdenv.mkDerivation rec {
# I'm not sure if the filename will remain the same for future changelog or
# if it will track changes to minor releases. Lets see. Better than nothing
# in any case.
- changelog = "https://pallini.di.uniroma1.it/changes24-27.txt";
+ changelog = "https://pallini.di.uniroma1.it/changes24-28.txt";
homepage = "https://pallini.di.uniroma1.it/";
};
}
diff --git a/pkgs/applications/science/math/nota/default.nix b/pkgs/applications/science/math/nota/default.nix
index 897785ef6e8b..810d7d6d72bc 100644
--- a/pkgs/applications/science/math/nota/default.nix
+++ b/pkgs/applications/science/math/nota/default.nix
@@ -37,4 +37,5 @@ mkDerivation rec {
homepage = "https://kary.us/nota";
license = lib.licenses.mpl20;
maintainers = with lib.maintainers; [ dtzWill ];
+ mainProgram = "nota";
}
diff --git a/pkgs/applications/science/math/palp/default.nix b/pkgs/applications/science/math/palp/default.nix
index ffe17bc3dec2..2fe77aa14a65 100644
--- a/pkgs/applications/science/math/palp/default.nix
+++ b/pkgs/applications/science/math/palp/default.nix
@@ -10,11 +10,11 @@ let
in
stdenv.mkDerivation rec {
pname = "palp";
- version = "2.20";
+ version = "2.21";
src = fetchurl {
url = "http://hep.itp.tuwien.ac.at/~kreuzer/CY/palp/${pname}-${version}.tar.gz";
- sha256 = "1q1cl3vpdir16szy0jcadysydcrjp48hqxyx42kr8g9digkqjgkj";
+ sha256 = "sha256-fkp78hmZioRMC8zgoXbknQdDy0tQWg4ZUym/LsGW3dc=";
};
hardeningDisable = [
diff --git a/pkgs/applications/science/math/pari/default.nix b/pkgs/applications/science/math/pari/default.nix
index 2480ff3eba81..16c8def750d7 100644
--- a/pkgs/applications/science/math/pari/default.nix
+++ b/pkgs/applications/science/math/pari/default.nix
@@ -15,7 +15,7 @@ assert withThread -> libpthreadstubs != null;
stdenv.mkDerivation rec {
pname = "pari";
- version = "2.15.4";
+ version = "2.15.5";
src = fetchurl {
urls = [
@@ -23,7 +23,7 @@ stdenv.mkDerivation rec {
# old versions are at the url below
"https://pari.math.u-bordeaux.fr/pub/pari/OLD/${lib.versions.majorMinor version}/${pname}-${version}.tar.gz"
];
- hash = "sha256-w1Rb/uDG37QLd/tLurr5mdguYAabn20ovLbPAEyMXA8=";
+ hash = "sha256-Dv3adRXZ2VT2MyTDSzTFYOYPc6gcOSSnEmCizJHV+YE=";
};
buildInputs = [
diff --git a/pkgs/applications/science/math/pcalc/default.nix b/pkgs/applications/science/math/pcalc/default.nix
index 97888b712eb1..64ab5acebed2 100644
--- a/pkgs/applications/science/math/pcalc/default.nix
+++ b/pkgs/applications/science/math/pcalc/default.nix
@@ -19,6 +19,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://vapier.github.io/pcalc/";
description = "Programmer's calculator";
+ mainProgram = "pcalc";
license = licenses.gpl2Plus;
maintainers = with maintainers; [ ftrvxmtrx ];
platforms = platforms.unix;
diff --git a/pkgs/applications/science/math/perseus/default.nix b/pkgs/applications/science/math/perseus/default.nix
index bbfc8aed4428..9a37eeac66da 100644
--- a/pkgs/applications/science/math/perseus/default.nix
+++ b/pkgs/applications/science/math/perseus/default.nix
@@ -25,6 +25,7 @@ stdenv.mkDerivation {
meta = {
description = "The Persistent Homology Software";
+ mainProgram = "perseus";
longDescription = ''
Persistent homology - or simply, persistence - is an algebraic
topological invariant of a filtered cell complex. Perseus
diff --git a/pkgs/applications/science/math/programmer-calculator/default.nix b/pkgs/applications/science/math/programmer-calculator/default.nix
index ee48a1d0f1a3..9cb220ceca6a 100644
--- a/pkgs/applications/science/math/programmer-calculator/default.nix
+++ b/pkgs/applications/science/math/programmer-calculator/default.nix
@@ -21,6 +21,7 @@ gccStdenv.mkDerivation rec {
meta = with lib; {
description = "A terminal calculator for programmers";
+ mainProgram = "pcalc";
longDescription = ''
Terminal calculator made for programmers working with multiple number
representations, sizes, and overall close to the bits
diff --git a/pkgs/applications/science/math/qalculate-gtk/default.nix b/pkgs/applications/science/math/qalculate-gtk/default.nix
index 0c859fd95bea..2a2b4617d24b 100644
--- a/pkgs/applications/science/math/qalculate-gtk/default.nix
+++ b/pkgs/applications/science/math/qalculate-gtk/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "qalculate-gtk";
- version = "4.9.0";
+ version = "5.0.0";
src = fetchFromGitHub {
owner = "qalculate";
repo = "qalculate-gtk";
rev = "v${finalAttrs.version}";
- hash = "sha256-rQxOOxM4TazkDs/H3KEPbdo6WBl0ptyAlZwv8nnGMss=";
+ hash = "sha256-hlGNL7aMzxBxtATWJBqJTFEQqMv10oC3sRCdtRLHOww=";
};
hardeningDisable = [ "format" ];
diff --git a/pkgs/applications/science/math/qalculate-qt/default.nix b/pkgs/applications/science/math/qalculate-qt/default.nix
index 9932c7c2dcd9..296fc5f783f3 100644
--- a/pkgs/applications/science/math/qalculate-qt/default.nix
+++ b/pkgs/applications/science/math/qalculate-qt/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "qalculate-qt";
- version = "4.9.0";
+ version = "5.0.0";
src = fetchFromGitHub {
owner = "qalculate";
repo = "qalculate-qt";
rev = "v${finalAttrs.version}";
- hash = "sha256-Ac8RRxLheaenlR7JqKzfBpPxsq7PHfE9qXFf3Vx4GSg=";
+ hash = "sha256-X7IY2yXpZiyE+T2dl0G4tWpJ5O6MVCy/sOY3v9inma0=";
};
nativeBuildInputs = [ qmake intltool pkg-config qttools wrapQtAppsHook ];
diff --git a/pkgs/applications/science/math/ratpoints/default.nix b/pkgs/applications/science/math/ratpoints/default.nix
index 42e6b7d00a5e..69b972fe9790 100644
--- a/pkgs/applications/science/math/ratpoints/default.nix
+++ b/pkgs/applications/science/math/ratpoints/default.nix
@@ -27,6 +27,7 @@ stdenv.mkDerivation rec {
meta = {
description = "A program to find rational points on hyperelliptic curves";
+ mainProgram = "ratpoints";
license = lib.licenses.gpl2Plus;
maintainers = [lib.maintainers.raskin];
platforms = lib.platforms.unix;
diff --git a/pkgs/applications/science/math/ries/default.nix b/pkgs/applications/science/math/ries/default.nix
index eb759723256d..5c0626913e3c 100644
--- a/pkgs/applications/science/math/ries/default.nix
+++ b/pkgs/applications/science/math/ries/default.nix
@@ -14,6 +14,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://mrob.com/pub/ries/";
description = "Tool to produce a list of equations that approximately solve to a given number";
+ mainProgram = "ries";
platforms = platforms.all;
maintainers = with maintainers; [ symphorien ];
license = licenses.gpl3Plus;
diff --git a/pkgs/applications/science/math/ripser/default.nix b/pkgs/applications/science/math/ripser/default.nix
index 50ccee58f503..83cf6bc51aa4 100644
--- a/pkgs/applications/science/math/ripser/default.nix
+++ b/pkgs/applications/science/math/ripser/default.nix
@@ -50,6 +50,7 @@ stdenv.mkDerivation {
meta = {
description = "A lean C++ code for the computation of Vietoris–Rips persistence barcodes";
+ mainProgram = "ripser";
homepage = "https://github.com/Ripser/ripser";
license = lib.licenses.lgpl3;
maintainers = with lib.maintainers; [erikryb];
diff --git a/pkgs/applications/science/math/sage/default.nix b/pkgs/applications/science/math/sage/default.nix
index 765c691c9555..6624dcc36528 100644
--- a/pkgs/applications/science/math/sage/default.nix
+++ b/pkgs/applications/science/math/sage/default.nix
@@ -15,7 +15,7 @@ let
pkgs = pkgs.python3.pkgs.overrideScope (self: super: {
# `sagelib`, i.e. all of sage except some wrappers and runtime dependencies
sagelib = self.callPackage ./sagelib.nix {
- inherit flint arb;
+ inherit flint3;
inherit sage-src env-locations singular;
inherit (maxima) lisp-compiler;
linbox = pkgs.linbox.override { withSage = true; };
@@ -73,7 +73,7 @@ let
sagelib = python3.pkgs.sagelib;
sage-docbuild = python3.pkgs.sage-docbuild;
inherit env-locations;
- inherit python3 singular palp flint pythonEnv maxima;
+ inherit python3 singular palp flint3 pythonEnv maxima;
pkg-config = pkgs.pkg-config; # not to confuse with pythonPackages.pkg-config
};
@@ -125,9 +125,7 @@ let
ignoreCollisions = true;
} // { extraLibs = pythonRuntimeDeps; }; # make the libs accessible
- arb = pkgs.arb.override { inherit flint; };
-
- singular = pkgs.singular.override { inherit flint; };
+ singular = pkgs.singular.override { inherit flint3; };
maxima = pkgs.maxima-ecl.override {
lisp-compiler = pkgs.ecl.override {
@@ -149,7 +147,7 @@ let
# openblas instead of openblasCompat. Apparently other packages somehow use flints
# blas when it is available. Alternative would be to override flint to use
# openblasCompat.
- flint = pkgs.flint.override { withBlas = false; };
+ flint3 = pkgs.flint3.override { withBlas = false; };
# Multiple palp dimensions need to be available and sage expects them all to be
# in the same folder.
diff --git a/pkgs/applications/science/math/sage/env-locations.nix b/pkgs/applications/science/math/sage/env-locations.nix
index 39d358a1f9a8..1ca0f471a7ee 100644
--- a/pkgs/applications/science/math/sage/env-locations.nix
+++ b/pkgs/applications/science/math/sage/env-locations.nix
@@ -3,7 +3,6 @@
, pari
, singular
, maxima
-, conway_polynomials
, graphs
, elliptic_curves
, polytopes_db
@@ -27,16 +26,13 @@ writeTextFile rec {
export GPDOCDIR="${pari}/share/pari/doc"
export SINGULARPATH='${singular}/share/singular'
export SINGULAR_SO='${singular}/lib/libSingular.so'
- export GAP_SO='${gap}/lib/libgap.so'
export SINGULAR_EXECUTABLE='${singular}/bin/Singular'
export MAXIMA_FAS='${maxima}/lib/maxima/${maxima.version}/binary-ecl/maxima.fas'
export MAXIMA_PREFIX="${maxima}"
- export CONWAY_POLYNOMIALS_DATA_DIR='${conway_polynomials}/share/conway_polynomials'
export GRAPHS_DATA_DIR='${graphs}/share/graphs'
export ELLCURVE_DATA_DIR='${elliptic_curves}/share/ellcurves'
export POLYTOPE_DATA_DIR='${polytopes_db}/share/reflexive_polytopes'
- export GAP_LIB_DIR='${gap}/lib/gap'
- export GAP_SHARE_DIR='${gap}/share/gap'
+ export GAP_ROOT_PATHS='${gap}/lib/gap;${gap}/share/gap'
export ECLDIR='${maxima.lisp-compiler}/lib/${maxima.lisp-compiler.pname}-${maxima.lisp-compiler.version}/'
export COMBINATORIAL_DESIGN_DATA_DIR="${combinatorial_designs}/share/combinatorial_designs"
export CREMONA_MINI_DATA_DIR="${elliptic_curves}/share/cremona"
diff --git a/pkgs/applications/science/math/sage/python-modules/sage-docbuild.nix b/pkgs/applications/science/math/sage/python-modules/sage-docbuild.nix
index fb8c3ec8a204..b45998bbcb86 100644
--- a/pkgs/applications/science/math/sage/python-modules/sage-docbuild.nix
+++ b/pkgs/applications/science/math/sage/python-modules/sage-docbuild.nix
@@ -4,6 +4,7 @@
, jupyter-sphinx
, sphinx
, sphinx-copybutton
+, sphinx-inline-tabs
}:
buildPythonPackage rec {
@@ -15,6 +16,7 @@ buildPythonPackage rec {
jupyter-sphinx
sphinx
sphinx-copybutton
+ sphinx-inline-tabs
];
preBuild = ''
diff --git a/pkgs/applications/science/math/sage/sage-env.nix b/pkgs/applications/science/math/sage/sage-env.nix
index 4c22470de910..4f179ea3a42b 100644
--- a/pkgs/applications/science/math/sage/sage-env.nix
+++ b/pkgs/applications/science/math/sage/sage-env.nix
@@ -42,7 +42,7 @@
, flintqs
, blas
, lapack
-, flint
+, flint3
, gmp
, mpfr
, zlib
@@ -155,7 +155,7 @@ writeTextFile rec {
# cython needs to find these libraries, otherwise will fail with `ld: cannot find -lflint` or similar
export LDFLAGS='${
lib.concatStringsSep " " (map (pkg: "-L${pkg}/lib") [
- flint
+ flint3
gap
glpk
gmp
@@ -174,7 +174,7 @@ writeTextFile rec {
singular
gmp.dev
glpk
- flint
+ flint3
gap
mpfr.dev
])
diff --git a/pkgs/applications/science/math/sage/sage-src.nix b/pkgs/applications/science/math/sage/sage-src.nix
index a77565e4072a..11bcc9ee3d5a 100644
--- a/pkgs/applications/science/math/sage/sage-src.nix
+++ b/pkgs/applications/science/math/sage/sage-src.nix
@@ -10,14 +10,14 @@
# all get the same sources with the same patches applied.
stdenv.mkDerivation rec {
- version = "10.2";
+ version = "10.3";
pname = "sage-src";
src = fetchFromGitHub {
owner = "sagemath";
repo = "sage";
rev = version;
- sha256 = "sha256-VXnPdJhtw5Y/anecrVpevJDCyBVfnjksyuuZslNipm4=";
+ hash = "sha256-OHtMv8t0RrP6R8XIREU+C1vpazeQLWa75wx9Mv6BN1U=";
};
# contains essential files (e.g., setup.cfg) generated by the bootstrap script.
@@ -25,8 +25,8 @@ stdenv.mkDerivation rec {
configure-src = fetchurl {
# the hash below is the tagged commit's _parent_. it can also be found by looking for
# the "configure" asset at https://github.com/sagemath/sage/releases/tag/${version}
- url = "mirror://sageupstream/configure/configure-b2813506039143e6f0abe859ab67a343abf72c2e.tar.gz";
- sha256 = "sha256-a1v0XyoKI+zO6Sjm8DzEwItRHbIgRDbpj4UfwVH+/hw=";
+ url = "mirror://sageupstream/configure/configure-ab1a517b64b02bf15bbcb8d7c2d4d643bd5eff9b.tar.gz";
+ hash = "sha256-pe9AxTM+gFSR4/eVfUzay+4bwjoubbYeDPc+avKjlaw=";
};
# Patches needed because of particularities of nix or the way this is packaged.
@@ -62,11 +62,11 @@ stdenv.mkDerivation rec {
# should come from or be proposed to upstream. This list will probably never
# be empty since dependencies update all the time.
packageUpgradePatches = [
- # https://github.com/sagemath/sage/pull/37123, to land in 10.3.beta7
+ # https://github.com/sagemath/sage/pull/37492
(fetchpatch {
- name = "scipy-1.12-upgrade.patch";
- url = "https://github.com/sagemath/sage/commit/54eec464e9fdf18b411d9148aecb918178e95909.diff";
- sha256 = "sha256-9wyNrcSfF6mYFTIV4ev2OdD7igb0AeyZZYWSc/+JrIU=";
+ name = "singular-4.3.2p14-upgrade.patch";
+ url = "https://github.com/sagemath/sage/commit/a0c56816b051e97da44ac0a4e4d4f6915cf7fa0f.diff";
+ sha256 = "sha256-WGMmPeBoj2LUC+2qxWuaJL89QUuGt6axGvxWkpM9LYg=";
})
];
diff --git a/pkgs/applications/science/math/sage/sage.nix b/pkgs/applications/science/math/sage/sage.nix
index 227e4bbdbfdb..81b55e841d24 100644
--- a/pkgs/applications/science/math/sage/sage.nix
+++ b/pkgs/applications/science/math/sage/sage.nix
@@ -56,6 +56,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Open Source Mathematics Software, free alternative to Magma, Maple, Mathematica, and Matlab";
+ mainProgram = "sage";
homepage = "https://www.sagemath.org";
license = licenses.gpl2Plus;
maintainers = teams.sage.members;
diff --git a/pkgs/applications/science/math/sage/sagelib.nix b/pkgs/applications/science/math/sage/sagelib.nix
index 5a1f4ee473c9..b67b74e3a0f2 100644
--- a/pkgs/applications/science/math/sage/sagelib.nix
+++ b/pkgs/applications/science/math/sage/sagelib.nix
@@ -10,7 +10,6 @@
, iml
, libpng
, readline
-, arb
, blas
, boost
, brial
@@ -18,7 +17,7 @@
, eclib
, ecm
, fflas-ffpack
-, flint
+, flint3
, gap
, giac
, givaro
@@ -45,6 +44,7 @@
, singular
, sqlite
, symmetrica
+, conway-polynomials
, cvxopt
, cypari2
, cysignals
@@ -92,6 +92,7 @@ buildPythonPackage rec {
version = src.version;
pname = "sagelib";
src = sage-src;
+ pyproject = true;
nativeBuildInputs = [
iml
@@ -112,7 +113,6 @@ buildPythonPackage rec {
propagatedBuildInputs = [
# native dependencies (TODO: determine which ones need to be propagated)
- arb
blas
boost
brial
@@ -120,7 +120,7 @@ buildPythonPackage rec {
eclib
ecm
fflas-ffpack
- flint
+ flint3
gap
giac
givaro
@@ -149,6 +149,7 @@ buildPythonPackage rec {
symmetrica
# from src/sage/setup.cfg and requirements.txt
+ conway-polynomials
cvxopt
cypari2
cysignals
@@ -202,11 +203,7 @@ buildPythonPackage rec {
mkdir -p "$SAGE_SHARE/sage/ext/notebook-ipython"
mkdir -p "var/lib/sage/installed"
- # version lower bounds are useful, but upper bounds are a hassle because
- # Sage tests already catch any relevant API breakage.
- # according to the discussion at https://trac.sagemath.org/ticket/33520,
- # upper bounds will be less noisy starting from Sage 9.6.
- sed -i 's/, <[^, ]*//' build/pkgs/*/install-requires.txt
+ sed -i "/sage-conf/d" src/{setup.cfg,pyproject.toml,requirements.txt}
cd build/pkgs/sagelib/src
'';
diff --git a/pkgs/applications/science/math/scalp/default.nix b/pkgs/applications/science/math/scalp/default.nix
index 4145407d7aaa..fc230a11966f 100644
--- a/pkgs/applications/science/math/scalp/default.nix
+++ b/pkgs/applications/science/math/scalp/default.nix
@@ -50,6 +50,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Scalable Linear Programming Library";
+ mainProgram = "scalp";
homepage = "https://digidev.digi.e-technik.uni-kassel.de/scalp/";
license = licenses.lgpl3;
platforms = platforms.unix;
diff --git a/pkgs/applications/science/math/singular/default.nix b/pkgs/applications/science/math/singular/default.nix
index f77bd5a92243..a6c64066d88e 100644
--- a/pkgs/applications/science/math/singular/default.nix
+++ b/pkgs/applications/science/math/singular/default.nix
@@ -5,7 +5,7 @@
, sharutils
, file
, getconf
-, flint
+, flint3
, ntl
, cddlib
, gfan
@@ -18,13 +18,13 @@
# want it to match the upstream format because sage depends on it.
, texinfo4
, texliveSmall
-, enableDocs ? !stdenv.isDarwin
+, enableDocs ? true
, enableGfanlib ? true
}:
stdenv.mkDerivation rec {
pname = "singular";
- version = "4.3.2p2";
+ version = "4.3.2p16";
# since the tarball does not contain tests, we fetch from GitHub.
src = fetchFromGitHub {
@@ -34,7 +34,7 @@ stdenv.mkDerivation rec {
# if a release is tagged (which sometimes does not happen), it will
# be in the format below.
rev = "Release-${lib.replaceStrings ["."] ["-"] version}";
- sha256 = "sha256-dtZmN8xUCZ9eSgmtBxqfJeWsM4W5Baq7xWXuNAxNLjA=";
+ sha256 = "sha256-5JZgI5lnfX4JlBSEAL7Wv6uao/57GBaMqwgslJt9Bjk=";
# the repository's .gitattributes file contains the lines "/Tst/
# export-ignore" and "/doc/ export-ignore" so some directories are
@@ -68,7 +68,7 @@ stdenv.mkDerivation rec {
ncurses
readline
ntl
- flint
+ flint3
lrcalc
gfan
] ++ lib.optionals enableGfanlib [
diff --git a/pkgs/applications/science/math/speedcrunch/default.nix b/pkgs/applications/science/math/speedcrunch/default.nix
index 98bc6b031f19..a77474b86ffa 100644
--- a/pkgs/applications/science/math/speedcrunch/default.nix
+++ b/pkgs/applications/science/math/speedcrunch/default.nix
@@ -23,6 +23,7 @@ mkDerivation rec {
homepage = "http://speedcrunch.org";
license = licenses.gpl2Plus;
description = "A fast power user calculator";
+ mainProgram = "speedcrunch";
longDescription = ''
SpeedCrunch is a fast, high precision and powerful desktop calculator.
Among its distinctive features are a scrollable display, up to 50 decimal
diff --git a/pkgs/applications/science/math/weka/default.nix b/pkgs/applications/science/math/weka/default.nix
index 004060f832c2..6f907ba9c0c3 100644
--- a/pkgs/applications/science/math/weka/default.nix
+++ b/pkgs/applications/science/math/weka/default.nix
@@ -24,6 +24,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://www.cs.waikato.ac.nz/ml/weka/";
description = "Collection of machine learning algorithms for data mining tasks";
+ mainProgram = "weka";
sourceProvenance = with sourceTypes; [ binaryBytecode ];
license = licenses.gpl2Plus;
maintainers = [ maintainers.mimame ];
diff --git a/pkgs/applications/science/math/wxmaxima/default.nix b/pkgs/applications/science/math/wxmaxima/default.nix
index 174a78697917..4d2815d12997 100644
--- a/pkgs/applications/science/math/wxmaxima/default.nix
+++ b/pkgs/applications/science/math/wxmaxima/default.nix
@@ -12,13 +12,13 @@
stdenv.mkDerivation (finalAttrs:{
pname = "wxmaxima";
- version = "24.02.1";
+ version = "24.02.2";
src = fetchFromGitHub {
owner = "wxMaxima-developers";
repo = "wxmaxima";
rev = "Version-${finalAttrs.version}";
- hash = "sha256-ORrIZlLqZsxMpqtw5Z7GMI9dDod50hj94ro6urjBD/A=";
+ hash = "sha256-ewyg+ZhbRbPjJkYTZFuhbOWMDNZGW7ejmSv38zxcTsw=";
};
buildInputs = [
@@ -46,6 +46,7 @@ stdenv.mkDerivation (finalAttrs:{
meta = with lib; {
description = "Cross platform GUI for the computer algebra system Maxima";
+ mainProgram = "wxmaxima";
license = licenses.gpl2;
homepage = "https://wxmaxima-developers.github.io/wxmaxima/";
maintainers = with maintainers; [ doronbehar ];
diff --git a/pkgs/applications/science/math/zegrapher/default.nix b/pkgs/applications/science/math/zegrapher/default.nix
index 32633eb8015d..2beaf5757a55 100644
--- a/pkgs/applications/science/math/zegrapher/default.nix
+++ b/pkgs/applications/science/math/zegrapher/default.nix
@@ -26,6 +26,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://zegrapher.com/";
description = "An open source math plotter";
+ mainProgram = "ZeGrapher";
longDescription = ''
An open source, free and easy to use math plotter. It can plot functions,
sequences, parametric equations and data on the plane.
diff --git a/pkgs/applications/science/misc/bada-bib/default.nix b/pkgs/applications/science/misc/bada-bib/default.nix
index 0eafebd9628c..189531532574 100644
--- a/pkgs/applications/science/misc/bada-bib/default.nix
+++ b/pkgs/applications/science/misc/bada-bib/default.nix
@@ -75,6 +75,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
homepage = "https://github.com/RogerCrocker/BadaBib";
description = "A simple BibTeX Viewer and Editor";
+ mainProgram = "badabib";
maintainers = [ maintainers.Cogitri ];
license = licenses.gpl3Plus;
};
diff --git a/pkgs/applications/science/misc/colmap/default.nix b/pkgs/applications/science/misc/colmap/default.nix
index a029f5440b25..64a7952be4cc 100644
--- a/pkgs/applications/science/misc/colmap/default.nix
+++ b/pkgs/applications/science/misc/colmap/default.nix
@@ -37,7 +37,7 @@ mkDerivation rec {
nativeBuildInputs = [
cmake
] ++ lib.optionals cudaSupport [
- cudaPackages.autoAddOpenGLRunpathHook
+ cudaPackages.autoAddDriverRunpath
];
meta = with lib; {
diff --git a/pkgs/applications/science/misc/convertall/default.nix b/pkgs/applications/science/misc/convertall/default.nix
index 9a7ac2a8b13c..534562b028d8 100644
--- a/pkgs/applications/science/misc/convertall/default.nix
+++ b/pkgs/applications/science/misc/convertall/default.nix
@@ -30,6 +30,7 @@ in stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://convertall.bellz.org/";
description = "Graphical unit converter";
+ mainProgram = "convertall";
license = licenses.gpl2Plus;
maintainers = with maintainers; [ orivej ];
platforms = pyqt5.meta.platforms;
diff --git a/pkgs/applications/science/misc/cwltool/default.nix b/pkgs/applications/science/misc/cwltool/default.nix
index fb3b26a12edf..9b4c711aba8f 100644
--- a/pkgs/applications/science/misc/cwltool/default.nix
+++ b/pkgs/applications/science/misc/cwltool/default.nix
@@ -84,6 +84,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "Common Workflow Language reference implementation";
+ mainProgram = "cwltool";
homepage = "https://www.commonwl.org";
changelog = "https://github.com/common-workflow-language/cwltool/releases/tag/${version}";
license = with licenses; [ asl20 ];
diff --git a/pkgs/applications/science/misc/cytoscape/default.nix b/pkgs/applications/science/misc/cytoscape/default.nix
index bd5b698988d4..f4aaac1a3823 100644
--- a/pkgs/applications/science/misc/cytoscape/default.nix
+++ b/pkgs/applications/science/misc/cytoscape/default.nix
@@ -37,6 +37,7 @@ stdenv.mkDerivation rec {
meta = {
homepage = "http://www.cytoscape.org";
description = "A general platform for complex network analysis and visualization";
+ mainProgram = "cytoscape";
license = lib.licenses.lgpl21;
maintainers = [lib.maintainers.mimame];
platforms = lib.platforms.unix;
diff --git a/pkgs/applications/science/misc/foldingathome/client.nix b/pkgs/applications/science/misc/foldingathome/client.nix
index 108dcc284ea9..6ff159cc54cb 100644
--- a/pkgs/applications/science/misc/foldingathome/client.nix
+++ b/pkgs/applications/science/misc/foldingathome/client.nix
@@ -3,18 +3,23 @@
, fetchFromGitHub
, ocl-icd
, openssl
+, re2
+, libevent
+, git
+, zlib
+, expat
, scons
, stdenv
, extraPkgs ? [ ]
}:
let
- version = "8.3.1";
+ version = "8.3.7";
cbangSrc = fetchFromGitHub {
owner = "cauldrondevelopmentllc";
repo = "cbang";
rev = "bastet-v${version}";
- hash = "sha256-cuyfJG5aDJ6e2SllxwKTViG0j8FWHvjcTaaBBtkgEdU=";
+ sha256 = "sha256-acAImItdkgo6PBFL6Vu/caIdcnvp/3VEW2lgVDgKy9g=";
};
fah-client = stdenv.mkDerivation {
@@ -25,10 +30,10 @@ let
owner = "FoldingAtHome";
repo = "fah-client-bastet";
rev = "v${version}";
- hash = "sha256-Ztc2im4Xmk8f6GotGRgA5zDkcyQFnodUvroJVl+ApT4=";
+ sha256 = "sha256-d+LY/R4TAko+2e2W76KEBQ8fXj0hzzmBOm+c4tksXMA=";
};
- nativeBuildInputs = [ scons ];
+ nativeBuildInputs = [ scons re2 libevent git ];
buildInputs = [ openssl ];
@@ -63,7 +68,7 @@ in
buildFHSEnv {
name = fah-client.name;
- targetPkgs = _: [ fah-client ocl-icd ] ++ extraPkgs;
+ targetPkgs = _: [ fah-client ocl-icd zlib expat ] ++ extraPkgs;
runScript = "/bin/fah-client";
diff --git a/pkgs/applications/science/misc/gephi/default.nix b/pkgs/applications/science/misc/gephi/default.nix
index 22c453dbab30..ab8134daf3ae 100644
--- a/pkgs/applications/science/misc/gephi/default.nix
+++ b/pkgs/applications/science/misc/gephi/default.nix
@@ -37,6 +37,7 @@ mavenJdk11.buildMavenPackage rec {
meta = with lib; {
description = "A platform for visualizing and manipulating large graphs";
+ mainProgram = "gephi";
homepage = "https://gephi.org";
sourceProvenance = with sourceTypes; [
fromSource
diff --git a/pkgs/applications/science/misc/gplates/default.nix b/pkgs/applications/science/misc/gplates/default.nix
index 4ab17c22934e..74dd61a2228c 100644
--- a/pkgs/applications/science/misc/gplates/default.nix
+++ b/pkgs/applications/science/misc/gplates/default.nix
@@ -67,6 +67,7 @@ in stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "Desktop software for the interactive visualisation of plate-tectonics";
+ mainProgram = "gplates";
homepage = "https://www.gplates.org";
license = licenses.gpl2Only;
platforms = platforms.all;
diff --git a/pkgs/applications/science/misc/graphia/default.nix b/pkgs/applications/science/misc/graphia/default.nix
index b06cfaae66b5..b24d5375ef9b 100644
--- a/pkgs/applications/science/misc/graphia/default.nix
+++ b/pkgs/applications/science/misc/graphia/default.nix
@@ -1,47 +1,47 @@
{ stdenv
, lib
, cmake
+, git
, fetchFromGitHub
, fetchpatch
, wrapQtAppsHook
, qtbase
-, qtquickcontrols2
-, qtgraphicaleffects
+, qtdeclarative
+, qtsvg
+, qtwebengine
}:
stdenv.mkDerivation rec {
pname = "graphia";
- version = "3.2";
+ version = "4.2";
src = fetchFromGitHub {
owner = "graphia-app";
repo = "graphia";
rev = version;
- sha256 = "sha256-9kohXLXF4F/qoHm8qmvPM1y9ak0Thb4xvgKJlVuOPTg=";
+ sha256 = "sha256-8+tlQbTr6BGx+/gjviuNrQQWcxC/j6dJ+PxwB4fYmqQ=";
};
patches = [
- # Fix for a breakpad incompatibility with glibc>2.33
- # https://github.com/pytorch/pytorch/issues/70297
- # https://github.com/google/breakpad/commit/605c51ed96ad44b34c457bbca320e74e194c317e
- ./breakpad-sigstksz.patch
-
- # FIXME: backport patch fixing build with Qt 5.15, remove for next release
+ # Fix gcc-13 build:
(fetchpatch {
- url = "https://github.com/graphia-app/graphia/commit/4b51bb8d465afa7ed0b2b30cb1c5e1c6af95976f.patch";
- hash = "sha256-GDJAFLxQlRWKvcOgqqPYV/aVTRM7+KDjW7Zp9l7SuyM=";
+ name = "gcc-13.patch";
+ url = "https://github.com/graphia-app/graphia/commit/78fb55a4d73f96e9a182de433c7da60330bd5b5e.patch";
+ hash = "sha256-waI2ur3gOKMQvqB2Qnyz7oMOMConl3jLMVKKmOmTpJs=";
})
];
nativeBuildInputs = [
cmake
+ git # needs to define some hash as a version
wrapQtAppsHook
];
buildInputs = [
qtbase
- qtquickcontrols2
- qtgraphicaleffects
+ qtdeclarative
+ qtsvg
+ qtwebengine
];
meta = with lib; {
@@ -50,6 +50,7 @@ stdenv.mkDerivation rec {
description = "A visualisation tool for the creation and analysis of graphs.";
homepage = "https://graphia.app";
license = licenses.gpl3Only;
+ mainProgram = "Graphia";
maintainers = [ maintainers.bgamari ];
platforms = platforms.all;
};
diff --git a/pkgs/applications/science/misc/netlogo/default.nix b/pkgs/applications/science/misc/netlogo/default.nix
index db8f0a717aa1..13c3c36f90ae 100644
--- a/pkgs/applications/science/misc/netlogo/default.nix
+++ b/pkgs/applications/science/misc/netlogo/default.nix
@@ -46,6 +46,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A multi-agent programmable modeling environment";
+ mainProgram = "netlogo";
longDescription = ''
NetLogo is a multi-agent programmable modeling environment. It is used by
many tens of thousands of students, teachers and researchers worldwide.
diff --git a/pkgs/applications/science/misc/nextinspace/default.nix b/pkgs/applications/science/misc/nextinspace/default.nix
index 78e21dcd8788..742b4cb622c2 100644
--- a/pkgs/applications/science/misc/nextinspace/default.nix
+++ b/pkgs/applications/science/misc/nextinspace/default.nix
@@ -37,6 +37,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "Print upcoming space-related events in your terminal";
+ mainProgram = "nextinspace";
homepage = "https://github.com/The-Kid-Gid/nextinspace";
license = licenses.gpl3Only;
maintainers = with maintainers; [ penguwin ];
diff --git a/pkgs/applications/science/misc/openrefine/default.nix b/pkgs/applications/science/misc/openrefine/default.nix
index 2ad67027ade4..9f2ef0f29b31 100644
--- a/pkgs/applications/science/misc/openrefine/default.nix
+++ b/pkgs/applications/science/misc/openrefine/default.nix
@@ -27,7 +27,7 @@ let
inherit src version;
pname = "openrefine-npm";
- sourceRoot = "source/main/webapp";
+ sourceRoot = "${src.name}/main/webapp";
npmDepsHash = "sha256-8GhcL4tohQ5u2HeYN6JyTMMobUOqAL8ETCLiP1SoDSk=";
diff --git a/pkgs/applications/science/misc/reason-shell/default.nix b/pkgs/applications/science/misc/reason-shell/default.nix
index aa1544669ed8..848f31abe4c6 100644
--- a/pkgs/applications/science/misc/reason-shell/default.nix
+++ b/pkgs/applications/science/misc/reason-shell/default.nix
@@ -32,6 +32,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "A shell for research papers";
+ mainProgram = "reason";
homepage = "https://github.com/jaywonchung/reason";
changelog = "https://github.com/jaywonchung/reason/releases/tag/${src.rev}";
license = licenses.mit;
diff --git a/pkgs/applications/science/misc/rink/default.nix b/pkgs/applications/science/misc/rink/default.nix
index b7e523f67474..1e88047eba72 100644
--- a/pkgs/applications/science/misc/rink/default.nix
+++ b/pkgs/applications/science/misc/rink/default.nix
@@ -23,6 +23,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "Unit-aware calculator";
+ mainProgram = "rink";
homepage = "https://rinkcalc.app";
license = with licenses; [ mpl20 gpl3Plus ];
maintainers = with maintainers; [ sb0 Br1ght0ne ];
diff --git a/pkgs/applications/science/misc/root/default.nix b/pkgs/applications/science/misc/root/default.nix
index 7c9d6f5cb0a6..9548db1c7c47 100644
--- a/pkgs/applications/science/misc/root/default.nix
+++ b/pkgs/applications/science/misc/root/default.nix
@@ -214,13 +214,10 @@ stdenv.mkDerivation rec {
# suppress warnings from compilation of the vendored clang to avoid running into log limits on the Hydra
NIX_CFLAGS_COMPILE = lib.optionals stdenv.cc.isGNU [ "-Wno-shadow" "-Wno-maybe-uninitialized" ];
- # Workaround the xrootd runpath bug #169677 by prefixing [DY]LD_LIBRARY_PATH with ${lib.makeLibraryPath xrootd}.
- # TODO: Remove the [DY]LDLIBRARY_PATH prefix for xrootd when #200830 get merged.
postInstall = ''
for prog in rootbrowse rootcp rooteventselector rootls rootmkdir rootmv rootprint rootrm rootslimtree; do
wrapProgram "$out/bin/$prog" \
- --set PYTHONPATH "$out/lib" \
- --set ${lib.optionalString stdenv.isDarwin "DY"}LD_LIBRARY_PATH "$out/lib:${lib.makeLibraryPath [ xrootd ]}"
+ --set PYTHONPATH "$out/lib"
done
# Make ldd and sed available to the ROOT executable by prefixing PATH.
@@ -229,8 +226,7 @@ stdenv.mkDerivation rec {
gnused # sed
stdenv.cc # c++ ld etc.
stdenv.cc.libc # ldd
- ]}" \
- --prefix ${lib.optionalString stdenv.hostPlatform.isDarwin "DY"}LD_LIBRARY_PATH : "${lib.makeLibraryPath [ xrootd ]}"
+ ]}"
# Patch thisroot.{sh,csh,fish}
diff --git a/pkgs/applications/science/misc/sasview/default.nix b/pkgs/applications/science/misc/sasview/default.nix
index ddc0cdfa4e5b..e896b19ede83 100644
--- a/pkgs/applications/science/misc/sasview/default.nix
+++ b/pkgs/applications/science/misc/sasview/default.nix
@@ -1,30 +1,21 @@
{ lib
, python3
, fetchFromGitHub
-, fetchpatch
, wrapQtAppsHook
}:
python3.pkgs.buildPythonApplication rec {
pname = "sasview";
- version = "5.0.4";
+ version = "5.0.6";
+ pyproject = true;
src = fetchFromGitHub {
owner = "SasView";
repo = "sasview";
- rev = "v${version}";
- hash = "sha256-TjcchqA6GCvkr59ZgDuGglan2RxLp+aMjJk28XhvoiY=";
+ rev = "refs/tags/v${version}";
+ hash = "sha256-cwP9VuvO4GPlbAxCqw31xISTi9NoF5RoBQmjWusrnzc=";
};
- patches = [
- # Fix `asscalar` numpy API removal.
- # See https://github.com/SasView/sasview/pull/2178
- (fetchpatch {
- url = "https://github.com/SasView/sasview/commit/b1ab08c2a4e8fdade7f3e4cfecf3dfec38b8f3c5.patch";
- hash = "sha256-IH8g4XPziVAnkmBdzLH1ii8vN6kyCmOgrQlH2HEbm5o=";
- })
- ];
-
# AttributeError: module 'numpy' has no attribute 'float'.
postPatch = ''
substituteInPlace src/sas/sascalc/pr/p_invertor.py \
@@ -33,6 +24,7 @@ python3.pkgs.buildPythonApplication rec {
nativeBuildInputs = [
python3.pkgs.pyqt5
+ python3.pkgs.setuptools
wrapQtAppsHook
];
@@ -66,12 +58,21 @@ python3.pkgs.buildPythonApplication rec {
unittest-xml-reporting
];
- pytestFlagsArray = [ "test" ];
+ pytestFlagsArray = [
+ "test"
+ ];
+
+ disabledTests = [
+ # NoKnownLoaderException
+ "test_invalid_cansas"
+ "test_data_reader_exception"
+ ];
meta = with lib; {
- homepage = "https://www.sasview.org";
description = "Fitting and data analysis for small angle scattering data";
- maintainers = with maintainers; [ rprospero ];
+ homepage = "https://www.sasview.org";
+ changelog = "https://github.com/SasView/sasview/releases/tag/v${version}";
license = licenses.bsd3;
+ maintainers = with maintainers; [ rprospero ];
};
}
diff --git a/pkgs/applications/science/misc/snakemake/default.nix b/pkgs/applications/science/misc/snakemake/default.nix
index ba9cfb41f09c..628b40cd1300 100644
--- a/pkgs/applications/science/misc/snakemake/default.nix
+++ b/pkgs/applications/science/misc/snakemake/default.nix
@@ -6,14 +6,14 @@
python3.pkgs.buildPythonApplication rec {
pname = "snakemake";
- version = "8.4.4";
+ version = "8.4.12";
format = "setuptools";
src = fetchFromGitHub {
owner = "snakemake";
repo = pname;
rev = "refs/tags/v${version}";
- hash = "sha256-d3pUVhn9oi1ILDR4sfRh6HypbDn2JZMha27h0twixPc=";
+ hash = "sha256-ehQIrTw1+klFW+Hu4WsWaCJ0YoN2hIqryqqaoNQb1us=";
# https://github.com/python-versioneer/python-versioneer/issues/217
postFetch = ''
sed -i "$out"/snakemake/_version.py -e 's#git_refnames = ".*"#git_refnames = " (tag: v${version})"#'
@@ -89,6 +89,7 @@ python3.pkgs.buildPythonApplication rec {
homepage = "https://snakemake.github.io";
license = licenses.mit;
description = "Python-based execution environment for make-like workflows";
+ mainProgram = "snakemake";
longDescription = ''
Snakemake is a workflow management system that aims to reduce the complexity of
creating workflows by providing a fast and comfortable execution environment,
diff --git a/pkgs/applications/science/misc/vite/default.nix b/pkgs/applications/science/misc/vite/default.nix
index c748b6d1bd3f..f1c9329c94df 100644
--- a/pkgs/applications/science/misc/vite/default.nix
+++ b/pkgs/applications/science/misc/vite/default.nix
@@ -17,6 +17,7 @@ stdenv.mkDerivation rec {
meta = {
description = "Visual Trace Explorer (ViTE), a tool to visualize execution traces";
+ mainProgram = "vite";
longDescription = ''
ViTE is a trace explorer. It is a tool to visualize execution
diff --git a/pkgs/applications/science/molecular-dynamics/dl-poly-classic/default.nix b/pkgs/applications/science/molecular-dynamics/dl-poly-classic/default.nix
index a13a071584fd..75ab576212d7 100644
--- a/pkgs/applications/science/molecular-dynamics/dl-poly-classic/default.nix
+++ b/pkgs/applications/science/molecular-dynamics/dl-poly-classic/default.nix
@@ -35,6 +35,7 @@ stdenv.mkDerivation {
meta = with lib; {
homepage = "https://www.ccp5.ac.uk/DL_POLY_C";
description = "DL_POLY Classic is a general purpose molecular dynamics simulation package";
+ mainProgram = "DLPOLY.X";
license = licenses.bsdOriginal;
platforms = platforms.unix;
maintainers = [ maintainers.costrouc ];
diff --git a/pkgs/applications/science/molecular-dynamics/gromacs/default.nix b/pkgs/applications/science/molecular-dynamics/gromacs/default.nix
index b3a95034ca54..6ab9ec5d9cc3 100644
--- a/pkgs/applications/science/molecular-dynamics/gromacs/default.nix
+++ b/pkgs/applications/science/molecular-dynamics/gromacs/default.nix
@@ -19,6 +19,10 @@
, cpuAcceleration ? null
}:
+
+# CUDA is only implemented for single precission
+assert enableCuda -> singlePrec;
+
let
inherit (cudaPackages.cudaFlags) cudaCapabilities dropDot;
@@ -41,8 +45,8 @@ let
}
else
{
- version = "2024";
- hash = "sha256-BNIm1SBmqLw6QuANYhPec3tOwpLiZwMGWST/AZVoAeI=";
+ version = "2024.1";
+ hash = "sha256-k32PEqNv/78q963XGtu1qlxVN4ktRsmnavvsqxqgqsc=";
};
in stdenv.mkDerivation rec {
@@ -75,6 +79,7 @@ in stdenv.mkDerivation rec {
lapack
] ++ lib.optional enableMpi mpi
++ lib.optionals enableCuda [
+ cudaPackages.cuda_cccl
cudaPackages.cuda_cudart
cudaPackages.libcufft
cudaPackages.cuda_profiler_api
diff --git a/pkgs/applications/science/molecular-dynamics/lammps/default.nix b/pkgs/applications/science/molecular-dynamics/lammps/default.nix
index a6dc8592ae3c..4c2a80c2f1b7 100644
--- a/pkgs/applications/science/molecular-dynamics/lammps/default.nix
+++ b/pkgs/applications/science/molecular-dynamics/lammps/default.nix
@@ -46,14 +46,14 @@
stdenv.mkDerivation (finalAttrs: {
# LAMMPS has weird versioning convention. Updates should go smoothly with:
# nix-update --commit lammps --version-regex 'stable_(.*)'
- version = "2Aug2023_update2";
+ version = "2Aug2023_update3";
pname = "lammps";
src = fetchFromGitHub {
owner = "lammps";
repo = "lammps";
rev = "stable_${finalAttrs.version}";
- hash = "sha256-E918Jv6RAfXmHxyHZos2F7S8HFWzU6KjxDwXYNAYFMY=";
+ hash = "sha256-jx0hkiYxQlnE2sa4WTvluEgphF//sNbK91VGAQJMwjw=";
};
preConfigure = ''
cd cmake
@@ -63,7 +63,7 @@ stdenv.mkDerivation (finalAttrs: {
pkg-config
# Although not always needed, it is needed if cmakeFlags include
# GPU_API=cuda, and it doesn't users that don't enable the GPU package.
- cudaPackages.autoAddOpenGLRunpathHook
+ cudaPackages.autoAddDriverRunpath
];
passthru = {
diff --git a/pkgs/applications/science/physics/nnpdf/default.nix b/pkgs/applications/science/physics/nnpdf/default.nix
index a53940d38d74..d0104095f39a 100644
--- a/pkgs/applications/science/physics/nnpdf/default.nix
+++ b/pkgs/applications/science/physics/nnpdf/default.nix
@@ -15,13 +15,13 @@
stdenv.mkDerivation rec {
pname = "nnpdf";
- version = "4.0.8";
+ version = "4.0.9";
src = fetchFromGitHub {
owner = "NNPDF";
repo = pname;
rev = version;
- hash = "sha256-hGCA2K/fD6UZa9WD42IDmZV1oxNgjFaXkjOZKGgGSBg=";
+ hash = "sha256-PyhkHlOlzKfDxUX91NkeZWjdEzFR4PW0Yh5Yz6ZA27g=";
};
postPatch = ''
@@ -55,6 +55,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "An open-source machine learning framework for global analyses of parton distributions";
+ mainProgram = "evolven3fit";
homepage = "https://docs.nnpdf.science/";
license = licenses.gpl3Only;
maintainers = [ maintainers.veprbl ];
diff --git a/pkgs/applications/science/physics/quantomatic/default.nix b/pkgs/applications/science/physics/quantomatic/default.nix
index 4baa65201e0b..caff65f1d71b 100644
--- a/pkgs/applications/science/physics/quantomatic/default.nix
+++ b/pkgs/applications/science/physics/quantomatic/default.nix
@@ -23,6 +23,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A piece of software for reasoning about monoidal theories; in particular, quantum information processing";
+ mainProgram = "quantomatic";
license = licenses.gpl3;
homepage = "https://quantomatic.github.io/";
sourceProvenance = with sourceTypes; [ binaryBytecode ];
diff --git a/pkgs/applications/science/physics/sacrifice/default.nix b/pkgs/applications/science/physics/sacrifice/default.nix
index ec0620d3d8ed..352b65dc700c 100644
--- a/pkgs/applications/science/physics/sacrifice/default.nix
+++ b/pkgs/applications/science/physics/sacrifice/default.nix
@@ -40,6 +40,7 @@ stdenv.mkDerivation {
meta = {
description = "A standalone contribution to AGILe for steering Pythia 8";
+ mainProgram = "run-pythia";
license = lib.licenses.gpl2;
homepage = "https://agile.hepforge.org/trac/wiki/Sacrifice";
platforms = lib.platforms.unix;
diff --git a/pkgs/applications/science/physics/xfitter/default.nix b/pkgs/applications/science/physics/xfitter/default.nix
index 1852ef3ff1c8..77b57e08e2bb 100644
--- a/pkgs/applications/science/physics/xfitter/default.nix
+++ b/pkgs/applications/science/physics/xfitter/default.nix
@@ -47,6 +47,8 @@ stdenv.mkDerivation rec {
env.NIX_CFLAGS_COMPILE = lib.optionalString (stdenv.hostPlatform.libc == "glibc") "-I${libtirpc.dev}/include/tirpc";
NIX_LDFLAGS = lib.optional (stdenv.hostPlatform.libc == "glibc") "-ltirpc";
+ hardeningDisable = [ "format" ];
+
# workaround wrong library IDs
postInstall = lib.optionalString stdenv.isDarwin ''
ln -sv "$out/lib/xfitter/"* "$out/lib/"
diff --git a/pkgs/applications/science/physics/xflr5/default.nix b/pkgs/applications/science/physics/xflr5/default.nix
index eb60c12e9f0c..497be8fcbaa9 100644
--- a/pkgs/applications/science/physics/xflr5/default.nix
+++ b/pkgs/applications/science/physics/xflr5/default.nix
@@ -15,6 +15,7 @@ mkDerivation rec {
meta = with lib; {
description = "An analysis tool for airfoils, wings and planes";
+ mainProgram = "xflr5";
homepage = "https://sourceforge.net/projects/xflr5/";
license = licenses.gpl3;
maintainers = [ maintainers.esclear ];
diff --git a/pkgs/applications/science/physics/xnec2c/default.nix b/pkgs/applications/science/physics/xnec2c/default.nix
index 87daa8cac853..26ec1518fed5 100644
--- a/pkgs/applications/science/physics/xnec2c/default.nix
+++ b/pkgs/applications/science/physics/xnec2c/default.nix
@@ -32,6 +32,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://www.xnec2c.org/";
description = "Graphical antenna simulation";
+ mainProgram = "xnec2c";
license = licenses.gpl3;
maintainers = with maintainers; [ mvs ];
platforms = platforms.unix;
diff --git a/pkgs/applications/science/programming/plm/default.nix b/pkgs/applications/science/programming/plm/default.nix
index e4213fe7a8ff..a0a16515e228 100644
--- a/pkgs/applications/science/programming/plm/default.nix
+++ b/pkgs/applications/science/programming/plm/default.nix
@@ -31,6 +31,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Free cross-platform programming exerciser";
+ mainProgram = "plm";
homepage = "http://people.irisa.fr/Martin.Quinson/Teaching/PLM/";
license = licenses.gpl3;
sourceProvenance = with sourceTypes; [ binaryBytecode ];
diff --git a/pkgs/applications/science/robotics/betaflight-configurator/default.nix b/pkgs/applications/science/robotics/betaflight-configurator/default.nix
index e8e5fbba4e49..252eadc1c39c 100644
--- a/pkgs/applications/science/robotics/betaflight-configurator/default.nix
+++ b/pkgs/applications/science/robotics/betaflight-configurator/default.nix
@@ -43,6 +43,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "The Betaflight flight control system configuration tool";
+ mainProgram = "betaflight-configurator";
longDescription = ''
A crossplatform configuration tool for the Betaflight flight control system.
Various types of aircraft are supported by the tool and by Betaflight, e.g.
diff --git a/pkgs/applications/science/robotics/emuflight-configurator/default.nix b/pkgs/applications/science/robotics/emuflight-configurator/default.nix
index 0a733261ea2b..2c51355eb54f 100644
--- a/pkgs/applications/science/robotics/emuflight-configurator/default.nix
+++ b/pkgs/applications/science/robotics/emuflight-configurator/default.nix
@@ -36,6 +36,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "The Emuflight flight control system configuration tool";
+ mainProgram = "emuflight-configurator";
longDescription = ''
A crossplatform configuration tool for the Emuflight flight control system.
Various types of aircraft are supported by the tool and by Emuflight, e.g.
diff --git a/pkgs/applications/science/robotics/inav-configurator/default.nix b/pkgs/applications/science/robotics/inav-configurator/default.nix
index 9efb9163e5e0..f5216eaf6c92 100644
--- a/pkgs/applications/science/robotics/inav-configurator/default.nix
+++ b/pkgs/applications/science/robotics/inav-configurator/default.nix
@@ -44,6 +44,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "The iNav flight control system configuration tool";
+ mainProgram = "inav-configurator";
longDescription = ''
A crossplatform configuration tool for the iNav flight control system.
Various types of aircraft are supported by the tool and by iNav, e.g.
diff --git a/pkgs/applications/science/robotics/mavproxy/default.nix b/pkgs/applications/science/robotics/mavproxy/default.nix
index 1252073fab18..f86ca5f635e4 100644
--- a/pkgs/applications/science/robotics/mavproxy/default.nix
+++ b/pkgs/applications/science/robotics/mavproxy/default.nix
@@ -4,11 +4,11 @@
buildPythonApplication rec {
pname = "MAVProxy";
- version = "1.8.66";
+ version = "1.8.70";
src = fetchPypi {
inherit pname version;
- hash = "sha256-tIwXiDHEmFHF5Jdv25hPkzEqAdig+i5h4fW6SGIrZDM=";
+ hash = "sha256-U5K+0lxJbBvwETnJ3MTMkk47CMOSlJBeFrCLHW9OSh8=";
};
postPatch = ''
diff --git a/pkgs/applications/science/robotics/mission-planner/default.nix b/pkgs/applications/science/robotics/mission-planner/default.nix
index 856fdfec8235..f6e7e5f114fa 100644
--- a/pkgs/applications/science/robotics/mission-planner/default.nix
+++ b/pkgs/applications/science/robotics/mission-planner/default.nix
@@ -46,6 +46,7 @@ in stdenv.mkDerivation rec {
meta = with lib; {
description = "An ArduPilot ground station";
+ mainProgram = "mission-planner";
longDescription = ''
Full-featured ground station application for the ArduPilot open source
autopilot project. Lets you both flash, configure and control ArduPilot
diff --git a/pkgs/applications/science/robotics/mujoco/default.nix b/pkgs/applications/science/robotics/mujoco/default.nix
index da2ceef9249e..15d2e156a589 100644
--- a/pkgs/applications/science/robotics/mujoco/default.nix
+++ b/pkgs/applications/science/robotics/mujoco/default.nix
@@ -16,8 +16,8 @@ let
abseil-cpp = fetchFromGitHub {
owner = "abseil";
repo = "abseil-cpp";
- rev = "fb3621f4f897824c0dbe0615fa94543df6192f30";
- hash = "sha256-uNGrTNg5G5xFGtc+BSWE389x0tQ/KxJQLHfebNWas/k=";
+ rev = "2f9e432cce407ce0ae50676696666f33a77d42ac";
+ hash = "sha256-D4E11bICKr3Z5RRah7QkfXVsXtuUg32FMmKpiOGjZDM=";
};
benchmark = fetchFromGitHub {
owner = "google";
@@ -34,8 +34,8 @@ let
eigen3 = fetchFromGitLab {
owner = "libeigen";
repo = "eigen";
- rev = "454f89af9d6f3525b1df5f9ef9c86df58bf2d4d3";
- hash = "sha256-a9QAnv6vIM8a9Bn8ZmfeMT0+kbtb0QGxM0+m5xwIqm8=";
+ rev = "2a9055b50ed22101da7d77e999b90ed50956fe0b";
+ hash = "sha256-tx/XR7xJ7IMh5RMvL8wRo/g+dfD3xcjZkLPSY4D9HaY=";
};
googletest = fetchFromGitHub {
owner = "google";
@@ -96,8 +96,8 @@ let
src = fetchFromGitHub {
owner = "UPC-ViRVIG";
repo = name;
- rev = "7c49cfba9bbec763b5d0f7b90b26555f3dde8088";
- hash = "sha256-5bnQ3rHH9Pw1jRVpZpamFnhIJHWnGm6krgZgIBqNtVg=";
+ rev = "1927bee6bb8225258a39c8cbf14e18a4d50409ae";
+ hash = "sha256-+SFUOdZ6pGZvnQa0mT+yfbTMHWe2CTOlroXcuVBHdOE=";
};
patches = [ ./sdflib-system-deps.patch ];
@@ -129,7 +129,7 @@ let
in stdenv.mkDerivation rec {
pname = "mujoco";
- version = "3.1.2";
+ version = "3.1.3";
# Bumping version? Make sure to look though the MuJoCo's commit
# history for bumped dependency pins!
@@ -137,7 +137,7 @@ in stdenv.mkDerivation rec {
owner = "google-deepmind";
repo = "mujoco";
rev = "refs/tags/${version}";
- hash = "sha256-Zbz6qq2Sjhcrf8QAGFlYkSZ8mA/wQaP81gRzMj3xh+g=";
+ hash = "sha256-22yH3zAD479TRNS3XSqy6PuuLqyWmjvwScUTVfKumzY=";
};
patches = [ ./mujoco-system-deps-dont-fetch.patch ];
diff --git a/pkgs/applications/science/robotics/mujoco/mujoco-system-deps-dont-fetch.patch b/pkgs/applications/science/robotics/mujoco/mujoco-system-deps-dont-fetch.patch
index 15373eb0b60f..c09787ff84c5 100644
--- a/pkgs/applications/science/robotics/mujoco/mujoco-system-deps-dont-fetch.patch
+++ b/pkgs/applications/science/robotics/mujoco/mujoco-system-deps-dont-fetch.patch
@@ -1,8 +1,8 @@
diff --git a/CMakeLists.txt b/CMakeLists.txt
-index 285250b..32d03e3 100644
+index eea180c0..efb39178 100644
--- a/CMakeLists.txt
+++ b/CMakeLists.txt
-@@ -92,7 +92,7 @@ add_subdirectory(src/render)
+@@ -93,7 +93,7 @@ add_subdirectory(src/render)
add_subdirectory(src/thread)
add_subdirectory(src/ui)
@@ -11,7 +11,7 @@ index 285250b..32d03e3 100644
if(MUJOCO_ENABLE_AVX_INTRINSICS)
target_compile_definitions(mujoco PUBLIC mjUSEPLATFORMSIMD)
endif()
-@@ -117,7 +117,7 @@ target_link_libraries(
+@@ -118,7 +118,7 @@ target_link_libraries(
lodepng
qhullstatic_r
tinyobjloader
@@ -21,30 +21,17 @@ index 285250b..32d03e3 100644
set_target_properties(
diff --git a/cmake/MujocoDependencies.cmake b/cmake/MujocoDependencies.cmake
-index 4e3e2c8..f6143d9 100644
+index 44962272..656beeb8 100644
--- a/cmake/MujocoDependencies.cmake
+++ b/cmake/MujocoDependencies.cmake
-@@ -90,153 +90,203 @@ set(BUILD_SHARED_LIBS
- CACHE INTERNAL "Build SHARED libraries"
- )
-
-+
+@@ -93,28 +93,36 @@ set(BUILD_SHARED_LIBS
if(NOT TARGET lodepng)
-- FetchContent_Declare(
-+ fetchcontent_declare(
+ FetchContent_Declare(
lodepng
- GIT_REPOSITORY https://github.com/lvandeve/lodepng.git
- GIT_TAG ${MUJOCO_DEP_VERSION_lodepng}
)
+endif()
-+
-+if(NOT TARGET lodepng)
-+ if(NOT MUJOCO_USE_SYSTEM_lodepng)
-+ fetchcontent_declare(
-+ lodepng
-+ GIT_REPOSITORY https://github.com/lvandeve/lodepng.git
-+ GIT_TAG ${MUJOCO_DEP_VERSION_lodepng}
-+ )
- FetchContent_GetProperties(lodepng)
- if(NOT lodepng_POPULATED)
@@ -56,9 +43,17 @@ index 4e3e2c8..f6143d9 100644
- target_compile_options(lodepng PRIVATE ${MUJOCO_MACOS_COMPILE_OPTIONS})
- target_link_options(lodepng PRIVATE ${MUJOCO_MACOS_LINK_OPTIONS})
- target_include_directories(lodepng PUBLIC ${lodepng_SOURCE_DIR})
-+ fetchcontent_getproperties(lodepng)
++if(NOT TARGET lodepng)
++ if(NOT MUJOCO_USE_SYSTEM_lodepng)
++ fetchcontent_declare(
++ lodepng
++ GIT_REPOSITORY https://github.com/lvandeve/lodepng.git
++ GIT_TAG ${MUJOCO_DEP_VERSION_lodepng}
++ )
++
++ FetchContent_GetProperties(lodepng)
+ if(NOT lodepng_POPULATED)
-+ fetchcontent_populate(lodepng)
++ FetchContent_Populate(lodepng)
+ # This is not a CMake project.
+ set(LODEPNG_SRCS ${lodepng_SOURCE_DIR}/lodepng.cpp)
+ set(LODEPNG_HEADERS ${lodepng_SOURCE_DIR}/lodepng.h)
@@ -73,19 +68,14 @@ index 4e3e2c8..f6143d9 100644
endif()
if(NOT TARGET marchingcubecpp)
-- FetchContent_Declare(
-+ fetchcontent_declare(
+ FetchContent_Declare(
marchingcubecpp
- GIT_REPOSITORY https://github.com/aparis69/MarchingCubeCpp.git
- GIT_TAG ${MUJOCO_DEP_VERSION_MarchingCubeCpp}
)
-- FetchContent_GetProperties(marchingcubecpp)
-+ fetchcontent_getproperties(marchingcubecpp)
- if(NOT marchingcubecpp_POPULATED)
-- FetchContent_Populate(marchingcubecpp)
-+ fetchcontent_populate(marchingcubecpp)
- include_directories(${marchingcubecpp_SOURCE_DIR})
+ FetchContent_GetProperties(marchingcubecpp)
+@@ -124,119 +132,158 @@ if(NOT TARGET marchingcubecpp)
endif()
endif()
@@ -118,7 +108,6 @@ index 4e3e2c8..f6143d9 100644
-)
-target_compile_options(qhullstatic_r PRIVATE ${MUJOCO_MACOS_COMPILE_OPTIONS})
-target_link_options(qhullstatic_r PRIVATE ${MUJOCO_MACOS_LINK_OPTIONS})
-+
+if(NOT MUJOCO_USE_SYSTEM_qhull)
+ # MuJoCo includes a file from libqhull_r which is not exported by the qhull include directories.
+ # Add it to the target.
@@ -165,7 +154,6 @@ index 4e3e2c8..f6143d9 100644
)
-target_compile_options(tinyxml2 PRIVATE ${MUJOCO_MACOS_COMPILE_OPTIONS})
-target_link_options(tinyxml2 PRIVATE ${MUJOCO_MACOS_LINK_OPTIONS})
-+
+if(NOT MUJOCO_USE_SYSTEM_tinyxml2)
+ target_compile_options(tinyxml2 PRIVATE ${MUJOCO_MACOS_COMPILE_OPTIONS})
+ target_link_options(tinyxml2 PRIVATE ${MUJOCO_MACOS_LINK_OPTIONS})
@@ -297,7 +285,7 @@ index 4e3e2c8..f6143d9 100644
set(ABSL_PROPAGATE_CXX_STD ON)
# This specific version of Abseil does not have the following variable. We need to work with BUILD_TESTING
-@@ -249,15 +299,11 @@ if(MUJOCO_BUILD_TESTS)
+@@ -249,15 +296,11 @@ if(MUJOCO_BUILD_TESTS)
set(ABSL_BUILD_TESTING OFF)
findorfetch(
USE_SYSTEM_PACKAGE
@@ -314,7 +302,7 @@ index 4e3e2c8..f6143d9 100644
TARGETS
absl::core_headers
EXCLUDE_FROM_ALL
-@@ -268,6 +314,9 @@ if(MUJOCO_BUILD_TESTS)
+@@ -268,6 +311,9 @@ if(MUJOCO_BUILD_TESTS)
CACHE BOOL "Build tests." FORCE
)
@@ -324,7 +312,7 @@ index 4e3e2c8..f6143d9 100644
# Avoid linking errors on Windows by dynamically linking to the C runtime.
set(gtest_force_shared_crt
ON
-@@ -276,22 +325,20 @@ if(MUJOCO_BUILD_TESTS)
+@@ -276,22 +322,20 @@ if(MUJOCO_BUILD_TESTS)
findorfetch(
USE_SYSTEM_PACKAGE
@@ -353,7 +341,7 @@ index 4e3e2c8..f6143d9 100644
set(BENCHMARK_EXTRA_FETCH_ARGS "")
if(WIN32 AND NOT MSVC)
set(BENCHMARK_EXTRA_FETCH_ARGS
-@@ -310,15 +357,11 @@ if(MUJOCO_BUILD_TESTS)
+@@ -310,15 +354,11 @@ if(MUJOCO_BUILD_TESTS)
findorfetch(
USE_SYSTEM_PACKAGE
@@ -370,7 +358,7 @@ index 4e3e2c8..f6143d9 100644
TARGETS
benchmark::benchmark
benchmark::benchmark_main
-@@ -328,26 +371,42 @@ if(MUJOCO_BUILD_TESTS)
+@@ -328,15 +368,18 @@ if(MUJOCO_BUILD_TESTS)
endif()
if(MUJOCO_TEST_PYTHON_UTIL)
@@ -387,21 +375,14 @@ index 4e3e2c8..f6143d9 100644
+ set(CMAKE_POLICY_DEFAULT_CMP0057 NEW)
+ endif()
-- FetchContent_Declare(
-+ fetchcontent_declare(
+ FetchContent_Declare(
Eigen3
- GIT_REPOSITORY https://gitlab.com/libeigen/eigen.git
- GIT_TAG ${MUJOCO_DEP_VERSION_Eigen3}
)
-- FetchContent_GetProperties(Eigen3)
-+ fetchcontent_getproperties(Eigen3)
- if(NOT Eigen3_POPULATED)
-- FetchContent_Populate(Eigen3)
-+ fetchcontent_populate(Eigen3)
-
- # Mark the library as IMPORTED as a workaround for https://gitlab.kitware.com/cmake/cmake/-/issues/15415
- add_library(Eigen3::Eigen INTERFACE IMPORTED)
+ FetchContent_GetProperties(Eigen3)
+@@ -348,6 +391,19 @@ if(MUJOCO_TEST_PYTHON_UTIL)
set_target_properties(
Eigen3::Eigen PROPERTIES INTERFACE_INCLUDE_DIRECTORIES "${eigen3_SOURCE_DIR}"
)
@@ -422,7 +403,7 @@ index 4e3e2c8..f6143d9 100644
endif()
endif()
diff --git a/plugin/sdf/CMakeLists.txt b/plugin/sdf/CMakeLists.txt
-index 3e216fc..e7e3a1e 100644
+index 3e216fc4..e7e3a1eb 100644
--- a/plugin/sdf/CMakeLists.txt
+++ b/plugin/sdf/CMakeLists.txt
@@ -37,7 +37,7 @@ set(MUJOCO_SDF_SRCS
@@ -435,7 +416,7 @@ index 3e216fc..e7e3a1e 100644
sdf
PRIVATE ${AVX_COMPILE_OPTIONS}
diff --git a/python/mujoco/util/CMakeLists.txt b/python/mujoco/util/CMakeLists.txt
-index 666a372..d89bb49 100644
+index 666a3725..d89bb499 100644
--- a/python/mujoco/util/CMakeLists.txt
+++ b/python/mujoco/util/CMakeLists.txt
@@ -63,8 +63,8 @@ if(BUILD_TESTING)
@@ -483,7 +464,7 @@ index 666a372..d89bb49 100644
gtest_add_tests(TARGET tuple_tools_test SOURCES tuple_tools_test.cc)
endif()
diff --git a/simulate/cmake/SimulateDependencies.cmake b/simulate/cmake/SimulateDependencies.cmake
-index 5141406..75ff788 100644
+index 5141406c..75ff7884 100644
--- a/simulate/cmake/SimulateDependencies.cmake
+++ b/simulate/cmake/SimulateDependencies.cmake
@@ -81,10 +81,6 @@ findorfetch(
@@ -498,7 +479,7 @@ index 5141406..75ff788 100644
glfw
EXCLUDE_FROM_ALL
diff --git a/test/CMakeLists.txt b/test/CMakeLists.txt
-index 6bec911..2a16c21 100644
+index 122760a9..ddd90819 100644
--- a/test/CMakeLists.txt
+++ b/test/CMakeLists.txt
@@ -30,7 +30,7 @@ macro(mujoco_test name)
@@ -510,10 +491,10 @@ index 6bec911..2a16c21 100644
target_include_directories(${name} PRIVATE ${MUJOCO_TEST_INCLUDE})
set_target_properties(${name} PROPERTIES BUILD_RPATH ${CMAKE_LIBRARY_OUTPUT_DIRECTORY})
# gtest_discover_tests is recommended over gtest_add_tests, but has some issues in Windows.
-@@ -59,20 +59,20 @@ target_link_libraries(
- PUBLIC absl::core_headers
- absl::strings
+@@ -60,20 +60,20 @@ target_link_libraries(
absl::synchronization
+ absl::flat_hash_map
+ absl::flat_hash_set
- gtest
- gmock
+ GTest::gtest
@@ -528,11 +509,11 @@ index 6bec911..2a16c21 100644
mujoco_test(header_test)
-target_link_libraries(header_test fixture gmock)
-+target_link_libraries(header_test fixture GTest::gmock)
++target_link_libraries(fixture_test fixture GTest::gmock)
mujoco_test(pipeline_test)
-target_link_libraries(pipeline_test fixture gmock)
-+target_link_libraries(pipeline_test fixture GTest::gmock)
++target_link_libraries(fixture_test fixture GTest::gmock)
add_subdirectory(benchmark)
add_subdirectory(engine)
diff --git a/pkgs/applications/science/robotics/sumorobot-manager/default.nix b/pkgs/applications/science/robotics/sumorobot-manager/default.nix
index 343f9fad105b..eb9322f0fb22 100644
--- a/pkgs/applications/science/robotics/sumorobot-manager/default.nix
+++ b/pkgs/applications/science/robotics/sumorobot-manager/default.nix
@@ -37,6 +37,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Desktop App for managing SumoRobots";
+ mainProgram = "sumorobot-manager";
homepage = "https://www.robokoding.com/kits/sumorobot/sumomanager/";
license = licenses.mit;
maintainers = with maintainers; [ abbradar ];
diff --git a/pkgs/applications/search/doodle/default.nix b/pkgs/applications/search/doodle/default.nix
index 2016d6afff74..fbe5ee56b319 100644
--- a/pkgs/applications/search/doodle/default.nix
+++ b/pkgs/applications/search/doodle/default.nix
@@ -17,5 +17,6 @@ stdenv.mkDerivation rec {
license = lib.licenses.gpl2Plus;
maintainers = with lib.maintainers; [ viric ];
platforms = with lib.platforms; linux;
+ mainProgram = "doodle";
};
}
diff --git a/pkgs/applications/search/grepcidr/default.nix b/pkgs/applications/search/grepcidr/default.nix
index bdc660db45fc..231718c810ee 100644
--- a/pkgs/applications/search/grepcidr/default.nix
+++ b/pkgs/applications/search/grepcidr/default.nix
@@ -17,5 +17,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl3;
platforms = platforms.unix;
maintainers = [ maintainers.fadenb ];
+ mainProgram = "grepcidr";
};
}
diff --git a/pkgs/applications/search/grepm/default.nix b/pkgs/applications/search/grepm/default.nix
index 14ac6ed69ce8..c3adbb2ab8b0 100644
--- a/pkgs/applications/search/grepm/default.nix
+++ b/pkgs/applications/search/grepm/default.nix
@@ -29,5 +29,6 @@ stdenv.mkDerivation {
license = licenses.free;
platforms = platforms.unix;
maintainers = [ maintainers.romildo ];
+ mainProgram = "grepm";
};
}
diff --git a/pkgs/applications/search/re-isearch/default.nix b/pkgs/applications/search/re-isearch/default.nix
index 85f2186efade..45dbedcd466d 100644
--- a/pkgs/applications/search/re-isearch/default.nix
+++ b/pkgs/applications/search/re-isearch/default.nix
@@ -11,6 +11,11 @@ stdenv.mkDerivation rec {
sha256 = "sha256-D0PDqlWzIOHqdS2MlNzR2T5cyhiLcFlf30v6eFokoRQ=";
};
+ postPatch = ''
+ # Fix gcc-13 build due to missing include.
+ sed -e '1i #include ' -i src/mmap.cxx
+ '';
+
buildinputs = [
db
file # libmagic
@@ -25,7 +30,7 @@ stdenv.mkDerivation rec {
preBuild = ''
cd build
makeFlagsArray+=(
- EXTRA_INC="-I${db.dev}/include -I${file}/include"
+ EXTRA_INC="-I${db.dev}/include -I${lib.getDev file}/include"
LD_PATH="-L../lib -L${db.out}/lib -L${file}/lib -L${libnsl}/lib"
)
'';
diff --git a/pkgs/applications/search/recoll/0001-no-qtgui-darwin-bundle.patch b/pkgs/applications/search/recoll/0001-no-qtgui-darwin-bundle.patch
new file mode 100644
index 000000000000..1f3a71fb3c9b
--- /dev/null
+++ b/pkgs/applications/search/recoll/0001-no-qtgui-darwin-bundle.patch
@@ -0,0 +1,48 @@
+From 9a0102fe1da038ebe08107ead991964df11b0271 Mon Sep 17 00:00:00 2001
+From: annalee <150648636+a-n-n-a-l-e-e@users.noreply.github.com>
+Date: Mon, 19 Feb 2024 03:31:20 +0000
+Subject: [PATCH] no qtgui darwin bundle
+
+---
+ qtgui/recoll.pro.in | 11 +++++++++--
+ 1 file changed, 9 insertions(+), 2 deletions(-)
+
+diff --git a/qtgui/recoll.pro.in b/qtgui/recoll.pro.in
+index a0ef314..6dbc3b5 100644
+--- a/qtgui/recoll.pro.in
++++ b/qtgui/recoll.pro.in
+@@ -180,7 +180,7 @@ windows {
+ }
+ }
+
+-macx: {
++if (false) {
+ QCBUILDLOC=Qt_6_4_2_for_macOS
+
+ # QT += webkit webkitwidgets
+@@ -375,7 +375,7 @@ macx: {
+ QMAKE_BUNDLE_DATA = APP_EXAMPLES APP_EXAMPLES_MAC APP_FILTERS APP_IMAGES APP_DOC
+ }
+
+-unix:!macx {
++unix {
+
+ VPATH = @srcdir@
+
+@@ -400,6 +400,13 @@ VPATH = @srcdir@
+ SOURCES += crontool.cpp \
+ rtitool.cpp
+
++ macx {
++ SOURCES += ../utils/closefrom.cpp \
++ ../utils/execmd.cpp \
++ ../utils/netcon.cpp \
++ ../utils/rclionice.cpp
++ }
++
+ FORMS += crontool.ui \
+ rtitool.ui
+
+--
+2.43.0
+
diff --git a/pkgs/applications/search/recoll/default.nix b/pkgs/applications/search/recoll/default.nix
index 67f22a33abce..99d4b79d1cc0 100644
--- a/pkgs/applications/search/recoll/default.nix
+++ b/pkgs/applications/search/recoll/default.nix
@@ -70,11 +70,11 @@ in
mkDerivation rec {
pname = "recoll";
- version = "1.37.2";
+ version = "1.37.4";
src = fetchurl {
url = "https://www.lesbonscomptes.com/${pname}/${pname}-${version}.tar.gz";
- hash = "sha256-xLdk3pJSV1YaloSV3TuTdJhujXsxUGrDru+mu86YBTU=";
+ hash = "sha256-MQnXamW7L4hyMbZDmU7XAcLv5roHcfhFGzni8YbDtq0=";
};
configureFlags = [
@@ -105,6 +105,8 @@ mkDerivation rec {
patches = [
# fix "No/bad main configuration file" error
./fix-datadir.patch
+ # use the same configure based build for darwin as linux
+ ./0001-no-qtgui-darwin-bundle.patch
];
nativeBuildInputs = [
@@ -135,6 +137,10 @@ mkDerivation rec {
libiconv
];
+ qtWrapperArgs = [
+ "--prefix PATH : ${filterPath}"
+ ];
+
# the filters search through ${PATH} using a sh proc 'checkcmds' for the
# filtering utils. Short circuit this by replacing the filtering command with
# the absolute path to the filtering command.
@@ -150,8 +156,6 @@ mkDerivation rec {
substituteInPlace $f --replace /usr/bin/perl ${lib.getBin (perl.passthru.withPackages (p: [ p.ImageExifTool ]))}/bin/perl
fi
done
- wrapProgram $out/bin/recoll --prefix PATH : "${filterPath}"
- wrapProgram $out/bin/recollindex --prefix PATH : "${filterPath}"
wrapProgram $out/share/recoll/filters/rclaudio.py \
--prefix PYTHONPATH : $PYTHONPATH
wrapProgram $out/share/recoll/filters/rclimg \
@@ -163,6 +167,11 @@ mkDerivation rec {
mv $out/bin/recoll.app $out/Applications
'';
+ # create symlink after fixup to prevent double wrapping of recoll
+ postFixup = lib.optionalString (stdenv.isDarwin && withGui) ''
+ ln -s ../Applications/recoll.app/Contents/MacOS/recoll $out/bin/recoll
+ '';
+
enableParallelBuilding = true;
meta = with lib; {
diff --git a/pkgs/applications/search/xlsxgrep/default.nix b/pkgs/applications/search/xlsxgrep/default.nix
index 18b3a1a26c87..454e9210db6a 100644
--- a/pkgs/applications/search/xlsxgrep/default.nix
+++ b/pkgs/applications/search/xlsxgrep/default.nix
@@ -14,6 +14,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
maintainers = with maintainers; [ felixscheinost ];
description = "CLI tool to search text in XLSX and XLS files. It works similarly to Unix/GNU Linux grep";
+ mainProgram = "xlsxgrep";
homepage = "https://github.com/zazuum/xlsxgrep";
license = licenses.mit;
};
diff --git a/pkgs/applications/system/coolercontrol/Cargo.lock b/pkgs/applications/system/coolercontrol/Cargo.lock
new file mode 100644
index 000000000000..2a81c7a8916e
--- /dev/null
+++ b/pkgs/applications/system/coolercontrol/Cargo.lock
@@ -0,0 +1,4653 @@
+# This file is automatically @generated by Cargo.
+# It is not intended for manual editing.
+version = 3
+
+[[package]]
+name = "addr2line"
+version = "0.21.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8a30b2e23b9e17a9f90641c7ab1549cd9b44f296d3ccbf309d2863cfe398a0cb"
+dependencies = [
+ "gimli",
+]
+
+[[package]]
+name = "adler"
+version = "1.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f26201604c87b1e01bd3d98f8d5d9a8fcbb815e8cedb41ffccbeb4bf593a35fe"
+
+[[package]]
+name = "aho-corasick"
+version = "1.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b2969dcb958b36655471fc61f7e416fa76033bdd4bfed0678d8fee1e2d07a1f0"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "alloc-no-stdlib"
+version = "2.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cc7bb162ec39d46ab1ca8c77bf72e890535becd1751bb45f64c597edb4c8c6b3"
+
+[[package]]
+name = "alloc-stdlib"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "94fb8275041c72129eb51b7d0322c29b8387a0386127718b096429201a5d6ece"
+dependencies = [
+ "alloc-no-stdlib",
+]
+
+[[package]]
+name = "android-tzdata"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e999941b234f3131b00bc13c22d06e8c5ff726d1b6318ac7eb276997bbb4fef0"
+
+[[package]]
+name = "android_system_properties"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "819e7219dbd41043ac279b19830f2efc897156490d7fd6ea916720117ee66311"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "anyhow"
+version = "1.0.79"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "080e9890a082662b09c1ad45f567faeeb47f22b5fb23895fbe1e651e718e25ca"
+
+[[package]]
+name = "arboard"
+version = "3.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "aafb29b107435aa276664c1db8954ac27a6e105cdad3c88287a199eb0e313c08"
+dependencies = [
+ "clipboard-win",
+ "core-graphics",
+ "image",
+ "log",
+ "objc",
+ "objc-foundation",
+ "objc_id",
+ "parking_lot",
+ "thiserror",
+ "winapi",
+ "x11rb",
+]
+
+[[package]]
+name = "ascii"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d92bec98840b8f03a5ff5413de5293bfcd8bf96467cf5452609f939ec6f5de16"
+
+[[package]]
+name = "async-broadcast"
+version = "0.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7c48ccdbf6ca6b121e0f586cbc0e73ae440e56c67c30fa0873b4e110d9c26d2b"
+dependencies = [
+ "event-listener 2.5.3",
+ "futures-core",
+]
+
+[[package]]
+name = "async-channel"
+version = "2.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1ca33f4bc4ed1babef42cad36cc1f51fa88be00420404e5b1e80ab1b18f7678c"
+dependencies = [
+ "concurrent-queue",
+ "event-listener 4.0.3",
+ "event-listener-strategy",
+ "futures-core",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "async-executor"
+version = "1.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "17ae5ebefcc48e7452b4987947920dac9450be1110cadf34d1b8c116bdbaf97c"
+dependencies = [
+ "async-lock 3.3.0",
+ "async-task",
+ "concurrent-queue",
+ "fastrand 2.0.1",
+ "futures-lite 2.2.0",
+ "slab",
+]
+
+[[package]]
+name = "async-fs"
+version = "1.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "279cf904654eeebfa37ac9bb1598880884924aab82e290aa65c9e77a0e142e06"
+dependencies = [
+ "async-lock 2.8.0",
+ "autocfg",
+ "blocking",
+ "futures-lite 1.13.0",
+]
+
+[[package]]
+name = "async-io"
+version = "1.13.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0fc5b45d93ef0529756f812ca52e44c221b35341892d3dcc34132ac02f3dd2af"
+dependencies = [
+ "async-lock 2.8.0",
+ "autocfg",
+ "cfg-if",
+ "concurrent-queue",
+ "futures-lite 1.13.0",
+ "log",
+ "parking",
+ "polling 2.8.0",
+ "rustix 0.37.27",
+ "slab",
+ "socket2",
+ "waker-fn",
+]
+
+[[package]]
+name = "async-io"
+version = "2.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6afaa937395a620e33dc6a742c593c01aced20aa376ffb0f628121198578ccc7"
+dependencies = [
+ "async-lock 3.3.0",
+ "cfg-if",
+ "concurrent-queue",
+ "futures-io",
+ "futures-lite 2.2.0",
+ "parking",
+ "polling 3.3.2",
+ "rustix 0.38.30",
+ "slab",
+ "tracing",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "async-lock"
+version = "2.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "287272293e9d8c41773cec55e365490fe034813a2f172f502d6ddcf75b2f582b"
+dependencies = [
+ "event-listener 2.5.3",
+]
+
+[[package]]
+name = "async-lock"
+version = "3.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d034b430882f8381900d3fe6f0aaa3ad94f2cb4ac519b429692a1bc2dda4ae7b"
+dependencies = [
+ "event-listener 4.0.3",
+ "event-listener-strategy",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "async-process"
+version = "1.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ea6438ba0a08d81529c69b36700fa2f95837bfe3e776ab39cde9c14d9149da88"
+dependencies = [
+ "async-io 1.13.0",
+ "async-lock 2.8.0",
+ "async-signal",
+ "blocking",
+ "cfg-if",
+ "event-listener 3.1.0",
+ "futures-lite 1.13.0",
+ "rustix 0.38.30",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "async-recursion"
+version = "1.0.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5fd55a5ba1179988837d24ab4c7cc8ed6efdeff578ede0416b4225a5fca35bd0"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "async-signal"
+version = "0.2.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9e47d90f65a225c4527103a8d747001fc56e375203592b25ad103e1ca13124c5"
+dependencies = [
+ "async-io 2.2.2",
+ "async-lock 2.8.0",
+ "atomic-waker",
+ "cfg-if",
+ "futures-core",
+ "futures-io",
+ "rustix 0.38.30",
+ "signal-hook-registry",
+ "slab",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "async-task"
+version = "4.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fbb36e985947064623dbd357f727af08ffd077f93d696782f3c56365fa2e2799"
+
+[[package]]
+name = "async-trait"
+version = "0.1.77"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c980ee35e870bd1a4d2c8294d4c04d0499e67bca1e4b5cefcc693c2fa00caea9"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "atk"
+version = "0.15.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2c3d816ce6f0e2909a96830d6911c2aff044370b1ef92d7f267b43bae5addedd"
+dependencies = [
+ "atk-sys",
+ "bitflags 1.3.2",
+ "glib",
+ "libc",
+]
+
+[[package]]
+name = "atk-sys"
+version = "0.15.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "58aeb089fb698e06db8089971c7ee317ab9644bade33383f63631437b03aafb6"
+dependencies = [
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps 6.2.0",
+]
+
+[[package]]
+name = "atomic-waker"
+version = "1.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1505bd5d3d116872e7271a6d4e16d81d0c8570876c8de68093a09ac269d8aac0"
+
+[[package]]
+name = "auto-launch"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1f012b8cc0c850f34117ec8252a44418f2e34a2cf501de89e29b241ae5f79471"
+dependencies = [
+ "dirs",
+ "thiserror",
+ "winreg 0.10.1",
+]
+
+[[package]]
+name = "autocfg"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d468802bab17cbc0cc575e9b053f41e72aa36bfa6b7f55e3529ffa43161b97fa"
+
+[[package]]
+name = "backtrace"
+version = "0.3.69"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2089b7e3f35b9dd2d0ed921ead4f6d318c27680d4a5bd167b3ee120edb105837"
+dependencies = [
+ "addr2line",
+ "cc",
+ "cfg-if",
+ "libc",
+ "miniz_oxide",
+ "object",
+ "rustc-demangle",
+]
+
+[[package]]
+name = "base64"
+version = "0.13.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9e1b586273c5702936fe7b7d6896644d8be71e6314cfe09d3167c95f712589e8"
+
+[[package]]
+name = "base64"
+version = "0.21.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9d297deb1925b89f2ccc13d7635fa0714f12c87adce1c75356b39ca9b7178567"
+
+[[package]]
+name = "bincode"
+version = "1.3.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b1f45e9417d87227c7a56d22e471c6206462cba514c7590c09aff4cf6d1ddcad"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "bitflags"
+version = "1.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a"
+
+[[package]]
+name = "bitflags"
+version = "2.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "327762f6e5a765692301e5bb513e0d9fef63be86bbc14528052b1cd3e6f03e07"
+
+[[package]]
+name = "block"
+version = "0.1.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0d8c1fef690941d3e7788d328517591fecc684c084084702d6ff1641e993699a"
+
+[[package]]
+name = "block-buffer"
+version = "0.10.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3078c7629b62d3f0439517fa394996acacc5cbc91c5a20d8c658e77abd503a71"
+dependencies = [
+ "generic-array",
+]
+
+[[package]]
+name = "blocking"
+version = "1.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6a37913e8dc4ddcc604f0c6d3bf2887c995153af3611de9e23c352b44c1b9118"
+dependencies = [
+ "async-channel",
+ "async-lock 3.3.0",
+ "async-task",
+ "fastrand 2.0.1",
+ "futures-io",
+ "futures-lite 2.2.0",
+ "piper",
+ "tracing",
+]
+
+[[package]]
+name = "brotli"
+version = "3.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "516074a47ef4bce09577a3b379392300159ce5b1ba2e501ff1c819950066100f"
+dependencies = [
+ "alloc-no-stdlib",
+ "alloc-stdlib",
+ "brotli-decompressor",
+]
+
+[[package]]
+name = "brotli-decompressor"
+version = "2.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4e2e4afe60d7dd600fdd3de8d0f08c2b7ec039712e3b6137ff98b7004e82de4f"
+dependencies = [
+ "alloc-no-stdlib",
+ "alloc-stdlib",
+]
+
+[[package]]
+name = "bstr"
+version = "1.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c48f0051a4b4c5e0b6d365cd04af53aeaa209e3cc15ec2cdb69e73cc87fbd0dc"
+dependencies = [
+ "memchr",
+ "serde",
+]
+
+[[package]]
+name = "bumpalo"
+version = "3.14.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f30e7476521f6f8af1a1c4c0b8cc94f0bee37d91763d0ca2665f299b6cd8aec"
+
+[[package]]
+name = "bytemuck"
+version = "1.14.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "374d28ec25809ee0e23827c2ab573d729e293f281dfe393500e7ad618baa61c6"
+
+[[package]]
+name = "byteorder"
+version = "1.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1fd0f2584146f6f2ef48085050886acf353beff7305ebd1ae69500e27c67f64b"
+
+[[package]]
+name = "bytes"
+version = "1.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a2bd12c1caf447e69cd4528f47f94d203fd2582878ecb9e9465484c4148a8223"
+
+[[package]]
+name = "cairo-rs"
+version = "0.15.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c76ee391b03d35510d9fa917357c7f1855bd9a6659c95a1b392e33f49b3369bc"
+dependencies = [
+ "bitflags 1.3.2",
+ "cairo-sys-rs",
+ "glib",
+ "libc",
+ "thiserror",
+]
+
+[[package]]
+name = "cairo-sys-rs"
+version = "0.15.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3c55d429bef56ac9172d25fecb85dc8068307d17acd74b377866b7a1ef25d3c8"
+dependencies = [
+ "glib-sys",
+ "libc",
+ "system-deps 6.2.0",
+]
+
+[[package]]
+name = "cargo_toml"
+version = "0.15.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "599aa35200ffff8f04c1925aa1acc92fa2e08874379ef42e210a80e527e60838"
+dependencies = [
+ "serde",
+ "toml 0.7.8",
+]
+
+[[package]]
+name = "cc"
+version = "1.0.83"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f1174fb0b6ec23863f8b971027804a42614e347eafb0a95bf0b12cdae21fc4d0"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "cesu8"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6d43a04d8753f35258c91f8ec639f792891f748a1edbd759cf1dcea3382ad83c"
+
+[[package]]
+name = "cfb"
+version = "0.7.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d38f2da7a0a2c4ccf0065be06397cc26a81f4e528be095826eee9d4adbb8c60f"
+dependencies = [
+ "byteorder",
+ "fnv",
+ "uuid",
+]
+
+[[package]]
+name = "cfg-expr"
+version = "0.9.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3431df59f28accaf4cb4eed4a9acc66bea3f3c3753aa6cdc2f024174ef232af7"
+dependencies = [
+ "smallvec",
+]
+
+[[package]]
+name = "cfg-expr"
+version = "0.15.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6100bc57b6209840798d95cb2775684849d332f7bd788db2a8c8caf7ef82a41a"
+dependencies = [
+ "smallvec",
+ "target-lexicon",
+]
+
+[[package]]
+name = "cfg-if"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd"
+
+[[package]]
+name = "chrono"
+version = "0.4.31"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f2c685bad3eb3d45a01354cedb7d5faa66194d1d58ba6e267a8de788f79db38"
+dependencies = [
+ "android-tzdata",
+ "iana-time-zone",
+ "num-traits",
+ "serde",
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "chunked_transfer"
+version = "1.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6e4de3bc4ea267985becf712dc6d9eed8b04c953b3fcfb339ebc87acd9804901"
+
+[[package]]
+name = "clipboard-win"
+version = "4.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7191c27c2357d9b7ef96baac1773290d4ca63b24205b82a3fd8a0637afcf0362"
+dependencies = [
+ "error-code",
+ "str-buf",
+ "winapi",
+]
+
+[[package]]
+name = "cocoa"
+version = "0.24.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f425db7937052c684daec3bd6375c8abe2d146dca4b8b143d6db777c39138f3a"
+dependencies = [
+ "bitflags 1.3.2",
+ "block",
+ "cocoa-foundation",
+ "core-foundation",
+ "core-graphics",
+ "foreign-types",
+ "libc",
+ "objc",
+]
+
+[[package]]
+name = "cocoa-foundation"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8c6234cbb2e4c785b456c0644748b1ac416dd045799740356f8363dfe00c93f7"
+dependencies = [
+ "bitflags 1.3.2",
+ "block",
+ "core-foundation",
+ "core-graphics-types",
+ "libc",
+ "objc",
+]
+
+[[package]]
+name = "color_quant"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3d7b894f5411737b7867f4827955924d7c254fc9f4d91a6aad6b097804b1018b"
+
+[[package]]
+name = "combine"
+version = "4.6.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "35ed6e9d84f0b51a7f52daf1c7d71dd136fd7a3f41a8462b8cdb8c78d920fad4"
+dependencies = [
+ "bytes",
+ "memchr",
+]
+
+[[package]]
+name = "concurrent-queue"
+version = "2.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d16048cd947b08fa32c24458a22f5dc5e835264f689f4f5653210c69fd107363"
+dependencies = [
+ "crossbeam-utils",
+]
+
+[[package]]
+name = "convert_case"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6245d59a3e82a7fc217c5828a6692dbc6dfb63a0c8c90495621f7b9d79704a0e"
+
+[[package]]
+name = "coolercontrol"
+version = "1.1.1"
+dependencies = [
+ "portpicker",
+ "serde",
+ "serde_json",
+ "tauri",
+ "tauri-build",
+ "tauri-plugin-autostart",
+ "tauri-plugin-localhost",
+ "tauri-plugin-single-instance",
+ "tauri-plugin-store",
+ "tauri-plugin-window-state",
+]
+
+[[package]]
+name = "core-foundation"
+version = "0.9.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "91e195e091a93c46f7102ec7818a2aa394e1e1771c3ab4825963fa03e45afb8f"
+dependencies = [
+ "core-foundation-sys",
+ "libc",
+]
+
+[[package]]
+name = "core-foundation-sys"
+version = "0.8.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "06ea2b9bc92be3c2baa9334a323ebca2d6f074ff852cd1d7b11064035cd3868f"
+
+[[package]]
+name = "core-graphics"
+version = "0.22.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2581bbab3b8ffc6fcbd550bf46c355135d16e9ff2a6ea032ad6b9bf1d7efe4fb"
+dependencies = [
+ "bitflags 1.3.2",
+ "core-foundation",
+ "core-graphics-types",
+ "foreign-types",
+ "libc",
+]
+
+[[package]]
+name = "core-graphics-types"
+version = "0.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "45390e6114f68f718cc7a830514a96f903cccd70d02a8f6d9f643ac4ba45afaf"
+dependencies = [
+ "bitflags 1.3.2",
+ "core-foundation",
+ "libc",
+]
+
+[[package]]
+name = "cpufeatures"
+version = "0.2.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "53fe5e26ff1b7aef8bca9c6080520cfb8d9333c7568e1829cef191a9723e5504"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "crc32fast"
+version = "1.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b540bd8bc810d3885c6ea91e2018302f68baba2129ab3e88f32389ee9370880d"
+dependencies = [
+ "cfg-if",
+]
+
+[[package]]
+name = "crossbeam-channel"
+version = "0.5.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "176dc175b78f56c0f321911d9c8eb2b77a78a4860b9c19db83835fea1a46649b"
+dependencies = [
+ "crossbeam-utils",
+]
+
+[[package]]
+name = "crossbeam-deque"
+version = "0.8.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "613f8cc01fe9cf1a3eb3d7f488fd2fa8388403e97039e2f73692932e291a770d"
+dependencies = [
+ "crossbeam-epoch",
+ "crossbeam-utils",
+]
+
+[[package]]
+name = "crossbeam-epoch"
+version = "0.9.18"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5b82ac4a3c2ca9c3460964f020e1402edd5753411d7737aa39c3714ad1b5420e"
+dependencies = [
+ "crossbeam-utils",
+]
+
+[[package]]
+name = "crossbeam-utils"
+version = "0.8.19"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "248e3bacc7dc6baa3b21e405ee045c3047101a49145e7e9eca583ab4c2ca5345"
+
+[[package]]
+name = "crypto-common"
+version = "0.1.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1bfb12502f3fc46cca1bb51ac28df9d618d813cdc3d2f25b9fe775a34af26bb3"
+dependencies = [
+ "generic-array",
+ "typenum",
+]
+
+[[package]]
+name = "cssparser"
+version = "0.27.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "754b69d351cdc2d8ee09ae203db831e005560fc6030da058f86ad60c92a9cb0a"
+dependencies = [
+ "cssparser-macros",
+ "dtoa-short",
+ "itoa 0.4.8",
+ "matches",
+ "phf 0.8.0",
+ "proc-macro2",
+ "quote",
+ "smallvec",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "cssparser-macros"
+version = "0.6.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "13b588ba4ac1a99f7f2964d24b3d896ddc6bf847ee3855dbd4366f058cfcd331"
+dependencies = [
+ "quote",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "ctor"
+version = "0.2.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "30d2b3721e861707777e3195b0158f950ae6dc4a27e4d02ff9f67e3eb3de199e"
+dependencies = [
+ "quote",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "darling"
+version = "0.20.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0209d94da627ab5605dcccf08bb18afa5009cfbef48d8a8b7d7bdbc79be25c5e"
+dependencies = [
+ "darling_core",
+ "darling_macro",
+]
+
+[[package]]
+name = "darling_core"
+version = "0.20.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "177e3443818124b357d8e76f53be906d60937f0d3a90773a664fa63fa253e621"
+dependencies = [
+ "fnv",
+ "ident_case",
+ "proc-macro2",
+ "quote",
+ "strsim",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "darling_macro"
+version = "0.20.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "836a9bbc7ad63342d6d6e7b815ccab164bc77a2d95d84bc3117a8c0d5c98e2d5"
+dependencies = [
+ "darling_core",
+ "quote",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "deranged"
+version = "0.3.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b42b6fa04a440b495c8b04d0e71b707c585f83cb9cb28cf8cd0d976c315e31b4"
+dependencies = [
+ "powerfmt",
+ "serde",
+]
+
+[[package]]
+name = "derivative"
+version = "2.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fcc3dd5e9e9c0b295d6e1e4d811fb6f157d5ffd784b8d202fc62eac8035a770b"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "derive_more"
+version = "0.99.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4fb810d30a7c1953f91334de7244731fc3f3c10d7fe163338a35b9f640960321"
+dependencies = [
+ "convert_case",
+ "proc-macro2",
+ "quote",
+ "rustc_version",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "digest"
+version = "0.10.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9ed9a281f7bc9b7576e61468ba615a66a5c8cfdff42420a70aa82701a3b1e292"
+dependencies = [
+ "block-buffer",
+ "crypto-common",
+]
+
+[[package]]
+name = "dirs"
+version = "4.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ca3aa72a6f96ea37bbc5aa912f6788242832f75369bdfdadcb0e38423f100059"
+dependencies = [
+ "dirs-sys",
+]
+
+[[package]]
+name = "dirs-next"
+version = "2.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b98cf8ebf19c3d1b223e151f99a4f9f0690dca41414773390fc824184ac833e1"
+dependencies = [
+ "cfg-if",
+ "dirs-sys-next",
+]
+
+[[package]]
+name = "dirs-sys"
+version = "0.3.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1b1d1d91c932ef41c0f2663aa8b0ca0342d444d842c06914aa0a7e352d0bada6"
+dependencies = [
+ "libc",
+ "redox_users",
+ "winapi",
+]
+
+[[package]]
+name = "dirs-sys-next"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4ebda144c4fe02d1f7ea1a7d9641b6fc6b580adcfa024ae48797ecdeb6825b4d"
+dependencies = [
+ "libc",
+ "redox_users",
+ "winapi",
+]
+
+[[package]]
+name = "dispatch"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bd0c93bb4b0c6d9b77f4435b0ae98c24d17f1c45b2ff844c6151a07256ca923b"
+
+[[package]]
+name = "dtoa"
+version = "1.0.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dcbb2bf8e87535c23f7a8a321e364ce21462d0ff10cb6407820e8e96dfff6653"
+
+[[package]]
+name = "dtoa-short"
+version = "0.3.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dbaceec3c6e4211c79e7b1800fb9680527106beb2f9c51904a3210c03a448c74"
+dependencies = [
+ "dtoa",
+]
+
+[[package]]
+name = "dunce"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "56ce8c6da7551ec6c462cbaf3bfbc75131ebbfa1c944aeaa9dab51ca1c5f0c3b"
+
+[[package]]
+name = "embed-resource"
+version = "2.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3bde55e389bea6a966bd467ad1ad7da0ae14546a5bc794d16d1e55e7fca44881"
+dependencies = [
+ "cc",
+ "memchr",
+ "rustc_version",
+ "toml 0.8.8",
+ "vswhom",
+ "winreg 0.51.0",
+]
+
+[[package]]
+name = "embed_plist"
+version = "1.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4ef6b89e5b37196644d8796de5268852ff179b44e96276cf4290264843743bb7"
+
+[[package]]
+name = "encoding_rs"
+version = "0.8.33"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7268b386296a025e474d5140678f75d6de9493ae55a5d709eeb9dd08149945e1"
+dependencies = [
+ "cfg-if",
+]
+
+[[package]]
+name = "enumflags2"
+version = "0.7.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5998b4f30320c9d93aed72f63af821bfdac50465b75428fce77b48ec482c3939"
+dependencies = [
+ "enumflags2_derive",
+ "serde",
+]
+
+[[package]]
+name = "enumflags2_derive"
+version = "0.7.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f95e2801cd355d4a1a3e3953ce6ee5ae9603a5c833455343a8bfe3f44d418246"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "equivalent"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5443807d6dff69373d433ab9ef5378ad8df50ca6298caf15de6e52e24aaf54d5"
+
+[[package]]
+name = "errno"
+version = "0.3.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a258e46cdc063eb8519c00b9fc845fc47bcfca4130e2f08e88665ceda8474245"
+dependencies = [
+ "libc",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "error-code"
+version = "2.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "64f18991e7bf11e7ffee451b5318b5c1a73c52d0d0ada6e5a3017c8c1ced6a21"
+dependencies = [
+ "libc",
+ "str-buf",
+]
+
+[[package]]
+name = "event-listener"
+version = "2.5.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0206175f82b8d6bf6652ff7d71a1e27fd2e4efde587fd368662814d6ec1d9ce0"
+
+[[package]]
+name = "event-listener"
+version = "3.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d93877bcde0eb80ca09131a08d23f0a5c18a620b01db137dba666d18cd9b30c2"
+dependencies = [
+ "concurrent-queue",
+ "parking",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "event-listener"
+version = "4.0.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "67b215c49b2b248c855fb73579eb1f4f26c38ffdc12973e20e07b91d78d5646e"
+dependencies = [
+ "concurrent-queue",
+ "parking",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "event-listener-strategy"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "958e4d70b6d5e81971bebec42271ec641e7ff4e170a6fa605f2b8a8b65cb97d3"
+dependencies = [
+ "event-listener 4.0.3",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "fastrand"
+version = "1.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e51093e27b0797c359783294ca4f0a911c270184cb10f85783b118614a1501be"
+dependencies = [
+ "instant",
+]
+
+[[package]]
+name = "fastrand"
+version = "2.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "25cbce373ec4653f1a01a31e8a5e5ec0c622dc27ff9c4e6606eefef5cbbed4a5"
+
+[[package]]
+name = "fdeflate"
+version = "0.3.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "209098dd6dfc4445aa6111f0e98653ac323eaa4dfd212c9ca3931bf9955c31bd"
+dependencies = [
+ "simd-adler32",
+]
+
+[[package]]
+name = "field-offset"
+version = "0.3.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "38e2275cc4e4fc009b0669731a1e5ab7ebf11f469eaede2bab9309a5b4d6057f"
+dependencies = [
+ "memoffset 0.9.0",
+ "rustc_version",
+]
+
+[[package]]
+name = "filetime"
+version = "0.2.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1ee447700ac8aa0b2f2bd7bc4462ad686ba06baa6727ac149a2d6277f0d240fd"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "redox_syscall",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "flate2"
+version = "1.0.28"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "46303f565772937ffe1d394a4fac6f411c6013172fadde9dcdb1e147a086940e"
+dependencies = [
+ "crc32fast",
+ "miniz_oxide",
+]
+
+[[package]]
+name = "fnv"
+version = "1.0.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3f9eec918d3f24069decb9af1554cad7c880e2da24a9afd88aca000531ab82c1"
+
+[[package]]
+name = "foreign-types"
+version = "0.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f6f339eb8adc052cd2ca78910fda869aefa38d22d5cb648e6485e4d3fc06f3b1"
+dependencies = [
+ "foreign-types-shared",
+]
+
+[[package]]
+name = "foreign-types-shared"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "00b0228411908ca8685dba7fc2cdd70ec9990a6e753e89b6ac91a84c40fbaf4b"
+
+[[package]]
+name = "form_urlencoded"
+version = "1.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e13624c2627564efccf4934284bdd98cbaa14e79b0b5a141218e507b3a823456"
+dependencies = [
+ "percent-encoding",
+]
+
+[[package]]
+name = "futf"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "df420e2e84819663797d1ec6544b13c5be84629e7bb00dc960d6917db2987843"
+dependencies = [
+ "mac",
+ "new_debug_unreachable",
+]
+
+[[package]]
+name = "futures-channel"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eac8f7d7865dcb88bd4373ab671c8cf4508703796caa2b1985a9ca867b3fcb78"
+dependencies = [
+ "futures-core",
+]
+
+[[package]]
+name = "futures-core"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dfc6580bb841c5a68e9ef15c77ccc837b40a7504914d52e47b8b0e9bbda25a1d"
+
+[[package]]
+name = "futures-executor"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a576fc72ae164fca6b9db127eaa9a9dda0d61316034f33a0a0d4eda41f02b01d"
+dependencies = [
+ "futures-core",
+ "futures-task",
+ "futures-util",
+]
+
+[[package]]
+name = "futures-io"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a44623e20b9681a318efdd71c299b6b222ed6f231972bfe2f224ebad6311f0c1"
+
+[[package]]
+name = "futures-lite"
+version = "1.13.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "49a9d51ce47660b1e808d3c990b4709f2f415d928835a17dfd16991515c46bce"
+dependencies = [
+ "fastrand 1.9.0",
+ "futures-core",
+ "futures-io",
+ "memchr",
+ "parking",
+ "pin-project-lite",
+ "waker-fn",
+]
+
+[[package]]
+name = "futures-lite"
+version = "2.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "445ba825b27408685aaecefd65178908c36c6e96aaf6d8599419d46e624192ba"
+dependencies = [
+ "fastrand 2.0.1",
+ "futures-core",
+ "futures-io",
+ "parking",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "futures-macro"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "87750cf4b7a4c0625b1529e4c543c2182106e4dedc60a2a6455e00d212c489ac"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "futures-sink"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9fb8e00e87438d937621c1c6269e53f536c14d3fbd6a042bb24879e57d474fb5"
+
+[[package]]
+name = "futures-task"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "38d84fa142264698cdce1a9f9172cf383a0c82de1bddcf3092901442c4097004"
+
+[[package]]
+name = "futures-util"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3d6401deb83407ab3da39eba7e33987a73c3df0c82b4bb5813ee871c19c41d48"
+dependencies = [
+ "futures-core",
+ "futures-io",
+ "futures-macro",
+ "futures-sink",
+ "futures-task",
+ "memchr",
+ "pin-project-lite",
+ "pin-utils",
+ "slab",
+]
+
+[[package]]
+name = "fxhash"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c31b6d751ae2c7f11320402d34e41349dd1016f8d5d45e48c4312bc8625af50c"
+dependencies = [
+ "byteorder",
+]
+
+[[package]]
+name = "gdk"
+version = "0.15.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a6e05c1f572ab0e1f15be94217f0dc29088c248b14f792a5ff0af0d84bcda9e8"
+dependencies = [
+ "bitflags 1.3.2",
+ "cairo-rs",
+ "gdk-pixbuf",
+ "gdk-sys",
+ "gio",
+ "glib",
+ "libc",
+ "pango",
+]
+
+[[package]]
+name = "gdk-pixbuf"
+version = "0.15.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ad38dd9cc8b099cceecdf41375bb6d481b1b5a7cd5cd603e10a69a9383f8619a"
+dependencies = [
+ "bitflags 1.3.2",
+ "gdk-pixbuf-sys",
+ "gio",
+ "glib",
+ "libc",
+]
+
+[[package]]
+name = "gdk-pixbuf-sys"
+version = "0.15.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "140b2f5378256527150350a8346dbdb08fadc13453a7a2d73aecd5fab3c402a7"
+dependencies = [
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps 6.2.0",
+]
+
+[[package]]
+name = "gdk-sys"
+version = "0.15.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "32e7a08c1e8f06f4177fb7e51a777b8c1689f743a7bc11ea91d44d2226073a88"
+dependencies = [
+ "cairo-sys-rs",
+ "gdk-pixbuf-sys",
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "pango-sys",
+ "pkg-config",
+ "system-deps 6.2.0",
+]
+
+[[package]]
+name = "gdkwayland-sys"
+version = "0.15.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cca49a59ad8cfdf36ef7330fe7bdfbe1d34323220cc16a0de2679ee773aee2c2"
+dependencies = [
+ "gdk-sys",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "pkg-config",
+ "system-deps 6.2.0",
+]
+
+[[package]]
+name = "gdkx11-sys"
+version = "0.15.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b4b7f8c7a84b407aa9b143877e267e848ff34106578b64d1e0a24bf550716178"
+dependencies = [
+ "gdk-sys",
+ "glib-sys",
+ "libc",
+ "system-deps 6.2.0",
+ "x11",
+]
+
+[[package]]
+name = "generator"
+version = "0.7.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5cc16584ff22b460a382b7feec54b23d2908d858152e5739a120b949293bd74e"
+dependencies = [
+ "cc",
+ "libc",
+ "log",
+ "rustversion",
+ "windows 0.48.0",
+]
+
+[[package]]
+name = "generic-array"
+version = "0.14.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "85649ca51fd72272d7821adaf274ad91c288277713d9c18820d8499a7ff69e9a"
+dependencies = [
+ "typenum",
+ "version_check",
+]
+
+[[package]]
+name = "gethostname"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bb65d4ba3173c56a500b555b532f72c42e8d1fe64962b518897f8959fae2c177"
+dependencies = [
+ "libc",
+ "winapi",
+]
+
+[[package]]
+name = "getrandom"
+version = "0.1.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8fc3cb4d91f53b50155bdcfd23f6a4c39ae1969c2ae85982b135750cccaf5fce"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "wasi 0.9.0+wasi-snapshot-preview1",
+]
+
+[[package]]
+name = "getrandom"
+version = "0.2.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "190092ea657667030ac6a35e305e62fc4dd69fd98ac98631e5d3a2b1575a12b5"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "wasi 0.11.0+wasi-snapshot-preview1",
+]
+
+[[package]]
+name = "gimli"
+version = "0.28.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4271d37baee1b8c7e4b708028c57d816cf9d2434acb33a549475f78c181f6253"
+
+[[package]]
+name = "gio"
+version = "0.15.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "68fdbc90312d462781a395f7a16d96a2b379bb6ef8cd6310a2df272771c4283b"
+dependencies = [
+ "bitflags 1.3.2",
+ "futures-channel",
+ "futures-core",
+ "futures-io",
+ "gio-sys",
+ "glib",
+ "libc",
+ "once_cell",
+ "thiserror",
+]
+
+[[package]]
+name = "gio-sys"
+version = "0.15.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "32157a475271e2c4a023382e9cab31c4584ee30a97da41d3c4e9fdd605abcf8d"
+dependencies = [
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps 6.2.0",
+ "winapi",
+]
+
+[[package]]
+name = "glib"
+version = "0.15.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "edb0306fbad0ab5428b0ca674a23893db909a98582969c9b537be4ced78c505d"
+dependencies = [
+ "bitflags 1.3.2",
+ "futures-channel",
+ "futures-core",
+ "futures-executor",
+ "futures-task",
+ "glib-macros",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "once_cell",
+ "smallvec",
+ "thiserror",
+]
+
+[[package]]
+name = "glib-macros"
+version = "0.15.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "10c6ae9f6fa26f4fb2ac16b528d138d971ead56141de489f8111e259b9df3c4a"
+dependencies = [
+ "anyhow",
+ "heck 0.4.1",
+ "proc-macro-crate",
+ "proc-macro-error",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "glib-sys"
+version = "0.15.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ef4b192f8e65e9cf76cbf4ea71fa8e3be4a0e18ffe3d68b8da6836974cc5bad4"
+dependencies = [
+ "libc",
+ "system-deps 6.2.0",
+]
+
+[[package]]
+name = "glob"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d2fabcfbdc87f4758337ca535fb41a6d701b65693ce38287d856d1674551ec9b"
+
+[[package]]
+name = "globset"
+version = "0.4.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "57da3b9b5b85bd66f31093f8c408b90a74431672542466497dcbdfdc02034be1"
+dependencies = [
+ "aho-corasick",
+ "bstr",
+ "log",
+ "regex-automata 0.4.3",
+ "regex-syntax 0.8.2",
+]
+
+[[package]]
+name = "gobject-sys"
+version = "0.15.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0d57ce44246becd17153bd035ab4d32cfee096a657fc01f2231c9278378d1e0a"
+dependencies = [
+ "glib-sys",
+ "libc",
+ "system-deps 6.2.0",
+]
+
+[[package]]
+name = "gtk"
+version = "0.15.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "92e3004a2d5d6d8b5057d2b57b3712c9529b62e82c77f25c1fecde1fd5c23bd0"
+dependencies = [
+ "atk",
+ "bitflags 1.3.2",
+ "cairo-rs",
+ "field-offset",
+ "futures-channel",
+ "gdk",
+ "gdk-pixbuf",
+ "gio",
+ "glib",
+ "gtk-sys",
+ "gtk3-macros",
+ "libc",
+ "once_cell",
+ "pango",
+ "pkg-config",
+]
+
+[[package]]
+name = "gtk-sys"
+version = "0.15.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d5bc2f0587cba247f60246a0ca11fe25fb733eabc3de12d1965fc07efab87c84"
+dependencies = [
+ "atk-sys",
+ "cairo-sys-rs",
+ "gdk-pixbuf-sys",
+ "gdk-sys",
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "pango-sys",
+ "system-deps 6.2.0",
+]
+
+[[package]]
+name = "gtk3-macros"
+version = "0.15.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "684c0456c086e8e7e9af73ec5b84e35938df394712054550e81558d21c44ab0d"
+dependencies = [
+ "anyhow",
+ "proc-macro-crate",
+ "proc-macro-error",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "hashbrown"
+version = "0.12.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8a9ee70c43aaf417c914396645a0fa852624801b24ebb7ae78fe8272889ac888"
+
+[[package]]
+name = "hashbrown"
+version = "0.14.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "290f1a1d9242c78d09ce40a5e87e7554ee637af1351968159f4952f028f75604"
+
+[[package]]
+name = "heck"
+version = "0.3.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6d621efb26863f0e9924c6ac577e8275e5e6b77455db64ffa6c65c904e9e132c"
+dependencies = [
+ "unicode-segmentation",
+]
+
+[[package]]
+name = "heck"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "95505c38b4572b2d910cecb0281560f54b440a19336cbbcb27bf6ce6adc6f5a8"
+
+[[package]]
+name = "hermit-abi"
+version = "0.3.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d77f7ec81a6d05a3abb01ab6eb7590f6083d08449fe5a1c8b1e620283546ccb7"
+
+[[package]]
+name = "hex"
+version = "0.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f24254aa9a54b5c858eaee2f5bccdb46aaf0e486a595ed5fd8f86ba55232a70"
+
+[[package]]
+name = "html5ever"
+version = "0.26.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bea68cab48b8459f17cf1c944c67ddc572d272d9f2b274140f223ecb1da4a3b7"
+dependencies = [
+ "log",
+ "mac",
+ "markup5ever",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "http"
+version = "0.2.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8947b1a6fad4393052c7ba1f4cd97bed3e953a95c79c92ad9b051a04611d9fbb"
+dependencies = [
+ "bytes",
+ "fnv",
+ "itoa 1.0.10",
+]
+
+[[package]]
+name = "http"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b32afd38673a8016f7c9ae69e5af41a58f81b1d31689040f2f1959594ce194ea"
+dependencies = [
+ "bytes",
+ "fnv",
+ "itoa 1.0.10",
+]
+
+[[package]]
+name = "http-range"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "21dec9db110f5f872ed9699c3ecf50cf16f423502706ba5c72462e28d3157573"
+
+[[package]]
+name = "httpdate"
+version = "1.0.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "df3b46402a9d5adb4c86a0cf463f42e19994e3ee891101b1841f30a545cb49a9"
+
+[[package]]
+name = "iana-time-zone"
+version = "0.1.59"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b6a67363e2aa4443928ce15e57ebae94fd8949958fd1223c4cfc0cd473ad7539"
+dependencies = [
+ "android_system_properties",
+ "core-foundation-sys",
+ "iana-time-zone-haiku",
+ "js-sys",
+ "wasm-bindgen",
+ "windows-core",
+]
+
+[[package]]
+name = "iana-time-zone-haiku"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f31827a206f56af32e590ba56d5d2d085f558508192593743f16b2306495269f"
+dependencies = [
+ "cc",
+]
+
+[[package]]
+name = "ico"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e3804960be0bb5e4edb1e1ad67afd321a9ecfd875c3e65c099468fd2717d7cae"
+dependencies = [
+ "byteorder",
+ "png",
+]
+
+[[package]]
+name = "ident_case"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b9e0384b61958566e926dc50660321d12159025e767c18e043daf26b70104c39"
+
+[[package]]
+name = "idna"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "634d9b1461af396cad843f47fdba5597a4f9e6ddd4bfb6ff5d85028c25cb12f6"
+dependencies = [
+ "unicode-bidi",
+ "unicode-normalization",
+]
+
+[[package]]
+name = "ignore"
+version = "0.4.22"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b46810df39e66e925525d6e38ce1e7f6e1d208f72dc39757880fcb66e2c58af1"
+dependencies = [
+ "crossbeam-deque",
+ "globset",
+ "log",
+ "memchr",
+ "regex-automata 0.4.3",
+ "same-file",
+ "walkdir",
+ "winapi-util",
+]
+
+[[package]]
+name = "image"
+version = "0.24.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "034bbe799d1909622a74d1193aa50147769440040ff36cb2baa947609b0a4e23"
+dependencies = [
+ "bytemuck",
+ "byteorder",
+ "color_quant",
+ "num-traits",
+ "png",
+ "tiff",
+]
+
+[[package]]
+name = "indexmap"
+version = "1.9.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bd070e393353796e801d209ad339e89596eb4c8d430d18ede6a1cced8fafbd99"
+dependencies = [
+ "autocfg",
+ "hashbrown 0.12.3",
+ "serde",
+]
+
+[[package]]
+name = "indexmap"
+version = "2.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d530e1a18b1cb4c484e6e34556a0d948706958449fca0cab753d649f2bce3d1f"
+dependencies = [
+ "equivalent",
+ "hashbrown 0.14.3",
+ "serde",
+]
+
+[[package]]
+name = "infer"
+version = "0.13.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f551f8c3a39f68f986517db0d1759de85881894fdc7db798bd2a9df9cb04b7fc"
+dependencies = [
+ "cfb",
+]
+
+[[package]]
+name = "instant"
+version = "0.1.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7a5bbe824c507c5da5956355e86a746d82e0e1464f65d862cc5e71da70e94b2c"
+dependencies = [
+ "cfg-if",
+]
+
+[[package]]
+name = "io-lifetimes"
+version = "1.0.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eae7b9aee968036d54dce06cebaefd919e4472e753296daccd6d344e3e2df0c2"
+dependencies = [
+ "hermit-abi",
+ "libc",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "itoa"
+version = "0.4.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b71991ff56294aa922b450139ee08b3bfc70982c6b2c7562771375cf73542dd4"
+
+[[package]]
+name = "itoa"
+version = "1.0.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b1a46d1a171d865aa5f83f92695765caa047a9b4cbae2cbf37dbd613a793fd4c"
+
+[[package]]
+name = "javascriptcore-rs"
+version = "0.16.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bf053e7843f2812ff03ef5afe34bb9c06ffee120385caad4f6b9967fcd37d41c"
+dependencies = [
+ "bitflags 1.3.2",
+ "glib",
+ "javascriptcore-rs-sys",
+]
+
+[[package]]
+name = "javascriptcore-rs-sys"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "905fbb87419c5cde6e3269537e4ea7d46431f3008c5d057e915ef3f115e7793c"
+dependencies = [
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps 5.0.0",
+]
+
+[[package]]
+name = "jni"
+version = "0.20.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "039022cdf4d7b1cf548d31f60ae783138e5fd42013f6271049d7df7afadef96c"
+dependencies = [
+ "cesu8",
+ "combine",
+ "jni-sys",
+ "log",
+ "thiserror",
+ "walkdir",
+]
+
+[[package]]
+name = "jni-sys"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8eaf4bc02d17cbdd7ff4c7438cafcdf7fb9a4613313ad11b4f8fefe7d3fa0130"
+
+[[package]]
+name = "jpeg-decoder"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f5d4a7da358eff58addd2877a45865158f0d78c911d43a5784ceb7bbf52833b0"
+
+[[package]]
+name = "js-sys"
+version = "0.3.67"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9a1d36f1235bc969acba30b7f5990b864423a6068a10f7c90ae8f0112e3a59d1"
+dependencies = [
+ "wasm-bindgen",
+]
+
+[[package]]
+name = "json-patch"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "55ff1e1486799e3f64129f8ccad108b38290df9cd7015cd31bed17239f0789d6"
+dependencies = [
+ "serde",
+ "serde_json",
+ "thiserror",
+ "treediff",
+]
+
+[[package]]
+name = "kuchikiki"
+version = "0.8.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f29e4755b7b995046f510a7520c42b2fed58b77bd94d5a87a8eb43d2fd126da8"
+dependencies = [
+ "cssparser",
+ "html5ever",
+ "indexmap 1.9.3",
+ "matches",
+ "selectors",
+]
+
+[[package]]
+name = "lazy_static"
+version = "1.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e2abad23fbc42b3700f2f279844dc832adb2b2eb069b2df918f455c4e18cc646"
+
+[[package]]
+name = "libappindicator"
+version = "0.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "db2d3cb96d092b4824cb306c9e544c856a4cb6210c1081945187f7f1924b47e8"
+dependencies = [
+ "glib",
+ "gtk",
+ "gtk-sys",
+ "libappindicator-sys",
+ "log",
+]
+
+[[package]]
+name = "libappindicator-sys"
+version = "0.7.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f1b3b6681973cea8cc3bce7391e6d7d5502720b80a581c9a95c9cbaf592826aa"
+dependencies = [
+ "gtk-sys",
+ "libloading",
+ "once_cell",
+]
+
+[[package]]
+name = "libc"
+version = "0.2.152"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "13e3bf6590cbc649f4d1a3eefc9d5d6eb746f5200ffb04e5e142700b8faa56e7"
+
+[[package]]
+name = "libloading"
+version = "0.7.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b67380fd3b2fbe7527a606e18729d21c6f3951633d0500574c4dc22d2d638b9f"
+dependencies = [
+ "cfg-if",
+ "winapi",
+]
+
+[[package]]
+name = "libredox"
+version = "0.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "85c833ca1e66078851dba29046874e38f08b2c883700aa29a03ddd3b23814ee8"
+dependencies = [
+ "bitflags 2.4.1",
+ "libc",
+ "redox_syscall",
+]
+
+[[package]]
+name = "line-wrap"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f30344350a2a51da54c1d53be93fade8a237e545dbcc4bdbe635413f2117cab9"
+dependencies = [
+ "safemem",
+]
+
+[[package]]
+name = "linux-raw-sys"
+version = "0.3.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ef53942eb7bf7ff43a617b3e2c1c4a5ecf5944a7c1bc12d7ee39bbb15e5c1519"
+
+[[package]]
+name = "linux-raw-sys"
+version = "0.4.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c4cd1a83af159aa67994778be9070f0ae1bd732942279cabb14f86f986a21456"
+
+[[package]]
+name = "lock_api"
+version = "0.4.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3c168f8615b12bc01f9c17e2eb0cc07dcae1940121185446edc3744920e8ef45"
+dependencies = [
+ "autocfg",
+ "scopeguard",
+]
+
+[[package]]
+name = "log"
+version = "0.4.20"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b5e6163cb8c49088c2c36f57875e58ccd8c87c7427f7fbd50ea6710b2f3f2e8f"
+
+[[package]]
+name = "loom"
+version = "0.5.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ff50ecb28bb86013e935fb6683ab1f6d3a20016f123c76fd4c27470076ac30f5"
+dependencies = [
+ "cfg-if",
+ "generator",
+ "scoped-tls",
+ "serde",
+ "serde_json",
+ "tracing",
+ "tracing-subscriber",
+]
+
+[[package]]
+name = "mac"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c41e0c4fef86961ac6d6f8a82609f55f31b05e4fce149ac5710e439df7619ba4"
+
+[[package]]
+name = "malloc_buf"
+version = "0.0.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "62bb907fe88d54d8d9ce32a3cceab4218ed2f6b7d35617cafe9adf84e43919cb"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "markup5ever"
+version = "0.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7a2629bb1404f3d34c2e921f21fd34ba00b206124c81f65c50b43b6aaefeb016"
+dependencies = [
+ "log",
+ "phf 0.10.1",
+ "phf_codegen 0.10.0",
+ "string_cache",
+ "string_cache_codegen",
+ "tendril",
+]
+
+[[package]]
+name = "matchers"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8263075bb86c5a1b1427b5ae862e8889656f126e9f77c484496e8b47cf5c5558"
+dependencies = [
+ "regex-automata 0.1.10",
+]
+
+[[package]]
+name = "matches"
+version = "0.1.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2532096657941c2fea9c289d370a250971c689d4f143798ff67113ec042024a5"
+
+[[package]]
+name = "memchr"
+version = "2.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "523dc4f511e55ab87b694dc30d0f820d60906ef06413f93d4d7a1385599cc149"
+
+[[package]]
+name = "memoffset"
+version = "0.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5de893c32cde5f383baa4c04c5d6dbdd735cfd4a794b0debdb2bb1b421da5ff4"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "memoffset"
+version = "0.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5a634b1c61a95585bd15607c6ab0c4e5b226e695ff2800ba0cdccddf208c406c"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "miniz_oxide"
+version = "0.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e7810e0be55b428ada41041c41f32c9f1a42817901b4ccf45fa3d4b6561e74c7"
+dependencies = [
+ "adler",
+ "simd-adler32",
+]
+
+[[package]]
+name = "ndk"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2032c77e030ddee34a6787a64166008da93f6a352b629261d0fee232b8742dd4"
+dependencies = [
+ "bitflags 1.3.2",
+ "jni-sys",
+ "ndk-sys",
+ "num_enum",
+ "thiserror",
+]
+
+[[package]]
+name = "ndk-context"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "27b02d87554356db9e9a873add8782d4ea6e3e58ea071a9adb9a2e8ddb884a8b"
+
+[[package]]
+name = "ndk-sys"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6e5a6ae77c8ee183dcbbba6150e2e6b9f3f4196a7666c02a715a95692ec1fa97"
+dependencies = [
+ "jni-sys",
+]
+
+[[package]]
+name = "new_debug_unreachable"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e4a24736216ec316047a1fc4252e27dabb04218aa4a3f37c6e7ddbf1f9782b54"
+
+[[package]]
+name = "nix"
+version = "0.26.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "598beaf3cc6fdd9a5dfb1630c2800c7acd31df7aaf0f565796fba2b53ca1af1b"
+dependencies = [
+ "bitflags 1.3.2",
+ "cfg-if",
+ "libc",
+ "memoffset 0.7.1",
+]
+
+[[package]]
+name = "nodrop"
+version = "0.1.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "72ef4a56884ca558e5ddb05a1d1e7e1bfd9a68d9ed024c21704cc98872dae1bb"
+
+[[package]]
+name = "nu-ansi-term"
+version = "0.46.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "77a8165726e8236064dbb45459242600304b42a5ea24ee2948e18e023bf7ba84"
+dependencies = [
+ "overload",
+ "winapi",
+]
+
+[[package]]
+name = "num-traits"
+version = "0.2.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "39e3200413f237f41ab11ad6d161bc7239c84dcb631773ccd7de3dfe4b5c267c"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "num_cpus"
+version = "1.16.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4161fcb6d602d4d2081af7c3a45852d875a03dd337a6bfdd6e06407b61342a43"
+dependencies = [
+ "hermit-abi",
+ "libc",
+]
+
+[[package]]
+name = "num_enum"
+version = "0.5.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1f646caf906c20226733ed5b1374287eb97e3c2a5c227ce668c1f2ce20ae57c9"
+dependencies = [
+ "num_enum_derive",
+]
+
+[[package]]
+name = "num_enum_derive"
+version = "0.5.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dcbff9bc912032c62bf65ef1d5aea88983b420f4f839db1e9b0c281a25c9c799"
+dependencies = [
+ "proc-macro-crate",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "objc"
+version = "0.2.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "915b1b472bc21c53464d6c8461c9d3af805ba1ef837e1cac254428f4a77177b1"
+dependencies = [
+ "malloc_buf",
+ "objc_exception",
+]
+
+[[package]]
+name = "objc-foundation"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1add1b659e36c9607c7aab864a76c7a4c2760cd0cd2e120f3fb8b952c7e22bf9"
+dependencies = [
+ "block",
+ "objc",
+ "objc_id",
+]
+
+[[package]]
+name = "objc_exception"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ad970fb455818ad6cba4c122ad012fae53ae8b4795f86378bce65e4f6bab2ca4"
+dependencies = [
+ "cc",
+]
+
+[[package]]
+name = "objc_id"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c92d4ddb4bd7b50d730c215ff871754d0da6b2178849f8a2a2ab69712d0c073b"
+dependencies = [
+ "objc",
+]
+
+[[package]]
+name = "object"
+version = "0.32.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a6a622008b6e321afc04970976f62ee297fdbaa6f95318ca343e3eebb9648441"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "once_cell"
+version = "1.19.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3fdb12b2476b595f9358c5161aa467c2438859caa136dec86c26fdd2efe17b92"
+
+[[package]]
+name = "open"
+version = "3.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2078c0039e6a54a0c42c28faa984e115fb4c2d5bf2208f77d1961002df8576f8"
+dependencies = [
+ "pathdiff",
+ "windows-sys 0.42.0",
+]
+
+[[package]]
+name = "ordered-stream"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9aa2b01e1d916879f73a53d01d1d6cee68adbb31d6d9177a8cfce093cced1d50"
+dependencies = [
+ "futures-core",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "overload"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b15813163c1d831bf4a13c3610c05c0d03b39feb07f7e09fa234dac9b15aaf39"
+
+[[package]]
+name = "pango"
+version = "0.15.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "22e4045548659aee5313bde6c582b0d83a627b7904dd20dc2d9ef0895d414e4f"
+dependencies = [
+ "bitflags 1.3.2",
+ "glib",
+ "libc",
+ "once_cell",
+ "pango-sys",
+]
+
+[[package]]
+name = "pango-sys"
+version = "0.15.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d2a00081cde4661982ed91d80ef437c20eacaf6aa1a5962c0279ae194662c3aa"
+dependencies = [
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps 6.2.0",
+]
+
+[[package]]
+name = "parking"
+version = "2.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bb813b8af86854136c6922af0598d719255ecb2179515e6e7730d468f05c9cae"
+
+[[package]]
+name = "parking_lot"
+version = "0.12.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3742b2c103b9f06bc9fff0a37ff4912935851bee6d36f3c02bcc755bcfec228f"
+dependencies = [
+ "lock_api",
+ "parking_lot_core",
+]
+
+[[package]]
+name = "parking_lot_core"
+version = "0.9.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4c42a9226546d68acdd9c0a280d17ce19bfe27a46bf68784e4066115788d008e"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "redox_syscall",
+ "smallvec",
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "pathdiff"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8835116a5c179084a830efb3adc117ab007512b535bc1a21c991d3b32a6b44dd"
+
+[[package]]
+name = "percent-encoding"
+version = "2.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e3148f5046208a5d56bcfc03053e3ca6334e51da8dfb19b6cdc8b306fae3283e"
+
+[[package]]
+name = "phf"
+version = "0.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3dfb61232e34fcb633f43d12c58f83c1df82962dcdfa565a4e866ffc17dafe12"
+dependencies = [
+ "phf_macros 0.8.0",
+ "phf_shared 0.8.0",
+ "proc-macro-hack",
+]
+
+[[package]]
+name = "phf"
+version = "0.10.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fabbf1ead8a5bcbc20f5f8b939ee3f5b0f6f281b6ad3468b84656b658b455259"
+dependencies = [
+ "phf_shared 0.10.0",
+]
+
+[[package]]
+name = "phf"
+version = "0.11.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ade2d8b8f33c7333b51bcf0428d37e217e9f32192ae4772156f65063b8ce03dc"
+dependencies = [
+ "phf_macros 0.11.2",
+ "phf_shared 0.11.2",
+]
+
+[[package]]
+name = "phf_codegen"
+version = "0.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cbffee61585b0411840d3ece935cce9cb6321f01c45477d30066498cd5e1a815"
+dependencies = [
+ "phf_generator 0.8.0",
+ "phf_shared 0.8.0",
+]
+
+[[package]]
+name = "phf_codegen"
+version = "0.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4fb1c3a8bc4dd4e5cfce29b44ffc14bedd2ee294559a294e2a4d4c9e9a6a13cd"
+dependencies = [
+ "phf_generator 0.10.0",
+ "phf_shared 0.10.0",
+]
+
+[[package]]
+name = "phf_generator"
+version = "0.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "17367f0cc86f2d25802b2c26ee58a7b23faeccf78a396094c13dced0d0182526"
+dependencies = [
+ "phf_shared 0.8.0",
+ "rand 0.7.3",
+]
+
+[[package]]
+name = "phf_generator"
+version = "0.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5d5285893bb5eb82e6aaf5d59ee909a06a16737a8970984dd7746ba9283498d6"
+dependencies = [
+ "phf_shared 0.10.0",
+ "rand 0.8.5",
+]
+
+[[package]]
+name = "phf_generator"
+version = "0.11.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "48e4cc64c2ad9ebe670cb8fd69dd50ae301650392e81c05f9bfcb2d5bdbc24b0"
+dependencies = [
+ "phf_shared 0.11.2",
+ "rand 0.8.5",
+]
+
+[[package]]
+name = "phf_macros"
+version = "0.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f6fde18ff429ffc8fe78e2bf7f8b7a5a5a6e2a8b58bc5a9ac69198bbda9189c"
+dependencies = [
+ "phf_generator 0.8.0",
+ "phf_shared 0.8.0",
+ "proc-macro-hack",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "phf_macros"
+version = "0.11.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3444646e286606587e49f3bcf1679b8cef1dc2c5ecc29ddacaffc305180d464b"
+dependencies = [
+ "phf_generator 0.11.2",
+ "phf_shared 0.11.2",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "phf_shared"
+version = "0.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c00cf8b9eafe68dde5e9eaa2cef8ee84a9336a47d566ec55ca16589633b65af7"
+dependencies = [
+ "siphasher",
+]
+
+[[package]]
+name = "phf_shared"
+version = "0.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b6796ad771acdc0123d2a88dc428b5e38ef24456743ddb1744ed628f9815c096"
+dependencies = [
+ "siphasher",
+]
+
+[[package]]
+name = "phf_shared"
+version = "0.11.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "90fcb95eef784c2ac79119d1dd819e162b5da872ce6f3c3abe1e8ca1c082f72b"
+dependencies = [
+ "siphasher",
+]
+
+[[package]]
+name = "pin-project-lite"
+version = "0.2.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8afb450f006bf6385ca15ef45d71d2288452bc3683ce2e2cacc0d18e4be60b58"
+
+[[package]]
+name = "pin-utils"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8b870d8c151b6f2fb93e84a13146138f05d02ed11c7e7c54f8826aaaf7c9f184"
+
+[[package]]
+name = "piper"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "668d31b1c4eba19242f2088b2bf3316b82ca31082a8335764db4e083db7485d4"
+dependencies = [
+ "atomic-waker",
+ "fastrand 2.0.1",
+ "futures-io",
+]
+
+[[package]]
+name = "pkg-config"
+version = "0.3.28"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "69d3587f8a9e599cc7ec2c00e331f71c4e69a5f9a4b8a6efd5b07466b9736f9a"
+
+[[package]]
+name = "plist"
+version = "1.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e5699cc8a63d1aa2b1ee8e12b9ad70ac790d65788cd36101fa37f87ea46c4cef"
+dependencies = [
+ "base64 0.21.7",
+ "indexmap 2.1.0",
+ "line-wrap",
+ "quick-xml",
+ "serde",
+ "time",
+]
+
+[[package]]
+name = "png"
+version = "0.17.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1f6c3c3e617595665b8ea2ff95a86066be38fb121ff920a9c0eb282abcd1da5a"
+dependencies = [
+ "bitflags 1.3.2",
+ "crc32fast",
+ "fdeflate",
+ "flate2",
+ "miniz_oxide",
+]
+
+[[package]]
+name = "polling"
+version = "2.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4b2d323e8ca7996b3e23126511a523f7e62924d93ecd5ae73b333815b0eb3dce"
+dependencies = [
+ "autocfg",
+ "bitflags 1.3.2",
+ "cfg-if",
+ "concurrent-queue",
+ "libc",
+ "log",
+ "pin-project-lite",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "polling"
+version = "3.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "545c980a3880efd47b2e262f6a4bb6daad6555cf3367aa9c4e52895f69537a41"
+dependencies = [
+ "cfg-if",
+ "concurrent-queue",
+ "pin-project-lite",
+ "rustix 0.38.30",
+ "tracing",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "portpicker"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "be97d76faf1bfab666e1375477b23fde79eccf0276e9b63b92a39d676a889ba9"
+dependencies = [
+ "rand 0.8.5",
+]
+
+[[package]]
+name = "powerfmt"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "439ee305def115ba05938db6eb1644ff94165c5ab5e9420d1c1bcedbba909391"
+
+[[package]]
+name = "ppv-lite86"
+version = "0.2.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5b40af805b3121feab8a3c29f04d8ad262fa8e0561883e7653e024ae4479e6de"
+
+[[package]]
+name = "precomputed-hash"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "925383efa346730478fb4838dbe9137d2a47675ad789c546d150a6e1dd4ab31c"
+
+[[package]]
+name = "proc-macro-crate"
+version = "1.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f4c021e1093a56626774e81216a4ce732a735e5bad4868a03f3ed65ca0c3919"
+dependencies = [
+ "once_cell",
+ "toml_edit 0.19.15",
+]
+
+[[package]]
+name = "proc-macro-error"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "da25490ff9892aab3fcf7c36f08cfb902dd3e71ca0f9f9517bea02a73a5ce38c"
+dependencies = [
+ "proc-macro-error-attr",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+ "version_check",
+]
+
+[[package]]
+name = "proc-macro-error-attr"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a1be40180e52ecc98ad80b184934baf3d0d29f979574e439af5a55274b35f869"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "version_check",
+]
+
+[[package]]
+name = "proc-macro-hack"
+version = "0.5.20+deprecated"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dc375e1527247fe1a97d8b7156678dfe7c1af2fc075c9a4db3690ecd2a148068"
+
+[[package]]
+name = "proc-macro2"
+version = "1.0.76"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "95fc56cda0b5c3325f5fbbd7ff9fda9e02bb00bb3dac51252d2f1bfa1cb8cc8c"
+dependencies = [
+ "unicode-ident",
+]
+
+[[package]]
+name = "quick-xml"
+version = "0.31.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1004a344b30a54e2ee58d66a71b32d2db2feb0a31f9a2d302bf0536f15de2a33"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "quote"
+version = "1.0.35"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "291ec9ab5efd934aaf503a6466c5d5251535d108ee747472c3977cc5acc868ef"
+dependencies = [
+ "proc-macro2",
+]
+
+[[package]]
+name = "rand"
+version = "0.7.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6a6b1679d49b24bbfe0c803429aa1874472f50d9b363131f0e89fc356b544d03"
+dependencies = [
+ "getrandom 0.1.16",
+ "libc",
+ "rand_chacha 0.2.2",
+ "rand_core 0.5.1",
+ "rand_hc",
+ "rand_pcg",
+]
+
+[[package]]
+name = "rand"
+version = "0.8.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "34af8d1a0e25924bc5b7c43c079c942339d8f0a8b57c39049bef581b46327404"
+dependencies = [
+ "libc",
+ "rand_chacha 0.3.1",
+ "rand_core 0.6.4",
+]
+
+[[package]]
+name = "rand_chacha"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f4c8ed856279c9737206bf725bf36935d8666ead7aa69b52be55af369d193402"
+dependencies = [
+ "ppv-lite86",
+ "rand_core 0.5.1",
+]
+
+[[package]]
+name = "rand_chacha"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e6c10a63a0fa32252be49d21e7709d4d4baf8d231c2dbce1eaa8141b9b127d88"
+dependencies = [
+ "ppv-lite86",
+ "rand_core 0.6.4",
+]
+
+[[package]]
+name = "rand_core"
+version = "0.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "90bde5296fc891b0cef12a6d03ddccc162ce7b2aff54160af9338f8d40df6d19"
+dependencies = [
+ "getrandom 0.1.16",
+]
+
+[[package]]
+name = "rand_core"
+version = "0.6.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ec0be4795e2f6a28069bec0b5ff3e2ac9bafc99e6a9a7dc3547996c5c816922c"
+dependencies = [
+ "getrandom 0.2.12",
+]
+
+[[package]]
+name = "rand_hc"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ca3129af7b92a17112d59ad498c6f81eaf463253766b90396d39ea7a39d6613c"
+dependencies = [
+ "rand_core 0.5.1",
+]
+
+[[package]]
+name = "rand_pcg"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "16abd0c1b639e9eb4d7c50c0b8100b0d0f849be2349829c740fe8e6eb4816429"
+dependencies = [
+ "rand_core 0.5.1",
+]
+
+[[package]]
+name = "raw-window-handle"
+version = "0.5.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f2ff9a1f06a88b01621b7ae906ef0211290d1c8a168a15542486a8f61c0833b9"
+
+[[package]]
+name = "redox_syscall"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4722d768eff46b75989dd134e5c353f0d6296e5aaa3132e776cbdb56be7731aa"
+dependencies = [
+ "bitflags 1.3.2",
+]
+
+[[package]]
+name = "redox_users"
+version = "0.4.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a18479200779601e498ada4e8c1e1f50e3ee19deb0259c25825a98b5603b2cb4"
+dependencies = [
+ "getrandom 0.2.12",
+ "libredox",
+ "thiserror",
+]
+
+[[package]]
+name = "regex"
+version = "1.10.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "380b951a9c5e80ddfd6136919eef32310721aa4aacd4889a8d39124b026ab343"
+dependencies = [
+ "aho-corasick",
+ "memchr",
+ "regex-automata 0.4.3",
+ "regex-syntax 0.8.2",
+]
+
+[[package]]
+name = "regex-automata"
+version = "0.1.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6c230d73fb8d8c1b9c0b3135c5142a8acee3a0558fb8db5cf1cb65f8d7862132"
+dependencies = [
+ "regex-syntax 0.6.29",
+]
+
+[[package]]
+name = "regex-automata"
+version = "0.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5f804c7828047e88b2d32e2d7fe5a105da8ee3264f01902f796c8e067dc2483f"
+dependencies = [
+ "aho-corasick",
+ "memchr",
+ "regex-syntax 0.8.2",
+]
+
+[[package]]
+name = "regex-syntax"
+version = "0.6.29"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f162c6dd7b008981e4d40210aca20b4bd0f9b60ca9271061b07f78537722f2e1"
+
+[[package]]
+name = "regex-syntax"
+version = "0.8.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c08c74e62047bb2de4ff487b251e4a92e24f48745648451635cec7d591162d9f"
+
+[[package]]
+name = "rustc-demangle"
+version = "0.1.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d626bb9dae77e28219937af045c257c28bfd3f69333c512553507f5f9798cb76"
+
+[[package]]
+name = "rustc_version"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bfa0f585226d2e68097d4f95d113b15b83a82e819ab25717ec0590d9584ef366"
+dependencies = [
+ "semver",
+]
+
+[[package]]
+name = "rustix"
+version = "0.37.27"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fea8ca367a3a01fe35e6943c400addf443c0f57670e6ec51196f71a4b8762dd2"
+dependencies = [
+ "bitflags 1.3.2",
+ "errno",
+ "io-lifetimes",
+ "libc",
+ "linux-raw-sys 0.3.8",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "rustix"
+version = "0.38.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "322394588aaf33c24007e8bb3238ee3e4c5c09c084ab32bc73890b99ff326bca"
+dependencies = [
+ "bitflags 2.4.1",
+ "errno",
+ "libc",
+ "linux-raw-sys 0.4.12",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "rustversion"
+version = "1.0.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7ffc183a10b4478d04cbbbfc96d0873219d962dd5accaff2ffbd4ceb7df837f4"
+
+[[package]]
+name = "ryu"
+version = "1.0.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f98d2aa92eebf49b69786be48e4477826b256916e84a57ff2a4f21923b48eb4c"
+
+[[package]]
+name = "safemem"
+version = "0.3.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ef703b7cb59335eae2eb93ceb664c0eb7ea6bf567079d843e09420219668e072"
+
+[[package]]
+name = "same-file"
+version = "1.0.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "93fc1dc3aaa9bfed95e02e6eadabb4baf7e3078b0bd1b4d7b6b0b68378900502"
+dependencies = [
+ "winapi-util",
+]
+
+[[package]]
+name = "scoped-tls"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e1cf6437eb19a8f4a6cc0f7dca544973b0b78843adbfeb3683d1a94a0024a294"
+
+[[package]]
+name = "scopeguard"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "94143f37725109f92c262ed2cf5e59bce7498c01bcc1502d7b9afe439a4e9f49"
+
+[[package]]
+name = "selectors"
+version = "0.22.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "df320f1889ac4ba6bc0cdc9c9af7af4bd64bb927bccdf32d81140dc1f9be12fe"
+dependencies = [
+ "bitflags 1.3.2",
+ "cssparser",
+ "derive_more",
+ "fxhash",
+ "log",
+ "matches",
+ "phf 0.8.0",
+ "phf_codegen 0.8.0",
+ "precomputed-hash",
+ "servo_arc",
+ "smallvec",
+ "thin-slice",
+]
+
+[[package]]
+name = "semver"
+version = "1.0.21"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b97ed7a9823b74f99c7742f5336af7be5ecd3eeafcb1507d1fa93347b1d589b0"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "serde"
+version = "1.0.195"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "63261df402c67811e9ac6def069e4786148c4563f4b50fd4bf30aa370d626b02"
+dependencies = [
+ "serde_derive",
+]
+
+[[package]]
+name = "serde_derive"
+version = "1.0.195"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "46fe8f8603d81ba86327b23a2e9cdf49e1255fb94a4c5f297f6ee0547178ea2c"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "serde_json"
+version = "1.0.111"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "176e46fa42316f18edd598015a5166857fc835ec732f5215eac6b7bdbf0a84f4"
+dependencies = [
+ "itoa 1.0.10",
+ "ryu",
+ "serde",
+]
+
+[[package]]
+name = "serde_repr"
+version = "0.1.18"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0b2e6b945e9d3df726b65d6ee24060aff8e3533d431f677a9695db04eff9dfdb"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "serde_spanned"
+version = "0.6.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eb3622f419d1296904700073ea6cc23ad690adbd66f13ea683df73298736f0c1"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "serde_with"
+version = "3.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "64cd236ccc1b7a29e7e2739f27c0b2dd199804abc4290e32f59f3b68d6405c23"
+dependencies = [
+ "base64 0.21.7",
+ "chrono",
+ "hex",
+ "indexmap 1.9.3",
+ "indexmap 2.1.0",
+ "serde",
+ "serde_json",
+ "serde_with_macros",
+ "time",
+]
+
+[[package]]
+name = "serde_with_macros"
+version = "3.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "93634eb5f75a2323b16de4748022ac4297f9e76b6dced2be287a099f41b5e788"
+dependencies = [
+ "darling",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "serialize-to-javascript"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c9823f2d3b6a81d98228151fdeaf848206a7855a7a042bbf9bf870449a66cafb"
+dependencies = [
+ "serde",
+ "serde_json",
+ "serialize-to-javascript-impl",
+]
+
+[[package]]
+name = "serialize-to-javascript-impl"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "74064874e9f6a15f04c1f3cb627902d0e6b410abbf36668afa873c61889f1763"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "servo_arc"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d98238b800e0d1576d8b6e3de32827c2d74bee68bb97748dcf5071fb53965432"
+dependencies = [
+ "nodrop",
+ "stable_deref_trait",
+]
+
+[[package]]
+name = "sha1"
+version = "0.10.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e3bf829a2d51ab4a5ddf1352d8470c140cadc8301b2ae1789db023f01cedd6ba"
+dependencies = [
+ "cfg-if",
+ "cpufeatures",
+ "digest",
+]
+
+[[package]]
+name = "sha2"
+version = "0.10.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "793db75ad2bcafc3ffa7c68b215fee268f537982cd901d132f89c6343f3a3dc8"
+dependencies = [
+ "cfg-if",
+ "cpufeatures",
+ "digest",
+]
+
+[[package]]
+name = "sharded-slab"
+version = "0.1.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f40ca3c46823713e0d4209592e8d6e826aa57e928f09752619fc696c499637f6"
+dependencies = [
+ "lazy_static",
+]
+
+[[package]]
+name = "signal-hook-registry"
+version = "1.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d8229b473baa5980ac72ef434c4415e70c4b5e71b423043adb4ba059f89c99a1"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "simd-adler32"
+version = "0.3.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d66dc143e6b11c1eddc06d5c423cfc97062865baf299914ab64caa38182078fe"
+
+[[package]]
+name = "siphasher"
+version = "0.3.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "38b58827f4464d87d377d175e90bf58eb00fd8716ff0a62f80356b5e61555d0d"
+
+[[package]]
+name = "slab"
+version = "0.4.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f92a496fb766b417c996b9c5e57daf2f7ad3b0bebe1ccfca4856390e3d3bb67"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "smallvec"
+version = "1.12.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2593d31f82ead8df961d8bd23a64c2ccf2eb5dd34b0a34bfb4dd54011c72009e"
+
+[[package]]
+name = "socket2"
+version = "0.4.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9f7916fc008ca5542385b89a3d3ce689953c143e9304a9bf8beec1de48994c0d"
+dependencies = [
+ "libc",
+ "winapi",
+]
+
+[[package]]
+name = "soup2"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b2b4d76501d8ba387cf0fefbe055c3e0a59891d09f0f995ae4e4b16f6b60f3c0"
+dependencies = [
+ "bitflags 1.3.2",
+ "gio",
+ "glib",
+ "libc",
+ "once_cell",
+ "soup2-sys",
+]
+
+[[package]]
+name = "soup2-sys"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "009ef427103fcb17f802871647a7fa6c60cbb654b4c4e4c0ac60a31c5f6dc9cf"
+dependencies = [
+ "bitflags 1.3.2",
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps 5.0.0",
+]
+
+[[package]]
+name = "stable_deref_trait"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a8f112729512f8e442d81f95a8a7ddf2b7c6b8a1a6f509a95864142b30cab2d3"
+
+[[package]]
+name = "state"
+version = "0.5.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dbe866e1e51e8260c9eed836a042a5e7f6726bb2b411dffeaa712e19c388f23b"
+dependencies = [
+ "loom",
+]
+
+[[package]]
+name = "static_assertions"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a2eb9349b6444b326872e140eb1cf5e7c522154d69e7a0ffb0fb81c06b37543f"
+
+[[package]]
+name = "str-buf"
+version = "1.0.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9e08d8363704e6c71fc928674353e6b7c23dcea9d82d7012c8faf2a3a025f8d0"
+
+[[package]]
+name = "string_cache"
+version = "0.8.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f91138e76242f575eb1d3b38b4f1362f10d3a43f47d182a5b359af488a02293b"
+dependencies = [
+ "new_debug_unreachable",
+ "once_cell",
+ "parking_lot",
+ "phf_shared 0.10.0",
+ "precomputed-hash",
+ "serde",
+]
+
+[[package]]
+name = "string_cache_codegen"
+version = "0.5.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6bb30289b722be4ff74a408c3cc27edeaad656e06cb1fe8fa9231fa59c728988"
+dependencies = [
+ "phf_generator 0.10.0",
+ "phf_shared 0.10.0",
+ "proc-macro2",
+ "quote",
+]
+
+[[package]]
+name = "strsim"
+version = "0.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "73473c0e59e6d5812c5dfe2a064a6444949f089e20eec9a2e5506596494e4623"
+
+[[package]]
+name = "syn"
+version = "1.0.109"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "72b64191b275b66ffe2469e8af2c1cfe3bafa67b529ead792a6d0160888b4237"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "unicode-ident",
+]
+
+[[package]]
+name = "syn"
+version = "2.0.48"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0f3531638e407dfc0814761abb7c00a5b54992b849452a0646b7f65c9f770f3f"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "unicode-ident",
+]
+
+[[package]]
+name = "system-deps"
+version = "5.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "18db855554db7bd0e73e06cf7ba3df39f97812cb11d3f75e71c39bf45171797e"
+dependencies = [
+ "cfg-expr 0.9.1",
+ "heck 0.3.3",
+ "pkg-config",
+ "toml 0.5.11",
+ "version-compare 0.0.11",
+]
+
+[[package]]
+name = "system-deps"
+version = "6.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2a2d580ff6a20c55dfb86be5f9c238f67835d0e81cbdea8bf5680e0897320331"
+dependencies = [
+ "cfg-expr 0.15.6",
+ "heck 0.4.1",
+ "pkg-config",
+ "toml 0.8.8",
+ "version-compare 0.1.1",
+]
+
+[[package]]
+name = "tao"
+version = "0.16.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "75f5aefd6be4cd3ad3f047442242fd9f57cbfb3e565379f66b5e14749364fa4f"
+dependencies = [
+ "bitflags 1.3.2",
+ "cairo-rs",
+ "cc",
+ "cocoa",
+ "core-foundation",
+ "core-graphics",
+ "crossbeam-channel",
+ "dirs-next",
+ "dispatch",
+ "gdk",
+ "gdk-pixbuf",
+ "gdk-sys",
+ "gdkwayland-sys",
+ "gdkx11-sys",
+ "gio",
+ "glib",
+ "glib-sys",
+ "gtk",
+ "image",
+ "instant",
+ "jni",
+ "lazy_static",
+ "libappindicator",
+ "libc",
+ "log",
+ "ndk",
+ "ndk-context",
+ "ndk-sys",
+ "objc",
+ "once_cell",
+ "parking_lot",
+ "png",
+ "raw-window-handle",
+ "scopeguard",
+ "serde",
+ "tao-macros",
+ "unicode-segmentation",
+ "uuid",
+ "windows 0.39.0",
+ "windows-implement",
+ "x11-dl",
+]
+
+[[package]]
+name = "tao-macros"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ec114582505d158b669b136e6851f85840c109819d77c42bb7c0709f727d18c2"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "tar"
+version = "0.4.40"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b16afcea1f22891c49a00c751c7b63b2233284064f11a200fc624137c51e2ddb"
+dependencies = [
+ "filetime",
+ "libc",
+ "xattr",
+]
+
+[[package]]
+name = "target-lexicon"
+version = "0.12.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "69758bda2e78f098e4ccb393021a0963bb3442eac05f135c30f61b7370bbafae"
+
+[[package]]
+name = "tauri"
+version = "1.5.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fd27c04b9543776a972c86ccf70660b517ecabbeced9fb58d8b961a13ad129af"
+dependencies = [
+ "anyhow",
+ "cocoa",
+ "dirs-next",
+ "embed_plist",
+ "encoding_rs",
+ "flate2",
+ "futures-util",
+ "glib",
+ "glob",
+ "gtk",
+ "heck 0.4.1",
+ "http 0.2.11",
+ "ignore",
+ "objc",
+ "once_cell",
+ "open",
+ "percent-encoding",
+ "rand 0.8.5",
+ "raw-window-handle",
+ "regex",
+ "semver",
+ "serde",
+ "serde_json",
+ "serde_repr",
+ "serialize-to-javascript",
+ "state",
+ "tar",
+ "tauri-macros",
+ "tauri-runtime",
+ "tauri-runtime-wry",
+ "tauri-utils",
+ "tempfile",
+ "thiserror",
+ "tokio",
+ "url",
+ "uuid",
+ "webkit2gtk",
+ "webview2-com",
+ "windows 0.39.0",
+]
+
+[[package]]
+name = "tauri-build"
+version = "1.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e9914a4715e0b75d9f387a285c7e26b5bbfeb1249ad9f842675a82481565c532"
+dependencies = [
+ "anyhow",
+ "cargo_toml",
+ "dirs-next",
+ "heck 0.4.1",
+ "json-patch",
+ "semver",
+ "serde",
+ "serde_json",
+ "tauri-utils",
+ "tauri-winres",
+ "walkdir",
+]
+
+[[package]]
+name = "tauri-codegen"
+version = "1.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a1554c5857f65dbc377cefb6b97c8ac77b1cb2a90d30d3448114d5d6b48a77fc"
+dependencies = [
+ "base64 0.21.7",
+ "brotli",
+ "ico",
+ "json-patch",
+ "plist",
+ "png",
+ "proc-macro2",
+ "quote",
+ "regex",
+ "semver",
+ "serde",
+ "serde_json",
+ "sha2",
+ "tauri-utils",
+ "thiserror",
+ "time",
+ "uuid",
+ "walkdir",
+]
+
+[[package]]
+name = "tauri-macros"
+version = "1.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "277abf361a3a6993ec16bcbb179de0d6518009b851090a01adfea12ac89fa875"
+dependencies = [
+ "heck 0.4.1",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+ "tauri-codegen",
+ "tauri-utils",
+]
+
+[[package]]
+name = "tauri-plugin-autostart"
+version = "0.0.0"
+source = "git+https://github.com/tauri-apps/plugins-workspace?rev=70d99086de3a58189d65c49954a3495972880725#70d99086de3a58189d65c49954a3495972880725"
+dependencies = [
+ "auto-launch",
+ "log",
+ "serde",
+ "serde_json",
+ "tauri",
+ "thiserror",
+]
+
+[[package]]
+name = "tauri-plugin-localhost"
+version = "0.1.0"
+source = "git+https://github.com/tauri-apps/plugins-workspace?rev=70d99086de3a58189d65c49954a3495972880725#70d99086de3a58189d65c49954a3495972880725"
+dependencies = [
+ "http 1.0.0",
+ "log",
+ "serde",
+ "serde_json",
+ "tauri",
+ "thiserror",
+ "tiny_http",
+]
+
+[[package]]
+name = "tauri-plugin-single-instance"
+version = "0.0.0"
+source = "git+https://github.com/tauri-apps/plugins-workspace?rev=70d99086de3a58189d65c49954a3495972880725#70d99086de3a58189d65c49954a3495972880725"
+dependencies = [
+ "log",
+ "serde",
+ "serde_json",
+ "tauri",
+ "thiserror",
+ "windows-sys 0.52.0",
+ "zbus",
+]
+
+[[package]]
+name = "tauri-plugin-store"
+version = "0.0.0"
+source = "git+https://github.com/tauri-apps/plugins-workspace?rev=70d99086de3a58189d65c49954a3495972880725#70d99086de3a58189d65c49954a3495972880725"
+dependencies = [
+ "log",
+ "serde",
+ "serde_json",
+ "tauri",
+ "thiserror",
+]
+
+[[package]]
+name = "tauri-plugin-window-state"
+version = "0.1.0"
+source = "git+https://github.com/tauri-apps/plugins-workspace?rev=70d99086de3a58189d65c49954a3495972880725#70d99086de3a58189d65c49954a3495972880725"
+dependencies = [
+ "bincode",
+ "bitflags 2.4.1",
+ "log",
+ "serde",
+ "serde_json",
+ "tauri",
+ "thiserror",
+]
+
+[[package]]
+name = "tauri-runtime"
+version = "0.14.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cf2d0652aa2891ff3e9caa2401405257ea29ab8372cce01f186a5825f1bd0e76"
+dependencies = [
+ "gtk",
+ "http 0.2.11",
+ "http-range",
+ "rand 0.8.5",
+ "raw-window-handle",
+ "serde",
+ "serde_json",
+ "tauri-utils",
+ "thiserror",
+ "url",
+ "uuid",
+ "webview2-com",
+ "windows 0.39.0",
+]
+
+[[package]]
+name = "tauri-runtime-wry"
+version = "0.14.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6cae61fbc731f690a4899681c9052dde6d05b159b44563ace8186fc1bfb7d158"
+dependencies = [
+ "arboard",
+ "cocoa",
+ "gtk",
+ "percent-encoding",
+ "rand 0.8.5",
+ "raw-window-handle",
+ "tauri-runtime",
+ "tauri-utils",
+ "uuid",
+ "webkit2gtk",
+ "webview2-com",
+ "windows 0.39.0",
+ "wry",
+]
+
+[[package]]
+name = "tauri-utils"
+version = "1.5.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ece74810b1d3d44f29f732a7ae09a63183d63949bbdd59c61f8ed2a1b70150db"
+dependencies = [
+ "brotli",
+ "ctor",
+ "dunce",
+ "glob",
+ "heck 0.4.1",
+ "html5ever",
+ "infer",
+ "json-patch",
+ "kuchikiki",
+ "log",
+ "memchr",
+ "phf 0.11.2",
+ "proc-macro2",
+ "quote",
+ "semver",
+ "serde",
+ "serde_json",
+ "serde_with",
+ "thiserror",
+ "url",
+ "walkdir",
+ "windows-version",
+]
+
+[[package]]
+name = "tauri-winres"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5993dc129e544393574288923d1ec447c857f3f644187f4fbf7d9a875fbfc4fb"
+dependencies = [
+ "embed-resource",
+ "toml 0.7.8",
+]
+
+[[package]]
+name = "tempfile"
+version = "3.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "01ce4141aa927a6d1bd34a041795abd0db1cccba5d5f24b009f694bdf3a1f3fa"
+dependencies = [
+ "cfg-if",
+ "fastrand 2.0.1",
+ "redox_syscall",
+ "rustix 0.38.30",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "tendril"
+version = "0.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d24a120c5fc464a3458240ee02c299ebcb9d67b5249c8848b09d639dca8d7bb0"
+dependencies = [
+ "futf",
+ "mac",
+ "utf-8",
+]
+
+[[package]]
+name = "thin-slice"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8eaa81235c7058867fa8c0e7314f33dcce9c215f535d1913822a2b3f5e289f3c"
+
+[[package]]
+name = "thiserror"
+version = "1.0.56"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d54378c645627613241d077a3a79db965db602882668f9136ac42af9ecb730ad"
+dependencies = [
+ "thiserror-impl",
+]
+
+[[package]]
+name = "thiserror-impl"
+version = "1.0.56"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fa0faa943b50f3db30a20aa7e265dbc66076993efed8463e8de414e5d06d3471"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "thread_local"
+version = "1.1.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3fdd6f064ccff2d6567adcb3873ca630700f00b5ad3f060c25b5dcfd9a4ce152"
+dependencies = [
+ "cfg-if",
+ "once_cell",
+]
+
+[[package]]
+name = "tiff"
+version = "0.9.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ba1310fcea54c6a9a4fd1aad794ecc02c31682f6bfbecdf460bf19533eed1e3e"
+dependencies = [
+ "flate2",
+ "jpeg-decoder",
+ "weezl",
+]
+
+[[package]]
+name = "time"
+version = "0.3.31"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f657ba42c3f86e7680e53c8cd3af8abbe56b5491790b46e22e19c0d57463583e"
+dependencies = [
+ "deranged",
+ "itoa 1.0.10",
+ "powerfmt",
+ "serde",
+ "time-core",
+ "time-macros",
+]
+
+[[package]]
+name = "time-core"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ef927ca75afb808a4d64dd374f00a2adf8d0fcff8e7b184af886c3c87ec4a3f3"
+
+[[package]]
+name = "time-macros"
+version = "0.2.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "26197e33420244aeb70c3e8c78376ca46571bc4e701e4791c2cd9f57dcb3a43f"
+dependencies = [
+ "time-core",
+]
+
+[[package]]
+name = "tiny_http"
+version = "0.12.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "389915df6413a2e74fb181895f933386023c71110878cd0825588928e64cdc82"
+dependencies = [
+ "ascii",
+ "chunked_transfer",
+ "httpdate",
+ "log",
+]
+
+[[package]]
+name = "tinyvec"
+version = "1.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "87cc5ceb3875bb20c2890005a4e226a4651264a5c75edb2421b52861a0a0cb50"
+dependencies = [
+ "tinyvec_macros",
+]
+
+[[package]]
+name = "tinyvec_macros"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20"
+
+[[package]]
+name = "tokio"
+version = "1.35.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c89b4efa943be685f629b149f53829423f8f5531ea21249408e8e2f8671ec104"
+dependencies = [
+ "backtrace",
+ "bytes",
+ "num_cpus",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "toml"
+version = "0.5.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f4f7f0dd8d50a853a531c426359045b1998f04219d88799810762cd4ad314234"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "toml"
+version = "0.7.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dd79e69d3b627db300ff956027cc6c3798cef26d22526befdfcd12feeb6d2257"
+dependencies = [
+ "serde",
+ "serde_spanned",
+ "toml_datetime",
+ "toml_edit 0.19.15",
+]
+
+[[package]]
+name = "toml"
+version = "0.8.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a1a195ec8c9da26928f773888e0742ca3ca1040c6cd859c919c9f59c1954ab35"
+dependencies = [
+ "serde",
+ "serde_spanned",
+ "toml_datetime",
+ "toml_edit 0.21.0",
+]
+
+[[package]]
+name = "toml_datetime"
+version = "0.6.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3550f4e9685620ac18a50ed434eb3aec30db8ba93b0287467bca5826ea25baf1"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "toml_edit"
+version = "0.19.15"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1b5bb770da30e5cbfde35a2d7b9b8a2c4b8ef89548a7a6aeab5c9a576e3e7421"
+dependencies = [
+ "indexmap 2.1.0",
+ "serde",
+ "serde_spanned",
+ "toml_datetime",
+ "winnow",
+]
+
+[[package]]
+name = "toml_edit"
+version = "0.21.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d34d383cd00a163b4a5b85053df514d45bc330f6de7737edfe0a93311d1eaa03"
+dependencies = [
+ "indexmap 2.1.0",
+ "serde",
+ "serde_spanned",
+ "toml_datetime",
+ "winnow",
+]
+
+[[package]]
+name = "tracing"
+version = "0.1.40"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c3523ab5a71916ccf420eebdf5521fcef02141234bbc0b8a49f2fdc4544364ef"
+dependencies = [
+ "pin-project-lite",
+ "tracing-attributes",
+ "tracing-core",
+]
+
+[[package]]
+name = "tracing-attributes"
+version = "0.1.27"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "34704c8d6ebcbc939824180af020566b01a7c01f80641264eba0999f6c2b6be7"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "tracing-core"
+version = "0.1.32"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c06d3da6113f116aaee68e4d601191614c9053067f9ab7f6edbcb161237daa54"
+dependencies = [
+ "once_cell",
+ "valuable",
+]
+
+[[package]]
+name = "tracing-log"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ee855f1f400bd0e5c02d150ae5de3840039a3f54b025156404e34c23c03f47c3"
+dependencies = [
+ "log",
+ "once_cell",
+ "tracing-core",
+]
+
+[[package]]
+name = "tracing-subscriber"
+version = "0.3.18"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ad0f048c97dbd9faa9b7df56362b8ebcaa52adb06b498c050d2f4e32f90a7a8b"
+dependencies = [
+ "matchers",
+ "nu-ansi-term",
+ "once_cell",
+ "regex",
+ "sharded-slab",
+ "smallvec",
+ "thread_local",
+ "tracing",
+ "tracing-core",
+ "tracing-log",
+]
+
+[[package]]
+name = "treediff"
+version = "4.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "52984d277bdf2a751072b5df30ec0377febdb02f7696d64c2d7d54630bac4303"
+dependencies = [
+ "serde_json",
+]
+
+[[package]]
+name = "typenum"
+version = "1.17.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "42ff0bf0c66b8238c6f3b578df37d0b7848e55df8577b3f74f92a69acceeb825"
+
+[[package]]
+name = "uds_windows"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "89daebc3e6fd160ac4aa9fc8b3bf71e1f74fbf92367ae71fb83a037e8bf164b9"
+dependencies = [
+ "memoffset 0.9.0",
+ "tempfile",
+ "winapi",
+]
+
+[[package]]
+name = "unicode-bidi"
+version = "0.3.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6f2528f27a9eb2b21e69c95319b30bd0efd85d09c379741b0f78ea1d86be2416"
+
+[[package]]
+name = "unicode-ident"
+version = "1.0.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3354b9ac3fae1ff6755cb6db53683adb661634f67557942dea4facebec0fee4b"
+
+[[package]]
+name = "unicode-normalization"
+version = "0.1.22"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5c5713f0fc4b5db668a2ac63cdb7bb4469d8c9fed047b1d0292cc7b0ce2ba921"
+dependencies = [
+ "tinyvec",
+]
+
+[[package]]
+name = "unicode-segmentation"
+version = "1.10.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1dd624098567895118886609431a7c3b8f516e41d30e0643f03d94592a147e36"
+
+[[package]]
+name = "url"
+version = "2.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "31e6302e3bb753d46e83516cae55ae196fc0c309407cf11ab35cc51a4c2a4633"
+dependencies = [
+ "form_urlencoded",
+ "idna",
+ "percent-encoding",
+ "serde",
+]
+
+[[package]]
+name = "utf-8"
+version = "0.7.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "09cc8ee72d2a9becf2f2febe0205bbed8fc6615b7cb429ad062dc7b7ddd036a9"
+
+[[package]]
+name = "uuid"
+version = "1.6.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5e395fcf16a7a3d8127ec99782007af141946b4795001f876d54fb0d55978560"
+dependencies = [
+ "getrandom 0.2.12",
+]
+
+[[package]]
+name = "valuable"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "830b7e5d4d90034032940e4ace0d9a9a057e7a45cd94e6c007832e39edb82f6d"
+
+[[package]]
+name = "version-compare"
+version = "0.0.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1c18c859eead79d8b95d09e4678566e8d70105c4e7b251f707a03df32442661b"
+
+[[package]]
+name = "version-compare"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "579a42fc0b8e0c63b76519a339be31bed574929511fa53c1a3acae26eb258f29"
+
+[[package]]
+name = "version_check"
+version = "0.9.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "49874b5167b65d7193b8aba1567f5c7d93d001cafc34600cee003eda787e483f"
+
+[[package]]
+name = "vswhom"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "be979b7f07507105799e854203b470ff7c78a1639e330a58f183b5fea574608b"
+dependencies = [
+ "libc",
+ "vswhom-sys",
+]
+
+[[package]]
+name = "vswhom-sys"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d3b17ae1f6c8a2b28506cd96d412eebf83b4a0ff2cbefeeb952f2f9dfa44ba18"
+dependencies = [
+ "cc",
+ "libc",
+]
+
+[[package]]
+name = "waker-fn"
+version = "1.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f3c4517f54858c779bbcbf228f4fca63d121bf85fbecb2dc578cdf4a39395690"
+
+[[package]]
+name = "walkdir"
+version = "2.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d71d857dc86794ca4c280d616f7da00d2dbfd8cd788846559a6813e6aa4b54ee"
+dependencies = [
+ "same-file",
+ "winapi-util",
+]
+
+[[package]]
+name = "wasi"
+version = "0.9.0+wasi-snapshot-preview1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cccddf32554fecc6acb585f82a32a72e28b48f8c4c1883ddfeeeaa96f7d8e519"
+
+[[package]]
+name = "wasi"
+version = "0.11.0+wasi-snapshot-preview1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
+
+[[package]]
+name = "wasm-bindgen"
+version = "0.2.90"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b1223296a201415c7fad14792dbefaace9bd52b62d33453ade1c5b5f07555406"
+dependencies = [
+ "cfg-if",
+ "wasm-bindgen-macro",
+]
+
+[[package]]
+name = "wasm-bindgen-backend"
+version = "0.2.90"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fcdc935b63408d58a32f8cc9738a0bffd8f05cc7c002086c6ef20b7312ad9dcd"
+dependencies = [
+ "bumpalo",
+ "log",
+ "once_cell",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+ "wasm-bindgen-shared",
+]
+
+[[package]]
+name = "wasm-bindgen-macro"
+version = "0.2.90"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3e4c238561b2d428924c49815533a8b9121c664599558a5d9ec51f8a1740a999"
+dependencies = [
+ "quote",
+ "wasm-bindgen-macro-support",
+]
+
+[[package]]
+name = "wasm-bindgen-macro-support"
+version = "0.2.90"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bae1abb6806dc1ad9e560ed242107c0f6c84335f1749dd4e8ddb012ebd5e25a7"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+ "wasm-bindgen-backend",
+ "wasm-bindgen-shared",
+]
+
+[[package]]
+name = "wasm-bindgen-shared"
+version = "0.2.90"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4d91413b1c31d7539ba5ef2451af3f0b833a005eb27a631cec32bc0635a8602b"
+
+[[package]]
+name = "webkit2gtk"
+version = "0.18.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b8f859735e4a452aeb28c6c56a852967a8a76c8eb1cc32dbf931ad28a13d6370"
+dependencies = [
+ "bitflags 1.3.2",
+ "cairo-rs",
+ "gdk",
+ "gdk-sys",
+ "gio",
+ "gio-sys",
+ "glib",
+ "glib-sys",
+ "gobject-sys",
+ "gtk",
+ "gtk-sys",
+ "javascriptcore-rs",
+ "libc",
+ "once_cell",
+ "soup2",
+ "webkit2gtk-sys",
+]
+
+[[package]]
+name = "webkit2gtk-sys"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4d76ca6ecc47aeba01ec61e480139dda143796abcae6f83bcddf50d6b5b1dcf3"
+dependencies = [
+ "atk-sys",
+ "bitflags 1.3.2",
+ "cairo-sys-rs",
+ "gdk-pixbuf-sys",
+ "gdk-sys",
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "gtk-sys",
+ "javascriptcore-rs-sys",
+ "libc",
+ "pango-sys",
+ "pkg-config",
+ "soup2-sys",
+ "system-deps 6.2.0",
+]
+
+[[package]]
+name = "webview2-com"
+version = "0.19.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b4a769c9f1a64a8734bde70caafac2b96cada12cd4aefa49196b3a386b8b4178"
+dependencies = [
+ "webview2-com-macros",
+ "webview2-com-sys",
+ "windows 0.39.0",
+ "windows-implement",
+]
+
+[[package]]
+name = "webview2-com-macros"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eaebe196c01691db62e9e4ca52c5ef1e4fd837dcae27dae3ada599b5a8fd05ac"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "webview2-com-sys"
+version = "0.19.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "aac48ef20ddf657755fdcda8dfed2a7b4fc7e4581acce6fe9b88c3d64f29dee7"
+dependencies = [
+ "regex",
+ "serde",
+ "serde_json",
+ "thiserror",
+ "windows 0.39.0",
+ "windows-bindgen",
+ "windows-metadata",
+]
+
+[[package]]
+name = "weezl"
+version = "0.1.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9193164d4de03a926d909d3bc7c30543cecb35400c02114792c2cae20d5e2dbb"
+
+[[package]]
+name = "winapi"
+version = "0.3.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5c839a674fcd7a98952e593242ea400abe93992746761e38641405d28b00f419"
+dependencies = [
+ "winapi-i686-pc-windows-gnu",
+ "winapi-x86_64-pc-windows-gnu",
+]
+
+[[package]]
+name = "winapi-i686-pc-windows-gnu"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ac3b87c63620426dd9b991e5ce0329eff545bccbbb34f3be09ff6fb6ab51b7b6"
+
+[[package]]
+name = "winapi-util"
+version = "0.1.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f29e6f9198ba0d26b4c9f07dbe6f9ed633e1f3d5b8b414090084349e46a52596"
+dependencies = [
+ "winapi",
+]
+
+[[package]]
+name = "winapi-wsapoll"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "44c17110f57155602a80dca10be03852116403c9ff3cd25b079d666f2aa3df6e"
+dependencies = [
+ "winapi",
+]
+
+[[package]]
+name = "winapi-x86_64-pc-windows-gnu"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
+
+[[package]]
+name = "windows"
+version = "0.39.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f1c4bd0a50ac6020f65184721f758dba47bb9fbc2133df715ec74a237b26794a"
+dependencies = [
+ "windows-implement",
+ "windows_aarch64_msvc 0.39.0",
+ "windows_i686_gnu 0.39.0",
+ "windows_i686_msvc 0.39.0",
+ "windows_x86_64_gnu 0.39.0",
+ "windows_x86_64_msvc 0.39.0",
+]
+
+[[package]]
+name = "windows"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e686886bc078bc1b0b600cac0147aadb815089b6e4da64016cbd754b6342700f"
+dependencies = [
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "windows-bindgen"
+version = "0.39.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "68003dbd0e38abc0fb85b939240f4bce37c43a5981d3df37ccbaaa981b47cb41"
+dependencies = [
+ "windows-metadata",
+ "windows-tokens",
+]
+
+[[package]]
+name = "windows-core"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "33ab640c8d7e35bf8ba19b884ba838ceb4fba93a4e8c65a9059d08afcfc683d9"
+dependencies = [
+ "windows-targets 0.52.0",
+]
+
+[[package]]
+name = "windows-implement"
+version = "0.39.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ba01f98f509cb5dc05f4e5fc95e535f78260f15fea8fe1a8abdd08f774f1cee7"
+dependencies = [
+ "syn 1.0.109",
+ "windows-tokens",
+]
+
+[[package]]
+name = "windows-metadata"
+version = "0.39.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9ee5e275231f07c6e240d14f34e1b635bf1faa1c76c57cfd59a5cdb9848e4278"
+
+[[package]]
+name = "windows-sys"
+version = "0.42.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5a3e1820f08b8513f676f7ab6c1f99ff312fb97b553d30ff4dd86f9f15728aa7"
+dependencies = [
+ "windows_aarch64_gnullvm 0.42.2",
+ "windows_aarch64_msvc 0.42.2",
+ "windows_i686_gnu 0.42.2",
+ "windows_i686_msvc 0.42.2",
+ "windows_x86_64_gnu 0.42.2",
+ "windows_x86_64_gnullvm 0.42.2",
+ "windows_x86_64_msvc 0.42.2",
+]
+
+[[package]]
+name = "windows-sys"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "677d2418bec65e3338edb076e806bc1ec15693c5d0104683f2efe857f61056a9"
+dependencies = [
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "windows-sys"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "282be5f36a8ce781fad8c8ae18fa3f9beff57ec1b52cb3de0789201425d9a33d"
+dependencies = [
+ "windows-targets 0.52.0",
+]
+
+[[package]]
+name = "windows-targets"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9a2fa6e2155d7247be68c096456083145c183cbbbc2764150dda45a87197940c"
+dependencies = [
+ "windows_aarch64_gnullvm 0.48.5",
+ "windows_aarch64_msvc 0.48.5",
+ "windows_i686_gnu 0.48.5",
+ "windows_i686_msvc 0.48.5",
+ "windows_x86_64_gnu 0.48.5",
+ "windows_x86_64_gnullvm 0.48.5",
+ "windows_x86_64_msvc 0.48.5",
+]
+
+[[package]]
+name = "windows-targets"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8a18201040b24831fbb9e4eb208f8892e1f50a37feb53cc7ff887feb8f50e7cd"
+dependencies = [
+ "windows_aarch64_gnullvm 0.52.0",
+ "windows_aarch64_msvc 0.52.0",
+ "windows_i686_gnu 0.52.0",
+ "windows_i686_msvc 0.52.0",
+ "windows_x86_64_gnu 0.52.0",
+ "windows_x86_64_gnullvm 0.52.0",
+ "windows_x86_64_msvc 0.52.0",
+]
+
+[[package]]
+name = "windows-tokens"
+version = "0.39.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f838de2fe15fe6bac988e74b798f26499a8b21a9d97edec321e79b28d1d7f597"
+
+[[package]]
+name = "windows-version"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "75aa004c988e080ad34aff5739c39d0312f4684699d6d71fc8a198d057b8b9b4"
+dependencies = [
+ "windows-targets 0.52.0",
+]
+
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "597a5118570b68bc08d8d59125332c54f1ba9d9adeedeef5b99b02ba2b0698f8"
+
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2b38e32f0abccf9987a4e3079dfb67dcd799fb61361e53e2882c3cbaf0d905d8"
+
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cb7764e35d4db8a7921e09562a0304bf2f93e0a51bfccee0bd0bb0b666b015ea"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.39.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ec7711666096bd4096ffa835238905bb33fb87267910e154b18b44eaabb340f2"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e08e8864a60f06ef0d0ff4ba04124db8b0fb3be5776a5cd47641e942e58c4d43"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dc35310971f3b2dbbf3f0690a219f40e2d9afcf64f9ab7cc1be722937c26b4bc"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bbaa0368d4f1d2aaefc55b6fcfee13f41544ddf36801e793edbbfd7d7df075ef"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.39.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "763fc57100a5f7042e3057e7e8d9bdd7860d330070251a73d003563a3bb49e1b"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c61d927d8da41da96a81f029489353e68739737d3beca43145c8afec9a31a84f"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a75915e7def60c94dcef72200b9a8e58e5091744960da64ec734a6c6e9b3743e"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a28637cb1fa3560a16915793afb20081aba2c92ee8af57b4d5f28e4b3e7df313"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.39.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7bc7cbfe58828921e10a9f446fcaaf649204dcfe6c1ddd712c5eebae6bda1106"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "44d840b6ec649f480a41c8d80f9c65108b92d89345dd94027bfe06ac444d1060"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f55c233f70c4b27f66c523580f78f1004e8b5a8b659e05a4eb49d4166cca406"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ffe5e8e31046ce6230cc7215707b816e339ff4d4d67c65dffa206fd0f7aa7b9a"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.39.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6868c165637d653ae1e8dc4d82c25d4f97dd6605eaa8d784b5c6e0ab2a252b65"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8de912b8b8feb55c064867cf047dda097f92d51efad5b491dfb98f6bbb70cb36"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "53d40abd2583d23e4718fddf1ebec84dbff8381c07cae67ff7768bbf19c6718e"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3d6fa32db2bc4a2f5abeacf2b69f7992cd09dca97498da74a151a3132c26befd"
+
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "26d41b46a36d453748aedef1486d5c7a85db22e56aff34643984ea85514e94a3"
+
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0b7b52767868a23d5bab768e390dc5f5c55825b6d30b86c844ff2dc7414044cc"
+
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1a657e1e9d3f514745a572a6846d3c7aa7dbe1658c056ed9c3344c4109a6949e"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.39.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5e4d40883ae9cae962787ca76ba76390ffa29214667a111db9e0a1ad8377e809"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9aec5da331524158c6d1a4ac0ab1541149c0b9505fde06423b02f5ef0106b9f0"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ed94fce61571a4006852b7389a063ab983c02eb1bb37b47f8272ce92d06d9538"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dff9641d1cd4be8d1a070daf9e3773c5f67e78b4d9d42263020c057706765c04"
+
+[[package]]
+name = "winnow"
+version = "0.5.34"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b7cf47b659b318dccbd69cc4797a39ae128f533dce7902a1096044d1967b9c16"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "winreg"
+version = "0.10.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "80d0f4e272c85def139476380b12f9ac60926689dd2e01d4923222f40580869d"
+dependencies = [
+ "winapi",
+]
+
+[[package]]
+name = "winreg"
+version = "0.51.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "937f3df7948156640f46aacef17a70db0de5917bda9c92b0f751f3a955b588fc"
+dependencies = [
+ "cfg-if",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "wry"
+version = "0.24.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6ad85d0e067359e409fcb88903c3eac817c392e5d638258abfb3da5ad8ba6fc4"
+dependencies = [
+ "base64 0.13.1",
+ "block",
+ "cocoa",
+ "core-graphics",
+ "crossbeam-channel",
+ "dunce",
+ "gdk",
+ "gio",
+ "glib",
+ "gtk",
+ "html5ever",
+ "http 0.2.11",
+ "kuchikiki",
+ "libc",
+ "log",
+ "objc",
+ "objc_id",
+ "once_cell",
+ "serde",
+ "serde_json",
+ "sha2",
+ "soup2",
+ "tao",
+ "thiserror",
+ "url",
+ "webkit2gtk",
+ "webkit2gtk-sys",
+ "webview2-com",
+ "windows 0.39.0",
+ "windows-implement",
+]
+
+[[package]]
+name = "x11"
+version = "2.21.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "502da5464ccd04011667b11c435cb992822c2c0dbde1770c988480d312a0db2e"
+dependencies = [
+ "libc",
+ "pkg-config",
+]
+
+[[package]]
+name = "x11-dl"
+version = "2.21.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "38735924fedd5314a6e548792904ed8c6de6636285cb9fec04d5b1db85c1516f"
+dependencies = [
+ "libc",
+ "once_cell",
+ "pkg-config",
+]
+
+[[package]]
+name = "x11rb"
+version = "0.12.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b1641b26d4dec61337c35a1b1aaf9e3cba8f46f0b43636c609ab0291a648040a"
+dependencies = [
+ "gethostname",
+ "nix",
+ "winapi",
+ "winapi-wsapoll",
+ "x11rb-protocol",
+]
+
+[[package]]
+name = "x11rb-protocol"
+version = "0.12.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "82d6c3f9a0fb6701fab8f6cea9b0c0bd5d6876f1f89f7fada07e558077c344bc"
+dependencies = [
+ "nix",
+]
+
+[[package]]
+name = "xattr"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "914566e6413e7fa959cc394fb30e563ba80f3541fbd40816d4c05a0fc3f2a0f1"
+dependencies = [
+ "libc",
+ "linux-raw-sys 0.4.12",
+ "rustix 0.38.30",
+]
+
+[[package]]
+name = "xdg-home"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2769203cd13a0c6015d515be729c526d041e9cf2c0cc478d57faee85f40c6dcd"
+dependencies = [
+ "nix",
+ "winapi",
+]
+
+[[package]]
+name = "zbus"
+version = "3.14.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "31de390a2d872e4cd04edd71b425e29853f786dc99317ed72d73d6fcf5ebb948"
+dependencies = [
+ "async-broadcast",
+ "async-executor",
+ "async-fs",
+ "async-io 1.13.0",
+ "async-lock 2.8.0",
+ "async-process",
+ "async-recursion",
+ "async-task",
+ "async-trait",
+ "blocking",
+ "byteorder",
+ "derivative",
+ "enumflags2",
+ "event-listener 2.5.3",
+ "futures-core",
+ "futures-sink",
+ "futures-util",
+ "hex",
+ "nix",
+ "once_cell",
+ "ordered-stream",
+ "rand 0.8.5",
+ "serde",
+ "serde_repr",
+ "sha1",
+ "static_assertions",
+ "tracing",
+ "uds_windows",
+ "winapi",
+ "xdg-home",
+ "zbus_macros",
+ "zbus_names",
+ "zvariant",
+]
+
+[[package]]
+name = "zbus_macros"
+version = "3.14.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "41d1794a946878c0e807f55a397187c11fc7a038ba5d868e7db4f3bd7760bc9d"
+dependencies = [
+ "proc-macro-crate",
+ "proc-macro2",
+ "quote",
+ "regex",
+ "syn 1.0.109",
+ "zvariant_utils",
+]
+
+[[package]]
+name = "zbus_names"
+version = "2.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fb80bb776dbda6e23d705cf0123c3b95df99c4ebeaec6c2599d4a5419902b4a9"
+dependencies = [
+ "serde",
+ "static_assertions",
+ "zvariant",
+]
+
+[[package]]
+name = "zvariant"
+version = "3.15.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "44b291bee0d960c53170780af148dca5fa260a63cdd24f1962fa82e03e53338c"
+dependencies = [
+ "byteorder",
+ "enumflags2",
+ "libc",
+ "serde",
+ "static_assertions",
+ "zvariant_derive",
+]
+
+[[package]]
+name = "zvariant_derive"
+version = "3.15.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "934d7a7dfc310d6ee06c87ffe88ef4eca7d3e37bb251dece2ef93da8f17d8ecd"
+dependencies = [
+ "proc-macro-crate",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+ "zvariant_utils",
+]
+
+[[package]]
+name = "zvariant_utils"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7234f0d811589db492d16893e3f21e8e2fd282e6d01b0cddee310322062cc200"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
diff --git a/pkgs/applications/system/coolercontrol/coolercontrol-gui.nix b/pkgs/applications/system/coolercontrol/coolercontrol-gui.nix
new file mode 100644
index 000000000000..2e9e9699d074
--- /dev/null
+++ b/pkgs/applications/system/coolercontrol/coolercontrol-gui.nix
@@ -0,0 +1,73 @@
+{ lib
+, buildNpmPackage
+, rustPlatform
+, dbus
+, freetype
+, gtk3
+, libsoup
+, openssl
+, pkg-config
+, webkitgtk
+, libappindicator
+, makeWrapper
+, coolercontrol
+}:
+
+{ version
+, src
+, meta
+}:
+
+rustPlatform.buildRustPackage {
+ pname = "coolercontrol";
+ inherit version src;
+ sourceRoot = "${src.name}/coolercontrol-ui/src-tauri";
+
+ cargoLock = {
+ lockFile = ./Cargo.lock;
+ outputHashes = {
+ "tauri-plugin-autostart-0.0.0" = "sha256-ATw3dbvG3IsLaLBg5wGk7hVRqipwL4xPGKdtD9a5VIw=";
+ };
+ };
+
+ buildFeatures = [ "custom-protocol" ];
+
+ nativeBuildInputs = [
+ makeWrapper
+ pkg-config
+ ];
+
+ buildInputs = [
+ dbus
+ openssl
+ freetype
+ libsoup
+ gtk3
+ webkitgtk
+ libappindicator
+ ];
+
+ checkFeatures = [ "custom-protocol" ];
+
+ # copy the frontend static resources to final build directory
+ # Also modify tauri.conf.json so that it expects the resources at the new location
+ postPatch = ''
+ mkdir -p ui-build
+ cp -R ${coolercontrol.coolercontrol-ui-data}/* ui-build/
+ substituteInPlace tauri.conf.json --replace '"distDir": "../dist"' '"distDir": "ui-build"'
+ '';
+
+ postInstall = ''
+ install -Dm644 "${src}/packaging/metadata/org.coolercontrol.CoolerControl.desktop" -t "$out/share/applications/"
+ install -Dm644 "${src}/packaging/metadata/org.coolercontrol.CoolerControl.metainfo.xml" -t "$out/share/metainfo/"
+ install -Dm644 "${src}/packaging/metadata/org.coolercontrol.CoolerControl.png" -t "$out/share/icons/hicolor/256x256/apps/"
+ install -Dm644 "${src}/packaging/metadata/org.coolercontrol.CoolerControl.svg" -t "$out/share/icons/hicolor/scalable/apps/"
+ wrapProgram $out/bin/coolercontrol \
+ --prefix LD_LIBRARY_PATH : ${lib.makeLibraryPath [ libappindicator ]}
+ '';
+
+ meta = meta // {
+ description = "${meta.description} (GUI)";
+ mainProgram = "coolercontrol";
+ };
+}
diff --git a/pkgs/applications/system/coolercontrol/coolercontrol-liqctld.nix b/pkgs/applications/system/coolercontrol/coolercontrol-liqctld.nix
new file mode 100644
index 000000000000..054b7a8fafff
--- /dev/null
+++ b/pkgs/applications/system/coolercontrol/coolercontrol-liqctld.nix
@@ -0,0 +1,36 @@
+{ python3
+}:
+
+{ version
+, src
+, meta
+}:
+
+python3.pkgs.buildPythonApplication {
+ pname = "coolercontrol-liqctld";
+ inherit version src;
+ sourceRoot = "${src.name}/coolercontrol-liqctld";
+ format = "pyproject";
+
+ nativeBuildInputs = with python3.pkgs; [
+ setuptools
+ ];
+
+ propagatedBuildInputs = with python3.pkgs; [
+ liquidctl
+ setproctitle
+ fastapi
+ uvicorn
+ ];
+
+ postInstall = ''
+ install -Dm444 "${src}/packaging/systemd/coolercontrol-liqctld.service" -t "$out/lib/systemd/system"
+ substituteInPlace "$out/lib/systemd/system/coolercontrol-liqctld.service" \
+ --replace '/usr/bin' "$out/bin"
+ '';
+
+ meta = meta // {
+ description = "${meta.description} (Liquidctl Daemon)";
+ mainProgram = "coolercontrol-liqctld";
+ };
+}
diff --git a/pkgs/applications/system/coolercontrol/coolercontrol-ui-data.nix b/pkgs/applications/system/coolercontrol/coolercontrol-ui-data.nix
new file mode 100644
index 000000000000..96cd6f9bf3fa
--- /dev/null
+++ b/pkgs/applications/system/coolercontrol/coolercontrol-ui-data.nix
@@ -0,0 +1,25 @@
+{ buildNpmPackage
+}:
+
+{ version
+, src
+, meta
+}:
+
+buildNpmPackage {
+ pname = "coolercontrol-ui";
+ inherit version src;
+ sourceRoot = "${src.name}/coolercontrol-ui";
+
+ npmDepsHash = "sha256-7Hd1LT1ro83QMuoDGvFGsrTlQaSia+lVG8lyaAibiAo=";
+
+ postBuild = ''
+ cp -r dist $out
+ '';
+
+ dontInstall = true;
+
+ meta = meta // {
+ description = "${meta.description} (UI data)";
+ };
+}
diff --git a/pkgs/applications/system/coolercontrol/coolercontrold.nix b/pkgs/applications/system/coolercontrol/coolercontrold.nix
new file mode 100644
index 000000000000..087fa4ad215e
--- /dev/null
+++ b/pkgs/applications/system/coolercontrol/coolercontrold.nix
@@ -0,0 +1,42 @@
+{ rustPlatform
+, buildNpmPackage
+, testers
+, coolercontrol
+}:
+
+{ version
+, src
+, meta
+}:
+
+rustPlatform.buildRustPackage {
+ pname = "coolercontrold";
+ inherit version src;
+ sourceRoot = "${src.name}/coolercontrold";
+
+ cargoHash = "sha256-Ybqr36AkcPnGJeFcCqg/zuWcaooZ1gJPCi5IbgXmeJ0=";
+
+ # copy the frontend static resources to a directory for embedding
+ postPatch = ''
+ mkdir -p ui-build
+ cp -R ${coolercontrol.coolercontrol-ui-data}/* ui-build/
+ substituteInPlace build.rs --replace '"./resources/app"' '"./ui-build"'
+ '';
+
+ postInstall = ''
+ install -Dm444 "${src}/packaging/systemd/coolercontrold.service" -t "$out/lib/systemd/system"
+ substituteInPlace "$out/lib/systemd/system/coolercontrold.service" \
+ --replace '/usr/bin' "$out/bin"
+ '';
+
+ passthru.tests.version = testers.testVersion {
+ package = coolercontrol.coolercontrold;
+ # coolercontrold prints its version with "v" prefix
+ version = "v${version}";
+ };
+
+ meta = meta // {
+ description = "${meta.description} (Main Daemon)";
+ mainProgram = "coolercontrold";
+ };
+}
diff --git a/pkgs/applications/system/coolercontrol/default.nix b/pkgs/applications/system/coolercontrol/default.nix
new file mode 100644
index 000000000000..6562da13d399
--- /dev/null
+++ b/pkgs/applications/system/coolercontrol/default.nix
@@ -0,0 +1,34 @@
+{ lib
+, fetchFromGitLab
+, callPackage
+}:
+
+let
+ version = "1.1.1";
+
+ src = fetchFromGitLab {
+ owner = "coolercontrol";
+ repo = "coolercontrol";
+ rev = version;
+ hash = "sha256-QgUYfiiADKVHqOU9WTv+VAqep0IU6Ezy8ZzJwkdHIJQ=";
+ };
+
+ meta = with lib; {
+ description = "Monitor and control your cooling devices";
+ homepage = "https://gitlab.com/coolercontrol/coolercontrol";
+ license = licenses.gpl3Plus;
+ platforms = [ "x86_64-linux" ];
+ maintainers = with maintainers; [ codifryed OPNA2608 ];
+ };
+
+ applySharedDetails = drv: drv { inherit version src meta; };
+in
+rec {
+ coolercontrol-ui-data = applySharedDetails (callPackage ./coolercontrol-ui-data.nix { });
+
+ coolercontrold = applySharedDetails (callPackage ./coolercontrold.nix { });
+
+ coolercontrol-gui = applySharedDetails (callPackage ./coolercontrol-gui.nix { });
+
+ coolercontrol-liqctld = applySharedDetails (callPackage ./coolercontrol-liqctld.nix { });
+}
diff --git a/pkgs/applications/system/glances/default.nix b/pkgs/applications/system/glances/default.nix
index 7e66aacf25c1..7a4db20a5032 100644
--- a/pkgs/applications/system/glances/default.nix
+++ b/pkgs/applications/system/glances/default.nix
@@ -48,6 +48,7 @@ buildPythonApplication rec {
meta = with lib; {
homepage = "https://nicolargo.github.io/glances/";
description = "Cross-platform curses-based monitoring tool";
+ mainProgram = "glances";
changelog = "https://github.com/nicolargo/glances/blob/v${version}/NEWS.rst";
license = licenses.lgpl3Only;
maintainers = with maintainers; [ jonringer primeos koral ];
diff --git a/pkgs/applications/system/mgmt/default.nix b/pkgs/applications/system/mgmt/default.nix
index 8a8396630815..bfa85ef566fd 100644
--- a/pkgs/applications/system/mgmt/default.nix
+++ b/pkgs/applications/system/mgmt/default.nix
@@ -63,5 +63,6 @@ buildGoModule rec {
homepage = "https://mgmtconfig.com";
license = licenses.gpl3Only;
maintainers = with maintainers; [ urandom ];
+ mainProgram = "mgmt";
};
}
diff --git a/pkgs/applications/system/psitop/default.nix b/pkgs/applications/system/psitop/default.nix
index cfcdf2488f6a..74edad8b18c0 100644
--- a/pkgs/applications/system/psitop/default.nix
+++ b/pkgs/applications/system/psitop/default.nix
@@ -23,5 +23,6 @@ buildGoModule rec {
homepage = "https://github.com/jamespwilliams/psitop";
license = licenses.mit;
maintainers = with maintainers; [ figsoda ];
+ mainProgram = "psitop";
};
}
diff --git a/pkgs/applications/system/qjournalctl/default.nix b/pkgs/applications/system/qjournalctl/default.nix
index 563d0904fc53..d3bec7e306d5 100644
--- a/pkgs/applications/system/qjournalctl/default.nix
+++ b/pkgs/applications/system/qjournalctl/default.nix
@@ -36,6 +36,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Qt-based graphical user interface for systemd's journalctl command";
+ mainProgram = "qjournalctl";
homepage = "https://github.com/pentix/qjournalctl";
license = licenses.gpl3Only;
platforms = platforms.all;
diff --git a/pkgs/applications/system/supergfxctl/default.nix b/pkgs/applications/system/supergfxctl/default.nix
index cb05475dd037..4180699155e6 100644
--- a/pkgs/applications/system/supergfxctl/default.nix
+++ b/pkgs/applications/system/supergfxctl/default.nix
@@ -7,16 +7,16 @@
rustPlatform.buildRustPackage rec {
pname = "supergfxctl";
- version = "5.1.2";
+ version = "5.2.1";
src = fetchFromGitLab {
owner = "asus-linux";
repo = "supergfxctl";
rev = version;
- hash = "sha256-HJGyjFeN3bq+ArCGfFHAMnjW76wSnNyxPWR0ELcyjLg=";
+ hash = "sha256-gjMLyT3pvQhmjz9SFbYlDAqg+tCX5ORkCkcnyBzO5ws=";
};
- cargoSha256 = "sha256-wPqCXbpSYXwsUi1mv9ZBSxdhDgDqsjKxnPzQcURlnDU=";
+ cargoHash = "sha256-ndugyiAYPDyue2Ajxx22BNvYc9iBTxZqP5OxaqmC7U0=";
postPatch = ''
substituteInPlace data/supergfxd.service --replace /usr/bin/supergfxd $out/bin/supergfxd
diff --git a/pkgs/applications/system/systemdgenie/default.nix b/pkgs/applications/system/systemdgenie/default.nix
index ad009be56355..c8d747a8e122 100644
--- a/pkgs/applications/system/systemdgenie/default.nix
+++ b/pkgs/applications/system/systemdgenie/default.nix
@@ -24,6 +24,7 @@ stdenv.mkDerivation rec{
meta = with lib; {
description = "Systemd management utility";
+ mainProgram = "systemdgenie";
homepage = "https://kde.org";
license = licenses.gpl2;
maintainers = [ maintainers.pasqui23 ];
diff --git a/pkgs/applications/system/zxfer/default.nix b/pkgs/applications/system/zxfer/default.nix
index b62c1262430c..855be7bc3be0 100644
--- a/pkgs/applications/system/zxfer/default.nix
+++ b/pkgs/applications/system/zxfer/default.nix
@@ -82,5 +82,6 @@ resholve.mkDerivation rec {
changelog = "https://github.com/allanjude/zxfer/releases/tag/v${version}";
license = licenses.bsd2;
maintainers = with lib.maintainers; [ urandom ];
+ mainProgram = "zxfer";
};
}
diff --git a/pkgs/applications/terminal-emulators/contour/default.nix b/pkgs/applications/terminal-emulators/contour/default.nix
index c106382108a4..19793c384f1b 100644
--- a/pkgs/applications/terminal-emulators/contour/default.nix
+++ b/pkgs/applications/terminal-emulators/contour/default.nix
@@ -30,13 +30,13 @@
stdenv.mkDerivation (final: {
pname = "contour";
- version = "0.4.2.6429";
+ version = "0.4.3.6442";
src = fetchFromGitHub {
owner = "contour-terminal";
repo = "contour";
rev = "v${final.version}";
- hash = "sha256-MUgGNglPojFFlGlwrF8ivu18jAnjjfs9pMqu0jLAsYg=";
+ hash = "sha256-m3BEhGbyQm07+1/h2IRhooLPDewmSuhRHOMpWPDluiY=";
};
patches = [ ./dont-fix-app-bundle.diff ];
diff --git a/pkgs/applications/terminal-emulators/foot/default.nix b/pkgs/applications/terminal-emulators/foot/default.nix
index ad9487b082c8..9f6cba1d55f1 100644
--- a/pkgs/applications/terminal-emulators/foot/default.nix
+++ b/pkgs/applications/terminal-emulators/foot/default.nix
@@ -135,7 +135,7 @@ stdenv.mkDerivation {
# https://codeberg.org/dnkl/foot/src/branch/master/INSTALL.md#release-build
CFLAGS =
if !doPgo
- then "-O3 -fno-plt"
+ then "-O3"
else pgoCflags;
# ar with gcc plugins for lto objects
@@ -165,10 +165,10 @@ stdenv.mkDerivation {
meson configure -Db_pgo=generate
ninja
# make sure there is _some_ profiling data on all binaries
+ meson test
./footclient --version
./foot --version
./utils/xtgettcap
- ./tests/test-config
# generate pgo data of wayland independent code
./pgo ${stimuliFile} ${stimuliFile} ${stimuliFile}
meson configure -Db_pgo=use
@@ -182,6 +182,10 @@ stdenv.mkDerivation {
moveToOutput share/foot/themes "$themes"
'';
+ doCheck = true;
+
+ strictDeps = true;
+
outputs = [ "out" "terminfo" "themes" ];
passthru.tests = {
@@ -208,19 +212,6 @@ stdenv.mkDerivation {
license = licenses.mit;
maintainers = [ maintainers.sternenseemann maintainers.abbe ];
platforms = platforms.linux;
- # From (presumably) ncurses version 6.3, it will ship a foot
- # terminfo file. This however won't include some non-standard
- # capabilities foot's bundled terminfo file contains. Unless we
- # want to have some features in e. g. vim or tmux stop working,
- # we need to make sure that the foot terminfo overwrites ncurses'
- # one. Due to
- # ncurses is always added to environment.systemPackages on
- # NixOS with its priority increased by 3, so we need to go
- # one bigger.
- # This doesn't matter a lot for local use since foot sets
- # TERMINFO to a store path, but allows installing foot.terminfo
- # on remote systems for proper foot terminfo support.
- priority = (ncurses.meta.priority or 5) + 3 + 1;
mainProgram = "foot";
};
}
diff --git a/pkgs/applications/terminal-emulators/kitty/default.nix b/pkgs/applications/terminal-emulators/kitty/default.nix
index 0cc73c17f393..c12891b98e4f 100644
--- a/pkgs/applications/terminal-emulators/kitty/default.nix
+++ b/pkgs/applications/terminal-emulators/kitty/default.nix
@@ -18,37 +18,39 @@
, libpng
, python3
, zlib
+, simde
, bashInteractive
, zsh
, fish
, nixosTests
-, go
-, buildGoModule
+, go_1_22
+, buildGo122Module
, nix-update-script
}:
with python3Packages;
buildPythonApplication rec {
pname = "kitty";
- version = "0.32.1";
+ version = "0.33.0";
format = "other";
src = fetchFromGitHub {
owner = "kovidgoyal";
repo = "kitty";
rev = "refs/tags/v${version}";
- hash = "sha256-d+Xwn+po/pclAy4UZ4pR4KWmriHCLPeMhXxoHp6wHT8=";
+ hash = "sha256-0bdDolaFbVI3CqcOtKFrvRqrKXIiSIfH5rxJgK5XssI=";
};
- goModules = (buildGoModule {
+ goModules = (buildGo122Module {
pname = "kitty-go-modules";
inherit src version;
- vendorHash = "sha256-WRDP3Uyttz/kWm07tjv7wNguF/a1YgZqutbvFEOHuE0=";
+ vendorHash = "sha256-7301wHGCXUdfPFOhgLEJILmYxNohNm6H2zXGd9W11Wk=";
}).goModules;
buildInputs = [
harfbuzz
ncurses
+ simde
lcms2
librsync
openssl.dev
@@ -78,7 +80,7 @@ buildPythonApplication rec {
sphinx-copybutton
sphinxext-opengraph
sphinx-inline-tabs
- go
+ go_1_22
] ++ lib.optionals stdenv.isDarwin [
imagemagick
libicns # For the png2icns tool.
@@ -232,7 +234,9 @@ buildPythonApplication rec {
'';
passthru = {
- tests.test = nixosTests.terminal-emulators.kitty;
+ tests = lib.mkIf stdenv.isLinux {
+ default = nixosTests.terminal-emulators.kitty;
+ };
updateScript = nix-update-script {};
};
diff --git a/pkgs/applications/terminal-emulators/rio/default.nix b/pkgs/applications/terminal-emulators/rio/default.nix
index afb380134b58..ee77c4c3d457 100644
--- a/pkgs/applications/terminal-emulators/rio/default.nix
+++ b/pkgs/applications/terminal-emulators/rio/default.nix
@@ -51,16 +51,16 @@ let
in
rustPlatform.buildRustPackage rec {
pname = "rio";
- version = "0.0.34";
+ version = "0.0.36";
src = fetchFromGitHub {
owner = "raphamorim";
repo = "rio";
rev = "v${version}";
- hash = "sha256-UHA2j7NOPBl7qrCu5bWLHjpVgWxlydtj0F7lfAlQZXg=";
+ hash = "sha256-QCQFFnlKD5olaGjRwDlj5/EBV6Qy/bFAZOQRtCSPamc=";
};
- cargoHash = "sha256-xqLticREnGxsuo2d7d3VaFWbGJ5A1L7GvDwV7qQ61xs=";
+ cargoHash = "sha256-Ea0scCbM9mfxC1YL3HCoBk93eVW20bj2mJyauyDSzT8=";
nativeBuildInputs = [
ncurses
diff --git a/pkgs/applications/terminal-emulators/st/mcaimi-st.nix b/pkgs/applications/terminal-emulators/st/mcaimi-st.nix
index 11c89cfab670..7ff35a5cb77c 100644
--- a/pkgs/applications/terminal-emulators/st/mcaimi-st.nix
+++ b/pkgs/applications/terminal-emulators/st/mcaimi-st.nix
@@ -42,6 +42,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/gnotclub/xst";
description = "Suckless Terminal fork";
+ mainProgram = "st";
license = licenses.mit;
maintainers = with maintainers; [ AndersonTorres ];
platforms = platforms.linux;
diff --git a/pkgs/applications/terminal-emulators/st/xst.nix b/pkgs/applications/terminal-emulators/st/xst.nix
index b0d46a2c22a0..3f48a71012c3 100644
--- a/pkgs/applications/terminal-emulators/st/xst.nix
+++ b/pkgs/applications/terminal-emulators/st/xst.nix
@@ -42,6 +42,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/gnotclub/xst";
description = "Simple terminal fork that can load config from Xresources";
+ mainProgram = "xst";
license = licenses.mit;
maintainers = [ maintainers.vyp ];
platforms = platforms.linux;
diff --git a/pkgs/applications/terminal-emulators/syncterm/default.nix b/pkgs/applications/terminal-emulators/syncterm/default.nix
index 05e521b24a32..36a02e08bbd5 100644
--- a/pkgs/applications/terminal-emulators/syncterm/default.nix
+++ b/pkgs/applications/terminal-emulators/syncterm/default.nix
@@ -46,6 +46,7 @@ stdenv.mkDerivation rec {
broken = (stdenv.isLinux && stdenv.isAarch64) || stdenv.isDarwin;
homepage = "https://syncterm.bbsdev.net/";
description = "BBS terminal emulator";
+ mainProgram = "syncterm";
maintainers = with maintainers; [ embr ];
platforms = platforms.unix;
license = licenses.gpl2Plus;
diff --git a/pkgs/applications/terminal-emulators/xterm/default.nix b/pkgs/applications/terminal-emulators/xterm/default.nix
index b0d8dd7a4b39..6bae150c97b8 100644
--- a/pkgs/applications/terminal-emulators/xterm/default.nix
+++ b/pkgs/applications/terminal-emulators/xterm/default.nix
@@ -4,14 +4,14 @@
stdenv.mkDerivation rec {
pname = "xterm";
- version = "388";
+ version = "390";
src = fetchurl {
urls = [
"ftp://ftp.invisible-island.net/xterm/${pname}-${version}.tgz"
"https://invisible-mirror.net/archives/xterm/${pname}-${version}.tgz"
];
- hash = "sha256-rEKTReb5N6WUWonUJaJl/ubCFfxmnb3GoDJuIfTF9nQ=";
+ hash = "sha256-dRF8PMUXSgnEJe8QbmlATXL17wXgOl2gCq8VeS1vnA8=";
};
strictDeps = true;
diff --git a/pkgs/applications/version-management/arch/default.nix b/pkgs/applications/version-management/arch/default.nix
index c161d8446acf..146469bbbb3e 100644
--- a/pkgs/applications/version-management/arch/default.nix
+++ b/pkgs/applications/version-management/arch/default.nix
@@ -25,6 +25,7 @@ stdenv.mkDerivation rec {
meta = {
description = "GNU Arch (aka. `tla'), a distributed revision control system";
+ mainProgram = "tla";
homepage = "https://www.gnu.org/software/gnu-arch/";
license = "GPL";
};
diff --git a/pkgs/applications/version-management/blackbox/default.nix b/pkgs/applications/version-management/blackbox/default.nix
index bee8da850b70..c98ff367c668 100644
--- a/pkgs/applications/version-management/blackbox/default.nix
+++ b/pkgs/applications/version-management/blackbox/default.nix
@@ -31,7 +31,7 @@ stdenv.mkDerivation rec {
expect
which
coreutils
- pinentry.tty
+ pinentry
git
gnutar
procps
diff --git a/pkgs/applications/version-management/commitizen/default.nix b/pkgs/applications/version-management/commitizen/default.nix
index d7abe6812c5c..537c1bfcd607 100644
--- a/pkgs/applications/version-management/commitizen/default.nix
+++ b/pkgs/applications/version-management/commitizen/default.nix
@@ -11,7 +11,7 @@
python3.pkgs.buildPythonApplication rec {
pname = "commitizen";
- version = "3.14.1";
+ version = "3.20.0";
format = "pyproject";
disabled = python3.pythonOlder "3.8";
@@ -20,7 +20,7 @@ python3.pkgs.buildPythonApplication rec {
owner = "commitizen-tools";
repo = pname;
rev = "refs/tags/v${version}";
- hash = "sha256-yRcc87V4XJuTyrngQgPGJozk+hd7SRHERLvsQ/yZKYQ=";
+ hash = "sha256-lruEkXgbND2Q49J9mnDSqDA4kWiUBIg1mI+s1a+V3ds=";
};
pythonRelaxDeps = [
@@ -55,7 +55,7 @@ python3.pkgs.buildPythonApplication rec {
pytest-freezer
pytest-mock
pytest-regressions
- pytestCheckHook
+ (pytestCheckHook.override { pytest = pytest_7; })
];
doCheck = true;
diff --git a/pkgs/applications/version-management/conform/default.nix b/pkgs/applications/version-management/conform/default.nix
index 7ee825f566ec..65ce7e63d603 100644
--- a/pkgs/applications/version-management/conform/default.nix
+++ b/pkgs/applications/version-management/conform/default.nix
@@ -2,15 +2,16 @@
buildGoModule rec {
pname = "conform";
- version = "0.1.0-alpha.27";
+ version = "0.1.0-alpha.29";
src = fetchFromGitHub {
owner = "siderolabs";
repo = "conform";
rev = "v${version}";
- sha256 = "sha256-lIXkflWQcUcmRDX9iSszFLKpI8nSgkCCB2+GQn07+DM=";
+ hash = "sha256-5knN+lTedUdgWG8rb3zuJAxx9N0lt7LjJvmSJhdTSgw=";
};
- vendorHash = "sha256-Oigt7tAK4jhBQtfG1wdLHqi11NWu6uJn5fmuqTmR76E=";
+
+ vendorHash = "sha256-hDdNYXy5NIrlqT6yyOglFg2v7HOM9nE+oh7mx2kLdnQ=";
ldflags = [
"-s"
diff --git a/pkgs/applications/version-management/delta/default.nix b/pkgs/applications/version-management/delta/default.nix
index 7038ed13dc89..3065d5672193 100644
--- a/pkgs/applications/version-management/delta/default.nix
+++ b/pkgs/applications/version-management/delta/default.nix
@@ -11,16 +11,16 @@
rustPlatform.buildRustPackage rec {
pname = "delta";
- version = "0.16.5";
+ version = "0.17.0";
src = fetchFromGitHub {
owner = "dandavison";
repo = pname;
rev = version;
- hash = "sha256-W6XtfXfOP8QfQ0t5hquFdYvCO9muE50N1fQsNtnOzfM=";
+ hash = "sha256-r0ED9o2UP91fe6Bng5ioJra5S1bg+UEXMLeSQPkMswI=";
};
- cargoHash = "sha256-SNKbgEyelJCHKCaBRfCGc3RECGABtZzMC2rCbhzqZtU=";
+ cargoHash = "sha256-3CxRNhcjfDK/xUuM3w+GwqE0+X6WT92/LGj/qRp0TwA=";
nativeBuildInputs = [
installShellFiles
diff --git a/pkgs/applications/version-management/forgejo/default.nix b/pkgs/applications/version-management/forgejo/default.nix
index 07ce100f2a9a..c76b6ee98408 100644
--- a/pkgs/applications/version-management/forgejo/default.nix
+++ b/pkgs/applications/version-management/forgejo/default.nix
@@ -39,17 +39,17 @@ let
in
buildGoModule rec {
pname = "forgejo";
- version = "1.21.5-0";
+ version = "1.21.7-0";
src = fetchFromGitea {
domain = "codeberg.org";
owner = "forgejo";
repo = "forgejo";
rev = "v${version}";
- hash = "sha256-SmNmMlO9bEccrk0oWm7VnBaIRGJgTQ5hOSIn6DRiYqk=";
+ hash = "sha256-wYwQnZRIJSbwI+kOPedxnIdfhQ/wWxXpOpdfcFono6k=";
};
- vendorHash = "sha256-5BznZiPZCwFEl74JVf7ujFtzsTyG6AcKvQG0LdaMKe4=";
+ vendorHash = "sha256-Mptfd1WoUXNQkw7sa/GxIO7s5V5/9VmVBtvPCjMsa/4=";
subPackages = [ "." ];
diff --git a/pkgs/applications/version-management/gfold/default.nix b/pkgs/applications/version-management/gfold/default.nix
index 0acd75d202ff..1459e2f18e80 100644
--- a/pkgs/applications/version-management/gfold/default.nix
+++ b/pkgs/applications/version-management/gfold/default.nix
@@ -39,7 +39,7 @@ rustPlatform.buildRustPackage {
"CLI tool to help keep track of your Git repositories, written in Rust";
homepage = "https://github.com/nickgerace/gfold";
license = licenses.asl20;
- maintainers = [ maintainers.shanesveller ];
+ maintainers = [];
platforms = platforms.unix;
mainProgram = "gfold";
};
diff --git a/pkgs/applications/version-management/gh/default.nix b/pkgs/applications/version-management/gh/default.nix
index 33d968c53757..a8b65a6961d1 100644
--- a/pkgs/applications/version-management/gh/default.nix
+++ b/pkgs/applications/version-management/gh/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "gh";
- version = "2.43.1";
+ version = "2.46.0";
src = fetchFromGitHub {
owner = "cli";
repo = "cli";
rev = "v${version}";
- hash = "sha256-FPKYp3tdYXncHjfo6E6tRnEG9AQ0RU6FaezwMlTOCtA=";
+ hash = "sha256-UvHLOG7/IJOzqFSu9Bbho+ldgvvGCiVjJK0epnYxZF8=";
};
- vendorHash = "sha256-r1zcwBz/mJOv1RU4Ilgg73yH37xu7a/BmqgAkiODq0I=";
+ vendorHash = "sha256-hZ8YGGrkeqI8079KSQM3E8SISb8lzFo4kQx2G+8HpNM=";
nativeBuildInputs = [ installShellFiles ];
diff --git a/pkgs/applications/version-management/ghq/default.nix b/pkgs/applications/version-management/ghq/default.nix
index 17ac4a3b0894..f23fd8b441ac 100644
--- a/pkgs/applications/version-management/ghq/default.nix
+++ b/pkgs/applications/version-management/ghq/default.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "ghq";
- version = "1.4.2";
+ version = "1.5.0";
src = fetchFromGitHub {
owner = "x-motemen";
repo = "ghq";
rev = "v${version}";
- sha256 = "sha256-ggTx5Kz9cRqOqxxzERv4altf7m1GlreGgOiYCnHyJks=";
+ sha256 = "sha256-l+Ycts7PSKR72GsHJ1zWqpyd0BMMib/GTUv+B0x6d8M=";
};
vendorHash = "sha256-6ZDvU3RQ/1M4DZMFOaQsEuodldB8k+2thXNhvZlVQEg=";
diff --git a/pkgs/applications/version-management/git-absorb/default.nix b/pkgs/applications/version-management/git-absorb/default.nix
index b45df5d9a0f6..13dcac9fde6d 100644
--- a/pkgs/applications/version-management/git-absorb/default.nix
+++ b/pkgs/applications/version-management/git-absorb/default.nix
@@ -2,20 +2,20 @@
rustPlatform.buildRustPackage rec {
pname = "git-absorb";
- version = "0.6.11";
+ version = "0.6.12";
src = fetchFromGitHub {
owner = "tummychow";
repo = "git-absorb";
rev = "refs/tags/${version}";
- hash = "sha256-SYBJKnzv2oktWubgm/j6wEeoLSBjGqQVXQf9LOaq+NU=";
+ hash = "sha256-yHCO1v1d0MUakae16fFVvtKG3rVxU/Cii/G6IKzyebA=";
};
nativeBuildInputs = [ installShellFiles ];
buildInputs = lib.optionals stdenv.isDarwin [ Security ];
- cargoHash = "sha256-i2ntM7NTi+hiB7DxEEV+3SXV8sVVWcNanif/wUZ9XvI=";
+ cargoHash = "sha256-Bx7gH7jSLizG95JyBtziPBby9mF1Nj3CQexIg6gaiM0=";
postInstall = ''
installManPage Documentation/git-absorb.1
diff --git a/pkgs/applications/version-management/git-aggregator/default.nix b/pkgs/applications/version-management/git-aggregator/default.nix
index 22127c114110..34365cb5d90d 100644
--- a/pkgs/applications/version-management/git-aggregator/default.nix
+++ b/pkgs/applications/version-management/git-aggregator/default.nix
@@ -37,7 +37,7 @@ python3Packages.buildPythonApplication rec {
description = "Manage the aggregation of git branches from different remotes to build a consolidated one";
homepage = "https://github.com/acsone/git-aggregator";
license = licenses.agpl3Plus;
- maintainers = with maintainers; [ lourkeur ];
+ maintainers = with maintainers; [ bbjubjub ];
mainProgram = "gitaggregate";
};
}
diff --git a/pkgs/applications/version-management/git-annex-metadata-gui/default.nix b/pkgs/applications/version-management/git-annex-metadata-gui/default.nix
index 4d57820c84eb..3fcde8f900cc 100644
--- a/pkgs/applications/version-management/git-annex-metadata-gui/default.nix
+++ b/pkgs/applications/version-management/git-annex-metadata-gui/default.nix
@@ -26,6 +26,7 @@ buildPythonApplication rec {
meta = with lib; {
homepage = "https://github.com/alpernebbi/git-annex-metadata-gui";
description = "Graphical interface for git-annex metadata commands";
+ mainProgram = "git-annex-metadata-gui";
maintainers = with maintainers; [ dotlambda ];
license = licenses.gpl3Plus;
platforms = with platforms; linux;
diff --git a/pkgs/applications/version-management/git-branchless/default.nix b/pkgs/applications/version-management/git-branchless/default.nix
index 6bcfb0a6cdc7..a8041f2698bb 100644
--- a/pkgs/applications/version-management/git-branchless/default.nix
+++ b/pkgs/applications/version-management/git-branchless/default.nix
@@ -37,6 +37,10 @@ rustPlatform.buildRustPackage rec {
libiconv
];
+ postInstall = ''
+ $out/bin/git-branchless install-man-pages $out/share/man
+ '';
+
preCheck = ''
export TEST_GIT=${git}/bin/git
export TEST_GIT_EXEC_PATH=$(${git}/bin/git --exec-path)
diff --git a/pkgs/applications/version-management/git-cache/default.nix b/pkgs/applications/version-management/git-cache/default.nix
index 147570c7afd1..7b31fca2b995 100644
--- a/pkgs/applications/version-management/git-cache/default.nix
+++ b/pkgs/applications/version-management/git-cache/default.nix
@@ -21,6 +21,7 @@ stdenv.mkDerivation {
homepage = "https://github.com/Seb35/git-cache";
license = licenses.wtfpl;
description = "A program to add and manage a system-wide or user-wide cache for remote git repositories";
+ mainProgram = "git-cache";
platforms = platforms.unix;
maintainers = with maintainers; [ maxhearnden ];
};
diff --git a/pkgs/applications/version-management/git-cliff/default.nix b/pkgs/applications/version-management/git-cliff/default.nix
index 7a1179dba301..b8b2a28a7795 100644
--- a/pkgs/applications/version-management/git-cliff/default.nix
+++ b/pkgs/applications/version-management/git-cliff/default.nix
@@ -3,26 +3,27 @@
, fetchFromGitHub
, rustPlatform
, Security
+, SystemConfiguration
}:
rustPlatform.buildRustPackage rec {
pname = "git-cliff";
- version = "1.4.0";
+ version = "2.1.2";
src = fetchFromGitHub {
owner = "orhun";
repo = "git-cliff";
rev = "v${version}";
- hash = "sha256-OK2eoWlqlpf/X8EGMnWTv9Gs5FkYvW5rmQDB/Mkbp60=";
+ hash = "sha256-5NWMpdrOWQcA3cxd5WNtnamnSMuZU3BGEMlRZ8NR+NE=";
};
- cargoHash = "sha256-gtkpZKOaG5p79uJ9cbbGdiOX57bDFTf2/Bd8+WToJrw=";
+ cargoHash = "sha256-kIO3mD4SdQqlZYty8QWOBVvmaXujcEijeRONGYNZSng=";
# attempts to run the program on .git in src which is not deterministic
doCheck = false;
buildInputs = lib.optionals stdenv.isDarwin [
- Security
+ Security SystemConfiguration
];
meta = with lib; {
diff --git a/pkgs/applications/version-management/git-codereview/default.nix b/pkgs/applications/version-management/git-codereview/default.nix
index 98a616d4dff9..2bbcc10202af 100644
--- a/pkgs/applications/version-management/git-codereview/default.nix
+++ b/pkgs/applications/version-management/git-codereview/default.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "git-codereview";
- version = "1.9.0";
+ version = "1.10.0";
src = fetchFromGitHub {
owner = "golang";
repo = "review";
rev = "v${version}";
- hash = "sha256-Nnjo4MwkpFp1OTJZ+eeiJKboBGiRW520iWcJbu8cBnE=";
+ hash = "sha256-aLvx9lYQJYUw2XBj+2P+yEJMboUjmHKzxP5QA3N93JA=";
};
vendorHash = null;
diff --git a/pkgs/applications/version-management/git-cola/default.nix b/pkgs/applications/version-management/git-cola/default.nix
index a8d8cb8b7983..f7182140e017 100644
--- a/pkgs/applications/version-management/git-cola/default.nix
+++ b/pkgs/applications/version-management/git-cola/default.nix
@@ -1,20 +1,48 @@
-{ stdenv, lib, fetchFromGitHub, python3Packages, gettext, git, qt5, gitUpdater }:
+{ stdenv
+, lib
+, fetchFromGitHub
+, python3Packages
+, gettext
+, git
+, qt5
+, gitUpdater
+}:
python3Packages.buildPythonApplication rec {
pname = "git-cola";
- version = "4.5.0";
+ version = "4.6.1";
+ pyproject = true;
src = fetchFromGitHub {
owner = "git-cola";
repo = "git-cola";
rev = "v${version}";
- hash = "sha256-HORGtpiZGWpeRDhr4E9KW5LSAD6r74l7rl6RhhVtiJo=";
+ hash = "sha256-qAvoBVZt2IwrWFNzGWpCZqj8gbjysGlB/VXaa1CMH4o=";
};
- buildInputs = lib.optionals stdenv.isLinux [ qt5.qtwayland ];
- propagatedBuildInputs = with python3Packages; [ git pyqt5 qtpy send2trash ];
- nativeBuildInputs = with python3Packages; [ setuptools-scm gettext qt5.wrapQtAppsHook ];
- nativeCheckInputs = with python3Packages; [ git pytestCheckHook ];
+ buildInputs = lib.optionals stdenv.isLinux [
+ qt5.qtwayland
+ ];
+
+ propagatedBuildInputs = with python3Packages; [
+ setuptools
+ git
+ pyqt5
+ qtpy
+ send2trash
+ polib
+ ];
+
+ nativeBuildInputs = with python3Packages; [
+ setuptools-scm
+ gettext
+ qt5.wrapQtAppsHook
+ ];
+
+ nativeCheckInputs = with python3Packages; [
+ git
+ pytestCheckHook
+ ];
disabledTestPaths = [
"qtpy/"
diff --git a/pkgs/applications/version-management/git-credential-oauth/default.nix b/pkgs/applications/version-management/git-credential-oauth/default.nix
index d04faab2a65c..66d2439a00ed 100644
--- a/pkgs/applications/version-management/git-credential-oauth/default.nix
+++ b/pkgs/applications/version-management/git-credential-oauth/default.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "git-credential-oauth";
- version = "0.11.0";
+ version = "0.11.1";
src = fetchFromGitHub {
owner = "hickford";
repo = pname;
rev = "v${version}";
- hash = "sha256-Zxdd4JhSoaAFx8neZqdOZSZEOTtupZHnX+5ziYxbw6s=";
+ hash = "sha256-bqyoAAqli0L6Kf+W1sTh2vmmfaIj2OdpQyvQZnYOWWA=";
};
ldflags = [
diff --git a/pkgs/applications/version-management/git-dive/default.nix b/pkgs/applications/version-management/git-dive/default.nix
index 983d7b3dd672..c0209c38cfa9 100644
--- a/pkgs/applications/version-management/git-dive/default.nix
+++ b/pkgs/applications/version-management/git-dive/default.nix
@@ -2,8 +2,7 @@
, rustPlatform
, fetchFromGitHub
, pkg-config
- # libgit2-sys doesn't support libgit2 1.6 yet
-, libgit2_1_5
+, libgit2
, oniguruma
, zlib
, stdenv
@@ -29,7 +28,7 @@ rustPlatform.buildRustPackage rec {
];
buildInputs = [
- libgit2_1_5
+ libgit2
oniguruma
zlib
] ++ lib.optionals stdenv.isDarwin [
@@ -54,7 +53,10 @@ rustPlatform.buildRustPackage rec {
git config --global user.email nixbld@example.com
'';
- RUSTONIG_SYSTEM_LIBONIG = true;
+ env = {
+ LIBGIT2_NO_VENDOR = 1;
+ RUSTONIG_SYSTEM_LIBONIG = true;
+ };
meta = with lib; {
description = "Dive into a file's history to find root cause";
diff --git a/pkgs/applications/version-management/git-gone/default.nix b/pkgs/applications/version-management/git-gone/default.nix
index 559c81dd48c6..133bc35f64fa 100644
--- a/pkgs/applications/version-management/git-gone/default.nix
+++ b/pkgs/applications/version-management/git-gone/default.nix
@@ -8,16 +8,16 @@
rustPlatform.buildRustPackage rec {
pname = "git-gone";
- version = "1.0.0";
+ version = "1.1.0";
src = fetchFromGitHub {
owner = "swsnr";
repo = "git-gone";
rev = "v${version}";
- hash = "sha256-cEMFbG7L48s1SigLD/HfQ2NplGZPpO+KIgs3oV3rgQQ=";
+ hash = "sha256-Mc9/P4VBmLOC05xqdx/yopbhvdpQS3uejc4YA7BIgug=";
};
- cargoHash = "sha256-CCPVjOWM59ELd4AyT968v6kvGdVwkMxxLZGDiJlLkzA=";
+ cargoHash = "sha256-NyyficEDJReMLAw2VAK2fOXNIwHilnUqQRACGck+0Vo=";
nativeBuildInputs = [ installShellFiles ];
diff --git a/pkgs/applications/version-management/git-ignore/default.nix b/pkgs/applications/version-management/git-ignore/default.nix
index ca931ac2786d..b32b1aa52161 100644
--- a/pkgs/applications/version-management/git-ignore/default.nix
+++ b/pkgs/applications/version-management/git-ignore/default.nix
@@ -8,16 +8,16 @@
rustPlatform.buildRustPackage rec {
pname = "git-ignore";
- version = "1.2.2";
+ version = "1.3.3";
src = fetchFromGitHub {
owner = "sondr3";
repo = pname;
rev = "v${version}";
- hash = "sha256-kIRuoY0dM2t+aY4iYdik9gUpG+81sDiJLD11Bmx68FI=";
+ hash = "sha256-OVKRNj3tRi/PGY1m4rdpmH87laYzTkCiwoBc3txVJ3U=";
};
- cargoHash = "sha256-6sb+OW5VtA6vY6fDtsaZePZD53ehH7QawxJJlUNsrnM=";
+ cargoHash = "sha256-dAQKL+sMThpTqBoN5MZvm8tQUJhaSH7lT8DwbjzFq40=";
nativeBuildInputs = [
installShellFiles
diff --git a/pkgs/applications/version-management/git-lfs/default.nix b/pkgs/applications/version-management/git-lfs/default.nix
index 6988e590cf72..430d0fe1f759 100644
--- a/pkgs/applications/version-management/git-lfs/default.nix
+++ b/pkgs/applications/version-management/git-lfs/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "git-lfs";
- version = "3.4.1";
+ version = "3.5.1";
src = fetchFromGitHub {
owner = "git-lfs";
repo = "git-lfs";
rev = "v${version}";
- hash = "sha256-XqxkNCC2yzUTVOi/1iDsnxtLkw4jfQuBh9UsjtZ1zVc=";
+ hash = "sha256-xSLXbAvIoY3c341qi89pTrjBZdXh/bPrweJD2O2gkjY=";
};
- vendorHash = "sha256-VmPeQYWOHFqFLHKcKH3WHz50yx7GMHVIDPzqiVwwjSg=";
+ vendorHash = "sha256-N8HB2qwBxjzfNucftHxmX2W9srCx62pjmkCWzwiCj/I=";
nativeBuildInputs = [ asciidoctor installShellFiles ];
diff --git a/pkgs/applications/version-management/git-machete/default.nix b/pkgs/applications/version-management/git-machete/default.nix
index 776ec66d3a77..a038435b8d60 100644
--- a/pkgs/applications/version-management/git-machete/default.nix
+++ b/pkgs/applications/version-management/git-machete/default.nix
@@ -12,13 +12,13 @@
buildPythonApplication rec {
pname = "git-machete";
- version = "3.22.0";
+ version = "3.24.2";
src = fetchFromGitHub {
owner = "virtuslab";
repo = pname;
rev = "v${version}";
- hash = "sha256-2oEpBNMHj4qpkPp8rXEMsRRiRQeC30hQCQh7d8bOLUU=";
+ hash = "sha256-nxfSdgGF/hDFf7KIJ+tqCvxEi1GOjTAbpcJylIqhd/M=";
};
nativeBuildInputs = [ installShellFiles ];
diff --git a/pkgs/applications/version-management/git-mit/default.nix b/pkgs/applications/version-management/git-mit/default.nix
index d4dece40ebde..bc59aa6ffda1 100644
--- a/pkgs/applications/version-management/git-mit/default.nix
+++ b/pkgs/applications/version-management/git-mit/default.nix
@@ -2,7 +2,7 @@
, rustPlatform
, fetchFromGitHub
, pkg-config
-, libgit2_1_5
+, libgit2
, openssl
, zlib
, stdenv
@@ -10,7 +10,7 @@
}:
let
- version = "5.12.186";
+ version = "5.12.191";
in
rustPlatform.buildRustPackage {
pname = "git-mit";
@@ -20,21 +20,25 @@ rustPlatform.buildRustPackage {
owner = "PurpleBooth";
repo = "git-mit";
rev = "v${version}";
- hash = "sha256-895QAtKUzqiWffw5IgovXBiARncelrmz1FUEbeHYoW0=";
+ hash = "sha256-aSEoAs0s7zyALf3s77eVlrjkCrn7ihW/4OW5hN8YL8k=";
};
- cargoHash = "sha256-E3xwZ9oB7oe5gVLAasvo1MWPjDPLKZgSX98VZAq2O3k=";
+ cargoHash = "sha256-pm+XreLGxZJKRcrmU1ooMjN7MTRJqgKOy2J1OqdodxE=";
nativeBuildInputs = [ pkg-config ];
buildInputs = [
- libgit2_1_5
+ libgit2
openssl
zlib
] ++ lib.optionals stdenv.isDarwin [
darwin.apple_sdk.frameworks.AppKit
];
+ env = {
+ LIBGIT2_NO_VENDOR = 1;
+ };
+
meta = with lib; {
description = "Minimalist set of hooks to aid pairing and link commits to issues";
homepage = "https://github.com/PurpleBooth/git-mit";
diff --git a/pkgs/applications/version-management/git-review/default.nix b/pkgs/applications/version-management/git-review/default.nix
index e32d89c042ca..2f7defa3ee55 100644
--- a/pkgs/applications/version-management/git-review/default.nix
+++ b/pkgs/applications/version-management/git-review/default.nix
@@ -9,7 +9,7 @@
buildPythonApplication rec {
pname = "git-review";
- version = "2.3.1";
+ version = "2.4.0";
# Manually set version because prb wants to get it from the git
# upstream repository (and we are installing from tarball instead)
@@ -18,9 +18,9 @@ buildPythonApplication rec {
src = fetchFromGitea {
domain = "opendev.org";
owner = "opendev";
- repo = pname;
+ repo = "git-review";
rev = version;
- sha256 = "sha256-C8M4b/paHJB9geizc1eIhXsTuLeeS4dDisCfCQF1RuU=";
+ hash = "sha256-UfYc662NqnQt0+CKc+18jXnNTOcZv8urCNBsWd6x0VQ=";
};
outputs = [ "out" "man" ];
diff --git a/pkgs/applications/version-management/git-subrepo/default.nix b/pkgs/applications/version-management/git-subrepo/default.nix
index 39b054d2a303..e861bc17b8ca 100644
--- a/pkgs/applications/version-management/git-subrepo/default.nix
+++ b/pkgs/applications/version-management/git-subrepo/default.nix
@@ -40,6 +40,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/ingydotnet/git-subrepo";
description = "Git submodule alternative";
+ mainProgram = "git-subrepo";
license = licenses.mit;
platforms = platforms.unix;
maintainers = with maintainers; [ ryantrinkle ];
diff --git a/pkgs/applications/version-management/git/default.nix b/pkgs/applications/version-management/git/default.nix
index 24eb5c15f5e6..8f57c97230fb 100644
--- a/pkgs/applications/version-management/git/default.nix
+++ b/pkgs/applications/version-management/git/default.nix
@@ -29,7 +29,7 @@ assert sendEmailSupport -> perlSupport;
assert svnSupport -> perlSupport;
let
- version = "2.43.0";
+ version = "2.44.0";
svn = subversionClient.override { perlBindings = perlSupport; };
gitwebPerlLibs = with perlPackages; [ CGI HTMLParser CGIFast FCGI FCGIProcManager HTMLTagCloud ];
in
@@ -42,7 +42,7 @@ stdenv.mkDerivation (finalAttrs: {
src = fetchurl {
url = "https://www.kernel.org/pub/software/scm/git/git-${version}.tar.xz";
- hash = "sha256-VEZgPnPZEXgdJZ5WV1Dc0nekKDbI45LKyRzxN6qbduw=";
+ hash = "sha256-41hzjctbXqNAzpAKABXAOuhugE5/9k5HqkYx3e5oHeM=";
};
outputs = [ "out" ] ++ lib.optional withManual "doc";
@@ -318,25 +318,20 @@ stdenv.mkDerivation (finalAttrs: {
disable_test t0001-init 'shared overrides system'
disable_test t0001-init 'init honors global core.sharedRepository'
disable_test t1301-shared-repo
- # git-completion.bash: line 405: compgen: command not found:
- disable_test t9902-completion 'option aliases are shown with GIT_COMPLETION_SHOW_ALL'
+ # /build/git-2.44.0/contrib/completion/git-completion.bash: line 452: compgen: command not found
+ disable_test t9902-completion
# Our patched gettext never fallbacks
disable_test t0201-gettext-fallbacks
-
- ${lib.optionalString (!sendEmailSupport) ''
- # Disable sendmail tests
- disable_test t9001-send-email
- ''}
-
+ '' + lib.optionalString (!sendEmailSupport) ''
+ # Disable sendmail tests
+ disable_test t9001-send-email
+ '' + ''
# XXX: I failed to understand why this one fails.
# Could someone try to re-enable it on the next release ?
# Tested to fail: 2.18.0 and 2.19.0
disable_test t1700-split-index "null sha1"
- # Tested to fail: 2.18.0
- disable_test t9902-completion "sourcing the completion script clears cached --options"
-
# Flaky tests:
disable_test t5319-multi-pack-index
disable_test t6421-merge-partial-clone
@@ -345,12 +340,11 @@ stdenv.mkDerivation (finalAttrs: {
disable_test t0021-conversion
disable_test t3910-mac-os-precompose
- ${lib.optionalString (!perlSupport) ''
- # request-pull is a Bash script that invokes Perl, so it is not available
- # when NO_PERL=1, and the test should be skipped, but the test suite does
- # not check for the Perl prerequisite.
- disable_test t5150-request-pull
- ''}
+ '' + lib.optionalString (!perlSupport) ''
+ # request-pull is a Bash script that invokes Perl, so it is not available
+ # when NO_PERL=1, and the test should be skipped, but the test suite does
+ # not check for the Perl prerequisite.
+ disable_test t5150-request-pull
'' + lib.optionalString stdenv.isDarwin ''
# XXX: Some tests added in 2.24.0 fail.
# Please try to re-enable on the next release.
@@ -358,8 +352,6 @@ stdenv.mkDerivation (finalAttrs: {
# fail (as of 2.33.0)
#===( 18623;1208 8/? 224/? 2/? )= =fatal: Not a valid object name refs/tags/signed-empty
disable_test t6300-for-each-ref
- #===( 22665;1651 9/? 1/? 0/? 0/? )= =/private/tmp/nix-build-git-2.33.0.drv-2/git-2.33.0/t/../contrib/completion/git-completion.bash: line 405: compgen: command not found
- disable_test t9902-completion
# not ok 1 - populate workdir (with 2.33.1 on x86_64-darwin)
disable_test t5003-archive-zip
'' + lib.optionalString (stdenv.isDarwin && stdenv.isAarch64) ''
diff --git a/pkgs/applications/version-management/gitea/default.nix b/pkgs/applications/version-management/gitea/default.nix
index 09114d2275e7..e5d3d257684a 100644
--- a/pkgs/applications/version-management/gitea/default.nix
+++ b/pkgs/applications/version-management/gitea/default.nix
@@ -20,12 +20,12 @@
buildGoModule rec {
pname = "gitea";
- version = "1.21.5";
+ version = "1.21.9";
# not fetching directly from the git repo, because that lacks several vendor files for the web UI
src = fetchurl {
url = "https://dl.gitea.com/gitea/${version}/gitea-src-${version}.tar.gz";
- hash = "sha256-VnJF6CSssQYs8yIKmXvxYHh2CfLiJhuKtjRdqKIQGxw=";
+ hash = "sha256-4o5pSkC9jl8rI68naorDrRE4Rm0/chj3+cRxZqoziIU=";
};
vendorHash = null;
diff --git a/pkgs/applications/version-management/gitflow/default.nix b/pkgs/applications/version-management/gitflow/default.nix
index 09ab7031bd23..de291113daea 100644
--- a/pkgs/applications/version-management/gitflow/default.nix
+++ b/pkgs/applications/version-management/gitflow/default.nix
@@ -27,6 +27,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/petervanderdoes/gitflow";
description = "Extend git with the Gitflow branching model";
+ mainProgram = "git-flow";
longDescription = ''
A set of scripts that provide high-level repository operations
for managing feature/release/hotfix branches in a Git repository,
diff --git a/pkgs/applications/version-management/gitkraken/default.nix b/pkgs/applications/version-management/gitkraken/default.nix
index 21e8ab9fe3ea..cedf8791fa79 100644
--- a/pkgs/applications/version-management/gitkraken/default.nix
+++ b/pkgs/applications/version-management/gitkraken/default.nix
@@ -11,24 +11,24 @@ with lib;
let
pname = "gitkraken";
- version = "9.12.0";
+ version = "9.13.0";
throwSystem = throw "Unsupported system: ${stdenv.hostPlatform.system}";
srcs = {
x86_64-linux = fetchzip {
url = "https://release.axocdn.com/linux/GitKraken-v${version}.tar.gz";
- hash = "sha256-g2YcNFKt1/YBmEOH3Z5b0MPMMOWBIvXh+V2fzaGgCgQ=";
+ hash = "sha256-BBTa/MhfwTZ9YUJSGt8KocPn6f7m+W8G9yJr8I4NAtw=";
};
x86_64-darwin = fetchzip {
url = "https://release.axocdn.com/darwin/GitKraken-v${version}.zip";
- hash = "sha256-yy7BbtguQj/LVM7ivNTcG97XIImQUMQPKwTVDWGvvnQ=";
+ hash = "sha256-+1N4U5vV8XdHdtPeanjU38c8fzfY0uV0AA6exEe/FzQ=";
};
aarch64-darwin = fetchzip {
url = "https://release.axocdn.com/darwin-arm64/GitKraken-v${version}.zip";
- hash = "sha256-ihnTzQC7B0TdHZzXmrwcVSfxKvGoBBTdRq8ZJicaVDI=";
+ hash = "sha256-kNX8ptDL8vvFDhH3bDU24A2xN1D+tgpzsCj/zIGqctE=";
};
};
diff --git a/pkgs/applications/version-management/gitlab/data.json b/pkgs/applications/version-management/gitlab/data.json
index dddcdf5812d4..c0e35b7298b1 100644
--- a/pkgs/applications/version-management/gitlab/data.json
+++ b/pkgs/applications/version-management/gitlab/data.json
@@ -1,15 +1,15 @@
{
- "version": "16.8.1",
- "repo_hash": "sha256-95YdEAUYoFDFX4COI6NnI6tDB+NLnihlJdUDM8NixXA=",
- "yarn_hash": "1yhl4l2dln9ck4nbjjrlyjx17nxk4h12gb5hqjh4dq3rz3az3jvx",
+ "version": "16.9.2",
+ "repo_hash": "sha256-pvmbxCySSc+Ug4W3cpXA6L7E3/I6J2A0BpcjFbR3YEI=",
+ "yarn_hash": "02vchjx17h6kk268pwrjqmrri0wa6vrljm7y5s23hwzir4v86slq",
"owner": "gitlab-org",
"repo": "gitlab",
- "rev": "v16.8.1-ee",
+ "rev": "v16.9.2-ee",
"passthru": {
- "GITALY_SERVER_VERSION": "16.8.1",
- "GITLAB_PAGES_VERSION": "16.8.1",
+ "GITALY_SERVER_VERSION": "16.9.2",
+ "GITLAB_PAGES_VERSION": "16.9.2",
"GITLAB_SHELL_VERSION": "14.33.0",
- "GITLAB_ELASTICSEARCH_INDEXER_VERSION": "4.6.0",
- "GITLAB_WORKHORSE_VERSION": "16.8.1"
+ "GITLAB_ELASTICSEARCH_INDEXER_VERSION": "4.7.0",
+ "GITLAB_WORKHORSE_VERSION": "16.9.2"
}
}
diff --git a/pkgs/applications/version-management/gitlab/default.nix b/pkgs/applications/version-management/gitlab/default.nix
index 6c635aafe03e..37789c27abef 100644
--- a/pkgs/applications/version-management/gitlab/default.nix
+++ b/pkgs/applications/version-management/gitlab/default.nix
@@ -49,7 +49,7 @@ let
cp Cargo.lock $out
'';
};
- hash = "sha256-I5w/roDgnRe5eyXo0wiRcoWPpXEtpL3kOl9eDg99t/w=";
+ hash = "sha256-csasA2RH1vwRdF/9/BpFmh0AKsP3xtGmrhCx6mbVf6k=";
};
dontBuild = false;
diff --git a/pkgs/applications/version-management/gitlab/gitaly/default.nix b/pkgs/applications/version-management/gitlab/gitaly/default.nix
index e6d9ce605b4c..94e3648a0c87 100644
--- a/pkgs/applications/version-management/gitlab/gitaly/default.nix
+++ b/pkgs/applications/version-management/gitlab/gitaly/default.nix
@@ -6,7 +6,7 @@
}:
let
- version = "16.8.1";
+ version = "16.9.2";
package_version = "v${lib.versions.major version}";
gitaly_package = "gitlab.com/gitlab-org/gitaly/${package_version}";
@@ -18,10 +18,10 @@ let
owner = "gitlab-org";
repo = "gitaly";
rev = "v${version}";
- hash = "sha256-yR8O9F6THymKKHbnfh67NhEcNNBz7XHja/fpeTmVoe0=";
+ hash = "sha256-YlSZq7+YtV9mhZDoNZ7QStbZLQ/Vtt8H2ZW84ONvCq8=";
};
- vendorHash = "sha256-AkL/BbCrqgXyvfiMxzMIXeZwh5aFL2a2+myk/4YXMNc=";
+ vendorHash = "sha256-aqwdeBjR8IGa1DsAXY1h7vq7OkuTgoDim5m40YKlcmo=";
ldflags = [ "-X ${gitaly_package}/internal/version.version=${version}" "-X ${gitaly_package}/internal/version.moduleVersion=${version}" ];
diff --git a/pkgs/applications/version-management/gitlab/gitlab-container-registry/default.nix b/pkgs/applications/version-management/gitlab/gitlab-container-registry/default.nix
index bc4093e72000..2d192219d895 100644
--- a/pkgs/applications/version-management/gitlab/gitlab-container-registry/default.nix
+++ b/pkgs/applications/version-management/gitlab/gitlab-container-registry/default.nix
@@ -2,7 +2,7 @@
buildGoModule rec {
pname = "gitlab-container-registry";
- version = "3.88.0";
+ version = "3.90.0";
rev = "v${version}-gitlab";
# nixpkgs-update: no auto update
@@ -10,10 +10,10 @@ buildGoModule rec {
owner = "gitlab-org";
repo = "container-registry";
inherit rev;
- hash = "sha256-egslb+8+RsDjpL5xQpdCU3QwFH59grRCkODQnAkZe/0=";
+ hash = "sha256-bHRvvmVQFsdgYmVVucu1warn+SvCoIVh+C/U2uwi12E=";
};
- vendorHash = "sha256-IFXIr0xYJCKM5VUHQV+4S/+FEAhFEjbMaU+9JWIh8cA=";
+ vendorHash = "sha256-Zv5Xz1T/ZUM/kyEgZJKbDuIN2nufoHVAn4kD3SuvJCg=";
patches = [
./Disable-inmemory-storage-driver-test.patch
diff --git a/pkgs/applications/version-management/gitlab/gitlab-elasticsearch-indexer/default.nix b/pkgs/applications/version-management/gitlab/gitlab-elasticsearch-indexer/default.nix
index da6dbda266c6..b3c29fe0ddc1 100644
--- a/pkgs/applications/version-management/gitlab/gitlab-elasticsearch-indexer/default.nix
+++ b/pkgs/applications/version-management/gitlab/gitlab-elasticsearch-indexer/default.nix
@@ -2,23 +2,24 @@
buildGoModule rec {
pname = "gitlab-elasticsearch-indexer";
- version = "4.6.0";
+ version = "4.7.0";
# nixpkgs-update: no auto update
src = fetchFromGitLab {
owner = "gitlab-org";
repo = "gitlab-elasticsearch-indexer";
rev = "v${version}";
- sha256 = "sha256-HlT3Uj/DWbyK4xGq7SjKjZ90sww6oMiMDo05mtv6rTA=";
+ sha256 = "sha256-xkCG8PyOXQl2xqsq3INqYWGdcJpJgRsi/hB9creGTSM=";
};
- vendorHash = "sha256-YUH/agSy/shHmHRXlfDtgumbka6BjX5NTxgvlNJS4hQ=";
+ vendorHash = "sha256-1eyIRlrgEQ6iiM2tom5S92BlsyaPVKZzSU3+Mmdxi+4=";
buildInputs = [ icu ];
nativeBuildInputs = [ pkg-config ];
meta = with lib; {
description = "Indexes Git repositories into Elasticsearch for GitLab.";
+ mainProgram = "gitlab-elasticsearch-indexer";
license = licenses.mit;
maintainers = with maintainers; [ xanderio yayayayaka ];
};
diff --git a/pkgs/applications/version-management/gitlab/gitlab-pages/default.nix b/pkgs/applications/version-management/gitlab/gitlab-pages/default.nix
index 202dd29c4fab..2a4bbb893099 100644
--- a/pkgs/applications/version-management/gitlab/gitlab-pages/default.nix
+++ b/pkgs/applications/version-management/gitlab/gitlab-pages/default.nix
@@ -2,21 +2,22 @@
buildGoModule rec {
pname = "gitlab-pages";
- version = "16.8.1";
+ version = "16.9.2";
# nixpkgs-update: no auto update
src = fetchFromGitLab {
owner = "gitlab-org";
repo = "gitlab-pages";
rev = "v${version}";
- hash = "sha256-Wj15GHm+5Xd5wq4C3dH+i94jh7pecHM6KCDugLm5YAA=";
+ hash = "sha256-1ygIMatPcqvhjN5Zkuk0WXd9iW6fv3bLK9EZsIII/WM=";
};
- vendorHash = "sha256-9yogTSdEij/YwWGm3gz7jMn2AZcI+o7aEnjBvJ8E3vA=";
+ vendorHash = "sha256-ZjIjGZaZhxa3OvdaA4qD+Qza604mxe1u+zAUtIAKouo=";
subPackages = [ "." ];
meta = with lib; {
description = "Daemon used to serve static websites for GitLab users";
+ mainProgram = "gitlab-pages";
homepage = "https://gitlab.com/gitlab-org/gitlab-pages";
changelog = "https://gitlab.com/gitlab-org/gitlab-pages/-/blob/v${version}/CHANGELOG.md";
license = licenses.mit;
diff --git a/pkgs/applications/version-management/gitlab/gitlab-workhorse/default.nix b/pkgs/applications/version-management/gitlab/gitlab-workhorse/default.nix
index 58361fbfb631..8e58210af06a 100644
--- a/pkgs/applications/version-management/gitlab/gitlab-workhorse/default.nix
+++ b/pkgs/applications/version-management/gitlab/gitlab-workhorse/default.nix
@@ -5,7 +5,7 @@ in
buildGoModule rec {
pname = "gitlab-workhorse";
- version = "16.8.1";
+ version = "16.9.2";
# nixpkgs-update: no auto update
src = fetchFromGitLab {
@@ -17,7 +17,7 @@ buildGoModule rec {
sourceRoot = "${src.name}/workhorse";
- vendorHash = "sha256-OcdfanSlH1ZUmKlhzMOD3oNySx1o92Is7EDf1Z3953A=";
+ vendorHash = "sha256-RJ/CT8p1DpxmZcJLBeMDG77Zsn0Q6MiXsDcMK/LM2DA=";
buildInputs = [ git ];
ldflags = [ "-X main.Version=${version}" ];
doCheck = false;
diff --git a/pkgs/applications/version-management/gitlab/rubyEnv/Gemfile b/pkgs/applications/version-management/gitlab/rubyEnv/Gemfile
index 161dc46f7844..f60cd85d493d 100644
--- a/pkgs/applications/version-management/gitlab/rubyEnv/Gemfile
+++ b/pkgs/applications/version-management/gitlab/rubyEnv/Gemfile
@@ -28,7 +28,7 @@ gem 'rails', '~> 7.0.8' # rubocop:todo Gemfile/MissingFeatureCategory
gem 'activerecord-gitlab', path: 'gems/activerecord-gitlab' # rubocop:todo Gemfile/MissingFeatureCategory
-gem 'bootsnap', '~> 1.17.1', require: false # rubocop:todo Gemfile/MissingFeatureCategory
+gem 'bootsnap', '~> 1.18.3', require: false # rubocop:todo Gemfile/MissingFeatureCategory
gem 'openssl', '~> 3.0' # rubocop:todo Gemfile/MissingFeatureCategory
gem 'ipaddr', '~> 1.2.5' # rubocop:todo Gemfile/MissingFeatureCategory
@@ -141,11 +141,11 @@ gem 'grape-path-helpers', '~> 2.0.0', feature_category: :api
gem 'rack-cors', '~> 2.0.1', require: 'rack/cors' # rubocop:todo Gemfile/MissingFeatureCategory
# GraphQL API
-gem 'graphql', '~> 2.0.27', feature_category: :api
+gem 'graphql', '~> 2.2.5', feature_category: :api
gem 'graphql-docs', '~> 4.0.0', group: [:development, :test], feature_category: :api
gem 'graphiql-rails', '~> 1.8.0', feature_category: :api
gem 'apollo_upload_server', '~> 2.1.5', feature_category: :api
-gem 'graphlient', '~> 0.5.0', feature_category: :importers # Used by BulkImport feature (group::import)
+gem 'graphlient', '~> 0.6.0', feature_category: :importers # Used by BulkImport feature (group::import)
# Generate Fake data
gem 'ffaker', '~> 2.10' # rubocop:todo Gemfile/MissingFeatureCategory
@@ -196,6 +196,10 @@ gem 'google-apis-serviceusage_v1', '~> 0.28.0' # rubocop:todo Gemfile/MissingFea
gem 'google-apis-sqladmin_v1beta4', '~> 0.41.0' # rubocop:todo Gemfile/MissingFeatureCategory
gem 'google-apis-androidpublisher_v3', '~> 0.34.0' # rubocop:todo Gemfile/MissingFeatureCategory
+gem 'googleauth', '~> 1.8.1', feature_category: :shared
+gem 'google-cloud-artifact_registry-v1', '~> 0.11.0', feature_category: :shared
+gem 'google-cloud-compute-v1', '~> 2.6.0', feature_category: :shared
+
# Seed data
gem 'seed-fu', '~> 2.3.7' # rubocop:todo Gemfile/MissingFeatureCategory
@@ -203,9 +207,9 @@ gem 'seed-fu', '~> 2.3.7' # rubocop:todo Gemfile/MissingFeatureCategory
gem 'elasticsearch-model', '~> 7.2' # rubocop:todo Gemfile/MissingFeatureCategory
gem 'elasticsearch-rails', '~> 7.2', require: 'elasticsearch/rails/instrumentation' # rubocop:todo Gemfile/MissingFeatureCategory
gem 'elasticsearch-api', '7.13.3' # rubocop:todo Gemfile/MissingFeatureCategory
-gem 'aws-sdk-core', '~> 3.190.2' # rubocop:todo Gemfile/MissingFeatureCategory
+gem 'aws-sdk-core', '~> 3.191.1' # rubocop:todo Gemfile/MissingFeatureCategory
gem 'aws-sdk-cloudformation', '~> 1' # rubocop:todo Gemfile/MissingFeatureCategory
-gem 'aws-sdk-s3', '~> 1.142.0' # rubocop:todo Gemfile/MissingFeatureCategory
+gem 'aws-sdk-s3', '~> 1.143.0' # rubocop:todo Gemfile/MissingFeatureCategory
gem 'faraday_middleware-aws-sigv4', '~>0.3.0' # rubocop:todo Gemfile/MissingFeatureCategory
gem 'typhoeus', '~> 1.4.0' # Used with Elasticsearch to support http keep-alive connections # rubocop:todo Gemfile/MissingFeatureCategory
@@ -226,7 +230,7 @@ gem 'asciidoctor-kroki', '~> 0.8.0', require: false # rubocop:todo Gemfile/Missi
gem 'rouge', '~> 4.2.0' # rubocop:todo Gemfile/MissingFeatureCategory
gem 'truncato', '~> 0.7.12' # rubocop:todo Gemfile/MissingFeatureCategory
gem 'nokogiri', '~> 1.16' # rubocop:todo Gemfile/MissingFeatureCategory
-gem 'gitlab-glfm-markdown', '~> 0.0.11', feature_category: :team_planning
+gem 'gitlab-glfm-markdown', '~> 0.0.12', feature_category: :team_planning
# Calendar rendering
gem 'icalendar' # rubocop:todo Gemfile/MissingFeatureCategory
@@ -252,7 +256,7 @@ gem 'state_machines-activerecord', '~> 0.8.0' # rubocop:todo Gemfile/MissingFeat
gem 'acts-as-taggable-on', '~> 10.0' # rubocop:todo Gemfile/MissingFeatureCategory
# Background jobs
-gem 'sidekiq', '~> 7.1.6' # rubocop:todo Gemfile/MissingFeatureCategory
+gem 'sidekiq', path: 'vendor/gems/sidekiq-7.1.6', require: 'sidekiq' # rubocop:todo Gemfile/MissingFeatureCategory
gem 'sidekiq-cron', '~> 1.12.0', feature_category: :shared
gem 'gitlab-sidekiq-fetcher', path: 'vendor/gems/sidekiq-reliable-fetch', require: 'sidekiq-reliable-fetch' # rubocop:todo Gemfile/MissingFeatureCategory
@@ -269,11 +273,11 @@ gem 'rainbow', '~> 3.0' # rubocop:todo Gemfile/MissingFeatureCategory
gem 'ruby-progressbar', '~> 1.10' # rubocop:todo Gemfile/MissingFeatureCategory
# Linear-time regex library for untrusted regular expressions
-gem 're2', '2.6.0' # rubocop:todo Gemfile/MissingFeatureCategory
+gem 're2', '2.7.0' # rubocop:todo Gemfile/MissingFeatureCategory
# Misc
-gem 'semver_dialects', '~> 1.5', feature_category: :static_application_security_testing
+gem 'semver_dialects', '~> 1.6.1', feature_category: :static_application_security_testing
gem 'version_sorter', '~> 2.3' # rubocop:todo Gemfile/MissingFeatureCategory
gem 'csv_builder', path: 'gems/csv_builder' # rubocop:todo Gemfile/MissingFeatureCategory
@@ -336,7 +340,8 @@ gem 'gitlab_chronic_duration', '~> 0.12' # rubocop:todo Gemfile/MissingFeatureCa
gem 'rack-proxy', '~> 0.7.7' # rubocop:todo Gemfile/MissingFeatureCategory
-gem 'sassc-rails', '~> 2.1.0' # rubocop:todo Gemfile/MissingFeatureCategory
+gem 'sassc-rails', '~> 2.1.0', feature_category: :shared, require: false
+gem 'cssbundling-rails', '1.3.3', feature_category: :shared, require: false
gem 'autoprefixer-rails', '10.2.5.1' # rubocop:todo Gemfile/MissingFeatureCategory
gem 'terser', '1.0.2' # rubocop:todo Gemfile/MissingFeatureCategory
@@ -347,7 +352,7 @@ gem 'gon', '~> 6.4.0' # rubocop:todo Gemfile/MissingFeatureCategory
gem 'request_store', '~> 1.5.1' # rubocop:todo Gemfile/MissingFeatureCategory
gem 'base32', '~> 0.3.0' # rubocop:todo Gemfile/MissingFeatureCategory
-gem 'gitlab-license', '~> 2.3' # rubocop:todo Gemfile/MissingFeatureCategory
+gem 'gitlab-license', '~> 2.4', feature_category: :shared
# Protect against bruteforcing
gem 'rack-attack', '~> 6.7.0' # rubocop:todo Gemfile/MissingFeatureCategory
@@ -360,14 +365,14 @@ gem 'sentry-sidekiq', '~> 5.10.0', feature_category: :error_tracking
# PostgreSQL query parsing
#
-gem 'pg_query', '~> 4.2.3' # rubocop:todo Gemfile/MissingFeatureCategory
+gem 'pg_query', '~> 5.1.0', feature_category: :database
gem 'gitlab-schema-validation', path: 'gems/gitlab-schema-validation' # rubocop:todo Gemfile/MissingFeatureCategory
gem 'gitlab-http', path: 'gems/gitlab-http' # rubocop:todo Gemfile/MissingFeatureCategory
gem 'premailer-rails', '~> 1.10.3' # rubocop:todo Gemfile/MissingFeatureCategory
-gem 'gitlab-labkit', '~> 0.35.0' # rubocop:todo Gemfile/MissingFeatureCategory
+gem 'gitlab-labkit', '~> 0.35.1' # rubocop:todo Gemfile/MissingFeatureCategory
gem 'thrift', '>= 0.16.0' # rubocop:todo Gemfile/MissingFeatureCategory
# I18n
@@ -388,12 +393,12 @@ gem 'snowplow-tracker', '~> 0.8.0' # rubocop:todo Gemfile/MissingFeatureCategory
# Metrics
gem 'webrick', '~> 1.8.1', require: false # rubocop:todo Gemfile/MissingFeatureCategory
-gem 'prometheus-client-mmap', '~> 1.1', require: 'prometheus/client' # rubocop:todo Gemfile/MissingFeatureCategory
+gem 'prometheus-client-mmap', '~> 1.1', '>= 1.1.1', require: 'prometheus/client' # rubocop:todo Gemfile/MissingFeatureCategory
gem 'warning', '~> 1.3.0' # rubocop:todo Gemfile/MissingFeatureCategory
group :development do
- gem 'lefthook', '~> 1.5.5', require: false, feature_category: :tooling
+ gem 'lefthook', '~> 1.5.6', require: false, feature_category: :tooling
gem 'rubocop', feature_category: :tooling
gem 'solargraph', '~> 0.47.2', require: false # rubocop:todo Gemfile/MissingFeatureCategory
@@ -407,7 +412,7 @@ group :development do
gem 'listen', '~> 3.7' # rubocop:todo Gemfile/MissingFeatureCategory
- gem 'ruby-lsp', "~> 0.13.2", require: false, feature_category: :tooling
+ gem 'ruby-lsp', "~> 0.13.3", require: false, feature_category: :tooling
gem 'ruby-lsp-rails', "~> 0.2.8", feature_category: :tooling
@@ -498,15 +503,15 @@ group :test do
gem 'rspec-parameterized', '~> 1.0', require: false, feature_category: :tooling
gem 'os', '~> 1.1', feature_category: :tooling
- gem 'capybara', '~> 3.39', '>= 3.39.2' # rubocop:todo Gemfile/MissingFeatureCategory
+ gem 'capybara', '~> 3.40' # rubocop:todo Gemfile/MissingFeatureCategory
gem 'capybara-screenshot', '~> 1.0.26' # rubocop:todo Gemfile/MissingFeatureCategory
- gem 'selenium-webdriver', '~> 4.16' # rubocop:todo Gemfile/MissingFeatureCategory
+ gem 'selenium-webdriver', '~> 4.17' # rubocop:todo Gemfile/MissingFeatureCategory
gem 'graphlyte', '~> 1.0.0' # rubocop:todo Gemfile/MissingFeatureCategory
gem 'shoulda-matchers', '~> 5.1.0', require: false # rubocop:todo Gemfile/MissingFeatureCategory
gem 'email_spec', '~> 2.2.0' # rubocop:todo Gemfile/MissingFeatureCategory
- gem 'webmock', '~> 3.19.1' # rubocop:todo Gemfile/MissingFeatureCategory
+ gem 'webmock', '~> 3.20.0' # rubocop:todo Gemfile/MissingFeatureCategory
gem 'rails-controller-testing' # rubocop:todo Gemfile/MissingFeatureCategory
gem 'concurrent-ruby', '~> 1.1' # rubocop:todo Gemfile/MissingFeatureCategory
gem 'test-prof', '~> 1.3.1' # rubocop:todo Gemfile/MissingFeatureCategory
@@ -517,10 +522,10 @@ group :test do
# Moved in `test` because https://gitlab.com/gitlab-org/gitlab/-/issues/217527
gem 'derailed_benchmarks', require: false # rubocop:todo Gemfile/MissingFeatureCategory
- gem 'gitlab_quality-test_tooling', '~> 1.11.0', require: false, feature_category: :tooling
+ gem 'gitlab_quality-test_tooling', '~> 1.14.2', require: false, feature_category: :tooling
end
-gem 'octokit', '~> 6.0' # rubocop:todo Gemfile/MissingFeatureCategory
+gem 'octokit', '~> 8.0', feature_category: :importers
gem 'gitlab-mail_room', '~> 0.0.24', require: 'mail_room', feature_category: :shared
@@ -552,14 +557,14 @@ gem 'ssh_data', '~> 1.3' # rubocop:todo Gemfile/MissingFeatureCategory
gem 'spamcheck', '~> 1.3.0' # rubocop:todo Gemfile/MissingFeatureCategory
# Gitaly GRPC protocol definitions
-gem 'gitaly', '~> 16.7.0-rc1', feature_category: :gitaly
+gem 'gitaly', '~> 16.9.0-rc3', feature_category: :gitaly
# KAS GRPC protocol definitions
-gem 'kas-grpc', '~> 0.3.0', feature_category: :deployment_management
+gem 'kas-grpc', '~> 0.4.0', feature_category: :deployment_management
-gem 'grpc', '~> 1.58.0' # rubocop:todo Gemfile/MissingFeatureCategory
+gem 'grpc', '~> 1.60.0' # rubocop:todo Gemfile/MissingFeatureCategory
-gem 'google-protobuf', '~> 3.25', '>= 3.25.2' # rubocop:todo Gemfile/MissingFeatureCategory
+gem 'google-protobuf', '~> 3.25', '>= 3.25.1' # rubocop:todo Gemfile/MissingFeatureCategory
gem 'toml-rb', '~> 2.2.0' # rubocop:todo Gemfile/MissingFeatureCategory
@@ -634,7 +639,7 @@ gem 'cvss-suite', '~> 3.0.1', require: 'cvss_suite' # rubocop:todo Gemfile/Missi
gem 'arr-pm', '~> 0.0.12' # rubocop:todo Gemfile/MissingFeatureCategory
# Remote Development
-gem 'devfile', '~> 0.0.24.pre.alpha1', feature_category: :remote_development
+gem 'devfile', '~> 0.0.25.pre.alpha1', feature_category: :remote_development
# Apple plist parsing
gem 'CFPropertyList', '~> 3.0.0' # rubocop:todo Gemfile/MissingFeatureCategory
@@ -652,4 +657,4 @@ gem 'net-http', '= 0.1.1' # rubocop:todo Gemfile/MissingFeatureCategory
gem 'duo_api', '~> 1.3' # rubocop:todo Gemfile/MissingFeatureCategory
-gem 'gitlab-sdk', feature_category: :application_instrumentation
+gem 'gitlab-sdk', '~> 0.3.0', feature_category: :application_instrumentation
diff --git a/pkgs/applications/version-management/gitlab/rubyEnv/Gemfile.lock b/pkgs/applications/version-management/gitlab/rubyEnv/Gemfile.lock
index adbd0b47167f..8648c235f491 100644
--- a/pkgs/applications/version-management/gitlab/rubyEnv/Gemfile.lock
+++ b/pkgs/applications/version-management/gitlab/rubyEnv/Gemfile.lock
@@ -34,6 +34,7 @@ PATH
specs:
gitlab-housekeeper (0.1.0)
activesupport
+ awesome_print
httparty
rubocop
@@ -177,6 +178,15 @@ PATH
nokogiri (>= 1.4.4)
omniauth (~> 2.0)
+PATH
+ remote: vendor/gems/sidekiq-7.1.6
+ specs:
+ sidekiq (7.1.6)
+ concurrent-ruby (< 2)
+ connection_pool (>= 2.3.0)
+ rack (>= 2.2.4)
+ redis-client (>= 0.14.0)
+
PATH
remote: vendor/gems/sidekiq-reliable-fetch
specs:
@@ -301,7 +311,7 @@ GEM
aws-sdk-cloudformation (1.41.0)
aws-sdk-core (~> 3, >= 3.99.0)
aws-sigv4 (~> 1.1)
- aws-sdk-core (3.190.2)
+ aws-sdk-core (3.191.1)
aws-eventstream (~> 1, >= 1.3.0)
aws-partitions (~> 1, >= 1.651.0)
aws-sigv4 (~> 1.8)
@@ -309,8 +319,8 @@ GEM
aws-sdk-kms (1.76.0)
aws-sdk-core (~> 3, >= 3.188.0)
aws-sigv4 (~> 1.1)
- aws-sdk-s3 (1.142.0)
- aws-sdk-core (~> 3, >= 3.189.0)
+ aws-sdk-s3 (1.143.0)
+ aws-sdk-core (~> 3, >= 3.191.0)
aws-sdk-kms (~> 1)
aws-sigv4 (~> 1.8)
aws-sigv4 (1.8.0)
@@ -337,6 +347,7 @@ GEM
babosa (2.0.0)
backport (1.2.0)
base32 (0.3.2)
+ base64 (0.2.0)
batch-loader (2.0.1)
bcrypt (3.1.18)
benchmark (0.2.0)
@@ -353,7 +364,7 @@ GEM
bindata (2.4.11)
binding_of_caller (1.0.0)
debug_inspector (>= 0.0.1)
- bootsnap (1.17.1)
+ bootsnap (1.18.3)
msgpack (~> 1.2)
browser (5.3.1)
builder (3.2.4)
@@ -364,11 +375,11 @@ GEM
bundler (>= 1.2.0, < 3)
thor (~> 1.0)
byebug (11.1.3)
- capybara (3.39.2)
+ capybara (3.40.0)
addressable
matrix
mini_mime (>= 0.1.3)
- nokogiri (~> 1.8)
+ nokogiri (~> 1.11)
rack (>= 1.6.0)
rack-test (>= 0.6.3)
regexp_parser (>= 1.5, < 3.0)
@@ -382,8 +393,7 @@ GEM
mime-types (>= 1.16)
ssrf_filter (~> 1.0, < 1.1.0)
cbor (0.5.9.6)
- character_set (1.4.1)
- sorted_set (~> 1.0)
+ character_set (1.8.0)
charlock_holmes (0.7.7)
chef-config (18.3.0)
addressable
@@ -425,8 +435,10 @@ GEM
git
css_parser (1.14.0)
addressable
+ cssbundling-rails (1.3.3)
+ railties (>= 6.0.0)
cvss-suite (3.0.1)
- danger (9.3.1)
+ danger (9.4.2)
claide (~> 1.0)
claide-plugins (>= 0.9.2)
colored2 (~> 3.1)
@@ -437,7 +449,7 @@ GEM
kramdown (~> 2.3)
kramdown-parser-gfm (~> 1.0)
no_proxy_fix
- octokit (~> 6.0)
+ octokit (>= 4.0)
terminal-table (>= 1, < 4)
danger-gitlab (8.0.0)
danger
@@ -471,7 +483,7 @@ GEM
thor (>= 0.19, < 2)
descendants_tracker (0.0.4)
thread_safe (~> 0.3, >= 0.3.1)
- devfile (0.0.24.pre.alpha1)
+ devfile (0.0.25.pre.alpha1)
device_detector (1.0.0)
devise (4.9.3)
bcrypt (~> 3.0)
@@ -651,7 +663,7 @@ GEM
rspec-core (~> 3.0)
ruby-progressbar (~> 1.4)
fuzzyurl (0.9.0)
- gapic-common (0.18.0)
+ gapic-common (0.20.0)
faraday (>= 1.9, < 3.a)
faraday-retry (>= 1.0, < 3.a)
google-protobuf (~> 3.14)
@@ -673,7 +685,7 @@ GEM
git (1.18.0)
addressable (~> 2.8)
rchardet (~> 1.8)
- gitaly (16.7.0.pre.rc1)
+ gitaly (16.9.0.pre.rc3)
grpc (~> 1.0)
gitlab (4.19.0)
httparty (~> 0.20)
@@ -693,9 +705,9 @@ GEM
fog-core (= 2.1.0)
fog-json (~> 1.2.0)
mime-types
- gitlab-glfm-markdown (0.0.11)
+ gitlab-glfm-markdown (0.0.12)
rb_sys (~> 0.9.86)
- gitlab-labkit (0.35.0)
+ gitlab-labkit (0.35.1)
actionpack (>= 5.0.0, < 8.0.0)
activesupport (>= 5.0.0, < 8.0.0)
grpc (>= 1.37)
@@ -703,7 +715,7 @@ GEM
opentracing (~> 0.4)
pg_query (>= 4.2.3, < 6.0)
redis (> 3.0.0, < 6.0.0)
- gitlab-license (2.3.0)
+ gitlab-license (2.4.0)
gitlab-mail_room (0.0.24)
jwt (>= 2.0)
net-imap (>= 0.2.1)
@@ -712,7 +724,7 @@ GEM
redis-namespace (>= 1.8.2)
gitlab-markup (1.9.0)
gitlab-net-dns (0.9.2)
- gitlab-sdk (0.2.3)
+ gitlab-sdk (0.3.0)
activesupport (>= 5.2.0)
rake (~> 13.0)
snowplow-tracker (~> 0.8.0)
@@ -729,14 +741,15 @@ GEM
omniauth (>= 1.3, < 3)
pyu-ruby-sasl (>= 0.0.3.3, < 0.1)
rubyntlm (~> 0.5)
- gitlab_quality-test_tooling (1.11.0)
- activesupport (>= 6.1, < 7.2)
+ gitlab_quality-test_tooling (1.14.2)
+ activesupport (>= 6.1, < 7.1)
amatch (~> 0.4.1)
gitlab (~> 4.19)
http (~> 5.0)
nokogiri (~> 1.10)
parallel (>= 1, < 2)
rainbow (>= 3, < 4)
+ rspec-parameterized (~> 1.0.0)
table_print (= 1.5.7)
zeitwerk (>= 2, < 3)
globalid (1.1.0)
@@ -783,12 +796,27 @@ GEM
google-apis-core (>= 0.9.1, < 2.a)
google-apis-storage_v1 (0.29.0)
google-apis-core (>= 0.11.0, < 2.a)
+ google-cloud-artifact_registry-v1 (0.11.0)
+ gapic-common (>= 0.20.0, < 2.a)
+ google-cloud-errors (~> 1.0)
+ google-cloud-location (>= 0.4, < 2.a)
+ grpc-google-iam-v1 (~> 1.1)
+ google-cloud-common (1.1.0)
+ google-protobuf (~> 3.14)
+ googleapis-common-protos-types (~> 1.2)
+ google-cloud-compute-v1 (2.6.0)
+ gapic-common (>= 0.20.0, < 2.a)
+ google-cloud-common (~> 1.0)
+ google-cloud-errors (~> 1.0)
google-cloud-core (1.6.0)
google-cloud-env (~> 1.0)
google-cloud-errors (~> 1.0)
google-cloud-env (1.6.0)
faraday (>= 0.17.3, < 3.0)
google-cloud-errors (1.3.0)
+ google-cloud-location (0.6.0)
+ gapic-common (>= 0.20.0, < 2.a)
+ google-cloud-errors (~> 1.0)
google-cloud-profiler-v2 (0.4.0)
gapic-common (>= 0.18.0, < 2.a)
google-cloud-errors (~> 1.0)
@@ -807,10 +835,9 @@ GEM
grpc (~> 1.27)
googleapis-common-protos-types (1.5.0)
google-protobuf (~> 3.14)
- googleauth (1.3.0)
+ googleauth (1.8.1)
faraday (>= 0.17.3, < 3.a)
jwt (>= 1.4, < 3.0)
- memoist (~> 0.16)
multi_json (~> 1.11)
os (>= 0.9, < 2.0)
signet (>= 0.16, < 2.a)
@@ -843,13 +870,14 @@ GEM
graphiql-rails (1.8.0)
railties
sprockets-rails
- graphlient (0.5.0)
+ graphlient (0.6.0)
faraday (>= 1.0)
faraday_middleware
graphql-client
graphlyte (1.0.0)
- graphql (2.0.27)
- graphql-client (0.18.0)
+ graphql (2.2.5)
+ racc (~> 1.4)
+ graphql-client (0.19.0)
activesupport (>= 3.0)
graphql
graphql-docs (4.0.0)
@@ -860,9 +888,13 @@ GEM
gemoji (~> 3.0)
graphql (~> 2.0)
html-pipeline (~> 2.14, >= 2.14.3)
- grpc (1.58.0)
- google-protobuf (~> 3.23)
+ grpc (1.60.0)
+ google-protobuf (~> 3.25)
googleapis-common-protos-types (~> 1.0)
+ grpc-google-iam-v1 (1.5.0)
+ google-protobuf (~> 3.18)
+ googleapis-common-protos (~> 1.4)
+ grpc (~> 1.41)
gssapi (1.3.1)
ffi (>= 1.0.1)
guard (2.16.2)
@@ -977,7 +1009,7 @@ GEM
activerecord
kaminari-core (= 1.2.2)
kaminari-core (1.2.2)
- kas-grpc (0.3.0)
+ kas-grpc (0.4.0)
grpc (~> 1.0)
knapsack (1.21.1)
rake
@@ -993,7 +1025,7 @@ GEM
language_server-protocol (3.17.0.3)
launchy (2.5.0)
addressable (~> 2.7)
- lefthook (1.5.5)
+ lefthook (1.5.6)
letter_opener (1.7.0)
launchy (~> 2.2)
letter_opener_web (2.0.0)
@@ -1009,9 +1041,9 @@ GEM
tomlrb (>= 1.3, < 2.1)
with_env (= 1.1.0)
xml-simple (~> 1.1.9)
- licensee (9.16.0)
+ licensee (9.16.1)
dotenv (~> 2.0)
- octokit (>= 4.20, < 7.0)
+ octokit (>= 4.20, < 9.0)
reverse_markdown (>= 1, < 3)
rugged (>= 0.24, < 2.0)
thor (>= 0.19, < 2.0)
@@ -1055,7 +1087,6 @@ GEM
actionpack (>= 5.2)
activerecord (>= 5.2)
matrix (0.4.2)
- memoist (0.16.2)
memory_profiler (1.0.1)
method_source (1.0.0)
mime-types (3.5.1)
@@ -1124,7 +1155,7 @@ GEM
rack (>= 1.2, < 4)
snaky_hash (~> 2.0)
version_gem (~> 1.1)
- octokit (6.1.1)
+ octokit (8.0.0)
faraday (>= 1, < 3)
sawyer (~> 0.9)
ohai (18.1.3)
@@ -1240,7 +1271,7 @@ GEM
peek (1.1.0)
railties (>= 4.0.0)
pg (1.5.4)
- pg_query (4.2.3)
+ pg_query (5.1.0)
google-protobuf (>= 3.22.3)
plist (3.7.0)
png_quantizator (0.2.1)
@@ -1259,7 +1290,7 @@ GEM
coderay
parser
unparser
- prometheus-client-mmap (1.1.0)
+ prometheus-client-mmap (1.1.1)
rb_sys (~> 0.9.86)
protocol (2.0.0)
ruby_parser (~> 3.0)
@@ -1347,9 +1378,8 @@ GEM
ffi (>= 1.0.6)
msgpack (>= 0.4.3)
optimist (>= 3.0.0)
- rbtree (0.4.6)
rchardet (1.8.0)
- re2 (2.6.0)
+ re2 (2.7.0)
mini_portile2 (~> 2.8.5)
recaptcha (5.12.3)
json
@@ -1475,7 +1505,7 @@ GEM
ruby-fogbugz (0.3.0)
crack (~> 0.4)
multipart-post (~> 2.0)
- ruby-lsp (0.13.2)
+ ruby-lsp (0.13.3)
language_server-protocol (~> 3.17.0)
prism (>= 0.19.0, < 0.20)
sorbet-runtime (>= 0.5.5685)
@@ -1497,7 +1527,8 @@ GEM
rexml
ruby-statistics (3.0.0)
ruby2_keywords (0.0.5)
- ruby_parser (3.20.3)
+ ruby_parser (3.21.0)
+ racc (~> 1.5)
sexp_processor (~> 4.16)
rubyntlm (0.6.3)
rubypants (0.2.0)
@@ -1511,7 +1542,7 @@ GEM
nokogiri (>= 1.12.0)
sassc (2.4.0)
ffi (~> 1.9)
- sassc-rails (2.1.0)
+ sassc-rails (2.1.2)
railties (>= 4.0.0)
sassc (>= 2.0)
sprockets (> 3.0)
@@ -1524,11 +1555,12 @@ GEM
seed-fu (2.3.7)
activerecord (>= 3.1)
activesupport (>= 3.1)
- selenium-webdriver (4.16.0)
+ selenium-webdriver (4.17.0)
+ base64 (~> 0.2)
rexml (~> 3.2, >= 3.2.5)
rubyzip (>= 1.2.2, < 3.0)
websocket (~> 1.0)
- semver_dialects (1.5.0)
+ semver_dialects (1.6.1)
deb_version (~> 1.0.1)
pastel (~> 0.8.0)
thor (~> 1.3)
@@ -1543,22 +1575,16 @@ GEM
sentry-sidekiq (5.10.0)
sentry-ruby (~> 5.10.0)
sidekiq (>= 3.0)
- set (1.0.2)
- sexp_processor (4.17.0)
+ sexp_processor (4.17.1)
shellany (0.0.1)
shoulda-matchers (5.1.0)
activesupport (>= 5.2.0)
- sidekiq (7.1.6)
- concurrent-ruby (< 2)
- connection_pool (>= 2.3.0)
- rack (>= 2.2.4)
- redis-client (>= 0.14.0)
sidekiq-cron (1.12.0)
fugit (~> 1.8)
globalid (>= 1.0.1)
sidekiq (>= 6)
sigdump (0.2.4)
- signet (0.17.0)
+ signet (0.18.0)
addressable (~> 2.8)
faraday (>= 0.17.5, < 3.a)
jwt (>= 1.5, < 3.0)
@@ -1597,9 +1623,6 @@ GEM
tilt (~> 2.0)
yard (~> 0.9, >= 0.9.24)
sorbet-runtime (0.5.11144)
- sorted_set (1.0.3)
- rbtree
- set (~> 1.0)
spamcheck (1.3.0)
grpc (~> 1.0)
spring (4.1.0)
@@ -1767,7 +1790,7 @@ GEM
webfinger (1.2.0)
activesupport
httpclient (>= 2.4)
- webmock (3.19.1)
+ webmock (3.20.0)
addressable (>= 2.8.0)
crack (>= 0.3.2)
hashdiff (>= 0.4.0, < 2.0.0)
@@ -1815,8 +1838,8 @@ DEPENDENCIES
autoprefixer-rails (= 10.2.5.1)
awesome_print
aws-sdk-cloudformation (~> 1)
- aws-sdk-core (~> 3.190.2)
- aws-sdk-s3 (~> 1.142.0)
+ aws-sdk-core (~> 3.191.1)
+ aws-sdk-s3 (~> 1.143.0)
axe-core-rspec (~> 4.8.0)
babosa (~> 2.0)
base32 (~> 0.3.0)
@@ -1825,12 +1848,12 @@ DEPENDENCIES
benchmark-ips (~> 2.11.0)
benchmark-memory (~> 0.1)
better_errors (~> 2.10.1)
- bootsnap (~> 1.17.1)
+ bootsnap (~> 1.18.3)
browser (~> 5.3.1)
bullet (~> 7.1.2)
bundler-audit (~> 0.9.1)
bundler-checksum (~> 0.1.0)!
- capybara (~> 3.39, >= 3.39.2)
+ capybara (~> 3.40)
capybara-screenshot (~> 1.0.26)
carrierwave (~> 1.3)
charlock_holmes (~> 0.7.7)
@@ -1843,6 +1866,7 @@ DEPENDENCIES
countries (~> 4.0.0)
creole (~> 0.5.0)
crystalball (~> 0.7.0)
+ cssbundling-rails (= 1.3.3)
csv_builder!
cvss-suite (~> 3.0.1)
database_cleaner-active_record (~> 2.1.0)
@@ -1850,7 +1874,7 @@ DEPENDENCIES
declarative_policy (~> 1.1.0)
deprecation_toolkit (~> 1.5.1)
derailed_benchmarks
- devfile (~> 0.0.24.pre.alpha1)
+ devfile (~> 0.0.25.pre.alpha1)
device_detector
devise (~> 4.9.3)
devise-pbkdf2-encryptable (~> 0.0.0)!
@@ -1885,17 +1909,17 @@ DEPENDENCIES
fuubar (~> 2.2.0)
gettext (~> 3.3)
gettext_i18n_rails (~> 1.11.0)
- gitaly (~> 16.7.0.pre.rc1)
+ gitaly (~> 16.9.0.pre.rc3)
gitlab-backup-cli!
gitlab-chronic (~> 0.10.5)
gitlab-dangerfiles (~> 4.6.0)
gitlab-experiment (~> 0.9.1)
gitlab-fog-azure-rm (~> 1.8.0)
- gitlab-glfm-markdown (~> 0.0.11)
+ gitlab-glfm-markdown (~> 0.0.12)
gitlab-housekeeper!
gitlab-http!
- gitlab-labkit (~> 0.35.0)
- gitlab-license (~> 2.3)
+ gitlab-labkit (~> 0.35.1)
+ gitlab-license (~> 2.4)
gitlab-mail_room (~> 0.0.24)
gitlab-markup (~> 1.9.0)
gitlab-net-dns (~> 0.9.2)
@@ -1903,14 +1927,14 @@ DEPENDENCIES
gitlab-rspec_flaky!
gitlab-safe_request_store!
gitlab-schema-validation!
- gitlab-sdk
+ gitlab-sdk (~> 0.3.0)
gitlab-secret_detection!
gitlab-sidekiq-fetcher!
gitlab-styles (~> 11.0.0)
gitlab-utils!
gitlab_chronic_duration (~> 0.12)
gitlab_omniauth-ldap (~> 2.2.0)
- gitlab_quality-test_tooling (~> 1.11.0)
+ gitlab_quality-test_tooling (~> 1.14.2)
gon (~> 6.4.0)
google-apis-androidpublisher_v3 (~> 0.34.0)
google-apis-cloudbilling_v1 (~> 0.21.0)
@@ -1923,8 +1947,11 @@ DEPENDENCIES
google-apis-serviceusage_v1 (~> 0.28.0)
google-apis-sqladmin_v1beta4 (~> 0.41.0)
google-apis-storage_v1 (~> 0.29)
+ google-cloud-artifact_registry-v1 (~> 0.11.0)
+ google-cloud-compute-v1 (~> 2.6.0)
google-cloud-storage (~> 1.45.0)
- google-protobuf (~> 3.25, >= 3.25.2)
+ google-protobuf (~> 3.25, >= 3.25.1)
+ googleauth (~> 1.8.1)
gpgme (~> 2.0.23)
grape (~> 2.0.0)
grape-entity (~> 0.10.0)
@@ -1933,11 +1960,11 @@ DEPENDENCIES
grape-swagger-entity (~> 0.5.1)
grape_logging (~> 1.8)
graphiql-rails (~> 1.8.0)
- graphlient (~> 0.5.0)
+ graphlient (~> 0.6.0)
graphlyte (~> 1.0.0)
- graphql (~> 2.0.27)
+ graphql (~> 2.2.5)
graphql-docs (~> 4.0.0)
- grpc (~> 1.58.0)
+ grpc (~> 1.60.0)
gssapi (~> 1.3.1)
guard-rspec
haml_lint (~> 0.53)
@@ -1960,11 +1987,11 @@ DEPENDENCIES
jsonb_accessor (~> 1.3.10)
jwt (~> 2.5)
kaminari (~> 1.2.2)
- kas-grpc (~> 0.3.0)
+ kas-grpc (~> 0.4.0)
knapsack (~> 1.21.1)
kramdown (~> 2.3.1)
kubeclient (~> 4.11.0)
- lefthook (~> 1.5.5)
+ lefthook (~> 1.5.6)
letter_opener_web (~> 2.0.0)
license_finder (~> 7.0)
licensee (~> 9.16)
@@ -1989,7 +2016,7 @@ DEPENDENCIES
net-protocol (~> 0.1.3)
nokogiri (~> 1.16)
oauth2 (~> 2.0)
- octokit (~> 6.0)
+ octokit (~> 8.0)
ohai (~> 18.1)
oj (~> 3.13.21)
oj-introspect (~> 0.7)
@@ -2021,10 +2048,10 @@ DEPENDENCIES
parslet (~> 1.8)
peek (~> 1.1)
pg (~> 1.5.4)
- pg_query (~> 4.2.3)
+ pg_query (~> 5.1.0)
png_quantizator (~> 0.2.1)
premailer-rails (~> 1.10.3)
- prometheus-client-mmap (~> 1.1)
+ prometheus-client-mmap (~> 1.1, >= 1.1.1)
pry-byebug
pry-rails (~> 0.3.9)
pry-shell (~> 0.6.4)
@@ -2040,7 +2067,7 @@ DEPENDENCIES
rails-i18n (~> 7.0)
rainbow (~> 3.0)
rbtrace (~> 0.4)
- re2 (= 2.6.0)
+ re2 (= 2.7.0)
recaptcha (~> 5.12)
redis (~> 4.8.0)
redis-actionpack (~> 5.4.0)
@@ -2059,7 +2086,7 @@ DEPENDENCIES
rspec_profiling (~> 0.0.6)
rubocop
ruby-fogbugz (~> 0.3.0)
- ruby-lsp (~> 0.13.2)
+ ruby-lsp (~> 0.13.3)
ruby-lsp-rails (~> 0.2.8)
ruby-lsp-rspec (~> 0.1.8)
ruby-magic (~> 0.6)
@@ -2072,14 +2099,14 @@ DEPENDENCIES
sassc-rails (~> 2.1.0)
sd_notify (~> 0.1.0)
seed-fu (~> 2.3.7)
- selenium-webdriver (~> 4.16)
- semver_dialects (~> 1.5)
+ selenium-webdriver (~> 4.17)
+ semver_dialects (~> 1.6.1)
sentry-rails (~> 5.10.0)
sentry-raven (~> 3.1)
sentry-ruby (~> 5.10.0)
sentry-sidekiq (~> 5.10.0)
shoulda-matchers (~> 5.1.0)
- sidekiq (~> 7.1.6)
+ sidekiq!
sidekiq-cron (~> 1.12.0)
sigdump (~> 0.2.4)
simple_po_parser (~> 1.1.6)
@@ -2119,10 +2146,10 @@ DEPENDENCIES
vmstat (~> 2.3.0)
warning (~> 1.3.0)
webauthn (~> 3.0)
- webmock (~> 3.19.1)
+ webmock (~> 3.20.0)
webrick (~> 1.8.1)
wikicloth (= 0.8.1)
yajl-ruby (~> 1.4.3)
BUNDLED WITH
- 2.5.4
+ 2.5.5
diff --git a/pkgs/applications/version-management/gitlab/rubyEnv/gemset.nix b/pkgs/applications/version-management/gitlab/rubyEnv/gemset.nix
index 4086a43e089d..06b5399a0836 100644
--- a/pkgs/applications/version-management/gitlab/rubyEnv/gemset.nix
+++ b/pkgs/applications/version-management/gitlab/rubyEnv/gemset.nix
@@ -411,10 +411,10 @@ src:
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0z9f8ypvpwj3sfqh3nlnhrq7ryhrv7isqzg370fba27zpy7bv5sx";
+ sha256 = "0fy80878z21j8py03ddf1ycsndzmnmw4jkbzw1mlrglwav08yq7q";
type = "gem";
};
- version = "3.190.2";
+ version = "3.191.1";
};
aws-sdk-kms = {
dependencies = ["aws-sdk-core" "aws-sigv4"];
@@ -433,10 +433,10 @@ src:
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1sfpipfdmixpc0madfx1yvpwpv52fdhxfx4bmvrjxzb6ra78ikbr";
+ sha256 = "1safbxycz517m2v981z8kbmdiqx9jypl093ia0mcrskkgh4fyb3s";
type = "gem";
};
- version = "1.142.0";
+ version = "1.143.0";
};
aws-sigv4 = {
dependencies = ["aws-eventstream"];
@@ -534,6 +534,16 @@ src:
};
version = "0.3.2";
};
+ base64 = {
+ groups = ["default" "test"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "01qml0yilb9basf7is2614skjp8384h2pycfx86cr8023arfj98g";
+ type = "gem";
+ };
+ version = "0.2.0";
+ };
batch-loader = {
groups = ["default"];
platforms = [];
@@ -653,10 +663,10 @@ src:
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "028qif22isxa5sg5gf1322d0qjhir5rr0jpkaiwcic4lspacdcnv";
+ sha256 = "1srlq3gqirzdkhv12ljpnp5cb0f8jfrl3n8xs9iivyz2c7khvdyp";
type = "gem";
};
- version = "1.17.1";
+ version = "1.18.3";
};
browser = {
groups = ["default"];
@@ -730,10 +740,10 @@ src:
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "114qm5f5vhwaaw9rj1h2lcamh46zl13v1m18jiw68zl961gwmw6n";
+ sha256 = "1vxfah83j6zpw3v5hic0j70h519nvmix2hbszmjwm8cfawhagns2";
type = "gem";
};
- version = "3.39.2";
+ version = "3.40.0";
};
capybara-screenshot = {
dependencies = ["capybara" "launchy"];
@@ -779,15 +789,14 @@ src:
version = "3.0.5";
};
character_set = {
- dependencies = ["sorted_set"];
groups = ["default"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0ql0kxnpbblggyn8hx511pghpqf8xv3ng2kbybwwdi11bg1il6zp";
+ sha256 = "0l9z2pihzc11f0jpq2sx789zwpmwf5nyhsjps45zzvfs5931fwrb";
type = "gem";
};
- version = "1.4.1";
+ version = "1.8.0";
};
charlock_holmes = {
groups = ["default"];
@@ -1043,6 +1052,17 @@ src:
};
version = "1.14.0";
};
+ cssbundling-rails = {
+ dependencies = ["railties"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0m8qgrh70982xfdcylrm4zgh7pxq9ps539icnc7bqh1awl8k78aa";
+ type = "gem";
+ };
+ version = "1.3.3";
+ };
csv_builder = {
groups = ["default"];
platforms = [];
@@ -1068,10 +1088,10 @@ src:
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1x8xwn2l7avc6h08vgrkxyb6ga7slip5x8lynswmzd0y32ngnw4h";
+ sha256 = "104x4p9rmk8frf4l858p171vjaif7mqgxspx61d26c0hfg355ra3";
type = "gem";
};
- version = "9.3.1";
+ version = "9.4.2";
};
danger-gitlab = {
dependencies = ["danger" "gitlab"];
@@ -1224,10 +1244,10 @@ src:
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0036nfmz7dz5jwagm4k3hshrkmm3w2473q38bhnr06dmxlkgrfvj";
+ sha256 = "1p11hv0a2s4m29vvyr7z22wjr9f4cfmdjnqidrv62rfcg9r73w0g";
type = "gem";
};
- version = "0.0.24.pre.alpha1";
+ version = "0.0.25.pre.alpha1";
};
device_detector = {
groups = ["default"];
@@ -2075,10 +2095,10 @@ src:
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1awn2k5b2sji74vr0xhg0v68h1y0msqpkwq5z8k31mp2ii9mmmbg";
+ sha256 = "0rlka373b2iva4dz2diz2zx7jyx617hwqvnfx2hs5xs0nh24fc5g";
type = "gem";
};
- version = "0.18.0";
+ version = "0.20.0";
};
gemoji = {
groups = ["default" "development" "test"];
@@ -2140,10 +2160,10 @@ src:
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1xqi0f0pf7cj110b981nx95wql3i4knzvc6417ym3yvbvsxjyand";
+ sha256 = "07q9fmk4mddfn12vl3jvj80rxmhixkl99hxff7zi385rwphki8an";
type = "gem";
};
- version = "16.7.0.pre.rc1";
+ version = "16.9.0.pre.rc3";
};
gitlab = {
dependencies = ["httparty" "terminal-table"];
@@ -2216,13 +2236,13 @@ src:
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0z0h4bvfvlwyfx8ia03lr9dlpy38n75v2wxh424v6fhy4z08ycab";
+ sha256 = "0mqwz2a4k9894d160k5a3mqm5g6p9rncgi4kx8w8dymbnz34bcli";
type = "gem";
};
- version = "0.0.11";
+ version = "0.0.12";
};
gitlab-housekeeper = {
- dependencies = ["activesupport" "httparty" "rubocop"];
+ dependencies = ["activesupport" "awesome_print" "httparty" "rubocop"];
groups = ["development" "test"];
platforms = [];
source = {
@@ -2247,20 +2267,20 @@ src:
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0ya6r3ij0fzz6p50h157bn224n7405nwak6lx80ppvil9sm0dzr6";
+ sha256 = "1m41by1hly50yq9vsz5pbrb51yryf46n9pm7wnrinaisccrinl79";
type = "gem";
};
- version = "0.35.0";
+ version = "0.35.1";
};
gitlab-license = {
groups = ["default"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0ms1kf5nmclsnmd2xa9k273asmb73ivaykwrb3g7sq263j3y7jk0";
+ sha256 = "082ycgvq7j0kyqrbx8shipqk3lgz6i279caf1ljvk9h5wsqqy8zx";
type = "gem";
};
- version = "2.3.0";
+ version = "2.4.0";
};
gitlab-mail_room = {
dependencies = ["jwt" "net-imap" "oauth2" "redis" "redis-namespace"];
@@ -2339,10 +2359,10 @@ src:
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1mnhl888n4zfwhmfy3f1pj0k1rzjwpf16a1ic7wb22l64252g4g8";
+ sha256 = "00w9p1h3awari07vh33h65y1l1jh7sgym8fzgcp5q5aihha0y9i2";
type = "gem";
};
- version = "0.2.3";
+ version = "0.3.0";
};
gitlab-secret_detection = {
dependencies = ["parallel" "re2" "toml-rb"];
@@ -2408,15 +2428,15 @@ src:
version = "2.2.0";
};
gitlab_quality-test_tooling = {
- dependencies = ["activesupport" "amatch" "gitlab" "http" "nokogiri" "parallel" "rainbow" "table_print" "zeitwerk"];
+ dependencies = ["activesupport" "amatch" "gitlab" "http" "nokogiri" "parallel" "rainbow" "rspec-parameterized" "table_print" "zeitwerk"];
groups = ["test"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0anhwfn9dnd8zkjwkq3qycjswfxqksfnwvl7dr6azz2zvjnxnii1";
+ sha256 = "0n7ndhxkjkz7pfdqvcp90lxxias3lz2ssabpv5a5nxayilr47qwy";
type = "gem";
};
- version = "1.11.0";
+ version = "1.14.2";
};
globalid = {
dependencies = ["activesupport"];
@@ -2605,6 +2625,39 @@ src:
};
version = "0.29.0";
};
+ google-cloud-artifact_registry-v1 = {
+ dependencies = ["gapic-common" "google-cloud-errors" "google-cloud-location" "grpc-google-iam-v1"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0gkq82lsjz3yw9w819ifdqx9ixcbgydr5myy64wnczknx7fd505s";
+ type = "gem";
+ };
+ version = "0.11.0";
+ };
+ google-cloud-common = {
+ dependencies = ["google-protobuf" "googleapis-common-protos-types"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1yxmdxx933q48397jsczsmpshr4b61izv3spnhvzxd24s67v13bk";
+ type = "gem";
+ };
+ version = "1.1.0";
+ };
+ google-cloud-compute-v1 = {
+ dependencies = ["gapic-common" "google-cloud-common" "google-cloud-errors"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "07hly5qbdy0qddw48biw0ybi2cx13861l5i09mj2abzw7yrmjq5r";
+ type = "gem";
+ };
+ version = "2.6.0";
+ };
google-cloud-core = {
dependencies = ["google-cloud-env" "google-cloud-errors"];
groups = ["default"];
@@ -2637,6 +2690,17 @@ src:
};
version = "1.3.0";
};
+ google-cloud-location = {
+ dependencies = ["gapic-common" "google-cloud-errors"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "1l6j0i8flfdzl9c7db990632jmn5v7bmbh1i6x0sqp3f2p59jv1q";
+ type = "gem";
+ };
+ version = "0.6.0";
+ };
google-cloud-profiler-v2 = {
dependencies = ["gapic-common" "google-cloud-errors"];
groups = ["default"];
@@ -2692,15 +2756,15 @@ src:
version = "1.5.0";
};
googleauth = {
- dependencies = ["faraday" "jwt" "memoist" "multi_json" "os" "signet"];
+ dependencies = ["faraday" "jwt" "multi_json" "os" "signet"];
groups = ["default"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1hpwgwhk0lmnknkw8kbdfxn95qqs6aagpq815l5fkw9w6mi77pai";
+ sha256 = "1ry9v23kndgx2pxq9v31l68k9lnnrcz1w4v75bkxq88jmbddljl1";
type = "gem";
};
- version = "1.3.0";
+ version = "1.8.1";
};
gpgme = {
dependencies = ["mini_portile2"];
@@ -2796,10 +2860,10 @@ src:
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "14pjw0hd9rmfc687yj1cfd8jjy8gh7k6zn6w9syvcl1f2hb98b0g";
+ sha256 = "1h652p91zdbdigb155vhdlh0lnq4ia8wlg5y5h0ibhlf9i5ndn5q";
type = "gem";
};
- version = "0.5.0";
+ version = "0.6.0";
};
graphlyte = {
groups = ["test"];
@@ -2812,14 +2876,15 @@ src:
version = "1.0.0";
};
graphql = {
+ dependencies = ["racc"];
groups = ["default" "development" "test"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0dlb8hbgs7yas59pwf4k7qrczbgkvrpcjqd2f5cmjj02fxdbwn8z";
+ sha256 = "0zmw8gslwqaydxvmvan0m2rpbgxplm77kwp64bg051cvnasb9vhm";
type = "gem";
};
- version = "2.0.27";
+ version = "2.2.5";
};
graphql-client = {
dependencies = ["activesupport" "graphql"];
@@ -2827,10 +2892,10 @@ src:
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "02r5qvfr176n051mp1c79xbpjhjqm92kk4118r0fbp131y0xralq";
+ sha256 = "1iv9d6l14h05vnhrzmm5fn2cnjb469an28c9z7c6p4bgjy0rssgy";
type = "gem";
};
- version = "0.18.0";
+ version = "0.19.0";
};
graphql-docs = {
dependencies = ["commonmarker" "dartsass" "escape_utils" "extended-markdown-filter" "gemoji" "graphql" "html-pipeline"];
@@ -2849,10 +2914,21 @@ src:
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "00lx7sql179ak7rx5vg76z45y558d5wj0gldql4qjcaxjnzcryqc";
+ sha256 = "1bzkhy5yy4a8nlp89wwfw9bv4h358gsa9rvzn6i2y0z2ha5vmgqn";
type = "gem";
};
- version = "1.58.0";
+ version = "1.60.0";
+ };
+ grpc-google-iam-v1 = {
+ dependencies = ["google-protobuf" "googleapis-common-protos" "grpc"];
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0kip34n9604j2cc9rkplv5ljq0n8f4aizix4yr8rginsa38md8yf";
+ type = "gem";
+ };
+ version = "1.5.0";
};
gssapi = {
dependencies = ["ffi"];
@@ -3367,10 +3443,10 @@ src:
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "12md9pbh0m2yfx0vy81dyn40ibdnhzm6b7kfwra66pkjwrac9pvq";
+ sha256 = "13bkqrdz1rdn23nn0zni7vdvwnm34apgi3xy42djhhxl698888dv";
type = "gem";
};
- version = "0.3.0";
+ version = "0.4.0";
};
knapsack = {
dependencies = ["rake"];
@@ -3442,10 +3518,10 @@ src:
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1ac5r000h6rp7gdwyxr1n8hsg5b88gwbdkq87pbcjzps9li6n6nz";
+ sha256 = "1rc7fzg4rjchyv7rd6vlz35hg5n8k5625s78hj12zji0xhg1jznc";
type = "gem";
};
- version = "1.5.5";
+ version = "1.5.6";
};
letter_opener = {
dependencies = ["launchy"];
@@ -3496,10 +3572,10 @@ src:
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0i4hs0vbgp0w3pdddr37zhydm16af122rmr0w39v3nqrj1ir65kv";
+ sha256 = "05g5w9c4jlfhwn0hfz117s1c7hfdm5yn7cywr4mah7xr41yvbh04";
type = "gem";
};
- version = "9.16.0";
+ version = "9.16.1";
};
listen = {
dependencies = ["rb-fsevent" "rb-inotify"];
@@ -3648,16 +3724,6 @@ src:
};
version = "0.4.2";
};
- memoist = {
- groups = ["default"];
- platforms = [];
- source = {
- remotes = ["https://rubygems.org"];
- sha256 = "0i9wpzix3sjhf6d9zw60dm4371iq8kyz7ckh2qapan2vyaim6b55";
- type = "gem";
- };
- version = "0.16.2";
- };
memory_profiler = {
groups = ["default" "development" "test"];
platforms = [];
@@ -4115,10 +4181,10 @@ src:
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1gxh0x910qvah2sm9fbxn8jjy3pgwskyd3gm703zf182hafll3lj";
+ sha256 = "11fhv1a43c51jkgmqf62aypf9yw74lc6ph4qmzsh2bydwwzbwqn3";
type = "gem";
};
- version = "6.1.1";
+ version = "8.0.0";
};
ohai = {
dependencies = ["chef-config" "chef-utils" "ffi" "ffi-yajl" "ipaddress" "mixlib-cli" "mixlib-config" "mixlib-log" "mixlib-shellout" "plist" "train-core" "wmi-lite"];
@@ -4563,10 +4629,10 @@ src:
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "15ynrzqsmmbmxib8ri8n9k6z3l6rwd91j7y1mghm33nfgdf9bj8w";
+ sha256 = "0p8ljf694qvrf5875ljg6kp7gvmndy8490kasjzcq22ghryg9xxp";
type = "gem";
};
- version = "4.2.3";
+ version = "5.1.0";
};
plist = {
groups = ["default"];
@@ -4648,10 +4714,10 @@ src:
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "19mi424kwalrzdm7cvzjx2w0rw778mxqb75y9wn20nc277nxglvb";
+ sha256 = "0vg47xx3wgg24snqc6ychb08mbcyrjmvxym9fg69cpa4xvj133fx";
type = "gem";
};
- version = "1.1.0";
+ version = "1.1.1";
};
protocol = {
dependencies = ["ruby_parser"];
@@ -4995,16 +5061,6 @@ src:
};
version = "0.4.14";
};
- rbtree = {
- groups = ["default"];
- platforms = [];
- source = {
- remotes = ["https://rubygems.org"];
- sha256 = "1z0h1x7fpkzxamnvbw1nry64qd6n0nqkwprfair29z94kd3a9vhl";
- type = "gem";
- };
- version = "0.4.6";
- };
rchardet = {
groups = ["default" "development"];
platforms = [];
@@ -5021,10 +5077,10 @@ src:
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0sj80r3gy4sb27mrgc6pwcf2lra669p8p81axdv2p5pfm6k3mqbq";
+ sha256 = "1p0kxb1gwrsv2r38jwgsg8b5k2xx966qmrc6aajfncpzm398i79i";
type = "gem";
};
- version = "2.6.0";
+ version = "2.7.0";
};
recaptcha = {
dependencies = ["json"];
@@ -5525,10 +5581,10 @@ src:
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1g1vdas991rv6lrjppjxjbfyzif4jxbncrcg9shgzrmibzilbnwr";
+ sha256 = "0b9aj7d1wh4s83mkfsvjgkikx83c7afyzy6k2940fx08hfrdqgb8";
type = "gem";
};
- version = "0.13.2";
+ version = "0.13.3";
};
ruby-lsp-rails = {
dependencies = ["actionpack" "activerecord" "railties" "ruby-lsp" "sorbet-runtime"];
@@ -5616,15 +5672,15 @@ src:
version = "0.0.5";
};
ruby_parser = {
- dependencies = ["sexp_processor"];
+ dependencies = ["racc" "sexp_processor"];
groups = ["default" "test"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0j8w4mqhqaw53jd27cfhq1mq02d1r606x9fmrpfzz0fwjnk8j8ld";
+ sha256 = "0sy5y7w89ii5iqym7b21glcwxqg8kizxfy8a7kcxq0j65wyqjhiq";
type = "gem";
};
- version = "3.20.3";
+ version = "3.21.0";
};
rubyntlm = {
groups = ["default"];
@@ -5715,10 +5771,10 @@ src:
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "18mgdjxdzpbw92zrllynxw7jn7yihi85j3dg7i4f6c39w1scqkbn";
+ sha256 = "1d9djmwn36a5m8a83bpycs48g8kh1n2xkyvghn7dr6zwh4wdyksz";
type = "gem";
};
- version = "2.1.0";
+ version = "2.1.2";
};
sawyer = {
dependencies = ["addressable" "faraday"];
@@ -5753,15 +5809,15 @@ src:
version = "2.3.7";
};
selenium-webdriver = {
- dependencies = ["rexml" "rubyzip" "websocket"];
+ dependencies = ["base64" "rexml" "rubyzip" "websocket"];
groups = ["test"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1qy3whgdg6q5bi6mzbq5gc94rxnkasdhdx3c73z3a955krj16w13";
+ sha256 = "0g3l3invk95w1f72mpp0r4hc3vsc3070c1xd1wg76kfg2r182xnq";
type = "gem";
};
- version = "4.16.0";
+ version = "4.17.0";
};
semver_dialects = {
dependencies = ["deb_version" "pastel" "thor" "tty-command"];
@@ -5769,10 +5825,10 @@ src:
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1bj9r98ilpgg0w0h80wydfssr7kv65y0v2afsf1azhf9mymz3000";
+ sha256 = "13jmbqzswvkq0xds2s1r6wnh78gfy2zbn35qvalhwj2qi4nmjpvf";
type = "gem";
};
- version = "1.5.0";
+ version = "1.6.1";
};
sentry-rails = {
dependencies = ["railties" "sentry-ruby"];
@@ -5818,25 +5874,15 @@ src:
};
version = "5.10.0";
};
- set = {
- groups = ["default"];
- platforms = [];
- source = {
- remotes = ["https://rubygems.org"];
- sha256 = "00ick64s6066idlylbxhpjmxf56h1l22c8xp0mg4j8963zga9zq2";
- type = "gem";
- };
- version = "1.0.2";
- };
sexp_processor = {
groups = ["default" "test"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0vzz9mhg4kkdqf179pm30i204h7iskanxrk53j0csf0qrrs4iajd";
+ sha256 = "182x05kpdjlckh31qizws50fz7sjk86yjjfmy45z61q3f930j4ci";
type = "gem";
};
- version = "4.17.0";
+ version = "4.17.1";
};
shellany = {
groups = ["default" "test"];
@@ -5864,9 +5910,8 @@ src:
groups = ["default"];
platforms = [];
source = {
- remotes = ["https://rubygems.org"];
- sha256 = "18j3g31ps6ga9nzza0z0d00qjrn810fhkhx2pqi3rvxwsmkdlnbq";
- type = "gem";
+ path = "${src}/vendor/gems/sidekiq-7.1.6";
+ type = "path";
};
version = "7.1.6";
};
@@ -5897,10 +5942,10 @@ src:
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0100rclkhagf032rg3r0gf3f4znrvvvqrimy6hpa73f21n9k2a0x";
+ sha256 = "0fzakk5y7zzii76zlkynpp1c764mzkkfg4mpj18f5pf2xp1aikb6";
type = "gem";
};
- version = "0.17.0";
+ version = "0.18.0";
};
simple_po_parser = {
groups = ["development" "test"];
@@ -6036,17 +6081,6 @@ src:
};
version = "0.5.11144";
};
- sorted_set = {
- dependencies = ["rbtree" "set"];
- groups = ["default"];
- platforms = [];
- source = {
- remotes = ["https://rubygems.org"];
- sha256 = "0brpwv68d7m9qbf5js4bg8bmg4v7h4ghz312jv9cnnccdvp8nasg";
- type = "gem";
- };
- version = "1.0.3";
- };
spamcheck = {
dependencies = ["grpc"];
groups = ["default"];
@@ -6875,10 +6909,10 @@ src:
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0vfispr7wd2p1fs9ckn1qnby1yyp4i1dl7qz8n482iw977iyxrza";
+ sha256 = "0rc3g9hhxi6v2l1cp9q3kcjd92bhmdbrb517l4v5pyzwq2nflcyc";
type = "gem";
};
- version = "3.19.1";
+ version = "3.20.0";
};
webrick = {
groups = ["default" "development" "test"];
diff --git a/pkgs/applications/version-management/gitoxide/default.nix b/pkgs/applications/version-management/gitoxide/default.nix
index ed3f3c8764f4..ecadfb5d13cd 100644
--- a/pkgs/applications/version-management/gitoxide/default.nix
+++ b/pkgs/applications/version-management/gitoxide/default.nix
@@ -9,27 +9,39 @@
, SystemConfiguration
, curl
, openssl
+, buildPackages
+, installShellFiles
}:
-rustPlatform.buildRustPackage rec {
+let
+ canRunCmd = stdenv.hostPlatform.emulatorAvailable buildPackages;
+ gix = "${stdenv.hostPlatform.emulator buildPackages} $out/bin/gix";
+in rustPlatform.buildRustPackage rec {
pname = "gitoxide";
- version = "0.33.0";
+ version = "0.34.0";
src = fetchFromGitHub {
owner = "Byron";
repo = "gitoxide";
rev = "v${version}";
- hash = "sha256-mqPaSUBb10LIo95GgqAocD9kALzcSlJyQaimb6xfMLs=";
+ hash = "sha256-CHlLValZnO5Jd7boMWnK9bYCSjjM4Dj6xvn6tBlvP8c=";
};
- cargoHash = "sha256-JOl/hhyuc6vqeK6/oXXMB3fGRapBsuOTaUG+BQ9QSnk=";
+ cargoHash = "sha256-7nc6eIuY08nTeHMVwKukOdd0zP6xbUPo7NcZ8EEGUNI=";
- nativeBuildInputs = [ cmake pkg-config ];
+ nativeBuildInputs = [ cmake pkg-config installShellFiles ];
buildInputs = [ curl ] ++ (if stdenv.isDarwin
then [ libiconv Security SystemConfiguration ]
else [ openssl ]);
+ preFixup = lib.optionalString canRunCmd ''
+ installShellCompletion --cmd gix \
+ --bash <(${gix} completions --shell bash) \
+ --fish <(${gix} completions --shell fish) \
+ --zsh <(${gix} completions --shell zsh)
+ '';
+
# Needed to get openssl-sys to use pkg-config.
env.OPENSSL_NO_VENDOR = 1;
diff --git a/pkgs/applications/version-management/gitsign/default.nix b/pkgs/applications/version-management/gitsign/default.nix
index 2d0878cf0d95..817dbaf12783 100644
--- a/pkgs/applications/version-management/gitsign/default.nix
+++ b/pkgs/applications/version-management/gitsign/default.nix
@@ -2,15 +2,15 @@
buildGoModule rec {
pname = "gitsign";
- version = "0.8.1";
+ version = "0.9.0";
src = fetchFromGitHub {
owner = "sigstore";
repo = pname;
rev = "v${version}";
- hash = "sha256-+oJBpERU2WbfmS7MyBbJKrh4kzY+rgSw4uKAU1y5kR4=";
+ hash = "sha256-52Vyh2aImus9ZTb082N2FRMIsfykfQ2+AVUT2VD6lJ4=";
};
- vendorHash = "sha256-Z46eDqUc8Mdq9lEMx1YOuSh5zPIMQrSkbto33AmgANU=";
+ vendorHash = "sha256-fQTd7J2l7W3E5RQIr2hn2wp9CPHn8N8TpDqfbb3TFgI=";
subPackages = [
"."
diff --git a/pkgs/applications/version-management/gitui/default.nix b/pkgs/applications/version-management/gitui/default.nix
index 257d7fd4aacc..d626585b97c5 100644
--- a/pkgs/applications/version-management/gitui/default.nix
+++ b/pkgs/applications/version-management/gitui/default.nix
@@ -12,16 +12,16 @@
rustPlatform.buildRustPackage rec {
pname = "gitui";
- version = "0.24.3";
+ version = "0.25.1";
src = fetchFromGitHub {
owner = "extrawurst";
repo = pname;
rev = "v${version}";
- hash = "sha256-mT5toF4mKRP0dlwlfKHjmFFQJ/cWSb2vwg1uZbhZRhI=";
+ hash = "sha256-+u0XvZb42RRlQnQUZHrMXRJU+31CDy/oLYQ55tLlmUg=";
};
- cargoHash = "sha256-8j0NtJB2ErrpljPHSMRD/4IGZuqA8wLuzPnuQqxaXRg=";
+ cargoHash = "sha256-3FBrJvLSuDehCy+o7valkf5LSuMkD2loD2JPQO4+3sY=";
nativeBuildInputs = [ pkg-config ];
diff --git a/pkgs/applications/version-management/glab/default.nix b/pkgs/applications/version-management/glab/default.nix
index c44eb1706a88..b6c49f47dc56 100644
--- a/pkgs/applications/version-management/glab/default.nix
+++ b/pkgs/applications/version-management/glab/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "glab";
- version = "1.36.0";
+ version = "1.37.0";
src = fetchFromGitLab {
owner = "gitlab-org";
repo = "cli";
rev = "v${version}";
- hash = "sha256-BS5v+R3DqkLLNZScr2PutMMrLZCI4tUK9HDN/viFYMU=";
+ hash = "sha256-H1yYjI7ct/YO44czX5J0cHc/YbhxtXJQThJcARyUEq0=";
};
- vendorHash = "sha256-x96ChhozvTrX0eBWt3peX8dpd4gyukJ28RkqcD2W/OM=";
+ vendorHash = "sha256-4CQ4NPHAs736LQxDxvKWEH9TQvIKAouJ6zVReAoZTec=";
ldflags = [
"-s"
diff --git a/pkgs/applications/version-management/got/default.nix b/pkgs/applications/version-management/got/default.nix
index 377e3a27e51f..2448e4ccffea 100644
--- a/pkgs/applications/version-management/got/default.nix
+++ b/pkgs/applications/version-management/got/default.nix
@@ -16,11 +16,11 @@
stdenv.mkDerivation (finalAttrs: {
pname = "got";
- version = "0.96";
+ version = "0.97";
src = fetchurl {
url = "https://gameoftrees.org/releases/portable/got-portable-${finalAttrs.version}.tar.gz";
- hash = "sha256-/R7r6IJtgkuNQwoLxys/1HcXW+l3PVkjnPXphFpAFTs=";
+ hash = "sha256-4HpIlKRYUDoymCBH8GS8DDXaY0nYiVvotpBkwglOO3I=";
};
nativeBuildInputs = [ pkg-config bison ]
@@ -35,7 +35,7 @@ stdenv.mkDerivation (finalAttrs: {
# The configure script assumes dependencies on Darwin are installed via
# Homebrew or MacPorts and hardcodes assumptions about the paths of
# dependencies which fails the nixpkgs configurePhase.
- substituteInPlace configure --replace 'xdarwin' 'xhomebrew'
+ substituteInPlace configure --replace-fail 'xdarwin' 'xhomebrew'
'';
env.NIX_CFLAGS_COMPILE = toString (lib.optionals stdenv.isDarwin [
@@ -49,11 +49,12 @@ stdenv.mkDerivation (finalAttrs: {
installCheckPhase = ''
runHook preInstallCheck
- test "$($out/bin/got --version)" = "${finalAttrs.pname} ${finalAttrs.version}"
+ test "$($out/bin/got --version)" = "got ${finalAttrs.version}"
runHook postInstallCheck
'';
- meta = with lib; {
+ meta = {
+ changelog = "https://gameoftrees.org/releases/CHANGES";
description = "A version control system which prioritizes ease of use and simplicity over flexibility";
longDescription = ''
Game of Trees (Got) is a version control system which prioritizes
@@ -65,9 +66,9 @@ stdenv.mkDerivation (finalAttrs: {
on the same repository.
'';
homepage = "https://gameoftrees.org";
- changelog = "https://gameoftrees.org/releases/CHANGES";
- license = licenses.isc;
- platforms = platforms.linux ++ platforms.darwin;
- maintainers = with maintainers; [ abbe afh ];
+ license = lib.licenses.isc;
+ maintainers = with lib.maintainers; [ abbe afh ];
+ mainProgram = "got";
+ platforms = with lib.platforms; darwin ++ linux;
};
})
diff --git a/pkgs/applications/version-management/josh/default.nix b/pkgs/applications/version-management/josh/default.nix
index 5acd1a634344..b127966176cd 100644
--- a/pkgs/applications/version-management/josh/default.nix
+++ b/pkgs/applications/version-management/josh/default.nix
@@ -11,36 +11,24 @@
, darwin
}:
+let
+ # josh-ui requires javascript dependencies, haven't tried to figure it out yet
+ cargoFlags = [ "--workspace" "--exclude" "josh-ui" ];
+in
+
rustPlatform.buildRustPackage rec {
pname = "josh";
- version = "23.02.14";
+ version = "23.12.04";
JOSH_VERSION = "r${version}";
src = fetchFromGitHub {
owner = "esrlabs";
repo = "josh";
rev = JOSH_VERSION;
- sha256 = "1sqa8xi5d55zshky7gicac02f67vp944hclkdsmwy0bczk9hgssr";
+ sha256 = "10fspcafqnv6if5c1h8z9pf9140jvvlrch88w62wsg4w2vhaii0v";
};
- patches = [
- # Unreleased patch allowing compilation from the GitHub tarball download
- (fetchpatch {
- name = "josh-version-without-git.patch";
- url = "https://github.com/josh-project/josh/commit/13e7565ab029206598881391db4ddc6dface692b.patch";
- sha256 = "1l5syqj51sn7kcqvffwl6ggn5sq8wfkpviga860agghnw5dpf7ns";
- })
-
- # Merged upstream, fixes builds with newer rustc
- (fetchpatch {
- name = "josh-fix-builds-with-rust-173.patch";
- url = "https://github.com/josh-project/josh/commit/7b8259b81a9acabb528ddebc4ab30fc712f756fb.patch";
- sha256 = "sha256-YfrVlH6Ox05ZbmB/15HVaFlOyRTOFbYflq0edi6/X9k=";
- includes = [ "josh-proxy/src/bin/josh-proxy.rs" ];
- })
- ];
-
- cargoSha256 = "0f6cvz2s8qs53b2g6xja38m24hafqla61s4r5za0a1dyndgms7sl";
+ cargoSha256 = "1j0vl3h6f65ldg80bgryh1mz423lcrcdkn8rmajya1850pfxk3w3";
nativeBuildInputs = [
pkg-config
@@ -54,11 +42,8 @@ rustPlatform.buildRustPackage rec {
darwin.Security
];
- cargoBuildFlags = [
- "-p" "josh"
- "-p" "josh-proxy"
- # TODO: josh-ui
- ];
+ cargoBuildFlags = cargoFlags;
+ cargoTestFlags = cargoFlags;
postInstall = ''
wrapProgram "$out/bin/josh-proxy" --prefix PATH : "${git}/bin"
diff --git a/pkgs/applications/version-management/jujutsu/default.nix b/pkgs/applications/version-management/jujutsu/default.nix
index 9503c79e04d0..b6b4bd8e8e41 100644
--- a/pkgs/applications/version-management/jujutsu/default.nix
+++ b/pkgs/applications/version-management/jujutsu/default.nix
@@ -11,7 +11,6 @@
, libssh2
, libgit2
, zstd
-, fetchpatch
, installShellFiles
, nix-update-script
, testers
@@ -20,19 +19,19 @@
rustPlatform.buildRustPackage rec {
pname = "jujutsu";
- version = "0.14.0";
+ version = "0.15.1";
src = fetchFromGitHub {
owner = "martinvonz";
repo = "jj";
rev = "v${version}";
- hash = "sha256-xnGnervyXPfZyQTYsPu09fj+QvbEZ6rDJ4fYHBeF/RY=";
+ hash = "sha256-yppQIffjpyQ2nqhiZbV2pSMQJx8srmHjAk+UClCQfRw=";
};
- cargoHash = "sha256-wuZ0zthaemzyDn5J2au2L2k0QJnzbrCRjSBIPivEbnQ=";
+ cargoHash = "sha256-2BmKC8DaOdD/THchImmGqplhDrHQHEMyWORWnE2ygSM=";
cargoBuildFlags = [ "--bin" "jj" ]; # don't install the fake editors
- useNextest = true; # nextest is the upstream integration framework
+ useNextest = false; # nextest is the upstream integration framework, but is problematic for test skipping
ZSTD_SYS_USE_PKG_CONFIG = "1"; # disable vendored zlib
LIBSSH2_SYS_USE_PKG_CONFIG = "1"; # disable vendored libssh2
@@ -63,6 +62,11 @@ rustPlatform.buildRustPackage rec {
--zsh <($out/bin/jj util completion zsh)
'';
+ checkFlags = [
+ # signing tests spin up an ssh-agent and do git checkouts
+ "--skip=test_ssh_signing"
+ ];
+
passthru = {
updateScript = nix-update-script { };
tests = {
diff --git a/pkgs/applications/version-management/legit-web/default.nix b/pkgs/applications/version-management/legit-web/default.nix
index a14b7c22bd4b..3c42284702d2 100644
--- a/pkgs/applications/version-management/legit-web/default.nix
+++ b/pkgs/applications/version-management/legit-web/default.nix
@@ -5,16 +5,16 @@
buildGoModule rec {
pname = "legit";
- version = "0.2.1";
+ version = "0.2.2";
src = fetchFromGitHub {
repo = "legit";
owner = "icyphox";
rev = "v${version}";
- hash = "sha256-Y0lfbe4xBCj80z07mLFIiX+shvntYAHiW2Uw7h94jrE=";
+ hash = "sha256-TBq1ILBhojMIxnLj108L0zLmFsZD/ET9w5cSbqk8+XM=";
};
- vendorHash = "sha256-RAUSYCtP4rcJ2zIBXfPAEZWD1VSfr3d4MrmUMiPpjK8=";
+ vendorHash = "sha256-IeWgmUNkBU3W6ayfRkzMO/0XHNqm5zy5lLUNePzv+ug=";
postInstall = ''
mkdir -p $out/lib/legit/templates
diff --git a/pkgs/applications/version-management/meld/default.nix b/pkgs/applications/version-management/meld/default.nix
index e43448fd2f1d..563e7362d51d 100644
--- a/pkgs/applications/version-management/meld/default.nix
+++ b/pkgs/applications/version-management/meld/default.nix
@@ -18,13 +18,13 @@
python3.pkgs.buildPythonApplication rec {
pname = "meld";
- version = "3.22.0";
+ version = "3.22.1";
format = "other";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "sha256-P8EHyY7251NY/9Kw0UyF3bSP4UoR6TmpQyL6qo6QxA0=";
+ sha256 = "sha256-bdO9MtvUNBq6djD7lTd393x3aB7qIjazZB1iKo+QaDY=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/version-management/mercurial/default.nix b/pkgs/applications/version-management/mercurial/default.nix
index 058d01233889..19ba699abef4 100644
--- a/pkgs/applications/version-management/mercurial/default.nix
+++ b/pkgs/applications/version-management/mercurial/default.nix
@@ -23,11 +23,11 @@ let
self = python3Packages.buildPythonApplication rec {
pname = "mercurial${lib.optionalString fullBuild "-full"}";
- version = "6.6.2";
+ version = "6.6.3";
src = fetchurl {
url = "https://mercurial-scm.org/release/mercurial-${version}.tar.gz";
- sha256 = "sha256-y0lNe+fdwvydMXHIiDCvnAKyHHU+PlET3vrJwDc7S2A=";
+ hash = "sha256-911qSnWCOht9cTpJZ+yi9Zb0ZuWPxrwG1yZCky/X4wc=";
};
format = "other";
@@ -37,7 +37,7 @@ let
cargoDeps = if rustSupport then rustPlatform.fetchCargoTarball {
inherit src;
name = "mercurial-${version}";
- sha256 = "sha256-yOysqMrTWDx/ENcJng8Rm338NI9vpuBGH6Yq8B7+MFg=";
+ sha256 = "sha256-G5tzwoIGOgpVI35rYXDeelnBgTbAiq7BDcXCHQzqSrs=";
sourceRoot = "mercurial-${version}/rust";
} else null;
cargoRoot = if rustSupport then "rust" else null;
diff --git a/pkgs/applications/version-management/monotone-viz/default.nix b/pkgs/applications/version-management/monotone-viz/default.nix
index 83ee7de71955..e8eac58e73f6 100644
--- a/pkgs/applications/version-management/monotone-viz/default.nix
+++ b/pkgs/applications/version-management/monotone-viz/default.nix
@@ -47,6 +47,7 @@ stdenv.mkDerivation rec {
meta = {
description = "Monotone ancestry visualiser";
+ mainProgram = "monotone-viz";
license = lib.licenses.gpl2Plus ;
maintainers = [lib.maintainers.raskin];
platforms = lib.platforms.linux;
diff --git a/pkgs/applications/version-management/p4/default.nix b/pkgs/applications/version-management/p4/default.nix
index 188c5bbe2206..695e596e8c85 100644
--- a/pkgs/applications/version-management/p4/default.nix
+++ b/pkgs/applications/version-management/p4/default.nix
@@ -4,10 +4,7 @@
, lib
, emptyDirectory
, linkFarm
-, symlinkJoin
, jam
-, libcxx
-, libcxxabi
, openssl
, xcbuild
, CoreServices
@@ -33,10 +30,6 @@ let
# cone-based Git sparse checkout, either.)
{ name = "contrib"; path = "${src}/contrib"; }
];
- libcxxUnified = symlinkJoin {
- inherit (libcxx) name;
- paths = [ libcxx libcxxabi ];
- };
in
stdenv.mkDerivation rec {
pname = "p4";
@@ -70,7 +63,7 @@ stdenv.mkDerivation rec {
++ lib.optionals stdenv.isDarwin [
"-sOSVER=1013"
"-sMACOSX_SDK=${emptyDirectory}"
- "-sLIBC++DIR=${libcxxUnified}/lib"
+ "-sLIBC++DIR=${lib.getLib stdenv.cc.libcxx}/lib"
];
CCFLAGS =
diff --git a/pkgs/applications/version-management/radicle-upstream/default.nix b/pkgs/applications/version-management/radicle-upstream/default.nix
index 51365d0c4a31..97fec6257dd1 100644
--- a/pkgs/applications/version-management/radicle-upstream/default.nix
+++ b/pkgs/applications/version-management/radicle-upstream/default.nix
@@ -77,6 +77,7 @@ let
maintainers = with maintainers; [ d-xo ];
platforms = [ "x86_64-linux" "x86_64-darwin" ];
sourceProvenance = with sourceTypes; [ binaryNativeCode ];
+ broken = stdenv.isLinux; # last successful build 2023-04-11
};
in
if stdenv.isDarwin
diff --git a/pkgs/applications/version-management/sourcehut/default.nix b/pkgs/applications/version-management/sourcehut/default.nix
index b951a34e8916..4a1311d578be 100644
--- a/pkgs/applications/version-management/sourcehut/default.nix
+++ b/pkgs/applications/version-management/sourcehut/default.nix
@@ -31,6 +31,7 @@ let
# sourcehut is not (yet) compatible with SQLAlchemy 2.x
sqlalchemy = super.sqlalchemy_1_4;
+ # sourcehut is not (yet) compatible with flask-sqlalchemy 3.x
flask-sqlalchemy = super.flask-sqlalchemy.overridePythonAttrs (oldAttrs: rec {
version = "2.5.1";
format = "setuptools";
@@ -45,6 +46,26 @@ let
];
});
+ # flask-sqlalchemy 2.x requires flask 2.x
+ flask = super.flask.overridePythonAttrs (oldAttrs: rec {
+ version = "2.3.3";
+ src = fetchPypi {
+ inherit (oldAttrs) pname;
+ inherit version;
+ hash = "sha256-CcNHqSqn/0qOfzIGeV8w2CZlS684uHPQdEzVccpgnvw=";
+ };
+ });
+
+ # flask 2.x requires werkzeug 2.x
+ werkzeug = super.werkzeug.overridePythonAttrs (oldAttrs: rec {
+ version = "2.3.8";
+ src = fetchPypi {
+ inherit (oldAttrs) pname;
+ inherit version;
+ hash = "sha256-VUslfHS763oNJUFgpPj/4YUkP1KlIDUGC3Ycpi2XfwM=";
+ };
+ });
+
# sourcehut is not (yet) compatible with factory-boy 3.x
factory-boy = super.factory-boy.overridePythonAttrs (oldAttrs: rec {
version = "2.12.0";
@@ -55,11 +76,11 @@ let
};
nativeCheckInputs = (with super; [
django
- flask
mongoengine
pytestCheckHook
]) ++ (with self; [
sqlalchemy
+ flask
flask-sqlalchemy
]);
postPatch = "";
diff --git a/pkgs/applications/version-management/sourcehut/pages.nix b/pkgs/applications/version-management/sourcehut/pages.nix
index b0eee5683c58..54b202756309 100644
--- a/pkgs/applications/version-management/sourcehut/pages.nix
+++ b/pkgs/applications/version-management/sourcehut/pages.nix
@@ -30,6 +30,7 @@ buildGoModule (rec {
meta = with lib; {
homepage = "https://git.sr.ht/~sircmpwn/pages.sr.ht";
description = "Web hosting service for the sr.ht network";
+ mainProgram = "pages.sr.ht";
license = licenses.agpl3Only;
maintainers = with maintainers; [ eadwu christoph-heiss ];
};
diff --git a/pkgs/applications/version-management/stgit/default.nix b/pkgs/applications/version-management/stgit/default.nix
index 63213cc38b7a..06de22c65b19 100644
--- a/pkgs/applications/version-management/stgit/default.nix
+++ b/pkgs/applications/version-management/stgit/default.nix
@@ -18,15 +18,15 @@
rustPlatform.buildRustPackage rec {
pname = "stgit";
- version = "2.4.4";
+ version = "2.4.5";
src = fetchFromGitHub {
owner = "stacked-git";
repo = "stgit";
rev = "v${version}";
- hash = "sha256-KyyvTyPJ4LJ/H2rqutPlswrjINR+V8mJNi6iq8Om1j0=";
+ hash = "sha256-zESuJJ68CCTGSDwGBeguAV78KETp+FUKnNNJx+4zorw=";
};
- cargoHash = "sha256-Vlv2NRB4iggG3aCZwNZWhl7KfmYxryG2joY0jnBFhZ0=";
+ cargoHash = "sha256-ITR6RREx55q3hxYrHj+fOv0C8fAzphR4q/A5tTd9CDg=";
nativeBuildInputs = [
pkg-config installShellFiles makeWrapper asciidoc xmlto docbook_xsl
diff --git a/pkgs/applications/version-management/topgit/default.nix b/pkgs/applications/version-management/topgit/default.nix
index 86e0d1b3c809..0a5dd908c663 100644
--- a/pkgs/applications/version-management/topgit/default.nix
+++ b/pkgs/applications/version-management/topgit/default.nix
@@ -22,6 +22,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "TopGit manages large amount of interdependent topic branches";
+ mainProgram = "tg";
homepage = "https://github.com/mackyle/topgit";
license = licenses.gpl2;
platforms = platforms.unix;
diff --git a/pkgs/applications/version-management/tortoisehg/default.nix b/pkgs/applications/version-management/tortoisehg/default.nix
index 7e830e1077a5..e4ad91a8713f 100644
--- a/pkgs/applications/version-management/tortoisehg/default.nix
+++ b/pkgs/applications/version-management/tortoisehg/default.nix
@@ -7,11 +7,11 @@
python3Packages.buildPythonApplication rec {
pname = "tortoisehg";
- version = "6.2.2";
+ version = "6.6.3";
src = fetchurl {
url = "https://www.mercurial-scm.org/release/tortoisehg/targz/tortoisehg-${version}.tar.gz";
- sha256 = "sha256-Xbvg/FcuX/AL2reWsaM2oaFyLby3+HDCfYtRyswE7DA=";
+ sha256 = "sha256-9pg1N5uj1ZaZCAm4N8toRwfVHme7nAsNMZkXSRgFves=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/version-management/vcsh/default.nix b/pkgs/applications/version-management/vcsh/default.nix
index b764e6bb768c..1477695506e6 100644
--- a/pkgs/applications/version-management/vcsh/default.nix
+++ b/pkgs/applications/version-management/vcsh/default.nix
@@ -8,11 +8,11 @@
stdenv.mkDerivation rec {
pname = "vcsh";
- version = "2.0.7";
+ version = "2.0.8";
src = fetchurl {
url = "https://github.com/RichiH/vcsh/releases/download/v${version}/${pname}-${version}.tar.xz";
- sha256 = "sha256-Rx5yBCDRqFNyhP0Pfoo2upn7t4Yh5hxTgNKmMtaY/08=";
+ sha256 = "sha256-VgRA3v5PIKwizmXoc8f/YMoMCDGFJK/m2uhq3EsT1xQ=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/video/ani-cli/default.nix b/pkgs/applications/video/ani-cli/default.nix
index 375a930b0294..f4d174474df2 100644
--- a/pkgs/applications/video/ani-cli/default.nix
+++ b/pkgs/applications/video/ani-cli/default.nix
@@ -21,13 +21,13 @@ assert withMpv || withVlc || withIina;
stdenvNoCC.mkDerivation rec {
pname = "ani-cli";
- version = "4.7";
+ version = "4.8";
src = fetchFromGitHub {
owner = "pystardust";
repo = "ani-cli";
rev = "v${version}";
- hash = "sha256-Ll4bHKrDZukoQX35iiMI6rMSgiTC6wp7fHUnOMPagOA=";
+ hash = "sha256-vntCiWaONndjU622c1BoCoASQxQf/i7yO0x+70OxzPU=";
};
nativeBuildInputs = [ makeWrapper ];
diff --git a/pkgs/applications/video/anilibria-winmaclinux/default.nix b/pkgs/applications/video/anilibria-winmaclinux/default.nix
index ee6c34965200..d35947be850b 100644
--- a/pkgs/applications/video/anilibria-winmaclinux/default.nix
+++ b/pkgs/applications/video/anilibria-winmaclinux/default.nix
@@ -16,16 +16,16 @@
mkDerivation rec {
pname = "anilibria-winmaclinux";
- version = "1.2.14";
+ version = "1.2.15";
src = fetchFromGitHub {
owner = "anilibria";
repo = "anilibria-winmaclinux";
- rev = "d941607f078c72fca104ee1e7916cc0ddcc0acf5";
- sha256 = "sha256-G4KlYAjOT1UV29vcX7Q8dMTj0BX0rsJcLtK2MQag5nU=";
+ rev = version;
+ sha256 = "sha256-pfM3o4H3XJ4ZE0FXVR1k8pc7lr7SOQjKEMWuG9YkvvI=";
};
- sourceRoot = "source/src";
+ sourceRoot = "${src.name}/src";
qmakeFlags = [ "PREFIX=${placeholder "out"}" "CONFIG+=unixvlc" ];
diff --git a/pkgs/applications/video/avidemux/default.nix b/pkgs/applications/video/avidemux/default.nix
index 06951debf5ac..c2b6d5be7325 100644
--- a/pkgs/applications/video/avidemux/default.nix
+++ b/pkgs/applications/video/avidemux/default.nix
@@ -1,4 +1,4 @@
-{ stdenv, lib, fetchurl, cmake, pkg-config
+{ stdenv, lib, fetchurl, fetchpatch, cmake, pkg-config
, zlib, gettext, libvdpau, libva, libXv, sqlite
, yasm, freetype, fontconfig, fribidi
, makeWrapper, libXext, libGLU, qttools, qtbase, wrapQtAppsHook
@@ -37,6 +37,16 @@ stdenv.mkDerivation rec {
./bootstrap_logging.patch
];
+ postPatch = ''
+ cp ${fetchpatch {
+ # Backport fix for binutils-2.41.
+ name = "binutils-2.41.patch";
+ url = "https://git.ffmpeg.org/gitweb/ffmpeg.git/patch/effadce6c756247ea8bae32dc13bb3e6f464f0eb";
+ hash = "sha256-s9PcYbt0mFb2wvgMcFL1J+2OS6Sxyd2wYkGzLr2qd9M=";
+ stripLen = 1;
+ }} avidemux_core/ffmpeg_package/patches/
+ '';
+
nativeBuildInputs =
[ yasm cmake pkg-config makeWrapper ]
++ lib.optional withQT wrapQtAppsHook;
diff --git a/pkgs/applications/video/catt/default.nix b/pkgs/applications/video/catt/default.nix
index 8b1c74ee66cc..608236d68830 100644
--- a/pkgs/applications/video/catt/default.nix
+++ b/pkgs/applications/video/catt/default.nix
@@ -4,7 +4,25 @@
, python3
}:
-python3.pkgs.buildPythonApplication rec {
+let
+ python = python3.override {
+ packageOverrides = self: super: {
+ pychromecast = super.pychromecast.overridePythonAttrs (_: rec {
+ version = "13.1.0";
+
+ src = fetchPypi {
+ pname = "PyChromecast";
+ inherit version;
+ hash = "sha256-COYai1S9IRnTyasewBNtPYVjqpfgo7V4QViLm+YMJnY=";
+ };
+
+ postPatch = "";
+ });
+ };
+ };
+in
+
+python.pkgs.buildPythonApplication rec {
pname = "catt";
version = "0.12.11";
format = "pyproject";
@@ -22,11 +40,11 @@ python3.pkgs.buildPythonApplication rec {
})
];
- nativeBuildInputs = with python3.pkgs; [
+ nativeBuildInputs = with python.pkgs; [
poetry-core
];
- propagatedBuildInputs = with python3.pkgs; [
+ propagatedBuildInputs = with python.pkgs; [
click
ifaddr
pychromecast
diff --git a/pkgs/applications/video/cinelerra/default.nix b/pkgs/applications/video/cinelerra/default.nix
index 972a68e04f03..6bad50d9e453 100644
--- a/pkgs/applications/video/cinelerra/default.nix
+++ b/pkgs/applications/video/cinelerra/default.nix
@@ -44,13 +44,13 @@
stdenv.mkDerivation {
pname = "cinelerra-cv";
- version = "unstable-2023-01-29";
+ version = "2.3-unstable-2024-03-20";
src = fetchFromGitHub {
owner = "cinelerra-cv-team";
repo = "cinelerra-cv";
- rev = "bb00ac6b70fcf3cf419348b56f9b264bc01c1a89";
- sha256 = "11965kb3d7xcvlcf8p7jlzk9swk5i78x7wja4s3043wlzmqmwv0q";
+ rev = "18a693425f78f7c4c68b5a342efce3e8db2a30dc";
+ hash = "sha256-+47Xa63GoKiQzEXbxmKUwJLDIFUnzc/FfxRPXCCxzpE=";
};
preConfigure = ''
@@ -107,7 +107,10 @@ stdenv.mkDerivation {
meta = with lib; {
description = "Professional video editing and compositing environment (community version)";
homepage = "http://cinelerra-cv.wikidot.com/";
+ mainProgram = "cinelerracv";
maintainers = with maintainers; [ marcweber ];
license = licenses.gpl2Only;
+ # https://github.com/cinelerra-cv-team/cinelerra-cv/issues/3
+ platforms = [ "x86_64-linux" ];
};
}
diff --git a/pkgs/applications/video/crunchy-cli/default.nix b/pkgs/applications/video/crunchy-cli/default.nix
deleted file mode 100644
index 3efeeb8544d4..000000000000
--- a/pkgs/applications/video/crunchy-cli/default.nix
+++ /dev/null
@@ -1,45 +0,0 @@
-{ lib
-, stdenv
-, clangStdenv
-, darwin
-, xcbuild
-, openssl
-, pkg-config
-, rustPlatform
-, fetchFromGitHub
-}:
-
-rustPlatform.buildRustPackage.override { stdenv = clangStdenv; } rec {
- pname = "crunchy-cli";
- version = "3.0.0-dev.10";
-
- src = fetchFromGitHub {
- owner = "crunchy-labs";
- repo = pname;
- rev = "v${version}";
- hash = "sha256-uc19SmVfa5BZYDidlEgV6GNvcm9Dj0mSjdwHP5S+O4A=";
- };
-
- cargoHash = "sha256-H3D55qMUAF6t45mRbGZl+DORAl1H1a7AOe+lQP0WUUQ=";
-
- nativeBuildInputs = [
- pkg-config
- ] ++ lib.optionals stdenv.isDarwin [
- xcbuild
- ];
-
- buildInputs = [
- openssl
- ] ++ lib.optionals stdenv.isDarwin [
- darwin.apple_sdk.frameworks.Security
- ];
-
- meta = with lib; {
- description = "A pure Rust written Crunchyroll cli client and downloader";
- homepage = "https://github.com/crunchy-labs/crunchy-cli";
- license = with licenses; [ gpl3 ];
- maintainers = with maintainers; [ stepbrobd ];
- mainProgram = "crunchy-cli";
- };
-}
-
diff --git a/pkgs/applications/video/davinci-resolve/default.nix b/pkgs/applications/video/davinci-resolve/default.nix
index 283658a0dbd4..7caf12ee76d8 100644
--- a/pkgs/applications/video/davinci-resolve/default.nix
+++ b/pkgs/applications/video/davinci-resolve/default.nix
@@ -31,7 +31,7 @@ let
davinci = (
stdenv.mkDerivation rec {
pname = "davinci-resolve${lib.optionalString studioVariant "-studio"}";
- version = "18.6.4";
+ version = "18.6.6";
nativeBuildInputs = [
(appimage-run.override { buildFHSEnv = buildFHSEnvChroot; } )
@@ -52,8 +52,8 @@ let
outputHashAlgo = "sha256";
outputHash =
if studioVariant
- then "sha256-Us8DsxdGwBxUL+yUHT9DNJFIV7EO+J9CSN2Juyf8VQ4="
- else "sha256-yPdfmS42ID7MOTB3XlGXfOqp46kRLR8martJ9gWqDjA=";
+ then "sha256-9iTdIjHH8uoXlVr6miyqmHuzbbpbqdJPEbPGycsccoI="
+ else "sha256-WrIQ1FHm65MOGb5HfFl2WzXYJRlqktuZdrtzcjWp1gI=";
impureEnvVars = lib.fetchers.proxyImpureEnvVars;
@@ -258,7 +258,7 @@ buildFHSEnv {
description = "Professional video editing, color, effects and audio post-processing";
homepage = "https://www.blackmagicdesign.com/products/davinciresolve";
license = licenses.unfree;
- maintainers = with maintainers; [ jshcmpbll orivej ];
+ maintainers = with maintainers; [ amarshall jshcmpbll orivej ];
platforms = [ "x86_64-linux" ];
sourceProvenance = with sourceTypes; [ binaryNativeCode ];
mainProgram = "davinci-resolve";
diff --git a/pkgs/applications/video/electronplayer/electronplayer.nix b/pkgs/applications/video/electronplayer/electronplayer.nix
index 15f5b60a09a6..270d5543c993 100644
--- a/pkgs/applications/video/electronplayer/electronplayer.nix
+++ b/pkgs/applications/video/electronplayer/electronplayer.nix
@@ -25,6 +25,7 @@ in appimageTools.wrapType2 {
meta = with lib; {
description = "An electron based web video services player";
+ mainProgram = "electronplayer";
homepage = "https://github.com/oscartbeaumont/ElectronPlayer";
license = licenses.mit;
maintainers = with maintainers; [ extends ];
diff --git a/pkgs/applications/video/filebot/default.nix b/pkgs/applications/video/filebot/default.nix
index fd779bf0bf81..738bd9418f00 100644
--- a/pkgs/applications/video/filebot/default.nix
+++ b/pkgs/applications/video/filebot/default.nix
@@ -10,11 +10,11 @@ let
};
in stdenv.mkDerivation (finalAttrs: {
pname = "filebot";
- version = "5.1.2";
+ version = "5.1.3";
src = fetchurl {
url = "https://web.archive.org/web/20230917142929/https://get.filebot.net/filebot/FileBot_${finalAttrs.version}/FileBot_${finalAttrs.version}-portable.tar.xz";
- hash = "sha256-+5I0t67asbCwaMCuqI/ixRHNAdcLTziuYOfepVThoPk=";
+ hash = "sha256-1TkCV3Cjg/5YZODceV5mQDsPYk09IU7+UHwPRwt2vAQ=";
};
unpackPhase = "tar xvf $src";
diff --git a/pkgs/applications/video/freetube/default.nix b/pkgs/applications/video/freetube/default.nix
index ef41f49d7a0f..98b486ce2655 100644
--- a/pkgs/applications/video/freetube/default.nix
+++ b/pkgs/applications/video/freetube/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "freetube";
- version = "0.19.1";
+ version = "0.19.2";
src = fetchurl {
url = "https://github.com/FreeTubeApp/FreeTube/releases/download/v${version}-beta/freetube_${version}_amd64.AppImage";
- sha256 = "add96ad3509d4d5c6d8658b005dfd046963cd6bb0a4e1f3e88f726a86c05810f";
+ sha256 = "sha256-GhlU02CmglHUzVTqoajXFS1E6WXxXjxRTdiDEDqsH8s=";
};
passthru.tests = nixosTests.freetube;
diff --git a/pkgs/applications/video/frigate/default.nix b/pkgs/applications/video/frigate/default.nix
index 6a93401d985d..9aee25c46ce5 100644
--- a/pkgs/applications/video/frigate/default.nix
+++ b/pkgs/applications/video/frigate/default.nix
@@ -3,19 +3,20 @@
, python3
, fetchFromGitHub
, fetchurl
+, fetchpatch2
, frigate
, nixosTests
}:
let
- version = "0.13.1";
+ version = "0.13.2";
src = fetchFromGitHub {
#name = "frigate-${version}-source";
owner = "blakeblackshear";
repo = "frigate";
rev = "refs/tags/v${version}";
- hash = "sha256-2J7DhnYDX9ubbsk0qhji/vIKDouy9IqQztzbdPj2kxo=";
+ hash = "sha256-NVT7yaJkVA7b7GL0S0fHjNneBzhjCru56qY1Q4sTVcE=";
};
frigate-web = callPackage ./web.nix {
@@ -58,6 +59,14 @@ python.pkgs.buildPythonApplication rec {
inherit src;
+ patches = [
+ (fetchpatch2 {
+ name = "frigate-flask3.0-compat.patch";
+ url = "https://github.com/blakeblackshear/frigate/commit/56bdacc1c661eff8a323e033520e75e2ba0a3842.patch";
+ hash = "sha256-s/goUJxIbjq/woCEOEZECdcZoJDoWc1eM63sd60cxeY=";
+ })
+ ];
+
postPatch = ''
echo 'VERSION = "${version}"' > frigate/version.py
@@ -97,6 +106,7 @@ python.pkgs.buildPythonApplication rec {
click
flask
imutils
+ markupsafe
matplotlib
norfair
numpy
diff --git a/pkgs/applications/video/gnome-mplayer/default.nix b/pkgs/applications/video/gnome-mplayer/default.nix
index bab41b11bee9..09ed7efaf0c0 100644
--- a/pkgs/applications/video/gnome-mplayer/default.nix
+++ b/pkgs/applications/video/gnome-mplayer/default.nix
@@ -30,6 +30,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Gnome MPlayer, a simple GUI for MPlayer";
+ mainProgram = "gnome-mplayer";
homepage = "https://sites.google.com/site/kdekorte2/gnomemplayer";
license = licenses.gpl2;
maintainers = with maintainers; [];
diff --git a/pkgs/applications/video/gpu-screen-recorder/gpu-screen-recorder-gtk.nix b/pkgs/applications/video/gpu-screen-recorder/gpu-screen-recorder-gtk.nix
index cc3a5033bd11..86bfebad3860 100644
--- a/pkgs/applications/video/gpu-screen-recorder/gpu-screen-recorder-gtk.nix
+++ b/pkgs/applications/video/gpu-screen-recorder/gpu-screen-recorder-gtk.nix
@@ -48,6 +48,7 @@ stdenv.mkDerivation {
meta = with lib; {
description = "GTK frontend for gpu-screen-recorder.";
+ mainProgram = "gpu-screen-recorder-gtk";
homepage = "https://git.dec05eba.com/gpu-screen-recorder-gtk/about/";
license = licenses.gpl3Only;
maintainers = with maintainers; [ babbaj ];
diff --git a/pkgs/applications/video/kodi/addons/iagl/default.nix b/pkgs/applications/video/kodi/addons/iagl/default.nix
index 92a877fe8400..1665a613bc08 100644
--- a/pkgs/applications/video/kodi/addons/iagl/default.nix
+++ b/pkgs/applications/video/kodi/addons/iagl/default.nix
@@ -3,13 +3,13 @@
buildKodiAddon rec {
pname = "iagl";
namespace = "plugin.program.iagl";
- version = "3.0.6";
+ version = "3.0.9";
src = fetchFromGitHub {
owner = "zach-morris";
repo = "plugin.program.iagl";
rev = version;
- sha256 = "sha256-fwPrNDsEGoysHbl9k9cRYKlr3MxDRiUmJhSsWVT2HHQ=";
+ sha256 = "sha256-b8nO3D/xTnj/5UDshGlIJdiHd75VhIlkrGUi0vkZqG4=";
};
propagatedBuildInputs = [
diff --git a/pkgs/applications/video/kodi/addons/inputstream-adaptive/default.nix b/pkgs/applications/video/kodi/addons/inputstream-adaptive/default.nix
index 49afbf8507b1..cb85df276412 100644
--- a/pkgs/applications/video/kodi/addons/inputstream-adaptive/default.nix
+++ b/pkgs/applications/video/kodi/addons/inputstream-adaptive/default.nix
@@ -10,13 +10,13 @@ in
buildKodiBinaryAddon rec {
pname = "inputstream-adaptive";
namespace = "inputstream.adaptive";
- version = "20.3.16";
+ version = "20.3.18";
src = fetchFromGitHub {
owner = "xbmc";
repo = "inputstream.adaptive";
rev = "${version}-${rel}";
- sha256 = "sha256-1OY+3pvpVW8rkj7HL84IECyHpAmWsUQ9mTzuGesH+jI=";
+ sha256 = "sha256-cjlUKrus4Dv48dCk6AlOgY2iZYTwT39tj2u7aq1P104=";
};
extraCMakeFlags = [
diff --git a/pkgs/applications/video/kodi/addons/jellycon/default.nix b/pkgs/applications/video/kodi/addons/jellycon/default.nix
new file mode 100644
index 000000000000..88e9504f8d19
--- /dev/null
+++ b/pkgs/applications/video/kodi/addons/jellycon/default.nix
@@ -0,0 +1,55 @@
+{ lib, addonDir, buildKodiAddon, fetchFromGitHub, kodi, requests, dateutil, six, kodi-six, signals, websocket }:
+let
+ python = kodi.pythonPackages.python.withPackages (p: with p; [ pyyaml ]);
+in
+buildKodiAddon rec {
+ pname = "jellycon";
+ namespace = "plugin.video.jellycon";
+ version = "0.8.0";
+
+ src = fetchFromGitHub {
+ owner = "jellyfin";
+ repo = pname;
+ rev = "v${version}";
+ sha256 = "sha256-60my7Y60KV5WWALQiamnmAJZJi82cV21rIGYPiV7T+A=";
+ };
+
+ nativeBuildInputs = [
+ python
+ ];
+
+ prePatch = ''
+ # ZIP does not support timestamps before 1980 - https://bugs.python.org/issue34097
+ substituteInPlace build.py \
+ --replace "with zipfile.ZipFile(f'{target}/{archive_name}', 'w') as z:" "with zipfile.ZipFile(f'{target}/{archive_name}', 'w', strict_timestamps=False) as z:"
+ '';
+
+ buildPhase = ''
+ ${python}/bin/python3 build.py --version=py3
+ '';
+
+ postInstall = ''
+ mv /build/source/addon.xml $out${addonDir}/${namespace}/
+ '';
+
+ propagatedBuildInputs = [
+ requests
+ dateutil
+ six
+ kodi-six
+ signals
+ websocket
+ ];
+
+ meta = with lib; {
+ homepage = "https://github.com/jellyfin/jellycon";
+ description = "A lightweight Kodi add-on for Jellyfin";
+ longDescription = ''
+ JellyCon is a lightweight Kodi add-on that lets you browse and play media
+ files directly from your Jellyfin server within the Kodi interface. It can
+ easily switch between multiple user accounts at will.
+ '';
+ license = licenses.gpl2Only;
+ maintainers = teams.kodi.members;
+ };
+}
diff --git a/pkgs/applications/video/kodi/addons/jellyfin/default.nix b/pkgs/applications/video/kodi/addons/jellyfin/default.nix
index 36e8aa4a0225..1e570ab51131 100644
--- a/pkgs/applications/video/kodi/addons/jellyfin/default.nix
+++ b/pkgs/applications/video/kodi/addons/jellyfin/default.nix
@@ -5,13 +5,13 @@ in
buildKodiAddon rec {
pname = "jellyfin";
namespace = "plugin.video.jellyfin";
- version = "0.7.10";
+ version = "0.7.12";
src = fetchFromGitHub {
owner = "jellyfin";
repo = "jellyfin-kodi";
rev = "v${version}";
- sha256 = "sha256-hR4cJEpP/RZH24M4Ma33ZUe9oydRg7CyP1hHncvDW8Y=";
+ sha256 = "sha256-m8msTBim4Ss8XaYqIn6GWXxoCio5ABbqhhgyb1T/Yhg=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/video/kodi/addons/mediathekview/default.nix b/pkgs/applications/video/kodi/addons/mediathekview/default.nix
new file mode 100644
index 000000000000..890567d5d6d5
--- /dev/null
+++ b/pkgs/applications/video/kodi/addons/mediathekview/default.nix
@@ -0,0 +1,25 @@
+{ lib, buildKodiAddon, fetchFromGitHub, myconnpy }:
+
+buildKodiAddon rec {
+ pname = "mediathekview";
+ namespace = "plugin.video.mediathekview";
+ version = "1.0.9";
+
+ src = fetchFromGitHub {
+ owner = pname;
+ repo = namespace;
+ rev = "release-${version}";
+ hash = "sha256-XYyocXFTiYO7Ar0TtxjpCAy2Ywtnwb8BTxdKxwDWm4Y=";
+ };
+
+ propagatedBuildInputs = [
+ myconnpy
+ ];
+
+ meta = with lib; {
+ homepage = "https://github.com/mediathekview/plugin.video.mediathekview";
+ description = "Access media libraries of German speaking broadcasting stations";
+ license = licenses.mit;
+ maintainers = teams.kodi.members;
+ };
+}
diff --git a/pkgs/applications/video/kodi/addons/orftvthek/default.nix b/pkgs/applications/video/kodi/addons/orftvthek/default.nix
index 634347be4826..4a6b4480b050 100644
--- a/pkgs/applications/video/kodi/addons/orftvthek/default.nix
+++ b/pkgs/applications/video/kodi/addons/orftvthek/default.nix
@@ -3,13 +3,13 @@
buildKodiAddon rec {
pname = "orftvthek";
namespace = "plugin.video.orftvthek";
- version = "0.12.6";
+ version = "0.12.9";
src = fetchFromGitHub {
owner = "s0faking";
repo = namespace;
rev = version;
- sha256 = "sha256-r18vQ+2TSeflwByEAX33vIZG5qIGneraf5rLBugl5BU=";
+ sha256 = "sha256-bqGY9PPukn5/HJa3OqU5NM+ReeDJdVn60jXh1+2Qef8=";
};
propagatedBuildInputs = [
diff --git a/pkgs/applications/video/kodi/addons/svtplay/default.nix b/pkgs/applications/video/kodi/addons/svtplay/default.nix
index db4fbb642c05..7cc94bc435a5 100644
--- a/pkgs/applications/video/kodi/addons/svtplay/default.nix
+++ b/pkgs/applications/video/kodi/addons/svtplay/default.nix
@@ -2,13 +2,13 @@
buildKodiAddon rec {
pname = "svtplay";
namespace = "plugin.video.svtplay";
- version = "5.1.12";
+ version = "5.1.21";
src = fetchFromGitHub {
owner = "nilzen";
repo = "xbmc-" + pname;
rev = "v${version}";
- sha256 = "04j1nhm7mh9chs995lz6bv1vsq5xzk7a7c0lmk4bnfv8jrfpj0w6";
+ sha256 = "sha256-CZtBUqFaKtMmKcpfBQp0Mb8sVvpCTkqcpfdYe41YSJs=";
};
meta = with lib; {
diff --git a/pkgs/applications/video/kodi/addons/youtube/default.nix b/pkgs/applications/video/kodi/addons/youtube/default.nix
index 3d3683ed8776..eecfd54433dd 100644
--- a/pkgs/applications/video/kodi/addons/youtube/default.nix
+++ b/pkgs/applications/video/kodi/addons/youtube/default.nix
@@ -3,13 +3,13 @@
buildKodiAddon rec {
pname = "youtube";
namespace = "plugin.video.youtube";
- version = "7.0.2.2";
+ version = "7.0.4";
src = fetchFromGitHub {
owner = "anxdpanic";
repo = "plugin.video.youtube";
rev = "v${version}";
- hash = "sha256-BUeE/8oQYBiq4XgIp4nv0hjEQz3nnkDWCnAf4kpptwk=";
+ hash = "sha256-vBDFxsbYemJKxWa7De++UB0E4t1Eo0PW6Glbw6+FK1w=";
};
propagatedBuildInputs = [
diff --git a/pkgs/applications/video/kodi/unwrapped.nix b/pkgs/applications/video/kodi/unwrapped.nix
index 0728fb73bb26..f97b53b60937 100644
--- a/pkgs/applications/video/kodi/unwrapped.nix
+++ b/pkgs/applications/video/kodi/unwrapped.nix
@@ -1,4 +1,6 @@
-{ stdenv, lib, fetchFromGitHub, autoconf, automake, libtool, makeWrapper
+{ stdenv, lib, fetchFromGitHub
+, fetchpatch
+, autoconf, automake, libtool, makeWrapper
, pkg-config, cmake, yasm, python3Packages
, libxcrypt, libgcrypt, libgpg-error, libunistring
, boost, avahi, lame
@@ -23,6 +25,7 @@
, joystickSupport ? true, cwiid
, nfsSupport ? true, libnfs
, pulseSupport ? true, libpulseaudio
+, pipewireSupport ? true, pipewire
, rtmpSupport ? true, rtmpdump
, sambaSupport ? true, samba
, udevSupport ? true, udev
@@ -38,15 +41,15 @@ assert usbSupport -> !udevSupport; # libusb-compat-0_1 won't be used if udev is
assert gbmSupport || waylandSupport || x11Support;
let
- kodiReleaseDate = "20240109";
- kodiVersion = "20.3";
+ kodiReleaseDate = "20240302";
+ kodiVersion = "20.5";
rel = "Nexus";
kodi_src = fetchFromGitHub {
owner = "xbmc";
repo = "xbmc";
rev = "${kodiVersion}-${rel}";
- hash = "sha256-OMm8WhTQiEZvu8jHOUp2zT4Xd4NU3svMobW2k8AAtNI=";
+ hash = "sha256-R/tzk3ZarJ4BTR312p2lTLezeCEsqdQH54ROsNIoJZA=";
};
# see https://github.com/xbmc/xbmc/blob/${kodiVersion}-${rel}/tools/depends/target/ to get suggested versions for all dependencies
@@ -62,6 +65,14 @@ let
rev = "${version}-${rel}-Alpha1";
sha256 = "sha256-EQHmmWnDw+/udKYq7Nrf00nL7I5XWUtmzdauDryfTII=";
};
+ patches = [
+ # Backport fix for binutils-2.41.
+ (fetchpatch {
+ name = "binutils-2.41.patch";
+ url = "https://git.ffmpeg.org/gitweb/ffmpeg.git/patch/effadce6c756247ea8bae32dc13bb3e6f464f0eb";
+ hash = "sha256-vlBUMJ1bORQHRNpuzc5iXsTWwS/CN5BmGIA8g7H7mJE=";
+ })
+ ];
preConfigure = ''
cp ${kodi_src}/tools/depends/target/ffmpeg/{CMakeLists.txt,*.cmake} .
sed -i 's/ --cpu=''${CPU}//' CMakeLists.txt
@@ -140,6 +151,7 @@ in stdenv.mkDerivation {
++ lib.optional joystickSupport cwiid
++ lib.optional nfsSupport libnfs
++ lib.optional pulseSupport libpulseaudio
+ ++ lib.optional pipewireSupport pipewire
++ lib.optional rtmpSupport rtmpdump
++ lib.optional sambaSupport samba
++ lib.optional udevSupport udev
diff --git a/pkgs/applications/video/media-downloader/default.nix b/pkgs/applications/video/media-downloader/default.nix
index 2b9244186e76..8a9f287c0c21 100644
--- a/pkgs/applications/video/media-downloader/default.nix
+++ b/pkgs/applications/video/media-downloader/default.nix
@@ -12,13 +12,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "media-downloader";
- version = "4.2.0";
+ version = "4.4.0";
src = fetchFromGitHub {
owner = "mhogomchungu";
repo = "media-downloader";
rev = finalAttrs.version;
- hash = "sha256-hQLrs4RyHUtcG03h0nCn3uMsHEskGKMVwUkcssGZQLs=";
+ hash = "sha256-/W0SkKe9rcwf8HBIEcdJCPdZEnx9eh+twBu9wa6Sq30=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/video/mkvtoolnix/default.nix b/pkgs/applications/video/mkvtoolnix/default.nix
index b6d79695f5d3..2802ed8a5b96 100644
--- a/pkgs/applications/video/mkvtoolnix/default.nix
+++ b/pkgs/applications/video/mkvtoolnix/default.nix
@@ -49,13 +49,13 @@ let
in
stdenv.mkDerivation rec {
pname = "mkvtoolnix";
- version = "82.0";
+ version = "83.0";
src = fetchFromGitLab {
owner = "mbunkus";
repo = "mkvtoolnix";
rev = "release-${version}";
- hash = "sha256-3WULzEkjMH4PUETJeKmDKn9PdanWf581O2mI/IqN8YM=";
+ hash = "sha256-MHi3ewxCn560vpVfOucV34CNj/95U2OFd6bxAjtMBoc=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/video/mpv/default.nix b/pkgs/applications/video/mpv/default.nix
index 01a875d667b7..163729d879b9 100644
--- a/pkgs/applications/video/mpv/default.nix
+++ b/pkgs/applications/video/mpv/default.nix
@@ -227,6 +227,10 @@ in stdenv'.mkDerivation (finalAttrs: {
cp mpv_identify.sh umpv $out/bin/
popd
pushd $out/share/applications
+
+ # patch out smb protocol reference, since our ffmpeg can't handle it
+ substituteInPlace mpv.desktop --replace-fail "smb," ""
+
sed -e '/Icon=/ ! s|mpv|umpv|g; s|^Exec=.*|Exec=umpv %U|' \
mpv.desktop > umpv.desktop
printf "NoDisplay=true\n" >> umpv.desktop
diff --git a/pkgs/applications/video/mpv/scripts/mpv-playlistmanager.nix b/pkgs/applications/video/mpv/scripts/mpv-playlistmanager.nix
index 874263f7b2d2..5287c7f21108 100644
--- a/pkgs/applications/video/mpv/scripts/mpv-playlistmanager.nix
+++ b/pkgs/applications/video/mpv/scripts/mpv-playlistmanager.nix
@@ -2,13 +2,13 @@
buildLua rec {
pname = "mpv-playlistmanager";
- version = "unstable-2023-11-28";
+ version = "unstable-2024-02-26";
src = fetchFromGitHub {
owner = "jonniek";
repo = "mpv-playlistmanager";
- rev = "579490c7ae1becc129736b7632deec4f3fb90b99";
- hash = "sha256-swOtoB8UV/HPTpQRGXswAfUYsyC2Nj/QRIkGP8X1jk0=";
+ rev = "1911dc053951169c98cfcfd9f44ef87d9122ca80";
+ hash = "sha256-pcdOMhkivLF5B86aNuHrqj77DuYLAFGlwFwY7jxkDkE=";
};
passthru.updateScript = unstableGitUpdater {};
diff --git a/pkgs/applications/video/mpv/scripts/mpvacious.nix b/pkgs/applications/video/mpv/scripts/mpvacious.nix
index c30c450a28c0..ec4e25b88fb7 100644
--- a/pkgs/applications/video/mpv/scripts/mpvacious.nix
+++ b/pkgs/applications/video/mpv/scripts/mpvacious.nix
@@ -9,13 +9,13 @@
buildLua rec {
pname = "mpvacious";
- version = "0.25";
+ version = "0.27";
src = fetchFromGitHub {
owner = "Ajatt-Tools";
repo = "mpvacious";
rev = "v${version}";
- sha256 = "sha256-XTnib4cguWFEvZtmsLfkesbjFbkt2YoyYLT587ajyUM=";
+ sha256 = "sha256-pn6hNEhOzKiU+zQJKj/rF0GLXaEe+XBpHp0RlzsNIio=";
};
passthru.updateScript = gitUpdater {
rev-prefix = "v";
diff --git a/pkgs/applications/video/mpv/scripts/visualizer.nix b/pkgs/applications/video/mpv/scripts/visualizer.nix
index bf412bdbf28f..d387ac7b9ec7 100644
--- a/pkgs/applications/video/mpv/scripts/visualizer.nix
+++ b/pkgs/applications/video/mpv/scripts/visualizer.nix
@@ -6,13 +6,13 @@
}:
buildLua {
pname = "visualizer";
- version = "unstable-2023-08-13";
+ version = "unstable-2024-03-10";
src = fetchFromGitHub {
owner = "mfcc64";
repo = "mpv-scripts";
- rev = "7dbbfb283508714b73ead2a57b6939da1d139bd3";
- sha256 = "zzB4uBc1M2Gdr/JKY2uk8MY0hmQl1XeomkfTzuM45oE=";
+ rev = "b4246984ba6dc6820adef5c8bbf793af85c9ab8e";
+ sha256 = "ZNUzw4OW7z+yGTxim7CCWJdWmihDFOQAQk3bC5Ijcbs=";
};
passthru.updateScript = unstableGitUpdater {};
diff --git a/pkgs/applications/video/multiviewer-for-f1/default.nix b/pkgs/applications/video/multiviewer-for-f1/default.nix
index 7a51720ec5d8..46e425992c15 100644
--- a/pkgs/applications/video/multiviewer-for-f1/default.nix
+++ b/pkgs/applications/video/multiviewer-for-f1/default.nix
@@ -23,15 +23,15 @@
, xorg
}:
let
- id = "123219506";
+ id = "154421934";
in
stdenvNoCC.mkDerivation rec {
pname = "multiviewer-for-f1";
- version = "1.26.2";
+ version = "1.31.3";
src = fetchurl {
url = "https://releases.multiviewer.dev/download/${id}/multiviewer-for-f1_${version}_amd64.deb";
- sha256 = "sha256-nibPVqc4B3PHF/3wR5FsYZGVkkReQjy+4glfdnBysSU=";
+ sha256 = "sha256-lFES+ukkI/GqKQdZwtaB+ov0hqAyFZ2N533LBKJ9oXg=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/video/mythtv/default.nix b/pkgs/applications/video/mythtv/default.nix
index 0f355577a6a4..fba7eb16839e 100644
--- a/pkgs/applications/video/mythtv/default.nix
+++ b/pkgs/applications/video/mythtv/default.nix
@@ -8,26 +8,18 @@
mkDerivation rec {
pname = "mythtv";
- version = "32.0";
+ version = "34.0";
src = fetchFromGitHub {
owner = "MythTV";
repo = "mythtv";
rev = "v${version}";
- sha256 = "0i4fs3rbk1jggh62wflpa2l03na9i1ihpz2vsdic9vfahqqjxff1";
+ hash = "sha256-6/TEoyYIRq6pufYzGOmO5DB05JuDo9lqRAYT5N5M/L4=";
};
patches = [
# Disable sourcing /etc/os-release
./dont-source-os-release.patch
-
- # Fix QMake variable substitution syntax - MythTV/mythtv#550
- (fetchpatch {
- name = "fix-qmake-var-syntax.patch";
- url = "https://github.com/MythTV/mythtv/commit/a8da7f7e7ec069164adbef65a8104adc9bb52e36.patch";
- stripLen = 1;
- hash = "sha256-JfRME00YNNjl6SKs1HBa0wBa/lR/Rt3zbQtWhsC36JM=";
- })
];
setSourceRoot = "sourceRoot=$(echo */mythtv)";
diff --git a/pkgs/applications/video/mythtv/dont-source-os-release.patch b/pkgs/applications/video/mythtv/dont-source-os-release.patch
index fb4dc686af6a..0ef27450d634 100644
--- a/pkgs/applications/video/mythtv/dont-source-os-release.patch
+++ b/pkgs/applications/video/mythtv/dont-source-os-release.patch
@@ -1,6 +1,6 @@
--- a/configure
+++ b/configure
-@@ -5894,9 +5894,9 @@ else
+@@ -5451,9 +5451,9 @@ else
die "ERROR: cannot find soundtouch 1.8.0 or later."
fi
diff --git a/pkgs/applications/video/obs-studio/default.nix b/pkgs/applications/video/obs-studio/default.nix
index 29bb90a9dd8d..fee1addf0e6d 100644
--- a/pkgs/applications/video/obs-studio/default.nix
+++ b/pkgs/applications/video/obs-studio/default.nix
@@ -53,6 +53,7 @@
, libdatachannel
, libvpl
, qrcodegencpp
+, nix-update-script
}:
let
@@ -61,13 +62,13 @@ in
stdenv.mkDerivation (finalAttrs: {
pname = "obs-studio";
- version = "30.0.2";
+ version = "30.1.0";
src = fetchFromGitHub {
owner = "obsproject";
repo = finalAttrs.pname;
rev = finalAttrs.version;
- sha256 = "sha256-8pX1kqibrtDIaE1+/Pey1A5bu6MwFTXLrBOah4rsF+4=";
+ sha256 = "sha256-9rf3UGazEL5Obd6tqDwM5LOC6D1X6HNzs5sn5z1tOCA=";
fetchSubmodules = true;
};
@@ -76,25 +77,6 @@ stdenv.mkDerivation (finalAttrs: {
./Enable-file-access-and-universal-access-for-file-URL.patch
./fix-nix-plugin-path.patch
- # Backport ffmpeg 6.1 / GCC 13 build fixes
- # FIXME: remove in next release
- (fetchpatch {
- url = "https://github.com/obsproject/obs-studio/commit/cd784644f5e82b9988043f229c19603289c6d32c.patch";
- hash = "sha256-S4JE5kgr4x3uMHY2GRh0GBJpb7o/wYZb/v0CDITFNnQ=";
- })
- (fetchpatch {
- url = "https://github.com/obsproject/obs-studio/commit/758b47d4ed9a25b8d64ad481d8d039990b9e57c9.patch";
- hash = "sha256-jYpjwhx6e+dhN3kzbd6FcdjQ+WhIX0/BOu9PSkt+2yI=";
- })
- (fetchpatch {
- url = "https://github.com/obsproject/obs-studio/commit/4b5be75c7e4b8cee908ed4a02fe0078285b4e8c9.patch";
- hash = "sha256-tuOevhyxchwG42ilrplbiWoiDAKaY4HgzShlvp4VSQI=";
- })
- (fetchpatch {
- url = "https://github.com/obsproject/obs-studio/commit/6e080a68067b27fe5463f0f4eee7df690451f3d7.patch";
- hash = "sha256-nbn/q3uszoHaDvaW8Et1MS1sgQzMsJRmjGSMHzUxV70=";
- })
-
# Fix libobs.pc for plugins on non-x86 systems
(fetchpatch {
name = "fix-arm64-cmake.patch";
@@ -175,6 +157,10 @@ stdenv.mkDerivation (finalAttrs: {
(lib.cmakeBool "ENABLE_PIPEWIRE" pipewireSupport)
];
+ env.NIX_CFLAGS_COMPILE = toString [
+ "-Wno-error=sign-compare" # https://github.com/obsproject/obs-studio/issues/10200
+ ];
+
dontWrapGApps = true;
preFixup = let
wrapperLibraries = [
@@ -202,6 +188,8 @@ stdenv.mkDerivation (finalAttrs: {
ln -s ${libcef}/lib/* $out/lib/obs-plugins/
'';
+ passthru.updateScript = nix-update-script { };
+
meta = with lib; {
description = "Free and open source software for video recording and live streaming";
longDescription = ''
diff --git a/pkgs/applications/video/obs-studio/plugins/advanced-scene-switcher/default.nix b/pkgs/applications/video/obs-studio/plugins/advanced-scene-switcher/default.nix
index b776981522d0..ff4ba78ea950 100644
--- a/pkgs/applications/video/obs-studio/plugins/advanced-scene-switcher/default.nix
+++ b/pkgs/applications/video/obs-studio/plugins/advanced-scene-switcher/default.nix
@@ -23,13 +23,13 @@
stdenv.mkDerivation rec {
pname = "advanced-scene-switcher";
- version = "1.24.2";
+ version = "1.25.3";
src = fetchFromGitHub {
owner = "WarmUpTill";
repo = "SceneSwitcher";
rev = version;
- hash = "sha256-J5Qcs2eoKMeO1O/MCsR5wfmfbtndRaZmHrbleEZqqOo=";
+ hash = "sha256-cVMeFAliP0srvnhJQkOhZB2hrald8RHFhBXwdGIu2uo=";
};
nativeBuildInputs = [
@@ -61,11 +61,7 @@ stdenv.mkDerivation rec {
chmod -R +w $sourceRoot/deps/libremidi
'';
- postInstall = ''
- mkdir $out/lib $out/share
- mv $out/obs-plugins/64bit $out/lib/obs-plugins
- mv $out/data $out/share/obs
- '';
+ env.NIX_CFLAGS_COMPILE = "-Wno-error=stringop-overflow";
meta = with lib; {
description = "An automated scene switcher for OBS Studio";
diff --git a/pkgs/applications/video/obs-studio/plugins/obs-3d-effect.nix b/pkgs/applications/video/obs-studio/plugins/obs-3d-effect.nix
index c17cd1ec502b..d897af9a8b8c 100644
--- a/pkgs/applications/video/obs-studio/plugins/obs-3d-effect.nix
+++ b/pkgs/applications/video/obs-studio/plugins/obs-3d-effect.nix
@@ -7,13 +7,13 @@
stdenv.mkDerivation rec {
pname = "obs-3d-effect";
- version = "0.0.2";
+ version = "0.1.0";
src = fetchFromGitHub {
owner = "exeldro";
repo = "obs-3d-effect";
rev = version;
- sha256 = "sha256-1frLQo+0/HxTIkZ57rnQjVOos5+bv2cLojslSCGo+gU=";
+ sha256 = "sha256-CAWx1wgk6G+9O7PkplrTg7uCmb8Yt6U3Z6YMVsq7IrQ=";
};
nativeBuildInputs = [ cmake ];
diff --git a/pkgs/applications/video/obs-studio/plugins/obs-move-transition.nix b/pkgs/applications/video/obs-studio/plugins/obs-move-transition.nix
index a5d63ec68746..a56c18479fd1 100644
--- a/pkgs/applications/video/obs-studio/plugins/obs-move-transition.nix
+++ b/pkgs/applications/video/obs-studio/plugins/obs-move-transition.nix
@@ -7,13 +7,13 @@
stdenv.mkDerivation rec {
pname = "obs-move-transition";
- version = "2.9.8";
+ version = "2.11.0";
src = fetchFromGitHub {
owner = "exeldro";
repo = "obs-move-transition";
rev = version;
- sha256 = "sha256-GOLmwXAK2g8IyI+DFH2sBOR2iknYdgYevytZpt3Cc7Q=";
+ sha256 = "sha256-kQIDOjux+8d9V4VCxDtKuWpBKPjdB50WmOPYmzxOCTU=";
};
nativeBuildInputs = [ cmake ];
diff --git a/pkgs/applications/video/obs-studio/plugins/obs-replay-source.nix b/pkgs/applications/video/obs-studio/plugins/obs-replay-source.nix
index c3987ab33ff0..42682dd14614 100644
--- a/pkgs/applications/video/obs-studio/plugins/obs-replay-source.nix
+++ b/pkgs/applications/video/obs-studio/plugins/obs-replay-source.nix
@@ -9,13 +9,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "obs-replay-source";
- version = "1.6.13";
+ version = "1.6.13-unstable-2024-02-03";
src = fetchFromGitHub {
owner = "exeldro";
repo = "obs-replay-source";
- rev = finalAttrs.version;
- sha256 = "sha256-i64rpIVnUplA9AKZtR3xeByeawca7B00kGmEcKi7DWQ=";
+ rev = "6590fde1c8e4f8c733016646a8165d52e28d094b";
+ hash = "sha256-foIzWNlU72FWXZVWR8TEiqJJMfl1vWYDTyhV6thYJbA=";
};
nativeBuildInputs = [ cmake ];
diff --git a/pkgs/applications/video/obs-studio/plugins/obs-shaderfilter.nix b/pkgs/applications/video/obs-studio/plugins/obs-shaderfilter.nix
index 195b2d6e1769..deebf1af7b84 100644
--- a/pkgs/applications/video/obs-studio/plugins/obs-shaderfilter.nix
+++ b/pkgs/applications/video/obs-studio/plugins/obs-shaderfilter.nix
@@ -8,13 +8,13 @@
stdenv.mkDerivation rec {
pname = "obs-shaderfilter";
- version = "2.0.0";
+ version = "2.3.1";
src = fetchFromGitHub {
owner = "exeldro";
repo = "obs-shaderfilter";
rev = version;
- sha256 = "sha256-CEe/NUIYhVdvs7/ZvrvuwKPRNofWE+WZxN6yN8RACs8=";
+ sha256 = "sha256-J7tCEIB9zQ0zZFl1eSuEARd+KqpNClHfYx3wcLawFeM=";
};
nativeBuildInputs = [ cmake ];
diff --git a/pkgs/applications/video/obs-studio/plugins/obs-vertical-canvas.nix b/pkgs/applications/video/obs-studio/plugins/obs-vertical-canvas.nix
index 3b5824f34529..c6e9f450b990 100644
--- a/pkgs/applications/video/obs-studio/plugins/obs-vertical-canvas.nix
+++ b/pkgs/applications/video/obs-studio/plugins/obs-vertical-canvas.nix
@@ -9,13 +9,13 @@
stdenv.mkDerivation rec {
pname = "obs-vertical-canvas";
- version = "1.3.1";
+ version = "1.4.1";
src = fetchFromGitHub {
owner = "Aitum";
repo = "obs-vertical-canvas";
rev = version;
- sha256 = "sha256-kJJepKUH/tc6iV/zFDtrAa4bM9Gaqc9M7IItytknkGA=";
+ sha256 = "sha256-baEF8vcAmac4bwpzJnGE2WczTa6vojjRQ/oEKgYeXgk=";
};
nativeBuildInputs = [ cmake ];
diff --git a/pkgs/applications/video/obs-studio/plugins/waveform/default.nix b/pkgs/applications/video/obs-studio/plugins/waveform/default.nix
index ff5c52380de7..4bbcc6555536 100644
--- a/pkgs/applications/video/obs-studio/plugins/waveform/default.nix
+++ b/pkgs/applications/video/obs-studio/plugins/waveform/default.nix
@@ -9,14 +9,14 @@
stdenv.mkDerivation rec {
pname = "waveform";
- version = "1.7.0";
+ version = "1.8.0";
src = fetchFromGitHub {
fetchSubmodules = true;
owner = "phandasm";
repo = "waveform";
rev = "v${version}";
- sha256 = "sha256-DR3+ZBbWoGybUvDwVXLvarp+IGsTPl9Y0mFe739Ar8E=";
+ sha256 = "sha256-NcBtj+5X9tPH853a6oXzQCBH26hx8Yt17WjP9ryvgmc=";
};
nativeBuildInputs = [ cmake pkg-config ];
diff --git a/pkgs/applications/video/open-in-mpv/default.nix b/pkgs/applications/video/open-in-mpv/default.nix
index 4af06adf347e..e6f820528882 100644
--- a/pkgs/applications/video/open-in-mpv/default.nix
+++ b/pkgs/applications/video/open-in-mpv/default.nix
@@ -5,13 +5,13 @@
buildGoModule rec {
pname = "open-in-mpv";
- version = "2.1.0-unstable-2023-05-13";
+ version = "2.2.0";
src = fetchFromGitHub {
owner = "Baldomo";
repo = "open-in-mpv";
- rev = "07fc639b2882a9a68e539f0fc34b61e247c355fa";
- hash = "sha256-XkoXvSh5uu96isXc1at36mxSCPylHgMLN97qSpj2cyc=";
+ rev = "v${version}";
+ hash = "sha256-+sP8/wILBkT3NnhENDYZbOwqOufkFyMJFpQxquuUBEs=";
};
vendorHash = "sha256-G6GZO2+CfEAYcf7zBcqDa808A0eJjM8dq7+4VGZ+P4c=";
diff --git a/pkgs/applications/video/pipe-viewer/default.nix b/pkgs/applications/video/pipe-viewer/default.nix
index 536b904fd858..5d3256931f12 100644
--- a/pkgs/applications/video/pipe-viewer/default.nix
+++ b/pkgs/applications/video/pipe-viewer/default.nix
@@ -38,13 +38,13 @@ let
in
buildPerlModule rec {
pname = "pipe-viewer";
- version = "0.4.9";
+ version = "0.5.0";
src = fetchFromGitHub {
owner = "trizen";
repo = "pipe-viewer";
rev = version;
- hash = "sha256-7l8exCC9robe1hKnQAaIVfnn8L+FuwTOkxaxlwJmpe0=";
+ hash = "sha256-tNIAGvv3dCPd7MA27yd2AHMSgs+1D2uiJJTQgTsEVNU=";
};
nativeBuildInputs = [ makeWrapper ]
diff --git a/pkgs/applications/video/pyca/default.nix b/pkgs/applications/video/pyca/default.nix
index 73b6e606118a..88ae19893653 100644
--- a/pkgs/applications/video/pyca/default.nix
+++ b/pkgs/applications/video/pyca/default.nix
@@ -74,6 +74,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
broken = stdenv.isDarwin;
description = "A fully functional Opencast capture agent written in Python";
+ mainProgram = "pyca";
homepage = "https://github.com/opencast/pyCA";
license = licenses.lgpl3;
maintainers = with maintainers; [ pmiddend ];
diff --git a/pkgs/applications/video/qctools/default.nix b/pkgs/applications/video/qctools/default.nix
new file mode 100644
index 000000000000..cbe565fe73c8
--- /dev/null
+++ b/pkgs/applications/video/qctools/default.nix
@@ -0,0 +1,40 @@
+{ lib, stdenv, fetchurl, qmake, wrapQtAppsHook, ffmpeg, qtmultimedia, qwt }:
+
+stdenv.mkDerivation rec {
+ pname = "qctools";
+ version = "1.3.1";
+
+ src = fetchurl {
+ url = "https://mediaarea.net/download/source/${pname}/${version}/${pname}_${version}.tar.xz";
+ hash = "sha256-ClF8KiVjV2JTCjz/ueioojhiHZf8UW9WONaJrIx4Npo=";
+ };
+
+ sourceRoot = "${pname}/Project/QtCreator";
+
+ nativeBuildInputs = [ qmake wrapQtAppsHook ];
+
+ buildInputs = [ ffmpeg qtmultimedia qwt ];
+
+ installPhase = ''
+ runHook preInstall
+
+ install -Dt $out/bin qctools-cli/qcli qctools-gui/QCTools
+ cd ../GNU/GUI
+ install -Dm644 qctools.desktop $out/share/applications/qctools.desktop
+ install -Dm644 qctools.metainfo.xml $out/share/metainfo/qctools.metainfo.xml
+ cd ../../../Source/Resource
+ install -Dm 0644 Logo.png $out/share/icons/hicolor/256x256/apps/qctools.png
+ install -Dm 0644 Logo.png $out/share/pixmaps/qctools.png
+ cd ../../Project/QtCreator
+
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ description = "Audiovisual analytics and filtering of video files";
+ homepage = "https://mediaarea.net/QCTools";
+ license = licenses.gpl3Only;
+ maintainers = with maintainers; [ orivej ];
+ platforms = platforms.linux;
+ };
+}
diff --git a/pkgs/applications/video/qmplay2/default.nix b/pkgs/applications/video/qmplay2/default.nix
index b6b577790cfb..4c31c97d5b1d 100644
--- a/pkgs/applications/video/qmplay2/default.nix
+++ b/pkgs/applications/video/qmplay2/default.nix
@@ -26,14 +26,14 @@
stdenv.mkDerivation (finalAttrs: {
pname = "qmplay2";
- version = "23.10.22";
+ version = "24.03.16";
src = fetchFromGitHub {
owner = "zaps166";
repo = "QMPlay2";
rev = finalAttrs.version;
fetchSubmodules = true;
- hash = "sha256-yDymUXuILgT4AFTt302GniPi/WNwrTCOuOfdUiKOIyk=";
+ hash = "sha256-yIBQBRdmaY7qaBirANxMqfm5vn3T4usokJUxwSYUHjQ=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/video/rtabmap/default.nix b/pkgs/applications/video/rtabmap/default.nix
index 200fab274339..1147d1ef1d94 100644
--- a/pkgs/applications/video/rtabmap/default.nix
+++ b/pkgs/applications/video/rtabmap/default.nix
@@ -27,32 +27,20 @@
stdenv.mkDerivation rec {
pname = "rtabmap";
- version = "0.21.0";
+ version = "0.21.4";
src = fetchFromGitHub {
owner = "introlab";
repo = "rtabmap";
rev = "refs/tags/${version}";
- hash = "sha256-1xb8O3VrErldid2OgAUMG28mSUO7QBUsPuSz8p03tSI";
+ hash = "sha256-HrIATYRuhFfTlO4oTRZo7CM30LFVyatZJON31Fe4HTQ=";
};
- patches = [
- # Fix build with g2o 20230806
- (fetchpatch {
- url = "https://github.com/introlab/rtabmap/commit/85cc6fe3c742855ad16c8442895e12dbb10b6e8b.patch";
- hash = "sha256-P6GkYKCNwe9dgZdgF/oEhgjA3bJnwXFWJCPoyIknQCo=";
- })
- # Fix typo in previous patch
- (fetchpatch {
- url = "https://github.com/introlab/rtabmap/commit/c4e94bcdc31b859c1049724dbb7671e4597d86de.patch";
- hash = "sha256-1btkV4/y+bnF3xEVqlUy/9F6BoANeTOEJjZLmRzG3iA=";
- })
- ];
-
nativeBuildInputs = [ cmake pkg-config wrapQtAppsHook wrapGAppsHook ];
buildInputs = [
## Required
opencv
+ opencv.cxxdev
pcl
liblapack
xorg.libSM
diff --git a/pkgs/applications/video/shotcut/default.nix b/pkgs/applications/video/shotcut/default.nix
index dce78f3cdf90..8678c456b07f 100644
--- a/pkgs/applications/video/shotcut/default.nix
+++ b/pkgs/applications/video/shotcut/default.nix
@@ -16,17 +16,18 @@
, qtmultimedia
, qtcharts
, cmake
+, Cocoa
, gitUpdater
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "shotcut";
- version = "24.01.31";
+ version = "24.02.29";
src = fetchFromGitHub {
owner = "mltframework";
repo = "shotcut";
- rev = "v${version}";
- hash = "sha256-3Itlv9Jc4xl9pB4WDUwc3f7iP7NHyZ6yr5NZuH8M2Jo=";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-PHpVquqC0MT7WNoWcdB9WTz4ZiSK4/f4oD5PH1gWBnw=";
};
nativeBuildInputs = [ pkg-config cmake wrapQtAppsHook ];
@@ -41,11 +42,13 @@ stdenv.mkDerivation rec {
qttools
qtmultimedia
qtcharts
+ ] ++ lib.optionals stdenv.hostPlatform.isDarwin [
+ Cocoa
];
env.NIX_CFLAGS_COMPILE = "-DSHOTCUT_NOUPGRADE";
cmakeFlags = [
- "-DSHOTCUT_VERSION=${version}"
+ "-DSHOTCUT_VERSION=${finalAttrs.version}"
];
patches = [
@@ -55,9 +58,15 @@ stdenv.mkDerivation rec {
qtWrapperArgs = [
"--set FREI0R_PATH ${frei0r}/lib/frei0r-1"
"--set LADSPA_PATH ${ladspaPlugins}/lib/ladspa"
- "--prefix LD_LIBRARY_PATH : ${lib.makeLibraryPath [jack1 SDL2]}"
+ "--prefix LD_LIBRARY_PATH : ${lib.makeLibraryPath ([SDL2] ++ lib.optionals (!stdenv.hostPlatform.isDarwin) [jack1])}"
];
+ postInstall = lib.optionalString stdenv.hostPlatform.isDarwin ''
+ mkdir $out/Applications $out/bin
+ mv $out/Shotcut.app $out/Applications/Shotcut.app
+ ln -s $out/Applications/Shotcut.app/Contents/MacOS/Shotcut $out/bin/shotcut
+ '';
+
passthru.updateScript = gitUpdater {
rev-prefix = "v";
};
@@ -76,7 +85,7 @@ stdenv.mkDerivation rec {
homepage = "https://shotcut.org";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ goibhniu woffs peti ];
- platforms = platforms.linux;
+ platforms = platforms.unix;
mainProgram = "shotcut";
};
-}
+})
diff --git a/pkgs/applications/video/streamlink/default.nix b/pkgs/applications/video/streamlink/default.nix
index 962604f79106..c71e712625c2 100644
--- a/pkgs/applications/video/streamlink/default.nix
+++ b/pkgs/applications/video/streamlink/default.nix
@@ -1,19 +1,31 @@
{ lib
, python3Packages
, fetchPypi
+, substituteAll
, ffmpeg
}:
python3Packages.buildPythonApplication rec {
pname = "streamlink";
- version = "6.5.1";
- format = "pyproject";
+ version = "6.7.0";
+ pyproject = true;
src = fetchPypi {
inherit pname version;
- hash = "sha256-IH+0zpnDW/6xuPfHa5bPy0B2rWiBxh6upVPC7BPZfFc=";
+ hash = "sha256-kjrDJ/QCccWxRLEQ0virAdm0TLxN5PmtO/Zs+4Nc1MM=";
};
+ patches = [
+ (substituteAll {
+ src = ./ffmpeg-path.patch;
+ ffmpeg = lib.getExe ffmpeg;
+ })
+ ];
+
+ nativeBuildInputs = with python3Packages; [
+ setuptools
+ ];
+
nativeCheckInputs = with python3Packages; [
pytestCheckHook
mock
@@ -23,7 +35,12 @@ python3Packages.buildPythonApplication rec {
pytest-trio
];
- propagatedBuildInputs = (with python3Packages; [
+ disabledTests = [
+ # requires ffmpeg to be in PATH
+ "test_no_cache"
+ ];
+
+ propagatedBuildInputs = with python3Packages; [
certifi
isodate
lxml
@@ -36,8 +53,6 @@ python3Packages.buildPythonApplication rec {
typing-extensions
urllib3
websocket-client
- ]) ++ [
- ffmpeg
];
meta = with lib; {
diff --git a/pkgs/applications/video/streamlink/ffmpeg-path.patch b/pkgs/applications/video/streamlink/ffmpeg-path.patch
new file mode 100644
index 000000000000..6108c53dcf0b
--- /dev/null
+++ b/pkgs/applications/video/streamlink/ffmpeg-path.patch
@@ -0,0 +1,13 @@
+diff --git a/src/streamlink/stream/ffmpegmux.py b/src/streamlink/stream/ffmpegmux.py
+index 258b314a..c493e010 100644
+--- a/src/streamlink/stream/ffmpegmux.py
++++ b/src/streamlink/stream/ffmpegmux.py
+@@ -80,7 +80,7 @@ class MuxedStream(Stream, Generic[TSubstreams]):
+
+
+ class FFMPEGMuxer(StreamIO):
+- __commands__: ClassVar[List[str]] = ["ffmpeg"]
++ __commands__: ClassVar[List[str]] = ["@ffmpeg@"]
+
+ DEFAULT_OUTPUT_FORMAT = "matroska"
+ DEFAULT_VIDEO_CODEC = "copy"
diff --git a/pkgs/applications/video/stremio/default.nix b/pkgs/applications/video/stremio/default.nix
index 03ccb4b9f066..a967a872cd99 100644
--- a/pkgs/applications/video/stremio/default.nix
+++ b/pkgs/applications/video/stremio/default.nix
@@ -1,5 +1,14 @@
-{ lib, stdenv, fetchurl, fetchFromGitHub, qmake, wrapQtAppsHook
-, mpv, qtwebengine, qtwebchannel, nodejs
+{ lib
+, stdenv
+, fetchFromGitHub
+, fetchurl
+, ffmpeg
+, mpv
+, nodejs
+, qmake
+, qtwebchannel
+, qtwebengine
+, wrapQtAppsHook
}:
stdenv.mkDerivation rec {
@@ -30,6 +39,8 @@ stdenv.mkDerivation rec {
install -Dm 644 images/stremio_window.png $out/share/pixmaps/smartcode-stremio.png
ln -s ${nodejs}/bin/node $out/opt/stremio/node
ln -s $server $out/opt/stremio/server.js
+ wrapProgram $out/bin/stremio \
+ --suffix PATH ":" ${lib.makeBinPath [ ffmpeg ]}
'';
meta = with lib; {
diff --git a/pkgs/applications/video/vdr/markad/default.nix b/pkgs/applications/video/vdr/markad/default.nix
index c0672821558b..28dad0200270 100644
--- a/pkgs/applications/video/vdr/markad/default.nix
+++ b/pkgs/applications/video/vdr/markad/default.nix
@@ -19,12 +19,12 @@
}:
stdenv.mkDerivation rec {
pname = "vdr-markad";
- version = "3.4.6";
+ version = "3.4.13";
src = fetchFromGitHub {
repo = "vdr-plugin-markad";
owner = "kfb77";
- sha256 = "sha256-fixkalZAPz0iO1SmshsO0vYc2sksH2lrStsSOEcdZ1g=";
+ sha256 = "sha256-pDnziIWX6deBXuVIN7w6F6TdYDCcEO6MSaUIMB63uAg=";
rev = "V${version}";
};
@@ -51,6 +51,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
inherit (src.meta) homepage;
description = "Plugin for VDR that marks advertisements";
+ mainProgram = "markad";
maintainers = [ maintainers.ck3d ];
license = licenses.gpl2;
inherit (vdr.meta) platforms;
diff --git a/pkgs/applications/video/vdr/nopacity/default.nix b/pkgs/applications/video/vdr/nopacity/default.nix
index e0173ab6c8ae..c413206b8257 100644
--- a/pkgs/applications/video/vdr/nopacity/default.nix
+++ b/pkgs/applications/video/vdr/nopacity/default.nix
@@ -1,12 +1,12 @@
{ stdenv, lib, fetchFromGitLab, vdr, graphicsmagick }:
stdenv.mkDerivation rec {
pname = "vdr-skin-nopacity";
- version = "1.1.16";
+ version = "1.1.17";
src = fetchFromGitLab {
repo = "SkinNopacity";
owner = "kamel5";
- sha256 = "sha256-5TTilBKlNsFBm5BaCoRV1LzZgpad2lOIQGyk94jGYls=";
+ hash = "sha256-QJKlh5my7e+H5R4E0fCWB/PtwIAXCXw4drQEQzhzfag=";
rev = version;
};
diff --git a/pkgs/applications/video/vdr/plugins.nix b/pkgs/applications/video/vdr/plugins.nix
index 92d9128543f0..4063f4108fa0 100644
--- a/pkgs/applications/video/vdr/plugins.nix
+++ b/pkgs/applications/video/vdr/plugins.nix
@@ -99,6 +99,7 @@ in {
meta = with lib; {
inherit (src.meta) homepage;
description = "Searchtimer and replacement of the VDR program menu";
+ mainProgram = "createcats";
maintainers = [ maintainers.ck3d ];
license = licenses.gpl2;
inherit (vdr.meta) platforms;
diff --git a/pkgs/applications/video/vdr/softhddevice/default.nix b/pkgs/applications/video/vdr/softhddevice/default.nix
index 3e738e40d486..23c6433f9ebb 100644
--- a/pkgs/applications/video/vdr/softhddevice/default.nix
+++ b/pkgs/applications/video/vdr/softhddevice/default.nix
@@ -14,12 +14,12 @@
}:
stdenv.mkDerivation rec {
pname = "vdr-softhddevice";
- version = "2.0.9";
+ version = "2.1.2";
src = fetchFromGitHub {
owner = "ua0lnj";
repo = "vdr-plugin-softhddevice";
- sha256 = "sha256-FyjKMCKPZVtQhb7wBx4Xr6I/kb2QMH/TEE21bw5gcwc=";
+ sha256 = "sha256-y6b0nOf597uxS9zDh0NQOwLN81nk4U7lHK2CalyVi8s=";
rev = "v${version}";
};
diff --git a/pkgs/applications/video/vokoscreen-ng/default.nix b/pkgs/applications/video/vokoscreen-ng/default.nix
index 15d59af318fb..d105849dba35 100644
--- a/pkgs/applications/video/vokoscreen-ng/default.nix
+++ b/pkgs/applications/video/vokoscreen-ng/default.nix
@@ -1,52 +1,46 @@
-{ lib
-, mkDerivation
-, fetchFromGitHub
-, pkg-config
-, qmake
-, qttools
-, gstreamer
-, libX11
-, pulseaudio
-, qtbase
-, qtmultimedia
-, qtx11extras
-
+{ fetchFromGitHub
+, gst_all_1
+, gst-plugins-bad
, gst-plugins-base
, gst-plugins-good
-, gst-plugins-bad
, gst-plugins-ugly
-, wayland
+, gstreamer
+, lib
+, libX11
, pipewire
-, wrapQtAppsHook
+, pkg-config
+, pulseaudio
+, qt6
+, stdenv
+, wayland
}:
-mkDerivation rec {
+stdenv.mkDerivation rec {
pname = "vokoscreen-ng";
- version = "3.8.0";
+ version = "4.0.0";
src = fetchFromGitHub {
owner = "vkohaupt";
repo = "vokoscreenNG";
rev = version;
- sha256 = "sha256-4tQ/fLaAbjfc3mt2qJsW9scku/CGUs74SehDaZgLPj4=";
+ hash = "sha256-Y6+R18Gf3ShqhsmZ4Okx02fSOOyilS6iKU5FW9wpxvY=";
};
qmakeFlags = [ "src/vokoscreenNG.pro" ];
- nativeBuildInputs = [ qttools pkg-config qmake wrapQtAppsHook ];
+ nativeBuildInputs = [ qt6.qttools pkg-config qt6.qmake qt6.wrapQtAppsHook ];
buildInputs = [
- gstreamer
+ gst_all_1.gstreamer
libX11
pulseaudio
- qtbase
- qtmultimedia
- qtx11extras
+ qt6.qtbase
+ qt6.qtmultimedia
wayland
pipewire
- gst-plugins-base
- gst-plugins-good
- gst-plugins-bad
- gst-plugins-ugly
+ gst_all_1.gst-plugins-base
+ gst_all_1.gst-plugins-good
+ gst_all_1.gst-plugins-bad
+ gst_all_1.gst-plugins-ugly
];
postPatch = ''
@@ -67,7 +61,7 @@ mkDerivation rec {
description = "User friendly Open Source screencaster for Linux and Windows";
license = licenses.gpl2Plus;
homepage = "https://github.com/vkohaupt/vokoscreenNG";
- maintainers = with maintainers; [ shamilton ];
+ maintainers = with maintainers; [ shamilton dietmarw ];
platforms = platforms.linux;
mainProgram = "vokoscreenNG";
};
diff --git a/pkgs/applications/video/youtube-tui/default.nix b/pkgs/applications/video/youtube-tui/default.nix
index bfa1039d5d33..63e9f51304ed 100644
--- a/pkgs/applications/video/youtube-tui/default.nix
+++ b/pkgs/applications/video/youtube-tui/default.nix
@@ -6,6 +6,7 @@
, xorg
, stdenv
, python3
+, makeBinaryWrapper
, libsixel
, mpv
, CoreFoundation
@@ -19,7 +20,7 @@ rustPlatform.buildRustPackage rec {
src = fetchFromGitHub {
owner = "Siriusmart";
- repo = pname;
+ repo = "youtube-tui";
rev = "v${version}";
hash = "sha256-FOiK3yQcQuwdCEjBtRPW4iBd+8uNsvZ6l5tclHVzL+M=";
};
@@ -34,6 +35,7 @@ rustPlatform.buildRustPackage rec {
nativeBuildInputs = [
pkg-config
python3
+ makeBinaryWrapper
];
buildInputs = [
@@ -47,6 +49,12 @@ rustPlatform.buildRustPackage rec {
AppKit
];
+ # sixel-sys is dynamically linked to libsixel
+ postInstall = lib.optionalString stdenv.isDarwin ''
+ wrapProgram $out/bin/youtube-tui \
+ --prefix DYLD_LIBRARY_PATH : "${lib.makeLibraryPath [libsixel]}"
+ '';
+
meta = with lib; {
description = "An aesthetically pleasing YouTube TUI written in Rust";
homepage = "https://siriusmart.github.io/youtube-tui";
diff --git a/pkgs/applications/virtualization/OVMF/default.nix b/pkgs/applications/virtualization/OVMF/default.nix
index 63c137c220c2..4798e324141d 100644
--- a/pkgs/applications/virtualization/OVMF/default.nix
+++ b/pkgs/applications/virtualization/OVMF/default.nix
@@ -1,8 +1,22 @@
{ stdenv, nixosTests, lib, edk2, util-linux, nasm, acpica-tools, llvmPackages
-, csmSupport ? false, seabios
-, fdSize2MB ? csmSupport
-, fdSize4MB ? false
+, fetchurl, python3, pexpect, xorriso, qemu, dosfstools, mtools
+, fdSize2MB ? false
+, fdSize4MB ? secureBoot
, secureBoot ? false
+, systemManagementModeRequired ? secureBoot && stdenv.hostPlatform.isx86
+# Whether to create an nvram variables template
+# which includes the MSFT secure boot keys
+, msVarsTemplate ? false
+# When creating the nvram variables template with
+# the MSFT keys, we also must provide a certificate
+# to use as the PK and first KEK for the keystore.
+#
+# By default, we use Debian's cert. This default
+# should chnage to a NixOS cert once we have our
+# own secure boot signing infrastructure.
+#
+# Ignored if msVarsTemplate is false.
+, vendorPkKek ? "$NIX_BUILD_TOP/debian/PkKek-1-Debian.pem"
, httpSupport ? false
, tpmSupport ? false
, tlsSupport ? false
@@ -14,28 +28,55 @@
let
- projectDscPath = if stdenv.isi686 then
- "OvmfPkg/OvmfPkgIa32.dsc"
- else if stdenv.isx86_64 then
- "OvmfPkg/OvmfPkgX64.dsc"
- else if stdenv.hostPlatform.isAarch then
- "ArmVirtPkg/ArmVirtQemu.dsc"
- else if stdenv.hostPlatform.isRiscV then
- "OvmfPkg/RiscVVirt/RiscVVirtQemu.dsc"
- else
- throw "Unsupported architecture";
+ platformSpecific = {
+ i686 = {
+ projectDscPath = "OvmfPkg/OvmfPkgIa32.dsc";
+ fwPrefix = "OVMF";
+ };
+ x86_64 = {
+ projectDscPath = "OvmfPkg/OvmfPkgX64.dsc";
+ fwPrefix = "OVMF";
+ msVarsArgs = {
+ flavor = "OVMF_4M";
+ archDir = "X64";
+ };
+ };
+ aarch64 = {
+ projectDscPath = "ArmVirtPkg/ArmVirtQemu.dsc";
+ fwPrefix = "AAVMF";
+ msVarsArgs = {
+ flavor = "AAVMF";
+ archDir = "AARCH64";
+ };
+ };
+ riscv64 = {
+ projectDscPath = "OvmfPkg/RiscVVirt/RiscVVirtQemu.dsc";
+ fwPrefix = "RISCV_VIRT";
+ };
+ };
+
+ cpuName = stdenv.hostPlatform.parsed.cpu.name;
+
+ inherit (platformSpecific.${cpuName})
+ projectDscPath fwPrefix msVarsArgs;
version = lib.getVersion edk2;
- suffixes = {
- i686 = "FV/OVMF";
- x86_64 = "FV/OVMF";
- aarch64 = "FV/AAVMF";
- riscv64 = "FV/RISCV_VIRT";
+ OvmfPkKek1AppPrefix = "4e32566d-8e9e-4f52-81d3-5bb9715f9727";
+
+ debian-edk-src = fetchurl {
+ url = "http://deb.debian.org/debian/pool/main/e/edk2/edk2_2023.11-5.debian.tar.xz";
+ sha256 = "1yxlab4md30pxvjadr6b4xn6cyfw0c292q63pyfv4vylvhsb24g4";
};
+ buildPrefix = "Build/*/*";
+
in
+assert platformSpecific ? ${cpuName};
+assert msVarsTemplate -> fdSize4MB;
+assert msVarsTemplate -> platformSpecific.${cpuName} ? msVarsArgs;
+
edk2.mkDerivation projectDscPath (finalAttrs: {
pname = "OVMF";
inherit version;
@@ -43,7 +84,8 @@ edk2.mkDerivation projectDscPath (finalAttrs: {
outputs = [ "out" "fd" ];
nativeBuildInputs = [ util-linux nasm acpica-tools ]
- ++ lib.optionals stdenv.cc.isClang [ llvmPackages.bintools llvmPackages.llvm ];
+ ++ lib.optionals stdenv.cc.isClang [ llvmPackages.bintools llvmPackages.llvm ]
+ ++ lib.optionals msVarsTemplate [ python3 pexpect xorriso qemu dosfstools mtools ];
strictDeps = true;
hardeningDisable = [ "format" "stackprotector" "pic" "fortify" ];
@@ -54,7 +96,7 @@ edk2.mkDerivation projectDscPath (finalAttrs: {
++ lib.optionals debug [ "-D DEBUG_ON_SERIAL_PORT=TRUE" ]
++ lib.optionals sourceDebug [ "-D SOURCE_DEBUG_ENABLE=TRUE" ]
++ lib.optionals secureBoot [ "-D SECURE_BOOT_ENABLE=TRUE" ]
- ++ lib.optionals csmSupport [ "-D CSM_ENABLE" ]
+ ++ lib.optionals systemManagementModeRequired [ "-D SMM_REQUIRE=TRUE" ]
++ lib.optionals fdSize2MB ["-D FD_SIZE_2MB"]
++ lib.optionals fdSize4MB ["-D FD_SIZE_4MB"]
++ lib.optionals httpSupport [ "-D NETWORK_HTTP_ENABLE=TRUE" "-D NETWORK_HTTP_BOOT_ENABLE=TRUE" ]
@@ -66,49 +108,76 @@ edk2.mkDerivation projectDscPath (finalAttrs: {
env.PYTHON_COMMAND = "python3";
- postPatch = lib.optionalString csmSupport ''
- cp ${seabios}/share/seabios/Csm16.bin OvmfPkg/Csm/Csm16/Csm16.bin
+ postUnpack = lib.optionalDrvAttr msVarsTemplate ''
+ unpackFile ${debian-edk-src}
'';
- postFixup = (
- if stdenv.hostPlatform.isAarch then ''
+ postConfigure = lib.optionalDrvAttr msVarsTemplate ''
+ tr -d '\n' < ${vendorPkKek} | sed \
+ -e 's/.*-----BEGIN CERTIFICATE-----/${OvmfPkKek1AppPrefix}:/' \
+ -e 's/-----END CERTIFICATE-----//' > vendor-cert-string
+ export PYTHONPATH=$NIX_BUILD_TOP/debian/python:$PYTHONPATH
+ '';
+
+ postBuild = lib.optionalString stdenv.hostPlatform.isAarch ''
+ (
+ cd ${buildPrefix}/FV
+ cp QEMU_EFI.fd ${fwPrefix}_CODE.fd
+ cp QEMU_VARS.fd ${fwPrefix}_VARS.fd
+
+ # QEMU expects 64MiB CODE and VARS files on ARM/AARCH64 architectures
+ # Truncate the firmware files to the expected size
+ truncate -s 64M ${fwPrefix}_CODE.fd
+ truncate -s 64M ${fwPrefix}_VARS.fd
+ )
+ '' + lib.optionalString stdenv.hostPlatform.isRiscV ''
+ truncate -s 32M ${buildPrefix}/FV/${fwPrefix}_CODE.fd
+ truncate -s 32M ${buildPrefix}/FV/${fwPrefix}_VARS.fd
+ '' + lib.optionalString msVarsTemplate ''
+ (
+ cd ${buildPrefix}
+ python3 $NIX_BUILD_TOP/debian/edk2-vars-generator.py \
+ --flavor ${msVarsArgs.flavor} \
+ --enrolldefaultkeys ${msVarsArgs.archDir}/EnrollDefaultKeys.efi \
+ --shell ${msVarsArgs.archDir}/Shell.efi \
+ --code FV/${fwPrefix}_CODE.fd \
+ --vars-template FV/${fwPrefix}_VARS.fd \
+ --certificate `< $NIX_BUILD_TOP/$sourceRoot/vendor-cert-string` \
+ --out-file FV/${fwPrefix}_VARS.ms.fd
+ )
+ '';
+
+ # TODO: Usage of -bios OVMF.fd is discouraged: https://lists.katacontainers.io/pipermail/kata-dev/2021-January/001650.html
+ # We should remove the isx86-specifc block here once we're ready to update nixpkgs to stop using that and update the
+ # release notes accordingly.
+ postInstall = ''
mkdir -vp $fd/FV
- mkdir -vp $fd/AAVMF
+ mv -v $out/FV/${fwPrefix}_{CODE,VARS}.fd $fd/FV
+ '' + lib.optionalString stdenv.hostPlatform.isx86 ''
+ mv -v $out/FV/${fwPrefix}.fd $fd/FV
+ '' + lib.optionalString msVarsTemplate ''
+ mv -v $out/FV/${fwPrefix}_VARS.ms.fd $fd/FV
+ ln -sv $fd/FV/${fwPrefix}_CODE{,.ms}.fd
+ '' + lib.optionalString stdenv.hostPlatform.isAarch ''
mv -v $out/FV/QEMU_{EFI,VARS}.fd $fd/FV
-
- # Use Debian dir layout: https://salsa.debian.org/qemu-team/edk2/blob/debian/debian/rules
- dd of=$fd/FV/AAVMF_CODE.fd if=/dev/zero bs=1M count=64
- dd of=$fd/FV/AAVMF_CODE.fd if=$fd/FV/QEMU_EFI.fd conv=notrunc
- dd of=$fd/FV/AAVMF_VARS.fd if=/dev/zero bs=1M count=64
-
- # Also add symlinks for Fedora dir layout: https://src.fedoraproject.org/cgit/rpms/edk2.git/tree/edk2.spec
+ # Add symlinks for Fedora dir layout: https://src.fedoraproject.org/cgit/rpms/edk2.git/tree/edk2.spec
+ mkdir -vp $fd/AAVMF
ln -s $fd/FV/AAVMF_CODE.fd $fd/AAVMF/QEMU_EFI-pflash.raw
ln -s $fd/FV/AAVMF_VARS.fd $fd/AAVMF/vars-template-pflash.raw
- ''
- else if stdenv.hostPlatform.isRiscV then ''
- mkdir -vp $fd/FV
-
- mv -v $out/FV/RISCV_VIRT_{CODE,VARS}.fd $fd/FV/
- truncate -s 32M $fd/FV/RISCV_VIRT_CODE.fd
- truncate -s 32M $fd/FV/RISCV_VIRT_VARS.fd
- ''
- else ''
- mkdir -vp $fd/FV
- mv -v $out/FV/OVMF{,_CODE,_VARS}.fd $fd/FV
- '');
+ '';
dontPatchELF = true;
passthru =
let
- cpuName = stdenv.hostPlatform.parsed.cpu.name;
- suffix = suffixes."${cpuName}" or (throw "Host cpu name `${cpuName}` is not supported in this OVMF derivation!");
- prefix = "${finalAttrs.finalPackage.fd}/${suffix}";
+ prefix = "${finalAttrs.finalPackage.fd}/FV/${fwPrefix}";
in {
firmware = "${prefix}_CODE.fd";
variables = "${prefix}_VARS.fd";
# This will test the EFI firmware for the host platform as part of the NixOS Tests setup.
tests.basic-systemd-boot = nixosTests.systemd-boot.basic;
+ tests.secureBoot-systemd-boot = nixosTests.systemd-boot.secureBoot;
+ inherit secureBoot systemManagementModeRequired;
};
meta = {
diff --git a/pkgs/applications/virtualization/cloud-hypervisor/Cargo.lock b/pkgs/applications/virtualization/cloud-hypervisor/Cargo.lock
index 52afc0d15f83..533a473d036e 100644
--- a/pkgs/applications/virtualization/cloud-hypervisor/Cargo.lock
+++ b/pkgs/applications/virtualization/cloud-hypervisor/Cargo.lock
@@ -5,7 +5,7 @@ version = 3
[[package]]
name = "acpi_tables"
version = "0.1.0"
-source = "git+https://github.com/rust-vmm/acpi_tables?branch=main#1a733bf690ccc10bdfeacad33e3c9f6cce0008fd"
+source = "git+https://github.com/rust-vmm/acpi_tables?branch=main#76e8552f57f76ca918e19c0a7b7480d2fa2c7241"
dependencies = [
"zerocopy",
]
@@ -50,9 +50,9 @@ dependencies = [
[[package]]
name = "anstyle"
-version = "1.0.1"
+version = "1.0.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3a30da5c5f2d5e72842e00bcb57657162cdabef0931f40e2deb9b4140440cecd"
+checksum = "8901269c6307e8d93993578286ac0edf7f195079ffff5ebdeea6a59ffb7e36bc"
[[package]]
name = "anstyle-parse"
@@ -65,28 +65,28 @@ dependencies = [
[[package]]
name = "anstyle-query"
-version = "1.0.0"
+version = "1.0.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5ca11d4be1bab0c8bc8734a9aa7bf4ee8316d462a08c6ac5052f888fef5b494b"
+checksum = "e28923312444cdd728e4738b3f9c9cac739500909bb3d3c94b43551b16517648"
dependencies = [
- "windows-sys 0.48.0",
+ "windows-sys 0.52.0",
]
[[package]]
name = "anstyle-wincon"
-version = "3.0.1"
+version = "3.0.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f0699d10d2f4d628a98ee7b57b289abbc98ff3bad977cb3152709d4bf2330628"
+checksum = "1cd54b81ec8d6180e24654d0b371ad22fc3dd083b6ff8ba325b72e00c87660a7"
dependencies = [
"anstyle",
- "windows-sys 0.48.0",
+ "windows-sys 0.52.0",
]
[[package]]
name = "anyhow"
-version = "1.0.75"
+version = "1.0.79"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a4668cab20f66d8d020e1fbc0ebe47217433c1b6c8f2040faf858554e394ace6"
+checksum = "080e9890a082662b09c1ad45f567faeeb47f22b5fb23895fbe1e651e718e25ca"
[[package]]
name = "api_client"
@@ -135,13 +135,15 @@ dependencies = [
[[package]]
name = "async-channel"
-version = "1.9.0"
+version = "2.1.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "81953c529336010edd6d8e358f886d9581267795c61b19475b71314bffa46d35"
+checksum = "1ca33f4bc4ed1babef42cad36cc1f51fa88be00420404e5b1e80ab1b18f7678c"
dependencies = [
"concurrent-queue",
- "event-listener 2.5.3",
+ "event-listener 4.0.0",
+ "event-listener-strategy",
"futures-core",
+ "pin-project-lite",
]
[[package]]
@@ -223,7 +225,7 @@ dependencies = [
"cfg-if",
"event-listener 3.0.0",
"futures-lite 1.13.0",
- "rustix 0.38.8",
+ "rustix 0.38.25",
"windows-sys 0.48.0",
]
@@ -235,7 +237,7 @@ checksum = "5fd55a5ba1179988837d24ab4c7cc8ed6efdeff578ede0416b4225a5fca35bd0"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.31",
+ "syn 2.0.48",
]
[[package]]
@@ -265,13 +267,13 @@ checksum = "b4eb2cdb97421e01129ccb49169d8279ed21e829929144f4a22a6e54ac549ca1"
[[package]]
name = "async-trait"
-version = "0.1.74"
+version = "0.1.76"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a66537f1bb974b254c98ed142ff995236e81b9d0fe4db0575f46612cb15eb0f9"
+checksum = "531b97fb4cd3dfdce92c35dedbfdc1f0b9d8091c8ca943d6dae340ef5012d514"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.31",
+ "syn 2.0.48",
]
[[package]]
@@ -312,13 +314,13 @@ dependencies = [
[[package]]
name = "bitfield-struct"
-version = "0.5.4"
+version = "0.5.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "eac32db62a43cf33353ce30b4a208b08193ea2086a1c6c004acb0073c706a29d"
+checksum = "a26b8cea8bb6a81b75a84603b9e096f05fa86db057904ef29be1deee900532bd"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.31",
+ "syn 2.0.48",
]
[[package]]
@@ -338,7 +340,7 @@ name = "block"
version = "0.1.0"
dependencies = [
"byteorder",
- "crc32c",
+ "crc-any",
"io-uring",
"libc",
"log",
@@ -366,17 +368,18 @@ dependencies = [
[[package]]
name = "blocking"
-version = "1.3.1"
+version = "1.5.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "77231a1c8f801696fc0123ec6150ce92cffb8e164a02afb9c8ddee0e9b65ad65"
+checksum = "6a37913e8dc4ddcc604f0c6d3bf2887c995153af3611de9e23c352b44c1b9118"
dependencies = [
"async-channel",
- "async-lock 2.7.0",
+ "async-lock 3.2.0",
"async-task",
- "atomic-waker",
- "fastrand 1.9.0",
- "futures-lite 1.13.0",
- "log",
+ "fastrand 2.0.0",
+ "futures-io",
+ "futures-lite 2.1.0",
+ "piper",
+ "tracing",
]
[[package]]
@@ -436,7 +439,7 @@ checksum = "702fc72eb24e5a1e48ce58027a675bc24edd52096d5397d4aea7c6dd9eca0bd1"
[[package]]
name = "cloud-hypervisor"
-version = "37.0.0"
+version = "38.0.0"
dependencies = [
"anyhow",
"api_client",
@@ -473,9 +476,9 @@ checksum = "acbf1af155f9b9ef647e42cdc158db4b64a1b61f743629225fde6f3e0be2a7c7"
[[package]]
name = "concurrent-queue"
-version = "2.3.0"
+version = "2.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f057a694a54f12365049b0958a1685bb52d567f5593b355fbf685838e873d400"
+checksum = "d16048cd947b08fa32c24458a22f5dc5e835264f689f4f5653210c69fd107363"
dependencies = [
"crossbeam-utils",
]
@@ -490,12 +493,12 @@ dependencies = [
]
[[package]]
-name = "crc32c"
-version = "0.6.4"
+name = "crc-any"
+version = "2.4.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d8f48d60e5b4d2c53d5c2b1d8a58c849a70ae5e5509b08a48d047e3b65714a74"
+checksum = "c01a5e1f881f6fb6099a7bdf949e946719fd4f1fefa56264890574febf0eb6d0"
dependencies = [
- "rustc_version",
+ "debug-helper",
]
[[package]]
@@ -509,18 +512,15 @@ dependencies = [
[[package]]
name = "crc64"
-version = "1.0.0"
+version = "2.0.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "55626594feae15d266d52440b26ff77de0e22230cf0c113abe619084c1ddc910"
+checksum = "2707e3afba5e19b75d582d88bc79237418f2a2a2d673d01cf9b03633b46e98f3"
[[package]]
name = "crossbeam-utils"
-version = "0.8.16"
+version = "0.8.19"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5a22b2d63d4d1dc0b7f1b6b2747dd0088008a9be28b6ddf0b1e7d335e3037294"
-dependencies = [
- "cfg-if",
-]
+checksum = "248e3bacc7dc6baa3b21e405ee045c3047101a49145e7e9eca583ab4c2ca5345"
[[package]]
name = "crypto-common"
@@ -534,9 +534,9 @@ dependencies = [
[[package]]
name = "darling"
-version = "0.20.3"
+version = "0.20.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0209d94da627ab5605dcccf08bb18afa5009cfbef48d8a8b7d7bdbc79be25c5e"
+checksum = "c376d08ea6aa96aafe61237c7200d1241cb177b7d3a542d791f2d118e9cbb955"
dependencies = [
"darling_core",
"darling_macro",
@@ -544,29 +544,35 @@ dependencies = [
[[package]]
name = "darling_core"
-version = "0.20.3"
+version = "0.20.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "177e3443818124b357d8e76f53be906d60937f0d3a90773a664fa63fa253e621"
+checksum = "33043dcd19068b8192064c704b3f83eb464f91f1ff527b44a4e2b08d9cdb8855"
dependencies = [
"fnv",
"ident_case",
"proc-macro2",
"quote",
"strsim",
- "syn 2.0.31",
+ "syn 2.0.48",
]
[[package]]
name = "darling_macro"
-version = "0.20.3"
+version = "0.20.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "836a9bbc7ad63342d6d6e7b815ccab164bc77a2d95d84bc3117a8c0d5c98e2d5"
+checksum = "c5a91391accf613803c2a9bf9abccdbaa07c54b4244a5b64883f9c3c137c86be"
dependencies = [
"darling_core",
"quote",
- "syn 2.0.31",
+ "syn 2.0.48",
]
+[[package]]
+name = "debug-helper"
+version = "0.3.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f578e8e2c440e7297e008bb5486a3a8a194775224bbc23729b0dbdfaeebf162e"
+
[[package]]
name = "derivative"
version = "2.2.0"
@@ -605,9 +611,9 @@ dependencies = [
[[package]]
name = "dhat"
-version = "0.3.2"
+version = "0.3.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4f2aaf837aaf456f6706cb46386ba8dffd4013a757e36f4ea05c20dd46b209a3"
+checksum = "98cd11d84628e233de0ce467de10b8633f4ddaecafadefc86e13b84b8739b827"
dependencies = [
"backtrace",
"lazy_static",
@@ -668,14 +674,14 @@ checksum = "f95e2801cd355d4a1a3e3953ce6ee5ae9603a5c833455343a8bfe3f44d418246"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.31",
+ "syn 2.0.48",
]
[[package]]
name = "env_logger"
-version = "0.10.0"
+version = "0.10.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "85cdab6a89accf66733ad5a1693a4dcced6aeff64602b634530dd73c1f3ee9f0"
+checksum = "95b3f3e67048839cb0d0781f445682a35113da7121f7c949db0e2be96a4fbece"
dependencies = [
"humantime",
"is-terminal",
@@ -801,9 +807,9 @@ checksum = "3f9eec918d3f24069decb9af1554cad7c880e2da24a9afd88aca000531ab82c1"
[[package]]
name = "futures"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "23342abe12aba583913b2e62f22225ff9c950774065e4bfb61a19cd9770fec40"
+checksum = "645c6916888f6cb6350d2550b80fb63e734897a8498abe35cfb732b6487804b0"
dependencies = [
"futures-channel",
"futures-core",
@@ -816,9 +822,9 @@ dependencies = [
[[package]]
name = "futures-channel"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "955518d47e09b25bbebc7a18df10b81f0c766eaf4c4f1cccef2fca5f2a4fb5f2"
+checksum = "eac8f7d7865dcb88bd4373ab671c8cf4508703796caa2b1985a9ca867b3fcb78"
dependencies = [
"futures-core",
"futures-sink",
@@ -826,15 +832,15 @@ dependencies = [
[[package]]
name = "futures-core"
-version = "0.3.29"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "eb1d22c66e66d9d72e1758f0bd7d4fd0bee04cad842ee34587d68c07e45d088c"
+checksum = "dfc6580bb841c5a68e9ef15c77ccc837b40a7504914d52e47b8b0e9bbda25a1d"
[[package]]
name = "futures-executor"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ccecee823288125bd88b4d7f565c9e58e41858e47ab72e8ea2d64e93624386e0"
+checksum = "a576fc72ae164fca6b9db127eaa9a9dda0d61316034f33a0a0d4eda41f02b01d"
dependencies = [
"futures-core",
"futures-task",
@@ -843,9 +849,9 @@ dependencies = [
[[package]]
name = "futures-io"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4fff74096e71ed47f8e023204cfd0aa1289cd54ae5430a9523be060cdb849964"
+checksum = "a44623e20b9681a318efdd71c299b6b222ed6f231972bfe2f224ebad6311f0c1"
[[package]]
name = "futures-lite"
@@ -877,32 +883,32 @@ dependencies = [
[[package]]
name = "futures-macro"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "89ca545a94061b6365f2c7355b4b32bd20df3ff95f02da9329b34ccc3bd6ee72"
+checksum = "87750cf4b7a4c0625b1529e4c543c2182106e4dedc60a2a6455e00d212c489ac"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.31",
+ "syn 2.0.48",
]
[[package]]
name = "futures-sink"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f43be4fe21a13b9781a69afa4985b0f6ee0e1afab2c6f454a8cf30e2b2237b6e"
+checksum = "9fb8e00e87438d937621c1c6269e53f536c14d3fbd6a042bb24879e57d474fb5"
[[package]]
name = "futures-task"
-version = "0.3.29"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "efd193069b0ddadc69c46389b740bbccdd97203899b48d09c5f7969591d6bae2"
+checksum = "38d84fa142264698cdce1a9f9172cf383a0c82de1bddcf3092901442c4097004"
[[package]]
name = "futures-util"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "26b01e40b772d54cf6c6d721c1d1abd0647a0106a12ecaa1c186273392a69533"
+checksum = "3d6401deb83407ab3da39eba7e33987a73c3df0c82b4bb5813ee871c19c41d48"
dependencies = [
"futures-channel",
"futures-core",
@@ -918,9 +924,9 @@ dependencies = [
[[package]]
name = "gdbstub"
-version = "0.7.0"
+version = "0.7.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "09a8b954f9d02b74fe8e89a1c77bd9a6b8206713ebf1b272bfad9573b4a86f88"
+checksum = "6341b3480afbb34eaefc7f92713bc92f2d83e338aaa1c44192f9c2956f4a4903"
dependencies = [
"bitflags 2.4.1",
"cfg-if",
@@ -952,9 +958,9 @@ dependencies = [
[[package]]
name = "getrandom"
-version = "0.2.10"
+version = "0.2.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "be4136b2a15dd319360be1c07d9933517ccf0be8f16bf62a3bee4f0d618df427"
+checksum = "fe9006bed769170c11f845cf00c7c1e9092aeb3f268e007c3e760ac68008070f"
dependencies = [
"cfg-if",
"js-sys",
@@ -1121,7 +1127,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "cb0889898416213fab133e1d33a0e5858a48177452750691bde3666d0fdbaf8b"
dependencies = [
"hermit-abi",
- "rustix 0.38.8",
+ "rustix 0.38.25",
"windows-sys 0.48.0",
]
@@ -1142,8 +1148,8 @@ dependencies = [
[[package]]
name = "kvm-bindings"
-version = "0.6.0"
-source = "git+https://github.com/cloud-hypervisor/kvm-bindings?branch=ch-v0.6.0-tdx#7d9ffb47e5b9b1989577258800a0f57c93f1445f"
+version = "0.7.0"
+source = "git+https://github.com/cloud-hypervisor/kvm-bindings?branch=ch-v0.7.0#2dcf85d4f8aa55befcaa996b699ddb18ec9ed059"
dependencies = [
"serde",
"serde_derive",
@@ -1152,9 +1158,11 @@ dependencies = [
[[package]]
name = "kvm-ioctls"
-version = "0.13.0"
-source = "git+https://github.com/rust-vmm/kvm-ioctls?branch=main#23a3bb045a467e60bb00328a0b13cea13b5815d0"
+version = "0.16.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9002dff009755414f22b962ec6ae6980b07d6d8b06e5297b1062019d72bd6a8c"
dependencies = [
+ "bitflags 2.4.1",
"kvm-bindings",
"libc",
"vmm-sys-util",
@@ -1168,9 +1176,9 @@ checksum = "e2abad23fbc42b3700f2f279844dc832adb2b2eb069b2df918f455c4e18cc646"
[[package]]
name = "libc"
-version = "0.2.147"
+version = "0.2.153"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b4668fb0ea861c1df094127ac5f1da3409a82116a4ba74fca2e58ef927159bb3"
+checksum = "9c198f91728a82281a64e1f4f9eeb25d82cb32a5de251c6bd1b5154d63a8e7bd"
[[package]]
name = "libssh2-sys"
@@ -1200,9 +1208,9 @@ dependencies = [
[[package]]
name = "linux-loader"
-version = "0.10.0"
+version = "0.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "132a531b85b3a164012ab682c72f8f2cce7757f187be5f60782fd2b4cda9cb34"
+checksum = "eb68dd3452f25a8defaf0ae593509cff0c777683e4d8924f59ac7c5f89267a83"
dependencies = [
"vm-memory",
]
@@ -1215,9 +1223,9 @@ checksum = "ef53942eb7bf7ff43a617b3e2c1c4a5ecf5944a7c1bc12d7ee39bbb15e5c1519"
[[package]]
name = "linux-raw-sys"
-version = "0.4.5"
+version = "0.4.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "57bcfdad1b858c2db7c38303a6d2ad4dfaf5eb53dfeb0910128b2c26d6158503"
+checksum = "01cda141df6706de531b6c46c3a33ecca755538219bd484262fa09410c13539c"
[[package]]
name = "lock_api"
@@ -1259,7 +1267,7 @@ dependencies = [
[[package]]
name = "micro_http"
version = "0.1.0"
-source = "git+https://github.com/firecracker-microvm/micro-http?branch=main#a4d632f2c5ea45712c0d2002dc909a63879e85c3"
+source = "git+https://github.com/firecracker-microvm/micro-http?branch=main#e75dfa1eeea23b69caa7407bc2c3a76d7b7262fb"
dependencies = [
"libc",
"vmm-sys-util",
@@ -1287,7 +1295,7 @@ dependencies = [
[[package]]
name = "mshv-bindings"
version = "0.1.1"
-source = "git+https://github.com/rust-vmm/mshv?branch=main#0dd4d3452a7f2e95199f4b58380acc41458474de"
+source = "git+https://github.com/rust-vmm/mshv?branch=main#9d0c11fe9fedfbcf56a5d62fbf4bad80cdf91340"
dependencies = [
"libc",
"serde",
@@ -1299,7 +1307,7 @@ dependencies = [
[[package]]
name = "mshv-ioctls"
version = "0.1.1"
-source = "git+https://github.com/rust-vmm/mshv?branch=main#0dd4d3452a7f2e95199f4b58380acc41458474de"
+source = "git+https://github.com/rust-vmm/mshv?branch=main#9d0c11fe9fedfbcf56a5d62fbf4bad80cdf91340"
dependencies = [
"libc",
"mshv-bindings",
@@ -1385,9 +1393,9 @@ dependencies = [
[[package]]
name = "once_cell"
-version = "1.18.0"
+version = "1.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dd8b5dd2ae5ed71462c540258bedcb51965123ad7e7ccf4b9a8cafaa4a63576d"
+checksum = "3fdb12b2476b595f9358c5161aa467c2438859caa136dec86c26fdd2efe17b92"
[[package]]
name = "open-enum"
@@ -1420,9 +1428,9 @@ dependencies = [
[[package]]
name = "openssl-sys"
-version = "0.9.93"
+version = "0.9.99"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "db4d56a4c0478783083cfafcc42493dd4a981d41669da64b4572a2a089b51b1d"
+checksum = "22e1bf214306098e4832460f797824c05d25aacdf896f64a985fb0fd992454ae"
dependencies = [
"cc",
"libc",
@@ -1549,22 +1557,22 @@ dependencies = [
[[package]]
name = "pin-project"
-version = "1.1.3"
+version = "1.1.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fda4ed1c6c173e3fc7a83629421152e01d7b1f9b7f65fb301e490e8cfc656422"
+checksum = "0302c4a0442c456bd56f841aee5c3bfd17967563f6fadc9ceb9f9c23cf3807e0"
dependencies = [
"pin-project-internal",
]
[[package]]
name = "pin-project-internal"
-version = "1.1.3"
+version = "1.1.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4359fd9c9171ec6e8c62926d6faaf553a8dc3f64e1507e76da7911b4f6a04405"
+checksum = "266c042b60c9c76b8d53061e52b2e0d1116abc57cefc8c5cd671619a56ac3690"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.31",
+ "syn 2.0.48",
]
[[package]]
@@ -1579,6 +1587,17 @@ version = "0.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "8b870d8c151b6f2fb93e84a13146138f05d02ed11c7e7c54f8826aaaf7c9f184"
+[[package]]
+name = "piper"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "668d31b1c4eba19242f2088b2bf3316b82ca31082a8335764db4e083db7485d4"
+dependencies = [
+ "atomic-waker",
+ "fastrand 2.0.0",
+ "futures-io",
+]
+
[[package]]
name = "pkg-config"
version = "0.3.27"
@@ -1630,7 +1649,7 @@ dependencies = [
"proc-macro2",
"quote",
"regex",
- "syn 2.0.31",
+ "syn 2.0.48",
]
[[package]]
@@ -1710,18 +1729,18 @@ dependencies = [
[[package]]
name = "proc-macro2"
-version = "1.0.70"
+version = "1.0.78"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "39278fbbf5fb4f646ce651690877f89d1c5811a3d4acb27700c1cb3cdb78fd3b"
+checksum = "e2422ad645d89c99f8f3e6b88a9fdeca7fabeac836b1002371c4367c8f984aae"
dependencies = [
"unicode-ident",
]
[[package]]
name = "quote"
-version = "1.0.33"
+version = "1.0.35"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5267fca4496028628a95160fc423a33e8b2e6af8a5302579e322e4b520293cae"
+checksum = "291ec9ab5efd934aaf503a6466c5d5251535d108ee747472c3977cc5acc868ef"
dependencies = [
"proc-macro2",
]
@@ -1766,8 +1785,10 @@ checksum = "8edc89eaa583cf6bc4c6ef16a219f0a60d342ca3bf0eae793560038ac8af1795"
name = "rate_limiter"
version = "0.1.0"
dependencies = [
+ "epoll",
"libc",
"log",
+ "thiserror",
"vmm-sys-util",
]
@@ -1837,7 +1858,7 @@ checksum = "bce3a7139d2ee67d07538ee5dba997364fbc243e7e7143e96eb830c74bfaa082"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.31",
+ "syn 2.0.48",
]
[[package]]
@@ -1852,15 +1873,6 @@ version = "1.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "08d43f7aa6b08d49f382cde6a7982047c3426db949b1424bc4b7ec9ae12c6ce2"
-[[package]]
-name = "rustc_version"
-version = "0.4.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bfa0f585226d2e68097d4f95d113b15b83a82e819ab25717ec0590d9584ef366"
-dependencies = [
- "semver",
-]
-
[[package]]
name = "rustix"
version = "0.37.27"
@@ -1877,14 +1889,14 @@ dependencies = [
[[package]]
name = "rustix"
-version = "0.38.8"
+version = "0.38.25"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "19ed4fa021d81c8392ce04db050a3da9a60299050b7ae1cf482d862b54a7218f"
+checksum = "dc99bc2d4f1fed22595588a013687477aedf3cdcfb26558c559edb67b4d9b22e"
dependencies = [
"bitflags 2.4.1",
"errno",
"libc",
- "linux-raw-sys 0.4.5",
+ "linux-raw-sys 0.4.13",
"windows-sys 0.48.0",
]
@@ -1909,37 +1921,31 @@ dependencies = [
"libc",
]
-[[package]]
-name = "semver"
-version = "1.0.20"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "836fa6a3e1e547f9a2c4040802ec865b5d85f4014efe00555d7090a3dcaa1090"
-
[[package]]
name = "serde"
-version = "1.0.168"
+version = "1.0.196"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d614f89548720367ded108b3c843be93f3a341e22d5674ca0dd5cd57f34926af"
+checksum = "870026e60fa08c69f064aa766c10f10b1d62db9ccd4d0abb206472bee0ce3b32"
dependencies = [
"serde_derive",
]
[[package]]
name = "serde_derive"
-version = "1.0.168"
+version = "1.0.196"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d4fe589678c688e44177da4f27152ee2d190757271dc7f1d5b6b9f68d869d641"
+checksum = "33c85360c95e7d137454dc81d9a4ed2b8efd8fbe19cee57357b32b9771fccb67"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.31",
+ "syn 2.0.48",
]
[[package]]
name = "serde_json"
-version = "1.0.107"
+version = "1.0.109"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6b420ce6e3d8bd882e9b243c6eed35dbc9a6110c9769e74b584e0d68d1f20c65"
+checksum = "cb0652c533506ad7a2e353cce269330d6afd8bdfb6d75e0ace5b35aacbd7b9e9"
dependencies = [
"itoa",
"ryu",
@@ -1954,7 +1960,7 @@ checksum = "3081f5ffbb02284dda55132aa26daecedd7372a42417bbbab6f14ab7d6bb9145"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.31",
+ "syn 2.0.48",
]
[[package]]
@@ -1976,7 +1982,7 @@ dependencies = [
"darling",
"proc-macro2",
"quote",
- "syn 2.0.31",
+ "syn 2.0.48",
]
[[package]]
@@ -2024,9 +2030,9 @@ dependencies = [
[[package]]
name = "smallvec"
-version = "1.11.0"
+version = "1.13.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "62bb4feee49fdd9f707ef802e22365a35de4b7b299de4763d44bfea899442ff9"
+checksum = "e6ecd384b10a64542d77071bd64bd7b231f4ed5940fba55e98c3de13824cf3d7"
[[package]]
name = "socket2"
@@ -2084,9 +2090,9 @@ dependencies = [
[[package]]
name = "syn"
-version = "2.0.31"
+version = "2.0.48"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "718fa2415bcb8d8bd775917a1bf12a7931b6dfa890753378538118181e0cb398"
+checksum = "0f3531638e407dfc0814761abb7c00a5b54992b849452a0646b7f65c9f770f3f"
dependencies = [
"proc-macro2",
"quote",
@@ -2112,7 +2118,7 @@ dependencies = [
"cfg-if",
"fastrand 2.0.0",
"redox_syscall 0.3.5",
- "rustix 0.38.8",
+ "rustix 0.38.25",
"windows-sys 0.48.0",
]
@@ -2131,7 +2137,7 @@ version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "21bebf2b7c9e0a515f6e0f8c51dc0f8e4696391e6f1ff30379559f8365fb0df7"
dependencies = [
- "rustix 0.38.8",
+ "rustix 0.38.25",
"windows-sys 0.48.0",
]
@@ -2152,22 +2158,22 @@ dependencies = [
[[package]]
name = "thiserror"
-version = "1.0.40"
+version = "1.0.52"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "978c9a314bd8dc99be594bc3c175faaa9794be04a5a5e153caba6915336cebac"
+checksum = "83a48fd946b02c0a526b2e9481c8e2a17755e47039164a86c4070446e3a4614d"
dependencies = [
"thiserror-impl",
]
[[package]]
name = "thiserror-impl"
-version = "1.0.40"
+version = "1.0.52"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f9456a42c5b0d803c8cd86e73dd7cc9edd429499f37a3550d286d5e86720569f"
+checksum = "e7fbe9b594d6568a6a1443250a7e67d80b74e1e96f6d1715e1e21cc1888291d3"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.31",
+ "syn 2.0.48",
]
[[package]]
@@ -2219,11 +2225,10 @@ dependencies = [
[[package]]
name = "tracing"
-version = "0.1.37"
+version = "0.1.40"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8ce8c33a8d48bd45d624a6e523445fd21ec13d3653cd51f681abf67418f54eb8"
+checksum = "c3523ab5a71916ccf420eebdf5521fcef02141234bbc0b8a49f2fdc4544364ef"
dependencies = [
- "cfg-if",
"pin-project-lite",
"tracing-attributes",
"tracing-core",
@@ -2231,20 +2236,20 @@ dependencies = [
[[package]]
name = "tracing-attributes"
-version = "0.1.26"
+version = "0.1.27"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5f4f31f56159e98206da9efd823404b79b6ef3143b4a7ab76e67b1751b25a4ab"
+checksum = "34704c8d6ebcbc939824180af020566b01a7c01f80641264eba0999f6c2b6be7"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.31",
+ "syn 2.0.48",
]
[[package]]
name = "tracing-core"
-version = "0.1.31"
+version = "0.1.32"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0955b8137a1df6f1a2e9a37d8a6656291ff0297c1a97c24e0d8425fe2312f79a"
+checksum = "c06d3da6113f116aaee68e4d601191614c9053067f9ab7f6edbcb161237daa54"
dependencies = [
"once_cell",
]
@@ -2300,9 +2305,9 @@ checksum = "49874b5167b65d7193b8aba1567f5c7d93d001cafc34600cee003eda787e483f"
[[package]]
name = "versionize"
-version = "0.1.10"
+version = "0.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dca4b7062e7e6d685901e815c35f9671e059de97c1c0905eeff8592f3fff442f"
+checksum = "62929d59c7f6730b7298fcb363760550f4db6e353fbac4076d447d0e82799d6d"
dependencies = [
"bincode",
"crc64",
@@ -2317,8 +2322,8 @@ dependencies = [
[[package]]
name = "versionize_derive"
-version = "0.1.4"
-source = "git+https://github.com/cloud-hypervisor/versionize_derive?branch=ch#e502b1d4aabab342386f0c53780d49f21a6a1df6"
+version = "0.1.6"
+source = "git+https://github.com/cloud-hypervisor/versionize_derive?branch=ch-0.1.6#7906da996152e2d0ab08f5526440683bf3ca7834"
dependencies = [
"proc-macro2",
"quote",
@@ -2328,7 +2333,7 @@ dependencies = [
[[package]]
name = "vfio-bindings"
version = "0.4.0"
-source = "git+https://github.com/rust-vmm/vfio?branch=main#59c604fa6e42080f0a47c124ba29454fe4cb7475"
+source = "git+https://github.com/rust-vmm/vfio?branch=main#0daff4d4c159e842cf18b8b90457a45032b2df5a"
dependencies = [
"vmm-sys-util",
]
@@ -2336,7 +2341,7 @@ dependencies = [
[[package]]
name = "vfio-ioctls"
version = "0.2.0"
-source = "git+https://github.com/rust-vmm/vfio?branch=main#59c604fa6e42080f0a47c124ba29454fe4cb7475"
+source = "git+https://github.com/rust-vmm/vfio?branch=main#0daff4d4c159e842cf18b8b90457a45032b2df5a"
dependencies = [
"byteorder",
"kvm-bindings",
@@ -2354,7 +2359,7 @@ dependencies = [
[[package]]
name = "vfio_user"
version = "0.1.0"
-source = "git+https://github.com/rust-vmm/vfio-user?branch=main#6c72e997e61d9e84b8ee691ad63ece6c717cf5aa"
+source = "git+https://github.com/rust-vmm/vfio-user?branch=main#a1f6e52829e069b6d698b2cfeecac742e4653186"
dependencies = [
"bitflags 1.3.2",
"libc",
@@ -2370,9 +2375,9 @@ dependencies = [
[[package]]
name = "vhost"
-version = "0.9.0"
+version = "0.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "289adfce099c71f8310f895932ccd978f352ca494ea47496dbe20d4241888b82"
+checksum = "2b64e816d0d49769fbfaa1494eb77cc2a3ddc526ead05c7f922cb7d64106286f"
dependencies = [
"bitflags 2.4.1",
"libc",
@@ -2382,9 +2387,9 @@ dependencies = [
[[package]]
name = "vhost-user-backend"
-version = "0.11.0"
+version = "0.13.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "61255322e3ebe93fb77d9f6d99577eca7089bbea4174076c5353a8024a463061"
+checksum = "72c8c447d076ac508d78cb45664d203df7989e891656dce260a7e93d72352c9a"
dependencies = [
"libc",
"log",
@@ -2474,9 +2479,9 @@ dependencies = [
[[package]]
name = "virtio-queue"
-version = "0.10.0"
+version = "0.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "73a01db2cfb6c4b9bc20608b1336263d16714ea8db05de9fec2a254e076f9385"
+checksum = "e3f69a13d6610db9312acbb438b0390362af905d37634a2106be70c0f734986d"
dependencies = [
"log",
"virtio-bindings",
@@ -2513,9 +2518,9 @@ source = "git+https://github.com/rust-vmm/vm-fdt?branch=main#77212bd0d62913e445c
[[package]]
name = "vm-memory"
-version = "0.13.1"
+version = "0.14.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5376c9ee5ebe2103a310d8241936cfb93c946734b0479a4fa5bdf7a64abbacd8"
+checksum = "74ffc42216c32c35f858fa4bfdcd9b61017dfd691e0240268fdc85dbf59e5459"
dependencies = [
"arc-swap",
"libc",
@@ -2579,6 +2584,7 @@ dependencies = [
"option_parser",
"pci",
"range_map_vec",
+ "rate_limiter",
"seccompiler",
"serde",
"serde_json",
@@ -2605,9 +2611,9 @@ dependencies = [
[[package]]
name = "vmm-sys-util"
-version = "0.11.1"
+version = "0.12.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dd64fe09d8e880e600c324e7d664760a17f56e9672b7495a86381b49e4f72f46"
+checksum = "1d1435039746e20da4f8d507a72ee1b916f7b4b05af7a91c093d2c6561934ede"
dependencies = [
"bitflags 1.3.2",
"libc",
@@ -2657,7 +2663,7 @@ dependencies = [
"once_cell",
"proc-macro2",
"quote",
- "syn 2.0.31",
+ "syn 2.0.48",
"wasm-bindgen-shared",
]
@@ -2679,7 +2685,7 @@ checksum = "54681b18a46765f095758388f2d0cf16eb8d4169b639ab575a8f5693af210c7b"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.31",
+ "syn 2.0.48",
"wasm-bindgen-backend",
"wasm-bindgen-shared",
]
@@ -2739,6 +2745,15 @@ dependencies = [
"windows-targets 0.48.0",
]
+[[package]]
+name = "windows-sys"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "282be5f36a8ce781fad8c8ae18fa3f9beff57ec1b52cb3de0789201425d9a33d"
+dependencies = [
+ "windows-targets 0.52.0",
+]
+
[[package]]
name = "windows-targets"
version = "0.42.2"
@@ -2769,6 +2784,21 @@ dependencies = [
"windows_x86_64_msvc 0.48.0",
]
+[[package]]
+name = "windows-targets"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8a18201040b24831fbb9e4eb208f8892e1f50a37feb53cc7ff887feb8f50e7cd"
+dependencies = [
+ "windows_aarch64_gnullvm 0.52.0",
+ "windows_aarch64_msvc 0.52.0",
+ "windows_i686_gnu 0.52.0",
+ "windows_i686_msvc 0.52.0",
+ "windows_x86_64_gnu 0.52.0",
+ "windows_x86_64_gnullvm 0.52.0",
+ "windows_x86_64_msvc 0.52.0",
+]
+
[[package]]
name = "windows_aarch64_gnullvm"
version = "0.42.2"
@@ -2781,6 +2811,12 @@ version = "0.48.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "91ae572e1b79dba883e0d315474df7305d12f569b400fcf90581b06062f7e1bc"
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cb7764e35d4db8a7921e09562a0304bf2f93e0a51bfccee0bd0bb0b666b015ea"
+
[[package]]
name = "windows_aarch64_msvc"
version = "0.42.2"
@@ -2793,6 +2829,12 @@ version = "0.48.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "b2ef27e0d7bdfcfc7b868b317c1d32c641a6fe4629c171b8928c7b08d98d7cf3"
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bbaa0368d4f1d2aaefc55b6fcfee13f41544ddf36801e793edbbfd7d7df075ef"
+
[[package]]
name = "windows_i686_gnu"
version = "0.42.2"
@@ -2805,6 +2847,12 @@ version = "0.48.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "622a1962a7db830d6fd0a69683c80a18fda201879f0f447f065a3b7467daa241"
+[[package]]
+name = "windows_i686_gnu"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a28637cb1fa3560a16915793afb20081aba2c92ee8af57b4d5f28e4b3e7df313"
+
[[package]]
name = "windows_i686_msvc"
version = "0.42.2"
@@ -2817,6 +2865,12 @@ version = "0.48.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "4542c6e364ce21bf45d69fdd2a8e455fa38d316158cfd43b3ac1c5b1b19f8e00"
+[[package]]
+name = "windows_i686_msvc"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ffe5e8e31046ce6230cc7215707b816e339ff4d4d67c65dffa206fd0f7aa7b9a"
+
[[package]]
name = "windows_x86_64_gnu"
version = "0.42.2"
@@ -2829,6 +2883,12 @@ version = "0.48.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "ca2b8a661f7628cbd23440e50b05d705db3686f894fc9580820623656af974b1"
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3d6fa32db2bc4a2f5abeacf2b69f7992cd09dca97498da74a151a3132c26befd"
+
[[package]]
name = "windows_x86_64_gnullvm"
version = "0.42.2"
@@ -2841,6 +2901,12 @@ version = "0.48.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "7896dbc1f41e08872e9d5e8f8baa8fdd2677f29468c4e156210174edc7f7b953"
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1a657e1e9d3f514745a572a6846d3c7aa7dbe1658c056ed9c3344c4109a6949e"
+
[[package]]
name = "windows_x86_64_msvc"
version = "0.42.2"
@@ -2854,10 +2920,16 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "1a515f5799fe4961cb532f983ce2b23082366b898e52ffbce459c86f67c8378a"
[[package]]
-name = "winnow"
-version = "0.5.18"
+name = "windows_x86_64_msvc"
+version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "176b6138793677221d420fd2f0aeeced263f197688b36484660da767bca2fa32"
+checksum = "dff9641d1cd4be8d1a070daf9e3773c5f67e78b4d9d42263020c057706765c04"
+
+[[package]]
+name = "winnow"
+version = "0.5.39"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5389a154b01683d28c77f8f68f49dea75f0a4da32557a58f68ee51ebba472d29"
dependencies = [
"memchr",
]
@@ -2940,9 +3012,9 @@ dependencies = [
[[package]]
name = "zerocopy"
-version = "0.7.21"
+version = "0.7.32"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "686b7e407015242119c33dab17b8f61ba6843534de936d94368856528eae4dcc"
+checksum = "74d4d3961e53fa4c9a25a8637fc2bfaf2595b3d3ae34875568a5cf64787716be"
dependencies = [
"byteorder",
"zerocopy-derive",
@@ -2950,13 +3022,13 @@ dependencies = [
[[package]]
name = "zerocopy-derive"
-version = "0.7.21"
+version = "0.7.32"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "020f3dfe25dfc38dfea49ce62d5d45ecdd7f0d8a724fa63eb36b6eba4ec76806"
+checksum = "9ce1b18ccd8e73a9321186f97e46f9f04b778851177567b1975109d26a08d2a6"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.31",
+ "syn 2.0.48",
]
[[package]]
diff --git a/pkgs/applications/virtualization/cloud-hypervisor/default.nix b/pkgs/applications/virtualization/cloud-hypervisor/default.nix
index 8c0d6e9e1c1b..44bbdcd66313 100644
--- a/pkgs/applications/virtualization/cloud-hypervisor/default.nix
+++ b/pkgs/applications/virtualization/cloud-hypervisor/default.nix
@@ -2,27 +2,26 @@
rustPlatform.buildRustPackage rec {
pname = "cloud-hypervisor";
- version = "37.0";
+ version = "38.0";
src = fetchFromGitHub {
owner = "cloud-hypervisor";
repo = pname;
rev = "v${version}";
- hash = "sha256-zNk3KkKl0bEZUdsWe+9FEMKVepZeQWGasDIn68NEVyw=";
+ hash = "sha256-Lhug7DCa+QutlvksL6EFQa04UK/sWebDIkqQmwPUpX4=";
};
cargoLock = {
lockFile = ./Cargo.lock;
outputHashes = {
- "acpi_tables-0.1.0" = "sha256-FYjzwCSjuTUDCCQPC2ccDpwRRaG1eT5XgV/b8uSu8uc=";
+ "acpi_tables-0.1.0" = "sha256-syDq+db1hTne6QoP0vMGUv4tB0J9arQG2Ea2hHW1k3M=";
"igvm-0.1.0" = "sha256-l+Qyhdy3b8h8hPLHg5M0os8aSkjM55hAP5nqi0AGmjo=";
- "kvm-bindings-0.6.0" = "sha256-wGdAuPwsgRIqx9dh0m+hC9A/Akz9qg9BM+p06Fi5ACM=";
- "kvm-ioctls-0.13.0" = "sha256-jHnFGwBWnAa2lRu4a5eRNy1Y26NX5MV8alJ86VR++QE=";
- "micro_http-0.1.0" = "sha256-Ov75Gs+wSmsxOHJu024nWtOJp0cKpS8bkxJJGW6jiKw=";
- "mshv-bindings-0.1.1" = "sha256-4ADpLvi9hmHsMyGtqDQ2Msa3aMZmJsi4BPW7B5ZfAMw=";
- "versionize_derive-0.1.4" = "sha256-oGuREJ5+FDs8ihmv99WmjIPpL2oPdOr4REk6+7cV/7o=";
- "vfio-bindings-0.4.0" = "sha256-grOV+7W1tB4YDRAFbDNQp5nQ1WaivH+N+qHTIj4WA+E=";
- "vfio_user-0.1.0" = "sha256-Vi6dBu1mUwyWh7ryKDOBS6GeUD2sqqIrt/bth/LDW6s=";
+ "kvm-bindings-0.7.0" = "sha256-hXv5N3TTwGQaVxdQ/DTzLt+uwLxFnstJwNhxRD2K8TM=";
+ "micro_http-0.1.0" = "sha256-gyeOop6AMXEIbLXhJMN/oYGGU8Un8Y0nFZc9ucCa0y4=";
+ "mshv-bindings-0.1.1" = "sha256-yWvkpOcW3lV47s+rWnN4Bki8tt8CkiPVZ0I36nrWMi4=";
+ "versionize_derive-0.1.6" = "sha256-eI9fM8WnEBZvskPhU67IWeN6QAPg2u5EBT+AOxfb/fY=";
+ "vfio-bindings-0.4.0" = "sha256-Dk4T2dMzPZ+Aoq1YSXX2z1Nky8zvyDl7b+A8NH57Hkc=";
+ "vfio_user-0.1.0" = "sha256-LJ84k9pMkSAaWkuaUd+2LnPXnNgrP5LdbPOc1Yjz5xA=";
"vm-fdt-0.2.0" = "sha256-lKW4ZUraHomSDyxgNlD5qTaBTZqM0Fwhhh/08yhrjyE=";
};
};
diff --git a/pkgs/applications/virtualization/containerd/default.nix b/pkgs/applications/virtualization/containerd/default.nix
index 9c194c45b991..acac16093aff 100644
--- a/pkgs/applications/virtualization/containerd/default.nix
+++ b/pkgs/applications/virtualization/containerd/default.nix
@@ -11,13 +11,13 @@
buildGoModule rec {
pname = "containerd";
- version = "1.7.13";
+ version = "1.7.14";
src = fetchFromGitHub {
owner = "containerd";
repo = "containerd";
rev = "v${version}";
- hash = "sha256-y3CYDZbA2QjIn1vyq/p1F1pAVxQHi/0a6hGWZCRWzyk=";
+ hash = "sha256-okTz2UCF5LxOdtLDBy1pN2to6WHi+I0jtR67sn7Qrbk=";
};
vendorHash = null;
diff --git a/pkgs/applications/virtualization/cri-o/default.nix b/pkgs/applications/virtualization/cri-o/default.nix
index edf4f1f60d4a..50e49fd192ac 100644
--- a/pkgs/applications/virtualization/cri-o/default.nix
+++ b/pkgs/applications/virtualization/cri-o/default.nix
@@ -15,13 +15,13 @@
buildGoModule rec {
pname = "cri-o";
- version = "1.29.1";
+ version = "1.29.2";
src = fetchFromGitHub {
owner = "cri-o";
repo = "cri-o";
rev = "v${version}";
- sha256 = "sha256-RL4JkEJMVduG06udIVlVdr+bj9wIGbun3rpeTCUehhk=";
+ hash = "sha256-il28u2+Jv2gh6XqRV4y6u0FDZ4flmcp+bOj9aibL+ro=";
};
vendorHash = null;
diff --git a/pkgs/applications/virtualization/crosvm/default.nix b/pkgs/applications/virtualization/crosvm/default.nix
index 95cada54296a..ed9aa2116b51 100644
--- a/pkgs/applications/virtualization/crosvm/default.nix
+++ b/pkgs/applications/virtualization/crosvm/default.nix
@@ -1,32 +1,22 @@
-{ lib, rustPlatform, fetchgit, fetchpatch
+{ lib, rustPlatform, fetchgit
, pkg-config, protobuf, python3, wayland-scanner
, libcap, libdrm, libepoxy, minijail, virglrenderer, wayland, wayland-protocols
}:
rustPlatform.buildRustPackage rec {
pname = "crosvm";
- version = "120.0";
+ version = "122.1";
src = fetchgit {
url = "https://chromium.googlesource.com/chromiumos/platform/crosvm";
- rev = "0a9d1cb8be29e49c355ea8b18cd58506dbbaf6e5";
- sha256 = "BbCcsxJU25VgWVday4rGPXaJSuAWebNGo3MiYPIBBto=";
+ rev = "562d81eb28a49ed6e0d771a430c21a458cdd33f9";
+ sha256 = "l5sIUInOhhkn3ernQLIEwEpRCyICDH/1k4C/aidy1/I=";
fetchSubmodules = true;
};
- patches = [
- (fetchpatch {
- name = "test-page-size-fix.patch";
- url = "https://chromium.googlesource.com/crosvm/crosvm/+/d9bc6e99ff5ac31d7d88b684c938af01a0872fc1%5E%21/?format=TEXT";
- decode = "base64 -d";
- includes = [ "src/crosvm/config.rs" ];
- hash = "sha256-3gfNzp0WhtNr+8CWSISCJau208EMIo3RJhM+4SyeV3o=";
- })
- ];
-
separateDebugInfo = true;
- cargoHash = "sha256-YXfKZeRL3gfWztf36lVNbCCwUqW+0w3q7X7v0arCrvk=";
+ cargoHash = "sha256-2MaRfQCAjW560sdAPqdWymClwY1U5QjIMzknHry+9zs=";
nativeBuildInputs = [
pkg-config protobuf python3 rustPlatform.bindgenHook wayland-scanner
@@ -40,9 +30,10 @@ rustPlatform.buildRustPackage rec {
patchShebangs third_party/minijail/tools/*.py
'';
+ CROSVM_USE_SYSTEM_MINIGBM = true;
CROSVM_USE_SYSTEM_VIRGLRENDERER = true;
- buildFeatures = [ "default" "virgl_renderer" "virgl_renderer_next" ];
+ buildFeatures = [ "virgl_renderer" ];
passthru.updateScript = ./update.py;
diff --git a/pkgs/applications/virtualization/crun/default.nix b/pkgs/applications/virtualization/crun/default.nix
index 336321d09a20..a17a115c2c59 100644
--- a/pkgs/applications/virtualization/crun/default.nix
+++ b/pkgs/applications/virtualization/crun/default.nix
@@ -39,13 +39,13 @@ let
in
stdenv.mkDerivation rec {
pname = "crun";
- version = "1.14.1";
+ version = "1.14.4";
src = fetchFromGitHub {
owner = "containers";
repo = pname;
rev = version;
- hash = "sha256-IEfHww+kAPKcTe5bWM+YuDe6PHlSdZQVEunlBMQ29Ic=";
+ hash = "sha256-f+cG9800QKZH4+9ie97TmTbQlpLXe+z+47ptP+HgIgs=";
fetchSubmodules = true;
};
diff --git a/pkgs/applications/virtualization/ddev/default.nix b/pkgs/applications/virtualization/ddev/default.nix
index 3709b8dbd901..cf4a13dee031 100644
--- a/pkgs/applications/virtualization/ddev/default.nix
+++ b/pkgs/applications/virtualization/ddev/default.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "ddev";
- version = "1.22.6";
+ version = "1.22.7";
src = fetchFromGitHub {
owner = "ddev";
repo = "ddev";
rev = "v${version}";
- hash = "sha256-i+uubmCQwJALt7YRuANpEN2AAn9i6880MaXkayIZ82g=";
+ hash = "sha256-bFQW12VzH+/OXTRBavEdfxnGowJt5TKM3r0UD64ALVs=";
};
vendorHash = null;
diff --git a/pkgs/applications/virtualization/docker/buildx.nix b/pkgs/applications/virtualization/docker/buildx.nix
index 24e2d5113cfa..4fd57de63a5a 100644
--- a/pkgs/applications/virtualization/docker/buildx.nix
+++ b/pkgs/applications/virtualization/docker/buildx.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "docker-buildx";
- version = "0.12.1";
+ version = "0.13.0";
src = fetchFromGitHub {
owner = "docker";
repo = "buildx";
rev = "v${version}";
- hash = "sha256-QC2mlJWjOtqYAB+YrL+s2FsJ79LuLFZGOgSVGL6WmX8=";
+ hash = "sha256-R4+MVC8G4wNwjZtBnLFq+TBiesUYACg9c5y2CUcqHHQ=";
};
doCheck = false;
@@ -32,6 +32,7 @@ buildGoModule rec {
meta = with lib; {
description = "Docker CLI plugin for extended build capabilities with BuildKit";
+ mainProgram = "docker-buildx";
homepage = "https://github.com/docker/buildx";
license = licenses.asl20;
maintainers = with maintainers; [ ivan-babrou developer-guy ];
diff --git a/pkgs/applications/virtualization/docker/compose.nix b/pkgs/applications/virtualization/docker/compose.nix
index f6f2e5f5a843..d6cc632bfbdc 100644
--- a/pkgs/applications/virtualization/docker/compose.nix
+++ b/pkgs/applications/virtualization/docker/compose.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "docker-compose";
- version = "2.24.5";
+ version = "2.25.0";
src = fetchFromGitHub {
owner = "docker";
repo = "compose";
rev = "v${version}";
- hash = "sha256-mn6HkGLQM5kx6yzV4IK+GTV6pCoIm1CNjQ8AZLv3sMw=";
+ hash = "sha256-QfzFo6VqNK+4GvF2sSVLeDTcoBOG8Jtqs6K5o5bwddA=";
};
postPatch = ''
@@ -16,7 +16,7 @@ buildGoModule rec {
rm -rf e2e/
'';
- vendorHash = "sha256-KR+4OZKabshnGpkPq8vtEutvQUE+3jVwAlfAwFVlscU=";
+ vendorHash = "sha256-zAIf5ljy9trJE27RpsK0atPoqNIDUdTn6ywRo0yk/18=";
ldflags = [ "-X github.com/docker/compose/v2/internal.Version=${version}" "-s" "-w" ];
@@ -32,6 +32,7 @@ buildGoModule rec {
meta = with lib; {
description = "Docker CLI plugin to define and run multi-container applications with Docker";
+ mainProgram = "docker-compose";
homepage = "https://github.com/docker/compose";
license = licenses.asl20;
maintainers = with maintainers; [ babariviere ];
diff --git a/pkgs/applications/virtualization/docker/compose_1.nix b/pkgs/applications/virtualization/docker/compose_1.nix
index 54e0d737bf2d..f19d015e9e30 100644
--- a/pkgs/applications/virtualization/docker/compose_1.nix
+++ b/pkgs/applications/virtualization/docker/compose_1.nix
@@ -42,6 +42,7 @@ buildPythonApplication rec {
meta = with lib; {
homepage = "https://docs.docker.com/compose/";
description = "Multi-container orchestration for Docker";
+ mainProgram = "docker-compose";
license = licenses.asl20;
maintainers = with maintainers; [ Frostman ];
};
diff --git a/pkgs/applications/virtualization/docker/default.nix b/pkgs/applications/virtualization/docker/default.nix
index 343b00148055..a82a00fd5412 100644
--- a/pkgs/applications/virtualization/docker/default.nix
+++ b/pkgs/applications/virtualization/docker/default.nix
@@ -120,7 +120,7 @@ rec {
];
postPatch = ''
- patchShebangs hack/make.sh hack/make/
+ patchShebangs hack/make.sh hack/make/ hack/with-go-mod.sh
'';
buildPhase = ''
@@ -279,20 +279,6 @@ rec {
# Get revisions from
# https://github.com/moby/moby/tree/${version}/hack/dockerfile/install/*
- docker_20_10 = callPackage dockerGen rec {
- version = "20.10.26";
- cliRev = "v${version}";
- cliHash = "sha256-EPhsng0kLnweVbC8ZnH0NK1/yHlYSA5Sred4rWJX/Gs=";
- mobyRev = "v${version}";
- mobyHash = "sha256-IJ7m2mQnsLiom0EuZLpuLY6fYEko7rEy35igJv1AY04=";
- runcRev = "v1.1.8";
- runcHash = "sha256-rDJYEc64KW4Qa3Eg2oUjJqIKrg6THb5hxQFFbvb9Zp4=";
- containerdRev = "v1.6.22";
- containerdHash = "sha256-In7OkK3xm7Cz3H1jzG9b4tsZbmo44QCq8pNU+PPy8dY=";
- tiniRev = "v0.19.0";
- tiniHash = "sha256-ZDKu/8yE5G0RYFJdhgmCdN3obJNyRWv6K/Gd17zc1sI=";
- };
-
docker_24 = callPackage dockerGen rec {
version = "24.0.5";
cliRev = "v${version}";
@@ -306,4 +292,18 @@ rec {
tiniRev = "v0.19.0";
tiniHash = "sha256-ZDKu/8yE5G0RYFJdhgmCdN3obJNyRWv6K/Gd17zc1sI=";
};
+
+ docker_25 = callPackage dockerGen rec {
+ version = "25.0.3";
+ cliRev = "v${version}";
+ cliHash = "sha256-Jvb0plV1O/UzrcpzN4zH5OulmTVF+p9UQQQ9xqkiObQ=";
+ mobyRev = "v${version}";
+ mobyHash = "sha256-cDlRVdQNzH/X2SJUYHK1QLUHlKQtSyRYCVbz3wPx1ZM=";
+ runcRev = "v1.1.12";
+ runcHash = "sha256-N77CU5XiGYIdwQNPFyluXjseTeaYuNJ//OsEUS0g/v0=";
+ containerdRev = "v1.7.13";
+ containerdHash = "sha256-y3CYDZbA2QjIn1vyq/p1F1pAVxQHi/0a6hGWZCRWzyk=";
+ tiniRev = "v0.19.0";
+ tiniHash = "sha256-ZDKu/8yE5G0RYFJdhgmCdN3obJNyRWv6K/Gd17zc1sI=";
+ };
}
diff --git a/pkgs/applications/virtualization/docker/gc.nix b/pkgs/applications/virtualization/docker/gc.nix
index 0736516ee7d2..7d45427627fa 100644
--- a/pkgs/applications/virtualization/docker/gc.nix
+++ b/pkgs/applications/virtualization/docker/gc.nix
@@ -25,6 +25,7 @@ stdenv.mkDerivation rec {
meta = {
description = "Docker garbage collection of containers and images";
+ mainProgram = "docker-gc";
license = licenses.asl20;
homepage = "https://github.com/spotify/docker-gc";
maintainers = with maintainers; [offline];
diff --git a/pkgs/applications/virtualization/docker/proxy.nix b/pkgs/applications/virtualization/docker/proxy.nix
index 6038a129e2a1..e4f543524764 100644
--- a/pkgs/applications/virtualization/docker/proxy.nix
+++ b/pkgs/applications/virtualization/docker/proxy.nix
@@ -19,6 +19,7 @@ buildGoPackage rec {
meta = with lib; {
description = "Docker proxy binary to forward traffic between host and containers";
+ mainProgram = "docker-proxy";
license = licenses.asl20;
homepage = "https://github.com/docker/libnetwork";
maintainers = with maintainers; [vdemeester];
diff --git a/pkgs/applications/virtualization/docker/sbom.nix b/pkgs/applications/virtualization/docker/sbom.nix
index 7314eb2029fd..9ab81509b694 100644
--- a/pkgs/applications/virtualization/docker/sbom.nix
+++ b/pkgs/applications/virtualization/docker/sbom.nix
@@ -36,6 +36,7 @@ buildGoModule rec {
meta = with lib; {
description = "Plugin for Docker CLI to support SBOM creation using Syft";
+ mainProgram = "docker-sbom";
homepage = "https://github.com/docker/sbom-cli-plugin";
license = licenses.asl20;
maintainers = with maintainers; [ raboof ];
diff --git a/pkgs/applications/virtualization/ecs-agent/default.nix b/pkgs/applications/virtualization/ecs-agent/default.nix
index 9838ab37c1e2..0edf112d72bc 100644
--- a/pkgs/applications/virtualization/ecs-agent/default.nix
+++ b/pkgs/applications/virtualization/ecs-agent/default.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "amazon-ecs-agent";
- version = "1.81.0";
+ version = "1.82.0";
src = fetchFromGitHub {
rev = "v${version}";
owner = "aws";
repo = pname;
- hash = "sha256-k2YFxKHXNCKMMyBZ4HSo6bvtEAAp4rnzobDYK3Q5aCY=";
+ hash = "sha256-joI2jNfH4++mpReVGO9V3Yc7cRpykc3F166WEGZ09HA=";
};
vendorHash = null;
diff --git a/pkgs/applications/virtualization/firecracker/default.nix b/pkgs/applications/virtualization/firecracker/default.nix
index ee95b55a0b90..d9bab2169623 100644
--- a/pkgs/applications/virtualization/firecracker/default.nix
+++ b/pkgs/applications/virtualization/firecracker/default.nix
@@ -1,7 +1,7 @@
{ fetchurl, lib, stdenv }:
let
- version = "1.5.0";
+ version = "1.6.0";
# nixpkgs-update: no auto update
suffix = {
@@ -23,8 +23,8 @@ stdenv.mkDerivation {
sourceRoot = ".";
src = dlbin {
- x86_64-linux = "sha256-TzNPWcLDKOv12eJ9PHckdJ7tfdlozPoXj2fbdOzHfAk=";
- aarch64-linux = "sha256-cHNMfcoHCBw+BnWx9USny8jyvH97gXCCJW1aKvPXgCs=";
+ x86_64-linux = "sha256-FflAYvWTcigIchVrAaUgg6IRleEtis6eh6fCqrZ5lb8=";
+ aarch64-linux = "sha256-4O0kPMl7RbMjszUNql0OQrl/4or/e8ZCHPngqq0CNxk=";
};
dontConfigure = true;
@@ -54,6 +54,6 @@ stdenv.mkDerivation {
mainProgram = "firecracker";
license = licenses.asl20;
platforms = [ "x86_64-linux" "aarch64-linux" ];
- maintainers = with maintainers; [ thoughtpolice endocrimes ];
+ maintainers = with maintainers; [ thoughtpolice endocrimes qjoly ];
};
}
diff --git a/pkgs/applications/virtualization/imgcrypt/default.nix b/pkgs/applications/virtualization/imgcrypt/default.nix
index 25adabdba0a6..bb703e3a0ac6 100644
--- a/pkgs/applications/virtualization/imgcrypt/default.nix
+++ b/pkgs/applications/virtualization/imgcrypt/default.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "imgcrypt";
- version = "1.1.9";
+ version = "1.1.10";
src = fetchFromGitHub {
owner = "containerd";
repo = pname;
rev = "v${version}";
- hash = "sha256-EStyi6RDK1G6kuaDGumZaHB4OrSrhhx/F5GsLe0amyA=";
+ hash = "sha256-81jfoWHYYenGQFcQI9kk8uPnv6FcyOtcJjpo1ykdtOI=";
};
vendorHash = null;
diff --git a/pkgs/applications/virtualization/kraft/default.nix b/pkgs/applications/virtualization/kraft/default.nix
index 15ddfe4f5307..ca7384cdd19b 100644
--- a/pkgs/applications/virtualization/kraft/default.nix
+++ b/pkgs/applications/virtualization/kraft/default.nix
@@ -7,16 +7,16 @@
buildGoModule rec {
pname = "kraftkit";
- version = "0.7.3";
+ version = "0.7.5";
src = fetchFromGitHub {
owner = "unikraft";
repo = "kraftkit";
rev = "v${version}";
- hash = "sha256-61eH2aFue/qJ7Xmu8ueQvsQ5moVpDkHe9p9bywqRwQY=";
+ hash = "sha256-kuI1RSipPj7e8tsnThAEkL3bpmgAEKSQthubfjtklp0=";
};
- vendorHash = "sha256-4e7g79C6BofnPXPCuquIPfGL7C9TMSdmlIq2HSrz3eY=";
+ vendorHash = "sha256-BPpUBGWzW4jkUgy/2oqvqXBNLmglUVTFA9XuGhUE1zo=";
ldflags = [
"-s"
diff --git a/pkgs/applications/virtualization/lima/bin.nix b/pkgs/applications/virtualization/lima/bin.nix
index 2080ac1e7876..d9d89dfee112 100644
--- a/pkgs/applications/virtualization/lima/bin.nix
+++ b/pkgs/applications/virtualization/lima/bin.nix
@@ -9,31 +9,31 @@
}:
let
- version = "0.19.1";
+ version = "0.20.2";
dist = {
aarch64-darwin = rec {
archSuffix = "Darwin-arm64";
url = "https://github.com/lima-vm/lima/releases/download/v${version}/lima-${version}-${archSuffix}.tar.gz";
- sha256 = "0dfcf3a39782baf1c2ea43cf026f8df0321c671d914c105fbb78de507aa8bda4";
+ sha256 = "f250bed8a20b705f913e382b545641082f278f44687409f4b897d6430df4d735";
};
x86_64-darwin = rec {
archSuffix = "Darwin-x86_64";
url = "https://github.com/lima-vm/lima/releases/download/v${version}/lima-${version}-${archSuffix}.tar.gz";
- sha256 = "ac8827479f66ef1b288b31f164b22f6433faa14c44ce5bbebe09e6e913582479";
+ sha256 = "bec9933254ed80827a6fd38eabe1234b538bdb783f4656a94e120bcaa5689d37";
};
aarch64-linux = rec {
archSuffix = "Linux-aarch64";
url = "https://github.com/lima-vm/lima/releases/download/v${version}/lima-${version}-${archSuffix}.tar.gz";
- sha256 = "c55e57ddbefd9988d0f3676bb873bcc6e0f7b3c3d47a1f07599ee151c5198d96";
+ sha256 = "0b839459aa3adde059577f7d9c3ed1bb4720dbdad798e4ffe00af5d86afa556e";
};
x86_64-linux = rec {
archSuffix = "Linux-x86_64";
url = "https://github.com/lima-vm/lima/releases/download/v${version}/lima-${version}-${archSuffix}.tar.gz";
- sha256 = "7d18b1716aae14bf98d6ea93a703e8877b0c3142f7ba2e87401d47d5d0fe3ff1";
+ sha256 = "f9af6bd42e48a803fc1aeb5214bdf511582a56701a1c058ce4e66d871db65dd8";
};
};
in
diff --git a/pkgs/applications/virtualization/lima/default.nix b/pkgs/applications/virtualization/lima/default.nix
index 53c6eb55d564..a74027650960 100644
--- a/pkgs/applications/virtualization/lima/default.nix
+++ b/pkgs/applications/virtualization/lima/default.nix
@@ -11,16 +11,16 @@
buildGoModule rec {
pname = "lima";
- version = "0.19.1";
+ version = "0.20.2";
src = fetchFromGitHub {
owner = "lima-vm";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-0EKVWXNxOnz7j+f1ExkwQW69khhazj2Uz7RBAvwSjmQ=";
+ sha256 = "sha256-xFiCGuCUJUnWN5DBfwjhBgntDfod4CWpXqJ3dbrDUSQ=";
};
- vendorHash = "sha256-SfN4gj5nC9TEVD7aogsUv1um5w5Hvdy1eOSSNjGmnEw=";
+ vendorHash = "sha256-wd7YiEo4Gy2kHF7aCRoNGlbOQUxqQnKqP3znzMqS2PI=";
nativeBuildInputs = [ makeWrapper installShellFiles ]
++ lib.optionals stdenv.isDarwin [ xcbuild.xcrun sigtool ];
diff --git a/pkgs/applications/virtualization/nixpacks/default.nix b/pkgs/applications/virtualization/nixpacks/default.nix
index 1fd6a7917a11..acf7456c76ab 100644
--- a/pkgs/applications/virtualization/nixpacks/default.nix
+++ b/pkgs/applications/virtualization/nixpacks/default.nix
@@ -2,16 +2,16 @@
rustPlatform.buildRustPackage rec {
pname = "nixpacks";
- version = "1.21.1";
+ version = "1.21.2";
src = fetchFromGitHub {
owner = "railwayapp";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-7mW/75Bkss7mtYXfnwKH0YHASv6YAxuM8Ww4ur7VwpU=";
+ sha256 = "sha256-GY5fwmwr2FAJB9SjTaghlC4GD6ECnect21VInTXseRE=";
};
- cargoHash = "sha256-uo9cMVBRv9HEgICIpJomRKRInDXqnDaGCqnKIsBImBM=";
+ cargoHash = "sha256-kXfNWAloMwpykv6zJS5g6ng8RGn+NBNgYJmUg/I7dBg=";
# skip test due FHS dependency
doCheck = false;
diff --git a/pkgs/applications/virtualization/podman-tui/default.nix b/pkgs/applications/virtualization/podman-tui/default.nix
index f48a4394f7b3..d6d80461c674 100644
--- a/pkgs/applications/virtualization/podman-tui/default.nix
+++ b/pkgs/applications/virtualization/podman-tui/default.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "podman-tui";
- version = "0.17.0";
+ version = "0.18.0";
src = fetchFromGitHub {
owner = "containers";
repo = "podman-tui";
rev = "v${version}";
- hash = "sha256-nPSUpGLSuIZMzgvmZtCZ3nqT5b1+0VkCmzPnUMLYkss=";
+ hash = "sha256-T2hiCRoZqdbcB36Tpy597j7Hc1yeR2MijQbuheENfuA=";
};
vendorHash = null;
diff --git a/pkgs/applications/virtualization/podman/default.nix b/pkgs/applications/virtualization/podman/default.nix
index 30a259d12540..b3ea9bd94a92 100644
--- a/pkgs/applications/virtualization/podman/default.nix
+++ b/pkgs/applications/virtualization/podman/default.nix
@@ -155,5 +155,6 @@ buildGoModule rec {
changelog = "https://github.com/containers/podman/blob/v${version}/RELEASE_NOTES.md";
license = licenses.asl20;
maintainers = with maintainers; [ marsam ] ++ teams.podman.members;
+ mainProgram = "podman";
};
}
diff --git a/pkgs/applications/virtualization/qemu/default.nix b/pkgs/applications/virtualization/qemu/default.nix
index f241a553f864..e7da99d561f6 100644
--- a/pkgs/applications/virtualization/qemu/default.nix
+++ b/pkgs/applications/virtualization/qemu/default.nix
@@ -55,11 +55,11 @@ stdenv.mkDerivation (finalAttrs: {
+ lib.optionalString hostCpuOnly "-host-cpu-only"
+ lib.optionalString nixosTestRunner "-for-vm-tests"
+ lib.optionalString toolsOnly "-utils";
- version = "8.2.1";
+ version = "8.2.2";
src = fetchurl {
url = "https://download.qemu.org/qemu-${finalAttrs.version}.tar.xz";
- hash = "sha256-hWJ1EVgXX50YfF8itXVVq+PIcPAyXIztEsNMbZh3Kb4=";
+ hash = "sha256-hHNGwbgsGlSyw49u29hVSe3rF0MLfU09oSYg4pYrxPM=";
};
depsBuildBuild = [ buildPackages.stdenv.cc ]
diff --git a/pkgs/applications/virtualization/rvvm/default.nix b/pkgs/applications/virtualization/rvvm/default.nix
index b6eaea8d7597..718446d1ce1f 100644
--- a/pkgs/applications/virtualization/rvvm/default.nix
+++ b/pkgs/applications/virtualization/rvvm/default.nix
@@ -1,21 +1,45 @@
-{ lib, stdenv, fetchFromGitHub, SDL_compat, libX11, libXext }:
+{ lib
+, stdenv
+, fetchFromGitHub
+
+, SDL2
+
+, libX11
+, libXext
+
+, guiBackend ? "sdl"
+
+, enableSDL ? guiBackend == "sdl"
+, enableX11 ? guiBackend == "x11"
+}:
+
+assert lib.assertMsg (builtins.elem guiBackend ["sdl" "x11" "none"]) "Unsupported GUI backend";
+assert lib.assertMsg (!(enableSDL && enableX11)) "RVVM can have only one GUI backend at a time";
+assert lib.assertMsg (stdenv.isDarwin -> !enableX11) "macOS supports only SDL GUI backend";
stdenv.mkDerivation rec {
pname = "rvvm";
- version = "0.5";
+ version = "0.6";
src = fetchFromGitHub {
owner = "LekKit";
repo = "RVVM";
rev = "v${version}";
- sha256 = "sha256-1wAKijRYB0FGBe4cSHUynkO4ePVG4QvVIgSoWzNbqtE=";
+ sha256 = "sha256-5nSlKyWDAx0EeKFzzwP5+99XuJz9BHXEF1WNkRMLa9U=";
};
- buildInputs = if stdenv.isDarwin then [ SDL_compat ] else [ libX11 libXext ];
+ buildInputs = []
+ ++ lib.optionals enableSDL [ SDL2 ]
+ ++ lib.optionals enableX11 [ libX11 libXext ];
+
+ enableParallelBuilding = true;
buildFlags = [ "all" "lib" ];
makeFlags = [ "PREFIX=$(out)" ]
+ ++ lib.optional enableSDL "USE_SDL=2" # Use SDL2 instead of SDL1
+ ++ lib.optional (!enableSDL && !enableX11) "USE_FB=0"
+
# work around https://github.com/NixOS/nixpkgs/issues/19098
++ lib.optional (stdenv.cc.isClang && stdenv.isDarwin) "CFLAGS=-fno-lto";
@@ -24,7 +48,7 @@ stdenv.mkDerivation rec {
description = "The RISC-V Virtual Machine";
license = with licenses; [ gpl3 /* or */ mpl20 ];
platforms = platforms.linux ++ platforms.darwin;
- maintainers = with maintainers; [ ];
+ maintainers = with maintainers; [ kamillaova ];
mainProgram = "rvvm";
};
}
diff --git a/pkgs/applications/virtualization/singularity/generic.nix b/pkgs/applications/virtualization/singularity/generic.nix
index 85992e2abce9..d16b88adece3 100644
--- a/pkgs/applications/virtualization/singularity/generic.nix
+++ b/pkgs/applications/virtualization/singularity/generic.nix
@@ -300,7 +300,7 @@ in
let
unwrapped = writeShellScriptBin "apptainer-cuda-saxpy"
''
- ${lib.getExe finalAttrs.finalPackage} exec --nv $@ ${finalAttrs.passthru.tests.image-saxpy} saxpy
+ ${lib.getExe finalAttrs.finalPackage} exec --nv $@ ${finalAttrs.passthru.gpuChecks.image-saxpy} saxpy
'';
in
runCommand "run-apptainer-cuda-saxpy"
diff --git a/pkgs/applications/virtualization/singularity/packages.nix b/pkgs/applications/virtualization/singularity/packages.nix
index 6d71d1abe16e..efa77b4209f3 100644
--- a/pkgs/applications/virtualization/singularity/packages.nix
+++ b/pkgs/applications/virtualization/singularity/packages.nix
@@ -7,20 +7,20 @@ let
apptainer = callPackage
(import ./generic.nix rec {
pname = "apptainer";
- version = "1.2.5";
+ version = "1.3.0";
projectName = "apptainer";
src = fetchFromGitHub {
owner = "apptainer";
repo = "apptainer";
rev = "refs/tags/v${version}";
- hash = "sha256-1XuqyNXyYrmIfqp8450z8+qET15hKVfj2v2iN9QPmDk=";
+ hash = "sha256-YqPPTs7cIiMbOc8jOwr8KgUBVu2pTPlSL0Vvw/1n4co=";
};
# Update by running
# nix-prefetch -E "{ sha256 }: ((import ./. { }).apptainer.override { vendorHash = sha256; }).goModules"
# at the root directory of the Nixpkgs repository
- vendorHash = "sha256-Y0gOqg+WGgssXGEYHc9IFwiIpkb3hetlQI89vseAQPc=";
+ vendorHash = "sha256-lWo6ic3Tdv1UInA5MtEaAgiheCin2JSh4nmheUooENY=";
extraDescription = " (previously known as Singularity)";
extraMeta.homepage = "https://apptainer.org";
@@ -38,20 +38,20 @@ let
singularity = callPackage
(import ./generic.nix rec {
pname = "singularity-ce";
- version = "4.1.1";
+ version = "4.1.2";
projectName = "singularity";
src = fetchFromGitHub {
owner = "sylabs";
repo = "singularity";
rev = "refs/tags/v${version}";
- hash = "sha256-BKuo+W75wsK8HFB+5CtKPqR4nDw167pAAiuISOjML7k=";
+ hash = "sha256-/KTDdkCMkZ5hO+VYHzw9vB8FDWxg7PS1yb2waRJQngY=";
};
# Update by running
# nix-prefetch -E "{ sha256 }: ((import ./. { }).singularity.override { vendorHash = sha256; }).goModules"
# at the root directory of the Nixpkgs repository
- vendorHash = "sha256-Hg32YtXUFQI7OslW3E3QpxCiypwaK8BDAl3YAM6kMnw=";
+ vendorHash = "sha256-4Nxj2PzZmFdvouWKyXLFDk8iuRhFuvyPW/+VRTw75Zw=";
# Do not build conmon and squashfuse from the Git submodule sources,
# Use Nixpkgs provided version
diff --git a/pkgs/applications/virtualization/spike/default.nix b/pkgs/applications/virtualization/spike/default.nix
index df967339f8f0..29597860ecc7 100644
--- a/pkgs/applications/virtualization/spike/default.nix
+++ b/pkgs/applications/virtualization/spike/default.nix
@@ -1,4 +1,4 @@
-{ lib, stdenv, fetchFromGitHub, dtc, pkgsCross }:
+{ lib, stdenv, fetchFromGitHub, fetchpatch, dtc, pkgsCross }:
stdenv.mkDerivation rec {
pname = "spike";
@@ -11,6 +11,14 @@ stdenv.mkDerivation rec {
sha256 = "sha256-4D2Fezej0ioOOupw3kgMT5VLs+/jXQjwvek6v0AVMzI=";
};
+ patches = [
+ (fetchpatch {
+ name = "fesvr-fix-compilation-with-gcc-13.patch";
+ url = "https://github.com/riscv-software-src/riscv-isa-sim/commit/0a7bb5403d0290cea8b2356179d92e4c61ffd51d.patch";
+ hash = "sha256-JUMTbGawvLkoOWKkruzLzUFQytVR3wqTlGu/eegRFEE=";
+ })
+ ];
+
nativeBuildInputs = [ dtc ];
enableParallelBuilding = true;
diff --git a/pkgs/applications/virtualization/tart/default.nix b/pkgs/applications/virtualization/tart/default.nix
index 29f84ba691d7..fd46baba6fce 100644
--- a/pkgs/applications/virtualization/tart/default.nix
+++ b/pkgs/applications/virtualization/tart/default.nix
@@ -10,11 +10,11 @@
}:
stdenvNoCC.mkDerivation (finalAttrs: {
pname = "tart";
- version = "2.4.3";
+ version = "2.6.0";
src = fetchurl {
- url = "https://github.com/cirruslabs/tart/releases/download/${finalAttrs.version}/tart.tar.gz";
- sha256 = "sha256-cXisvF+W/Uxe3Q0ZRhkvF13UWXxbsIQSzG172lzwruo=";
+ url = "https://github.com/cirruslabs/tart/releases/download/${finalAttrs.version}/tart-arm64.tar.gz";
+ hash = "sha256-QtVqgmjYpLAhFibW1DIVWNYiOjHB1X/YY6zRVB+1soA=";
};
sourceRoot = ".";
diff --git a/pkgs/applications/virtualization/virtualbox/default.nix b/pkgs/applications/virtualization/virtualbox/default.nix
index 7b9fc52ca17f..df2094c3b1fc 100644
--- a/pkgs/applications/virtualization/virtualbox/default.nix
+++ b/pkgs/applications/virtualization/virtualbox/default.nix
@@ -1,4 +1,4 @@
-{ config, stdenv, fetchurl, lib, acpica-tools, dev86, pam, libxslt, libxml2, wrapQtAppsHook
+{ config, stdenv, fetchurl, fetchpatch, lib, acpica-tools, dev86, pam, libxslt, libxml2, wrapQtAppsHook
, libX11, xorgproto, libXext, libXcursor, libXmu, libIDL, SDL2, libcap, libGL, libGLU
, libpng, glib, lvm2, libXrandr, libXinerama, libopus, libtpms, qtbase, qtx11extras
, qttools, qtsvg, qtwayland, pkg-config, which, docbook_xsl, docbook_xml_dtd_43
@@ -17,9 +17,13 @@
, headless ? false
, enable32bitGuests ? true
, enableWebService ? false
+, enableKvm ? false
, extraConfigureFlags ? ""
}:
+# See https://github.com/cyberus-technology/virtualbox-kvm/issues/12
+assert enableKvm -> !enableHardening;
+
with lib;
let
@@ -27,6 +31,10 @@ let
# Use maintainers/scripts/update.nix to update the version and all related hashes or
# change the hashes in extpack.nix and guest-additions/default.nix as well manually.
version = "7.0.14";
+
+ # The KVM build is not compatible to VirtualBox's kernel modules. So don't export
+ # modsrc at all.
+ withModsrc = !enableKvm;
in stdenv.mkDerivation {
pname = "virtualbox";
inherit version;
@@ -36,7 +44,7 @@ in stdenv.mkDerivation {
sha256 = "45860d834804a24a163c1bb264a6b1cb802a5bc7ce7e01128072f8d6a4617ca9";
};
- outputs = [ "out" "modsrc" ];
+ outputs = [ "out" ] ++ optional withModsrc "modsrc";
nativeBuildInputs = [ pkg-config which docbook_xsl docbook_xml_dtd_43 yasm glslang ]
++ optional (!headless) wrapQtAppsHook;
@@ -85,7 +93,13 @@ in stdenv.mkDerivation {
patches =
optional enableHardening ./hardened.patch
# Since VirtualBox 7.0.8, VBoxSDL requires SDL2, but the build framework uses SDL1
- ++ optional (!headless) ./fix-sdl.patch
+ ++ optionals (!headless) [ ./fix-sdl.patch
+ # No update patch disables check for update function
+ # https://bugs.launchpad.net/ubuntu/+source/virtualbox-ose/+bug/272212
+ (fetchpatch {
+ url = "https://salsa.debian.org/pkg-virtualbox-team/virtualbox/-/raw/debian/${version}-dfsg-1/debian/patches/16-no-update.patch";
+ hash = "sha256-UJHpuB6QB/BbxJorlqZXUF12lgq8gbLMRHRMsbyqRpY=";
+ })]
++ [ ./extra_symbols.patch ]
# When hardening is enabled, we cannot use wrapQtApp to ensure that VirtualBoxVM sees
# the correct environment variables needed for Qt to work, specifically QT_PLUGIN_PATH.
@@ -97,7 +111,17 @@ in stdenv.mkDerivation {
++ optional (!headless && enableHardening) (substituteAll {
src = ./qt-env-vars.patch;
qtPluginPath = "${qtbase.bin}/${qtbase.qtPluginPrefix}:${qtsvg.bin}/${qtbase.qtPluginPrefix}:${qtwayland.bin}/${qtbase.qtPluginPrefix}";
- })
+ })
+ # While the KVM patch should not break any other behavior if --with-kvm is not specified,
+ # we don't take any chances and only apply it if people actually want to use KVM support.
+ ++ optional enableKvm (fetchpatch
+ (let
+ patchVersion = "20240226";
+ in {
+ name = "virtualbox-${version}-kvm-dev-${patchVersion}.patch";
+ url = "https://github.com/cyberus-technology/virtualbox-kvm/releases/download/dev-${patchVersion}/virtualbox-${version}-kvm-dev-${patchVersion}.patch";
+ hash = "sha256-3YT1ZN/TwoNWNb2eqOcPF8GTrVGfOPaPb8vpGoPNISY=";
+ }))
++ [
./qt-dependency-paths.patch
# https://github.com/NixOS/nixpkgs/issues/123851
@@ -159,6 +183,7 @@ in stdenv.mkDerivation {
${optionalString (!enable32bitGuests) "--disable-vmmraw"} \
${optionalString enableWebService "--enable-webservice"} \
${optionalString (open-watcom-bin != null) "--with-ow-dir=${open-watcom-bin}"} \
+ ${optionalString (enableKvm) "--with-kvm"} \
${extraConfigureFlags} \
--disable-kmods
sed -e 's@PKG_CONFIG_PATH=.*@PKG_CONFIG_PATH=${libIDL}/lib/pkgconfig:${glib.dev}/lib/pkgconfig ${libIDL}/bin/libIDL-config-2@' \
@@ -214,9 +239,13 @@ in stdenv.mkDerivation {
mkdir -p $out/share/icons/hicolor/$size/apps
ln -s $libexec/icons/$size/*.png $out/share/icons/hicolor/$size/apps
done
+ # Translation
+ ln -sv $libexec/nls "$out/share/virtualbox"
''}
- cp -rv out/linux.*/${buildType}/bin/src "$modsrc"
+ ${optionalString withModsrc ''
+ cp -rv out/linux.*/${buildType}/bin/src "$modsrc"
+ ''}
mkdir -p "$out/share/virtualbox"
cp -rv src/VBox/Main/UnattendedTemplates "$out/share/virtualbox"
@@ -252,7 +281,7 @@ in stdenv.mkDerivation {
];
license = licenses.gpl2;
homepage = "https://www.virtualbox.org/";
- maintainers = with maintainers; [ sander ];
+ maintainers = with maintainers; [ sander friedrichaltheide ];
platforms = [ "x86_64-linux" ];
mainProgram = "VirtualBox";
};
diff --git a/pkgs/applications/virtualization/virtualbox/extpack.nix b/pkgs/applications/virtualization/virtualbox/extpack.nix
index 1831d42a129e..fad5aa6e10fb 100644
--- a/pkgs/applications/virtualization/virtualbox/extpack.nix
+++ b/pkgs/applications/virtualization/virtualbox/extpack.nix
@@ -19,7 +19,7 @@ fetchurl rec {
description = "Oracle Extension pack for VirtualBox";
license = licenses.virtualbox-puel;
homepage = "https://www.virtualbox.org/";
- maintainers = with maintainers; [ sander ];
+ maintainers = with maintainers; [ sander friedrichaltheide ];
platforms = [ "x86_64-linux" ];
};
}
diff --git a/pkgs/applications/virtualization/virtualbox/guest-additions/default.nix b/pkgs/applications/virtualization/virtualbox/guest-additions/default.nix
index 6f9e3cab4f59..a1c2c8d09e24 100644
--- a/pkgs/applications/virtualization/virtualbox/guest-additions/default.nix
+++ b/pkgs/applications/virtualization/virtualbox/guest-additions/default.nix
@@ -118,8 +118,8 @@ in stdenv.mkDerivation rec {
#ln -s $out/lib/VBoxOGL.so $out/lib/dri/vboxvideo_dri.so
# Install desktop file
- mkdir -p $out/share/autostart
- cp -v other/vboxclient.desktop $out/share/autostart
+ mkdir -p $out/etc/xdg/autostart
+ cp -v other/vboxclient.desktop $out/etc/xdg/autostart
# Install Xorg drivers
mkdir -p $out/lib/xorg/modules/{drivers,input}
@@ -146,7 +146,7 @@ in stdenv.mkDerivation rec {
'';
sourceProvenance = with lib.sourceTypes; [ binaryNativeCode ];
license = "GPL";
- maintainers = [ lib.maintainers.sander ];
+ maintainers = [ lib.maintainers.sander lib.maintainers.friedrichaltheide ];
platforms = [ "i686-linux" "x86_64-linux" ];
broken = stdenv.hostPlatform.is32bit && (kernel.kernelAtLeast "5.10");
};
diff --git a/pkgs/applications/virtualization/vmware-workstation/default.nix b/pkgs/applications/virtualization/vmware-workstation/default.nix
index 8fe79b6e237c..93da1b1f6805 100644
--- a/pkgs/applications/virtualization/vmware-workstation/default.nix
+++ b/pkgs/applications/virtualization/vmware-workstation/default.nix
@@ -32,14 +32,14 @@
let
# macOS - versions
- fusionVersion = "13.0.2";
- fusionBuild = "21581413";
- unlockerVersion = "3.0.4";
+ fusionVersion = "13.5.1";
+ fusionBuild = "23298085";
+ unlockerVersion = "3.0.5";
# macOS - ISOs
darwinIsoSrc = fetchurl {
url = "https://softwareupdate.vmware.com/cds/vmw-desktop/fusion/${fusionVersion}/${fusionBuild}/universal/core/com.vmware.fusion.zip.tar";
- sha256 = "sha256-8IaEQn1+e+WtjRX9Aopbi6tVTNt9RVyGrpaARtVH6j0=";
+ sha256 = "sha256-bn6hoicby2YVj1pZTBzBhabNhKefzVQTm5vIrdTO2K4=";
};
# macOS - Unlocker
@@ -47,7 +47,7 @@ let
owner = "paolo-projects";
repo = "unlocker";
rev = "${unlockerVersion}";
- sha256 = "sha256-kpvrRiiygfjQni8z+ju9mPBVqy2gs08Wj4cHxE9eorQ=";
+ sha256 = "sha256-JSEW1gqQuLGRkathlwZU/TnG6dL/xWKW4//SfE+kO0A=";
};
gdbm3 = gdbm.overrideAttrs (old: rec {
@@ -71,8 +71,8 @@ let
in
stdenv.mkDerivation rec {
pname = "vmware-workstation";
- version = "17.0.2";
- build = "21581411";
+ version = "17.5.1";
+ build = "23298084";
buildInputs = [
libxslt
@@ -101,7 +101,7 @@ stdenv.mkDerivation rec {
src = fetchurl {
url = "https://download3.vmware.com/software/WKST-${builtins.replaceStrings ["."] [""] version}-LX/VMware-Workstation-Full-${version}-${build}.x86_64.bundle";
- sha256 = "sha256-9ONh+uvL4YGNGxbpPX1mWO8P4oKPUpwzTsKKBJNxHMc=";
+ sha256 = "sha256-qmC3zvKoes77z3x6UkLHsJ17kQrL1a/rxe9mF+UMdJY=";
};
unpackPhase = ''
@@ -255,18 +255,16 @@ stdenv.mkDerivation rec {
unpacked="unpacked/vmware-network-editor"
cp -r $unpacked/lib $out/lib/vmware/
- ## VMware Tools + Virtual Printer
- echo "Installing VMware Tools + Virtual Printer"
+ ## VMware Tools
+ echo "Installing VMware Tools"
mkdir -p $out/lib/vmware/isoimages/
- cp unpacked/vmware-tools-linuxPreGlibc25/linuxPreGlibc25.iso \
- unpacked/vmware-tools-windows/windows.iso \
- unpacked/vmware-tools-winPreVista/winPreVista.iso \
- unpacked/vmware-virtual-printer/VirtualPrinter-Linux.iso \
- unpacked/vmware-virtual-printer/VirtualPrinter-Windows.iso \
- unpacked/vmware-tools-winPre2k/winPre2k.iso \
- unpacked/vmware-tools-linux/linux.iso \
+ cp unpacked/vmware-tools-linux/linux.iso \
+ unpacked/vmware-tools-linuxPreGlibc25/linuxPreGlibc25.iso \
unpacked/vmware-tools-netware/netware.iso \
unpacked/vmware-tools-solaris/solaris.iso \
+ unpacked/vmware-tools-winPre2k/winPre2k.iso \
+ unpacked/vmware-tools-winPreVista/winPreVista.iso \
+ unpacked/vmware-tools-windows/windows.iso \
$out/lib/vmware/isoimages/
${lib.optionalString enableMacOSGuests ''
@@ -281,17 +279,10 @@ stdenv.mkDerivation rec {
echo "Installing VMware Player Application"
unpacked="unpacked/vmware-player-app"
cp -r $unpacked/lib/* $out/lib/vmware/
- cp -r $unpacked/etc/* $out/etc/
cp -r $unpacked/share/* $out/share/
cp -r $unpacked/bin/* $out/bin/
cp -r $unpacked/doc/* $out/share/doc/ # Licences
- mkdir -p $out/etc/thnuclnt
- cp -r $unpacked/extras/.thnumod $out/etc/thnuclnt/
-
- mkdir -p $out/lib/cups/filter
- cp -r $unpacked/extras/thnucups $out/lib/cups/filter/
-
for target in "vmplayer" "vmware-enter-serial" "vmware-setup-helper" "licenseTool" "vmware-mount" "vmware-fuseUI" "vmware-app-control" "vmware-zenity"
do
ln -s $out/lib/vmware/bin/appLoader $out/lib/vmware/bin/$target
@@ -395,6 +386,6 @@ stdenv.mkDerivation rec {
sourceProvenance = with sourceTypes; [ binaryNativeCode ];
license = licenses.unfree;
platforms = [ "x86_64-linux" ];
- maintainers = with maintainers; [ cawilliamson deinferno ];
+ maintainers = with maintainers; [ cawilliamson deinferno vifino ];
};
}
diff --git a/pkgs/applications/virtualization/xen/4.15.nix b/pkgs/applications/virtualization/xen/4.15.nix
index 5cc81fc0ab85..d4905088ae1e 100644
--- a/pkgs/applications/virtualization/xen/4.15.nix
+++ b/pkgs/applications/virtualization/xen/4.15.nix
@@ -125,7 +125,7 @@ callPackage (import ./generic.nix (rec {
++ optional (withSeabios) "--with-system-seabios=${seabios}/share/seabios"
++ optional (!withInternalSeabios && !withSeabios) "--disable-seabios"
- ++ optional (withOVMF) "--with-system-ovmf=${OVMF.fd}/FV/OVMF.fd"
+ ++ optional (withOVMF) "--with-system-ovmf=${OVMF.firmware}"
++ optional (withInternalOVMF) "--enable-ovmf";
NIX_CFLAGS_COMPILE = toString [
diff --git a/pkgs/applications/window-managers/dk/default.nix b/pkgs/applications/window-managers/dk/default.nix
index 761f9029c9ee..09cddc31a748 100644
--- a/pkgs/applications/window-managers/dk/default.nix
+++ b/pkgs/applications/window-managers/dk/default.nix
@@ -9,13 +9,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "dk";
- version = "1.9";
+ version = "2.0";
src = fetchFromBitbucket {
owner = "natemaia";
repo = "dk";
rev = "v${finalAttrs.version}";
- hash = "sha256-OodD2z9C4oGTK6ynAXRlEZSzzdzIkVjmq5vLdUcht1U=";
+ hash = "sha256-wuEsfzy4L40tL/Lb5R1jMFa8UAvAqkI3iEd//D7lxGY=";
};
buildInputs = [
diff --git a/pkgs/applications/window-managers/dwl/default.nix b/pkgs/applications/window-managers/dwl/default.nix
index 0af274265bff..68815952b17f 100644
--- a/pkgs/applications/window-managers/dwl/default.nix
+++ b/pkgs/applications/window-managers/dwl/default.nix
@@ -1,6 +1,6 @@
{ lib
, stdenv
-, fetchFromGitHub
+, fetchFromGitea
, installShellFiles
, libX11
, libinput
@@ -24,8 +24,9 @@ stdenv.mkDerivation (finalAttrs: {
pname = "dwl";
version = "0.5";
- src = fetchFromGitHub {
- owner = "djpohly";
+ src = fetchFromGitea {
+ domain = "codeberg.org";
+ owner = "dwl";
repo = "dwl";
rev = "v${finalAttrs.version}";
hash = "sha256-U/vqGE1dJKgEGTfPMw02z5KJbZLWY1vwDJWnJxT8urM=";
diff --git a/pkgs/applications/window-managers/evilwm/default.nix b/pkgs/applications/window-managers/evilwm/default.nix
index a0dbb3660d31..017a1ab0fe95 100644
--- a/pkgs/applications/window-managers/evilwm/default.nix
+++ b/pkgs/applications/window-managers/evilwm/default.nix
@@ -11,11 +11,11 @@
stdenv.mkDerivation rec {
pname = "evilwm";
- version = "1.4.2";
+ version = "1.4.3";
src = fetchurl {
url = "http://www.6809.org.uk/evilwm/evilwm-${version}.tar.gz";
- sha256 = "sha256-QhLW2QywBbLMiIFLyFN3NrSVCrWCZLu2W4gHrGO9xLk=";
+ sha256 = "sha256-1ZRbILEskdskEvrA29o/ucPsjeu44bEJg4mSsrG75dQ=";
};
buildInputs = [
diff --git a/pkgs/applications/window-managers/eww/default.nix b/pkgs/applications/window-managers/eww/default.nix
index 0479f1fe259b..b245941fc7d4 100644
--- a/pkgs/applications/window-managers/eww/default.nix
+++ b/pkgs/applications/window-managers/eww/default.nix
@@ -5,32 +5,26 @@
, wrapGAppsHook
, gtk3
, librsvg
-, withWayland ? false
, gtk-layer-shell
, stdenv
}:
rustPlatform.buildRustPackage rec {
pname = "eww";
- version = "unstable-2023-08-18";
+ version = "0.5.0";
src = fetchFromGitHub {
owner = "elkowar";
repo = "eww";
- rev = "a9a35c1804d72ef92e04ee71555bd9e5a08fa17e";
- hash = "sha256-GEysmNDm+olt1WXHzRwb4ZLifkXmeP5+APAN3b81/Og=";
+ rev = "v${version}";
+ hash = "sha256-HBBz1NDtj2TnDK5ghDLRrAOwHXDZlzclvVscYnmKGck=";
};
- cargoHash = "sha256-4yeu5AgleZMOLKNynGMd0XuyZxyyZ+RmzNtuJiNPN8g=";
+ cargoHash = "sha256-IirFE714NZmppLjwbWk6fxcmRXCUFzB4oxOxBvmYu5U=";
nativeBuildInputs = [ pkg-config wrapGAppsHook ];
- buildInputs = [ gtk3 librsvg ] ++ lib.optional withWayland gtk-layer-shell;
-
- buildNoDefaultFeatures = true;
- buildFeatures = [
- (if withWayland then "wayland" else "x11")
- ];
+ buildInputs = [ gtk3 librsvg gtk-layer-shell ];
cargoBuildFlags = [ "--bin" "eww" ];
@@ -43,7 +37,7 @@ rustPlatform.buildRustPackage rec {
description = "ElKowars wacky widgets";
homepage = "https://github.com/elkowar/eww";
license = licenses.mit;
- maintainers = with maintainers; [ figsoda lom ];
+ maintainers = with maintainers; [ figsoda lom coffeeispower ];
mainProgram = "eww";
broken = stdenv.isDarwin;
};
diff --git a/pkgs/applications/window-managers/gamescope/default.nix b/pkgs/applications/window-managers/gamescope/default.nix
index 2326d686c2a2..a0dd62ab9494 100644
--- a/pkgs/applications/window-managers/gamescope/default.nix
+++ b/pkgs/applications/window-managers/gamescope/default.nix
@@ -13,6 +13,7 @@
, glm
, gbenchmark
, libcap
+, libavif
, SDL2
, pipewire
, pixman
@@ -26,6 +27,7 @@
, libdisplay-info
, lib
, makeBinaryWrapper
+, nix-update-script
, enableExecutable ? true
, enableWsi ? true
}:
@@ -39,14 +41,14 @@ let
in
stdenv.mkDerivation (finalAttrs: {
pname = "gamescope";
- version = "3.13.19";
+ version = "3.14.2";
src = fetchFromGitHub {
owner = "ValveSoftware";
repo = "gamescope";
rev = "refs/tags/${finalAttrs.version}";
fetchSubmodules = true;
- hash = "sha256-WKQgVbuHvTbZnvTU5imV35AKZ4AF0EDsdESBZwVH7+M=";
+ hash = "sha256-Ym1kl9naAm1MGlxCk32ssvfiOlstHiZPy7Ga8EZegus=";
};
patches = [
@@ -108,6 +110,7 @@ stdenv.mkDerivation (finalAttrs: {
xorg.libXres
xorg.libXtst
xorg.libXxf86vm
+ libavif
libdrm
libliftoff
SDL2
@@ -131,6 +134,8 @@ stdenv.mkDerivation (finalAttrs: {
cp -r ${joshShaders}/* $out/share/gamescope/reshade/
'';
+ passthru.updateScript = nix-update-script {};
+
meta = with lib; {
description = "SteamOS session compositing window manager";
homepage = "https://github.com/ValveSoftware/gamescope";
diff --git a/pkgs/applications/window-managers/hyprwm/hyprland/default.nix b/pkgs/applications/window-managers/hyprwm/hyprland/default.nix
index 92694db761a4..3db57a6b8dd2 100644
--- a/pkgs/applications/window-managers/hyprwm/hyprland/default.nix
+++ b/pkgs/applications/window-managers/hyprwm/hyprland/default.nix
@@ -9,6 +9,7 @@
, cairo
, git
, hyprland-protocols
+, hyprlang
, jq
, libGL
, libdrm
@@ -31,7 +32,7 @@
, debug ? false
, enableXWayland ? true
, legacyRenderer ? false
-, withSystemd ? true
+, withSystemd ? lib.meta.availableOn stdenv.hostPlatform systemd
, wrapRuntimeDeps ? true
# deprecated flags
, nvidiaPatches ? false
@@ -43,13 +44,13 @@ assert lib.assertMsg (!enableNvidiaPatches) "The option `enableNvidiaPatches` ha
assert lib.assertMsg (!hidpiXWayland) "The option `hidpiXWayland` has been removed. Please refer https://wiki.hyprland.org/Configuring/XWayland";
stdenv.mkDerivation (finalAttrs: {
pname = "hyprland" + lib.optionalString debug "-debug";
- version = "0.35.0";
+ version = "0.36.0";
src = fetchFromGitHub {
owner = "hyprwm";
repo = finalAttrs.pname;
rev = "v${finalAttrs.version}";
- hash = "sha256-dU5m6Cd4+WQZal2ICDVf1kww/dNzo1YUWRxWeCctEig=";
+ hash = "sha256-oZe4k6jtO/0govmERGcbeyvE9EfTvXY5bnyIs6AsL9U=";
};
patches = [
@@ -92,6 +93,7 @@ stdenv.mkDerivation (finalAttrs: {
cairo
git
hyprland-protocols
+ hyprlang
libGL
libdrm
libinput
@@ -116,10 +118,10 @@ stdenv.mkDerivation (finalAttrs: {
mesonAutoFeatures = "disabled";
- mesonFlags = builtins.concatLists [
- (lib.optional enableXWayland "-Dxwayland=enabled")
- (lib.optional legacyRenderer "-Dlegacy_renderer=enabled")
- (lib.optional withSystemd "-Dsystemd=enabled")
+ mesonFlags = [
+ (lib.mesonEnable "xwayland" enableXWayland)
+ (lib.mesonEnable "legacy_renderer" legacyRenderer)
+ (lib.mesonEnable "systemd" withSystemd)
];
postInstall = ''
diff --git a/pkgs/applications/window-managers/hyprwm/hyprland/plugins.nix b/pkgs/applications/window-managers/hyprwm/hyprland/plugins.nix
index 71ac28ca749d..1aef74294bd5 100644
--- a/pkgs/applications/window-managers/hyprwm/hyprland/plugins.nix
+++ b/pkgs/applications/window-managers/hyprwm/hyprland/plugins.nix
@@ -5,7 +5,7 @@
, hyprland
}:
let
- mkHyprlandPlugin =
+ mkHyprlandPlugin = hyprland:
args@{ pluginName, ... }:
stdenv.mkDerivation (args // {
pname = "${pluginName}";
@@ -14,23 +14,23 @@ let
++ hyprland.buildInputs
++ (args.buildInputs or [ ]);
meta = args.meta // {
- description = (args.meta.description or "");
- longDescription = (args.meta.lonqDescription or "") +
+ description = args.meta.description or "";
+ longDescription = (args.meta.longDescription or "") +
"\n\nPlugins can be installed via a plugin entry in the Hyprland NixOS or Home Manager options.";
};
});
plugins = {
hy3 = { fetchFromGitHub, cmake, hyprland }:
- mkHyprlandPlugin rec {
+ mkHyprlandPlugin hyprland rec {
pluginName = "hy3";
- version = "0.34.0";
+ version = "0.36.0";
src = fetchFromGitHub {
owner = "outfoxxed";
repo = "hy3";
rev = "hl${version}";
- hash = "sha256-Jd1bSwelh7WA8aeYrV+CxxtpsmSITUDruKdNNLHdV7c=";
+ hash = "sha256-nRBeHh0Vr0gB3BHiqP9ZE4/yyZvRt8jJHwBF5lFu/24=";
};
nativeBuildInputs = [ cmake ];
@@ -47,5 +47,4 @@ let
};
};
in
-lib.mapAttrs (name: plugin: callPackage plugin { }) plugins
-
+(lib.mapAttrs (name: plugin: callPackage plugin { }) plugins) // { inherit mkHyprlandPlugin; }
diff --git a/pkgs/applications/window-managers/hyprwm/hyprland/wlroots.nix b/pkgs/applications/window-managers/hyprwm/hyprland/wlroots.nix
index a2b2f96769d7..5c42eff6fc8c 100644
--- a/pkgs/applications/window-managers/hyprwm/hyprland/wlroots.nix
+++ b/pkgs/applications/window-managers/hyprwm/hyprland/wlroots.nix
@@ -9,8 +9,8 @@ wlroots.overrideAttrs
domain = "gitlab.freedesktop.org";
owner = "wlroots";
repo = "wlroots";
- rev = "00b869c1a96f300a8f25da95d624524895e0ddf2";
- hash = "sha256-5HUTG0p+nCJv3cn73AmFHRZdfRV5AD5N43g8xAePSKM=";
+ rev = "0cb091f1a2d345f37d2ee445f4ffd04f7f4ec9e5";
+ hash = "sha256-Mz6hCtommq7RQfcPnxLINigO4RYSNt23HeJHC6mVmWI=";
};
patches = [ ]; # don't inherit old.patches
diff --git a/pkgs/applications/window-managers/hyprwm/hyprshade/default.nix b/pkgs/applications/window-managers/hyprwm/hyprshade/default.nix
index ea24741ba516..229f53ed3de8 100644
--- a/pkgs/applications/window-managers/hyprwm/hyprshade/default.nix
+++ b/pkgs/applications/window-managers/hyprwm/hyprshade/default.nix
@@ -8,14 +8,14 @@
buildPythonPackage rec {
pname = "hyprshade";
- version = "0.12.1";
+ version = "3.2.1";
format = "pyproject";
src = fetchFromGitHub {
owner = "loqusion";
repo = "hyprshade";
rev = "refs/tags/${version}";
- hash = "sha256-xcFX1YApwEN40jPgRT0H/7SiODxXGYVTPUkSZ8OFIWs=";
+ hash = "sha256-MlbNE9n//Qb6OJc3DMkOpnPtoodfV8JlG/I5rOfWMtQ=";
};
nativeBuildInputs = [
@@ -27,6 +27,7 @@ buildPythonPackage rec {
meta = with lib; {
homepage = "https://github.com/loqusion/hyprshade";
description = "Hyprland shade configuration tool";
+ mainProgram = "hyprshade";
license = licenses.mit;
maintainers = with maintainers; [ willswats ];
platforms = platforms.linux;
diff --git a/pkgs/applications/window-managers/hyprwm/xdg-desktop-portal-hyprland/default.nix b/pkgs/applications/window-managers/hyprwm/xdg-desktop-portal-hyprland/default.nix
index 6c1d99fbb0c7..2825ef3a6563 100644
--- a/pkgs/applications/window-managers/hyprwm/xdg-desktop-portal-hyprland/default.nix
+++ b/pkgs/applications/window-managers/hyprwm/xdg-desktop-portal-hyprland/default.nix
@@ -70,6 +70,7 @@ stdenv.mkDerivation (self: {
meta = with lib; {
homepage = "https://github.com/hyprwm/xdg-desktop-portal-hyprland";
description = "xdg-desktop-portal backend for Hyprland";
+ mainProgram = "hyprland-share-picker";
license = licenses.bsd3;
maintainers = with maintainers; [ fufexan ];
platforms = platforms.linux;
diff --git a/pkgs/applications/window-managers/i3/altlayout.nix b/pkgs/applications/window-managers/i3/altlayout.nix
index 91f663907a0a..f6f1ecf20c1a 100644
--- a/pkgs/applications/window-managers/i3/altlayout.nix
+++ b/pkgs/applications/window-managers/i3/altlayout.nix
@@ -18,6 +18,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
maintainers = with maintainers; [ magnetophon ];
description = "Helps you handle more efficiently your screen real estate in i3wm by auto-splitting windows on their longest side";
+ mainProgram = "i3altlayout";
homepage = "https://github.com/deadc0de6/i3altlayout";
license = licenses.gpl3Only;
platforms = platforms.linux;
diff --git a/pkgs/applications/window-managers/i3/auto-layout.nix b/pkgs/applications/window-managers/i3/auto-layout.nix
index dfc0974834f4..bfcb35a870c9 100644
--- a/pkgs/applications/window-managers/i3/auto-layout.nix
+++ b/pkgs/applications/window-managers/i3/auto-layout.nix
@@ -18,6 +18,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "Automatic, optimal tiling for i3wm";
+ mainProgram = "i3-auto-layout";
homepage = "https://github.com/chmln/i3-auto-layout";
license = licenses.mit;
maintainers = with maintainers; [ mephistophiles perstark ];
diff --git a/pkgs/applications/window-managers/i3/blocks-gaps.nix b/pkgs/applications/window-managers/i3/blocks-gaps.nix
index deb0c99c313a..657e8d2dca1c 100644
--- a/pkgs/applications/window-managers/i3/blocks-gaps.nix
+++ b/pkgs/applications/window-managers/i3/blocks-gaps.nix
@@ -47,6 +47,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A flexible scheduler for your i3bar blocks -- this is a fork to use with i3-gaps";
+ mainProgram = "i3blocks";
homepage = "https://github.com/Airblader/i3blocks-gaps";
license = licenses.gpl3;
maintainers = with maintainers; [ carlsverre ];
diff --git a/pkgs/applications/window-managers/i3/blocks.nix b/pkgs/applications/window-managers/i3/blocks.nix
index 1574c587743b..e8f628fb4dc9 100644
--- a/pkgs/applications/window-managers/i3/blocks.nix
+++ b/pkgs/applications/window-managers/i3/blocks.nix
@@ -26,6 +26,7 @@ stdenv.mkDerivation {
meta = {
description = "A flexible scheduler for your i3bar blocks";
+ mainProgram = "i3blocks";
homepage = "https://github.com/vivien/i3blocks";
license = licenses.gpl3;
platforms = with platforms; freebsd ++ linux;
diff --git a/pkgs/applications/window-managers/i3/cycle-focus.nix b/pkgs/applications/window-managers/i3/cycle-focus.nix
index 180b074118ca..7927985460e2 100644
--- a/pkgs/applications/window-managers/i3/cycle-focus.nix
+++ b/pkgs/applications/window-managers/i3/cycle-focus.nix
@@ -18,6 +18,7 @@ rustPlatform.buildRustPackage {
meta = with lib; {
description = "A simple tool to cyclically switch between the windows on the active workspace";
+ mainProgram = "i3-cycle-focus";
homepage = "https://github.com/TheDoctor314/i3-cycle-focus";
license = licenses.unlicense;
maintainers = with maintainers; [ GaetanLepage ];
diff --git a/pkgs/applications/window-managers/i3/default.nix b/pkgs/applications/window-managers/i3/default.nix
index 9d21716bc704..d7f1bc2176a8 100644
--- a/pkgs/applications/window-managers/i3/default.nix
+++ b/pkgs/applications/window-managers/i3/default.nix
@@ -67,6 +67,7 @@ stdenv.mkDerivation rec {
description = "A tiling window manager";
homepage = "https://i3wm.org";
maintainers = with maintainers; [ modulistic fpletz ];
+ mainProgram = "i3";
license = licenses.bsd3;
platforms = platforms.all;
diff --git a/pkgs/applications/window-managers/i3/easyfocus.nix b/pkgs/applications/window-managers/i3/easyfocus.nix
index a2ee445eaf12..a1f0895bff6d 100644
--- a/pkgs/applications/window-managers/i3/easyfocus.nix
+++ b/pkgs/applications/window-managers/i3/easyfocus.nix
@@ -24,6 +24,7 @@ stdenv.mkDerivation {
meta = with lib; {
description = "Focus and select windows in i3";
+ mainProgram = "i3-easyfocus";
homepage = "https://github.com/cornerman/i3-easyfocus";
maintainers = with maintainers; [teto];
license = licenses.gpl3;
diff --git a/pkgs/applications/window-managers/i3/i3-ratiosplit.nix b/pkgs/applications/window-managers/i3/i3-ratiosplit.nix
index fbd584f2baa9..0903ceb3185a 100644
--- a/pkgs/applications/window-managers/i3/i3-ratiosplit.nix
+++ b/pkgs/applications/window-managers/i3/i3-ratiosplit.nix
@@ -18,6 +18,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "Resize newly created windows";
+ mainProgram = "i3-ratiosplit";
homepage = "https://github.com/333fred/i3-ratiosplit";
license = licenses.mit;
maintainers = with maintainers; [ svrana ];
diff --git a/pkgs/applications/window-managers/i3/i3-resurrect.nix b/pkgs/applications/window-managers/i3/i3-resurrect.nix
index a84d97504bbb..c5fedfbe36e7 100644
--- a/pkgs/applications/window-managers/i3/i3-resurrect.nix
+++ b/pkgs/applications/window-managers/i3/i3-resurrect.nix
@@ -15,6 +15,7 @@ buildPythonApplication rec {
meta = with lib; {
homepage = "https://github.com/JonnyHaystack/i3-resurrect";
description = "A simple but flexible solution to saving and restoring i3 workspaces";
+ mainProgram = "i3-resurrect";
license = licenses.gpl3;
platforms= platforms.linux;
maintainers = with maintainers; [ magnetophon ];
diff --git a/pkgs/applications/window-managers/i3/kitti3.nix b/pkgs/applications/window-managers/i3/kitti3.nix
index 63e1224a316b..3068baee5d99 100644
--- a/pkgs/applications/window-managers/i3/kitti3.nix
+++ b/pkgs/applications/window-managers/i3/kitti3.nix
@@ -34,6 +34,7 @@ buildPythonApplication rec {
meta = with lib; {
homepage = "https://github.com/LandingEllipse/kitti3";
description = "Kitty drop-down service for sway & i3wm";
+ mainProgram = "kitti3";
license = licenses.bsd3;
maintainers = with maintainers; [ Enzime ];
};
diff --git a/pkgs/applications/window-managers/i3/layout-manager.nix b/pkgs/applications/window-managers/i3/layout-manager.nix
index 8fd0364bb58c..b2a3adb98f90 100644
--- a/pkgs/applications/window-managers/i3/layout-manager.nix
+++ b/pkgs/applications/window-managers/i3/layout-manager.nix
@@ -32,6 +32,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/klaxalk/i3-layout-manager";
description = "Saving, loading and managing layouts for i3wm";
+ mainProgram = "layout_manager";
license = licenses.mit;
platforms = platforms.linux;
maintainers = with maintainers; [ ];
diff --git a/pkgs/applications/window-managers/i3/lock.nix b/pkgs/applications/window-managers/i3/lock.nix
index b7cca2b66376..41096a70a68d 100644
--- a/pkgs/applications/window-managers/i3/lock.nix
+++ b/pkgs/applications/window-managers/i3/lock.nix
@@ -3,13 +3,13 @@
stdenv.mkDerivation rec {
pname = "i3lock";
- version = "2.14.1";
+ version = "2.15";
src = fetchFromGitHub {
owner = "i3";
repo = "i3lock";
rev = version;
- sha256 = "sha256-cC908c47fkU6msLqZSxpEbKxO1/PatH81QeuCzBSZGw=";
+ sha256 = "sha256-OyV6GSLnNV3GUqrfs3OBnIaBvicH2PXgeY4acOk5dR4=";
};
nativeBuildInputs = [ meson ninja pkg-config ];
diff --git a/pkgs/applications/window-managers/i3/status-rust.nix b/pkgs/applications/window-managers/i3/status-rust.nix
index 06c3de8cd3fa..74635d158829 100644
--- a/pkgs/applications/window-managers/i3/status-rust.nix
+++ b/pkgs/applications/window-managers/i3/status-rust.nix
@@ -16,16 +16,16 @@
rustPlatform.buildRustPackage rec {
pname = "i3status-rust";
- version = "0.32.3";
+ version = "0.33.0";
src = fetchFromGitHub {
owner = "greshake";
repo = pname;
rev = "refs/tags/v${version}";
- hash = "sha256-CldVak1BQ4VhRt24hHdog5O3crkQBZBkRWNT7uYUw4Y=";
+ hash = "sha256-DIEWmXqs4yNIJsBBhH7khOY6RJQ9qRoSTIHN/aeBuA4=";
};
- cargoHash = "sha256-gWBmzpgZcsO4u8kXSqtr4FIYvshXpxWbECg/tcyl9Ok=";
+ cargoHash = "sha256-5946aMSndBkXCY0jjnhPc5x9wFOC1zjJNkFkMFFOuxo=";
nativeBuildInputs = [ pkg-config makeWrapper ];
diff --git a/pkgs/applications/window-managers/i3/wk-switch.nix b/pkgs/applications/window-managers/i3/wk-switch.nix
index e077254b70f4..fadcfe9908da 100644
--- a/pkgs/applications/window-managers/i3/wk-switch.nix
+++ b/pkgs/applications/window-managers/i3/wk-switch.nix
@@ -24,6 +24,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "XMonad-like workspace switching for i3 and sway";
+ mainProgram = "i3-wk-switch";
maintainers = with maintainers; [ synthetica ];
platforms = platforms.linux;
license = licenses.mit;
diff --git a/pkgs/applications/window-managers/i3/wmfocus.nix b/pkgs/applications/window-managers/i3/wmfocus.nix
index 8b45c7f8a1d9..af90b968bd04 100644
--- a/pkgs/applications/window-managers/i3/wmfocus.nix
+++ b/pkgs/applications/window-managers/i3/wmfocus.nix
@@ -24,6 +24,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "Visually focus windows by label";
+ mainProgram = "wmfocus";
homepage = "https://github.com/svenstaro/wmfocus";
license = licenses.mit;
maintainers = with maintainers; [ synthetica ];
diff --git a/pkgs/applications/window-managers/lemonbar/xft.nix b/pkgs/applications/window-managers/lemonbar/xft.nix
index 8d009a3458b3..80439f945fdc 100644
--- a/pkgs/applications/window-managers/lemonbar/xft.nix
+++ b/pkgs/applications/window-managers/lemonbar/xft.nix
@@ -17,6 +17,7 @@ stdenv.mkDerivation {
meta = with lib; {
description = "A lightweight xcb based bar with XFT-support";
+ mainProgram = "lemonbar";
homepage = "https://github.com/drscream/lemonbar-xft";
license = licenses.mit;
platforms = platforms.linux;
diff --git a/pkgs/applications/window-managers/miriway/default.nix b/pkgs/applications/window-managers/miriway/default.nix
index f46d907768ce..4c73135c54a4 100644
--- a/pkgs/applications/window-managers/miriway/default.nix
+++ b/pkgs/applications/window-managers/miriway/default.nix
@@ -11,13 +11,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "miriway";
- version = "unstable-2024-01-30";
+ version = "unstable-2024-03-15";
src = fetchFromGitHub {
owner = "Miriway";
repo = "Miriway";
- rev = "429ace6c7d9ea6799a01875ff61f1e554d5eabd9";
- hash = "sha256-8qsDyHbJJMxevMIi6Kde+zr2yJAtFaq19TTcAGXMnrE=";
+ rev = "dcc44916d0b25dd06d792947c837cf4cd8c24925";
+ hash = "sha256-LnqhIVmC5F+FAIcYW+oT4t2ovRWeoV4zHpvbNhiY7Kw=";
};
strictDeps = true;
diff --git a/pkgs/applications/window-managers/owl/default.nix b/pkgs/applications/window-managers/owl/default.nix
index fa9ea8e8b6a1..d3f018eb1202 100644
--- a/pkgs/applications/window-managers/owl/default.nix
+++ b/pkgs/applications/window-managers/owl/default.nix
@@ -1,5 +1,5 @@
{ lib
-, stdenv
+, clangStdenv
, fetchFromGitHub
, gnustep
, libxkbcommon
@@ -12,9 +12,10 @@
assert wayland.withLibraries;
let
- mkDerivation = if stdenv.isDarwin then stdenv.mkDerivation else gnustep.gsmakeDerivation;
+ stdenv = clangStdenv;
in
-mkDerivation {
+
+stdenv.mkDerivation {
pname = "owl-compositor";
version = "unstable-2021-11-10";
@@ -43,6 +44,7 @@ mkDerivation {
darwin.bootstrap_cmds
] ++ lib.optionals (!stdenv.isDarwin) [
gnustep.make
+ gnustep.wrapGNUstepAppsHook
];
buildInputs = [
diff --git a/pkgs/applications/window-managers/phosh/default.nix b/pkgs/applications/window-managers/phosh/default.nix
index 5a6ca17caf69..3cdc2ee41db8 100644
--- a/pkgs/applications/window-managers/phosh/default.nix
+++ b/pkgs/applications/window-managers/phosh/default.nix
@@ -36,12 +36,12 @@
stdenv.mkDerivation (finalAttrs: {
pname = "phosh";
- version = "0.36.0";
+ version = "0.37.0";
src = fetchurl {
# Release tarball which includes subprojects gvc and libcall-ui
url = with finalAttrs; "https://sources.phosh.mobi/releases/${pname}/${pname}-${version}.tar.xz";
- hash = "sha256-rhhvVCOqw/jqNSpo9Hlrcgh4Bxnoud/Z3yAq4n/ixIQ=";
+ hash = "sha256-kmZX2pPOYSh2hiMrRLH6744Q8rHHhE9jmG+SksepUYk=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/window-managers/phosh/phosh-mobile-settings.nix b/pkgs/applications/window-managers/phosh/phosh-mobile-settings.nix
index 3d0517386d69..8e85597cc7d3 100644
--- a/pkgs/applications/window-managers/phosh/phosh-mobile-settings.nix
+++ b/pkgs/applications/window-managers/phosh/phosh-mobile-settings.nix
@@ -20,12 +20,12 @@
stdenv.mkDerivation rec {
pname = "phosh-mobile-settings";
- version = "0.35.1";
+ version = "0.37.0";
src = fetchurl {
# This tarball includes the meson wrapped subproject 'gmobile'.
url = "https://sources.phosh.mobi/releases/${pname}/${pname}-${version}.tar.xz";
- hash = "sha256-Kg3efPs0knbJ9b0buIkgqIL1XplcZpGIi0hxJptG6UI=";
+ hash = "sha256-HW3wM/lb8pvr+eDoeqa0iHXiKhBQ8ybBIy0wwHPsrOg=";
};
nativeBuildInputs = [
@@ -63,8 +63,9 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A settings app for mobile specific things";
- homepage = "https://gitlab.gnome.org/guidog/phosh-mobile-settings";
- changelog = "https://gitlab.gnome.org/guidog/phosh-mobile-settings/-/blob/v${version}/debian/changelog";
+ mainProgram = "phosh-mobile-settings";
+ homepage = "https://gitlab.gnome.org/World/Phosh/phosh-mobile-settings";
+ changelog = "https://gitlab.gnome.org/World/Phosh/phosh-mobile-settings/-/blob/v${version}/debian/changelog";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ rvl ];
platforms = platforms.linux;
diff --git a/pkgs/applications/window-managers/picom/picom-allusive.nix b/pkgs/applications/window-managers/picom/picom-allusive.nix
deleted file mode 100644
index e0086142f1c3..000000000000
--- a/pkgs/applications/window-managers/picom/picom-allusive.nix
+++ /dev/null
@@ -1,26 +0,0 @@
-{ picom, lib, fetchFromGitHub, installShellFiles, pcre }:
-
-picom.overrideAttrs (oldAttrs: rec {
- pname = "picom-allusive";
- version = "1.2.5";
-
- src = fetchFromGitHub {
- owner = "allusive-dev";
- repo = "picom-allusive";
- rev = version;
- hash = "sha256-yM4TJjoVs+i33m/u/oWlx1TDKJrgwlfiGu72DOL/tl8=";
- };
-
- nativeBuildInputs = [ installShellFiles pcre ] ++ oldAttrs.nativeBuildInputs;
-
- postInstall = ''
- installManPage $src/man/picom.1.gz
- '' + (lib.optionalString (oldAttrs ? postInstall) oldAttrs.postInstall);
-
- meta = (builtins.removeAttrs oldAttrs.meta [ "longDescription" ]) // {
- description = "A fork of picom featuring improved animations and other features";
- homepage = "https://github.com/allusive-dev/picom-allusive";
- license = with lib.licenses; [ mit mpl20 ];
- maintainers = with lib.maintainers; [ allusive iogamaster ];
- };
-})
diff --git a/pkgs/applications/window-managers/picom/picom-jonaburg.nix b/pkgs/applications/window-managers/picom/picom-jonaburg.nix
deleted file mode 100644
index d04cf5f4ecd6..000000000000
--- a/pkgs/applications/window-managers/picom/picom-jonaburg.nix
+++ /dev/null
@@ -1,20 +0,0 @@
-{ picom, lib, fetchFromGitHub, pcre }:
-
-picom.overrideAttrs (oldAttrs: rec {
- pname = "picom-jonaburg";
- version = "unstable-2022-03-19";
- src = fetchFromGitHub {
- owner = "jonaburg";
- repo = "picom";
- rev = "e3c19cd7d1108d114552267f302548c113278d45";
- sha256 = "sha256-4voCAYd0fzJHQjJo4x3RoWz5l3JJbRvgIXn1Kg6nz6Y=";
- };
-
- nativeBuildInputs = [ pcre ] ++ oldAttrs.nativeBuildInputs;
-
- meta = with lib; {
- description = "A fork of picom featuring animations and improved rounded corners.";
- homepage = "https://github.com/jonaburg/picom";
- maintainers = with maintainers; oldAttrs.meta.maintainers ++ [ michaelBelsanti ];
- };
-})
diff --git a/pkgs/applications/window-managers/picom/picom-next.nix b/pkgs/applications/window-managers/picom/picom-next.nix
deleted file mode 100644
index 22c748088074..000000000000
--- a/pkgs/applications/window-managers/picom/picom-next.nix
+++ /dev/null
@@ -1,35 +0,0 @@
-{ lib
-, fetchFromGitHub
-, libXinerama
-, pcre
-, pcre2
-, picom
-, xcbutil
-}:
-
-picom.overrideAttrs (oldAttrs: {
- pname = "picom-next";
- version = "unstable-2023-08-03";
-
- buildInputs = [
- pcre2
- xcbutil
- ]
- # remove dependencies that are not used anymore
- ++ (lib.subtractLists [
- libXinerama
- pcre
- ]
- oldAttrs.buildInputs);
-
- src = fetchFromGitHub {
- owner = "yshui";
- repo = "picom";
- rev = "5d6957d3da1bf99311a676eab94c69ef4276bedf";
- hash = "sha256-Mzf0533roLSODjMCPKyGSMbP7lIbT+PoLTZfoIBAI6g=";
- };
-
- meta = oldAttrs.meta // {
- maintainers = with lib.maintainers; oldAttrs.meta.maintainers ++ [ GKasparov ];
- };
-})
diff --git a/pkgs/applications/window-managers/wayfire/firedecor.nix b/pkgs/applications/window-managers/wayfire/firedecor.nix
new file mode 100644
index 000000000000..ce42f6fc6886
--- /dev/null
+++ b/pkgs/applications/window-managers/wayfire/firedecor.nix
@@ -0,0 +1,73 @@
+{ stdenv
+, lib
+, fetchFromGitHub
+, meson
+, ninja
+, pkg-config
+, boost
+, cairo
+, glib
+, libGL
+, libinput
+, librsvg
+, libxkbcommon
+, pango
+, udev
+, wayfire
+, wayland
+, wf-config
+, xcbutilwm
+, mate
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "firedecor";
+ version = "2023-10-23";
+
+ src = fetchFromGitHub {
+ owner = "mntmn";
+ repo = "Firedecor";
+ rev = finalAttrs.version;
+ hash = "sha256-7or8HkmIZnLpXEZzUhJ3u8SIPfIQFgn32Ju/5OzK06Y=";
+ };
+
+ nativeBuildInputs = [
+ meson
+ ninja
+ pkg-config
+ ];
+
+ buildInputs = [
+ boost
+ cairo
+ glib
+ libGL
+ libinput
+ librsvg
+ libxkbcommon
+ pango
+ udev
+ wayfire
+ wayland
+ wf-config
+ xcbutilwm
+ ];
+
+ postPatch = ''
+ substituteInPlace src/firedecor-theme.cpp \
+ --replace-fail "/usr/share" "/run/current-system/sw/share"
+ '';
+
+ env = {
+ PKG_CONFIG_WAYFIRE_PLUGINDIR = "${placeholder "out"}/lib/wayfire";
+ PKG_CONFIG_WAYFIRE_METADATADIR = "${placeholder "out"}/share/wayfire/metadata";
+ };
+
+ meta = with lib; {
+ homepage = "https://github.com/mntmn/Firedecor";
+ description = "Advanced window decoration plugin for the Wayfire window manager";
+ license = licenses.mit;
+ inherit (mate.mate-wayland-session.meta) maintainers;
+ inherit (wayfire.meta) platforms;
+ };
+})
diff --git a/pkgs/applications/window-managers/wayfire/plugins.nix b/pkgs/applications/window-managers/wayfire/plugins.nix
index 86f522cdd68d..b8af85aafd0d 100644
--- a/pkgs/applications/window-managers/wayfire/plugins.nix
+++ b/pkgs/applications/window-managers/wayfire/plugins.nix
@@ -4,6 +4,7 @@ lib.makeScope pkgs.newScope (self:
let
inherit (self) callPackage;
in {
+ firedecor = callPackage ./firedecor.nix { };
wayfire-plugins-extra = callPackage ./wayfire-plugins-extra.nix { };
wcm = callPackage ./wcm.nix { };
wf-shell = callPackage ./wf-shell.nix { };
diff --git a/pkgs/applications/window-managers/wayfire/wf-shell.nix b/pkgs/applications/window-managers/wayfire/wf-shell.nix
index 229a87234924..b71e667b31ad 100644
--- a/pkgs/applications/window-managers/wayfire/wf-shell.nix
+++ b/pkgs/applications/window-managers/wayfire/wf-shell.nix
@@ -16,14 +16,14 @@
stdenv.mkDerivation (finalAttrs: {
pname = "wf-shell";
- version = "0.8.0";
+ version = "0.8.1";
src = fetchFromGitHub {
owner = "WayfireWM";
repo = "wf-shell";
rev = "v${finalAttrs.version}";
fetchSubmodules = true;
- hash = "sha256-K5g9DfFlqZyPHDUswx3vtzh0D9ogOQ1p87ZrqyH35vs=";
+ hash = "sha256-/ajFPIk8VJnlu2DzvSyGD3bC4r/pxALTkZeLNvs9dTw=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/window-managers/weston/default.nix b/pkgs/applications/window-managers/weston/default.nix
index 34532411888c..b4472425324e 100644
--- a/pkgs/applications/window-managers/weston/default.nix
+++ b/pkgs/applications/window-managers/weston/default.nix
@@ -26,6 +26,13 @@ stdenv.mkDerivation rec {
hash = "sha256-Uv8dSqI5Si5BbIWjOLYnzpf6cdQ+t2L9Sq8UXTb8eVo=";
};
+ postPatch = ''
+ # raise neatvnc version bound to 0.8.0
+ # https://gitlab.freedesktop.org/wayland/weston/-/issues/890
+ substituteInPlace libweston/backend-vnc/meson.build \
+ --replace-fail "'neatvnc', version: ['>= 0.7.0', '< 0.8.0']" "'neatvnc', version: ['>= 0.7.0', '<= 0.8.0']"
+ '';
+
depsBuildBuild = [ pkg-config ];
nativeBuildInputs = [ meson ninja pkg-config python3 wayland-scanner ];
buildInputs = [
diff --git a/pkgs/applications/window-managers/xmonad/xmonadctl.nix b/pkgs/applications/window-managers/xmonad/xmonadctl.nix
index e0c452340456..f7dfe1354025 100644
--- a/pkgs/applications/window-managers/xmonad/xmonadctl.nix
+++ b/pkgs/applications/window-managers/xmonad/xmonadctl.nix
@@ -17,6 +17,7 @@ in stdenv.mkDerivation rec {
meta = with lib; {
platforms = platforms.unix;
description = "Send commands to a running instance of xmonad";
+ mainProgram = "xmonadctl";
homepage = "https://github.com/xmonad/xmonad-contrib";
license = licenses.bsd3;
maintainers = [ maintainers.ajgrf ];
diff --git a/pkgs/build-support/appimage/default.nix b/pkgs/build-support/appimage/default.nix
index 078570ec7aea..b59a3e2c1157 100644
--- a/pkgs/build-support/appimage/default.nix
+++ b/pkgs/build-support/appimage/default.nix
@@ -209,6 +209,7 @@ rec {
xorg.libxshmfence # for apple-music-electron
at-spi2-core
pciutils # for FreeCAD
+ pipewire # immersed-vr wayland support
];
};
}
diff --git a/pkgs/build-support/bintools-wrapper/default.nix b/pkgs/build-support/bintools-wrapper/default.nix
index 66027485ee71..1a32f365bab2 100644
--- a/pkgs/build-support/bintools-wrapper/default.nix
+++ b/pkgs/build-support/bintools-wrapper/default.nix
@@ -325,6 +325,12 @@ stdenv.mkDerivation {
hardening_unsupported_flags+=" relro bindnow"
''
+ + optionalString (libc != null && targetPlatform.isAvr) ''
+ for isa in avr5 avr3 avr4 avr6 avr25 avr31 avr35 avr51 avrxmega2 avrxmega4 avrxmega5 avrxmega6 avrxmega7 tiny-stack; do
+ echo "-L${getLib libc}/avr/lib/$isa" >> $out/nix-support/libc-cflags
+ done
+ ''
+
+ optionalString stdenv.targetPlatform.isDarwin ''
echo "-arch ${targetPlatform.darwinArch}" >> $out/nix-support/libc-ldflags
''
diff --git a/pkgs/build-support/build-fhsenv-bubblewrap/buildFHSEnv.nix b/pkgs/build-support/build-fhsenv-bubblewrap/buildFHSEnv.nix
index b5e03164ac26..81813473a8db 100644
--- a/pkgs/build-support/build-fhsenv-bubblewrap/buildFHSEnv.nix
+++ b/pkgs/build-support/build-fhsenv-bubblewrap/buildFHSEnv.nix
@@ -251,7 +251,7 @@ let
in runCommandLocal "${name}-fhs" {
passthru = {
- inherit args baseTargetPaths targetPaths baseMultiPaths multiPaths ldconfig;
+ inherit args baseTargetPaths targetPaths baseMultiPaths ldconfig;
};
} ''
mkdir -p $out
diff --git a/pkgs/build-support/cc-wrapper/add-clang-cc-cflags-before.sh b/pkgs/build-support/cc-wrapper/add-clang-cc-cflags-before.sh
index f943b8504683..a1d06b9c6b0a 100644
--- a/pkgs/build-support/cc-wrapper/add-clang-cc-cflags-before.sh
+++ b/pkgs/build-support/cc-wrapper/add-clang-cc-cflags-before.sh
@@ -7,5 +7,5 @@ for p in "${params[@]}"; do
done
if $needsTarget; then
- extraBefore+=(-target @defaultTarget@)
+ extraBefore+=(-target @defaultTarget@ @march@)
fi
diff --git a/pkgs/build-support/cc-wrapper/add-hardening.sh b/pkgs/build-support/cc-wrapper/add-hardening.sh
index e884f8388b58..ef166e2f50c5 100644
--- a/pkgs/build-support/cc-wrapper/add-hardening.sh
+++ b/pkgs/build-support/cc-wrapper/add-hardening.sh
@@ -32,7 +32,7 @@ if [[ -n "${hardeningEnableMap[fortify3]-}" ]]; then
fi
if (( "${NIX_DEBUG:-0}" >= 1 )); then
- declare -a allHardeningFlags=(fortify fortify3 stackprotector pie pic strictoverflow format zerocallusedregs)
+ declare -a allHardeningFlags=(fortify fortify3 stackprotector pie pic strictoverflow format trivialautovarinit zerocallusedregs)
declare -A hardeningDisableMap=()
# Determine which flags were effectively disabled so we can report below.
@@ -106,6 +106,10 @@ for flag in "${!hardeningEnableMap[@]}"; do
hardeningCFlagsBefore+=('-fno-strict-overflow')
fi
;;
+ trivialautovarinit)
+ if (( "${NIX_DEBUG:-0}" >= 1 )); then echo HARDENING: enabling trivialautovarinit >&2; fi
+ hardeningCFlagsBefore+=('-ftrivial-auto-var-init=pattern')
+ ;;
format)
if (( "${NIX_DEBUG:-0}" >= 1 )); then echo HARDENING: enabling format >&2; fi
hardeningCFlagsBefore+=('-Wformat' '-Wformat-security' '-Werror=format-security')
diff --git a/pkgs/build-support/cc-wrapper/default.nix b/pkgs/build-support/cc-wrapper/default.nix
index 693c6e6fcfd4..569f6875e1fb 100644
--- a/pkgs/build-support/cc-wrapper/default.nix
+++ b/pkgs/build-support/cc-wrapper/default.nix
@@ -53,33 +53,54 @@
, gccForLibs ? if useCcForLibs then cc else null
, fortify-headers ? null
, includeFortifyHeaders ? null
+
+# https://github.com/NixOS/nixpkgs/issues/295322
+# should -march flag be used
+, disableMarch ? false
}:
-with lib;
-
assert nativeTools -> !propagateDoc && nativePrefix != "";
-assert !nativeTools ->
- cc != null && coreutils != null && gnugrep != null;
+assert !nativeTools -> cc != null && coreutils != null && gnugrep != null;
assert !(nativeLibc && noLibc);
assert (noLibc || nativeLibc) == (libc == null);
let
- stdenv = stdenvNoCC;
+ inherit (lib)
+ attrByPath
+ concatMapStrings
+ concatStringsSep
+ escapeShellArg
+ getBin
+ getDev
+ getLib
+ getName
+ getVersion
+ mapAttrsToList
+ optional
+ optionalAttrs
+ optionals
+ optionalString
+ removePrefix
+ replaceStrings
+ toList
+ versionAtLeast
+ ;
+
inherit (stdenv) hostPlatform targetPlatform;
+ stdenv = stdenvNoCC;
+
includeFortifyHeaders' = if includeFortifyHeaders != null
then includeFortifyHeaders
else (targetPlatform.libc == "musl" && isGNU);
# Prefix for binaries. Customarily ends with a dash separator.
#
- # TODO(@Ericson2314) Make unconditional, or optional but always true by
- # default.
- targetPrefix = lib.optionalString (targetPlatform != hostPlatform)
- (targetPlatform.config + "-");
+ # TODO(@Ericson2314) Make unconditional, or optional but always true by default.
+ targetPrefix = optionalString (targetPlatform != hostPlatform) (targetPlatform.config + "-");
- ccVersion = lib.getVersion cc;
- ccName = lib.removePrefix targetPrefix (lib.getName cc);
+ ccVersion = getVersion cc;
+ ccName = removePrefix targetPrefix (getName cc);
libc_bin = optionalString (libc != null) (getBin libc);
libc_dev = optionalString (libc != null) (getDev libc);
@@ -98,7 +119,7 @@ let
suffixSalt = replaceStrings ["-" "."] ["_" "_"] targetPlatform.config;
expand-response-params =
- lib.optionalString ((buildPackages.stdenv.hasCC or false) && buildPackages.stdenv.cc != "/dev/null") (import ../expand-response-params { inherit (buildPackages) stdenv; });
+ optionalString ((buildPackages.stdenv.hasCC or false) && buildPackages.stdenv.cc != "/dev/null") (import ../expand-response-params { inherit (buildPackages) stdenv; });
useGccForLibs = useCcForLibs
&& libcxx == null
@@ -111,7 +132,7 @@ let
+ optionalString (targetPlatform != hostPlatform) "/${targetPlatform.config}";
# Analogously to cc_solib and gccForLibs_solib
- libcxx_solib = "${lib.getLib libcxx}/lib";
+ libcxx_solib = "${getLib libcxx}/lib";
# The following two functions, `isGccArchSupported` and
# `isGccTuneSupported`, only handle those situations where a flag
@@ -377,7 +398,7 @@ stdenv.mkDerivation {
# this symlink points to the unwrapped gnat's output "out". It is used by
# our custom gprconfig compiler description to find GNAT's ada runtime. See
- # ../../development/tools/build-managers/gprbuild/{boot.nix, nixpkgs-gnat.xml}
+ # ../../development/ada-modules/gprbuild/{boot.nix, nixpkgs-gnat.xml}
ln -sf ${cc} $out/nix-support/gprconfig-gnat-unwrapped
''
@@ -407,9 +428,9 @@ stdenv.mkDerivation {
setupHooks = [
../setup-hooks/role.bash
- ] ++ lib.optional (cc.langC or true) ./setup-hook.sh
- ++ lib.optional (cc.langFortran or false) ./fortran-hook.sh
- ++ lib.optional (targetPlatform.isWindows) (stdenv.mkDerivation {
+ ] ++ optional (cc.langC or true) ./setup-hook.sh
+ ++ optional (cc.langFortran or false) ./fortran-hook.sh
+ ++ optional (targetPlatform.isWindows) (stdenv.mkDerivation {
name = "win-dll-hook.sh";
dontUnpack = true;
installPhase = ''
@@ -476,7 +497,7 @@ stdenv.mkDerivation {
# when building e.g. firefox), lld is able to find libgcc_s.so
+ concatMapStrings (libgcc: ''
echo "-L${libgcc}/lib" >> $out/nix-support/cc-ldflags
- '') (lib.toList (gccForLibs.libgcc or [])))
+ '') (toList (gccForLibs.libgcc or [])))
##
## General libc support
@@ -542,9 +563,12 @@ stdenv.mkDerivation {
done
''
+ optionalString (libcxx.isLLVM or false) ''
- echo "-isystem ${lib.getDev libcxx}/include/c++/v1" >> $out/nix-support/libcxx-cxxflags
- echo "-isystem ${lib.getDev libcxx.cxxabi}/include/c++/v1" >> $out/nix-support/libcxx-cxxflags
+ echo "-isystem ${getDev libcxx}/include/c++/v1" >> $out/nix-support/libcxx-cxxflags
echo "-stdlib=libc++" >> $out/nix-support/libcxx-ldflags
+ ''
+ # can remove once LLVM9 and LLVM11 are dropped from nixpkgs
+ + optionalString (libcxx.isLLVM or false && lib.versionOlder (lib.getVersion libcxx) "12" && libcxx ? cxxabi.libName) ''
+ echo "-isystem ${lib.getDev libcxx.cxxabi}/include/c++/v1" >> $out/nix-support/libcxx-cxxflags
echo "-l${libcxx.cxxabi.libName}" >> $out/nix-support/libcxx-ldflags
''
@@ -593,7 +617,7 @@ stdenv.mkDerivation {
## Hardening support
##
+ ''
- export hardening_unsupported_flags="${builtins.concatStringsSep " " ccHardeningUnsupportedFlags}"
+ export hardening_unsupported_flags="${concatStringsSep " " ccHardeningUnsupportedFlags}"
''
# Machine flags. These are necessary to support
@@ -604,9 +628,12 @@ stdenv.mkDerivation {
# Always add -march based on cpu in triple. Sometimes there is a
# discrepency (x86_64 vs. x86-64), so we provide an "arch" arg in
# that case.
+ #
+ # For clang, this is handled in add-clang-cc-cflags-before.sh
+
# TODO: aarch64-darwin has mcpu incompatible with gcc
- + optionalString ((targetPlatform ? gcc.arch) && (isClang || !(stdenv.isDarwin && stdenv.isAarch64)) &&
- isGccArchSupported targetPlatform.gcc.arch) ''
+ + optionalString ((targetPlatform ? gcc.arch) && !isClang && !(stdenv.isDarwin && stdenv.isAarch64) &&
+ isGccArchSupported targetPlatform.gcc.arch && !disableMarch) ''
echo "-march=${targetPlatform.gcc.arch}" >> $out/nix-support/cc-cflags-before
''
@@ -665,6 +692,12 @@ stdenv.mkDerivation {
hardening_unsupported_flags+=" stackprotector"
''
+ + optionalString (libc != null && targetPlatform.isAvr) ''
+ for isa in avr5 avr3 avr4 avr6 avr25 avr31 avr35 avr51 avrxmega2 avrxmega4 avrxmega5 avrxmega6 avrxmega7 tiny-stack; do
+ echo "-B${getLib libc}/avr/lib/$isa" >> $out/nix-support/libc-crt1-cflags
+ done
+ ''
+
+ optionalString stdenv.targetPlatform.isDarwin ''
echo "-arch ${targetPlatform.darwinArch}" >> $out/nix-support/cc-cflags
''
@@ -694,6 +727,10 @@ stdenv.mkDerivation {
## Needs to go after ^ because the for loop eats \n and makes this file an invalid script
##
+ optionalString isClang ''
+ # Escape twice: once for this script, once for the one it gets substituted into.
+ export march=${escapeShellArg
+ (optionalString (targetPlatform ? gcc.arch && !disableMarch)
+ (escapeShellArg "-march=${targetPlatform.gcc.arch}"))}
export defaultTarget=${targetPlatform.config}
substituteAll ${./add-clang-cc-cflags-before.sh} $out/nix-support/add-local-cc-cflags-before.sh
''
@@ -702,8 +739,8 @@ stdenv.mkDerivation {
## Extra custom steps
##
+ extraBuildCommands
- + lib.strings.concatStringsSep "; "
- (lib.attrsets.mapAttrsToList
+ + concatStringsSep "; "
+ (mapAttrsToList
(name: value: "echo ${toString value} >> $out/nix-support/${name}")
nixSupport);
@@ -726,11 +763,9 @@ stdenv.mkDerivation {
};
meta =
- let cc_ = lib.optionalAttrs (cc != null) cc; in
- (lib.optionalAttrs (cc_ ? meta) (removeAttrs cc.meta ["priority"])) //
- { description =
- lib.attrByPath ["meta" "description"] "System C compiler" cc_
- + " (wrapper script)";
+ let cc_ = optionalAttrs (cc != null) cc; in
+ (optionalAttrs (cc_ ? meta) (removeAttrs cc.meta ["priority"])) //
+ { description = attrByPath ["meta" "description"] "System C compiler" cc_ + " (wrapper script)";
priority = 10;
mainProgram = if name != "" then name else ccName;
};
diff --git a/pkgs/build-support/deterministic-uname/default.nix b/pkgs/build-support/deterministic-uname/default.nix
index 164136c937b9..6d150557aa9d 100644
--- a/pkgs/build-support/deterministic-uname/default.nix
+++ b/pkgs/build-support/deterministic-uname/default.nix
@@ -39,6 +39,7 @@ substituteAll {
meta = with lib; {
description = "Print certain system information (hardcoded with lib/system values)";
+ mainProgram = "uname";
longDescription = ''
This package provides a replacement for `uname` whose output depends only
on `stdenv.buildPlatform`. It is meant to be used from within derivations.
diff --git a/pkgs/build-support/docker/default.nix b/pkgs/build-support/docker/default.nix
index 05a1a6fbbdaf..8e04944bc810 100644
--- a/pkgs/build-support/docker/default.nix
+++ b/pkgs/build-support/docker/default.nix
@@ -8,6 +8,7 @@
, proot
, fakeNss
, fakeroot
+, file
, go
, jq
, jshon
@@ -28,12 +29,13 @@
, tarsum
, util-linux
, vmTools
-, writeReferencesToFile
+, writeClosure
, writeScript
, writeShellScriptBin
, writeText
, writeTextDir
, writePython3
+, zstd
}:
let
@@ -62,6 +64,8 @@ let
# https://github.com/NixOS/nix/blob/9348f9291e5d9e4ba3c4347ea1b235640f54fd79/src/libutil/util.cc#L478
export USER=nobody
${buildPackages.nix}/bin/nix-store --load-db < ${closureInfo {rootPaths = contentsList;}}/registration
+ # Reset registration times to make the image reproducible
+ ${buildPackages.sqlite}/bin/sqlite3 nix/var/nix/db/db.sqlite "UPDATE ValidPaths SET registrationTime = ''${SOURCE_DATE_EPOCH}"
mkdir -p nix/var/nix/gcroots/docker/
for i in ${lib.concatStringsSep " " contentsList}; do
@@ -76,6 +80,30 @@ let
# mapping from the go package.
defaultArchitecture = go.GOARCH;
+ compressors = {
+ none = {
+ ext = "";
+ nativeInputs = [ ];
+ compress = "cat";
+ decompress = "cat";
+ };
+ gz = {
+ ext = ".gz";
+ nativeInputs = [ pigz ];
+ compress = "pigz -p$NIX_BUILD_CORES -nTR";
+ decompress = "pigz -d -p$NIX_BUILD_CORES";
+ };
+ zstd = {
+ ext = ".zst";
+ nativeInputs = [ zstd ];
+ compress = "zstd -T$NIX_BUILD_CORES";
+ decompress = "zstd -d -T$NIX_BUILD_CORES";
+ };
+ };
+
+ compressorForImage = compressor: imageName: compressors.${compressor} or
+ (throw "in docker image ${imageName}: compressor must be one of: [${toString builtins.attrNames compressors}]");
+
in
rec {
examples = callPackage ./examples.nix {
@@ -487,16 +515,17 @@ rec {
'';
};
- buildLayeredImage = lib.makeOverridable ({ name, ... }@args:
+ buildLayeredImage = lib.makeOverridable ({ name, compressor ? "gz", ... }@args:
let
stream = streamLayeredImage args;
+ compress = compressorForImage compressor name;
in
- runCommand "${baseNameOf name}.tar.gz"
+ runCommand "${baseNameOf name}.tar${compress.ext}"
{
inherit (stream) imageName;
passthru = { inherit (stream) imageTag; };
- nativeBuildInputs = [ pigz ];
- } "${stream} | pigz -nTR > $out"
+ nativeBuildInputs = compress.nativeInputs;
+ } "${stream} | ${compress.compress} > $out"
);
# 1. extract the base image
@@ -539,6 +568,8 @@ rec {
buildVMMemorySize ? 512
, # Time of creation of the image.
created ? "1970-01-01T00:00:01Z"
+ , # Compressor to use. One of: none, gz, zstd.
+ compressor ? "gz"
, # Deprecated.
contents ? null
,
@@ -574,6 +605,8 @@ rec {
in
if created == "now" then impure else pure;
+ compress = compressorForImage compressor name;
+
layer =
if runAsRoot == null
then
@@ -590,14 +623,14 @@ rec {
extraCommands;
copyToRoot = rootContents;
};
- result = runCommand "docker-image-${baseName}.tar.gz"
+ result = runCommand "docker-image-${baseName}.tar${compress.ext}"
{
- nativeBuildInputs = [ jshon pigz jq moreutils ];
+ nativeBuildInputs = [ jshon jq moreutils ] ++ compress.nativeInputs;
# Image name must be lowercase
imageName = lib.toLower name;
imageTag = lib.optionalString (tag != null) tag;
inherit fromImage baseJson;
- layerClosure = writeReferencesToFile layer;
+ layerClosure = writeClosure [ layer ];
passthru.buildArgs = args;
passthru.layer = layer;
passthru.imageTag =
@@ -746,7 +779,7 @@ rec {
chmod -R a-w image
echo "Cooking the image..."
- tar -C image --hard-dereference --sort=name --mtime="@$SOURCE_DATE_EPOCH" --owner=0 --group=0 --xform s:'^./':: -c . | pigz -nTR > $out
+ tar -C image --hard-dereference --sort=name --mtime="@$SOURCE_DATE_EPOCH" --owner=0 --group=0 --xform s:'^./':: -c . | ${compress.compress} > $out
echo "Finished."
'';
@@ -761,16 +794,28 @@ rec {
mergeImages = images: runCommand "merge-docker-images"
{
inherit images;
- nativeBuildInputs = [ pigz jq ];
+ nativeBuildInputs = [ file jq ]
+ ++ compressors.none.nativeInputs
+ ++ compressors.gz.nativeInputs
+ ++ compressors.zstd.nativeInputs;
} ''
mkdir image inputs
# Extract images
repos=()
manifests=()
+ last_image_mime="application/gzip"
for item in $images; do
name=$(basename $item)
mkdir inputs/$name
- tar -I pigz -xf $item -C inputs/$name
+
+ last_image_mime=$(file --mime-type -b $item)
+ case $last_image_mime in
+ "application/x-tar") ${compressors.none.decompress};;
+ "application/zstd") ${compressors.zstd.decompress};;
+ "application/gzip") ${compressors.gz.decompress};;
+ *) echo "error: unexpected layer type $last_image_mime" >&2; exit 1;;
+ esac < $item | tar -xC inputs/$name
+
if [ -f inputs/$name/repositories ]; then
repos+=(inputs/$name/repositories)
fi
@@ -787,7 +832,14 @@ rec {
mv repositories image/repositories
mv manifest.json image/manifest.json
# Create tarball and gzip
- tar -C image --hard-dereference --sort=name --mtime="@$SOURCE_DATE_EPOCH" --owner=0 --group=0 --xform s:'^./':: -c . | pigz -nTR > $out
+ tar -C image --hard-dereference --sort=name --mtime="@$SOURCE_DATE_EPOCH" --owner=0 --group=0 --xform s:'^./':: -c . | (
+ case $last_image_mime in
+ "application/x-tar") ${compressors.none.compress};;
+ "application/zstd") ${compressors.zstd.compress};;
+ "application/gzip") ${compressors.gz.compress};;
+ # `*)` not needed; already checked.
+ esac
+ ) > $out
'';
@@ -840,41 +892,26 @@ rec {
})
);
+ # Arguments are documented in ../../../doc/build-helpers/images/dockertools.section.md
streamLayeredImage = lib.makeOverridable (
{
- # Image Name
name
- , # Image tag, the Nix's output hash will be used if null
- tag ? null
- , # Parent image, to append to.
- fromImage ? null
- , # Files to put on the image (a nix store path or list of paths).
- contents ? [ ]
- , # Docker config; e.g. what command to run on the container.
- config ? { }
- , # Image architecture, defaults to the architecture of the `hostPlatform` when unset
- architecture ? defaultArchitecture
- , # Time of creation of the image. Passing "now" will make the
- # created date be the time of building.
- created ? "1970-01-01T00:00:01Z"
- , # Optional bash script to run on the files prior to fixturizing the layer.
- extraCommands ? ""
- , # Optional bash script to run inside fakeroot environment.
- # Could be used for changing ownership of files in customisation layer.
- fakeRootCommands ? ""
- , # Whether to run fakeRootCommands in fakechroot as well, so that they
- # appear to run inside the image, but have access to the normal Nix store.
- # Perhaps this could be enabled on by default on pkgs.stdenv.buildPlatform.isLinux
- enableFakechroot ? false
- , # We pick 100 to ensure there is plenty of room for extension. I
- # believe the actual maximum is 128.
- maxLayers ? 100
- , # Whether to include store paths in the image. You generally want to leave
- # this on, but tooling may disable this to insert the store paths more
- # efficiently via other means, such as bind mounting the host store.
- includeStorePaths ? true
- , # Passthru arguments for the underlying derivation.
- passthru ? {}
+ , tag ? null
+ , fromImage ? null
+ , contents ? [ ]
+ , config ? { }
+ , architecture ? defaultArchitecture
+ , created ? "1970-01-01T00:00:01Z"
+ , uid ? 0
+ , gid ? 0
+ , uname ? "root"
+ , gname ? "root"
+ , maxLayers ? 100
+ , extraCommands ? ""
+ , fakeRootCommands ? ""
+ , enableFakechroot ? false
+ , includeStorePaths ? true
+ , passthru ? {}
,
}:
assert
@@ -923,6 +960,7 @@ rec {
--sort name \
--exclude=./proc \
--exclude=./sys \
+ --exclude=.${builtins.storeDir} \
--numeric-owner --mtime "@$SOURCE_DATE_EPOCH" \
--hard-dereference \
-cf $out/layer.tar .
@@ -956,7 +994,7 @@ rec {
conf = runCommand "${baseName}-conf.json"
{
- inherit fromImage maxLayers created;
+ inherit fromImage maxLayers created uid gid uname gname;
imageName = lib.toLower name;
preferLocalBuild = true;
passthru.imageTag =
@@ -1035,14 +1073,22 @@ rec {
"store_layers": $store_layers[0],
"customisation_layer", $customisation_layer,
"repo_tag": $repo_tag,
- "created": $created
+ "created": $created,
+ "uid": $uid,
+ "gid": $gid,
+ "uname": $uname,
+ "gname": $gname
}
' --arg store_dir "${storeDir}" \
--argjson from_image ${if fromImage == null then "null" else "'\"${fromImage}\"'"} \
--slurpfile store_layers store_layers.json \
--arg customisation_layer ${customisationLayer} \
--arg repo_tag "$imageName:$imageTag" \
- --arg created "$created" |
+ --arg created "$created" \
+ --arg uid "$uid" \
+ --arg gid "$gid" \
+ --arg uname "$uname" \
+ --arg gname "$gname" |
tee $out
'';
@@ -1154,6 +1200,7 @@ rec {
# Root certificates for internet access
SSL_CERT_FILE = "${cacert}/etc/ssl/certs/ca-bundle.crt";
+ NIX_SSL_CERT_FILE = "${cacert}/etc/ssl/certs/ca-bundle.crt";
# https://github.com/NixOS/nix/blob/2.8.0/src/libstore/build/local-derivation-goal.cc#L1027-L1030
# PATH = "/path-not-set";
@@ -1238,14 +1285,15 @@ rec {
};
# Wrapper around streamNixShellImage to build an image from the result
- buildNixShellImage = { drv, ... }@args:
+ buildNixShellImage = { drv, compressor ? "gz", ... }@args:
let
stream = streamNixShellImage args;
+ compress = compressorForImage compressor drv.name;
in
- runCommand "${drv.name}-env.tar.gz"
+ runCommand "${drv.name}-env.tar${compress.ext}"
{
inherit (stream) imageName;
passthru = { inherit (stream) imageTag; };
- nativeBuildInputs = [ pigz ];
- } "${stream} | pigz -nTR > $out";
+ nativeBuildInputs = compress.nativeInputs;
+ } "${stream} | ${compress.compress} > $out";
}
diff --git a/pkgs/build-support/docker/examples.nix b/pkgs/build-support/docker/examples.nix
index 5784e650dc2e..72c1cbe0d410 100644
--- a/pkgs/build-support/docker/examples.nix
+++ b/pkgs/build-support/docker/examples.nix
@@ -480,6 +480,22 @@ rec {
layerC = layerOnTopOf layerB "c";
in layerC;
+ bashUncompressed = pkgs.dockerTools.buildImage {
+ name = "bash-uncompressed";
+ tag = "latest";
+ compressor = "none";
+ # Not recommended. Use `buildEnv` between copy and packages to avoid file duplication.
+ copyToRoot = pkgs.bashInteractive;
+ };
+
+ bashZstdCompressed = pkgs.dockerTools.buildImage {
+ name = "bash-zstd";
+ tag = "latest";
+ compressor = "zstd";
+ # Not recommended. Use `buildEnv` between copy and packages to avoid file duplication.
+ copyToRoot = pkgs.bashInteractive;
+ };
+
# buildImage without explicit tag
bashNoTag = pkgs.dockerTools.buildImage {
name = "bash-no-tag";
@@ -614,6 +630,12 @@ rec {
layeredImageWithFakeRootCommands
];
+ mergeVaryingCompressor = pkgs.dockerTools.mergeImages [
+ redis
+ bashUncompressed
+ bashZstdCompressed
+ ];
+
helloOnRoot = pkgs.dockerTools.streamLayeredImage {
name = "hello";
tag = "latest";
@@ -639,6 +661,20 @@ rec {
includeStorePaths = false;
};
+ helloOnRootNoStoreFakechroot = pkgs.dockerTools.streamLayeredImage {
+ name = "hello";
+ tag = "latest";
+ contents = [
+ (pkgs.buildEnv {
+ name = "hello-root";
+ paths = [ pkgs.hello ];
+ })
+ ];
+ config.Cmd = [ "hello" ];
+ includeStorePaths = false;
+ enableFakechroot = true;
+ };
+
etc =
let
inherit (pkgs) lib;
diff --git a/pkgs/build-support/docker/nix-prefetch-docker.nix b/pkgs/build-support/docker/nix-prefetch-docker.nix
index 61e917461ed9..18accd135c04 100644
--- a/pkgs/build-support/docker/nix-prefetch-docker.nix
+++ b/pkgs/build-support/docker/nix-prefetch-docker.nix
@@ -18,6 +18,7 @@ stdenv.mkDerivation {
meta = with lib; {
description = "Script used to obtain source hashes for dockerTools.pullImage";
+ mainProgram = "nix-prefetch-docker";
maintainers = with maintainers; [ offline ];
platforms = platforms.unix;
};
diff --git a/pkgs/build-support/docker/stream_layered_image.py b/pkgs/build-support/docker/stream_layered_image.py
index d7c63eb43a78..98ec2358cef9 100644
--- a/pkgs/build-support/docker/stream_layered_image.py
+++ b/pkgs/build-support/docker/stream_layered_image.py
@@ -9,6 +9,8 @@ image as an uncompressed tarball to stdout:
the fields with the same name on the image spec [2].
* "created" can be "now".
* "created" is also used as mtime for files added to the image.
+* "uid", "gid", "uname", "gname" is the file ownership, for example,
+ 0, 0, "root", "root".
* "store_layers" is a list of layers in ascending order, where each
layer is the list of store paths to include in that layer.
@@ -45,7 +47,7 @@ from datetime import datetime, timezone
from collections import namedtuple
-def archive_paths_to(obj, paths, mtime):
+def archive_paths_to(obj, paths, mtime, uid, gid, uname, gname):
"""
Writes the given store paths as a tar file to the given stream.
@@ -61,14 +63,14 @@ def archive_paths_to(obj, paths, mtime):
def apply_filters(ti):
ti.mtime = mtime
- ti.uid = 0
- ti.gid = 0
- ti.uname = "root"
- ti.gname = "root"
+ ti.uid = uid
+ ti.gid = gid
+ ti.uname = uname
+ ti.gname = gname
return ti
def nix_root(ti):
- ti.mode = 0o0555 # r-xr-xr-x
+ ti.mode = 0o0755 # rwxr-xr-x
return ti
def dir(path):
@@ -208,7 +210,7 @@ def overlay_base_config(from_image, final_config):
return final_config
-def add_layer_dir(tar, paths, store_dir, mtime):
+def add_layer_dir(tar, paths, store_dir, mtime, uid, gid, uname, gname):
"""
Appends given store paths to a TarFile object as a new layer.
@@ -231,7 +233,7 @@ def add_layer_dir(tar, paths, store_dir, mtime):
archive_paths_to(
extract_checksum,
paths,
- mtime=mtime,
+ mtime, uid, gid, uname, gname
)
(checksum, size) = extract_checksum.extract()
@@ -247,7 +249,7 @@ def add_layer_dir(tar, paths, store_dir, mtime):
archive_paths_to(
write,
paths,
- mtime=mtime,
+ mtime, uid, gid, uname, gname
)
write.close()
@@ -324,6 +326,10 @@ def main():
else datetime.fromisoformat(conf["created"])
)
mtime = int(created.timestamp())
+ uid = int(conf["uid"])
+ gid = int(conf["gid"])
+ uname = conf["uname"]
+ gname = conf["gname"]
store_dir = conf["store_dir"]
from_image = load_from_image(conf["from_image"])
@@ -336,7 +342,8 @@ def main():
for num, store_layer in enumerate(conf["store_layers"], start=start):
print("Creating layer", num, "from paths:", store_layer,
file=sys.stderr)
- info = add_layer_dir(tar, store_layer, store_dir, mtime=mtime)
+ info = add_layer_dir(tar, store_layer, store_dir,
+ mtime, uid, gid, uname, gname)
layers.append(info)
print("Creating layer", len(layers) + 1, "with customisation...",
diff --git a/pkgs/build-support/docker/tarsum.nix b/pkgs/build-support/docker/tarsum.nix
index 734c6b3d5aeb..f62a8d49389a 100644
--- a/pkgs/build-support/docker/tarsum.nix
+++ b/pkgs/build-support/docker/tarsum.nix
@@ -39,4 +39,5 @@ stdenv.mkDerivation {
};
meta.platforms = go.meta.platforms;
+ meta.mainProgram = "tarsum";
}
diff --git a/pkgs/build-support/dotnet/build-dotnet-module/default.nix b/pkgs/build-support/dotnet/build-dotnet-module/default.nix
index af960fb1d617..15a753df0772 100644
--- a/pkgs/build-support/dotnet/build-dotnet-module/default.nix
+++ b/pkgs/build-support/dotnet/build-dotnet-module/default.nix
@@ -114,7 +114,6 @@ let
then nugetDeps
else mkNugetDeps {
inherit name;
- nugetDeps = import nugetDeps;
sourceFile = nugetDeps;
}
else throw "Defining the `nugetDeps` attribute is required, as to lock the NuGet dependencies. This file can be generated by running the `passthru.fetch-deps` script.";
diff --git a/pkgs/build-support/dotnet/make-nuget-deps/default.nix b/pkgs/build-support/dotnet/make-nuget-deps/default.nix
index 8281976df626..fcd3f9f076b2 100644
--- a/pkgs/build-support/dotnet/make-nuget-deps/default.nix
+++ b/pkgs/build-support/dotnet/make-nuget-deps/default.nix
@@ -1,5 +1,5 @@
{ linkFarmFromDrvs, fetchurl }:
-{ name, nugetDeps, sourceFile ? null }:
+{ name, nugetDeps ? import sourceFile, sourceFile ? null }:
linkFarmFromDrvs "${name}-nuget-deps" (nugetDeps {
fetchNuGet = { pname, version, sha256
, url ? "https://www.nuget.org/api/v2/package/${pname}/${version}" }:
diff --git a/pkgs/build-support/dotnet/nuget-to-nix/nuget-to-nix.sh b/pkgs/build-support/dotnet/nuget-to-nix/nuget-to-nix.sh
index 34c42929857d..c8e2c0c298ec 100755
--- a/pkgs/build-support/dotnet/nuget-to-nix/nuget-to-nix.sh
+++ b/pkgs/build-support/dotnet/nuget-to-nix/nuget-to-nix.sh
@@ -23,10 +23,17 @@ export DOTNET_CLI_TELEMETRY_OPTOUT=1
mapfile -t sources < <(dotnet nuget list source --format short | awk '/^E / { print $2 }')
+declare -a remote_sources
declare -A base_addresses
for index in "${sources[@]}"; do
- base_addresses[$index]=$(
+ if [[ -d "$index" ]]; then
+ continue
+ fi
+
+ remote_sources+=($index)
+
+ base_addresses[$index]=$(
curl --compressed --netrc -fsL "$index" | \
jq -r '.resources[] | select(."@type" == "PackageBaseAddress/3.0.0")."@id"')
done
@@ -35,6 +42,7 @@ echo "{ fetchNuGet }: ["
cd "$pkgs"
for package in *; do
+ [[ -d "$package" ]] || continue
cd "$package"
for version in *; do
id=$(xq -r .package.metadata.id "$version"/*.nuspec)
@@ -44,7 +52,13 @@ for package in *; do
fi
used_source="$(jq -r '.source' "$version"/.nupkg.metadata)"
- for source in "${sources[@]}"; do
+ found=false
+
+ if [[ -d "$used_source" ]]; then
+ continue
+ fi
+
+ for source in "${remote_sources[@]}"; do
url="${base_addresses[$source]}$package/$version/$package.$version.nupkg"
if [[ "$source" == "$used_source" ]]; then
sha256="$(nix-hash --type sha256 --flat --base32 "$version/$package.$version".nupkg)"
@@ -67,7 +81,7 @@ for package in *; do
fi
done
- if ! ${found-false}; then
+ if [[ $found = false ]]; then
echo "couldn't find $package $version" >&2
exit 1
fi
diff --git a/pkgs/build-support/fetchgit/nix-prefetch-git b/pkgs/build-support/fetchgit/nix-prefetch-git
index a3ea0297673d..7ac3dec91f7f 100755
--- a/pkgs/build-support/fetchgit/nix-prefetch-git
+++ b/pkgs/build-support/fetchgit/nix-prefetch-git
@@ -120,7 +120,7 @@ init_remote(){
git config remote.origin.partialclonefilter "blob:none"
echo "$sparseCheckout" | git sparse-checkout set --stdin ${nonConeMode:+--no-cone}
fi
- ( [ -n "$http_proxy" ] && clean_git config http.proxy "$http_proxy" ) || true
+ ( [ -n "$http_proxy" ] && clean_git config --global http.proxy "$http_proxy" ) || true
}
# Return the reference of an hash if it exists on the remote repository.
diff --git a/pkgs/build-support/fetchnextcloudapp/default.nix b/pkgs/build-support/fetchnextcloudapp/default.nix
index 0ec64bbe1df6..059003df903d 100644
--- a/pkgs/build-support/fetchnextcloudapp/default.nix
+++ b/pkgs/build-support/fetchnextcloudapp/default.nix
@@ -1,6 +1,7 @@
{ stdenv, fetchzip, applyPatches, lib, ... }:
{ url
-, sha256
+, hash ? ""
+, sha256 ? ""
, appName ? null
, appVersion ? null
, license
@@ -11,7 +12,7 @@
applyPatches ({
inherit patches;
src = fetchzip {
- inherit url sha256;
+ inherit url hash sha256;
postFetch = ''
pushd $out &>/dev/null
if [ ! -f ./appinfo/info.xml ]; then
diff --git a/pkgs/build-support/fetchpypilegacy/default.nix b/pkgs/build-support/fetchpypilegacy/default.nix
new file mode 100644
index 000000000000..bcd560449916
--- /dev/null
+++ b/pkgs/build-support/fetchpypilegacy/default.nix
@@ -0,0 +1,45 @@
+# Fetch from PyPi legacy API as documented in https://warehouse.pypa.io/api-reference/legacy.html
+{ runCommand
+, lib
+, python3
+}:
+{
+ # package name
+ pname,
+ # Package index
+ url ? null,
+ # Multiple package indices to consider
+ urls ? [ ],
+ # filename including extension
+ file,
+ # SRI hash
+ hash,
+ # allow overriding the derivation name
+ name ? null,
+}:
+let
+ urls' = urls ++ lib.optional (url != null) url;
+
+ pathParts = lib.filter ({ prefix, path }: "NETRC" == prefix) builtins.nixPath;
+ netrc_file =
+ if (pathParts != [ ])
+ then (lib.head pathParts).path
+ else "";
+
+in
+# Assert that we have at least one URL
+assert urls' != [ ]; runCommand file
+ ({
+ nativeBuildInputs = [ python3 ];
+ impureEnvVars = lib.fetchers.proxyImpureEnvVars;
+ outputHashMode = "flat";
+ # if hash is empty select a default algo to let nix propose the actual hash.
+ outputHashAlgo = if hash == "" then "sha256" else null;
+ outputHash = hash;
+ NETRC = netrc_file;
+ }
+ // (lib.optionalAttrs (name != null) {inherit name;}))
+ ''
+ python ${./fetch-legacy.py} ${lib.concatStringsSep " " (map (url: "--url ${lib.escapeShellArg url}") urls')} --pname ${pname} --filename ${file}
+ mv ${file} $out
+ ''
diff --git a/pkgs/build-support/fetchpypilegacy/fetch-legacy.py b/pkgs/build-support/fetchpypilegacy/fetch-legacy.py
new file mode 100644
index 000000000000..e031f244a771
--- /dev/null
+++ b/pkgs/build-support/fetchpypilegacy/fetch-legacy.py
@@ -0,0 +1,162 @@
+# Some repositories (such as Devpi) expose the Pypi legacy API
+# (https://warehouse.pypa.io/api-reference/legacy.html).
+#
+# Note it is not possible to use pip
+# https://discuss.python.org/t/pip-download-just-the-source-packages-no-building-no-metadata-etc/4651/12
+
+import base64
+import argparse
+import netrc
+import os
+import shutil
+import ssl
+import sys
+import urllib.request
+from html.parser import HTMLParser
+from os.path import normpath
+from typing import Optional
+from urllib.parse import urlparse, urlunparse
+
+
+# Parse the legacy index page to extract the href and package names
+class Pep503(HTMLParser):
+ def __init__(self) -> None:
+ super().__init__()
+ self.sources: dict[str, str] = {}
+ self.url: Optional[str] = None
+ self.name: Optional[str] = None
+
+ def handle_data(self, data: str) -> None:
+ if self.url is not None:
+ self.name = data
+
+ def handle_starttag(self, tag: str, attrs: list[tuple[str, Optional[str]]]) -> None:
+ if tag == "a":
+ for name, value in attrs:
+ if name == "href":
+ self.url = value
+
+ def handle_endtag(self, tag: str) -> None:
+ if self.url is not None:
+ if not self.name:
+ raise ValueError("Name not set")
+
+ self.sources[self.name] = self.url
+ self.url = None
+
+
+def try_fetch(url: str, package_name: str, package_filename: str) -> None:
+ index_url = url + "/" + package_name + "/"
+
+ # Parse username and password for this host from the netrc file if given.
+ username: Optional[str] = None
+ password: Optional[str] = None
+ if os.environ.get("NETRC", "") != "":
+ netrc_obj = netrc.netrc(os.environ["NETRC"])
+ host = urlparse(index_url).netloc
+ # Strip port number if present
+ if ":" in host:
+ host = host.split(":")[0]
+ authenticators = netrc_obj.authenticators(host)
+ if authenticators:
+ username, _, password = authenticators
+
+ print("Reading index %s" % index_url)
+
+ context = ssl.create_default_context()
+
+ # Extract out username/password from index_url, if present.
+ parsed_url = urlparse(index_url)
+ username = parsed_url.username or username
+ password = parsed_url.password or password
+ index_url = parsed_url._replace(netloc=parsed_url.netloc.rpartition("@")[-1]).geturl()
+
+ req = urllib.request.Request(index_url)
+
+ if username and password: # Add authentication
+ password_b64 = base64.b64encode(":".join((username, password)).encode()).decode("utf-8")
+ req.add_header("Authorization", "Basic {}".format(password_b64))
+ else: # If we are not using authentication disable TLS verification for long term reproducibility
+ context.check_hostname = False
+ context.verify_mode = ssl.CERT_NONE
+
+ response = urllib.request.urlopen(req, context=context)
+ index = response.read()
+
+ parser = Pep503()
+ parser.feed(str(index, "utf-8"))
+ if package_filename not in parser.sources:
+ print("The file %s has not be found in the index %s" % (package_filename, index_url))
+ exit(1)
+
+ package_file = open(package_filename, "wb")
+ # Sometimes the href is a relative or absolute path within the index's domain.
+ indicated_url = urlparse(parser.sources[package_filename])
+ if indicated_url.netloc == "":
+ parsed_url = urlparse(index_url)
+
+ if indicated_url.path.startswith("/"):
+ # An absolute path within the index's domain.
+ path = parser.sources[package_filename]
+ else:
+ # A relative path.
+ path = parsed_url.path + "/" + parser.sources[package_filename]
+
+ package_url = urlunparse(
+ (
+ parsed_url.scheme,
+ parsed_url.netloc,
+ path,
+ None,
+ None,
+ None,
+ )
+ )
+ else:
+ package_url = parser.sources[package_filename]
+
+ # Handle urls containing "../"
+ parsed_url = urlparse(package_url)
+ real_package_url = urlunparse(
+ (
+ parsed_url.scheme,
+ parsed_url.netloc,
+ normpath(parsed_url.path),
+ parsed_url.params,
+ parsed_url.query,
+ parsed_url.fragment,
+ )
+ )
+ print("Downloading %s" % real_package_url)
+
+ req = urllib.request.Request(real_package_url)
+ if username and password:
+ req.add_unredirected_header("Authorization", "Basic {}".format(password_b64))
+ response = urllib.request.urlopen(req, context=context)
+
+ with response as r:
+ shutil.copyfileobj(r, package_file)
+
+
+argparser = argparse.ArgumentParser(description="Fetch file from legacy pypi API")
+argparser.add_argument("--url", action="append", required=True)
+argparser.add_argument("--pname", action="store", required=True)
+argparser.add_argument("--filename", action="store", required=True)
+
+
+if __name__ == "__main__":
+ args = argparser.parse_args()
+ for url in args.url:
+ try:
+ try_fetch(url, args.pname, args.filename)
+ except urllib.error.HTTPError as e:
+ print("Got exception'", e, "', trying next package index", file=sys.stderr)
+ continue
+ else:
+ break
+ else:
+ print(
+ f"Could not fetch package '{args.pname}' file '{args.filename}' from any mirrors: {args.url}",
+ file=sys.stderr,
+ )
+ exit(1)
diff --git a/pkgs/build-support/fetchpypilegacy/tests.nix b/pkgs/build-support/fetchpypilegacy/tests.nix
new file mode 100644
index 000000000000..b16325b96b7e
--- /dev/null
+++ b/pkgs/build-support/fetchpypilegacy/tests.nix
@@ -0,0 +1,9 @@
+{ testers, fetchPypiLegacy, ... }: {
+ # Tests that we can send custom headers with spaces in them
+ fetchSimple = testers.invalidateFetcherByDrvHash fetchPypiLegacy {
+ pname = "requests";
+ file = "requests-2.31.0.tar.gz";
+ url = "https://pypi.org/simple";
+ hash = "sha256-lCxadY+Y15Dq7Ropy27vx/+w0c968Fw9J5Flbb1q0eE=";
+ };
+}
diff --git a/pkgs/build-support/java/canonicalize-jar.nix b/pkgs/build-support/java/canonicalize-jar.nix
deleted file mode 100644
index 1edd9a6e0d20..000000000000
--- a/pkgs/build-support/java/canonicalize-jar.nix
+++ /dev/null
@@ -1,9 +0,0 @@
-{ substituteAll, unzip, zip }:
-
-substituteAll {
- name = "canonicalize-jar";
- src = ./canonicalize-jar.sh;
-
- unzip = "${unzip}/bin/unzip";
- zip = "${zip}/bin/zip";
-}
diff --git a/pkgs/build-support/java/canonicalize-jar.sh b/pkgs/build-support/java/canonicalize-jar.sh
deleted file mode 100644
index af010bcd2b26..000000000000
--- a/pkgs/build-support/java/canonicalize-jar.sh
+++ /dev/null
@@ -1,29 +0,0 @@
-# Canonicalize the manifest & repack with deterministic timestamps.
-canonicalizeJar() {
- local input='' outer=''
- input="$(realpath -sm -- "$1")"
- outer="$(pwd)"
- # -qq: even quieter
- @unzip@ -qq "$input" -d "$input-tmp"
- canonicalizeJarManifest "$input-tmp/META-INF/MANIFEST.MF"
- # Sets all timestamps to Jan 1 1980, the earliest mtime zips support.
- find -- "$input-tmp" -exec touch -t 198001010000.00 {} +
- rm "$input"
- pushd "$input-tmp" 2>/dev/null
- # -q|--quiet, -r|--recurse-paths
- # -o|--latest-time: canonicalizes overall archive mtime
- # -X|--no-extra: don't store platform-specific extra file attribute fields
- @zip@ -qroX "$outer/tmp-out.jar" . 2> /dev/null
- popd 2>/dev/null
- rm -rf "$input-tmp"
- mv "$outer/tmp-out.jar" "$input"
-}
-
-# See also the Java specification's JAR requirements:
-# https://docs.oracle.com/javase/8/docs/technotes/guides/jar/jar.html#Notes_on_Manifest_and_Signature_Files
-canonicalizeJarManifest() {
- local input=''
- input="$(realpath -sm -- "$1")"
- (head -n 1 "$input" && tail -n +2 "$input" | sort | grep -v '^\s*$') > "$input-tmp"
- mv "$input-tmp" "$input"
-}
diff --git a/pkgs/build-support/kernel/make-initrd-ng-tool.nix b/pkgs/build-support/kernel/make-initrd-ng-tool.nix
index b1fbee92b32e..5e08c091c054 100644
--- a/pkgs/build-support/kernel/make-initrd-ng-tool.nix
+++ b/pkgs/build-support/kernel/make-initrd-ng-tool.nix
@@ -11,6 +11,7 @@ rustPlatform.buildRustPackage {
meta = {
description = "Tool for copying binaries and their dependencies";
+ mainProgram = "make-initrd-ng";
maintainers = with lib.maintainers; [ das_j elvishjerricco k900 lheckemann ];
license = lib.licenses.mit;
};
diff --git a/pkgs/build-support/lib/cmake.nix b/pkgs/build-support/lib/cmake.nix
new file mode 100644
index 000000000000..eff7bbca61a2
--- /dev/null
+++ b/pkgs/build-support/lib/cmake.nix
@@ -0,0 +1,30 @@
+{ stdenv, lib }:
+
+let
+ inherit (lib) findFirst isString optional optionals;
+
+ makeCMakeFlags = { cmakeFlags ? [], ... }:
+ cmakeFlags
+ ++ optionals (stdenv.hostPlatform != stdenv.buildPlatform) ([
+ "-DCMAKE_SYSTEM_NAME=${findFirst isString "Generic" (optional (!stdenv.hostPlatform.isRedox) stdenv.hostPlatform.uname.system)}"
+ ] ++ optionals (stdenv.hostPlatform.uname.processor != null) [
+ "-DCMAKE_SYSTEM_PROCESSOR=${stdenv.hostPlatform.uname.processor}"
+ ] ++ optionals (stdenv.hostPlatform.uname.release != null) [
+ "-DCMAKE_SYSTEM_VERSION=${stdenv.hostPlatform.uname.release}"
+ ] ++ optionals (stdenv.hostPlatform.isDarwin) [
+ "-DCMAKE_OSX_ARCHITECTURES=${stdenv.hostPlatform.darwinArch}"
+ ] ++ optionals (stdenv.buildPlatform.uname.system != null) [
+ "-DCMAKE_HOST_SYSTEM_NAME=${stdenv.buildPlatform.uname.system}"
+ ] ++ optionals (stdenv.buildPlatform.uname.processor != null) [
+ "-DCMAKE_HOST_SYSTEM_PROCESSOR=${stdenv.buildPlatform.uname.processor}"
+ ] ++ optionals (stdenv.buildPlatform.uname.release != null) [
+ "-DCMAKE_HOST_SYSTEM_VERSION=${stdenv.buildPlatform.uname.release}"
+ ] ++ optionals (stdenv.buildPlatform.canExecute stdenv.hostPlatform) [
+ "-DCMAKE_CROSSCOMPILING_EMULATOR=env"
+ ] ++ optionals stdenv.hostPlatform.isStatic [
+ "-DCMAKE_LINK_SEARCH_START_STATIC=ON"
+ ]);
+in
+{
+ inherit makeCMakeFlags;
+}
diff --git a/pkgs/build-support/lib/meson.nix b/pkgs/build-support/lib/meson.nix
new file mode 100644
index 000000000000..395b573f8587
--- /dev/null
+++ b/pkgs/build-support/lib/meson.nix
@@ -0,0 +1,35 @@
+{ stdenv, lib }:
+
+let
+ inherit (lib) boolToString optionals;
+
+ # See https://mesonbuild.com/Reference-tables.html#cpu-families
+ cpuFamily = platform: with platform;
+ /**/ if isAarch32 then "arm"
+ else if isx86_32 then "x86"
+ else platform.uname.processor;
+
+ makeMesonFlags = { mesonFlags ? [], ... }:
+ let
+ crossFile = builtins.toFile "cross-file.conf" ''
+ [properties]
+ bindgen_clang_arguments = ['-target', '${stdenv.targetPlatform.config}']
+ needs_exe_wrapper = ${boolToString (!stdenv.buildPlatform.canExecute stdenv.hostPlatform)}
+
+ [host_machine]
+ system = '${stdenv.targetPlatform.parsed.kernel.name}'
+ cpu_family = '${cpuFamily stdenv.targetPlatform}'
+ cpu = '${stdenv.targetPlatform.parsed.cpu.name}'
+ endian = ${if stdenv.targetPlatform.isLittleEndian then "'little'" else "'big'"}
+
+ [binaries]
+ llvm-config = 'llvm-config-native'
+ rust = ['rustc', '--target', '${stdenv.targetPlatform.rust.rustcTargetSpec}']
+ '';
+ crossFlags = optionals (stdenv.hostPlatform != stdenv.buildPlatform) [ "--cross-file=${crossFile}" ];
+ in crossFlags ++ mesonFlags;
+
+in
+{
+ inherit makeMesonFlags;
+}
diff --git a/pkgs/build-support/libredirect/test.c b/pkgs/build-support/libredirect/test.c
index 20b27759f019..e5685fcb31b7 100644
--- a/pkgs/build-support/libredirect/test.c
+++ b/pkgs/build-support/libredirect/test.c
@@ -74,7 +74,7 @@ int main(int argc, char *argv[])
FILE *testfp;
int testfd;
struct stat testsb;
-#ifndef __APPLE__
+#ifdef __GLIBC__
struct stat64 testsb64;
#endif
#if defined(__linux__) && defined(STATX_TYPE)
@@ -93,11 +93,11 @@ int main(int argc, char *argv[])
assert(access(TESTPATH, X_OK) == 0);
assert(stat(TESTPATH, &testsb) != -1);
-#ifndef __APPLE__
+#ifdef __GLIBC__
assert(stat64(TESTPATH, &testsb64) != -1);
#endif
assert(fstatat(123, TESTPATH, &testsb, 0) != -1);
-#ifndef __APPLE__
+#ifdef __GLIBC__
assert(fstatat64(123, TESTPATH, &testsb64, 0) != -1);
#endif
#if defined(__linux__) && defined(STATX_TYPE)
diff --git a/pkgs/build-support/node/build-npm-package/default.nix b/pkgs/build-support/node/build-npm-package/default.nix
index 42c6a9c065b2..1c7bf63e8cd6 100644
--- a/pkgs/build-support/node/build-npm-package/default.nix
+++ b/pkgs/build-support/node/build-npm-package/default.nix
@@ -49,6 +49,12 @@
name = "${name}-npm-deps";
hash = npmDepsHash;
}
+ # Custom npmConfigHook
+, npmConfigHook ? null
+ # Custom npmBuildHook
+, npmBuildHook ? null
+ # Custom npmInstallHook
+, npmInstallHook ? null
, ...
} @ args:
@@ -57,14 +63,19 @@ let
npmHooks = buildPackages.npmHooks.override {
inherit nodejs;
};
-
- inherit (npmHooks) npmConfigHook npmBuildHook npmInstallHook;
in
stdenv.mkDerivation (args // {
inherit npmDeps npmBuildScript;
nativeBuildInputs = nativeBuildInputs
- ++ [ nodejs npmConfigHook npmBuildHook npmInstallHook nodejs.python ]
+ ++ [
+ nodejs
+ # Prefer passed hooks
+ (if npmConfigHook != null then npmConfigHook else npmHooks.npmConfigHook)
+ (if npmBuildHook != null then npmBuildHook else npmHooks.npmBuildHook)
+ (if npmInstallHook != null then npmInstallHook else npmHooks.npmInstallHook)
+ nodejs.python
+ ]
++ lib.optionals stdenv.isDarwin [ darwin.cctools ];
buildInputs = buildInputs ++ [ nodejs ];
diff --git a/pkgs/build-support/node/build-npm-package/hooks/npm-install-hook.sh b/pkgs/build-support/node/build-npm-package/hooks/npm-install-hook.sh
index 56e3a883b99a..750ed421789f 100644
--- a/pkgs/build-support/node/build-npm-package/hooks/npm-install-hook.sh
+++ b/pkgs/build-support/node/build-npm-package/hooks/npm-install-hook.sh
@@ -12,7 +12,7 @@ npmInstallHook() {
local dest="$packageOut/$(dirname "$file")"
mkdir -p "$dest"
cp "${npmWorkspace-.}/$file" "$dest"
- done < <(@jq@ --raw-output '.[0].files | map(.path) | join("\n")' <<< "$(npm_config_cache="$HOME/.npm" npm pack --json --dry-run --loglevel=warn --no-foreground-scripts ${npmWorkspace+--workspace=$npmWorkspace} $npmPackFlags "${npmPackFlagsArray[@]}" $npmFlags "${npmFlagsArray[@]}")")
+ done < <(@jq@ --raw-output '.[0].files | map(.path | select(. | startswith("node_modules/") | not)) | join("\n")' <<< "$(npm_config_cache="$HOME/.npm" npm pack --json --dry-run --loglevel=warn --no-foreground-scripts ${npmWorkspace+--workspace=$npmWorkspace} $npmPackFlags "${npmPackFlagsArray[@]}" $npmFlags "${npmFlagsArray[@]}")")
# Based on code from Python's buildPythonPackage wrap.sh script, for
# supporting both the case when makeWrapperArgs is an array and a
diff --git a/pkgs/build-support/node/fetch-npm-deps/default.nix b/pkgs/build-support/node/fetch-npm-deps/default.nix
index 725f9ba3bb01..373d63cc59b8 100644
--- a/pkgs/build-support/node/fetch-npm-deps/default.nix
+++ b/pkgs/build-support/node/fetch-npm-deps/default.nix
@@ -141,6 +141,7 @@
meta = with lib; {
description = "Prefetch dependencies from npm (for use with `fetchNpmDeps`)";
+ mainProgram = "prefetch-npm-deps";
maintainers = with maintainers; [ lilyinstarlight winter ];
license = licenses.mit;
};
diff --git a/pkgs/build-support/node/import-npm-lock/default.nix b/pkgs/build-support/node/import-npm-lock/default.nix
new file mode 100644
index 000000000000..d530b8ee30ff
--- /dev/null
+++ b/pkgs/build-support/node/import-npm-lock/default.nix
@@ -0,0 +1,134 @@
+{ lib
+, fetchurl
+, stdenv
+, callPackages
+, runCommand
+}:
+
+let
+ inherit (builtins) match elemAt toJSON removeAttrs;
+ inherit (lib) importJSON mapAttrs;
+
+ matchGitHubReference = match "github(.com)?:.+";
+ getName = package: package.name or "unknown";
+ getVersion = package: package.version or "0.0.0";
+
+ # Fetch a module from package-lock.json -> packages
+ fetchModule =
+ { module
+ , npmRoot ? null
+ }: (
+ if module ? "resolved" then
+ (
+ let
+ # Parse scheme from URL
+ mUrl = match "(.+)://(.+)" module.resolved;
+ scheme = elemAt mUrl 0;
+ in
+ (
+ if mUrl == null then
+ (
+ assert npmRoot != null; {
+ outPath = npmRoot + "/${module.resolved}";
+ }
+ )
+ else if (scheme == "http" || scheme == "https") then
+ (
+ fetchurl {
+ url = module.resolved;
+ hash = module.integrity;
+ }
+ )
+ else if lib.hasPrefix "git" module.resolved then
+ (
+ builtins.fetchGit {
+ url = module.resolved;
+ }
+ )
+ else throw "Unsupported URL scheme: ${scheme}"
+ )
+ )
+ else null
+ );
+
+ # Manage node_modules outside of the store with hooks
+ hooks = callPackages ./hooks { };
+
+in
+{
+ importNpmLock =
+ { npmRoot ? null
+ , package ? importJSON (npmRoot + "/package.json")
+ , packageLock ? importJSON (npmRoot + "/package-lock.json")
+ , pname ? getName package
+ , version ? getVersion package
+ }:
+ let
+ mapLockDependencies =
+ mapAttrs
+ (name: version: (
+ # Substitute the constraint with the version of the dependency from the top-level of package-lock.
+ if (
+ # if the version is `latest`
+ version == "latest"
+ ||
+ # Or if it's a github reference
+ matchGitHubReference version != null
+ ) then packageLock'.packages.${"node_modules/${name}"}.version
+ # But not a regular version constraint
+ else version
+ ));
+
+ packageLock' = packageLock // {
+ packages =
+ mapAttrs
+ (_: module:
+ let
+ src = fetchModule {
+ inherit module npmRoot;
+ };
+ in
+ (removeAttrs module [
+ "link"
+ "funding"
+ ]) // lib.optionalAttrs (src != null) {
+ resolved = "file:${src}";
+ } // lib.optionalAttrs (module ? dependencies) {
+ dependencies = mapLockDependencies module.dependencies;
+ } // lib.optionalAttrs (module ? optionalDependencies) {
+ optionalDependencies = mapLockDependencies module.optionalDependencies;
+ })
+ packageLock.packages;
+ };
+
+ mapPackageDependencies = mapAttrs (name: _: packageLock'.packages.${"node_modules/${name}"}.resolved);
+
+ # Substitute dependency references in package.json with Nix store paths
+ packageJSON' = package // lib.optionalAttrs (package ? dependencies) {
+ dependencies = mapPackageDependencies package.dependencies;
+ } // lib.optionalAttrs (package ? devDependencies) {
+ devDependencies = mapPackageDependencies package.devDependencies;
+ };
+
+ pname = package.name or "unknown";
+
+ in
+ runCommand "${pname}-${version}-sources"
+ {
+ inherit pname version;
+
+ passAsFile = [ "package" "packageLock" ];
+
+ package = toJSON packageJSON';
+ packageLock = toJSON packageLock';
+ } ''
+ mkdir $out
+ cp "$packagePath" $out/package.json
+ cp "$packageLockPath" $out/package-lock.json
+ '';
+
+ inherit hooks;
+ inherit (hooks) npmConfigHook;
+
+ __functor = self: self.importNpmLock;
+}
diff --git a/pkgs/build-support/node/import-npm-lock/hooks/canonicalize-symlinks.js b/pkgs/build-support/node/import-npm-lock/hooks/canonicalize-symlinks.js
new file mode 100644
index 000000000000..81cd2593c5b2
--- /dev/null
+++ b/pkgs/build-support/node/import-npm-lock/hooks/canonicalize-symlinks.js
@@ -0,0 +1,52 @@
+#!/usr/bin/env node
+const fs = require("fs");
+const path = require("path");
+
+// When installing files rewritten to the Nix store with npm
+// npm writes the symlinks relative to the build directory.
+//
+// This makes relocating node_modules tricky when refering to the store.
+// This script walks node_modules and canonicalizes symlinks.
+
+async function canonicalize(storePrefix, root) {
+ console.log(storePrefix, root)
+ const entries = await fs.promises.readdir(root);
+ const paths = entries.map((entry) => path.join(root, entry));
+
+ const stats = await Promise.all(
+ paths.map(async (path) => {
+ return {
+ path: path,
+ stat: await fs.promises.lstat(path),
+ };
+ })
+ );
+
+ const symlinks = stats.filter((stat) => stat.stat.isSymbolicLink());
+ const dirs = stats.filter((stat) => stat.stat.isDirectory());
+
+ // Canonicalize symlinks to their real path
+ await Promise.all(
+ symlinks.map(async (stat) => {
+ const target = await fs.promises.realpath(stat.path);
+ if (target.startsWith(storePrefix)) {
+ await fs.promises.unlink(stat.path);
+ await fs.promises.symlink(target, stat.path);
+ }
+ })
+ );
+
+ // Recurse into directories
+ await Promise.all(dirs.map((dir) => canonicalize(storePrefix, dir.path)));
+}
+
+async function main() {
+ const args = process.argv.slice(2);
+ const storePrefix = args[0];
+
+ if (fs.existsSync("node_modules")) {
+ await canonicalize(storePrefix, "node_modules");
+ }
+}
+
+main();
diff --git a/pkgs/build-support/node/import-npm-lock/hooks/default.nix b/pkgs/build-support/node/import-npm-lock/hooks/default.nix
new file mode 100644
index 000000000000..5990371def91
--- /dev/null
+++ b/pkgs/build-support/node/import-npm-lock/hooks/default.nix
@@ -0,0 +1,13 @@
+{ callPackage, lib, makeSetupHook, srcOnly, nodejs }:
+{
+ npmConfigHook = makeSetupHook
+ {
+ name = "npm-config-hook";
+ substitutions = {
+ nodeSrc = srcOnly nodejs;
+ nodeGyp = "${nodejs}/lib/node_modules/npm/node_modules/node-gyp/bin/node-gyp.js";
+ canonicalizeSymlinksScript = ./canonicalize-symlinks.js;
+ storePrefix = builtins.storeDir;
+ };
+ } ./npm-config-hook.sh;
+}
diff --git a/pkgs/build-support/node/import-npm-lock/hooks/npm-config-hook.sh b/pkgs/build-support/node/import-npm-lock/hooks/npm-config-hook.sh
new file mode 100644
index 000000000000..35c3a2061d4b
--- /dev/null
+++ b/pkgs/build-support/node/import-npm-lock/hooks/npm-config-hook.sh
@@ -0,0 +1,70 @@
+# shellcheck shell=bash
+
+npmConfigHook() {
+ echo "Executing npmConfigHook"
+
+ if [ -n "${npmRoot-}" ]; then
+ pushd "$npmRoot"
+ fi
+
+ if [ -z "${npmDeps-}" ]; then
+ echo "Error: 'npmDeps' should be set when using npmConfigHook."
+ exit 1
+ fi
+
+ echo "Configuring npm"
+
+ export HOME="$TMPDIR"
+ export npm_config_nodedir="@nodeSrc@"
+ export npm_config_node_gyp="@nodeGyp@"
+ npm config set offline true
+ npm config set progress false
+ npm config set fund false
+
+ echo "Installing patched package.json/package-lock.json"
+
+ # Save original package.json/package-lock.json for closure size reductions.
+ # The patched one contains store paths we don't want at runtime.
+ mv package.json .package.json.orig
+ if test -f package-lock.json; then # Not all packages have package-lock.json.
+ mv package-lock.json .package-lock.json.orig
+ fi
+ cp --no-preserve=mode "${npmDeps}/package.json" package.json
+ cp --no-preserve=mode "${npmDeps}/package-lock.json" package-lock.json
+
+ echo "Installing dependencies"
+
+ if ! npm install --ignore-scripts $npmInstallFlags "${npmInstallFlagsArray[@]}" $npmFlags "${npmFlagsArray[@]}"; then
+ echo
+ echo "ERROR: npm failed to install dependencies"
+ echo
+ echo "Here are a few things you can try, depending on the error:"
+ echo '1. Set `npmFlags = [ "--legacy-peer-deps" ]`'
+ echo
+
+ exit 1
+ fi
+
+ patchShebangs node_modules
+
+ npm rebuild $npmRebuildFlags "${npmRebuildFlagsArray[@]}" $npmFlags "${npmFlagsArray[@]}"
+
+ patchShebangs node_modules
+
+ # Canonicalize symlinks from relative paths to the Nix store.
+ node @canonicalizeSymlinksScript@ @storePrefix@
+
+ # Revert to pre-patched package.json/package-lock.json for closure size reductions
+ mv .package.json.orig package.json
+ if test -f ".package-lock.json.orig"; then
+ mv .package-lock.json.orig package-lock.json
+ fi
+
+ if [ -n "${npmRoot-}" ]; then
+ popd
+ fi
+
+ echo "Finished npmConfigHook"
+}
+
+postConfigureHooks+=(npmConfigHook)
diff --git a/pkgs/build-support/oci-tools/default.nix b/pkgs/build-support/oci-tools/default.nix
index 67e081522d64..1f5507f2eb75 100644
--- a/pkgs/build-support/oci-tools/default.nix
+++ b/pkgs/build-support/oci-tools/default.nix
@@ -1,4 +1,4 @@
-{ lib, writeText, runCommand, writeReferencesToFile }:
+{ lib, writeText, runCommand, writeClosure }:
{
buildContainer =
@@ -72,7 +72,7 @@
set -o pipefail
mkdir -p $out/rootfs/{dev,proc,sys}
cp ${config} $out/config.json
- xargs tar c < ${writeReferencesToFile args} | tar -xC $out/rootfs/
+ xargs tar c < ${writeClosure args} | tar -xC $out/rootfs/
'';
}
diff --git a/pkgs/build-support/php/build-composer-project.nix b/pkgs/build-support/php/build-composer-project.nix
index 778aa35fa6a5..80c63bcde71b 100644
--- a/pkgs/build-support/php/build-composer-project.nix
+++ b/pkgs/build-support/php/build-composer-project.nix
@@ -57,9 +57,9 @@ let
doInstallCheck = previousAttrs.doInstallCheck or false;
installCheckPhase = previousAttrs.installCheckPhase or ''
- runHook preCheckInstall
+ runHook preInstallCheck
- runHook postCheckInstall
+ runHook postInstallCheck
'';
composerRepository = phpDrv.mkComposerRepository {
diff --git a/pkgs/build-support/php/build-composer-repository.nix b/pkgs/build-support/php/build-composer-repository.nix
index 5b31f86e61cf..e359c0829aaf 100644
--- a/pkgs/build-support/php/build-composer-repository.nix
+++ b/pkgs/build-support/php/build-composer-repository.nix
@@ -78,9 +78,9 @@ let
doInstallCheck = previousAttrs.doInstallCheck or false;
installCheckPhase = previousAttrs.installCheckPhase or ''
- runHook preCheckInstall
+ runHook preInstallCheck
- runHook postCheckInstall
+ runHook postInstallCheck
'';
COMPOSER_CACHE_DIR = "/dev/null";
diff --git a/pkgs/build-support/php/hooks/composer-install-hook.sh b/pkgs/build-support/php/hooks/composer-install-hook.sh
index 6e7fb5d7503b..edba0e5eec4e 100644
--- a/pkgs/build-support/php/hooks/composer-install-hook.sh
+++ b/pkgs/build-support/php/hooks/composer-install-hook.sh
@@ -9,6 +9,8 @@ preBuildHooks+=(composerInstallBuildHook)
preCheckHooks+=(composerInstallCheckHook)
preInstallHooks+=(composerInstallInstallHook)
+source @phpScriptUtils@
+
composerInstallConfigureHook() {
echo "Executing composerInstallConfigureHook"
@@ -22,6 +24,8 @@ composerInstallConfigureHook() {
fi
if [[ ! -f "composer.lock" ]]; then
+ setComposeRootVersion
+
composer \
--no-ansi \
--no-install \
@@ -75,31 +79,11 @@ composerInstallConfigureHook() {
composerInstallBuildHook() {
echo "Executing composerInstallBuildHook"
+ setComposeRootVersion
+
# Since this file cannot be generated in the composer-repository-hook.sh
# because the file contains hardcoded nix store paths, we generate it here.
- composer-local-repo-plugin --no-ansi build-local-repo -m "${composerRepository}" .
-
- # Remove all the repositories of type "composer" and "vcs"
- # from the composer.json file.
- jq -r -c 'del(try .repositories[] | select(.type == "composer" or .type == "vcs"))' composer.json | sponge composer.json
-
- # Configure composer to disable packagist and avoid using the network.
- composer config repo.packagist false
- # Configure composer to use the local repository.
- composer config repo.composer composer file://"$PWD"/packages.json
-
- # Since the composer.json file has been modified in the previous step, the
- # composer.lock file needs to be updated.
- COMPOSER_ROOT_VERSION="${version}" \
- composer \
- --lock \
- --no-ansi \
- --no-install \
- --no-interaction \
- ${composerNoDev:+--no-dev} \
- ${composerNoPlugins:+--no-plugins} \
- ${composerNoScripts:+--no-scripts} \
- update
+ composer-local-repo-plugin --no-ansi build-local-repo-lock -m "${composerRepository}" .
echo "Finished composerInstallBuildHook"
}
@@ -107,26 +91,7 @@ composerInstallBuildHook() {
composerInstallCheckHook() {
echo "Executing composerInstallCheckHook"
- if ! composer validate --strict --no-ansi --no-interaction --quiet; then
- if [ ! -z "${composerStrictValidation-}" ]; then
- echo
- echo -e "\e[31mERROR: composer files validation failed\e[0m"
- echo
- echo -e '\e[31mThe validation of the composer.json and composer.lock failed.\e[0m'
- echo -e '\e[31mMake sure that the file composer.lock is consistent with composer.json.\e[0m'
- echo
- exit 1
- else
- echo
- echo -e "\e[33mWARNING: composer files validation failed\e[0m"
- echo
- echo -e '\e[33mThe validation of the composer.json and composer.lock failed.\e[0m'
- echo -e '\e[33mMake sure that the file composer.lock is consistent with composer.json.\e[0m'
- echo
- echo -e '\e[33mThis check is not blocking, but it is recommended to fix the issue.\e[0m'
- echo
- fi
- fi
+ checkComposerValidate
echo "Finished composerInstallCheckHook"
}
@@ -134,11 +99,10 @@ composerInstallCheckHook() {
composerInstallInstallHook() {
echo "Executing composerInstallInstallHook"
+ setComposeRootVersion
+
# Finally, run `composer install` to install the dependencies and generate
# the autoloader.
- # The COMPOSER_ROOT_VERSION environment variable is needed only for
- # vimeo/psalm.
- COMPOSER_ROOT_VERSION="${version}" \
composer \
--no-ansi \
--no-interaction \
@@ -147,9 +111,6 @@ composerInstallInstallHook() {
${composerNoScripts:+--no-scripts} \
install
- # Remove packages.json, we don't need it in the store.
- rm packages.json
-
# Copy the relevant files only in the store.
mkdir -p "$out"/share/php/"${pname}"
cp -r . "$out"/share/php/"${pname}"/
diff --git a/pkgs/build-support/php/hooks/composer-repository-hook.sh b/pkgs/build-support/php/hooks/composer-repository-hook.sh
index 03783d9d639c..762e762761cc 100644
--- a/pkgs/build-support/php/hooks/composer-repository-hook.sh
+++ b/pkgs/build-support/php/hooks/composer-repository-hook.sh
@@ -10,6 +10,8 @@ preBuildHooks+=(composerRepositoryBuildHook)
preCheckHooks+=(composerRepositoryCheckHook)
preInstallHooks+=(composerRepositoryInstallHook)
+source @phpScriptUtils@
+
composerRepositoryConfigureHook() {
echo "Executing composerRepositoryConfigureHook"
@@ -18,7 +20,8 @@ composerRepositoryConfigureHook() {
fi
if [[ ! -f "composer.lock" ]]; then
- COMPOSER_ROOT_VERSION="${version}" \
+ setComposeRootVersion
+
composer \
--no-ansi \
--no-install \
@@ -55,10 +58,12 @@ composerRepositoryBuildHook() {
mkdir -p repository
+ setComposeRootVersion
+
# Build the local composer repository
# The command 'build-local-repo' is provided by the Composer plugin
# nix-community/composer-local-repo-plugin.
- composer-local-repo-plugin --no-ansi build-local-repo ${composerNoDev:+--no-dev} -r repository
+ composer-local-repo-plugin --no-ansi build-local-repo-lock ${composerNoDev:+--no-dev} -r repository
echo "Finished composerRepositoryBuildHook"
}
@@ -66,26 +71,7 @@ composerRepositoryBuildHook() {
composerRepositoryCheckHook() {
echo "Executing composerRepositoryCheckHook"
- if ! composer validate --strict --no-ansi --no-interaction --quiet; then
- if [ ! -z "${composerStrictValidation-}" ]; then
- echo
- echo -e "\e[31mERROR: composer files validation failed\e[0m"
- echo
- echo -e '\e[31mThe validation of the composer.json and composer.lock failed.\e[0m'
- echo -e '\e[31mMake sure that the file composer.lock is consistent with composer.json.\e[0m'
- echo
- exit 1
- else
- echo
- echo -e "\e[33mWARNING: composer files validation failed\e[0m"
- echo
- echo -e '\e[33mThe validation of the composer.json and composer.lock failed.\e[0m'
- echo -e '\e[33mMake sure that the file composer.lock is consistent with composer.json.\e[0m'
- echo
- echo -e '\e[33mThis check is not blocking, but it is recommended to fix the issue.\e[0m'
- echo
- fi
- fi
+ checkComposerValidate
echo "Finished composerRepositoryCheckHook"
}
diff --git a/pkgs/build-support/php/hooks/default.nix b/pkgs/build-support/php/hooks/default.nix
index 240ec640723a..98e81c88f9e9 100644
--- a/pkgs/build-support/php/hooks/default.nix
+++ b/pkgs/build-support/php/hooks/default.nix
@@ -2,18 +2,28 @@
, makeSetupHook
, diffutils
, jq
+, writeShellApplication
, moreutils
, makeBinaryWrapper
, cacert
, buildPackages
}:
+let
+ php-script-utils = writeShellApplication {
+ name = "php-script-utils";
+ runtimeInputs = [ jq ];
+ text = builtins.readFile ./php-script-utils.bash;
+ };
+in
{
composerRepositoryHook = makeSetupHook
{
name = "composer-repository-hook.sh";
propagatedBuildInputs = [ jq moreutils cacert ];
- substitutions = { };
+ substitutions = {
+ phpScriptUtils = lib.getExe php-script-utils;
+ };
} ./composer-repository-hook.sh;
composerInstallHook = makeSetupHook
@@ -24,6 +34,7 @@
# Specify the stdenv's `diff` by abspath to ensure that the user's build
# inputs do not cause us to find the wrong `diff`.
cmp = "${lib.getBin buildPackages.diffutils}/bin/cmp";
+ phpScriptUtils = lib.getExe php-script-utils;
};
} ./composer-install-hook.sh;
}
diff --git a/pkgs/build-support/php/hooks/php-script-utils.bash b/pkgs/build-support/php/hooks/php-script-utils.bash
new file mode 100644
index 000000000000..bba0242e65d1
--- /dev/null
+++ b/pkgs/build-support/php/hooks/php-script-utils.bash
@@ -0,0 +1,77 @@
+declare version
+declare composerStrictValidation
+
+setComposeRootVersion() {
+ set +e # Disable exit on error
+
+ if [[ -v version ]]; then
+ echo -e "\e[32mSetting COMPOSER_ROOT_VERSION to $version\e[0m"
+ export COMPOSER_ROOT_VERSION=$version
+ fi
+
+ set -e
+}
+
+checkComposerValidate() {
+ if ! composer validate --strict --no-ansi --no-interaction --quiet --no-check-all --no-check-lock; then
+ if [ "1" == "${composerStrictValidation-}" ]; then
+ echo
+ echo -e "\e[31mERROR: composer files validation failed\e[0m"
+ echo
+ echo -e '\e[31mThe validation of the composer.json failed.\e[0m'
+ echo -e '\e[31mMake sure that the file composer.json is valid.\e[0m'
+ echo
+ echo -e '\e[31mTo address the issue efficiently, follow one of these steps:\e[0m'
+ echo -e '\e[31m 1. File an issue in the project'\''s issue tracker with detailed information, and apply any available remote patches as a temporary solution '\('with fetchpatch'\)'.\e[0m'
+ echo -e '\e[31m 2. If an immediate fix is needed or if reporting upstream isn'\''t suitable, develop a temporary local patch.\e[0m'
+ echo
+ exit 1
+ else
+ echo
+ echo -e "\e[33mWARNING: composer files validation failed\e[0m"
+ echo
+ echo -e '\e[33mThe validation of the composer.json failed.\e[0m'
+ echo -e '\e[33mMake sure that the file composer.json is valid.\e[0m'
+ echo
+ echo -e '\e[33mTo address the issue efficiently, follow one of these steps:\e[0m'
+ echo -e '\e[33m 1. File an issue in the project'\''s issue tracker with detailed information, and apply any available remote patches as a temporary solution with '\('with fetchpatch'\)'.\e[0m'
+ echo -e '\e[33m 2. If an immediate fix is needed or if reporting upstream isn'\''t suitable, develop a temporary local patch.\e[0m'
+ echo
+ echo -e '\e[33mThis check is not blocking, but it is recommended to fix the issue.\e[0m'
+ echo
+ fi
+ fi
+
+ if ! composer validate --strict --no-ansi --no-interaction --quiet --no-check-all --check-lock; then
+ if [ "1" == "${composerStrictValidation-}" ]; then
+ echo
+ echo -e "\e[31mERROR: composer files validation failed\e[0m"
+ echo
+ echo -e '\e[31mThe validation of the composer.json and composer.lock failed.\e[0m'
+ echo -e '\e[31mMake sure that the file composer.lock is consistent with composer.json.\e[0m'
+ echo
+ echo -e '\e[31mThis often indicates an issue with the upstream project, which can typically be resolved by reporting the issue to the relevant project maintainers.\e[0m'
+ echo
+ echo -e '\e[31mTo address the issue efficiently, follow one of these steps:\e[0m'
+ echo -e '\e[31m 1. File an issue in the project'\''s issue tracker with detailed information '\('run '\''composer update --lock --no-install'\'' to fix the issue'\)', and apply any available remote patches as a temporary solution with '\('with fetchpatch'\)'.\e[0m'
+ echo -e '\e[31m 2. If an immediate fix is needed or if reporting upstream isn'\''t suitable, develop a temporary local patch.\e[0m'
+ echo
+ exit 1
+ else
+ echo
+ echo -e "\e[33mWARNING: composer files validation failed\e[0m"
+ echo
+ echo -e '\e[33mThe validation of the composer.json and composer.lock failed.\e[0m'
+ echo -e '\e[33mMake sure that the file composer.lock is consistent with composer.json.\e[0m'
+ echo
+ echo -e '\e[33mThis often indicates an issue with the upstream project, which can typically be resolved by reporting the issue to the relevant project maintainers.\e[0m'
+ echo
+ echo -e '\e[33mTo address the issue efficiently, follow one of these steps:\e[0m'
+ echo -e '\e[33m 1. File an issue in the project'\''s issue tracker with detailed information '\('run '\''composer update --lock --no-install'\'' to fix the issue'\)', and apply any available remote patches as a temporary solution with '\('with fetchpatch'\)'.\e[0m'
+ echo -e '\e[33m 2. If an immediate fix is needed or if reporting upstream isn'\''t suitable, develop a temporary local patch.\e[0m'
+ echo
+ echo -e '\e[33mThis check is not blocking, but it is recommended to fix the issue.\e[0m'
+ echo
+ fi
+ fi
+}
diff --git a/pkgs/build-support/php/pkgs/composer-local-repo-plugin.nix b/pkgs/build-support/php/pkgs/composer-local-repo-plugin.nix
index 48d05b7a0008..bfdc3d4f98d1 100644
--- a/pkgs/build-support/php/pkgs/composer-local-repo-plugin.nix
+++ b/pkgs/build-support/php/pkgs/composer-local-repo-plugin.nix
@@ -29,13 +29,13 @@ let
in
stdenvNoCC.mkDerivation (finalAttrs: {
pname = "composer-local-repo-plugin";
- version = "1.0.3";
+ version = "1.1.0";
src = fetchFromGitHub {
owner = "nix-community";
repo = "composer-local-repo-plugin";
rev = finalAttrs.version;
- hash = "sha256-fLJlxcAQ7X28GDK8PVYKxJgTzbspfWxvgRmRK4NZRIA=";
+ hash = "sha256-edbn07r/Uc1g0qOuVBZBs6N1bMN5kIfA1b4FCufdw5M=";
};
COMPOSER_CACHE_DIR = "/dev/null";
diff --git a/pkgs/build-support/references-by-popularity/closure-graph.py b/pkgs/build-support/references-by-popularity/closure-graph.py
index 579f3b041fa8..4f8efd42ed81 100644
--- a/pkgs/build-support/references-by-popularity/closure-graph.py
+++ b/pkgs/build-support/references-by-popularity/closure-graph.py
@@ -8,8 +8,8 @@
# and how deep in the tree they live. Equally-"popular" paths are then
# sorted by name.
#
-# The existing writeReferencesToFile prints the paths in a simple
-# ascii-based sorting of the paths.
+# The existing writeClosure prints the paths in a simple ascii-based
+# sorting of the paths.
#
# Sorting the paths by graph improves the chances that the difference
# between two builds appear near the end of the list, instead of near
diff --git a/pkgs/build-support/remove-references-to/default.nix b/pkgs/build-support/remove-references-to/default.nix
index f022611ef913..1277cdb04fd7 100644
--- a/pkgs/build-support/remove-references-to/default.nix
+++ b/pkgs/build-support/remove-references-to/default.nix
@@ -32,4 +32,5 @@ stdenv.mkDerivation {
inherit (builtins) storeDir;
shell = lib.getBin shell + (shell.shellPath or "");
signingUtils = if darwinCodeSign then signingUtils else null;
+ meta.mainProgram = "remove-references-to";
}
diff --git a/pkgs/build-support/rust/rustc-wrapper/default.nix b/pkgs/build-support/rust/rustc-wrapper/default.nix
index d6034c08af47..0defa6355029 100644
--- a/pkgs/build-support/rust/rustc-wrapper/default.nix
+++ b/pkgs/build-support/rust/rustc-wrapper/default.nix
@@ -6,8 +6,27 @@ runCommand "${rustc-unwrapped.pname}-wrapper-${rustc-unwrapped.version}" {
inherit (rustc-unwrapped) outputs;
env = {
- prog = "${rustc-unwrapped}/bin/rustc";
sysroot = lib.optionalString (sysroot != null) "--sysroot ${sysroot}";
+
+ # Upstream rustc still assumes that musl = static[1]. The fix for
+ # this is to disable crt-static by default for non-static musl
+ # targets.
+ #
+ # Even though Cargo will build build.rs files for the build platform,
+ # cross-compiling _from_ musl appears to work fine, so we only need
+ # to do this when rustc's target platform is dynamically linked musl.
+ #
+ # [1]: https://github.com/rust-lang/compiler-team/issues/422
+ #
+ # WARNING: using defaultArgs is dangerous, as it will apply to all
+ # targets used by this compiler (host and target). This means
+ # that it can't be used to set arguments that should only be
+ # applied to the target. It's fine to do this for -crt-static,
+ # because rustc does not support +crt-static host platforms
+ # anyway.
+ defaultArgs = lib.optionalString
+ (with rustc-unwrapped.stdenv.targetPlatform; isMusl && !isStatic)
+ "-C target-feature=-crt-static";
};
passthru = {
@@ -22,9 +41,12 @@ runCommand "${rustc-unwrapped.pname}-wrapper-${rustc-unwrapped.version}" {
} ''
mkdir -p $out/bin
ln -s ${rustc-unwrapped}/bin/* $out/bin
- rm $out/bin/rustc
- substituteAll ${./rustc-wrapper.sh} $out/bin/rustc
- chmod +x $out/bin/rustc
+ rm $out/bin/{rustc,rustdoc}
+ prog=${rustc-unwrapped}/bin/rustc extraFlagsVar=NIX_RUSTFLAGS \
+ substituteAll ${./rustc-wrapper.sh} $out/bin/rustc
+ prog=${rustc-unwrapped}/bin/rustdoc extraFlagsVar=NIX_RUSTDOCFLAGS \
+ substituteAll ${./rustc-wrapper.sh} $out/bin/rustdoc
+ chmod +x $out/bin/{rustc,rustdoc}
${lib.concatMapStrings (output: "ln -s ${rustc-unwrapped.${output}} \$${output}\n")
(lib.remove "out" rustc-unwrapped.outputs)}
''
diff --git a/pkgs/build-support/rust/rustc-wrapper/rustc-wrapper.sh b/pkgs/build-support/rust/rustc-wrapper/rustc-wrapper.sh
index 4a90e30652fe..e33cc3e2a025 100644
--- a/pkgs/build-support/rust/rustc-wrapper/rustc-wrapper.sh
+++ b/pkgs/build-support/rust/rustc-wrapper/rustc-wrapper.sh
@@ -13,8 +13,8 @@ for arg; do
esac
done
-extraBefore=("${defaultSysroot[@]}")
-extraAfter=($NIX_RUSTFLAGS)
+extraBefore=(@defaultArgs@ "${defaultSysroot[@]}")
+extraAfter=($@extraFlagsVar@)
# Optionally print debug info.
if (( "${NIX_DEBUG:-0}" >= 1 )); then
diff --git a/pkgs/build-support/setup-hooks/canonicalize-jars.sh b/pkgs/build-support/setup-hooks/canonicalize-jars.sh
deleted file mode 100644
index 5137bfc94b01..000000000000
--- a/pkgs/build-support/setup-hooks/canonicalize-jars.sh
+++ /dev/null
@@ -1,16 +0,0 @@
-# This setup hook causes the fixup phase to repack all JAR files in a
-# canonical & deterministic fashion, e.g. resetting mtimes (like with normal
-# store files) and avoiding impure metadata.
-
-fixupOutputHooks+=('if [ -z "$dontCanonicalizeJars" -a -e "$prefix" ]; then canonicalizeJarsIn "$prefix"; fi')
-
-canonicalizeJarsIn() {
- local dir="$1"
- echo "canonicalizing jars in $dir"
- dir="$(realpath -sm -- "$dir")"
- while IFS= read -rd '' f; do
- canonicalizeJar "$f"
- done < <(find -- "$dir" -type f -name '*.jar' -print0)
-}
-
-source @canonicalize_jar@
diff --git a/pkgs/build-support/setup-hooks/patch-shebangs.sh b/pkgs/build-support/setup-hooks/patch-shebangs.sh
index a22f989362c4..80a29d727c85 100644
--- a/pkgs/build-support/setup-hooks/patch-shebangs.sh
+++ b/pkgs/build-support/setup-hooks/patch-shebangs.sh
@@ -72,7 +72,10 @@ patchShebangs() {
while IFS= read -r -d $'\0' f; do
isScript "$f" || continue
- read -r oldInterpreterLine < "$f"
+ # read exits unclean if the shebang does not end with a newline, but still assigns the variable.
+ # So if read returns errno != 0, we check if the assigned variable is non-empty and continue.
+ read -r oldInterpreterLine < "$f" || [ "$oldInterpreterLine" ]
+
read -r oldPath arg0 args <<< "${oldInterpreterLine:2}"
if [[ -z "${pathName:-}" ]]; then
diff --git a/pkgs/build-support/setup-hooks/strip-java-archives.sh b/pkgs/build-support/setup-hooks/strip-java-archives.sh
new file mode 100644
index 000000000000..22322468f76d
--- /dev/null
+++ b/pkgs/build-support/setup-hooks/strip-java-archives.sh
@@ -0,0 +1,16 @@
+# This setup hook makes the fixup phase to repack all java archives in a
+# deterministic fashion. The most important change being done is the resetting
+# of the modification times of the archive entries
+
+fixupOutputHooks+=('stripJavaArchivesIn $prefix')
+
+stripJavaArchivesIn() {
+ local dir="$1"
+ echo "stripping java archives in $dir"
+ find $dir -type f -regextype posix-egrep -regex ".*\.(jar|war|hpi|apk)$" -print0 |
+ while IFS= read -rd '' f; do
+ echo "stripping java archive $f"
+ strip-nondeterminism --type jar "$f"
+ done
+}
+
diff --git a/pkgs/build-support/singularity-tools/default.nix b/pkgs/build-support/singularity-tools/default.nix
index 8d7ad9e742a1..c9e53a4cb706 100644
--- a/pkgs/build-support/singularity-tools/default.nix
+++ b/pkgs/build-support/singularity-tools/default.nix
@@ -4,7 +4,7 @@
, storeDir ? builtins.storeDir
, writeScript
, singularity
-, writeReferencesToFile
+, writeClosure
, bash
, vmTools
, gawk
@@ -50,18 +50,13 @@ rec {
}:
let
projectName = singularity.projectName or "singularity";
- layer = mkLayer {
- inherit name;
- contents = contents ++ [ bash runScriptFile ];
- inherit projectName;
- };
runAsRootFile = shellScript "run-as-root.sh" runAsRoot;
runScriptFile = shellScript "run-script.sh" runScript;
result = vmTools.runInLinuxVM (
runCommand "${projectName}-image-${name}.img"
{
buildInputs = [ singularity e2fsprogs util-linux gawk ];
- layerClosure = writeReferencesToFile layer;
+ layerClosure = writeClosure contents;
preVM = vmTools.createEmptyImage {
size = diskSize;
fullName = "${projectName}-run-disk";
diff --git a/pkgs/build-support/snap/default.nix b/pkgs/build-support/snap/default.nix
deleted file mode 100644
index ba5271868911..000000000000
--- a/pkgs/build-support/snap/default.nix
+++ /dev/null
@@ -1,4 +0,0 @@
-{ callPackage, hello }:
-{
- makeSnap = callPackage ./make-snap.nix { };
-}
diff --git a/pkgs/build-support/snap/make-snap.nix b/pkgs/build-support/snap/make-snap.nix
deleted file mode 100644
index cef7500bcbaf..000000000000
--- a/pkgs/build-support/snap/make-snap.nix
+++ /dev/null
@@ -1,84 +0,0 @@
-{
- runCommand, squashfsTools, closureInfo, lib, jq, writeText
-}:
-
-{
- # The meta parameter is the contents of the `snap.yaml`, NOT the
- # `snapcraft.yaml`.
- #
- # - `snap.yaml` is what is inside of the final Snap,
- # - `snapcraft.yaml` is used by `snapcraft` to build snaps
- #
- # Since we skip the `snapcraft` tool, we skip the `snapcraft.yaml`
- # file. For more information:
- #
- # https://docs.snapcraft.io/snap-format
- #
- # Note: unsquashfs'ing an existing snap from the store can be helpful
- # for determining what you you're missing.
- #
- meta
-}: let
- snap_yaml = let
- # Validate the snap's meta contains a name.
- # Also: automatically set the `base` parameter and the layout for
- # the `/nix` bind.
- validate = { name, ... } @ args:
- args // {
- # Combine the provided arguments with the required options.
-
- # base: built from https://github.com/NixOS/snapd-nix-base
- # and published as The NixOS Foundation on the Snapcraft store.
- base = "nix-base";
- layout = (args.layout or {}) // {
- # Bind mount the Snap's root nix directory to `/nix` in the
- # execution environment's filesystem namespace.
- "/nix".bind = "$SNAP/nix";
- };
- };
- in writeText "snap.yaml"
- (builtins.toJSON (validate meta));
-
- # These are specifically required by snapd, so don't change them
- # unless you've verified snapcraft / snapd can handle them. Best bet
- # is to just mirror this list against how snapcraft creates images.
- # from: https://github.com/snapcore/snapcraft/blob/b88e378148134383ffecf3658e3a940b67c9bcc9/snapcraft/internal/lifecycle/_packer.py#L96-L98
- mksquashfs_args = [
- "-noappend" "-comp" "xz" "-no-xattrs" "-no-fragments"
-
- # Note: We want -all-root every time, since all the files are
- # owned by root anyway. This is true for Nix, but not true for
- # other builds.
- # from: https://github.com/snapcore/snapcraft/blob/b88e378148134383ffecf3658e3a940b67c9bcc9/snapcraft/internal/lifecycle/_packer.py#L100
- "-all-root"
- ];
-
-in runCommand "squashfs.img" {
- nativeBuildInputs = [ squashfsTools jq ];
-
- closureInfo = closureInfo {
- rootPaths = [ snap_yaml ];
- };
-} ''
- root=$PWD/root
- mkdir $root
-
- (
- # Put the snap.yaml in to `/meta/snap.yaml`, setting the version
- # to the hash part of the store path
- mkdir $root/meta
- version=$(echo $out | cut -d/ -f4 | cut -d- -f1)
- cat ${snap_yaml} | jq ". + { version: \"$version\" }" \
- > $root/meta/snap.yaml
- )
-
- (
- # Copy the store closure in to the root
- mkdir -p $root/nix/store
- cat $closureInfo/store-paths | xargs -I{} cp -r {} $root/nix/store/
- )
-
- # Generate the squashfs image.
- mksquashfs $root $out \
- ${lib.concatStringsSep " " mksquashfs_args}
-''
diff --git a/pkgs/build-support/substitute/substitute.nix b/pkgs/build-support/substitute/substitute.nix
index 7f0332334585..37233a306840 100644
--- a/pkgs/build-support/substitute/substitute.nix
+++ b/pkgs/build-support/substitute/substitute.nix
@@ -1,14 +1,58 @@
-{ stdenvNoCC }:
+{ lib, stdenvNoCC }:
+/*
+This is a wrapper around `substitute` in the stdenv.
+Attribute arguments:
+- `name` (optional): The name of the resulting derivation
+- `src`: The path to the file to substitute
+- `substitutions`: The list of substitution arguments to pass
+ See https://nixos.org/manual/nixpkgs/stable/#fun-substitute
+- `replacements`: Deprecated version of `substitutions`
+ that doesn't support spaces in arguments.
+
+Example:
+
+```nix
+{ substitute }:
+substitute {
+ src = ./greeting.txt;
+ substitutions = [
+ "--replace"
+ "world"
+ "paul"
+ ];
+}
+```
+
+See ../../test/substitute for tests
+*/
args:
-# This is a wrapper around `substitute` in the stdenv.
-# The `replacements` attribute should be a list of list of arguments
-# to `substitute`, such as `[ "--replace" "sourcetext" "replacementtext" ]`
-stdenvNoCC.mkDerivation ({
+let
name = if args ? name then args.name else baseNameOf (toString args.src);
+ deprecationReplacement = lib.pipe args.replacements [
+ lib.toList
+ (map (lib.splitString " "))
+ lib.concatLists
+ (lib.concatMapStringsSep " " lib.strings.escapeNixString)
+ ];
+ optionalDeprecationWarning =
+ # substitutions is only available starting 24.05.
+ # TODO: Remove support for replacements sometime after the next release
+ lib.warnIf (args ? replacements && lib.isInOldestRelease 2405) ''
+ pkgs.substitute: For "${name}", `replacements` is used, which is deprecated since it doesn't support arguments with spaces. Use `substitutions` instead:
+ substitutions = [ ${deprecationReplacement} ];'';
+in
+optionalDeprecationWarning
+stdenvNoCC.mkDerivation ({
+ inherit name;
builder = ./substitute.sh;
inherit (args) src;
preferLocalBuild = true;
allowSubstitutes = false;
-} // args // { replacements = args.replacements; })
+} // args // lib.optionalAttrs (args ? substitutions) {
+ substitutions =
+ assert lib.assertMsg (lib.isList args.substitutions) ''
+ pkgs.substitute: For "${name}", `substitutions` is passed, which is expected to be a list, but it's a ${builtins.typeOf args.substitutions} instead.'';
+ lib.escapeShellArgs args.substitutions;
+})
diff --git a/pkgs/build-support/substitute/substitute.sh b/pkgs/build-support/substitute/substitute.sh
index dbac275a80ed..d50a82446639 100644
--- a/pkgs/build-support/substitute/substitute.sh
+++ b/pkgs/build-support/substitute/substitute.sh
@@ -8,7 +8,13 @@ if test -n "$dir"; then
mkdir -p $out/$dir
fi
-substitute $src $target $replacements
+substitutionsList=($replacements)
+
+if [[ -v substitutions ]]; then
+ eval "substitutionsList+=($substitutions)"
+fi
+
+substitute $src $target "${substitutionsList[@]}"
if test -n "$isExecutable"; then
chmod +x $target
diff --git a/pkgs/build-support/testers/default.nix b/pkgs/build-support/testers/default.nix
index fc10597e3e12..362622d9b7ee 100644
--- a/pkgs/build-support/testers/default.nix
+++ b/pkgs/build-support/testers/default.nix
@@ -1,4 +1,4 @@
-{ pkgs, buildPackages, lib, callPackage, runCommand, stdenv, substituteAll, testers }:
+{ pkgs, pkgsLinux, buildPackages, lib, callPackage, runCommand, stdenv, substituteAll, testers }:
# Documentation is in doc/builders/testers.chapter.md
{
# See https://nixos.org/manual/nixpkgs/unstable/#tester-testBuildFailure
@@ -107,7 +107,7 @@
(lib.setDefaultModuleLocation "the argument that was passed to pkgs.runNixOSTest" testModule)
];
hostPkgs = pkgs;
- node.pkgs = pkgs;
+ node.pkgs = pkgsLinux;
};
# See doc/builders/testers.chapter.md or
@@ -123,7 +123,7 @@
inherit pkgs;
extraConfigurations = [(
{ lib, ... }: {
- config.nixpkgs.pkgs = lib.mkDefault pkgs;
+ config.nixpkgs.pkgs = lib.mkDefault pkgsLinux;
}
)];
});
diff --git a/pkgs/build-support/testers/test/default.nix b/pkgs/build-support/testers/test/default.nix
index c48c9f299ebf..da67711156be 100644
--- a/pkgs/build-support/testers/test/default.nix
+++ b/pkgs/build-support/testers/test/default.nix
@@ -27,11 +27,11 @@ lib.recurseIntoAttrs {
# Check that the wiring of nixosTest is correct.
# Correct operation of the NixOS test driver should be asserted elsewhere.
- nixosTest-example = pkgs-with-overlay.testers.nixosTest ({ lib, pkgs, figlet, ... }: {
+ nixosTest-example = pkgs-with-overlay.testers.nixosTest ({ lib, ... }: {
name = "nixosTest-test";
nodes.machine = { pkgs, ... }: {
system.nixos = dummyVersioning;
- environment.systemPackages = [ pkgs.proof-of-overlay-hello figlet ];
+ environment.systemPackages = [ pkgs.proof-of-overlay-hello pkgs.figlet ];
};
testScript = ''
machine.succeed("hello | figlet >/dev/console")
diff --git a/pkgs/build-support/trivial-builders/default.nix b/pkgs/build-support/trivial-builders/default.nix
index a38231bdcaa3..d7438923a54b 100644
--- a/pkgs/build-support/trivial-builders/default.nix
+++ b/pkgs/build-support/trivial-builders/default.nix
@@ -1,4 +1,4 @@
-{ lib, stdenv, stdenvNoCC, lndir, runtimeShell, shellcheck-minimal }:
+{ lib, config, stdenv, stdenvNoCC, jq, lndir, runtimeShell, shellcheck-minimal }:
let
inherit (lib)
@@ -9,55 +9,24 @@ in
rec {
- /*
- Run the shell command `buildCommand` to produce a store path named `name`.
-
- The attributes in `env` are added to the environment prior to running the command.
- Environment variables set by `stdenv.mkDerivation` take precedence.
-
- By default `runCommand` runs in a stdenv with no compiler environment.
- `runCommandCC` uses the default stdenv, `pkgs.stdenv`.
-
- Example:
-
- ```nix
- runCommand "name" {envVariable = true;} ''echo hello > $out'';
- ```
-
- ```nix
- runCommandCC "name" {} ''gcc -o myfile myfile.c; cp myfile $out'';
- ```
-
- The `*Local` variants force a derivation to be built locally,
- it is not substituted.
-
- This is intended for very cheap commands (<1s execution time).
- It saves on the network roundrip and can speed up a build.
-
- It is the same as adding the special fields
-
- ```nix
- {
- preferLocalBuild = true;
- allowSubstitutes = false;
- }
- ```
-
- to a derivation’s attributes.
- */
+ # Docs in doc/build-helpers/trivial-build-helpers.chapter.md
+ # See https://nixos.org/manual/nixpkgs/unstable/#trivial-builder-runCommand
runCommand = name: env: runCommandWith {
stdenv = stdenvNoCC;
runLocal = false;
inherit name;
derivationArgs = env;
};
+ # Docs in doc/build-helpers/trivial-build-helpers.chapter.md
+ # See https://nixos.org/manual/nixpkgs/unstable/#trivial-builder-runCommandLocal
runCommandLocal = name: env: runCommandWith {
stdenv = stdenvNoCC;
runLocal = true;
inherit name;
derivationArgs = env;
};
-
+ # Docs in doc/build-helpers/trivial-build-helpers.chapter.md
+ # See https://nixos.org/manual/nixpkgs/unstable/#trivial-builder-runCommandCC
runCommandCC = name: env: runCommandWith {
stdenv = stdenv;
runLocal = false;
@@ -67,6 +36,7 @@ rec {
# `runCommandCCLocal` left out on purpose.
# We shouldn’t force the user to have a cc in scope.
+ # TODO: Move documentation for runCommandWith to the Nixpkgs manual
/*
Generalized version of the `runCommand`-variants
which does customized behavior via a single
@@ -112,47 +82,18 @@ rec {
// builtins.removeAttrs derivationArgs [ "passAsFile" ]);
- /*
- Writes a text file to the nix store.
- The contents of text is added to the file in the store.
-
- Example:
-
-
- # Writes my-file to /nix/store/
- writeTextFile {
- name = "my-file";
- text = ''
- Contents of File
- '';
- }
-
-
- See also the `writeText` helper function below.
-
-
- # Writes executable my-file to /nix/store//bin/my-file
- writeTextFile {
- name = "my-file";
- text = ''
- Contents of File
- '';
- executable = true;
- destination = "/bin/my-file";
- }
-
-
- */
+ # Docs in doc/build-helpers/trivial-build-helpers.chapter.md
+ # See https://nixos.org/manual/nixpkgs/unstable/#trivial-builder-writeTextFile
writeTextFile =
- { name # the name of the derivation
+ { name
, text
- , executable ? false # run chmod +x ?
- , destination ? "" # relative path appended to $out eg "/bin/foo"
- , checkPhase ? "" # syntax checks, e.g. for scripts
+ , executable ? false
+ , destination ? ""
+ , checkPhase ? ""
, meta ? { }
, allowSubstitutes ? false
, preferLocalBuild ? true
- , derivationArgs ? { } # Extra arguments to pass to `stdenv.mkDerivation`
+ , derivationArgs ? { }
}:
let
matches = builtins.match "/bin/([^/]+)" destination;
@@ -240,8 +181,9 @@ rec {
meta.mainProgram = name;
};
+ # TODO: move parameter documentation to the Nixpkgs manual
# See doc/build-helpers/trivial-build-helpers.chapter.md
- # or https://nixos.org/manual/nixpkgs/unstable/#trivial-builder-text-writing
+ # or https://nixos.org/manual/nixpkgs/unstable/#trivial-builder-writeShellApplication
writeShellApplication =
{
/*
@@ -357,6 +299,7 @@ rec {
};
# Create a C binary
+ # TODO: add to writers? pkgs/build-support/writers
writeCBin = pname: code:
runCommandCC pname
{
@@ -377,7 +320,9 @@ rec {
$CC -x c code.c -o "$n"
'';
-
+ # TODO: deduplicate with documentation in doc/build-helpers/trivial-build-helpers.chapter.md
+ # see also https://github.com/NixOS/nixpkgs/pull/249721
+ # See https://nixos.org/manual/nixpkgs/unstable/#trivial-builder-concatText
/* concat a list of files to the nix store.
The contents of files are added to the file in the store.
@@ -426,7 +371,9 @@ rec {
eval "$checkPhase"
'';
-
+ # TODO: deduplicate with documentation in doc/build-helpers/trivial-build-helpers.chapter.md
+ # see also https://github.com/NixOS/nixpkgs/pull/249721
+ # See https://nixos.org/manual/nixpkgs/unstable/#trivial-builder-concatText
/*
Writes a text file to nix store with no optional parameters available.
@@ -440,6 +387,9 @@ rec {
*/
concatText = name: files: concatTextFile { inherit name files; };
+ # TODO: deduplicate with documentation in doc/build-helpers/trivial-build-helpers.chapter.md
+ # see also https://github.com/NixOS/nixpkgs/pull/249721
+ # See https://nixos.org/manual/nixpkgs/unstable/#trivial-builder-concatText
/*
Writes a text file to nix store with and mark it as executable.
@@ -452,6 +402,10 @@ rec {
/*
+ TODO: Deduplicate this documentation.
+ More docs in doc/build-helpers/trivial-build-helpers.chapter.md
+ See https://nixos.org/manual/nixpkgs/unstable/#trivial-builder-symlinkJoin
+
Create a forest of symlinks to the files in `paths`.
This creates a single derivation that replicates the directory structure
@@ -528,6 +482,7 @@ rec {
${postBuild}
'';
+ # TODO: move linkFarm docs to the Nixpkgs manual
/*
Quickly create a set of symlinks to derivations.
@@ -584,6 +539,7 @@ rec {
${lib.concatStrings linkCommands}
'';
+ # TODO: move linkFarmFromDrvs docs to the Nixpkgs manual
/*
Easily create a linkFarm from a set of derivations.
@@ -609,6 +565,7 @@ rec {
let mkEntryFromDrv = drv: { name = drv.name; path = drv; };
in linkFarm name (map mkEntryFromDrv drvs);
+ # TODO: move onlyBin docs to the Nixpkgs manual
/*
Produce a derivation that links to the target derivation's `/bin`,
and *only* `/bin`.
@@ -623,7 +580,8 @@ rec {
'';
- # docs in doc/builders/special/makesetuphook.section.md
+ # Docs in doc/builders/special/makesetuphook.section.md
+ # See https://nixos.org/manual/nixpkgs/unstable/#sec-pkgs.makeSetupHook
makeSetupHook =
{ name ? lib.warn "calling makeSetupHook without passing a name is deprecated." "hook"
, deps ? [ ]
@@ -665,27 +623,28 @@ rec {
'');
- # Write the references (i.e. the runtime dependencies in the Nix store) of `path` to a file.
+ # Docs in doc/build-helpers/trivial-build-helpers.chapter.md
+ # See https://nixos.org/manual/nixpkgs/unstable/#trivial-builder-writeReferencesToFile
+ # TODO: Convert to throw after Nixpkgs 24.05 branch-off.
+ writeReferencesToFile = (if config.allowAliases then lib.warn else throw)
+ "writeReferencesToFile is deprecated in favour of writeClosure"
+ (path: writeClosure [ path ]);
- writeReferencesToFile = path: runCommand "runtime-deps"
+ # Docs in doc/build-helpers/trivial-build-helpers.chapter.md
+ # See https://nixos.org/manual/nixpkgs/unstable/#trivial-builder-writeClosure
+ writeClosure = paths: runCommand "runtime-deps"
{
- exportReferencesGraph = [ "graph" path ];
+ # Get the cleaner exportReferencesGraph interface
+ __structuredAttrs = true;
+ exportReferencesGraph.graph = paths;
+ nativeBuildInputs = [ jq ];
}
''
- touch $out
- while read path; do
- echo $path >> $out
- read dummy
- read nrRefs
- for ((i = 0; i < nrRefs; i++)); do read ref; done
- done < graph
+ jq -r ".graph | map(.path) | sort | .[]" "$NIX_ATTRS_JSON_FILE" > "$out"
'';
- /*
- Write the set of references to a file, that is, their immediate dependencies.
-
- This produces the equivalent of `nix-store -q --references`.
- */
+ # Docs in doc/build-helpers/trivial-build-helpers.chapter.md
+ # See https://nixos.org/manual/nixpkgs/unstable/#trivial-builder-writeDirectReferencesToFile
writeDirectReferencesToFile = path: runCommand "runtime-references"
{
exportReferencesGraph = [ "graph" path ];
@@ -710,7 +669,7 @@ rec {
sort ./references >$out
'';
-
+ # TODO: move writeStringReferencesToFile docs to the Nixpkgs manual
/*
Extract a string's references to derivations and paths (its
context) and write them to a text file, removing the input string
@@ -793,21 +752,8 @@ rec {
writeDirectReferencesToFile (writeText "string-file" string);
- /* Print an error message if the file with the specified name and
- hash doesn't exist in the Nix store. This function should only
- be used by non-redistributable software with an unfree license
- that we need to require the user to download manually. It produces
- packages that cannot be built automatically.
-
- Example:
-
- requireFile {
- name = "my-file";
- url = "http://example.com/download/";
- sha256 = "ffffffffffffffffffffffffffffffffffffffffffffffffffff";
- }
-
- */
+ # Docs in doc/build-helpers/fetchers.chapter.md
+ # See https://nixos.org/manual/nixpkgs/unstable/#requirefile
requireFile =
{ name ? null
, sha256 ? null
@@ -863,6 +809,7 @@ rec {
};
+ # TODO: move copyPathToStore docs to the Nixpkgs manual
/*
Copy a path to the Nix store.
Nix automatically copies files to the store before stringifying paths.
@@ -872,11 +819,13 @@ rec {
copyPathToStore = builtins.filterSource (p: t: true);
+ # TODO: move copyPathsToStore docs to the Nixpkgs manual
/*
Copy a list of paths to the Nix store.
*/
copyPathsToStore = builtins.map copyPathToStore;
+ # TODO: move applyPatches docs to the Nixpkgs manual
/* Applies a list of patches to a source directory.
Example:
@@ -904,23 +853,25 @@ rec {
else throw "applyPatches: please supply a `name` argument because a default name can only be computed when the `src` is a path or is an attribute set with a `name` attribute."
) + "-patched"
, patches ? [ ]
+ , prePatch ? ""
, postPatch ? ""
, ...
}@args:
- if patches == [ ] && postPatch == ""
+ if patches == [ ] && prePatch == "" && postPatch == ""
then src # nothing to do, so use original src to avoid additional drv
else stdenvNoCC.mkDerivation
- {
- inherit name src patches postPatch;
+ ({
+ inherit name src patches prePatch postPatch;
preferLocalBuild = true;
allowSubstitutes = false;
phases = "unpackPhase patchPhase installPhase";
installPhase = "cp -R ./ $out";
}
- # Carry `meta` information from the underlying `src` if present.
- // (optionalAttrs (src?meta) { inherit (src) meta; })
- // (removeAttrs args [ "src" "name" "patches" "postPatch" ]);
+ # Carry `meta` information from the underlying `src` if present.
+ // (optionalAttrs (src?meta) { inherit (src) meta; })
+ // (removeAttrs args [ "src" "name" "patches" "prePatch" "postPatch" ]));
+ # TODO: move docs to Nixpkgs manual
/* An immutable file in the store with a length of 0 bytes. */
emptyFile = runCommand "empty-file"
{
@@ -930,6 +881,7 @@ rec {
preferLocalBuild = true;
} "touch $out";
+ # TODO: move docs to Nixpkgs manual
/* An immutable empty directory in the store. */
emptyDirectory = runCommand "empty-directory"
{
diff --git a/pkgs/build-support/trivial-builders/test/default.nix b/pkgs/build-support/trivial-builders/test/default.nix
index 59dbba3f1841..f41372d922bb 100644
--- a/pkgs/build-support/trivial-builders/test/default.nix
+++ b/pkgs/build-support/trivial-builders/test/default.nix
@@ -26,6 +26,9 @@ recurseIntoAttrs {
then references
else {};
writeCBin = callPackage ./writeCBin.nix {};
+ writeClosure-union = callPackage ./writeClosure-union.nix {
+ inherit (references) samples;
+ };
writeShellApplication = callPackage ./writeShellApplication.nix {};
writeScriptBin = callPackage ./writeScriptBin.nix {};
writeShellScript = callPackage ./write-shell-script.nix {};
diff --git a/pkgs/build-support/trivial-builders/test/references/default.nix b/pkgs/build-support/trivial-builders/test/references/default.nix
index 3e21c905321e..928cc1d9461f 100644
--- a/pkgs/build-support/trivial-builders/test/references/default.nix
+++ b/pkgs/build-support/trivial-builders/test/references/default.nix
@@ -12,7 +12,7 @@
, cleanSamples ? lib.filterAttrs (n: lib.isStringLike)
# Test targets
, writeDirectReferencesToFile
-, writeReferencesToFile
+, writeClosure
}:
# -------------------------------------------------------------------------- #
@@ -46,8 +46,9 @@ let
samplesToString = attrs:
lib.concatMapStringsSep " " (name: "[${name}]=${lib.escapeShellArg "${attrs.${name}}"}") (builtins.attrNames attrs);
- references = lib.mapAttrs (n: v: writeReferencesToFile v) samples;
+ closures = lib.mapAttrs (n: v: writeClosure [ v ]) samples;
directReferences = lib.mapAttrs (n: v: writeDirectReferencesToFile v) samples;
+ collectiveClosure = writeClosure (lib.attrValues samples);
testScriptBin = stdenvNoCC.mkDerivation (finalAttrs: {
name = "references-test";
@@ -61,8 +62,9 @@ let
mkdir -p "$out/bin"
substitute "$src" "$out/bin/${finalAttrs.meta.mainProgram}" \
--replace "@SAMPLES@" ${lib.escapeShellArg (samplesToString samples)} \
- --replace "@REFERENCES@" ${lib.escapeShellArg (samplesToString references)} \
- --replace "@DIRECT_REFS@" ${lib.escapeShellArg (samplesToString directReferences)}
+ --replace "@CLOSURES@" ${lib.escapeShellArg (samplesToString closures)} \
+ --replace "@DIRECT_REFS@" ${lib.escapeShellArg (samplesToString directReferences)} \
+ --replace "@COLLECTIVE_CLOSURE@" ${lib.escapeShellArg collectiveClosure}
runHook postInstall
chmod +x "$out/bin/${finalAttrs.meta.mainProgram}"
'';
@@ -79,8 +81,9 @@ let
passthru = {
inherit
+ collectiveClosure
directReferences
- references
+ closures
samples
;
};
@@ -109,8 +112,9 @@ testers.nixosTest {
'';
passthru = {
inherit
+ collectiveClosure
directReferences
- references
+ closures
samples
testScriptBin
;
diff --git a/pkgs/build-support/trivial-builders/test/references/references-test.sh b/pkgs/build-support/trivial-builders/test/references/references-test.sh
index 1b8f8e1504ec..92e4467287ed 100755
--- a/pkgs/build-support/trivial-builders/test/references/references-test.sh
+++ b/pkgs/build-support/trivial-builders/test/references/references-test.sh
@@ -33,16 +33,17 @@ set -euo pipefail
cd "$(dirname "${BASH_SOURCE[0]}")" # nixpkgs root
- # Injected by Nix (to avoid evaluating in a derivation)
- # turn them into arrays
- # shellcheck disable=SC2206 # deliberately unquoted
- declare -A samples=( @SAMPLES@ )
- # shellcheck disable=SC2206 # deliberately unquoted
- declare -A directRefs=( @DIRECT_REFS@ )
- # shellcheck disable=SC2206 # deliberately unquoted
- declare -A references=( @REFERENCES@ )
+ # Inject the path to compare from the Nix expression
-echo >&2 Testing direct references...
+ # Associative Arrays
+ declare -A samples=( @SAMPLES@ )
+ declare -A directRefs=( @DIRECT_REFS@ )
+ declare -A closures=( @CLOSURES@ )
+
+ # Path string
+ collectiveClosure=@COLLECTIVE_CLOSURE@
+
+echo >&2 Testing direct closures...
for i in "${!samples[@]}"; do
echo >&2 Checking "$i" "${samples[$i]}" "${directRefs[$i]}"
diff -U3 \
@@ -52,10 +53,16 @@ done
echo >&2 Testing closure...
for i in "${!samples[@]}"; do
- echo >&2 Checking "$i" "${samples[$i]}" "${references[$i]}"
+ echo >&2 Checking "$i" "${samples[$i]}" "${closures[$i]}"
diff -U3 \
- <(sort <"${references[$i]}") \
+ <(sort <"${closures[$i]}") \
<(nix-store -q --requisites "${samples[$i]}" | sort)
done
+echo >&2 Testing mixed closures...
+echo >&2 Checking all samples "(${samples[*]})" "$collectiveClosure"
+diff -U3 \
+ <(sort <"$collectiveClosure") \
+ <(nix-store -q --requisites "${samples[@]}" | sort)
+
echo 'OK!'
diff --git a/pkgs/build-support/trivial-builders/test/writeClosure-union.nix b/pkgs/build-support/trivial-builders/test/writeClosure-union.nix
new file mode 100644
index 000000000000..92a2bf9f0988
--- /dev/null
+++ b/pkgs/build-support/trivial-builders/test/writeClosure-union.nix
@@ -0,0 +1,23 @@
+{ lib
+, runCommandLocal
+ # Test targets
+, writeClosure
+, samples
+}:
+runCommandLocal "test-trivial-builders-writeClosure-union" {
+ __structuredAttrs = true;
+ closures = lib.mapAttrs (n: v: writeClosure [ v ]) samples;
+ collectiveClosure = writeClosure (lib.attrValues samples);
+ inherit samples;
+ meta.maintainers = with lib.maintainers; [
+ ShamrockLee
+ ];
+} ''
+ set -eu -o pipefail
+ echo >&2 Testing mixed closures...
+ echo >&2 Checking all samples "(''${samples[*]})" "$collectiveClosure"
+ diff -U3 \
+ <(sort <"$collectiveClosure") \
+ <(cat "''${closures[@]}" | sort | uniq)
+ touch "$out"
+''
diff --git a/pkgs/build-support/writers/data.nix b/pkgs/build-support/writers/data.nix
index 45ed5360eaeb..315150378e69 100644
--- a/pkgs/build-support/writers/data.nix
+++ b/pkgs/build-support/writers/data.nix
@@ -1,30 +1,36 @@
-{ lib, pkgs, formats, runCommand, dasel }:
+{ lib, pkgs, formats, runCommand }:
let
- daselBin = lib.getExe dasel;
-
inherit (lib)
last
optionalString
types
;
in
-rec {
- # Creates a transformer function that writes input data to disk, transformed
- # by both the `input` and `output` arguments.
- #
- # Type: makeDataWriter :: input -> output -> nameOrPath -> data -> (any -> string) -> string -> string -> any -> derivation
- #
- # input :: T -> string: function that takes the nix data and returns a string
- # output :: string: script that takes the $inputFile and write the result into $out
- # nameOrPath :: string: if the name contains a / the files gets written to a sub-folder of $out. The derivation name is the basename of this argument.
- # data :: T: the data that will be converted.
- #
- # Example:
- # writeJSON = makeDataWriter { input = builtins.toJSON; output = "cp $inputPath $out"; };
- # myConfig = writeJSON "config.json" { hello = "world"; }
- #
+{
+ /**
+ Creates a transformer function that writes input data to disk, transformed
+ by both the `input` and `output` arguments.
+
+ # Example
+
+ ```nix
+ writeJSON = makeDataWriter { input = builtins.toJSON; output = "cp $inputPath $out"; };
+ myConfig = writeJSON "config.json" { hello = "world"; }
+ ```
+
+ # Type
+
+ ```
+ makeDataWriter :: input -> output -> nameOrPath -> data -> (any -> string) -> string -> string -> any -> derivation
+
+ input :: T -> string: function that takes the nix data and returns a string
+ output :: string: script that takes the $inputFile and write the result into $out
+ nameOrPath :: string: if the name contains a / the files gets written to a sub-folder of $out. The derivation name is the basename of this argument.
+ data :: T: the data that will be converted.
+ ```
+ */
makeDataWriter = lib.warn "pkgs.writers.makeDataWriter is deprecated. Use pkgs.writeTextFile." ({ input ? lib.id, output ? "cp $inputPath $out" }: nameOrPath: data:
- assert lib.or (types.path.check nameOrPath) (builtins.match "([0-9A-Za-z._])[0-9A-Za-z._-]*" nameOrPath != null);
+ assert (types.path.check nameOrPath) || (builtins.match "([0-9A-Za-z._])[0-9A-Za-z._-]*" nameOrPath != null);
let
name = last (builtins.split "/" nameOrPath);
in
@@ -44,21 +50,36 @@ rec {
inherit (pkgs) writeText;
- # Writes the content to a JSON file.
- #
- # Example:
- # writeJSON "data.json" { hello = "world"; }
+ /**
+ Writes the content to a JSON file.
+
+ # Example
+
+ ```nix
+ writeJSON "data.json" { hello = "world"; }
+ ```
+ */
writeJSON = (pkgs.formats.json {}).generate;
- # Writes the content to a TOML file.
- #
- # Example:
- # writeTOML "data.toml" { hello = "world"; }
+ /**
+ Writes the content to a TOML file.
+
+ # Example
+
+ ```nix
+ writeTOML "data.toml" { hello = "world"; }
+ ```
+ */
writeTOML = (pkgs.formats.toml {}).generate;
- # Writes the content to a YAML file.
- #
- # Example:
- # writeYAML "data.yaml" { hello = "world"; }
+ /**
+ Writes the content to a YAML file.
+
+ # Example
+
+ ```nix
+ writeYAML "data.yaml" { hello = "world"; }
+ ```
+ */
writeYAML = (pkgs.formats.yaml {}).generate;
}
diff --git a/pkgs/build-support/writers/scripts.nix b/pkgs/build-support/writers/scripts.nix
index 8a23e5dd4a66..1dd25c500719 100644
--- a/pkgs/build-support/writers/scripts.nix
+++ b/pkgs/build-support/writers/scripts.nix
@@ -19,8 +19,8 @@ rec {
# writeBash = makeScriptWriter { interpreter = "${pkgs.bash}/bin/bash"; }
# makeScriptWriter { interpreter = "${pkgs.dash}/bin/dash"; } "hello" "echo hello world"
makeScriptWriter = { interpreter, check ? "" }: nameOrPath: content:
- assert lib.or (types.path.check nameOrPath) (builtins.match "([0-9A-Za-z._])[0-9A-Za-z._-]*" nameOrPath != null);
- assert lib.or (types.path.check content) (types.str.check content);
+ assert (types.path.check nameOrPath) || (builtins.match "([0-9A-Za-z._])[0-9A-Za-z._-]*" nameOrPath != null);
+ assert (types.path.check content) || (types.str.check content);
let
name = last (builtins.split "/" nameOrPath);
in
@@ -82,8 +82,8 @@ rec {
# Examples:
# writeSimpleC = makeBinWriter { compileScript = name: "gcc -o $out $contentPath"; }
makeBinWriter = { compileScript, strip ? true }: nameOrPath: content:
- assert lib.or (types.path.check nameOrPath) (builtins.match "([0-9A-Za-z._])[0-9A-Za-z._-]*" nameOrPath != null);
- assert lib.or (types.path.check content) (types.str.check content);
+ assert (types.path.check nameOrPath) || (builtins.match "([0-9A-Za-z._])[0-9A-Za-z._-]*" nameOrPath != null);
+ assert (types.path.check content) || (types.str.check content);
let
name = last (builtins.split "/" nameOrPath);
in
diff --git a/pkgs/by-name/README.md b/pkgs/by-name/README.md
index 990882aec837..0296ccf2e1bc 100644
--- a/pkgs/by-name/README.md
+++ b/pkgs/by-name/README.md
@@ -118,3 +118,83 @@ $ ./pkgs/test/nixpkgs-check-by-name/scripts/run-local.sh master
```
See [here](../../.github/workflows/check-by-name.yml) for more info.
+
+## Recommendation for new packages with multiple versions
+
+These checks of the `pkgs/by-name` structure can cause problems in combination:
+1. New top-level packages using `callPackage` must be defined via `pkgs/by-name`.
+2. Packages in `pkgs/by-name` cannot refer to files outside their own directory.
+
+This means that outside `pkgs/by-name`, multiple already-present top-level packages can refer to some common file.
+If you open a PR to another instance of such a package, CI will fail check 1,
+but if you try to move the package to `pkgs/by-name`, it will fail check 2.
+
+This is often the case for packages with multiple versions, such as
+
+```nix
+ foo_1 = callPackage ../tools/foo/1.nix { };
+ foo_2 = callPackage ../tools/foo/2.nix { };
+```
+
+The best way to resolve this is to not use `callPackage` directly, such that check 1 doesn't trigger.
+This can be done by using `inherit` on a local package set:
+```nix
+ inherit
+ ({
+ foo_1 = callPackage ../tools/foo/1.nix { };
+ foo_2 = callPackage ../tools/foo/2.nix { };
+ })
+ foo_1
+ foo_2
+ ;
+```
+
+While this may seem pointless, this can in fact help with future package set refactorings,
+because it establishes a clear connection between related attributes.
+
+### Further possible refactorings
+
+This is not required, but the above solution also allows refactoring the definitions into a separate file:
+
+```nix
+ inherit (import ../tools/foo pkgs)
+ foo_1 foo_2;
+```
+
+```nix
+# pkgs/tools/foo/default.nix
+pkgs: {
+ foo_1 = callPackage ./1.nix { };
+ foo_2 = callPackage ./2.nix { };
+}
+```
+
+Alternatively using [`callPackages`](https://nixos.org/manual/nixpkgs/unstable/#function-library-lib.customisation.callPackagesWith)
+if `callPackage` isn't used underneath and you want the same `.override` arguments for all attributes:
+
+```nix
+ inherit (callPackages ../tools/foo { })
+ foo_1 foo_2;
+```
+
+```nix
+# pkgs/tools/foo/default.nix
+{
+ stdenv
+}: {
+ foo_1 = stdenv.mkDerivation { /* ... */ };
+ foo_2 = stdenv.mkDerivation { /* ... */ };
+}
+```
+
+### Exposing the package set
+
+This is not required, but the above solution also allows exposing the package set as an attribute:
+
+```nix
+ foo-versions = import ../tools/foo pkgs;
+ # Or using callPackages
+ # foo-versions = callPackages ../tools/foo { };
+
+ inherit (foo-versions) foo_1 foo_2;
+```
diff --git a/pkgs/development/libraries/a52dec/default.nix b/pkgs/by-name/a5/a52dec/package.nix
similarity index 57%
rename from pkgs/development/libraries/a52dec/default.nix
rename to pkgs/by-name/a5/a52dec/package.nix
index fdb309c634da..048b96787a79 100644
--- a/pkgs/development/libraries/a52dec/default.nix
+++ b/pkgs/by-name/a5/a52dec/package.nix
@@ -1,23 +1,31 @@
-{ lib, stdenv, fetchurl }:
+{
+ lib,
+ stdenv,
+ fetchFromGitLab,
+ autoreconfHook,
+}:
stdenv.mkDerivation rec {
pname = "a52dec";
- version = "0.7.4";
+ version = "0.8.0";
- src = fetchurl {
- url = "https://liba52.sourceforge.io/files/${pname}-${version}.tar.gz";
- sha256 = "oh1ySrOzkzMwGUNTaH34LEdbXfuZdRPu9MJd5shl7DM=";
+ src = fetchFromGitLab {
+ domain = "git.adelielinux.org";
+ owner = "community";
+ repo = "a52dec";
+ rev = "v${version}";
+ hash = "sha256-Z4riiwetJkhQYa+AD8qOiwB1+cuLbOyN/g7D8HM8Pkw=";
};
+ nativeBuildInputs = [ autoreconfHook ];
+
configureFlags = [
"--enable-shared"
# Define inline as __attribute__ ((__always_inline__))
"ac_cv_c_inline=yes"
];
- makeFlags = [
- "AR=${stdenv.cc.targetPrefix}ar"
- ];
+ makeFlags = [ "AR=${stdenv.cc.targetPrefix}ar" ];
# fails 1 out of 1 tests with "BAD GLOBAL SYMBOLS" on i686
# which can also be fixed with
@@ -28,7 +36,9 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "ATSC A/52 stream decoder";
homepage = "https://liba52.sourceforge.io/";
- platforms = platforms.unix;
license = licenses.gpl2Plus;
+ maintainers = with maintainers; [ wegank ];
+ mainProgram = "a52dec";
+ platforms = platforms.unix;
};
}
diff --git a/pkgs/by-name/aa/aaaaxy/package.nix b/pkgs/by-name/aa/aaaaxy/package.nix
index e84f74714e5d..3145758f50d6 100644
--- a/pkgs/by-name/aa/aaaaxy/package.nix
+++ b/pkgs/by-name/aa/aaaaxy/package.nix
@@ -20,17 +20,17 @@
buildGoModule rec {
pname = "aaaaxy";
- version = "1.4.160";
+ version = "1.5.42";
src = fetchFromGitHub {
owner = "divVerent";
repo = pname;
rev = "v${version}";
- hash = "sha256-BI3qnt/u0BXEHJ1E7jUh6jAUXxJZAUX+5Joih1g0JAU=";
+ hash = "sha256-RfjEr0oOtLcrHKQj1dYbykRbHoGoi0o7D3hjVG3siIQ=";
fetchSubmodules = true;
};
- vendorHash = "sha256-m6nSWw+KluP0X3mB18m7OEFzeRFw/XS4JiqARqGopvQ=";
+ vendorHash = "sha256-q/nDfh+A2eJDAaSWN4Xsgxp76AKsYIX7PNn/psBPmg0=";
buildInputs = [
alsa-lib
@@ -114,6 +114,7 @@ buildGoModule rec {
meta = with lib; {
description = "A nonlinear 2D puzzle platformer taking place in impossible spaces";
+ mainProgram = "aaaaxy";
homepage = "https://divverent.github.io/aaaaxy/";
license = licenses.asl20;
maintainers = with maintainers; [ Luflosi ];
diff --git a/pkgs/by-name/ac/action-validator/package.nix b/pkgs/by-name/ac/action-validator/package.nix
index 90ba3c4913a7..3b7c803dc3bb 100644
--- a/pkgs/by-name/ac/action-validator/package.nix
+++ b/pkgs/by-name/ac/action-validator/package.nix
@@ -5,17 +5,17 @@
rustPlatform.buildRustPackage rec {
pname = "action-validator";
- version = "0.5.4";
+ version = "0.6.0";
src = fetchFromGitHub {
owner = "mpalmer";
repo = "action-validator";
rev = "v${version}";
- hash = "sha256-roWmks+AgRf2ACoI7Vc/QEyqgQ0bR/XhRwLk9VaLEdY=";
+ hash = "sha256-lJHGx/GFddIwVVXRj75Z/l5CH/yuw/uIhr02Qkjruww=";
fetchSubmodules = true;
};
- cargoHash = "sha256-WUtFWuk2y/xXe39doMqANaIr0bbxmLDpT4/H2GRGH6k=";
+ cargoHash = "sha256-mBY+J6JcIhV++tO6Dhw5JvYLSwoYZR3lB3l0KTjkcQM=";
meta = with lib; {
description = "Tool to validate GitHub Action and Workflow YAML files";
diff --git a/pkgs/by-name/ad/ad-miner/package.nix b/pkgs/by-name/ad/ad-miner/package.nix
index 549704be72f6..43170f87474e 100644
--- a/pkgs/by-name/ad/ad-miner/package.nix
+++ b/pkgs/by-name/ad/ad-miner/package.nix
@@ -5,17 +5,17 @@
python3.pkgs.buildPythonApplication rec {
pname = "ad-miner";
- version = "1.0.0";
+ version = "1.2.0";
pyproject = true;
src = fetchFromGitHub {
owner = "Mazars-Tech";
repo = "AD_Miner";
rev = "refs/tags/v${version}";
- hash = "sha256-HM7PR1i7/L3MuUaTBPcDblflCH40NmEYSCTJUB06Fjg=";
+ hash = "sha256-o1RXuyX2dV0fQwXEeTgmeMYKXiKAqrl+fV8zi1J16Ic=";
};
- # ALl requirements are pinned
+ # All requirements are pinned
pythonRelaxDeps = true;
nativeBuildInputs = with python3.pkgs; [
@@ -40,7 +40,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "Active Directory audit tool that leverages cypher queries to crunch data from Bloodhound";
homepage = "https://github.com/Mazars-Tech/AD_Miner";
- changelog = "https://github.com/Mazars-Tech/AD_Miner/blob/${version}/CHANGELOG.md";
+ changelog = "https://github.com/Mazars-Tech/AD_Miner/blob/v${version}/CHANGELOG.md";
license = licenses.gpl3Only;
maintainers = with maintainers; [ fab ];
mainProgram = "AD-miner";
diff --git a/pkgs/by-name/ad/adafruit-nrfutil/package.nix b/pkgs/by-name/ad/adafruit-nrfutil/package.nix
index a521154aa253..dbf90df66026 100644
--- a/pkgs/by-name/ad/adafruit-nrfutil/package.nix
+++ b/pkgs/by-name/ad/adafruit-nrfutil/package.nix
@@ -53,6 +53,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
homepage = "https://github.com/adafruit/Adafruit_nRF52_nrfutil";
description = "Modified version of Nordic's nrfutil 0.5.x for use with the Adafruit Feather nRF52";
+ mainProgram = "adafruit-nrfutil";
license = licenses.bsd3;
maintainers = with maintainers; [ stargate01 ];
};
diff --git a/pkgs/by-name/ad/adalanche/package.nix b/pkgs/by-name/ad/adalanche/package.nix
new file mode 100644
index 000000000000..109b327333ac
--- /dev/null
+++ b/pkgs/by-name/ad/adalanche/package.nix
@@ -0,0 +1,42 @@
+{ lib
+, buildGoModule
+, fetchFromGitHub
+, libpcap
+}:
+
+buildGoModule rec {
+ pname = "adalanche";
+ version = "2024.1.11";
+
+ src = fetchFromGitHub {
+ owner = "lkarlslund";
+ repo = "adalanche";
+ rev = "refs/tags/v${version}";
+ hash = "sha256-SJa2PQCXTYdv5jMucpJOD2gC7Qk2dNdINHW4ZvLXSLw=";
+ };
+
+ vendorHash = "sha256-3HulDSR6rWyxvImWBH1m5nfUwnUDQO9ALfyT2D8xmJc=";
+
+ buildInputs = [
+ libpcap
+ ];
+
+ ldflags = [
+ "-s"
+ "-w"
+ "-X=github.com/lkarlslund/adalanche/modules/version.Version=${version}"
+ ];
+
+ env = {
+ CGO_CFLAGS = "-Wno-undef-prefix";
+ };
+
+ meta = with lib; {
+ description = "Active Directory ACL Visualizer and Explorer";
+ homepage = "https://github.com/lkarlslund/adalanche";
+ changelog = "https://github.com/lkarlslund/Adalanche/releases/tag/v${version}";
+ license = licenses.agpl3Only;
+ maintainers = with maintainers; [ fab ];
+ mainProgram = "adalanche";
+ };
+}
diff --git a/pkgs/by-name/ad/adidnsdump/package.nix b/pkgs/by-name/ad/adidnsdump/package.nix
new file mode 100644
index 000000000000..dc2268d892d9
--- /dev/null
+++ b/pkgs/by-name/ad/adidnsdump/package.nix
@@ -0,0 +1,39 @@
+{ lib
+, fetchFromGitHub
+, python3
+}:
+
+python3.pkgs.buildPythonApplication rec {
+ pname = "adidnsdump";
+ version = "1.3.1-unstable-2023-12-13";
+ pyproject = true;
+
+ src = fetchFromGitHub {
+ owner = "dirkjanm";
+ repo = "adidnsdump";
+ rev = "8bbb4b05b2d1b792f3c77ce0a4a762ab9e08727d";
+ hash = "sha256-dIbnUyV3gdWHHoyzD0ME2fXlMoiQkdrqQ7qQ6Ab6qs0=";
+ };
+
+ nativeBuildInputs = with python3.pkgs; [
+ setuptools
+ ];
+
+ propagatedBuildInputs = with python3.pkgs; [
+ impacket
+ ldap3
+ ];
+
+ pythonImportsCheck = [
+ "adidnsdump"
+ ];
+
+ meta = with lib; {
+ description = "Active Directory Integrated DNS dumping by any authenticated user";
+ homepage = "https://github.com/dirkjanm/adidnsdump";
+ changelog = "https://github.com/dirkjanm/adidnsdump/releases/tag/v${version}";
+ license = licenses.mit;
+ maintainers = with maintainers; [ fab ];
+ mainProgram = "adidnsdump";
+ };
+}
diff --git a/pkgs/by-name/ad/adwsteamgtk/package.nix b/pkgs/by-name/ad/adwsteamgtk/package.nix
new file mode 100644
index 000000000000..f40843624fa8
--- /dev/null
+++ b/pkgs/by-name/ad/adwsteamgtk/package.nix
@@ -0,0 +1,52 @@
+{ blueprint-compiler
+, desktop-file-utils
+, fetchFromGitHub
+, lib
+, libadwaita
+, libportal-gtk4
+, meson
+, ninja
+, python3Packages
+, wrapGAppsHook4
+}:
+
+python3Packages.buildPythonApplication rec {
+ pname = "adwsteamgtk";
+ version = "0.6.9";
+ # built with meson, not a python format
+ format = "other";
+
+ src = fetchFromGitHub {
+ owner = "Foldex";
+ repo = "AdwSteamGtk";
+ rev = "v${version}";
+ hash = "sha256-aHJxgSb7oZTRrfFVYdLimwhSGzdRjGf7dGTRA+ANQiM=";
+ };
+
+ buildInputs = [
+ libadwaita
+ libportal-gtk4
+ ];
+
+ nativeBuildInputs = [
+ blueprint-compiler
+ desktop-file-utils
+ meson
+ ninja
+ wrapGAppsHook4
+ ];
+
+ propagatedBuildInputs = with python3Packages; [
+ packaging
+ pygobject3
+ ];
+
+ meta = {
+ description = "A simple Gtk wrapper for Adwaita-for-Steam";
+ homepage = "https://github.com/Foldex/AdwSteamGtk";
+ license = lib.licenses.gpl3Plus;
+ maintainers = [ lib.maintainers.reedrw ];
+ mainProgram = "adwaita-steam-gtk";
+ platforms = lib.platforms.linux;
+ };
+}
diff --git a/pkgs/by-name/ag/ags/package.nix b/pkgs/by-name/ag/ags/package.nix
new file mode 100644
index 000000000000..0076e5b0ba29
--- /dev/null
+++ b/pkgs/by-name/ag/ags/package.nix
@@ -0,0 +1,74 @@
+{ lib
+, buildNpmPackage
+, fetchFromGitHub
+, meson
+, ninja
+, pkg-config
+, gobject-introspection
+, gjs
+, glib-networking
+, gnome
+, gtk-layer-shell
+, libpulseaudio
+, libsoup_3
+, networkmanager
+, upower
+, typescript
+, wrapGAppsHook
+, linux-pam
+}:
+
+buildNpmPackage rec {
+ pname = "ags";
+ version = "1.8.0";
+
+ src = fetchFromGitHub {
+ owner = "Aylur";
+ repo = "ags";
+ rev = "v${version}";
+ hash = "sha256-+0us1/lawDXp6RXn4ev95a99VgpsVPi2A4jwNS2O1Uo=";
+ fetchSubmodules = true;
+ };
+
+ npmDepsHash = "sha256-ucWdADdMqAdLXQYKGOXHNRNM9bhjKX4vkMcQ8q/GZ20=";
+
+ mesonFlags = [
+ (lib.mesonBool "build_types" true)
+ ];
+
+ nativeBuildInputs = [
+ meson
+ ninja
+ pkg-config
+ gjs
+ gobject-introspection
+ typescript
+ wrapGAppsHook
+ ];
+
+ # Most of the build inputs here are basically needed for their typelibs.
+ buildInputs = [
+ gjs
+ glib-networking
+ gnome.gnome-bluetooth
+ gtk-layer-shell
+ libpulseaudio
+ libsoup_3
+ linux-pam
+ networkmanager
+ upower
+ ];
+
+ postPatch = ''
+ chmod u+x ./post_install.sh && patchShebangs ./post_install.sh
+ '';
+
+ meta = with lib; {
+ homepage = "https://github.com/Aylur/ags";
+ description = "A EWW-inspired widget system as a GJS library";
+ license = licenses.gpl3Plus;
+ maintainers = with maintainers; [ foo-dogsquared ];
+ mainProgram = "ags";
+ platforms = platforms.linux;
+ };
+}
diff --git a/pkgs/by-name/ai/airlift/package.nix b/pkgs/by-name/ai/airlift/package.nix
index 9ab35cc2a462..5c1027d8537f 100644
--- a/pkgs/by-name/ai/airlift/package.nix
+++ b/pkgs/by-name/ai/airlift/package.nix
@@ -10,11 +10,11 @@ python3.pkgs.buildPythonApplication rec {
pname = "airlift";
pyproject = true;
- version = "0.3.0";
+ version = "0.3.1";
src = fetchPypi {
inherit pname version;
- hash = "sha256-1LE3fpfX4NExJdUdSjt4BXvxQTLJ8zrRkGHkxo/6Pb8=";
+ hash = "sha256-EAt3xxr9jtMaOugAV+ySjhorO8SrhBn00PZ84ZG80oI=";
};
postPatch = ''
diff --git a/pkgs/by-name/ai/airscan/package.nix b/pkgs/by-name/ai/airscan/package.nix
index 0859a6c21be8..e6001b44f3ef 100644
--- a/pkgs/by-name/ai/airscan/package.nix
+++ b/pkgs/by-name/ai/airscan/package.nix
@@ -18,6 +18,7 @@ buildGoModule rec {
meta = with lib; {
description = "Package to scan paper documents using the Apple AirScan (eSCL) protocol";
+ mainProgram = "airscan1";
homepage = "https://github.com/stapelberg/airscan";
changelog = "https://github.com/stapelberg/airscan/releases/tag/v${version}";
license = licenses.asl20;
diff --git a/pkgs/by-name/al/alephone-apotheosis-x/package.nix b/pkgs/by-name/al/alephone-apotheosis-x/package.nix
index 16bed9fad97e..566b98f9e856 100644
--- a/pkgs/by-name/al/alephone-apotheosis-x/package.nix
+++ b/pkgs/by-name/al/alephone-apotheosis-x/package.nix
@@ -1,4 +1,4 @@
-{ alephone, requireFile }:
+{ lib, alephone, requireFile }:
alephone.makeWrapper rec {
pname = "apotheosis-x";
@@ -16,5 +16,6 @@ alephone.makeWrapper rec {
meta = {
description = "Total conversion for Marathon Infinity running on the Aleph One engine";
homepage = "https://simplici7y.com/items/apotheosis-x-5";
+ license = lib.licenses.unfree;
};
}
diff --git a/pkgs/by-name/al/alephone/package.nix b/pkgs/by-name/al/alephone/package.nix
index 44349b7621e5..5ee1ef50a76b 100644
--- a/pkgs/by-name/al/alephone/package.nix
+++ b/pkgs/by-name/al/alephone/package.nix
@@ -84,6 +84,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
description =
"Aleph One is the open source continuation of Bungie’s Marathon 2 game engine";
+ mainProgram = "alephone";
homepage = "https://alephone.lhowon.org/";
license = [ lib.licenses.gpl3 ];
maintainers = with lib.maintainers; [ ehmry ];
diff --git a/pkgs/by-name/al/alsa-lib-with-plugins/package.nix b/pkgs/by-name/al/alsa-lib-with-plugins/package.nix
index 4388884f75a6..63ffa5550c44 100644
--- a/pkgs/by-name/al/alsa-lib-with-plugins/package.nix
+++ b/pkgs/by-name/al/alsa-lib-with-plugins/package.nix
@@ -12,6 +12,7 @@ in
runCommand "${alsa-lib.pname}-${alsa-lib.version}" {
meta = with lib; {
description = "wrapper to ease access to ALSA plugins";
+ mainProgram = "aserver";
platforms = platforms.linux;
maintainers = with maintainers; [ gm6k ];
};
diff --git a/pkgs/by-name/al/alsa-lib/package.nix b/pkgs/by-name/al/alsa-lib/package.nix
index f22f68d19cf8..50f40cd0686c 100644
--- a/pkgs/by-name/al/alsa-lib/package.nix
+++ b/pkgs/by-name/al/alsa-lib/package.nix
@@ -37,6 +37,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
homepage = "http://www.alsa-project.org/";
description = "ALSA, the Advanced Linux Sound Architecture libraries";
+ mainProgram = "aserver";
longDescription = ''
The Advanced Linux Sound Architecture (ALSA) provides audio and
diff --git a/pkgs/by-name/al/alsa-oss/package.nix b/pkgs/by-name/al/alsa-oss/package.nix
index f600b52c5f3a..71c53580c286 100644
--- a/pkgs/by-name/al/alsa-oss/package.nix
+++ b/pkgs/by-name/al/alsa-oss/package.nix
@@ -19,6 +19,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "http://www.alsa-project.org/";
description = "ALSA, the Advanced Linux Sound Architecture alsa-oss emulation";
+ mainProgram = "aoss";
longDescription = ''
The Advanced Linux Sound Architecture (ALSA) provides audio and
diff --git a/pkgs/by-name/al/alsa-ucm-conf/package.nix b/pkgs/by-name/al/alsa-ucm-conf/package.nix
index cb3bf00a0038..76e90f672018 100644
--- a/pkgs/by-name/al/alsa-ucm-conf/package.nix
+++ b/pkgs/by-name/al/alsa-ucm-conf/package.nix
@@ -1,24 +1,14 @@
-{ lib, stdenv, fetchurl, fetchpatch }:
+{ lib, stdenv, fetchurl }:
stdenv.mkDerivation rec {
pname = "alsa-ucm-conf";
- version = "1.2.10";
+ version = "1.2.11";
src = fetchurl {
url = "mirror://alsa/lib/${pname}-${version}.tar.bz2";
- hash = "sha256-nCHj8B/wC6p1jfF+hnzTbiTrtBpr7ElzfpkQXhbyrpc=";
+ hash = "sha256-OHwBzzDioWdte49ysmgc8hmrynDdHsKp4zrdW/P+roE=";
};
- patches = [
- (fetchpatch {
- # ToDo: Remove this patch in the next package upgrade
- # Fixes SplitPCM to make some audio devices work with alsa-ucm-conf v1.2.10 again
- name = "alsa-ucm-conf-splitpcm-device-argument-fix.patch";
- url = "https://github.com/alsa-project/alsa-ucm-conf/commit/b68aa52acdd2763fedad5eec0f435fbf43e5ccc6.patch";
- hash = "sha256-8WE4+uhi4W7cCSZYmL7uFpcHJ9muX09UkGXyZIpEd9I=";
- })
- ];
-
dontBuild = true;
installPhase = ''
diff --git a/pkgs/by-name/al/alt-tab-macos/package.nix b/pkgs/by-name/al/alt-tab-macos/package.nix
index d63dd91c05db..98b2d3da2d25 100644
--- a/pkgs/by-name/al/alt-tab-macos/package.nix
+++ b/pkgs/by-name/al/alt-tab-macos/package.nix
@@ -6,11 +6,11 @@
stdenvNoCC.mkDerivation (finalAttrs: {
pname = "alt-tab-macos";
- version = "6.61.0";
+ version = "6.66.0";
src = fetchurl {
url = "https://github.com/lwouis/alt-tab-macos/releases/download/v${finalAttrs.version}/AltTab-${finalAttrs.version}.zip";
- hash = "sha256-crmeYVeSmu5avNSd3dCbEeGnuqonh1HC5NnEOz8OB2U=";
+ hash = "sha256-mQ4tS9htL+X4lXqSe3L+mnwgVnHb3Zxyz6dgzmYHY9w=";
};
sourceRoot = ".";
diff --git a/pkgs/by-name/am/am2rlauncher/am2r-run-binary.patch b/pkgs/by-name/am/am2rlauncher/am2r-run-binary.patch
new file mode 100644
index 000000000000..4383190dfe91
--- /dev/null
+++ b/pkgs/by-name/am/am2rlauncher/am2r-run-binary.patch
@@ -0,0 +1,14 @@
+diff --git a/AM2RLauncher/AM2RLauncherLib/Profile.cs b/AM2RLauncher/AM2RLauncherLib/Profile.cs
+index 8186350..2f9de2a 100644
+--- a/AM2RLauncher/AM2RLauncherLib/Profile.cs
++++ b/AM2RLauncher/AM2RLauncherLib/Profile.cs
+@@ -796,7 +796,8 @@ public static class Profile
+ UseShellExecute = false,
+ WorkingDirectory = gameDirectory,
+ #if NOAPPIMAGE
+- FileName = $"{gameDirectory}/runner"
++ FileName = "am2r-run",
++ Arguments = "./runner"
+ #else
+ FileName = $"{gameDirectory}/AM2R.AppImage"
+ #endif
diff --git a/pkgs/by-name/am/am2rlauncher/deps.nix b/pkgs/by-name/am/am2rlauncher/deps.nix
new file mode 100644
index 000000000000..b59e6d1dcd18
--- /dev/null
+++ b/pkgs/by-name/am/am2rlauncher/deps.nix
@@ -0,0 +1,43 @@
+# This file was automatically generated by passthru.fetch-deps.
+# Please dont edit it manually, your changes might get overwritten!
+
+{ fetchNuGet }: [
+ (fetchNuGet { pname = "AtkSharp"; version = "3.24.24.34"; sha256 = "1jn1vgi9xm0jp7769k6sbdi8d273kigjrsh93i6s4c03hqxv7cqs"; })
+ (fetchNuGet { pname = "CairoSharp"; version = "3.24.24.34"; sha256 = "0pydn1k0cam1gclg9sc1sbnmbyzh28qlc5qanyxcylwghink3kgz"; })
+ (fetchNuGet { pname = "Eto.Forms"; version = "2.7.1"; sha256 = "1hzbdnmn5znycfi0mvqa5k5mz9gasy58qzwa7fjmlkwj8ab87l9r"; })
+ (fetchNuGet { pname = "Eto.Platform.Gtk"; version = "2.7.1"; sha256 = "09iz85s728jy5qg7y30qvqw5rpsr3yxffrchk1avryk87ky1ysys"; })
+ (fetchNuGet { pname = "GdkSharp"; version = "3.24.24.34"; sha256 = "0r0x0yib7chwsyrbpvicrfwldwqx5lyqq4p86zaxpmzd6zdaj0x5"; })
+ (fetchNuGet { pname = "GioSharp"; version = "3.24.24.34"; sha256 = "02hxvgjd4w9jpzbkk7qf9q9bkvyp5hfzwxfqp10vg5lpl9yl3xpx"; })
+ (fetchNuGet { pname = "GLibSharp"; version = "3.24.24.34"; sha256 = "0kvp033fgdwc8p2abfp5z9pzq66cvwbnjfvr4v4bkpy5s5h181kq"; })
+ (fetchNuGet { pname = "GtkSharp"; version = "3.24.24.34"; sha256 = "0028hzmmqyfx87qqmaf9cgb5psn7gkbmqvixcid67x1d6mzxjicb"; })
+ (fetchNuGet { pname = "LibGit2Sharp"; version = "0.27.0"; sha256 = "0n8crafpp4jq74km45wlm3jm0h96ggvqxy26wrz55azgjpk6p1gz"; })
+ (fetchNuGet { pname = "LibGit2Sharp.NativeBinaries"; version = "2.0.319"; sha256 = "0xm6np8y182v5246imnkw1fj2sx8x2nl3568kkm3razcgb0y5xlf"; })
+ (fetchNuGet { pname = "log4net"; version = "2.0.15"; sha256 = "1iq1rd0z0m15ln247jjrimj3avq50vh6njvw4x158r5v6nz093nb"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.Platforms"; version = "1.1.0"; sha256 = "08vh1r12g6ykjygq5d3vq09zylgb84l63k49jc4v8faw9g93iqqm"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.Platforms"; version = "5.0.0"; sha256 = "0mwpwdflidzgzfx2dlpkvvnkgkr2ayaf0s80737h4wa35gaj11rc"; })
+ (fetchNuGet { pname = "Microsoft.Win32.Registry"; version = "5.0.0"; sha256 = "102hvhq2gmlcbq8y2cb7hdr2dnmjzfp2k3asr1ycwrfacwyaak7n"; })
+ (fetchNuGet { pname = "Microsoft.Win32.SystemEvents"; version = "6.0.0"; sha256 = "0c6pcj088g1yd1vs529q3ybgsd2vjlk5y1ic6dkmbhvrp5jibl9p"; })
+ (fetchNuGet { pname = "NETStandard.Library"; version = "2.0.3"; sha256 = "1fn9fxppfcg4jgypp2pmrpr6awl3qz1xmnri0cygpkwvyx27df1y"; })
+ (fetchNuGet { pname = "PangoSharp"; version = "3.24.24.34"; sha256 = "1r0h14cklglfpv1lhv93cxmzi2w7d5s03gzpq3j5dmrz43flg9zw"; })
+ (fetchNuGet { pname = "System.Buffers"; version = "4.4.0"; sha256 = "183f8063w8zqn99pv0ni0nnwh7fgx46qzxamwnans55hhs2l0g19"; })
+ (fetchNuGet { pname = "System.Buffers"; version = "4.5.1"; sha256 = "04kb1mdrlcixj9zh1xdi5as0k0qi8byr5mi3p3jcxx72qz93s2y3"; })
+ (fetchNuGet { pname = "System.ComponentModel.Annotations"; version = "5.0.0"; sha256 = "021h7x98lblq9avm1bgpa4i31c2kgsa7zn4sqhxf39g087ar756j"; })
+ (fetchNuGet { pname = "System.Configuration.ConfigurationManager"; version = "4.5.0"; sha256 = "1frpy24mn6q7hgwayj98kkx89z861f5dmia4j6zc0a2ydgx8x02c"; })
+ (fetchNuGet { pname = "System.Configuration.ConfigurationManager"; version = "6.0.0"; sha256 = "0sqapr697jbb4ljkq46msg0xx1qpmc31ivva6llyz2wzq3mpmxbw"; })
+ (fetchNuGet { pname = "System.Drawing.Common"; version = "6.0.0"; sha256 = "02n8rzm58dac2np8b3xw8ychbvylja4nh6938l5k2fhyn40imlgz"; })
+ (fetchNuGet { pname = "System.Memory"; version = "4.5.0"; sha256 = "1layqpcx1q4l805fdnj2dfqp6ncx2z42ca06rgsr6ikq4jjgbv30"; })
+ (fetchNuGet { pname = "System.Memory"; version = "4.5.4"; sha256 = "14gbbs22mcxwggn0fcfs1b062521azb9fbb7c113x0mq6dzq9h6y"; })
+ (fetchNuGet { pname = "System.Numerics.Vectors"; version = "4.4.0"; sha256 = "0rdvma399070b0i46c4qq1h2yvjj3k013sqzkilz4bz5cwmx1rba"; })
+ (fetchNuGet { pname = "System.Runtime.CompilerServices.Unsafe"; version = "4.5.0"; sha256 = "17labczwqk3jng3kkky73m0jhi8wc21vbl7cz5c0hj2p1dswin43"; })
+ (fetchNuGet { pname = "System.Runtime.CompilerServices.Unsafe"; version = "4.5.3"; sha256 = "1afi6s2r1mh1kygbjmfba6l4f87pi5sg13p4a48idqafli94qxln"; })
+ (fetchNuGet { pname = "System.Security.AccessControl"; version = "4.5.0"; sha256 = "1wvwanz33fzzbnd2jalar0p0z3x0ba53vzx1kazlskp7pwyhlnq0"; })
+ (fetchNuGet { pname = "System.Security.AccessControl"; version = "5.0.0"; sha256 = "17n3lrrl6vahkqmhlpn3w20afgz09n7i6rv0r3qypngwi7wqdr5r"; })
+ (fetchNuGet { pname = "System.Security.AccessControl"; version = "6.0.0"; sha256 = "0a678bzj8yxxiffyzy60z2w1nczzpi8v97igr4ip3byd2q89dv58"; })
+ (fetchNuGet { pname = "System.Security.Cryptography.ProtectedData"; version = "4.5.0"; sha256 = "11qlc8q6b7xlspayv07718ibzvlj6ddqqxkvcbxv5b24d5kzbrb7"; })
+ (fetchNuGet { pname = "System.Security.Cryptography.ProtectedData"; version = "6.0.0"; sha256 = "05kd3a8w7658hjxq9vvszxip30a479fjmfq4bq1r95nrsvs4hbss"; })
+ (fetchNuGet { pname = "System.Security.Permissions"; version = "4.5.0"; sha256 = "192ww5rm3c9mirxgl1nzyrwd18am3izqls0hzm0fvcdjl5grvbhm"; })
+ (fetchNuGet { pname = "System.Security.Permissions"; version = "6.0.0"; sha256 = "0jsl4xdrkqi11iwmisi1r2f2qn5pbvl79mzq877gndw6ans2zhzw"; })
+ (fetchNuGet { pname = "System.Security.Principal.Windows"; version = "4.5.0"; sha256 = "0rmj89wsl5yzwh0kqjgx45vzf694v9p92r4x4q6yxldk1cv1hi86"; })
+ (fetchNuGet { pname = "System.Security.Principal.Windows"; version = "5.0.0"; sha256 = "1mpk7xj76lxgz97a5yg93wi8lj0l8p157a5d50mmjy3gbz1904q8"; })
+ (fetchNuGet { pname = "System.Windows.Extensions"; version = "6.0.0"; sha256 = "1wy9pq9vn1bqg5qnv53iqrbx04yzdmjw4x5yyi09y3459vaa1sip"; })
+]
diff --git a/pkgs/by-name/am/am2rlauncher/package.nix b/pkgs/by-name/am/am2rlauncher/package.nix
new file mode 100644
index 000000000000..d0dbf909500f
--- /dev/null
+++ b/pkgs/by-name/am/am2rlauncher/package.nix
@@ -0,0 +1,104 @@
+{ lib
+, buildDotnetModule
+, writeShellScript
+, glibc
+, gtk3
+, libappindicator
+, webkitgtk
+, e2fsprogs
+, libnotify
+, libgit2
+, openssl
+, xdelta
+, file
+, busybox
+, openjdk
+, patchelf
+, fetchFromGitHub
+, buildFHSEnv
+, glib-networking
+}:
+let
+ am2r-run = buildFHSEnv {
+ name = "am2r-run";
+
+ multiArch = true;
+
+ multiPkgs = pkgs: with pkgs; [
+ stdenv.cc.cc.lib
+ xorg.libX11
+ xorg.libXext
+ xorg.libXrandr
+ xorg.libXxf86vm
+ curl
+ libGLU
+ libglvnd
+ openal
+ zlib
+ ];
+
+ runScript = writeShellScript "am2r-run" ''
+ exec -- "$1" "$@"
+ '';
+ };
+in
+buildDotnetModule {
+ pname = "am2rlauncher";
+ version = "2.3.0-unstable-2023-11-08";
+
+ src = fetchFromGitHub {
+ owner = "AM2R-Community-Developers";
+ repo = "AM2RLauncher";
+ rev = "5d8b7d9b3de68e6215c10b9fd223b7f1d5e40dea";
+ sha256 = "sha256-/nHqo8jh3sOUngbpqdfiQjUWO/8Uzpc5jtW7Ep4q6Wg=";
+ };
+
+ projectFile = "AM2RLauncher/AM2RLauncher.Gtk/AM2RLauncher.Gtk.csproj";
+
+ nugetDeps = ./deps.nix;
+ executables = "AM2RLauncher.Gtk";
+
+ runtimeDeps = [
+ glibc
+ gtk3
+ libappindicator
+ webkitgtk
+ e2fsprogs
+ libnotify
+ libgit2
+ openssl
+ ];
+
+ buildInputs = [ gtk3 ];
+
+ patches = [ ./am2r-run-binary.patch ];
+
+ dotnetFlags = [ ''-p:DefineConstants="NOAPPIMAGE;NOAUTOUPDATE;PATCHOPENSSL"'' ];
+
+ postFixup = ''
+ wrapProgram $out/bin/AM2RLauncher.Gtk \
+ --prefix PATH : ${lib.makeBinPath [ am2r-run xdelta file openjdk patchelf ]} \
+ --prefix GIO_EXTRA_MODULES : ${glib-networking}/lib/gio/modules
+
+ mkdir -p $out/share/icons
+ install -Dm644 $src/AM2RLauncher/distribution/linux/AM2RLauncher.png $out/share/icons/AM2RLauncher.png
+ install -Dm644 $src/AM2RLauncher/distribution/linux/AM2RLauncher.desktop $out/share/applications/AM2RLauncher.desktop
+
+ # renames binary for desktop file
+ mv $out/bin/AM2RLauncher.Gtk $out/bin/AM2RLauncher
+ '';
+
+ meta = with lib; {
+ homepage = "https://github.com/AM2R-Community-Developers/AM2RLauncher";
+ description = "A front-end for dealing with AM2R updates and mods";
+ longDescription = ''
+ A front-end application that simplifies installing the latest
+ AM2R-Community-Updates, creating APKs for Android use, as well as Mods for
+ AM2R.
+ '';
+ license = licenses.gpl3Only;
+ maintainers = with maintainers; [ nsnelson ];
+ mainProgram = "AM2RLauncher";
+ platforms = platforms.linux;
+ };
+}
diff --git a/pkgs/by-name/am/amazon-ssm-agent/package.nix b/pkgs/by-name/am/amazon-ssm-agent/package.nix
index f508e2d2e8f5..a4b76495c2ed 100644
--- a/pkgs/by-name/am/amazon-ssm-agent/package.nix
+++ b/pkgs/by-name/am/amazon-ssm-agent/package.nix
@@ -42,13 +42,13 @@ let
in
buildGoModule rec {
pname = "amazon-ssm-agent";
- version = "3.2.2222.0";
+ version = "3.3.131.0";
src = fetchFromGitHub {
owner = "aws";
repo = "amazon-ssm-agent";
rev = "refs/tags/${version}";
- hash = "sha256-0mXf7n+Cd5t3xAB/84ejdCzcZviBLODBPkJah1X63+0=";
+ hash = "sha256-fYFY5HQcArSDdh1qtIo4OzeLt+mIlbwlSr4O1py3MAk=";
};
vendorHash = null;
diff --git a/pkgs/applications/networking/browsers/amfora/default.nix b/pkgs/by-name/am/amfora/package.nix
similarity index 80%
rename from pkgs/applications/networking/browsers/amfora/default.nix
rename to pkgs/by-name/am/amfora/package.nix
index 7fd29f1a3942..340a7d906650 100644
--- a/pkgs/applications/networking/browsers/amfora/default.nix
+++ b/pkgs/by-name/am/amfora/package.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "amfora";
- version = "1.9.2";
+ version = "1.10.0";
src = fetchFromGitHub {
owner = "makeworld-the-better-one";
repo = "amfora";
rev = "v${version}";
- sha256 = "sha256-93xNzYPoy8VsbY2JyvDXt4J/gIbI2wzrCD83JUaP150=";
+ sha256 = "sha256-KOuKgxH3n4rdF+oj/TwEcRqX1sn4A9e23FNwQMhMVO4=";
};
- vendorHash = "sha256-XtiGj2Tr6sSBduIjBspeZpYaSTd6x6EVf3VEVMXDAD0=";
+ vendorHash = "sha256-T/hnlQMDOZV+QGl7xp29sBGfb4VXcXqN6PDoBFdpp4M=";
postInstall = lib.optionalString (!stdenv.isDarwin) ''
sed -i "s:amfora:$out/bin/amfora:" amfora.desktop
@@ -20,6 +20,7 @@ buildGoModule rec {
meta = with lib; {
description = "A fancy terminal browser for the Gemini protocol";
+ mainProgram = "amfora";
homepage = "https://github.com/makeworld-the-better-one/amfora";
license = with licenses; [ gpl3 ];
maintainers = with maintainers; [ deifactor ];
diff --git a/pkgs/by-name/am/amphetype/package.nix b/pkgs/by-name/am/amphetype/package.nix
index 0b47515c3dfe..1db7bb9a9e66 100644
--- a/pkgs/by-name/am/amphetype/package.nix
+++ b/pkgs/by-name/am/amphetype/package.nix
@@ -38,6 +38,7 @@ in python3Packages.buildPythonApplication {
meta = with lib; {
inherit description;
+ mainProgram = "amphetype";
homepage = "https://gitlab.com/franksh/amphetype";
license = licenses.gpl3Only;
maintainers = with maintainers; [ rycee ];
diff --git a/pkgs/by-name/an/ansel/package.nix b/pkgs/by-name/an/ansel/package.nix
index f4ee5d5ff048..82cafc40bd74 100644
--- a/pkgs/by-name/an/ansel/package.nix
+++ b/pkgs/by-name/an/ansel/package.nix
@@ -77,13 +77,13 @@ let
in
stdenv.mkDerivation {
pname = "ansel";
- version = "unstable-2024-01-05";
+ version = "unstable-2024-02-23";
src = fetchFromGitHub {
owner = "aurelienpierreeng";
repo = "ansel";
- rev = "e2c4a0a60cd80f741dd3d3c6ab72be9ac11234fb";
- hash = "sha256-Kg020MHy9fn1drCk+66f25twqczvD/5evutDODqOjYM=";
+ rev = "61eb388760d130476415a51e19f94b199a1088fe";
+ hash = "sha256-68EX5rnOlBHXZnMlXjQk+ZXFIwR5ZFc1Wyg8EzCKaUg=";
fetchSubmodules = true;
};
diff --git a/pkgs/by-name/an/anytype/package.nix b/pkgs/by-name/an/anytype/package.nix
index d65c2f38e676..85f9135f2c20 100644
--- a/pkgs/by-name/an/anytype/package.nix
+++ b/pkgs/by-name/an/anytype/package.nix
@@ -2,12 +2,12 @@
let
pname = "anytype";
- version = "0.38.0";
+ version = "0.39.0";
name = "Anytype-${version}";
src = fetchurl {
url = "https://github.com/anyproto/anytype-ts/releases/download/v${version}/${name}.AppImage";
name = "Anytype-${version}.AppImage";
- hash = "sha256-tcAOj7omrhyyG8elnAvbj/FtYaYOBeBkclpPHhSoass=";
+ hash = "sha256-Sgrgwp8yZGMLq25tHuoQquNjHTEbRPmFqzpMHnjq7oI=";
};
appimageContents = appimageTools.extractType2 { inherit name src; };
in appimageTools.wrapType2 {
diff --git a/pkgs/by-name/ao/aocl-utils/package.nix b/pkgs/by-name/ao/aocl-utils/package.nix
index 622c62ee96d6..b4a64d316c65 100644
--- a/pkgs/by-name/ao/aocl-utils/package.nix
+++ b/pkgs/by-name/ao/aocl-utils/package.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "aocl-utils";
- version = "4.1";
+ version = "4.2";
src = fetchFromGitHub {
owner = "amd";
repo = "aocl-utils";
rev = version;
- hash = "sha256-7Vc3kE+YfqIt6VfvSamsVQRemolzs1sNJUVUZFKk/O8=";
+ hash = "sha256-tjmCgVSU4XjBhbKMUY3hsvj3bvuXvVdf5Bqva5nr1tc=";
};
nativeBuildInputs = [ cmake ];
diff --git a/pkgs/development/tools/api-linter/default.nix b/pkgs/by-name/ap/api-linter/package.nix
similarity index 75%
rename from pkgs/development/tools/api-linter/default.nix
rename to pkgs/by-name/ap/api-linter/package.nix
index 97bda5410596..99e238eb97be 100644
--- a/pkgs/development/tools/api-linter/default.nix
+++ b/pkgs/by-name/ap/api-linter/package.nix
@@ -5,16 +5,16 @@
buildGoModule rec {
pname = "api-linter";
- version = "1.63.3";
+ version = "1.64.0";
src = fetchFromGitHub {
owner = "googleapis";
repo = "api-linter";
rev = "v${version}";
- hash = "sha256-mcmp3M9KhZp3j18jh+3v5fwPPLRs2hkrRUN3RM/zCmo=";
+ hash = "sha256-fkO7wcWivQ1do+KYQJe3OX+WN/jS3cd4pYsZUHojfiU=";
};
- vendorHash = "sha256-/z2FqMyZnn2A5aajimTS2zw3A1v5v0uYZY81acuQOnw=";
+ vendorHash = "sha256-RWB2sq3uNRrxGdBzKI03diaa5fF6LvglUV8L4Nz4fyk=";
subPackages = [ "cmd/api-linter" ];
@@ -29,5 +29,6 @@ buildGoModule rec {
changelog = "https://github.com/googleapis/api-linter/releases/tag/${src.rev}";
license = licenses.asl20;
maintainers = with maintainers; [ xrelkd ];
+ mainProgram = "api-linter";
};
}
diff --git a/pkgs/by-name/ap/apt-mirror/package.nix b/pkgs/by-name/ap/apt-mirror/package.nix
new file mode 100644
index 000000000000..46aed818fe34
--- /dev/null
+++ b/pkgs/by-name/ap/apt-mirror/package.nix
@@ -0,0 +1,46 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, perl
+, wget
+, makeWrapper
+, nix-update-script
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "apt-mirror";
+ version = "0.5.4";
+
+ src = fetchFromGitHub {
+ owner = "apt-mirror";
+ repo = "apt-mirror";
+ rev = finalAttrs.version;
+ hash = "sha256-GNsyXP/O56Y+8QhoSfMm+ig5lK/K3Cm085jxRt9ZRmI=";
+ };
+
+ nativeBuildInputs = [ makeWrapper ];
+
+ buildInputs = [ perl ];
+
+ makeFlags = [
+ "DESTDIR=$(out)"
+ "PREFIX=''"
+ ];
+
+ postInstall = ''
+ wrapProgram $out/bin/apt-mirror \
+ --prefix PATH : ${lib.makeBinPath [wget]}
+ '';
+
+ passthru.updateScript = nix-update-script {};
+
+ meta = with lib; {
+ description = "A tool that provides the ability to mirror any parts of apt sources";
+ homepage = "https://github.com/apt-mirror/apt-mirror";
+ changelog = "https://github.com/apt-mirror/apt-mirror/blob/${finalAttrs.src.rev}/CHANGELOG";
+ license = licenses.gpl2Only;
+ maintainers = with maintainers; [ arthsmn ];
+ mainProgram = "apt-mirror";
+ platforms = platforms.all;
+ };
+})
diff --git a/pkgs/by-name/ap/apt/package.nix b/pkgs/by-name/ap/apt/package.nix
index d797cc72b639..230e82e28a74 100644
--- a/pkgs/by-name/ap/apt/package.nix
+++ b/pkgs/by-name/ap/apt/package.nix
@@ -33,11 +33,11 @@
stdenv.mkDerivation (finalAttrs: {
pname = "apt";
- version = "2.7.10";
+ version = "2.7.14";
src = fetchurl {
url = "mirror://debian/pool/main/a/apt/apt_${finalAttrs.version}.tar.xz";
- hash = "sha256-VUZsSEqmQJesIPU4tK4ELn9i5hFiBUGNEepPySIdgH4=";
+ hash = "sha256-egF4PwW32Q82rqeHHMBnV0ma6K3pM0l6rrbFCCdOc1g=";
};
# cycle detection; lib can't be split
diff --git a/pkgs/by-name/ap/apx-gui/package.nix b/pkgs/by-name/ap/apx-gui/package.nix
new file mode 100644
index 000000000000..60d3eac665d4
--- /dev/null
+++ b/pkgs/by-name/ap/apx-gui/package.nix
@@ -0,0 +1,59 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, appstream-glib
+, desktop-file-utils
+, gobject-introspection
+, libadwaita
+, meson
+, ninja
+, pkg-config
+, python3
+, wrapGAppsHook4
+, apx
+, gnome-console
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "apx-gui";
+ version = "0.1.1";
+
+ src = fetchFromGitHub {
+ owner = "Vanilla-OS";
+ repo = "apx-gui";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-orP5kAsoXX0zyDskeIPKKHNt5c757eUm9un4Ws6uFYA=";
+ };
+
+ strictDeps = true;
+
+ nativeBuildInputs = [
+ appstream-glib
+ desktop-file-utils
+ gobject-introspection
+ meson
+ ninja
+ pkg-config
+ (python3.withPackages (ps: [ ps.pygobject3 ]))
+ wrapGAppsHook4
+ ];
+
+ buildInputs = [
+ libadwaita
+ ];
+
+ preFixup = ''
+ gappsWrapperArgs+=(
+ --prefix PATH : "${lib.makeBinPath [ apx gnome-console ]}"
+ )
+ '';
+
+ meta = {
+ description = "A GUI frontend for Apx in GTK 4 and Libadwaita";
+ homepage = "https://github.com/Vanilla-OS/apx-gui";
+ license = lib.licenses.gpl3Only;
+ platforms = lib.platforms.linux;
+ maintainers = with lib.maintainers; [ chewblacka ];
+ mainProgram = "apx-gui";
+ };
+})
diff --git a/pkgs/by-name/ar/arcticons-sans/package.nix b/pkgs/by-name/ar/arcticons-sans/package.nix
new file mode 100644
index 000000000000..fb743aceee5c
--- /dev/null
+++ b/pkgs/by-name/ar/arcticons-sans/package.nix
@@ -0,0 +1,29 @@
+{ lib
+, stdenvNoCC
+, fetchzip
+}:
+
+stdenvNoCC.mkDerivation (finalAttrs: {
+ pname = "arcticons-sans";
+ version = "0.580";
+
+ src = fetchzip {
+ hash = "sha256-BRyYHOuz7zxD1zD4L4DmI9dFhGePmGFDqYmS0DIbvi8=";
+ url = "https://github.com/arcticons-team/arcticons-font/archive/refs/tags/${finalAttrs.version}.zip";
+ };
+
+ installPhase = ''
+ runHook preInstall
+
+ install -D -m444 -t $out/share/fonts/opentype $src/*.otf
+
+ runHook postInstall
+ '';
+
+ meta = {
+ description = "Arcticons Sans";
+ homepage = "https://github.com/arcticons-team/arcticons-font";
+ license = lib.licenses.ofl;
+ maintainers = with lib.maintainers; [ asininemonkey ];
+ };
+})
diff --git a/pkgs/by-name/ar/arduino-ide/package.nix b/pkgs/by-name/ar/arduino-ide/package.nix
index bcc947c1530c..284f3de28a49 100644
--- a/pkgs/by-name/ar/arduino-ide/package.nix
+++ b/pkgs/by-name/ar/arduino-ide/package.nix
@@ -5,11 +5,11 @@
let
pname = "arduino-ide";
- version = "2.2.1";
+ version = "2.3.2";
src = fetchurl {
url = "https://github.com/arduino/arduino-ide/releases/download/${version}/arduino-ide_${version}_Linux_64bit.AppImage";
- hash = "sha256-77uS/3ean3dWG/vDHG+ry238hiJlYub7H03f15eJu+I=";
+ hash = "sha256-M7JKfld6DRk4hxih5MufAhW9kJ+ePDrBhE+oXFc8dYw=";
};
appimageContents = appimageTools.extractType2 { inherit pname version src; };
diff --git a/pkgs/by-name/ar/arrpc/package.nix b/pkgs/by-name/ar/arrpc/package.nix
index b103718bb357..aefee98b0eac 100644
--- a/pkgs/by-name/ar/arrpc/package.nix
+++ b/pkgs/by-name/ar/arrpc/package.nix
@@ -3,15 +3,15 @@
, fetchFromGitHub
}: buildNpmPackage rec {
pname = "arrpc";
- version = "3.3.0";
+ version = "3.3.1";
src = fetchFromGitHub {
owner = "OpenAsar";
repo = "arrpc";
# Release commits are not tagged
# release: 3.3.0
- rev = "c6e23e7eb733ad396d3eebc328404cc656fed581";
- hash = "sha256-OeEFNbmGp5SWVdJJwXZUkkNrei9jyuPc+4E960l8VRA=";
+ rev = "b4796fffe3bf1b1361cc4781024349f7a4f9400e";
+ hash = "sha256-iEfV85tRl2KyjodoaSxVHiqweBpLeiCAYWc8+afl/sA=";
};
npmDepsHash = "sha256-YlSUGncpY0MyTiCfZcPsfcNx3fR+SCtkOFWbjOPLUzk=";
diff --git a/pkgs/by-name/ar/arxiv-latex-cleaner/package.nix b/pkgs/by-name/ar/arxiv-latex-cleaner/package.nix
index d2d75a2d284a..bae622f00fd2 100644
--- a/pkgs/by-name/ar/arxiv-latex-cleaner/package.nix
+++ b/pkgs/by-name/ar/arxiv-latex-cleaner/package.nix
@@ -5,13 +5,13 @@
}:
python3Packages.buildPythonApplication rec {
pname = "arxiv-latex-cleaner";
- version = "1.0.3";
+ version = "1.0.5";
src = fetchFromGitHub {
owner = "google-research";
repo = "arxiv-latex-cleaner";
rev = "refs/tags/v${version}";
- hash = "sha256-kM1eCzXipJ6GuYFA9Na2C0HtwHLotmE63nyUZ+9wkkk=";
+ hash = "sha256-Yxp8XtlISVZfEjCEJ/EXsIGMCHDPOwPcjkJxECeXvYk=";
};
propagatedBuildInputs = with python3Packages; [
@@ -30,6 +30,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
homepage = "https://github.com/google-research/arxiv-latex-cleaner";
description = "Easily clean the LaTeX code of your paper to submit to arXiv";
+ mainProgram = "arxiv_latex_cleaner";
license = licenses.asl20;
maintainers = with maintainers; [ arkivm ];
};
diff --git a/pkgs/by-name/as/asciiquarium-transparent/package.nix b/pkgs/by-name/as/asciiquarium-transparent/package.nix
index 2472d5015daf..b0b476e12bd9 100644
--- a/pkgs/by-name/as/asciiquarium-transparent/package.nix
+++ b/pkgs/by-name/as/asciiquarium-transparent/package.nix
@@ -3,32 +3,35 @@
stdenv,
fetchFromGitHub,
makeWrapper,
- perlPackages,
+ perl,
}:
-stdenv.mkDerivation {
+stdenv.mkDerivation (finalAttrs: {
pname = "asciiquarium-transparent";
- version = "unstable-2023-02-19";
+ version = "1.3";
+
src = fetchFromGitHub {
owner = "nothub";
repo = "asciiquarium";
- rev = "653cd99a611080c776d18fc7991ae5dd924c72ce";
- hash = "sha256-72LRFydbObFDXJllmlRjr5O8qjDqtlp3JunE3kwb5aU=";
+ rev = "${finalAttrs.version}";
+ hash = "sha256-zQyVIfwmhF3WsCeIZLwjDufvKzAfjLxaK2s7WTedqCg=";
};
- nativeBuildInputs = [makeWrapper];
- buildInputs = [perlPackages.perl];
+
+ nativeBuildInputs = [ makeWrapper ];
+ buildInputs = [ perl ];
installPhase = ''
runHook preInstall
- mkdir -p $out/bin
- cp asciiquarium $out/bin/asciiquarium
- wrapProgram $out/bin/asciiquarium --set PERL5LIB ${perlPackages.makeFullPerlPath [perlPackages.TermAnimation]}
+ install -Dm555 asciiquarium -t $out/bin
+ wrapProgram $out/bin/asciiquarium \
+ --set PERL5LIB ${with perl.pkgs; makeFullPerlPath [ TermAnimation ]}
runHook postInstall
'';
- meta = with lib; {
+
+ meta = {
description = "An aquarium/sea animation in ASCII art (with option of transparent background)";
- mainProgram = "asciiquarium";
homepage = "https://github.com/nothub/asciiquarium";
- license = with licenses; [gpl2Only];
- platforms = platforms.unix;
- maintainers = with maintainers; [quantenzitrone];
+ license = lib.licenses.gpl2Only;
+ mainProgram = "asciiquarium";
+ maintainers = with lib.maintainers; [ quantenzitrone ];
+ platforms = perl.meta.platforms;
};
-}
+})
diff --git a/pkgs/applications/networking/asn/default.nix b/pkgs/by-name/as/asn/package.nix
similarity index 92%
rename from pkgs/applications/networking/asn/default.nix
rename to pkgs/by-name/as/asn/package.nix
index 694976f543c3..6a8ec939cb33 100644
--- a/pkgs/applications/networking/asn/default.nix
+++ b/pkgs/by-name/as/asn/package.nix
@@ -15,13 +15,13 @@
stdenv.mkDerivation rec {
pname = "asn";
- version = "0.75.2";
+ version = "0.76.1";
src = fetchFromGitHub {
owner = "nitefood";
repo = "asn";
rev = "refs/tags/v${version}";
- hash = "sha256-G8TDl9R5nbUzmjcr1m+eNNybSDqb64c7ZOO/viL5/Q4=";
+ hash = "sha256-9UDd0tgRKEFC1V1+1s9Ghev0I48L8UR9/YbZKX3F1MU=";
};
nativeBuildInputs = [
diff --git a/pkgs/by-name/as/ast-grep/package.nix b/pkgs/by-name/as/ast-grep/package.nix
index 67afe28bc346..3334e7128bd4 100644
--- a/pkgs/by-name/as/ast-grep/package.nix
+++ b/pkgs/by-name/as/ast-grep/package.nix
@@ -2,31 +2,36 @@
, rustPlatform
, fetchFromGitHub
, stdenv
+, installShellFiles
}:
rustPlatform.buildRustPackage rec {
pname = "ast-grep";
- version = "0.18.1";
+ version = "0.19.4";
src = fetchFromGitHub {
owner = "ast-grep";
repo = "ast-grep";
rev = version;
- hash = "sha256-hr6VAqBsv3szVClR93y5ickkrNKjvl6BfzqKA3zc6vM=";
+ hash = "sha256-hKqj3LVu/3ndGoZQYyH1yCm5vF0/Ck5bkTKjLIkcUys=";
};
- cargoHash = "sha256-ttJMtaQfVnFj4/wUz4fn8X/EmUwW+usqhmWhy4Y0AB8=";
+ cargoHash = "sha256-Fli97ANWHZvvBC6hImymELkpBqqrAOm006LROj3R3sM=";
- # Work around https://github.com/NixOS/nixpkgs/issues/166205.
- env = lib.optionalAttrs stdenv.cc.isClang {
- NIX_LDFLAGS = "-l${stdenv.cc.libcxx.cxxabi.libName}";
- };
+ nativeBuildInputs = [ installShellFiles ];
# error: linker `aarch64-linux-gnu-gcc` not found
postPatch = ''
rm .cargo/config.toml
'';
+ postInstall = ''
+ installShellCompletion --cmd sg \
+ --bash <($out/bin/sg completions bash) \
+ --fish <($out/bin/sg completions fish) \
+ --zsh <($out/bin/sg completions zsh)
+ '';
+
checkFlags = [
# disable flaky test
"--skip=test::test_load_parser_mac"
diff --git a/pkgs/by-name/at/ataripp/package.nix b/pkgs/by-name/at/ataripp/package.nix
index 86dc7b0bc0f4..303a7c47c4d2 100644
--- a/pkgs/by-name/at/ataripp/package.nix
+++ b/pkgs/by-name/at/ataripp/package.nix
@@ -38,6 +38,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
homepage = "http://www.xl-project.com/";
description = "An enhanced, cycle-accurated Atari emulator";
+ mainProgram = "atari++";
longDescription = ''
The Atari++ Emulator is a Unix based emulator of the Atari eight bit
computers, namely the Atari 400 and 800, the Atari 400XL, 800XL and 130XE,
diff --git a/pkgs/by-name/at/atuin/package.nix b/pkgs/by-name/at/atuin/package.nix
index db9bc233cb9a..3b8f6db5d6f2 100644
--- a/pkgs/by-name/at/atuin/package.nix
+++ b/pkgs/by-name/at/atuin/package.nix
@@ -10,28 +10,35 @@
rustPlatform.buildRustPackage rec {
pname = "atuin";
- version = "18.0.1";
+ version = "18.1.0";
src = fetchFromGitHub {
owner = "atuinsh";
repo = "atuin";
rev = "v${version}";
- hash = "sha256-fuVSn1vhKn2+Tw5f6zBYHFW3QSL4eisZ6d5pxsj5hh4=";
+ hash = "sha256-ddj8vHFTRBzeueSvY9kS1ZIcAID8k3MXrQkUVt04rQg=";
};
# TODO: unify this to one hash because updater do not support this
cargoHash =
if stdenv.isLinux
- then "sha256-lHWgsVnjSeBmd7O4Fn0pUtTn4XbkBOAouaRHRozil50="
- else "sha256-LxfpllzvgUu7ZuD97n3W+el3bdOt5QGXzJbDQ0w8seo=";
+ then "sha256-LKHBXm9ZThX96JjxJb8d7cRdhWL1t/3aG3Qq1TYBC74="
+ else "sha256-RSkC062XB5zy3lmI0OQhJfJ6FqFWXhpMPNIIqbrrlso=";
+
+ # atuin's default features include 'check-updates', which do not make sense
+ # for distribution builds. List all other default features.
+ buildNoDefaultFeatures = true;
+ buildFeatures = [
+ "client" "sync" "server" "clipboard"
+ ];
nativeBuildInputs = [ installShellFiles ];
buildInputs = lib.optionals stdenv.isDarwin [
libiconv
- darwin.apple_sdk.frameworks.AppKit
- darwin.apple_sdk.frameworks.Security
- darwin.apple_sdk.frameworks.SystemConfiguration
+ darwin.apple_sdk_11_0.frameworks.AppKit
+ darwin.apple_sdk_11_0.frameworks.Security
+ darwin.apple_sdk_11_0.frameworks.SystemConfiguration
];
postInstall = ''
diff --git a/pkgs/by-name/au/audiness/package.nix b/pkgs/by-name/au/audiness/package.nix
new file mode 100644
index 000000000000..1909d5411e62
--- /dev/null
+++ b/pkgs/by-name/au/audiness/package.nix
@@ -0,0 +1,40 @@
+{ lib
+, fetchFromGitHub
+, python3
+}:
+
+python3.pkgs.buildPythonApplication rec {
+ pname = "audiness";
+ version = "0.3.1";
+ pyproject = true;
+
+ src = fetchFromGitHub {
+ owner = "audiusGmbH";
+ repo = "audiness";
+ rev = "refs/tags/${version}";
+ hash = "sha256-r+xWwXRKuTp5ifUUlF1K6BIVWh67hNLMBKBB7wnLLAM=";
+ };
+
+ nativeBuildInputs = with python3.pkgs; [
+ poetry-core
+ ];
+
+ propagatedBuildInputs = with python3.pkgs; [
+ pytenable
+ typer
+ validators
+ ] ++ typer.optional-dependencies.all;
+
+ pythonImportsCheck = [
+ "audiness"
+ ];
+
+ meta = with lib; {
+ description = "CLI tool to interact with Nessus";
+ homepage = "https://github.com/audiusGmbH/audiness";
+ changelog = "https://github.com/audiusGmbH/audiness/releases/tag/${version}";
+ license = licenses.mit;
+ maintainers = with maintainers; [ fab ];
+ mainProgram = "audiness";
+ };
+}
diff --git a/pkgs/by-name/au/audio-sharing/package.nix b/pkgs/by-name/au/audio-sharing/package.nix
index f65ffbc434de..4fbf8a54036f 100644
--- a/pkgs/by-name/au/audio-sharing/package.nix
+++ b/pkgs/by-name/au/audio-sharing/package.nix
@@ -68,6 +68,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
homepage = "https://gitlab.gnome.org/World/AudioSharing";
description = "Automatically share the current audio playback in the form of an RTSP stream";
+ mainProgram = "audio-sharing";
maintainers = with maintainers; [ benediktbroich ];
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/by-name/au/audiobookshelf/source.json b/pkgs/by-name/au/audiobookshelf/source.json
index 74a387587170..115584aa553f 100644
--- a/pkgs/by-name/au/audiobookshelf/source.json
+++ b/pkgs/by-name/au/audiobookshelf/source.json
@@ -1,9 +1,9 @@
{
"owner": "advplyr",
"repo": "audiobookshelf",
- "rev": "90f4833c9e0957f08799af15966d1909516b335e",
- "hash": "sha256-m+CwUV3Bu9sHvRKCA1vFXYYRx48bxZ8N3BornO1tLQ0=",
- "version": "2.7.2",
- "depsHash": "sha256-1623oXtkOp43xQvHI3GbJpEQLvgr5WD5FpfNO+d0RR8=",
- "clientDepsHash": "sha256-ugf9C/L5aBTO7gCy561kV06Ihb/mg/ZW916NKngIYXI="
+ "rev": "166454ef43e3cdb42c644cdccdacddd3a880cd89",
+ "hash": "sha256-QrGzlSGK7WDlRemS13CmHdg563HFv9QhOpCF+XRxXsg=",
+ "version": "2.8.1",
+ "depsHash": "sha256-CzlwpgSgsQZGuRWTA/IkCyaryCSurH74WR5Rhm5faEk=",
+ "clientDepsHash": "sha256-s7/Rlj3DrYjUkxAN82bTpWe+D4HdVOc1mrqKgqcJuI4="
}
diff --git a/pkgs/by-name/au/audiobookshelf/update.nu b/pkgs/by-name/au/audiobookshelf/update.nu
index 25166c9cf1d1..4aef2276e4f0 100755
--- a/pkgs/by-name/au/audiobookshelf/update.nu
+++ b/pkgs/by-name/au/audiobookshelf/update.nu
@@ -1,5 +1,5 @@
#!/usr/bin/env nix-shell
-#!nix-shell -i nu -p nushell common-updater-scripts prefetch-npm-deps
+#!nix-shell -i nu -p nushell common-updater-scripts prefetch-npm-deps nix-prefetch-github
def main [] {
let sourceFile = $"(pwd)/pkgs/by-name/au/audiobookshelf/source.json"
diff --git a/pkgs/by-name/au/audion/package.nix b/pkgs/by-name/au/audion/package.nix
new file mode 100644
index 000000000000..0f9b05c527ac
--- /dev/null
+++ b/pkgs/by-name/au/audion/package.nix
@@ -0,0 +1,27 @@
+{ lib
+, fetchFromGitHub
+, rustPlatform
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "audion";
+ version = "0.2.0";
+
+ src = fetchFromGitHub {
+ owner = "audiusGmbH";
+ repo = "audion";
+ rev = "refs/tags/${version}";
+ hash = "sha256-j8sQCeHpxrpzyY75DypWI9z+JBWq7aaaXPnZh7ksRjc=";
+ };
+
+ cargoHash = "sha256-/x2gjLz73uPY+ouQOxLN2ViET+V/s9jgkgw97yzVj24=";
+
+ meta = with lib; {
+ description = "Ping the host continuously and write results to a file";
+ homepage = "https://github.com/audiusGmbH/audion";
+ changelog = "https://github.com/audiusGmbH/audion/releases/tag/${version}";
+ license = licenses.mit;
+ maintainers = with maintainers; [ fab ];
+ mainProgram = "audion";
+ };
+}
diff --git a/pkgs/by-name/au/authentik/ldap.nix b/pkgs/by-name/au/authentik/ldap.nix
index 1745e5556d3c..bff1c1584bbb 100644
--- a/pkgs/by-name/au/authentik/ldap.nix
+++ b/pkgs/by-name/au/authentik/ldap.nix
@@ -4,7 +4,7 @@ buildGoModule {
pname = "authentik-ldap-outpost";
inherit (authentik) version src;
- vendorHash = "sha256-74rSuZrO5c7mjhHh0iQlJEkOslsFrcDb1aRXXC4RsUM=";
+ vendorHash = "sha256-UIJBCTq7AJGUDIlZtJaWCovyxlMPzj2BCJQqthybEz4=";
CGO_ENABLED = 0;
diff --git a/pkgs/by-name/au/authentik/package.nix b/pkgs/by-name/au/authentik/package.nix
index a4de19b066d7..9f8299e985ce 100644
--- a/pkgs/by-name/au/authentik/package.nix
+++ b/pkgs/by-name/au/authentik/package.nix
@@ -11,13 +11,13 @@
, makeWrapper }:
let
- version = "2023.10.7";
+ version = "2024.2.2";
src = fetchFromGitHub {
owner = "goauthentik";
repo = "authentik";
rev = "version/${version}";
- hash = "sha256-+1IdXRt28UZ2KTa0zsmjneNUOcutP99UUwqcYyVyqTI=";
+ hash = "sha256-2B1RgKY5tpDBdzguEyWqzg15w5x/dLS2ffjbnxbpINs=";
};
meta = with lib; {
@@ -32,7 +32,7 @@ let
website = buildNpmPackage {
pname = "authentik-website";
inherit version src meta;
- npmDepsHash = "sha256-4dgFxEvMnp+35nSQNsEchtN1qoS5X2KzEbLPvMnyR+k=";
+ npmDepsHash = "sha256-paACBXG7hEQSLekxCvxNns2Tg9rN3DUgz6o3A/lAhA8=";
NODE_ENV = "production";
NODE_OPTIONS = "--openssl-legacy-provider";
@@ -82,7 +82,7 @@ let
ln -s ${src}/website $out/
ln -s ${clientapi} $out/web/node_modules/@goauthentik/api
'';
- npmDepsHash = "sha256-5aCKlArtoEijGqeYiY3zoV0Qo7/Xt5hSXbmy2uYZpok=";
+ npmDepsHash = "sha256-Xtzs91m+qu7jTwr0tMeS74gjlZs4vufGGlplPVf9yew=";
postPatch = ''
cd web
@@ -105,24 +105,68 @@ let
python = python3.override {
self = python;
packageOverrides = final: prev: {
+ django-tenants = prev.buildPythonPackage rec {
+ pname = "django-tenants";
+ version = "unstable-2024-01-11";
+ src = fetchFromGitHub {
+ owner = "rissson";
+ repo = pname;
+ rev = "a7f37c53f62f355a00142473ff1e3451bb794eca";
+ hash = "sha256-YBT0kcCfETXZe0j7/f1YipNIuRrcppRVh1ecFS3cvNo=";
+ };
+ format = "setuptools";
+ doCheck = false; # Tests require postgres
+
+ propagatedBuildInputs = with prev; [
+ django
+ psycopg
+ gunicorn
+ ];
+ };
+
+ tenant-schemas-celery = prev.buildPythonPackage rec {
+ pname = "tenant-schemas-celery";
+ version = "2.2.0";
+ src = fetchFromGitHub {
+ owner = "maciej-gol";
+ repo = pname;
+ rev = version;
+ hash = "sha256-OpIJobjWZE5GQGnHADioeoJo3A6DAKh0HdO10k4rsX4=";
+ };
+ format = "setuptools";
+ doCheck = false;
+
+ propagatedBuildInputs = with prev; [
+ freezegun
+ more-itertools
+ psycopg2
+ ];
+ };
+
authentik-django = prev.buildPythonPackage {
pname = "authentik-django";
inherit version src meta;
pyproject = true;
postPatch = ''
+ rm lifecycle/system_migrations/tenant_files.py
substituteInPlace authentik/root/settings.py \
--replace-fail 'Path(__file__).absolute().parent.parent.parent' "\"$out\""
substituteInPlace authentik/lib/default.yml \
- --replace-fail '/blueprints' "$out/blueprints"
+ --replace-fail '/blueprints' "$out/blueprints" \
+ --replace-fail './media' '/var/lib/authentik/media'
substituteInPlace pyproject.toml \
--replace-fail 'dumb-init = "*"' "" \
- --replace-fail 'djangorestframework-guardian' 'djangorestframework-guardian2'
+ --replace-fail 'djangorestframework-guardian' 'djangorestframework-guardian2' \
+ --replace-fail 'version = "4.9.4"' 'version = "*"' \
+ --replace-fail 'version = "<2"' 'version = "*"'
+ substituteInPlace authentik/stages/email/utils.py \
+ --replace-fail 'web/' '${webui}/'
'';
nativeBuildInputs = [ prev.poetry-core ];
- propagatedBuildInputs = with prev; [
+ propagatedBuildInputs = with final; [
argon2-cffi
celery
channels
@@ -138,6 +182,8 @@ let
django-model-utils
django-prometheus
django-redis
+ django-storages
+ django-tenants
djangorestframework
djangorestframework-guardian2
docker
@@ -151,6 +197,7 @@ let
kubernetes
ldap3
lxml
+ jsonpatch
opencontainers
packaging
paramiko
@@ -162,8 +209,10 @@ let
pyyaml
requests-oauthlib
sentry-sdk
+ service-identity
structlog
swagger-spec-validator
+ tenant-schemas-celery
twilio
twisted
ua-parser
@@ -176,7 +225,6 @@ let
wsproto
xmlsec
zxcvbn
- jsonpatch
] ++ [
codespell
];
@@ -210,7 +258,7 @@ let
CGO_ENABLED = 0;
- vendorHash = "sha256-74rSuZrO5c7mjhHh0iQlJEkOslsFrcDb1aRXXC4RsUM=";
+ vendorHash = "sha256-UIJBCTq7AJGUDIlZtJaWCovyxlMPzj2BCJQqthybEz4=";
postInstall = ''
mv $out/bin/server $out/bin/authentik
diff --git a/pkgs/by-name/au/autobloody/package.nix b/pkgs/by-name/au/autobloody/package.nix
new file mode 100644
index 000000000000..c55689350211
--- /dev/null
+++ b/pkgs/by-name/au/autobloody/package.nix
@@ -0,0 +1,46 @@
+{ lib
+, python3
+, fetchFromGitHub
+}:
+
+python3.pkgs.buildPythonApplication rec {
+ pname = "autobloody";
+ version = "0.1.8";
+ pyproject = true;
+
+ src = fetchFromGitHub {
+ owner = "CravateRouge";
+ repo = "autobloody";
+ rev = "refs/tags/v${version}";
+ hash = "sha256-0MwhdT9GYLcrdZSqszx1DC9lyz8K61lJZZCzeFfWB0E=";
+ };
+
+ nativeBuildInputs = with python3.pkgs; [
+ hatchling
+ ];
+
+ propagatedBuildInputs = with python3.pkgs; [
+ bloodyad
+ neo4j
+ ];
+
+ # Tests require a test file which is not available in the current release
+ doCheck = false;
+
+ nativeCheckInputs = with python3.pkgs; [
+ pytestCheckHook
+ ];
+
+ pythonImportsCheck = [
+ "autobloody"
+ ];
+
+ meta = with lib; {
+ description = "Tool to automatically exploit Active Directory privilege escalation paths";
+ homepage = "https://github.com/CravateRouge/autobloody";
+ changelog = "https://github.com/CravateRouge/autobloody/releases/tag/v${version}";
+ license = licenses.mit;
+ maintainers = with maintainers; [ fab ];
+ mainProgram = "autobloody";
+ };
+}
diff --git a/pkgs/by-name/av/avisynthplus/package.nix b/pkgs/by-name/av/avisynthplus/package.nix
new file mode 100644
index 000000000000..153e5dd4afb7
--- /dev/null
+++ b/pkgs/by-name/av/avisynthplus/package.nix
@@ -0,0 +1,50 @@
+{
+ lib,
+ stdenv,
+ fetchFromGitHub,
+ testers,
+ cmake,
+ gitUpdater,
+ fetchpatch,
+ libdevil,
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "avisynthplus";
+ version = "3.7.3";
+
+ src = fetchFromGitHub {
+ owner = "AviSynth";
+ repo = "AviSynthPlus";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-v/AErktcegdrwxDbD0DZ/ZAxgaZmkZD+qxR3EPFsT08=";
+ };
+
+ patches = [
+ # Remove after next relaese
+ (fetchpatch {
+ name = "fix-absolute-path.patch";
+ url = "https://github.com/AviSynth/AviSynthPlus/commit/818983691e962ec3e590fcad07032f8a139a6b16.patch";
+ hash = "sha256-4yUOnjtOroX+bhNUKbYz/giKaslzYdwPaaJWNkrTBr4=";
+ })
+ ];
+
+ buildInputs = [ libdevil ];
+
+ nativeBuildInputs = [ cmake ];
+
+ passthru = {
+ updateScript = gitUpdater { rev-prefix = "v"; };
+ tests.pkg-config = testers.testMetaPkgConfig finalAttrs.finalPackage;
+ };
+
+ meta = with lib; {
+ description = "An improved version of the AviSynth frameserver";
+ homepage = "https://avs-plus.net/";
+ changelog = "https://github.com/AviSynth/AviSynthPlus/releases/tag/${finalAttrs.src.rev}";
+ license = licenses.gpl2Only;
+ pkgConfigModules = [ "avisynth" ];
+ platforms = platforms.unix;
+ maintainers = with maintainers; [ jopejoe1 ];
+ };
+})
diff --git a/pkgs/by-name/ay/ayatana-indicator-session/package.nix b/pkgs/by-name/ay/ayatana-indicator-session/package.nix
index e4400a0e2343..4b3d33ea81be 100644
--- a/pkgs/by-name/ay/ayatana-indicator-session/package.nix
+++ b/pkgs/by-name/ay/ayatana-indicator-session/package.nix
@@ -21,13 +21,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "ayatana-indicator-session";
- version = "23.10.3";
+ version = "24.2.0";
src = fetchFromGitHub {
owner = "AyatanaIndicators";
repo = "ayatana-indicator-session";
rev = finalAttrs.version;
- hash = "sha256-m2+qZxBrarenR41M41mCteFRXIEGkVDavRWQwM3G4tk=";
+ hash = "sha256-XHJhzL7B+4FnUHbsJVywELoY7xxG19RRryaPYZVao1I=";
};
postPatch = ''
@@ -73,13 +73,6 @@ stdenv.mkDerivation (finalAttrs: {
(lib.cmakeBool "ENABLE_TESTS" finalAttrs.finalPackage.doCheck)
(lib.cmakeBool "GSETTINGS_LOCALINSTALL" true)
(lib.cmakeBool "GSETTINGS_COMPILE" true)
- (lib.cmakeFeature "CMAKE_CTEST_ARGUMENTS" (lib.concatStringsSep ";" [
- # Exclude tests
- "-E" (lib.strings.escapeShellArg "(${lib.concatStringsSep "|" [
- # Currently broken: https://github.com/AyatanaIndicators/ayatana-indicator-session/issues/90
- "^test-service"
- ]})")
- ]))
];
doCheck = stdenv.buildPlatform.canExecute stdenv.hostPlatform;
diff --git a/pkgs/by-name/ba/backlight-auto/package.nix b/pkgs/by-name/ba/backlight-auto/package.nix
index 5115ae229673..26869b5a20dd 100644
--- a/pkgs/by-name/ba/backlight-auto/package.nix
+++ b/pkgs/by-name/ba/backlight-auto/package.nix
@@ -20,6 +20,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "Automatically set screen brightness with a webcam";
+ mainProgram = "backlight-auto";
homepage = "https://len.falken.directory/backlight-auto.html";
license = licenses.mit;
maintainers = [ maintainers.lf- ];
diff --git a/pkgs/by-name/ba/baresip/package.nix b/pkgs/by-name/ba/baresip/package.nix
index 390c54e764f9..f63227c3292b 100644
--- a/pkgs/by-name/ba/baresip/package.nix
+++ b/pkgs/by-name/ba/baresip/package.nix
@@ -27,13 +27,13 @@
, dbusSupport ? true
}:
stdenv.mkDerivation rec {
- version = "3.9.0";
+ version = "3.10.1";
pname = "baresip";
src = fetchFromGitHub {
owner = "baresip";
repo = "baresip";
rev = "v${version}";
- hash = "sha256-AJCm823Fyu1n3gWw6wUfakM6YWwMtzQ84M0OKXZ4ThI=";
+ hash = "sha256-0huZP1hopHaN5R1Hki6YutpvoASfIHzHMl/Y4czHHMo=";
};
prePatch = lib.optionalString (!dbusSupport) ''
substituteInPlace cmake/modules.cmake --replace 'list(APPEND MODULES ctrl_dbus)' ""
diff --git a/pkgs/games/lgames/barrage/default.nix b/pkgs/by-name/ba/barrage/package.nix
similarity index 62%
rename from pkgs/games/lgames/barrage/default.nix
rename to pkgs/by-name/ba/barrage/package.nix
index 822ec04f1d45..a606d4f3dfdf 100644
--- a/pkgs/games/lgames/barrage/default.nix
+++ b/pkgs/by-name/ba/barrage/package.nix
@@ -1,16 +1,16 @@
{ lib
-, stdenv
-, fetchurl
, SDL
, SDL_mixer
+, fetchurl
+, stdenv
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "barrage";
version = "1.0.7";
src = fetchurl {
- url = "mirror://sourceforge/lgames/${pname}-${version}.tar.gz";
+ url = "mirror://sourceforge/lgames/barrage-${finalAttrs.version}.tar.gz";
hash = "sha256-cGYrG7A4Ffh51KyR+UpeWu7A40eqxI8g4LefBIs18kg=";
};
@@ -21,12 +21,13 @@ stdenv.mkDerivation rec {
hardeningDisable = [ "format" ];
- meta = with lib; {
+ meta = {
homepage = "https://lgames.sourceforge.io/Barrage/";
description = "A destructive action game";
- license = licenses.gpl2Plus;
- maintainers = with maintainers; [ AndersonTorres ];
+ license = with lib.licenses; [ gpl2Plus ];
+ mainProgram = "barrage";
+ maintainers = with lib.maintainers; [ AndersonTorres ];
inherit (SDL.meta) platforms;
broken = stdenv.isDarwin;
};
-}
+})
diff --git a/pkgs/by-name/ba/base16-shell-preview/package.nix b/pkgs/by-name/ba/base16-shell-preview/package.nix
index bd1c8508023d..e047c7e88b21 100644
--- a/pkgs/by-name/ba/base16-shell-preview/package.nix
+++ b/pkgs/by-name/ba/base16-shell-preview/package.nix
@@ -23,6 +23,7 @@ python3Packages.buildPythonApplication {
meta = {
homepage = "https://github.com/nvllsvm/base16-shell-preview";
description = "Browse and preview Base16 Shell themes in your terminal";
+ mainProgram = "base16-shell-preview";
license = lib.licenses.mit;
maintainers = with lib.maintainers; [ AndersonTorres ];
};
diff --git a/pkgs/by-name/be/bemoji/package.nix b/pkgs/by-name/be/bemoji/package.nix
index 1747d8934c26..37e2155ca1d3 100644
--- a/pkgs/by-name/be/bemoji/package.nix
+++ b/pkgs/by-name/be/bemoji/package.nix
@@ -5,13 +5,13 @@
stdenvNoCC.mkDerivation rec {
pname = "bemoji";
- version = "0.3.0";
+ version = "0.4.0";
src = fetchFromGitHub {
owner = "marty-oehme";
repo = "bemoji";
rev = "refs/tags/v${version}";
- hash = "sha256-DhsJX5HlyTh0QLlHy1OwyaYg4vxWpBSsF71D9fxqPWE=";
+ hash = "sha256-HXwho0vRI9ZrUuDMicMH4ZNExY+zJfbrne2LMQmmHww=";
};
strictDeps = true;
diff --git a/pkgs/by-name/be/bepass/package.nix b/pkgs/by-name/be/bepass/package.nix
new file mode 100644
index 000000000000..9e74015f8d4c
--- /dev/null
+++ b/pkgs/by-name/be/bepass/package.nix
@@ -0,0 +1,61 @@
+{ lib
+, buildGoModule
+, fetchFromGitHub
+, enableGUI ? false # upstream working in progress
+, pkg-config
+, glfw
+, xorg
+, libXcursor
+, libXrandr
+, libXinerama
+, xinput
+, libXi
+, libXxf86vm
+}:
+buildGoModule rec{
+ pname = "bepass";
+ version = "1.6.2";
+
+ src = fetchFromGitHub {
+ owner = "bepass-org";
+ repo = "bepass";
+ rev = "v${version}";
+ hash = "sha256-ruOhPWNs1WWM3r6X+6ch0HoDCu/a+IkBQiCr0Wh6yS8=";
+ };
+
+ vendorHash = "sha256-SiggDy6vc19yIw15g45yjl8gscE91zUoR6woECbAtR0=";
+
+ subPackages = [
+ "cmd/cli"
+ ];
+ proxyVendor = true;
+ nativeBuildInputs = lib.optionals enableGUI [ pkg-config ];
+ buildInputs = lib.optionals enableGUI [
+ glfw
+ xorg.libXft
+ libXcursor
+ libXrandr
+ libXinerama
+ libXi
+ xinput
+ libXxf86vm
+ ];
+
+ ldflags = [
+ "-s"
+ "-w"
+ ];
+
+ postInstall = ''
+ mv $out/bin/cli $out/bin/bepass
+ '';
+
+ meta = with lib; {
+ homepage = "https://github.com/bepass-org/bepass";
+ description = "A simple DPI bypass tool written in go";
+ license = licenses.mit;
+ mainProgram = "bepass";
+ maintainers = with maintainers; [ oluceps ];
+ broken = enableGUI;
+ };
+}
diff --git a/pkgs/by-name/be/betula/package.nix b/pkgs/by-name/be/betula/package.nix
index b6ed66a4e690..1e2740095023 100644
--- a/pkgs/by-name/be/betula/package.nix
+++ b/pkgs/by-name/be/betula/package.nix
@@ -20,6 +20,7 @@
meta = with lib; {
description = "Single-user self-hosted bookmarking software";
+ mainProgram = "betula";
homepage = "https://betula.mycorrhiza.wiki/";
license = licenses.agpl3Only;
maintainers = with maintainers; [ GoldsteinE ];
diff --git a/pkgs/by-name/bi/bicep/deps.nix b/pkgs/by-name/bi/bicep/deps.nix
new file mode 100644
index 000000000000..78b0b2c1b727
--- /dev/null
+++ b/pkgs/by-name/bi/bicep/deps.nix
@@ -0,0 +1,318 @@
+# This file was automatically generated by passthru.fetch-deps.
+# Please dont edit it manually, your changes might get overwritten!
+
+{ fetchNuGet }: [
+ (fetchNuGet { pname = "Azure.Bicep.Internal.RoslynAnalyzers"; version = "0.1.38"; sha256 = "1b13vbl0y851nr7rfhyxc0djihxfr7xv010f9zvvbibyz5wqis7v"; })
+ (fetchNuGet { pname = "Azure.Bicep.Types"; version = "0.5.6"; sha256 = "0kzyy21jvhc6gy24w9sfb6ic0pg22j8y6s23q8ls0i15qf3rng77"; })
+ (fetchNuGet { pname = "Azure.Bicep.Types.Az"; version = "0.2.677"; sha256 = "1wgng31pfm272yipigjz24ky2qfrq7mfj9fx0wbyr3q8g6cascnp"; })
+ (fetchNuGet { pname = "Azure.Bicep.Types.K8s"; version = "0.1.626"; sha256 = "1c07igq6jqxkg9iln452fnng2n6ddd0008vb5lgbzdpgp1amz2ji"; })
+ (fetchNuGet { pname = "Azure.Containers.ContainerRegistry"; version = "1.1.1"; sha256 = "0hn6mq1bffcq7d5w4rj4ffdxb3grvymzrpyl1qrbxksqpfbd0bh4"; })
+ (fetchNuGet { pname = "Azure.Core"; version = "1.36.0"; sha256 = "14lsc6zik7s5by3gp86pf77wh58fcqrjy2xhx5p03gmhdn6iz2cn"; })
+ (fetchNuGet { pname = "Azure.Deployments.Core"; version = "1.0.1158"; sha256 = "07bjwmal3qy23axa9g0gsc5qdajypvbpys15k8y05gnflz85rqzy"; })
+ (fetchNuGet { pname = "Azure.Deployments.Expression"; version = "1.0.1158"; sha256 = "1kn515apm33fmrdz8v9y8ac2w83cbbvf74w2grrl1aimg5n4qjsb"; })
+ (fetchNuGet { pname = "Azure.Deployments.Internal.GenerateNotice"; version = "0.1.38"; sha256 = "00jzm0c1ch24mh50hqmzs2jxda929zg1j1dgnhs5gbsyk7zjlvrd"; })
+ (fetchNuGet { pname = "Azure.Deployments.Templates"; version = "1.0.1158"; sha256 = "1zww735mbw1jswd3l8m7y48giqkcxn9v1fy9g6kp3c4dr97519wq"; })
+ (fetchNuGet { pname = "Azure.Identity"; version = "1.10.4"; sha256 = "0w345hzp43wbs5f5qk1y7wmyp11cayphnycpflil5ayvvz2jjfn2"; })
+ (fetchNuGet { pname = "Azure.ResourceManager"; version = "1.9.0"; sha256 = "143rv7rq16q4b4fhh3yjjc5r4g226jhpl6ngwvr69kbbxhw0n618"; })
+ (fetchNuGet { pname = "Azure.ResourceManager.Resources"; version = "1.7.0"; sha256 = "1hjbb607fxb26c7bxx1lc3v50hxmv446klg7c1k89a7wkiqgvmh9"; })
+ (fetchNuGet { pname = "coverlet.collector"; version = "6.0.1"; sha256 = "12xiib5p8f4aj9gz0jn6s96lsa172qi92j46rrb39sidh0mbbdil"; })
+ (fetchNuGet { pname = "FluentAssertions"; version = "6.12.0"; sha256 = "04fhn67930zv3i0d8xbrbw5vwz99c83bbvgdwqiir55vw5xlys9c"; })
+ (fetchNuGet { pname = "IPNetwork2"; version = "2.6.598"; sha256 = "03nxkiwy1bxgpv5n1lfd06grdyjc10a3k9gyc04rhzysjsswiy0l"; })
+ (fetchNuGet { pname = "JetBrains.Annotations"; version = "2023.3.0"; sha256 = "0vp4mpn6gfckn8grzjm1jxlbqiq2fglm2rk9wq787adw7rxs8k7w"; })
+ (fetchNuGet { pname = "Json.More.Net"; version = "1.8.0"; sha256 = "1jlcmgn3pw4jzk9ys6jhkbigfdn9rrrb0wb2v0yxi5wv82arviq5"; })
+ (fetchNuGet { pname = "Json.More.Net"; version = "1.9.2"; sha256 = "1w5xascr03iv7830vdrlpxjrxiabypaqkkcij118lfm41pqhw8b7"; })
+ (fetchNuGet { pname = "JsonPatch.Net"; version = "2.1.0"; sha256 = "0ckz04108p7j8gzqs61bkvlbxfbqvbr19aykmkbbw44inr9azxai"; })
+ (fetchNuGet { pname = "JsonPath.Net"; version = "0.7.0"; sha256 = "0lv9w9m8327hyjzqbl2mwv61zsimc8b114nc67jwv0lm9v29skm0"; })
+ (fetchNuGet { pname = "JsonPointer.Net"; version = "3.0.1"; sha256 = "109q63pdsxdiy4rwj4qm1rj1cadxhksw3ik1frsrn2clkpj4lwks"; })
+ (fetchNuGet { pname = "MessagePack"; version = "2.5.108"; sha256 = "0cnaz28lhrdmavnxjkakl9q8p2yv8mricvp1b0wxdfnz8v41gwzs"; })
+ (fetchNuGet { pname = "MessagePack.Annotations"; version = "2.5.108"; sha256 = "0nb1fx8dwl7304kw0bc375bvlhb7pg351l4cl3vqqd7d8zqjwx5v"; })
+ (fetchNuGet { pname = "Microsoft.ApplicationInsights"; version = "2.21.0"; sha256 = "1q034jbqkxb8lddkd0ijp0wp0ymnnf3bg2mjpay027zv7jswnc4x"; })
+ (fetchNuGet { pname = "Microsoft.Automata.SRM"; version = "1.2.2"; sha256 = "0329j527pk3scfap9pjx8vi9n3g49wj1ydp98qb8ymrfm0m72mbi"; })
+ (fetchNuGet { pname = "Microsoft.Bcl.AsyncInterfaces"; version = "1.1.1"; sha256 = "0a1ahssqds2ympr7s4xcxv5y8jgxs7ahd6ah6fbgglj4rki1f1vw"; })
+ (fetchNuGet { pname = "Microsoft.Bcl.AsyncInterfaces"; version = "5.0.0"; sha256 = "0cp5jbax2mf6xr3dqiljzlwi05fv6n9a35z337s92jcljiq674kf"; })
+ (fetchNuGet { pname = "Microsoft.Bcl.AsyncInterfaces"; version = "7.0.0"; sha256 = "1waiggh3g1cclc81gmjrqbh128kwfjky3z79ma4bd2ms9pa3gvfm"; })
+ (fetchNuGet { pname = "Microsoft.Build.Tasks.Git"; version = "8.0.0"; sha256 = "0055f69q3hbagqp8gl3nk0vfn4qyqyxsxyy7pd0g7wm3z28byzmx"; })
+ (fetchNuGet { pname = "Microsoft.CodeAnalysis.BannedApiAnalyzers"; version = "3.3.4"; sha256 = "1vzrni7n94f17bzc13lrvcxvgspx9s25ap1p005z6i1ikx6wgx30"; })
+ (fetchNuGet { pname = "Microsoft.CodeCoverage"; version = "17.9.0"; sha256 = "1gljgi69k0fz8vy8bn6xlyxabj6q4vls2zza9wz7ng6ix3irm89r"; })
+ (fetchNuGet { pname = "Microsoft.CSharp"; version = "4.0.1"; sha256 = "0zxc0apx1gcx361jlq8smc9pfdgmyjh6hpka8dypc9w23nlsh6yj"; })
+ (fetchNuGet { pname = "Microsoft.Diagnostics.Tracing.EventRegister"; version = "1.1.28"; sha256 = "1lh0ifj9xndiqspmnj7x9lcz2c7kdhyjgcmk5wz2yn8gimg0xy03"; })
+ (fetchNuGet { pname = "Microsoft.Diagnostics.Tracing.TraceEvent"; version = "3.1.3"; sha256 = "1bappkn6vzaaq5yw9fzhds2gz557bhgmxvh38ifw6l39jkar2lii"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.Configuration"; version = "8.0.0"; sha256 = "080kab87qgq2kh0ijry5kfdiq9afyzb8s0k3jqi5zbbi540yq4zl"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.Configuration.Abstractions"; version = "8.0.0"; sha256 = "1jlpa4ggl1gr5fs7fdcw04li3y3iy05w3klr9lrrlc7v8w76kq71"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.Configuration.Binder"; version = "8.0.0"; sha256 = "1m0gawiz8f5hc3li9vd5psddlygwgkiw13d7div87kmkf4idza8r"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.Configuration.FileExtensions"; version = "8.0.0"; sha256 = "1jrmlfzy4h32nzf1nm5q8bhkpx958b0ww9qx1k1zm4pyaf6mqb04"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.Configuration.Json"; version = "8.0.0"; sha256 = "1n3ss26v1lq6b69fxk1vz3kqv9ppxq8ypgdqpd7415xrq66y4bqn"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.DependencyInjection"; version = "8.0.0"; sha256 = "0i7qziz0iqmbk8zzln7kx9vd0lbx1x3va0yi3j1bgkjir13h78ps"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.DependencyInjection.Abstractions"; version = "8.0.0"; sha256 = "1zw0bpp5742jzx03wvqc8csnvsbgdqi0ls9jfc5i2vd3cl8b74pg"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.FileProviders.Abstractions"; version = "8.0.0"; sha256 = "1idq65fxwcn882c06yci7nscy9i0rgw6mqjrl7362prvvsd9f15r"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.FileProviders.Physical"; version = "8.0.0"; sha256 = "05wxjvjbx79ir7vfkri6b28k8zl8fa6bbr0i7gahqrim2ijvkp6v"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.FileSystemGlobbing"; version = "8.0.0"; sha256 = "1igf2bqism22fxv7km5yv028r4rg12a4lki2jh4xg3brjkagiv7q"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.Logging"; version = "8.0.0"; sha256 = "0nppj34nmq25gnrg0wh1q22y4wdqbih4ax493f226azv8mkp9s1i"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.Logging.Abstractions"; version = "8.0.0"; sha256 = "1klcqhg3hk55hb6vmjiq2wgqidsl81aldw0li2z98lrwx26msrr6"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.ObjectPool"; version = "5.0.10"; sha256 = "07fk669pjydkcg6bxxv7aj548fzab4yb7ba8370d719lgi9y425l"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.Options"; version = "8.0.0"; sha256 = "0p50qn6zhinzyhq9sy5svnmqqwhw2jajs2pbjh9sah504wjvhscz"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.Primitives"; version = "8.0.0"; sha256 = "0aldaz5aapngchgdr7dax9jw5wy7k7hmjgjpfgfv1wfif27jlkqm"; })
+ (fetchNuGet { pname = "Microsoft.Graph.Bicep.Types"; version = "0.1.3-preview"; sha256 = "0y910m1gw4sn41qskhxf9lwhvqlg9wnpyj2frzj7nbgyxwdljrqk"; })
+ (fetchNuGet { pname = "Microsoft.Identity.Client"; version = "4.56.0"; sha256 = "0rwyj8qagx93ys67a8k878ib3zdcrjb3jrl0aif3i8a0knwpsxxx"; })
+ (fetchNuGet { pname = "Microsoft.Identity.Client.Extensions.Msal"; version = "4.56.0"; sha256 = "1pcq46kfk3b1yyqr1rlk7sxd69xg0l9hrmard5nvqd7kh287l08m"; })
+ (fetchNuGet { pname = "Microsoft.IdentityModel.Abstractions"; version = "6.22.0"; sha256 = "06495i2i9cabys4s0dkaz0rby8k47gy627v9ivp7aa3k6xmypviz"; })
+ (fetchNuGet { pname = "Microsoft.NET.StringTools"; version = "17.4.0"; sha256 = "1smx30nq22plrn2mw4wb5vfgxk6hyx12b60c4wabmpnr81lq3nzv"; })
+ (fetchNuGet { pname = "Microsoft.NET.Test.Sdk"; version = "17.9.0"; sha256 = "1lls1fly2gr1n9n1xyl9k33l2v4pwfmylyzkq8v4v5ldnwkl1zdb"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.Platforms"; version = "1.0.1"; sha256 = "01al6cfxp68dscl15z7rxfw9zvhm64dncsw09a1vmdkacsa2v6lr"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.Platforms"; version = "1.1.0"; sha256 = "08vh1r12g6ykjygq5d3vq09zylgb84l63k49jc4v8faw9g93iqqm"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.Platforms"; version = "5.0.0"; sha256 = "0mwpwdflidzgzfx2dlpkvvnkgkr2ayaf0s80737h4wa35gaj11rc"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.Targets"; version = "1.0.1"; sha256 = "0ppdkwy6s9p7x9jix3v4402wb171cdiibq7js7i13nxpdky7074p"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.Targets"; version = "1.1.0"; sha256 = "193xwf33fbm0ni3idxzbr5fdq3i2dlfgihsac9jj7whj0gd902nh"; })
+ (fetchNuGet { pname = "Microsoft.PowerPlatform.ResourceStack"; version = "6.0.0.1485"; sha256 = "1dszc9fhg9xpp96gx5djg2azxvfb36551malysdgxvd2r23vvfwh"; })
+ (fetchNuGet { pname = "Microsoft.SourceLink.Common"; version = "8.0.0"; sha256 = "0xrr8yd34ij7dqnyddkp2awfmf9qn3c89xmw2f3npaa4wnajmx81"; })
+ (fetchNuGet { pname = "Microsoft.SourceLink.GitHub"; version = "8.0.0"; sha256 = "1gdx7n45wwia3yvang3ls92sk3wrymqcx9p349j8wba2lyjf9m44"; })
+ (fetchNuGet { pname = "Microsoft.Testing.Extensions.Telemetry"; version = "1.0.2"; sha256 = "00psv2mvynd2bz8xnzvqvb32qr33glqxg4ni5j91b93k84yjy5ma"; })
+ (fetchNuGet { pname = "Microsoft.Testing.Extensions.TrxReport.Abstractions"; version = "1.0.2"; sha256 = "09yn3hi9npgi8rs2vyfyzcl8vbfa1lqcl6lgpymw5d7lg0hc511w"; })
+ (fetchNuGet { pname = "Microsoft.Testing.Extensions.VSTestBridge"; version = "1.0.2"; sha256 = "0c65fsc23xxw648xh83sjcmrn9hvs9q58l5lb36wflvaajbsjf2r"; })
+ (fetchNuGet { pname = "Microsoft.Testing.Platform"; version = "1.0.2"; sha256 = "0bq46f4v2r4nzwly7g0dsakyc1lcql9nh85sp59d1fwzaknf1n94"; })
+ (fetchNuGet { pname = "Microsoft.Testing.Platform.MSBuild"; version = "1.0.2"; sha256 = "1vjqrpqjx3z1irqgy0ckmkgyvrzqqqcikxs36q6gadyj643ra1c5"; })
+ (fetchNuGet { pname = "Microsoft.TestPlatform.ObjectModel"; version = "17.5.0"; sha256 = "0qkjyf3ky6xpjg5is2sdsawm99ka7fzgid2bvpglwmmawqgm8gls"; })
+ (fetchNuGet { pname = "Microsoft.TestPlatform.ObjectModel"; version = "17.9.0"; sha256 = "1kgsl9w9fganbm9wvlkqgk0ag9hfi58z88rkfybc6kvg78bx89ca"; })
+ (fetchNuGet { pname = "Microsoft.TestPlatform.TestHost"; version = "17.9.0"; sha256 = "19ffh31a1jxzn8j69m1vnk5hyfz3dbxmflq77b8x82zybiilh5nl"; })
+ (fetchNuGet { pname = "Microsoft.VisualStudio.Threading"; version = "17.7.35"; sha256 = "1sr2ydgl6clnpf7axjhnffx3z2jz1zhnxfiizsv1prl26r3y52f9"; })
+ (fetchNuGet { pname = "Microsoft.VisualStudio.Threading.Analyzers"; version = "17.9.28"; sha256 = "0g64zn1wk96v9rj04rkcg7jwklaihj317gsdfswqg33yrcn4z5ig"; })
+ (fetchNuGet { pname = "Microsoft.VisualStudio.Validation"; version = "17.6.11"; sha256 = "0qx4nzsx28galgzzjkgf541254d433dgxcaf7y2y1qyyxgsfjj1f"; })
+ (fetchNuGet { pname = "Microsoft.Win32.Primitives"; version = "4.3.0"; sha256 = "0j0c1wj4ndj21zsgivsc24whiya605603kxrbiw6wkfdync464wq"; })
+ (fetchNuGet { pname = "Microsoft.Win32.Registry"; version = "4.7.0"; sha256 = "0bx21jjbs7l5ydyw4p6cn07chryxpmchq2nl5pirzz4l3b0q4dgs"; })
+ (fetchNuGet { pname = "Microsoft.Win32.Registry"; version = "5.0.0"; sha256 = "102hvhq2gmlcbq8y2cb7hdr2dnmjzfp2k3asr1ycwrfacwyaak7n"; })
+ (fetchNuGet { pname = "Microsoft.Win32.Registry.AccessControl"; version = "6.0.0"; sha256 = "1c1x47c6p21l6l84kw8wvsdhnd7ifrrrl8in0bnkaq7y1va4fvsn"; })
+ (fetchNuGet { pname = "Microsoft.Win32.SystemEvents"; version = "6.0.1"; sha256 = "1map729br97ny6mqkaw5qsg55yjbfz2hskvy56qz8rf7p1bjhky2"; })
+ (fetchNuGet { pname = "Microsoft.Windows.Compatibility"; version = "6.0.7"; sha256 = "1b01dg77mw2ih3dy5sajjvqd89zv4yjqffmb8gs7dpzwnncin91d"; })
+ (fetchNuGet { pname = "MSTest.TestAdapter"; version = "3.2.2"; sha256 = "14nrxg1cd3lzaxw7zz8z91168sgnsf1xxnrpdy7wkd6ggk22hi19"; })
+ (fetchNuGet { pname = "MSTest.TestFramework"; version = "3.2.2"; sha256 = "0igdrjr300bqz5lnibf9vl8pkaky1l27f889gza3a9xs83mpd06p"; })
+ (fetchNuGet { pname = "Nerdbank.GitVersioning"; version = "3.6.133"; sha256 = "1cdw8krvsnx0n34f7fm5hiiy7bs6h3asvncqcikc0g46l50w2j80"; })
+ (fetchNuGet { pname = "Nerdbank.Streams"; version = "2.10.69"; sha256 = "1klsyly7k1xhbhrpq2s2iwdlmw3xyvh51rcakfazwxkv2hm5fj3b"; })
+ (fetchNuGet { pname = "NETStandard.Library"; version = "1.6.1"; sha256 = "1z70wvsx2d847a2cjfii7b83pjfs34q05gb037fdjikv5kbagml8"; })
+ (fetchNuGet { pname = "Newtonsoft.Json"; version = "13.0.1"; sha256 = "0fijg0w6iwap8gvzyjnndds0q4b8anwxxvik7y8vgq97dram4srb"; })
+ (fetchNuGet { pname = "Newtonsoft.Json"; version = "13.0.3"; sha256 = "0xrwysmrn4midrjal8g2hr1bbg38iyisl0svamb11arqws4w2bw7"; })
+ (fetchNuGet { pname = "Newtonsoft.Json"; version = "9.0.1"; sha256 = "0mcy0i7pnfpqm4pcaiyzzji4g0c8i3a5gjz28rrr28110np8304r"; })
+ (fetchNuGet { pname = "NuGet.Frameworks"; version = "5.11.0"; sha256 = "0wv26gq39hfqw9md32amr5771s73f5zn1z9vs4y77cgynxr73s4z"; })
+ (fetchNuGet { pname = "runtime.any.System.Collections"; version = "4.3.0"; sha256 = "0bv5qgm6vr47ynxqbnkc7i797fdi8gbjjxii173syrx14nmrkwg0"; })
+ (fetchNuGet { pname = "runtime.any.System.Diagnostics.Tools"; version = "4.3.0"; sha256 = "1wl76vk12zhdh66vmagni66h5xbhgqq7zkdpgw21jhxhvlbcl8pk"; })
+ (fetchNuGet { pname = "runtime.any.System.Diagnostics.Tracing"; version = "4.3.0"; sha256 = "00j6nv2xgmd3bi347k00m7wr542wjlig53rmj28pmw7ddcn97jbn"; })
+ (fetchNuGet { pname = "runtime.any.System.Globalization"; version = "4.3.0"; sha256 = "1daqf33hssad94lamzg01y49xwndy2q97i2lrb7mgn28656qia1x"; })
+ (fetchNuGet { pname = "runtime.any.System.Globalization.Calendars"; version = "4.3.0"; sha256 = "1ghhhk5psqxcg6w88sxkqrc35bxcz27zbqm2y5p5298pv3v7g201"; })
+ (fetchNuGet { pname = "runtime.any.System.IO"; version = "4.3.0"; sha256 = "0l8xz8zn46w4d10bcn3l4yyn4vhb3lrj2zw8llvz7jk14k4zps5x"; })
+ (fetchNuGet { pname = "runtime.any.System.Reflection"; version = "4.3.0"; sha256 = "02c9h3y35pylc0zfq3wcsvc5nqci95nrkq0mszifc0sjx7xrzkly"; })
+ (fetchNuGet { pname = "runtime.any.System.Reflection.Extensions"; version = "4.3.0"; sha256 = "0zyri97dfc5vyaz9ba65hjj1zbcrzaffhsdlpxc9bh09wy22fq33"; })
+ (fetchNuGet { pname = "runtime.any.System.Reflection.Primitives"; version = "4.3.0"; sha256 = "0x1mm8c6iy8rlxm8w9vqw7gb7s1ljadrn049fmf70cyh42vdfhrf"; })
+ (fetchNuGet { pname = "runtime.any.System.Resources.ResourceManager"; version = "4.3.0"; sha256 = "03kickal0iiby82wa5flar18kyv82s9s6d4xhk5h4bi5kfcyfjzl"; })
+ (fetchNuGet { pname = "runtime.any.System.Runtime"; version = "4.3.0"; sha256 = "1cqh1sv3h5j7ixyb7axxbdkqx6cxy00p4np4j91kpm492rf4s25b"; })
+ (fetchNuGet { pname = "runtime.any.System.Runtime.Handles"; version = "4.3.0"; sha256 = "0bh5bi25nk9w9xi8z23ws45q5yia6k7dg3i4axhfqlnj145l011x"; })
+ (fetchNuGet { pname = "runtime.any.System.Runtime.InteropServices"; version = "4.3.0"; sha256 = "0c3g3g3jmhlhw4klrc86ka9fjbl7i59ds1fadsb2l8nqf8z3kb19"; })
+ (fetchNuGet { pname = "runtime.any.System.Text.Encoding"; version = "4.3.0"; sha256 = "0aqqi1v4wx51h51mk956y783wzags13wa7mgqyclacmsmpv02ps3"; })
+ (fetchNuGet { pname = "runtime.any.System.Text.Encoding.Extensions"; version = "4.3.0"; sha256 = "0lqhgqi0i8194ryqq6v2gqx0fb86db2gqknbm0aq31wb378j7ip8"; })
+ (fetchNuGet { pname = "runtime.any.System.Threading.Tasks"; version = "4.3.0"; sha256 = "03mnvkhskbzxddz4hm113zsch1jyzh2cs450dk3rgfjp8crlw1va"; })
+ (fetchNuGet { pname = "runtime.any.System.Threading.Timer"; version = "4.3.0"; sha256 = "0aw4phrhwqz9m61r79vyfl5la64bjxj8l34qnrcwb28v49fg2086"; })
+ (fetchNuGet { pname = "runtime.debian.8-x64.runtime.native.System.Security.Cryptography.OpenSsl"; version = "4.3.0"; sha256 = "16rnxzpk5dpbbl1x354yrlsbvwylrq456xzpsha1n9y3glnhyx9d"; })
+ (fetchNuGet { pname = "runtime.fedora.23-x64.runtime.native.System.Security.Cryptography.OpenSsl"; version = "4.3.0"; sha256 = "0hkg03sgm2wyq8nqk6dbm9jh5vcq57ry42lkqdmfklrw89lsmr59"; })
+ (fetchNuGet { pname = "runtime.fedora.24-x64.runtime.native.System.Security.Cryptography.OpenSsl"; version = "4.3.0"; sha256 = "0c2p354hjx58xhhz7wv6div8xpi90sc6ibdm40qin21bvi7ymcaa"; })
+ (fetchNuGet { pname = "runtime.linux-arm.runtime.native.System.IO.Ports"; version = "6.0.0"; sha256 = "0mazvx8npn10vh0k1pvck8ijz4pin7h9mjrvdydim4bmnn4iwgdc"; })
+ (fetchNuGet { pname = "runtime.linux-arm64.runtime.native.System.IO.Ports"; version = "6.0.0"; sha256 = "0yrcswvz1xyv17gy39gxpn2cr9ynnlnbm9112nqzkj58s6gk2iyj"; })
+ (fetchNuGet { pname = "runtime.linux-x64.runtime.native.System.IO.Ports"; version = "6.0.0"; sha256 = "0ss8fzqnvxps1ybfy70fj4vs2w78mizg4sxdriw8bvcdcfsv0rg2"; })
+ (fetchNuGet { pname = "runtime.native.System"; version = "4.3.0"; sha256 = "15hgf6zaq9b8br2wi1i3x0zvmk410nlmsmva9p0bbg73v6hml5k4"; })
+ (fetchNuGet { pname = "runtime.native.System.Data.SqlClient.sni"; version = "4.7.0"; sha256 = "1b84b8rkwwwgvx1hh5r6icd975rl1ry3bc1xb87br2d8k433wgbj"; })
+ (fetchNuGet { pname = "runtime.native.System.IO.Compression"; version = "4.3.0"; sha256 = "1vvivbqsk6y4hzcid27pqpm5bsi6sc50hvqwbcx8aap5ifrxfs8d"; })
+ (fetchNuGet { pname = "runtime.native.System.IO.Ports"; version = "6.0.0"; sha256 = "0nl8z42aiqfz0v4h1lx84jz312n1f01rlr2kzd7yfiv7p7i1dl3w"; })
+ (fetchNuGet { pname = "runtime.native.System.Net.Http"; version = "4.3.0"; sha256 = "1n6rgz5132lcibbch1qlf0g9jk60r0kqv087hxc0lisy50zpm7kk"; })
+ (fetchNuGet { pname = "runtime.native.System.Security.Cryptography.Apple"; version = "4.3.0"; sha256 = "1b61p6gw1m02cc1ry996fl49liiwky6181dzr873g9ds92zl326q"; })
+ (fetchNuGet { pname = "runtime.native.System.Security.Cryptography.OpenSsl"; version = "4.3.0"; sha256 = "18pzfdlwsg2nb1jjjjzyb5qlgy6xjxzmhnfaijq5s2jw3cm3ab97"; })
+ (fetchNuGet { pname = "runtime.opensuse.13.2-x64.runtime.native.System.Security.Cryptography.OpenSsl"; version = "4.3.0"; sha256 = "0qyynf9nz5i7pc26cwhgi8j62ps27sqmf78ijcfgzab50z9g8ay3"; })
+ (fetchNuGet { pname = "runtime.opensuse.42.1-x64.runtime.native.System.Security.Cryptography.OpenSsl"; version = "4.3.0"; sha256 = "1klrs545awhayryma6l7g2pvnp9xy4z0r1i40r80zb45q3i9nbyf"; })
+ (fetchNuGet { pname = "runtime.osx-arm64.runtime.native.System.IO.Ports"; version = "6.0.0"; sha256 = "114swwc99lg4zjzywfcfxvbxynrlh9pvgl1wpihf88jbs2mjicw5"; })
+ (fetchNuGet { pname = "runtime.osx-x64.runtime.native.System.IO.Ports"; version = "6.0.0"; sha256 = "1kwip1pj1xaqrlkf5flkk30zn2lg4821g64nfj1glpjjcj49b3wv"; })
+ (fetchNuGet { pname = "runtime.osx.10.10-x64.runtime.native.System.Security.Cryptography.Apple"; version = "4.3.0"; sha256 = "10yc8jdrwgcl44b4g93f1ds76b176bajd3zqi2faf5rvh1vy9smi"; })
+ (fetchNuGet { pname = "runtime.osx.10.10-x64.runtime.native.System.Security.Cryptography.OpenSsl"; version = "4.3.0"; sha256 = "0zcxjv5pckplvkg0r6mw3asggm7aqzbdjimhvsasb0cgm59x09l3"; })
+ (fetchNuGet { pname = "runtime.rhel.7-x64.runtime.native.System.Security.Cryptography.OpenSsl"; version = "4.3.0"; sha256 = "0vhynn79ih7hw7cwjazn87rm9z9fj0rvxgzlab36jybgcpcgphsn"; })
+ (fetchNuGet { pname = "runtime.ubuntu.14.04-x64.runtime.native.System.Security.Cryptography.OpenSsl"; version = "4.3.0"; sha256 = "160p68l2c7cqmyqjwxydcvgw7lvl1cr0znkw8fp24d1by9mqc8p3"; })
+ (fetchNuGet { pname = "runtime.ubuntu.16.04-x64.runtime.native.System.Security.Cryptography.OpenSsl"; version = "4.3.0"; sha256 = "15zrc8fgd8zx28hdghcj5f5i34wf3l6bq5177075m2bc2j34jrqy"; })
+ (fetchNuGet { pname = "runtime.ubuntu.16.10-x64.runtime.native.System.Security.Cryptography.OpenSsl"; version = "4.3.0"; sha256 = "1p4dgxax6p7rlgj4q73k73rslcnz4wdcv8q2flg1s8ygwcm58ld5"; })
+ (fetchNuGet { pname = "runtime.unix.Microsoft.Win32.Primitives"; version = "4.3.0"; sha256 = "0y61k9zbxhdi0glg154v30kkq7f8646nif8lnnxbvkjpakggd5id"; })
+ (fetchNuGet { pname = "runtime.unix.System.Console"; version = "4.3.0"; sha256 = "1pfpkvc6x2if8zbdzg9rnc5fx51yllprl8zkm5npni2k50lisy80"; })
+ (fetchNuGet { pname = "runtime.unix.System.Diagnostics.Debug"; version = "4.3.0"; sha256 = "1lps7fbnw34bnh3lm31gs5c0g0dh7548wfmb8zz62v0zqz71msj5"; })
+ (fetchNuGet { pname = "runtime.unix.System.IO.FileSystem"; version = "4.3.0"; sha256 = "14nbkhvs7sji5r1saj2x8daz82rnf9kx28d3v2qss34qbr32dzix"; })
+ (fetchNuGet { pname = "runtime.unix.System.Net.Primitives"; version = "4.3.0"; sha256 = "0bdnglg59pzx9394sy4ic66kmxhqp8q8bvmykdxcbs5mm0ipwwm4"; })
+ (fetchNuGet { pname = "runtime.unix.System.Net.Sockets"; version = "4.3.0"; sha256 = "03npdxzy8gfv035bv1b9rz7c7hv0rxl5904wjz51if491mw0xy12"; })
+ (fetchNuGet { pname = "runtime.unix.System.Private.Uri"; version = "4.3.0"; sha256 = "1jx02q6kiwlvfksq1q9qr17fj78y5v6mwsszav4qcz9z25d5g6vk"; })
+ (fetchNuGet { pname = "runtime.unix.System.Runtime.Extensions"; version = "4.3.0"; sha256 = "0pnxxmm8whx38dp6yvwgmh22smknxmqs5n513fc7m4wxvs1bvi4p"; })
+ (fetchNuGet { pname = "runtime.win-arm64.runtime.native.System.Data.SqlClient.sni"; version = "4.4.0"; sha256 = "07byf1iyqb7jkb17sp0mmjk46fwq6fx8mlpzywxl7qk09sma44gk"; })
+ (fetchNuGet { pname = "runtime.win-x64.runtime.native.System.Data.SqlClient.sni"; version = "4.4.0"; sha256 = "0167s4mpq8bzk3y11pylnynzjr2nc84w96al9x4l8yrf34ccm18y"; })
+ (fetchNuGet { pname = "runtime.win-x86.runtime.native.System.Data.SqlClient.sni"; version = "4.4.0"; sha256 = "0k3rkfrlm9jjz56dra61jgxinb8zsqlqzik2sjwz7f8v6z6ddycc"; })
+ (fetchNuGet { pname = "Sarif.Sdk"; version = "4.4.0"; sha256 = "0860mqyzcckvfg1air1pva5v9npzq6d2cn8bds8zqxg06jxq9gvy"; })
+ (fetchNuGet { pname = "SharpYaml"; version = "2.1.0"; sha256 = "05qrppbhfyikv94vnzpb7x1y6yd3znkr8pc0vsmdgca6z6jsy2lq"; })
+ (fetchNuGet { pname = "StreamJsonRpc"; version = "2.17.8"; sha256 = "187zkhi7a81idma7gw072xxsikmvadkxszl48qzffsqzjz8y2wxb"; })
+ (fetchNuGet { pname = "System.AppContext"; version = "4.3.0"; sha256 = "1649qvy3dar900z3g817h17nl8jp4ka5vcfmsr05kh0fshn7j3ya"; })
+ (fetchNuGet { pname = "System.Buffers"; version = "4.3.0"; sha256 = "0fgns20ispwrfqll4q1zc1waqcmylb3zc50ys9x8zlwxh9pmd9jy"; })
+ (fetchNuGet { pname = "System.CodeDom"; version = "6.0.0"; sha256 = "1i55cxp8ycc03dmxx4n22qi6jkwfl23cgffb95izq7bjar8avxxq"; })
+ (fetchNuGet { pname = "System.Collections"; version = "4.0.11"; sha256 = "1ga40f5lrwldiyw6vy67d0sg7jd7ww6kgwbksm19wrvq9hr0bsm6"; })
+ (fetchNuGet { pname = "System.Collections"; version = "4.3.0"; sha256 = "19r4y64dqyrq6k4706dnyhhw7fs24kpp3awak7whzss39dakpxk9"; })
+ (fetchNuGet { pname = "System.Collections.Concurrent"; version = "4.3.0"; sha256 = "0wi10md9aq33jrkh2c24wr2n9hrpyamsdhsxdcnf43b7y86kkii8"; })
+ (fetchNuGet { pname = "System.Collections.Immutable"; version = "1.5.0"; sha256 = "1d5gjn5afnrf461jlxzawcvihz195gayqpcfbv6dd7pxa9ialn06"; })
+ (fetchNuGet { pname = "System.Collections.Immutable"; version = "1.6.0"; sha256 = "1pbxzdz3pwqyybzv5ff2b7nrc281bhg7hq34w0fn1w3qfgrbwyw2"; })
+ (fetchNuGet { pname = "System.Collections.Immutable"; version = "5.0.0"; sha256 = "1kvcllagxz2q92g81zkz81djkn2lid25ayjfgjalncyc68i15p0r"; })
+ (fetchNuGet { pname = "System.Collections.Immutable"; version = "7.0.0"; sha256 = "1n9122cy6v3qhsisc9lzwa1m1j62b8pi2678nsmnlyvfpk0zdagm"; })
+ (fetchNuGet { pname = "System.ComponentModel.Composition"; version = "6.0.0"; sha256 = "16zfx5mivkkykp76krw8x68izmjf79ldfmn26k9x3m55lmp9i77c"; })
+ (fetchNuGet { pname = "System.ComponentModel.Composition.Registration"; version = "6.0.0"; sha256 = "1lv5b42lssrkzbk2fz9phmdgwmqzi2n3yg3rl081q661nij3vv1l"; })
+ (fetchNuGet { pname = "System.Configuration.ConfigurationManager"; version = "4.4.0"; sha256 = "1hjgmz47v5229cbzd2pwz2h0dkq78lb2wp9grx8qr72pb5i0dk7v"; })
+ (fetchNuGet { pname = "System.Configuration.ConfigurationManager"; version = "6.0.1"; sha256 = "1d6cx49fzycbl2fam8d1j3491sqx6mh7qkb5ddrawr00x74hgzak"; })
+ (fetchNuGet { pname = "System.Console"; version = "4.3.0"; sha256 = "1flr7a9x920mr5cjsqmsy9wgnv3lvd0h1g521pdr1lkb2qycy7ay"; })
+ (fetchNuGet { pname = "System.Data.Odbc"; version = "6.0.1"; sha256 = "12g9fzx6y5gb1bb5lyfxin1d5snw69pdwv481x13m6qhkfhk3lx4"; })
+ (fetchNuGet { pname = "System.Data.OleDb"; version = "6.0.0"; sha256 = "0cbf6qw7k13rjrk5zfd158yri023ryaifd6fz5cbqgwdg4vpnvpz"; })
+ (fetchNuGet { pname = "System.Data.SqlClient"; version = "4.8.5"; sha256 = "17g5snnjf4fy67ayqj8vqa4vz916njffahbc365z37l5v0w7g92a"; })
+ (fetchNuGet { pname = "System.Diagnostics.Debug"; version = "4.0.11"; sha256 = "0gmjghrqmlgzxivd2xl50ncbglb7ljzb66rlx8ws6dv8jm0d5siz"; })
+ (fetchNuGet { pname = "System.Diagnostics.Debug"; version = "4.3.0"; sha256 = "00yjlf19wjydyr6cfviaph3vsjzg3d5nvnya26i2fvfg53sknh3y"; })
+ (fetchNuGet { pname = "System.Diagnostics.DiagnosticSource"; version = "4.3.0"; sha256 = "0z6m3pbiy0qw6rn3n209rrzf9x1k4002zh90vwcrsym09ipm2liq"; })
+ (fetchNuGet { pname = "System.Diagnostics.DiagnosticSource"; version = "5.0.0"; sha256 = "0phd2qizshjvglhzws1jd0cq4m54gscz4ychzr3x6wbgl4vvfrga"; })
+ (fetchNuGet { pname = "System.Diagnostics.DiagnosticSource"; version = "6.0.1"; sha256 = "17h8bkcv0vf9a7gp9ajkd107zid98wql5kzlzwrjm5nm92nk0bsy"; })
+ (fetchNuGet { pname = "System.Diagnostics.DiagnosticSource"; version = "7.0.2"; sha256 = "1h97ikph775gya93qsjjaka87qcygbyh1064rh1hnfcnp5xv0ipi"; })
+ (fetchNuGet { pname = "System.Diagnostics.EventLog"; version = "6.0.0"; sha256 = "08y1x2d5w2hnhkh9r1998pjc7r4qp0rmzax062abha85s11chifd"; })
+ (fetchNuGet { pname = "System.Diagnostics.PerformanceCounter"; version = "6.0.1"; sha256 = "17p5vwbgrycsrvv9a9ksxbiziy75x4s25dw71fnbw1ci5kpp8yz7"; })
+ (fetchNuGet { pname = "System.Diagnostics.Tools"; version = "4.0.1"; sha256 = "19cknvg07yhakcvpxg3cxa0bwadplin6kyxd8mpjjpwnp56nl85x"; })
+ (fetchNuGet { pname = "System.Diagnostics.Tools"; version = "4.3.0"; sha256 = "0in3pic3s2ddyibi8cvgl102zmvp9r9mchh82ns9f0ms4basylw1"; })
+ (fetchNuGet { pname = "System.Diagnostics.Tracing"; version = "4.3.0"; sha256 = "1m3bx6c2s958qligl67q7grkwfz3w53hpy7nc97mh6f7j5k168c4"; })
+ (fetchNuGet { pname = "System.DirectoryServices"; version = "6.0.1"; sha256 = "17abibzqmr4amxpnbpv198qzdpb5mafn655ayisfc4mmhmyks39a"; })
+ (fetchNuGet { pname = "System.DirectoryServices.AccountManagement"; version = "6.0.0"; sha256 = "1hvmasf4zsjpds0q8j8k5n61lr6mqhi37bsz1m65r6fs5kx5jrfn"; })
+ (fetchNuGet { pname = "System.DirectoryServices.Protocols"; version = "6.0.2"; sha256 = "0zy5ga8ys72bmw65zikg4qv4cizx9mcns3mc0dddi6657mpzp2pv"; })
+ (fetchNuGet { pname = "System.Drawing.Common"; version = "6.0.0"; sha256 = "02n8rzm58dac2np8b3xw8ychbvylja4nh6938l5k2fhyn40imlgz"; })
+ (fetchNuGet { pname = "System.Dynamic.Runtime"; version = "4.0.11"; sha256 = "1pla2dx8gkidf7xkciig6nifdsb494axjvzvann8g2lp3dbqasm9"; })
+ (fetchNuGet { pname = "System.Formats.Asn1"; version = "6.0.0"; sha256 = "1vvr7hs4qzjqb37r0w1mxq7xql2b17la63jwvmgv65s1hj00g8r9"; })
+ (fetchNuGet { pname = "System.Globalization"; version = "4.0.11"; sha256 = "070c5jbas2v7smm660zaf1gh0489xanjqymkvafcs4f8cdrs1d5d"; })
+ (fetchNuGet { pname = "System.Globalization"; version = "4.3.0"; sha256 = "1cp68vv683n6ic2zqh2s1fn4c2sd87g5hpp6l4d4nj4536jz98ki"; })
+ (fetchNuGet { pname = "System.Globalization.Calendars"; version = "4.3.0"; sha256 = "1xwl230bkakzzkrggy1l1lxmm3xlhk4bq2pkv790j5lm8g887lxq"; })
+ (fetchNuGet { pname = "System.Globalization.Extensions"; version = "4.3.0"; sha256 = "02a5zfxavhv3jd437bsncbhd2fp1zv4gxzakp1an9l6kdq1mcqls"; })
+ (fetchNuGet { pname = "System.IO"; version = "4.1.0"; sha256 = "1g0yb8p11vfd0kbkyzlfsbsp5z44lwsvyc0h3dpw6vqnbi035ajp"; })
+ (fetchNuGet { pname = "System.IO"; version = "4.3.0"; sha256 = "05l9qdrzhm4s5dixmx68kxwif4l99ll5gqmh7rqgw554fx0agv5f"; })
+ (fetchNuGet { pname = "System.IO.Abstractions"; version = "20.0.15"; sha256 = "0lj2y0fpns0dgw9wfsx804qsm9i9g01hrdsws3pmlwzrin73ghyg"; })
+ (fetchNuGet { pname = "System.IO.Compression"; version = "4.3.0"; sha256 = "084zc82yi6yllgda0zkgl2ys48sypiswbiwrv7irb3r0ai1fp4vz"; })
+ (fetchNuGet { pname = "System.IO.Compression.ZipFile"; version = "4.3.0"; sha256 = "1yxy5pq4dnsm9hlkg9ysh5f6bf3fahqqb6p8668ndy5c0lk7w2ar"; })
+ (fetchNuGet { pname = "System.IO.FileSystem"; version = "4.0.1"; sha256 = "0kgfpw6w4djqra3w5crrg8xivbanh1w9dh3qapb28q060wb9flp1"; })
+ (fetchNuGet { pname = "System.IO.FileSystem"; version = "4.3.0"; sha256 = "0z2dfrbra9i6y16mm9v1v6k47f0fm617vlb7s5iybjjsz6g1ilmw"; })
+ (fetchNuGet { pname = "System.IO.FileSystem.AccessControl"; version = "5.0.0"; sha256 = "0ixl68plva0fsj3byv76bai7vkin86s6wyzr8vcav3szl862blvk"; })
+ (fetchNuGet { pname = "System.IO.FileSystem.Primitives"; version = "4.0.1"; sha256 = "1s0mniajj3lvbyf7vfb5shp4ink5yibsx945k6lvxa96r8la1612"; })
+ (fetchNuGet { pname = "System.IO.FileSystem.Primitives"; version = "4.3.0"; sha256 = "0j6ndgglcf4brg2lz4wzsh1av1gh8xrzdsn9f0yznskhqn1xzj9c"; })
+ (fetchNuGet { pname = "System.IO.Packaging"; version = "6.0.0"; sha256 = "112nq0k2jc4vh71rifqqmpjxkaanxfapk7g8947jkfgq3lmfmaac"; })
+ (fetchNuGet { pname = "System.IO.Pipelines"; version = "7.0.0"; sha256 = "1ila2vgi1w435j7g2y7ykp2pdbh9c5a02vm85vql89az93b7qvav"; })
+ (fetchNuGet { pname = "System.IO.Ports"; version = "6.0.0"; sha256 = "0b0gvn7b2xsy2b0wwa170jzm5cwy3xxwpyqm21m4cbpc0ckri802"; })
+ (fetchNuGet { pname = "System.Linq"; version = "4.1.0"; sha256 = "1ppg83svb39hj4hpp5k7kcryzrf3sfnm08vxd5sm2drrijsla2k5"; })
+ (fetchNuGet { pname = "System.Linq"; version = "4.3.0"; sha256 = "1w0gmba695rbr80l1k2h4mrwzbzsyfl2z4klmpbsvsg5pm4a56s7"; })
+ (fetchNuGet { pname = "System.Linq.Expressions"; version = "4.1.0"; sha256 = "1gpdxl6ip06cnab7n3zlcg6mqp7kknf73s8wjinzi4p0apw82fpg"; })
+ (fetchNuGet { pname = "System.Linq.Expressions"; version = "4.3.0"; sha256 = "0ky2nrcvh70rqq88m9a5yqabsl4fyd17bpr63iy2mbivjs2nyypv"; })
+ (fetchNuGet { pname = "System.Management"; version = "6.0.2"; sha256 = "190bxmg0y5dmzh0yv9gzh8k6safdz20gqaifpnl8v7yw3z5wcpgj"; })
+ (fetchNuGet { pname = "System.Memory"; version = "4.5.4"; sha256 = "14gbbs22mcxwggn0fcfs1b062521azb9fbb7c113x0mq6dzq9h6y"; })
+ (fetchNuGet { pname = "System.Memory"; version = "4.5.5"; sha256 = "08jsfwimcarfzrhlyvjjid61j02irx6xsklf32rv57x2aaikvx0h"; })
+ (fetchNuGet { pname = "System.Memory.Data"; version = "1.0.2"; sha256 = "1p8qdg0gzxhjvabryc3xws2629pj8w5zz2iqh86kw8sh0rann9ay"; })
+ (fetchNuGet { pname = "System.Net.Http"; version = "4.3.0"; sha256 = "1i4gc757xqrzflbk7kc5ksn20kwwfjhw9w7pgdkn19y3cgnl302j"; })
+ (fetchNuGet { pname = "System.Net.NameResolution"; version = "4.3.0"; sha256 = "15r75pwc0rm3vvwsn8rvm2krf929mjfwliv0mpicjnii24470rkq"; })
+ (fetchNuGet { pname = "System.Net.Primitives"; version = "4.3.0"; sha256 = "0c87k50rmdgmxx7df2khd9qj7q35j9rzdmm2572cc55dygmdk3ii"; })
+ (fetchNuGet { pname = "System.Net.Sockets"; version = "4.3.0"; sha256 = "1ssa65k6chcgi6mfmzrznvqaxk8jp0gvl77xhf1hbzakjnpxspla"; })
+ (fetchNuGet { pname = "System.Numerics.Vectors"; version = "4.5.0"; sha256 = "1kzrj37yzawf1b19jq0253rcs8hsq1l2q8g69d7ipnhzb0h97m59"; })
+ (fetchNuGet { pname = "System.ObjectModel"; version = "4.0.12"; sha256 = "1sybkfi60a4588xn34nd9a58png36i0xr4y4v4kqpg8wlvy5krrj"; })
+ (fetchNuGet { pname = "System.ObjectModel"; version = "4.3.0"; sha256 = "191p63zy5rpqx7dnrb3h7prvgixmk168fhvvkkvhlazncf8r3nc2"; })
+ (fetchNuGet { pname = "System.Private.ServiceModel"; version = "4.9.0"; sha256 = "117vxa0pfgg6xfdxfpza4296ay7sqiaynyvfbsai43yrkh0lmch1"; })
+ (fetchNuGet { pname = "System.Private.Uri"; version = "4.3.0"; sha256 = "04r1lkdnsznin0fj4ya1zikxiqr0h6r6a1ww2dsm60gqhdrf0mvx"; })
+ (fetchNuGet { pname = "System.Reflection"; version = "4.1.0"; sha256 = "1js89429pfw79mxvbzp8p3q93il6rdff332hddhzi5wqglc4gml9"; })
+ (fetchNuGet { pname = "System.Reflection"; version = "4.3.0"; sha256 = "0xl55k0mw8cd8ra6dxzh974nxif58s3k1rjv1vbd7gjbjr39j11m"; })
+ (fetchNuGet { pname = "System.Reflection.Context"; version = "6.0.0"; sha256 = "1vy3b143429amaa0501xjgdszvpdygkrs5rkivnrkl69f67dad5j"; })
+ (fetchNuGet { pname = "System.Reflection.DispatchProxy"; version = "4.7.1"; sha256 = "10yh3q2i71gcw7c0dfz9qxql2vlvnqjav1hyf1q9rpbvdbgsabrs"; })
+ (fetchNuGet { pname = "System.Reflection.Emit"; version = "4.0.1"; sha256 = "0ydqcsvh6smi41gyaakglnv252625hf29f7kywy2c70nhii2ylqp"; })
+ (fetchNuGet { pname = "System.Reflection.Emit"; version = "4.3.0"; sha256 = "11f8y3qfysfcrscjpjym9msk7lsfxkk4fmz9qq95kn3jd0769f74"; })
+ (fetchNuGet { pname = "System.Reflection.Emit.ILGeneration"; version = "4.0.1"; sha256 = "1pcd2ig6bg144y10w7yxgc9d22r7c7ww7qn1frdfwgxr24j9wvv0"; })
+ (fetchNuGet { pname = "System.Reflection.Emit.ILGeneration"; version = "4.3.0"; sha256 = "0w1n67glpv8241vnpz1kl14sy7zlnw414aqwj4hcx5nd86f6994q"; })
+ (fetchNuGet { pname = "System.Reflection.Emit.Lightweight"; version = "4.0.1"; sha256 = "1s4b043zdbx9k39lfhvsk68msv1nxbidhkq6nbm27q7sf8xcsnxr"; })
+ (fetchNuGet { pname = "System.Reflection.Emit.Lightweight"; version = "4.3.0"; sha256 = "0ql7lcakycrvzgi9kxz1b3lljd990az1x6c4jsiwcacrvimpib5c"; })
+ (fetchNuGet { pname = "System.Reflection.Emit.Lightweight"; version = "4.7.0"; sha256 = "0mbjfajmafkca47zr8v36brvknzks5a7pgb49kfq2d188pyv6iap"; })
+ (fetchNuGet { pname = "System.Reflection.Extensions"; version = "4.0.1"; sha256 = "0m7wqwq0zqq9gbpiqvgk3sr92cbrw7cp3xn53xvw7zj6rz6fdirn"; })
+ (fetchNuGet { pname = "System.Reflection.Extensions"; version = "4.3.0"; sha256 = "02bly8bdc98gs22lqsfx9xicblszr2yan7v2mmw3g7hy6miq5hwq"; })
+ (fetchNuGet { pname = "System.Reflection.Metadata"; version = "1.6.0"; sha256 = "1wdbavrrkajy7qbdblpbpbalbdl48q3h34cchz24gvdgyrlf15r4"; })
+ (fetchNuGet { pname = "System.Reflection.Primitives"; version = "4.0.1"; sha256 = "1bangaabhsl4k9fg8khn83wm6yial8ik1sza7401621jc6jrym28"; })
+ (fetchNuGet { pname = "System.Reflection.Primitives"; version = "4.3.0"; sha256 = "04xqa33bld78yv5r93a8n76shvc8wwcdgr1qvvjh959g3rc31276"; })
+ (fetchNuGet { pname = "System.Reflection.TypeExtensions"; version = "4.1.0"; sha256 = "1bjli8a7sc7jlxqgcagl9nh8axzfl11f4ld3rjqsyxc516iijij7"; })
+ (fetchNuGet { pname = "System.Reflection.TypeExtensions"; version = "4.3.0"; sha256 = "0y2ssg08d817p0vdag98vn238gyrrynjdj4181hdg780sif3ykp1"; })
+ (fetchNuGet { pname = "System.Resources.ResourceManager"; version = "4.0.1"; sha256 = "0b4i7mncaf8cnai85jv3wnw6hps140cxz8vylv2bik6wyzgvz7bi"; })
+ (fetchNuGet { pname = "System.Resources.ResourceManager"; version = "4.3.0"; sha256 = "0sjqlzsryb0mg4y4xzf35xi523s4is4hz9q4qgdvlvgivl7qxn49"; })
+ (fetchNuGet { pname = "System.Runtime"; version = "4.1.0"; sha256 = "02hdkgk13rvsd6r9yafbwzss8kr55wnj8d5c7xjnp8gqrwc8sn0m"; })
+ (fetchNuGet { pname = "System.Runtime"; version = "4.3.0"; sha256 = "066ixvgbf2c929kgknshcxqj6539ax7b9m570cp8n179cpfkapz7"; })
+ (fetchNuGet { pname = "System.Runtime.Caching"; version = "6.0.0"; sha256 = "0wh98a77cby4i3h2mar241k01105x661kh03vlyd399shxkfk60a"; })
+ (fetchNuGet { pname = "System.Runtime.CompilerServices.Unsafe"; version = "4.5.2"; sha256 = "1vz4275fjij8inf31np78hw50al8nqkngk04p3xv5n4fcmf1grgi"; })
+ (fetchNuGet { pname = "System.Runtime.CompilerServices.Unsafe"; version = "5.0.0"; sha256 = "02k25ivn50dmqx5jn8hawwmz24yf0454fjd823qk6lygj9513q4x"; })
+ (fetchNuGet { pname = "System.Runtime.CompilerServices.Unsafe"; version = "6.0.0"; sha256 = "0qm741kh4rh57wky16sq4m0v05fxmkjjr87krycf5vp9f0zbahbc"; })
+ (fetchNuGet { pname = "System.Runtime.Extensions"; version = "4.1.0"; sha256 = "0rw4rm4vsm3h3szxp9iijc3ksyviwsv6f63dng3vhqyg4vjdkc2z"; })
+ (fetchNuGet { pname = "System.Runtime.Extensions"; version = "4.3.0"; sha256 = "1ykp3dnhwvm48nap8q23893hagf665k0kn3cbgsqpwzbijdcgc60"; })
+ (fetchNuGet { pname = "System.Runtime.Handles"; version = "4.0.1"; sha256 = "1g0zrdi5508v49pfm3iii2hn6nm00bgvfpjq1zxknfjrxxa20r4g"; })
+ (fetchNuGet { pname = "System.Runtime.Handles"; version = "4.3.0"; sha256 = "0sw2gfj2xr7sw9qjn0j3l9yw07x73lcs97p8xfc9w1x9h5g5m7i8"; })
+ (fetchNuGet { pname = "System.Runtime.InteropServices"; version = "4.1.0"; sha256 = "01kxqppx3dr3b6b286xafqilv4s2n0gqvfgzfd4z943ga9i81is1"; })
+ (fetchNuGet { pname = "System.Runtime.InteropServices"; version = "4.3.0"; sha256 = "00hywrn4g7hva1b2qri2s6rabzwgxnbpw9zfxmz28z09cpwwgh7j"; })
+ (fetchNuGet { pname = "System.Runtime.InteropServices.RuntimeInformation"; version = "4.3.0"; sha256 = "0q18r1sh4vn7bvqgd6dmqlw5v28flbpj349mkdish2vjyvmnb2ii"; })
+ (fetchNuGet { pname = "System.Runtime.Numerics"; version = "4.3.0"; sha256 = "19rav39sr5dky7afygh309qamqqmi9kcwvz3i0c5700v0c5cg61z"; })
+ (fetchNuGet { pname = "System.Runtime.Serialization.Primitives"; version = "4.1.1"; sha256 = "042rfjixknlr6r10vx2pgf56yming8lkjikamg3g4v29ikk78h7k"; })
+ (fetchNuGet { pname = "System.Security.AccessControl"; version = "5.0.0"; sha256 = "17n3lrrl6vahkqmhlpn3w20afgz09n7i6rv0r3qypngwi7wqdr5r"; })
+ (fetchNuGet { pname = "System.Security.AccessControl"; version = "6.0.0"; sha256 = "0a678bzj8yxxiffyzy60z2w1nczzpi8v97igr4ip3byd2q89dv58"; })
+ (fetchNuGet { pname = "System.Security.Claims"; version = "4.3.0"; sha256 = "0jvfn7j22l3mm28qjy3rcw287y9h65ha4m940waaxah07jnbzrhn"; })
+ (fetchNuGet { pname = "System.Security.Cryptography.Algorithms"; version = "4.3.0"; sha256 = "03sq183pfl5kp7gkvq77myv7kbpdnq3y0xj7vi4q1kaw54sny0ml"; })
+ (fetchNuGet { pname = "System.Security.Cryptography.Cng"; version = "4.3.0"; sha256 = "1k468aswafdgf56ab6yrn7649kfqx2wm9aslywjam1hdmk5yypmv"; })
+ (fetchNuGet { pname = "System.Security.Cryptography.Csp"; version = "4.3.0"; sha256 = "1x5wcrddf2s3hb8j78cry7yalca4lb5vfnkrysagbn6r9x6xvrx1"; })
+ (fetchNuGet { pname = "System.Security.Cryptography.Encoding"; version = "4.3.0"; sha256 = "1jr6w70igqn07k5zs1ph6xja97hxnb3mqbspdrff6cvssgrixs32"; })
+ (fetchNuGet { pname = "System.Security.Cryptography.OpenSsl"; version = "4.3.0"; sha256 = "0givpvvj8yc7gv4lhb6s1prq6p2c4147204a0wib89inqzd87gqc"; })
+ (fetchNuGet { pname = "System.Security.Cryptography.Pkcs"; version = "6.0.4"; sha256 = "0hh5h38pnxmlrnvs72f2hzzpz4b2caiiv6xf8y7fzdg84r3imvfr"; })
+ (fetchNuGet { pname = "System.Security.Cryptography.Primitives"; version = "4.3.0"; sha256 = "0pyzncsv48zwly3lw4f2dayqswcfvdwq2nz0dgwmi7fj3pn64wby"; })
+ (fetchNuGet { pname = "System.Security.Cryptography.ProtectedData"; version = "4.4.0"; sha256 = "1q8ljvqhasyynp94a1d7jknk946m20lkwy2c3wa8zw2pc517fbj6"; })
+ (fetchNuGet { pname = "System.Security.Cryptography.ProtectedData"; version = "4.7.0"; sha256 = "1s1sh8k10s0apa09c5m2lkavi3ys90y657whg2smb3y8mpkfr5vm"; })
+ (fetchNuGet { pname = "System.Security.Cryptography.ProtectedData"; version = "6.0.0"; sha256 = "05kd3a8w7658hjxq9vvszxip30a479fjmfq4bq1r95nrsvs4hbss"; })
+ (fetchNuGet { pname = "System.Security.Cryptography.X509Certificates"; version = "4.3.0"; sha256 = "0valjcz5wksbvijylxijjxb1mp38mdhv03r533vnx1q3ikzdav9h"; })
+ (fetchNuGet { pname = "System.Security.Cryptography.Xml"; version = "6.0.1"; sha256 = "15d0np1njvy2ywf0qzdqyjk5sjs4zbfxg917jrvlbfwrqpqxb5dj"; })
+ (fetchNuGet { pname = "System.Security.Permissions"; version = "6.0.0"; sha256 = "0jsl4xdrkqi11iwmisi1r2f2qn5pbvl79mzq877gndw6ans2zhzw"; })
+ (fetchNuGet { pname = "System.Security.Principal"; version = "4.3.0"; sha256 = "12cm2zws06z4lfc4dn31iqv7072zyi4m910d4r6wm8yx85arsfxf"; })
+ (fetchNuGet { pname = "System.Security.Principal.Windows"; version = "4.3.0"; sha256 = "00a0a7c40i3v4cb20s2cmh9csb5jv2l0frvnlzyfxh848xalpdwr"; })
+ (fetchNuGet { pname = "System.Security.Principal.Windows"; version = "4.7.0"; sha256 = "1a56ls5a9sr3ya0nr086sdpa9qv0abv31dd6fp27maqa9zclqq5d"; })
+ (fetchNuGet { pname = "System.Security.Principal.Windows"; version = "5.0.0"; sha256 = "1mpk7xj76lxgz97a5yg93wi8lj0l8p157a5d50mmjy3gbz1904q8"; })
+ (fetchNuGet { pname = "System.ServiceModel.Duplex"; version = "4.9.0"; sha256 = "0jwbpcpgxv5zar3raypgvfnwvn4bv3n212cbcgyj7r0xj33c1kqi"; })
+ (fetchNuGet { pname = "System.ServiceModel.Http"; version = "4.9.0"; sha256 = "1nxch0m50yvp0dxckl65802086bncs010lnx816196m2kc4bpc5p"; })
+ (fetchNuGet { pname = "System.ServiceModel.NetTcp"; version = "4.9.0"; sha256 = "06l7ffkxf6nj3x8dm5b42ansqq3nm17xpzrrmp0905602dr3z8zg"; })
+ (fetchNuGet { pname = "System.ServiceModel.Primitives"; version = "4.9.0"; sha256 = "1lzl69ar18fn4iqya2ymm9kdv54d4mi0hcdnyvyxjq3bnhnb22qf"; })
+ (fetchNuGet { pname = "System.ServiceModel.Security"; version = "4.9.0"; sha256 = "0ai2h31hrz1js3k8q0lh1y87757la300slqp3g7544kil5wcbmpw"; })
+ (fetchNuGet { pname = "System.ServiceModel.Syndication"; version = "6.0.0"; sha256 = "1xk1dh5nd5h6fhrkys9r9na6kww7v4fsg4ianaibjkl9f0a1w929"; })
+ (fetchNuGet { pname = "System.ServiceProcess.ServiceController"; version = "6.0.1"; sha256 = "15nvnflqfrz2fsclcwgaq8r532x2fbv1ds3rck95l8psb7pgx1v5"; })
+ (fetchNuGet { pname = "System.Speech"; version = "6.0.0"; sha256 = "1g7b077189x9xy4l9yrh2yfnhc83mk6aj7b0v64xdqsrsqv1z16v"; })
+ (fetchNuGet { pname = "System.Text.Encoding"; version = "4.0.11"; sha256 = "1dyqv0hijg265dwxg6l7aiv74102d6xjiwplh2ar1ly6xfaa4iiw"; })
+ (fetchNuGet { pname = "System.Text.Encoding"; version = "4.3.0"; sha256 = "1f04lkir4iladpp51sdgmis9dj4y8v08cka0mbmsy0frc9a4gjqr"; })
+ (fetchNuGet { pname = "System.Text.Encoding.CodePages"; version = "6.0.0"; sha256 = "0gm2kiz2ndm9xyzxgi0jhazgwslcs427waxgfa30m7yqll1kcrww"; })
+ (fetchNuGet { pname = "System.Text.Encoding.CodePages"; version = "8.0.0"; sha256 = "1lgdd78cik4qyvp2fggaa0kzxasw6kc9a6cjqw46siagrm0qnc3y"; })
+ (fetchNuGet { pname = "System.Text.Encoding.Extensions"; version = "4.0.11"; sha256 = "08nsfrpiwsg9x5ml4xyl3zyvjfdi4mvbqf93kjdh11j4fwkznizs"; })
+ (fetchNuGet { pname = "System.Text.Encoding.Extensions"; version = "4.3.0"; sha256 = "11q1y8hh5hrp5a3kw25cb6l00v5l5dvirkz8jr3sq00h1xgcgrxy"; })
+ (fetchNuGet { pname = "System.Text.Encodings.Web"; version = "4.7.2"; sha256 = "0ap286ykazrl42if59bxhzv81safdfrrmfqr3112siwyajx4wih9"; })
+ (fetchNuGet { pname = "System.Text.Encodings.Web"; version = "6.0.0"; sha256 = "06n9ql3fmhpjl32g3492sj181zjml5dlcc5l76xq2h38c4f87sai"; })
+ (fetchNuGet { pname = "System.Text.Encodings.Web"; version = "7.0.0"; sha256 = "1151hbyrcf8kyg1jz8k9awpbic98lwz9x129rg7zk1wrs6vjlpxl"; })
+ (fetchNuGet { pname = "System.Text.Encodings.Web"; version = "8.0.0"; sha256 = "1wbypkx0m8dgpsaqgyywz4z760xblnwalb241d5qv9kx8m128i11"; })
+ (fetchNuGet { pname = "System.Text.Json"; version = "4.7.2"; sha256 = "10xj1pw2dgd42anikvj9qm23ccssrcp7dpznpj4j7xjp1ikhy3y4"; })
+ (fetchNuGet { pname = "System.Text.Json"; version = "6.0.2"; sha256 = "1lz6gx1r4if8sbx6yp9h0mi0g9ffr40x0cg518l0z2aiqgil3fk0"; })
+ (fetchNuGet { pname = "System.Text.Json"; version = "7.0.3"; sha256 = "0zjrnc9lshagm6kdb9bdh45dmlnkpwcpyssa896sda93ngbmj8k9"; })
+ (fetchNuGet { pname = "System.Text.Json"; version = "8.0.0"; sha256 = "134savxw0sq7s448jnzw17bxcijsi1v38mirpbb6zfxmqlf04msw"; })
+ (fetchNuGet { pname = "System.Text.Json"; version = "8.0.2"; sha256 = "1pi1dkypmn34qqspvwfcp1fx78v0nh78dpdyj4rcaa2qch40y15r"; })
+ (fetchNuGet { pname = "System.Text.RegularExpressions"; version = "4.1.0"; sha256 = "1mw7vfkkyd04yn2fbhm38msk7dz2xwvib14ygjsb8dq2lcvr18y7"; })
+ (fetchNuGet { pname = "System.Text.RegularExpressions"; version = "4.3.0"; sha256 = "1bgq51k7fwld0njylfn7qc5fmwrk2137gdq7djqdsw347paa9c2l"; })
+ (fetchNuGet { pname = "System.Threading"; version = "4.0.11"; sha256 = "19x946h926bzvbsgj28csn46gak2crv2skpwsx80hbgazmkgb1ls"; })
+ (fetchNuGet { pname = "System.Threading"; version = "4.3.0"; sha256 = "0rw9wfamvhayp5zh3j7p1yfmx9b5khbf4q50d8k5rk993rskfd34"; })
+ (fetchNuGet { pname = "System.Threading.AccessControl"; version = "6.0.0"; sha256 = "1f036x8994yqz13a1cx6vvzd2bqzwy4mchn1pgfsybaw1xa10jk6"; })
+ (fetchNuGet { pname = "System.Threading.Tasks"; version = "4.0.11"; sha256 = "0nr1r41rak82qfa5m0lhk9mp0k93bvfd7bbd9sdzwx9mb36g28p5"; })
+ (fetchNuGet { pname = "System.Threading.Tasks"; version = "4.3.0"; sha256 = "134z3v9abw3a6jsw17xl3f6hqjpak5l682k2vz39spj4kmydg6k7"; })
+ (fetchNuGet { pname = "System.Threading.Tasks.Dataflow"; version = "7.0.0"; sha256 = "0ham9l8xrmlq2qwin53n82iz1wanci2h695i3cq83jcw4n28qdr9"; })
+ (fetchNuGet { pname = "System.Threading.Tasks.Extensions"; version = "4.0.0"; sha256 = "1cb51z062mvc2i8blpzmpn9d9mm4y307xrwi65di8ri18cz5r1zr"; })
+ (fetchNuGet { pname = "System.Threading.Tasks.Extensions"; version = "4.3.0"; sha256 = "1xxcx2xh8jin360yjwm4x4cf5y3a2bwpn2ygkfkwkicz7zk50s2z"; })
+ (fetchNuGet { pname = "System.Threading.Tasks.Extensions"; version = "4.5.4"; sha256 = "0y6ncasgfcgnjrhynaf0lwpkpkmv4a07sswwkwbwb5h7riisj153"; })
+ (fetchNuGet { pname = "System.Threading.ThreadPool"; version = "4.3.0"; sha256 = "027s1f4sbx0y1xqw2irqn6x161lzj8qwvnh2gn78ciiczdv10vf1"; })
+ (fetchNuGet { pname = "System.Threading.Timer"; version = "4.3.0"; sha256 = "1nx773nsx6z5whv8kaa1wjh037id2f1cxhb69pvgv12hd2b6qs56"; })
+ (fetchNuGet { pname = "System.Web.Services.Description"; version = "4.9.0"; sha256 = "08f9ksj826nz4pfw1bw7xg811x99yyj871nfmvav6yxfkx9faqkh"; })
+ (fetchNuGet { pname = "System.Windows.Extensions"; version = "6.0.0"; sha256 = "1wy9pq9vn1bqg5qnv53iqrbx04yzdmjw4x5yyi09y3459vaa1sip"; })
+ (fetchNuGet { pname = "System.Xml.ReaderWriter"; version = "4.0.11"; sha256 = "0c6ky1jk5ada9m94wcadih98l6k1fvf6vi7vhn1msjixaha419l5"; })
+ (fetchNuGet { pname = "System.Xml.ReaderWriter"; version = "4.3.0"; sha256 = "0c47yllxifzmh8gq6rq6l36zzvw4kjvlszkqa9wq3fr59n0hl3s1"; })
+ (fetchNuGet { pname = "System.Xml.XDocument"; version = "4.0.11"; sha256 = "0n4lvpqzy9kc7qy1a4acwwd7b7pnvygv895az5640idl2y9zbz18"; })
+ (fetchNuGet { pname = "System.Xml.XDocument"; version = "4.3.0"; sha256 = "08h8fm4l77n0nd4i4fk2386y809bfbwqb7ih9d7564ifcxr5ssxd"; })
+ (fetchNuGet { pname = "TestableIO.System.IO.Abstractions"; version = "20.0.15"; sha256 = "14ivs6f91frvnygxg1qb7f7a96a3nazncj2sx4gsv1y22wmwizn4"; })
+ (fetchNuGet { pname = "TestableIO.System.IO.Abstractions.Wrappers"; version = "20.0.15"; sha256 = "0avsf5bwjq4ymjmri917w610xzv6l300fxq3h7xhfprs25crby3k"; })
+ (fetchNuGet { pname = "WindowsAzure.Storage"; version = "9.3.3"; sha256 = "14b0b0nj85yvyn0h8ghr3kj6di2nkbzjxc2q98f1wcr0151xvdfx"; })
+]
diff --git a/pkgs/by-name/bi/bicep/package.nix b/pkgs/by-name/bi/bicep/package.nix
new file mode 100644
index 000000000000..d91ffd7e5c6c
--- /dev/null
+++ b/pkgs/by-name/bi/bicep/package.nix
@@ -0,0 +1,44 @@
+{ lib
+, stdenv
+, buildDotnetModule
+, fetchFromGitHub
+, dotnetCorePackages
+, mono
+}:
+
+buildDotnetModule rec {
+ pname = "bicep";
+ version = "0.26.54";
+
+ src = fetchFromGitHub {
+ owner = "Azure";
+ repo = "bicep";
+ rev = "v${version}";
+ hash = "sha256-Obu9I2FzuYBD466DE9VZnjTHSRX+qeKqTiIJ2433DQc=";
+ };
+
+ projectFile = "src/Bicep.Cli/Bicep.Cli.csproj";
+
+ nugetDeps = ./deps.nix;
+
+ dotnet-sdk = dotnetCorePackages.sdk_8_0;
+
+ dotnet-runtime = dotnetCorePackages.runtime_8_0;
+
+ doCheck = !(stdenv.isDarwin && stdenv.isAarch64); # mono is not available on aarch64-darwin
+
+ nativeCheckInputs = [ mono ];
+
+ testProjectFile = "src/Bicep.Cli.UnitTests/Bicep.Cli.UnitTests.csproj";
+
+ passthru.updateScript = ./updater.sh;
+
+ meta = {
+ broken = stdenv.isDarwin;
+ description = "Domain Specific Language (DSL) for deploying Azure resources declaratively";
+ homepage = "https://github.com/Azure/bicep/";
+ changelog = "https://github.com/Azure/bicep/releases/tag/v${version}";
+ license = lib.licenses.mit;
+ maintainers = with lib.maintainers; [ khaneliman ];
+ };
+}
diff --git a/pkgs/by-name/bi/bicep/updater.sh b/pkgs/by-name/bi/bicep/updater.sh
new file mode 100755
index 000000000000..dc45cc135746
--- /dev/null
+++ b/pkgs/by-name/bi/bicep/updater.sh
@@ -0,0 +1,17 @@
+#!/usr/bin/env nix-shell
+#!nix-shell -I nixpkgs=./. -i bash -p curl jq common-updater-scripts
+
+set -eo pipefail
+cd "$(dirname "${BASH_SOURCE[0]}")"
+
+new_version="$(curl -s "https://api.github.com/repos/azure/bicep/releases?per_page=1" | jq -r '.[0].name')"
+old_version="$(sed -nE 's/\s*version = "(.*)".*/\1/p' ./package.nix)"
+
+if [[ "$new_version" == "$old_version" ]]; then
+ echo "Already up to date!"
+ exit 0
+fi
+
+cd ../../../..
+update-source-version bicep "${new_version//v}"
+nix-build -A bicep.fetch-deps --no-out-link
diff --git a/pkgs/by-name/bi/binsort/package.nix b/pkgs/by-name/bi/binsort/package.nix
index edb41c642268..b1100dabad8c 100644
--- a/pkgs/by-name/bi/binsort/package.nix
+++ b/pkgs/by-name/bi/binsort/package.nix
@@ -27,6 +27,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "Sort files by binary similarity";
+ mainProgram = "binsort";
homepage = "http://neoscientists.org/~tmueller/binsort/";
license = licenses.bsd3;
maintainers = with maintainers; [ numinit ];
diff --git a/pkgs/by-name/bi/bitbake-language-server/package.nix b/pkgs/by-name/bi/bitbake-language-server/package.nix
index 68b536d1319c..e73c78124ac2 100644
--- a/pkgs/by-name/bi/bitbake-language-server/package.nix
+++ b/pkgs/by-name/bi/bitbake-language-server/package.nix
@@ -30,6 +30,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "Language server for bitbake";
+ mainProgram = "bitbake-language-server";
homepage = "https://github.com/Freed-Wu/bitbake-language-server";
changelog = "https://github.com/Freed-Wu/bitbake-language-server/releases/tag/v${version}";
license = licenses.gpl3;
diff --git a/pkgs/by-name/bi/bitmagnet/package.nix b/pkgs/by-name/bi/bitmagnet/package.nix
index f09920f77785..3c8f5b6c7605 100644
--- a/pkgs/by-name/bi/bitmagnet/package.nix
+++ b/pkgs/by-name/bi/bitmagnet/package.nix
@@ -6,16 +6,16 @@
buildGoModule rec {
pname = "bitmagnet";
- version = "0.6.2";
+ version = "0.7.0";
src = fetchFromGitHub {
owner = "bitmagnet-io";
repo = "bitmagnet";
rev = "v${version}";
- hash = "sha256-17jRktEqBCAXiddx8FnqHg3+c/03nqKHC8BQc9AhQA0=";
+ hash = "sha256-lomTfG6Fo4IywI8VMRvv4mBNRxLCq6IQGIuaR61UwOE=";
};
- vendorHash = "sha256-YfsSz72CeHdrh5610Ilo1NYxlCT993hxWRWh0OsvEQc=";
+ vendorHash = "sha256-tKU4GoaEwwdbpWjojx+Z/mWxXKjceJPYRg5UTpYzad4=";
ldflags = [ "-s" "-w" ];
diff --git a/pkgs/tools/security/bitwarden/cli.nix b/pkgs/by-name/bi/bitwarden-cli/package.nix
similarity index 85%
rename from pkgs/tools/security/bitwarden/cli.nix
rename to pkgs/by-name/bi/bitwarden-cli/package.nix
index 68abb6385319..287d8e1a0e0a 100644
--- a/pkgs/tools/security/bitwarden/cli.nix
+++ b/pkgs/by-name/bi/bitwarden-cli/package.nix
@@ -10,18 +10,18 @@
buildNpmPackage rec {
pname = "bitwarden-cli";
- version = "2024.2.0";
+ version = "2024.2.1";
src = fetchFromGitHub {
owner = "bitwarden";
repo = "clients";
rev = "cli-v${version}";
- hash = "sha256-nCjcwe+7Riml/J0hAVv/t6/oHIDPhwFD5A3iQ/LNR5Y=";
+ hash = "sha256-g9enDEIdVj9R3xkx5qllf7aTDa6F+MvozhwbJn9w/VY=";
};
nodejs = nodejs_18;
- npmDepsHash = "sha256-GJl9pVwFWEg9yku9IXLcu2XMJZz+ZoQOxCf1TrW715Y=";
+ npmDepsHash = "sha256-fkoI8a8iVMWxtXAj5zNg2xwK/ZPyRZGPo7rnxHpKV7k=";
nativeBuildInputs = [
python3
diff --git a/pkgs/by-name/bi/bitwarden-desktop/electron-builder-package-lock.patch b/pkgs/by-name/bi/bitwarden-desktop/electron-builder-package-lock.patch
new file mode 100644
index 000000000000..7a31b4a06076
--- /dev/null
+++ b/pkgs/by-name/bi/bitwarden-desktop/electron-builder-package-lock.patch
@@ -0,0 +1,28 @@
+From 0629bb5b90e54491263e371bc5594e9f97ba0af4 Mon Sep 17 00:00:00 2001
+From: Andrew Marshall
+Date: Tue, 12 Mar 2024 11:48:15 -0400
+Subject: [PATCH] Fix using unlocked dependencies in electron-builder
+
+electron-builder will perform its "installing production dependencies"
+step using this package.json, and without the package-lock.json, NPM
+will try to fetch package metadata to install the latest, unlocked
+dependencies.
+---
+ apps/desktop/webpack.main.js | 1 +
+ 1 file changed, 1 insertion(+)
+
+diff --git a/apps/desktop/webpack.main.js b/apps/desktop/webpack.main.js
+index 9d683457d9..0ad707956e 100644
+--- a/apps/desktop/webpack.main.js
++++ b/apps/desktop/webpack.main.js
+@@ -70,6 +70,7 @@ const main = {
+ new CopyWebpackPlugin({
+ patterns: [
+ "./src/package.json",
++ "./src/package-lock.json",
+ { from: "./src/images", to: "images" },
+ { from: "./src/locales", to: "locales" },
+ ],
+--
+2.43.2
+
diff --git a/pkgs/tools/security/bitwarden/default.nix b/pkgs/by-name/bi/bitwarden-desktop/package.nix
similarity index 83%
rename from pkgs/tools/security/bitwarden/default.nix
rename to pkgs/by-name/bi/bitwarden-desktop/package.nix
index 5ed43dc6b3b6..b43a7ed27b21 100644
--- a/pkgs/tools/security/bitwarden/default.nix
+++ b/pkgs/by-name/bi/bitwarden-desktop/package.nix
@@ -5,7 +5,6 @@
, dbus
, electron_28
, fetchFromGitHub
-, fetchpatch2
, glib
, gnome
, gtk3
@@ -29,30 +28,39 @@ let
icon = "bitwarden";
electron = electron_28;
in buildNpmPackage rec {
- pname = "bitwarden";
- version = "2024.2.0";
+ pname = "bitwarden-desktop";
+ version = "2024.3.0";
src = fetchFromGitHub {
owner = "bitwarden";
repo = "clients";
rev = "desktop-v${version}";
- hash = "sha256-nCjcwe+7Riml/J0hAVv/t6/oHIDPhwFD5A3iQ/LNR5Y=";
+ hash = "sha256-XEZB95GnfSy/wtTWpF8KlUQwyephUZmSLtbOwbcvd7g=";
};
patches = [
- (fetchpatch2 {
- # https://github.com/bitwarden/clients/pull/7508
- url = "https://github.com/amarshall/bitwarden-clients/commit/e85fa4ef610d9dd05bd22a9b93d54b0c7901776d.patch";
- hash = "sha256-P9MTsiNbAb2kKo/PasIm9kGm0lQjuVUxAJ3Fh1DfpzY=";
- })
+ ./electron-builder-package-lock.patch
];
+ # The nested package-lock.json from upstream is out-of-date, so copy the
+ # lock metadata from the root package-lock.json.
+ postPatch = ''
+ cat {,apps/desktop/src/}package-lock.json \
+ | ${lib.getExe jq} -s '
+ .[1].packages."".dependencies.argon2 = .[0].packages."".dependencies.argon2
+ | .[0].packages."" = .[1].packages.""
+ | .[1].packages = .[0].packages
+ | .[1]
+ ' \
+ | ${moreutils}/bin/sponge apps/desktop/src/package-lock.json
+ '';
+
nodejs = nodejs_18;
makeCacheWritable = true;
npmFlags = [ "--legacy-peer-deps" ];
npmWorkspace = "apps/desktop";
- npmDepsHash = "sha256-GJl9pVwFWEg9yku9IXLcu2XMJZz+ZoQOxCf1TrW715Y=";
+ npmDepsHash = "sha256-EpZXA+GkmHl5eqwIPTGHJZqrpr6k8gXneJG+GXumlkc=";
cargoDeps = rustPlatform.fetchCargoTarball {
name = "${pname}-${version}";
@@ -68,7 +76,7 @@ in buildNpmPackage rec {
patches;
patchFlags = [ "-p4" ];
sourceRoot = "${src.name}/${cargoRoot}";
- hash = "sha256-KJUz5hvdsurnohUWRZedXvuWMnLtR0dcdTeHtJGrZBs=";
+ hash = "sha256-qAqEFlUzT28fw6kLB8d7U8yXWevAU+q03zjN2xWsGyI=";
};
cargoRoot = "apps/desktop/desktop_native";
diff --git a/pkgs/by-name/bl/blockbench/package.nix b/pkgs/by-name/bl/blockbench/package.nix
new file mode 100644
index 000000000000..fb19793583c4
--- /dev/null
+++ b/pkgs/by-name/bl/blockbench/package.nix
@@ -0,0 +1,87 @@
+{ lib
+, stdenv
+, buildNpmPackage
+, fetchFromGitHub
+, imagemagick
+, makeWrapper
+, makeDesktopItem
+, copyDesktopItems
+, electron_28
+}:
+
+let
+ electron = electron_28;
+in
+buildNpmPackage rec {
+ pname = "blockbench";
+ version = "4.9.4";
+
+ src = fetchFromGitHub {
+ owner = "JannisX11";
+ repo = "blockbench";
+ rev = "v${version}";
+ hash = "sha256-z4hr1pQh7Jp/DB8+pxwuHvi4gvTHHVn0yrruwnXm2iM=";
+ };
+
+ nativeBuildInputs = [
+ imagemagick # for icon resizing
+ makeWrapper
+ copyDesktopItems
+ ];
+
+ npmDepsHash = "sha256-onfz+J77jNIgdc7ALiyoXt1CdTyX/C7+bKwtpJm+H+I=";
+
+ env.ELECTRON_SKIP_BINARY_DOWNLOAD = 1;
+
+ npmBuildScript = "bundle";
+
+ postBuild = ''
+ npm exec electron-builder -- \
+ --dir \
+ -c.electronDist=${electron}/libexec/electron \
+ -c.electronVersion=${electron.version}
+ '';
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p $out/share/blockbench
+ cp -r dist/*-unpacked/{locales,resources{,.pak}} $out/share/blockbench
+
+ for size in 16 32 48 64 128 256 512; do
+ mkdir -p $out/share/icons/hicolor/"$size"x"$size"/apps
+ convert -resize "$size"x"$size" icon.png $out/share/icons/hicolor/"$size"x"$size"/apps/blockbench.png
+ done
+
+ makeWrapper ${lib.getExe electron} $out/bin/blockbench \
+ --add-flags $out/share/blockbench/resources/app.asar \
+ --add-flags "\''${NIXOS_OZONE_WL:+\''${WAYLAND_DISPLAY:+--ozone-platform-hint=auto --enable-features=WaylandWindowDecorations}}" \
+ --inherit-argv0
+
+ runHook postInstall
+ '';
+
+ # based on desktop file found in the published AppImage archive
+ desktopItems = [
+ (makeDesktopItem {
+ name = "blockbench";
+ exec = "blockbench %U";
+ icon = "blockbench";
+ desktopName = "Blockbench";
+ comment = meta.description;
+ categories = [ "3DGraphics" ];
+ startupWMClass = "Blockbench";
+ terminal = false;
+ })
+ ];
+
+ meta = {
+ changelog = "https://github.com/JannisX11/blockbench/releases/tag/${src.rev}";
+ description = "Low-poly 3D modeling and animation software";
+ homepage = "https://blockbench.net/";
+ license = lib.licenses.gpl3Only;
+ mainProgram = "blockbench";
+ maintainers = with lib.maintainers; [ ckie tomasajt ];
+ broken = stdenv.isDarwin;
+ };
+}
diff --git a/pkgs/by-name/bl/bluez/package.nix b/pkgs/by-name/bl/bluez/package.nix
index b87c84fe7f67..f23f333a79da 100644
--- a/pkgs/by-name/bl/bluez/package.nix
+++ b/pkgs/by-name/bl/bluez/package.nix
@@ -113,7 +113,6 @@ stdenv.mkDerivation (finalAttrs: {
pythonPath = with python3.pkgs; [
dbus-python
pygobject3
- recursive-pth-loader
];
in
''
diff --git a/pkgs/by-name/bm/bmake/package.nix b/pkgs/by-name/bm/bmake/package.nix
index 5ee120ac4ca9..fe4e8b6ed6dd 100644
--- a/pkgs/by-name/bm/bmake/package.nix
+++ b/pkgs/by-name/bm/bmake/package.nix
@@ -11,11 +11,11 @@
stdenv.mkDerivation (finalAttrs: {
pname = "bmake";
- version = "20240108";
+ version = "20240301";
src = fetchurl {
url = "http://www.crufty.net/ftp/pub/sjg/bmake-${finalAttrs.version}.tar.gz";
- hash = "sha256-N3JXiCBhbpmZFvTFHb0kFbBvcoH2jMzMXh047SOOMQc=";
+ hash = "sha256-JM4L46z8i5PHWgeWxi7swWN246fAVXCzAtIEgOOOn1k=";
};
patches = [
diff --git a/pkgs/by-name/bn/bngblaster/package.nix b/pkgs/by-name/bn/bngblaster/package.nix
index 4f4030bdd70d..e21573061553 100644
--- a/pkgs/by-name/bn/bngblaster/package.nix
+++ b/pkgs/by-name/bn/bngblaster/package.nix
@@ -12,13 +12,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "bngblaster";
- version = "0.8.35";
+ version = "0.8.39";
src = fetchFromGitHub {
owner = "rtbrick";
repo = "bngblaster";
rev = finalAttrs.version;
- hash = "sha256-zljpApecUKtdU1HqLmeREeL+rmDUMhBWnycgmENlt1o=";
+ hash = "sha256-w8E/GcwLCH6OYwabRWH+CNQ2p7scMK8ogReRxNFqI4k=";
};
nativeBuildInputs = [
diff --git a/pkgs/by-name/bo/bochs/package.nix b/pkgs/by-name/bo/bochs/package.nix
index 803d6ae2852e..eb3c51430b54 100644
--- a/pkgs/by-name/bo/bochs/package.nix
+++ b/pkgs/by-name/bo/bochs/package.nix
@@ -25,11 +25,11 @@
stdenv.mkDerivation (finalAttrs: {
pname = "bochs";
- version = "2.7";
+ version = "2.8";
src = fetchurl {
url = "mirror://sourceforge/project/bochs/bochs/${finalAttrs.version}/bochs-${finalAttrs.version}.tar.gz";
- hash = "sha256-oBCrG/3HKsWgjS4kEs1HHA/r1mrx2TSbwNeWh53lsXo=";
+ hash = "sha256-qFsTr/fYQR96nzVrpsM7X13B+7EH61AYzCOmJjnaAFk=";
};
nativeBuildInputs = [
diff --git a/pkgs/development/libraries/boehm-gc/default.nix b/pkgs/by-name/bo/boehmgc/package.nix
similarity index 93%
rename from pkgs/development/libraries/boehm-gc/default.nix
rename to pkgs/by-name/bo/boehmgc/package.nix
index 6da88ee8258f..4402b3af57bf 100644
--- a/pkgs/development/libraries/boehm-gc/default.nix
+++ b/pkgs/by-name/bo/boehmgc/package.nix
@@ -57,7 +57,7 @@ stdenv.mkDerivation (finalAttrs: {
passthru.tests = nixVersions;
- meta = with lib; {
+ meta = {
homepage = "https://hboehm.info/gc/";
description = "The Boehm-Demers-Weiser conservative garbage collector for C and C++";
longDescription = ''
@@ -76,10 +76,9 @@ stdenv.mkDerivation (finalAttrs: {
Alternatively, the garbage collector may be used as a leak detector for
C or C++ programs, though that is not its primary goal.
'';
- # non-copyleft, X11-style license
changelog = "https://github.com/ivmai/bdwgc/blob/v${finalAttrs.version}/ChangeLog";
- license = "https://hboehm.info/gc/license.txt";
- maintainers = with maintainers; [ AndersonTorres ];
- platforms = platforms.all;
+ license = "https://hboehm.info/gc/license.txt"; # non-copyleft, X11-style license
+ maintainers = with lib.maintainers; [ AndersonTorres ];
+ platforms = lib.platforms.all;
};
})
diff --git a/pkgs/by-name/bo/bonsai/package.nix b/pkgs/by-name/bo/bonsai/package.nix
index da5f81c928d5..6c5d9adb78b5 100644
--- a/pkgs/by-name/bo/bonsai/package.nix
+++ b/pkgs/by-name/bo/bonsai/package.nix
@@ -8,13 +8,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "bonsai";
- version = "1.0.2";
+ version = "1.1.0";
src = fetchFromSourcehut {
owner = "~stacyharper";
repo = "bonsai";
rev = "v${finalAttrs.version}";
- hash = "sha256-Yosf07KUOQv4O5111tLGgI270g0KVGwzdTPtPOsTcP8=";
+ hash = "sha256-Wsr76OQOIqRPCx/8GK9NovxxPZ3dEP8pSo8wgMK1Hfo=";
};
nativeBuildInputs = [
diff --git a/pkgs/by-name/bo/boogie/package.nix b/pkgs/by-name/bo/boogie/package.nix
index 94117375f0e1..8a21f7b16d78 100644
--- a/pkgs/by-name/bo/boogie/package.nix
+++ b/pkgs/by-name/bo/boogie/package.nix
@@ -2,13 +2,13 @@
buildDotnetModule rec {
pname = "Boogie";
- version = "3.0.10";
+ version = "3.1.2";
src = fetchFromGitHub {
owner = "boogie-org";
repo = "boogie";
rev = "v${version}";
- sha256 = "sha256-0E4yAVNWJC67vX0DTQj1ZH7T6JKOgE0BDf6u0V0QvFA=";
+ sha256 = "sha256-L70xKxLgJwpEt8e3HHJRSmDW+oq8nL6MjZaqgjUGDps=";
};
projectFile = [ "Source/Boogie.sln" ];
diff --git a/pkgs/by-name/bo/boxbuddy/package.nix b/pkgs/by-name/bo/boxbuddy/package.nix
new file mode 100644
index 000000000000..7885d551a5a2
--- /dev/null
+++ b/pkgs/by-name/bo/boxbuddy/package.nix
@@ -0,0 +1,61 @@
+{ lib
+, rustPlatform
+, fetchFromGitHub
+, pkg-config
+, wrapGAppsHook4
+, libadwaita
+, distrobox
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "boxbuddy";
+ version = "2.1.4";
+
+ src = fetchFromGitHub {
+ owner = "Dvlv";
+ repo = "BoxBuddyRS";
+ rev = version;
+ hash = "sha256-954jMFWSIDDqtMitgc8456SMcQLzi6LhLbVvOC45fxQ=";
+ };
+
+ cargoHash = "sha256-08d0mPSGYySxw2uq16MNOu2Y39kjqyfZ8rJ2yTOL3/w=";
+
+ # The software assumes it is installed either in flatpak or in the home directory
+ # so the xdg data path needs to be patched here
+ postPatch = ''
+ substituteInPlace src/utils.rs \
+ --replace-fail '{data_home}/locale' "$out/share/locale" \
+ --replace-fail '{data_home}/icons/boxbuddy/{}' "$out/share/icons/boxbuddy/{}"
+ '';
+
+ nativeBuildInputs = [
+ pkg-config
+ wrapGAppsHook4
+ ];
+
+ buildInputs = [
+ libadwaita
+ ];
+
+ postInstall = ''
+ cp icons/* ./
+ XDG_DATA_HOME=$out/share INSTALL_DIR=$out ./scripts/install.sh
+ '';
+
+ preFixup = ''
+ gappsWrapperArgs+=(
+ --prefix PATH : ${lib.makeBinPath [ distrobox ]}
+ )
+ '';
+
+ doCheck = false; # No checks defined
+
+ meta = with lib; {
+ description = "An unofficial GUI for managing your Distroboxes, written with GTK4 + Libadwaita";
+ homepage = "https://dvlv.github.io/BoxBuddyRS";
+ license = licenses.mit;
+ mainProgram = "boxbuddy-rs";
+ maintainers = with maintainers; [ aleksana ];
+ platforms = platforms.linux;
+ };
+}
diff --git a/pkgs/by-name/bp/bpftop/package.nix b/pkgs/by-name/bp/bpftop/package.nix
new file mode 100644
index 000000000000..db954a57e069
--- /dev/null
+++ b/pkgs/by-name/bp/bpftop/package.nix
@@ -0,0 +1,43 @@
+{ lib
+, rustPlatform
+, fetchFromGitHub
+, pkg-config
+, elfutils
+, zlib
+, libbpf
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "bpftop";
+ version = "0.3.0";
+
+ src = fetchFromGitHub {
+ owner = "Netflix";
+ repo = "bpftop";
+ rev = "v${version}";
+ hash = "sha256-5MrfnKbrL8VoQBhtIcNmbkUfdjBXhTUW3d0GypvCuY8=";
+ };
+
+ cargoHash = "sha256-OjbsnhAY9KrGWgTDb3cxa1NIbdY2eaWlDXINC15Qk98=";
+
+ buildInputs = [
+ elfutils
+ libbpf
+ zlib
+ ];
+
+ nativeBuildInputs = [
+ pkg-config
+ ];
+
+ meta = {
+ description = "A dynamic real-time view of running eBPF programs";
+ homepage = "https://github.com/Netflix/bpftop";
+ license = lib.licenses.asl20;
+ maintainers = with lib.maintainers; [
+ _0x4A6F
+ mfrw
+ ];
+ mainProgram = "bpftop";
+ };
+}
diff --git a/pkgs/by-name/br/bruno/package.nix b/pkgs/by-name/br/bruno/package.nix
index 15bbceb35d22..9c4069b41bc8 100644
--- a/pkgs/by-name/br/bruno/package.nix
+++ b/pkgs/by-name/br/bruno/package.nix
@@ -14,24 +14,34 @@
, cairo
, pango
, npm-lockfile-fix
+, overrideSDK
+, darwin
}:
-buildNpmPackage rec {
+let
+ # fix for: https://github.com/NixOS/nixpkgs/issues/272156
+ buildNpmPackage' =
+ buildNpmPackage.override {
+ stdenv = if stdenv.isDarwin then overrideSDK stdenv "11.0" else stdenv;
+ };
+in
+buildNpmPackage' rec {
pname = "bruno";
- version = "1.6.1";
+ version = "1.11.0";
src = fetchFromGitHub {
owner = "usebruno";
repo = "bruno";
rev = "v${version}";
- hash = "sha256-Vf4UHN13eE9W4rekOEGAWIP3x79cVH3vI9sxuIscv8c=";
+ hash = "sha256-Urskhzs00OEucoR17NDXNtnrcXk9h75E806Re0HvYyw=";
postFetch = ''
${lib.getExe npm-lockfile-fix} $out/package-lock.json
'';
};
- npmDepsHash = "sha256-pfV9omdJiozJ9VotTImfM/DRsBPNGAEzmSdj3/C//4A=";
+ npmDepsHash = "sha256-48xzx7dTalceXzjFBHIkkUS83pqP/OQ0L2tnMESpHII=";
+ npmFlags = [ "--legacy-peer-deps" ];
nativeBuildInputs = [
(writeShellScriptBin "phantomjs" "echo 2.1.1")
@@ -45,6 +55,8 @@ buildNpmPackage rec {
pixman
cairo
pango
+ ] ++ lib.optionals stdenv.isDarwin [
+ darwin.apple_sdk_11_0.frameworks.CoreText
];
desktopItems = [
@@ -68,6 +80,7 @@ buildNpmPackage rec {
dontNpmBuild = true;
postBuild = ''
+ npm run build --workspace=packages/bruno-common
npm run build --workspace=packages/bruno-graphql-docs
npm run build --workspace=packages/bruno-app
npm run build --workspace=packages/bruno-query
diff --git a/pkgs/by-name/br/bruteforce-salted-openssl/package.nix b/pkgs/by-name/br/bruteforce-salted-openssl/package.nix
index 404a900a3c0e..93a2d66d4ede 100644
--- a/pkgs/by-name/br/bruteforce-salted-openssl/package.nix
+++ b/pkgs/by-name/br/bruteforce-salted-openssl/package.nix
@@ -7,13 +7,13 @@
stdenv.mkDerivation rec {
pname = "bruteforce-salted-openssl";
- version = "1.4.2";
+ version = "1.5.0";
src = fetchFromGitHub {
owner = "glv2";
repo = "bruteforce-salted-openssl";
rev = version;
- hash = "sha256-ICxXdKjRP2vXdJpjn0PP0/6rw9LKju0nVOSj47TyrzY=";
+ hash = "sha256-hXB4CUQ5pihKmahyK359cgQACrs6YH1gHmZJAuTXgQM=";
};
nativeBuildInputs = [
diff --git a/pkgs/by-name/br/bruteforce-wallet/package.nix b/pkgs/by-name/br/bruteforce-wallet/package.nix
index bc1c43b73531..19344499bd49 100644
--- a/pkgs/by-name/br/bruteforce-wallet/package.nix
+++ b/pkgs/by-name/br/bruteforce-wallet/package.nix
@@ -8,13 +8,13 @@
stdenv.mkDerivation rec {
pname = "bruteforce-wallet";
- version = "1.5.3";
+ version = "1.5.4";
src = fetchFromGitHub {
owner = "glv2";
repo = "bruteforce-wallet";
rev = version;
- hash = "sha256-1sMoVlQK3ceFOHyGeXKXUD35HmMxVX8w7qefZrzAj5k=";
+ hash = "sha256-ngzG39c/bWv++PHVgce9r1PXElFhpgYoAepbqD/1Dq0=";
};
nativeBuildInputs = [
diff --git a/pkgs/by-name/bu/bullshit/package.nix b/pkgs/by-name/bu/bullshit/package.nix
index 64f50f1d2b30..c32123eb1451 100644
--- a/pkgs/by-name/bu/bullshit/package.nix
+++ b/pkgs/by-name/bu/bullshit/package.nix
@@ -35,6 +35,7 @@ stdenvNoCC.mkDerivation {
meta = with lib; {
description = "A nonsense phrase generator";
+ mainProgram = "bullshit";
homepage = "https://github.com/fceschmidt/bullshit-arch";
license = licenses.gpl2Only;
maintainers = with maintainers; [ krloer ];
diff --git a/pkgs/games/itch/butler.nix b/pkgs/by-name/bu/butler/package.nix
similarity index 77%
rename from pkgs/games/itch/butler.nix
rename to pkgs/by-name/bu/butler/package.nix
index cbd6b8aef936..29407276aba6 100644
--- a/pkgs/games/itch/butler.nix
+++ b/pkgs/by-name/bu/butler/package.nix
@@ -36,6 +36,12 @@ buildGoModule rec {
doCheck = false;
meta = with lib; {
+ # butler cannot be build with Go >=1.21
+ # See https://github.com/itchio/butler/issues/256
+ # and https://github.com/itchio/dmcunrar-go/issues/1
+ # The dependency causing the issue is marked as 'no maintainence intended'.
+ # Last butler release is from 05/2021.
+ broken = true;
description = "Command-line itch.io helper";
homepage = "https://github.com/itchio/butler";
license = licenses.mit;
diff --git a/pkgs/by-name/by/byobu/package.nix b/pkgs/by-name/by/byobu/package.nix
new file mode 100644
index 000000000000..bf6896f3153a
--- /dev/null
+++ b/pkgs/by-name/by/byobu/package.nix
@@ -0,0 +1,98 @@
+{ lib
+, autoreconfHook
+, bc
+, fetchFromGitHub
+, gettext
+, makeWrapper
+, perl
+, python3
+, screen
+, stdenv
+, vim
+, tmux
+}:
+
+let
+ pythonEnv = python3.withPackages (ps: with ps; [ snack ]);
+in
+stdenv.mkDerivation (finalAttrs: {
+ pname = "byobu";
+ version = "6.12";
+
+ src = fetchFromGitHub {
+ owner = "dustinkirkland";
+ repo = "byobu";
+ rev = finalAttrs.version;
+ hash = "sha256-NzC9Njsnz14mfKnERGDZw8O3vux0wnfCKwjUeTBQswc=";
+ };
+
+ nativeBuildInputs = [
+ autoreconfHook
+ gettext
+ makeWrapper
+ ];
+
+ buildInputs = [
+ perl # perl is needed for `lib/byobu/include/*` scripts
+ screen
+ tmux
+ ];
+
+ doCheck = true;
+ strictDeps = true;
+
+ postPatch = ''
+ for file in usr/bin/byobu-export.in usr/lib/byobu/menu; do
+ substituteInPlace $file \
+ --replace "gettext" "${gettext}/bin/gettext"
+ done
+ '';
+
+ postInstall = ''
+ # By some reason the po files are not being compiled
+ for po in po/*.po; do
+ lang=''${po#po/}
+ lang=''${lang%.po}
+ # Path where byobu looks for translations, as observed in the source code
+ # and strace
+ mkdir -p $out/share/byobu/po/$lang/LC_MESSAGES/
+ msgfmt --verbose $po -o $out/share/byobu/po/$lang/LC_MESSAGES/byobu.mo
+ done
+
+ # Override the symlinks, otherwise they mess with the wrapping
+ cp --remove-destination $out/bin/byobu $out/bin/byobu-screen
+ cp --remove-destination $out/bin/byobu $out/bin/byobu-tmux
+
+ for file in $out/bin/byobu*; do
+ # We don't use the usual "-wrapped" suffix because arg0 within the shebang
+ # scripts points to the filename and byobu matches against this to know
+ # which backend to start with
+ bname="$(basename $file)"
+ mv "$file" "$out/bin/.$bname"
+ makeWrapper "$out/bin/.$bname" "$out/bin/$bname" \
+ --argv0 $bname \
+ --prefix PATH ":" "$out/bin" \
+ --set BYOBU_PATH ${lib.makeBinPath [ vim bc ]} \
+ --set BYOBU_PYTHON "${pythonEnv}/bin/python"
+ done
+ '';
+
+ meta = {
+ homepage = "https://www.byobu.org/";
+ description = "Text-based window manager and terminal multiplexer";
+ longDescription = ''
+ Byobu is a text-based window manager and terminal multiplexer. It was
+ originally designed to provide elegant enhancements to the otherwise
+ functional, plain, practical GNU Screen, for the Ubuntu server
+ distribution. Byobu now includes an enhanced profiles, convenient
+ keybindings, configuration utilities, and toggle-able system status
+ notifications for both the GNU Screen window manager and the more modern
+ Tmux terminal multiplexer, and works on most Linux, BSD, and Mac
+ distributions.
+ '';
+ license = with lib.licenses; [ gpl3Plus ];
+ mainProgram = "byobu";
+ maintainers = with lib.maintainers; [ AndersonTorres ];
+ platforms = lib.platforms.unix;
+ };
+})
diff --git a/pkgs/by-name/c-/c-graph/package.nix b/pkgs/by-name/c-/c-graph/package.nix
new file mode 100644
index 000000000000..83679aacce96
--- /dev/null
+++ b/pkgs/by-name/c-/c-graph/package.nix
@@ -0,0 +1,26 @@
+{ lib, stdenv, fetchurl, gfortran, gnuplot, makeWrapper }:
+
+stdenv.mkDerivation rec {
+ pname = "c-graph";
+ version = "2.0.1";
+
+ src = fetchurl {
+ url = "mirror://gnu/c-graph/c-graph-${version}.tar.gz";
+ hash = "sha256-LSZ948nXXY3pXltR2hHnql6YEpHumjTvbtz4/qUIRCQ=";
+ };
+
+ nativeBuildInputs = [ gfortran makeWrapper ];
+
+ postInstall = ''
+ wrapProgram $out/bin/c-graph --prefix PATH : ${lib.makeBinPath [ gnuplot ]}
+ '';
+
+ meta = with lib; {
+ description = "A Tool for Learning about Convolution";
+ homepage = "https://www.gnu.org/software/c-graph/";
+ license = licenses.gpl3Plus;
+ maintainers = with maintainers; [ sikmir ];
+ platforms = platforms.unix;
+ mainProgram = "c-graph";
+ };
+}
diff --git a/pkgs/by-name/c2/c2fmzq/package.nix b/pkgs/by-name/c2/c2fmzq/package.nix
index 42069150d468..1efd3dd52ca2 100644
--- a/pkgs/by-name/c2/c2fmzq/package.nix
+++ b/pkgs/by-name/c2/c2fmzq/package.nix
@@ -17,7 +17,7 @@ buildGoModule rec {
ldflags = [ "-s" "-w" ];
- sourceRoot = "source/c2FmZQ";
+ sourceRoot = "${src.name}/c2FmZQ";
vendorHash = "sha256-cTXSFwWGHV2QJM4mX/Z+ZxCXKwr+59lEPvJa/PTA1wU=";
diff --git a/pkgs/by-name/c2/c2nim/package.nix b/pkgs/by-name/c2/c2nim/package.nix
index 2212cb5879ed..1cc603b59bc8 100644
--- a/pkgs/by-name/c2/c2nim/package.nix
+++ b/pkgs/by-name/c2/c2nim/package.nix
@@ -11,6 +11,7 @@ buildNimPackage (finalAttrs: {
};
meta = finalAttrs.src.meta // {
description = "Tool to translate Ansi C code to Nim";
+ mainProgram = "c2nim";
license = lib.licenses.mit;
maintainers = [ lib.maintainers.ehmry ];
};
diff --git a/pkgs/by-name/ca/caido/package.nix b/pkgs/by-name/ca/caido/package.nix
index f7da4eaef797..9c930f0afc6c 100644
--- a/pkgs/by-name/ca/caido/package.nix
+++ b/pkgs/by-name/ca/caido/package.nix
@@ -6,10 +6,10 @@
let
pname = "caido";
- version = "0.29.2";
+ version = "0.33.0";
src = fetchurl {
- url = "https://storage.googleapis.com/caido-releases/v${version}/caido-desktop-linux-v${version}-e0f8102b.AppImage";
- hash = "sha256-4PgQK52LAX1zacmoUK0muIhrvFDF7anQ6sx35I+ErVs=";
+ url = "https://storage.googleapis.com/caido-releases/v${version}/caido-desktop-v${version}-linux-x86_64.AppImage";
+ hash = "sha256-MUQ1tVcIpLrC2RKsWDqv8MBGaHfh56OxIC/ARArQjiU=";
};
appimageContents = appimageTools.extractType2 { inherit pname src version; };
@@ -21,8 +21,6 @@ in appimageTools.wrapType2 {
extraInstallCommands = ''
mv $out/bin/${pname}-${version} $out/bin/${pname}
install -m 444 -D ${appimageContents}/caido.desktop -t $out/share/applications
- substituteInPlace $out/share/applications/caido.desktop \
- --replace 'Exec=AppRun' 'Exec=${pname}'
install -m 444 -D ${appimageContents}/caido.png \
$out/share/icons/hicolor/512x512/apps/caido.png
source "${makeWrapper}/nix-support/setup-hook"
diff --git a/pkgs/by-name/ca/capslock/package.nix b/pkgs/by-name/ca/capslock/package.nix
new file mode 100644
index 000000000000..87da681fe958
--- /dev/null
+++ b/pkgs/by-name/ca/capslock/package.nix
@@ -0,0 +1,37 @@
+{ lib
+, buildGoModule
+, fetchFromGitHub
+}:
+
+buildGoModule rec {
+ pname = "capslock";
+ version = "0.1.1";
+
+ src = fetchFromGitHub {
+ owner = "google";
+ repo = "capslock";
+ rev = "v${version}";
+ hash = "sha256-mGrq43YCjF137c5ynQxL7IXDCUbnbBLv5E0tw/boObE=";
+ };
+
+ vendorHash = "sha256-WTbHcVARbz7cvAY7IZnACTrN5h9NXWXfxxEWq4hssOM=";
+
+ subPackages = [
+ "cmd/capslock"
+ ];
+
+ CGO_ENABLED = "0";
+
+ ldflags = [
+ "-s"
+ "-w"
+ ];
+
+ meta = with lib; {
+ description = "Capability analysis CLI for Go packages that informs users of which privileged operations a given package can access";
+ homepage = "https://github.com/google/capslock";
+ license = licenses.bsd3;
+ mainProgram = "capslock";
+ maintainers = with maintainers; [ katexochen ];
+ };
+}
diff --git a/pkgs/development/tools/rust/cargo-bloat/default.nix b/pkgs/by-name/ca/cargo-bloat/package.nix
similarity index 76%
rename from pkgs/development/tools/rust/cargo-bloat/default.nix
rename to pkgs/by-name/ca/cargo-bloat/package.nix
index 03f8922ba632..59085534d063 100644
--- a/pkgs/development/tools/rust/cargo-bloat/default.nix
+++ b/pkgs/by-name/ca/cargo-bloat/package.nix
@@ -8,10 +8,10 @@ rustPlatform.buildRustPackage rec {
owner = "RazrFalcon";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-lCA7C1G2xu65jn3/wzj6prWSrjQz3EqqJyMlPR/HRFs=";
+ hash = "sha256-lCA7C1G2xu65jn3/wzj6prWSrjQz3EqqJyMlPR/HRFs=";
};
- cargoSha256 = "sha256-fOenXn5gagFss9DRDXXsGxQlDqVXZ5LZcdM4WsXAyUU=";
+ cargoHash = "sha256-fOenXn5gagFss9DRDXXsGxQlDqVXZ5LZcdM4WsXAyUU=";
meta = with lib; {
description = "A tool and Cargo subcommand that helps you find out what takes most of the space in your executable";
@@ -19,6 +19,6 @@ rustPlatform.buildRustPackage rec {
license = licenses.mit;
platforms = platforms.unix;
maintainers = with maintainers; [ xrelkd matthiasbeyer ];
+ mainProgram = "cargo-bloat";
};
}
-
diff --git a/pkgs/by-name/ca/cargo-bump/package.nix b/pkgs/by-name/ca/cargo-bump/package.nix
index 76f21c1ca027..f5ff8e08f574 100644
--- a/pkgs/by-name/ca/cargo-bump/package.nix
+++ b/pkgs/by-name/ca/cargo-bump/package.nix
@@ -29,6 +29,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "Increments the version number of the current project.";
+ mainProgram = "cargo-bump";
homepage = "https://github.com/wraithan/cargo-bump";
license = with licenses; [ isc ];
maintainers = with maintainers; [ cafkafk ];
diff --git a/pkgs/development/tools/rust/cargo-make/default.nix b/pkgs/by-name/ca/cargo-make/package.nix
similarity index 86%
rename from pkgs/development/tools/rust/cargo-make/default.nix
rename to pkgs/by-name/ca/cargo-make/package.nix
index 1971c81f4609..0c8aaec637f3 100644
--- a/pkgs/development/tools/rust/cargo-make/default.nix
+++ b/pkgs/by-name/ca/cargo-make/package.nix
@@ -10,16 +10,16 @@
rustPlatform.buildRustPackage rec {
pname = "cargo-make";
- version = "0.37.9";
+ version = "0.37.10";
src = fetchFromGitHub {
owner = "sagiegurari";
repo = "cargo-make";
rev = version;
- hash = "sha256-pfLK9QGDS4KPIdXq/LI++/r1OxqmgF0qqVJNDSVrZFI=";
+ hash = "sha256-qahmRwRjwefkp21f4nsIR4THGibkQ8+20qVmQmJ7+Vk=";
};
- cargoHash = "sha256-x8cx64B+skusk0LDRQT/1g57NBQMchy2HvvryoY0R/I=";
+ cargoHash = "sha256-NTi+1ULK+k1JIP7z3/3v7gPUxXgliq3i8gtJQVLDGEY=";
nativeBuildInputs = [ pkg-config ];
diff --git a/pkgs/by-name/ca/cargo-pgo/package.nix b/pkgs/by-name/ca/cargo-pgo/package.nix
new file mode 100644
index 000000000000..1850fb2d85d6
--- /dev/null
+++ b/pkgs/by-name/ca/cargo-pgo/package.nix
@@ -0,0 +1,29 @@
+{ lib
+, rustPlatform
+, fetchFromGitHub
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "cargo-pgo";
+ version = "0.2.6";
+
+ src = fetchFromGitHub {
+ owner = "kobzol";
+ repo = pname;
+ rev = "v${version}";
+ hash = "sha256-u3kWYPLJYarwwudRpeBdJglP9kNbLRTYgEvZT2pBBoY=";
+ };
+
+ cargoHash = "sha256-Peicupa2vFDzPCH0OQYk7plkWIn82o45oGutOyMlI2s=";
+
+ # Integration tests do not run in Nix build environment due to needing to
+ # create and build Cargo workspaces.
+ doCheck = false;
+
+ meta = with lib; {
+ description = "Cargo subcommand for optimizing Rust binaries/libraries with PGO and BOLT";
+ homepage = "https://github.com/kobzol/cargo-pgo";
+ license = with licenses; [ mit ];
+ maintainers = with maintainers; [ dannixon ];
+ };
+}
diff --git a/pkgs/by-name/ca/cargo-rdme/package.nix b/pkgs/by-name/ca/cargo-rdme/package.nix
index 7cc47e22a641..3ee82740c3c8 100644
--- a/pkgs/by-name/ca/cargo-rdme/package.nix
+++ b/pkgs/by-name/ca/cargo-rdme/package.nix
@@ -17,6 +17,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "Cargo command to create the README.md from your crate's documentation";
+ mainProgram = "cargo-rdme";
homepage = "https://github.com/orium/cargo-rdme";
changelog = "https://github.com/orium/cargo-rdme/blob/v${version}/release-notes.md";
license = with licenses; [ mpl20 ];
diff --git a/pkgs/by-name/ca/cargo-swift/package.nix b/pkgs/by-name/ca/cargo-swift/package.nix
index 62741dc4a0f4..cc9cc989e0b3 100644
--- a/pkgs/by-name/ca/cargo-swift/package.nix
+++ b/pkgs/by-name/ca/cargo-swift/package.nix
@@ -6,19 +6,20 @@
rustPlatform.buildRustPackage rec {
pname = "cargo-swift";
- version = "0.6.0";
+ version = "0.6.1";
src = fetchFromGitHub {
owner = "antoniusnaumann";
repo = "cargo-swift";
rev = "v${version}";
- hash = "sha256-ATpEo7s/qatK7hsbNo9tE97yMpymA1xmf879WrgUluM=";
+ hash = "sha256-hTlgIPXXdhxFtK/acXITwitIg1DGgF4cCVaAxogWPrk=";
};
- cargoHash = "sha256-hKTvtPulltsxi0PX8Xmo9MYcQYuTdOOspfgLCaEKQL4=";
+ cargoHash = "sha256-6F4CX9uiCfPbgFRZ0hC/s5xT42S2V5ZgGQ+O2bHb9vg=";
meta = with lib; {
description = "A cargo plugin to easily build Swift packages from Rust code";
+ mainProgram = "cargo-swift";
homepage = "https://github.com/antoniusnaumann/cargo-swift";
license = with licenses; [ asl20 mit ];
maintainers = with maintainers; [ elliot ];
diff --git a/pkgs/by-name/ca/cargo-typify/package.nix b/pkgs/by-name/ca/cargo-typify/package.nix
index 6d62df94c517..6693776c04ed 100644
--- a/pkgs/by-name/ca/cargo-typify/package.nix
+++ b/pkgs/by-name/ca/cargo-typify/package.nix
@@ -24,6 +24,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "JSON Schema to Rust type converter";
+ mainProgram = "cargo-typify";
homepage = "https://github.com/oxidecomputer/typify";
license = with licenses; [ asl20 ];
maintainers = with maintainers; [ david-r-cox ];
diff --git a/pkgs/by-name/ca/cargo-vibe/package.nix b/pkgs/by-name/ca/cargo-vibe/package.nix
index f884dd817c1d..4caaa823264b 100644
--- a/pkgs/by-name/ca/cargo-vibe/package.nix
+++ b/pkgs/by-name/ca/cargo-vibe/package.nix
@@ -33,6 +33,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "Cargo x Buttplug.io";
+ mainProgram = "cargo-vibe";
homepage = "https://github.com/shadlock0133/cargo-vibe";
license = licenses.mit;
maintainers = with maintainers; [ _999eagle ];
diff --git a/pkgs/by-name/ca/cargo-wizard/package.nix b/pkgs/by-name/ca/cargo-wizard/package.nix
new file mode 100644
index 000000000000..69f106795239
--- /dev/null
+++ b/pkgs/by-name/ca/cargo-wizard/package.nix
@@ -0,0 +1,32 @@
+{ lib
+, rustPlatform
+, fetchFromGitHub
+, stdenv
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "cargo-wizard";
+ version = "0.2.2";
+
+ src = fetchFromGitHub {
+ owner = "kobzol";
+ repo = "cargo-wizard";
+ rev = "v${version}";
+ hash = "sha256-oFPSgjXZ+Kq59tV/7s6WPF6FHXENoZv8D245yyT0E9E=";
+ };
+
+ cargoHash = "sha256-wUMdWGUJR9dJ4XRlDFAvHwxCzLSb3WdRhrXt0kr2+Fc=";
+
+ preCheck = ''
+ export PATH=$PATH:$PWD/target/${stdenv.hostPlatform.rust.rustcTarget}/$cargoBuildType
+ '';
+
+ meta = with lib; {
+ description = "Cargo subcommand for configuring Cargo profile for best performance";
+ homepage = "https://github.com/kobzol/cargo-wizard";
+ changelog = "https://github.com/kobzol/cargo-wizard/blob/${src.rev}/CHANGELOG.md";
+ license = licenses.mit;
+ maintainers = with maintainers; [ kranzes ];
+ mainProgram = "cargo-wizard";
+ };
+}
diff --git a/pkgs/by-name/ca/cargo-xwin/package.nix b/pkgs/by-name/ca/cargo-xwin/package.nix
index b361b2a808ac..5a5cfb00fac0 100644
--- a/pkgs/by-name/ca/cargo-xwin/package.nix
+++ b/pkgs/by-name/ca/cargo-xwin/package.nix
@@ -24,6 +24,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "Cross compile Cargo project to Windows MSVC target with ease";
+ mainProgram = "cargo-xwin";
homepage = "https://github.com/rust-cross/cargo-xwin";
license = with licenses; [ mit ];
maintainers = with maintainers; [ shivaraj-bh ];
diff --git a/pkgs/by-name/ca/cavalier/deps.nix b/pkgs/by-name/ca/cavalier/deps.nix
new file mode 100644
index 000000000000..c8d4cb3f33d2
--- /dev/null
+++ b/pkgs/by-name/ca/cavalier/deps.nix
@@ -0,0 +1,44 @@
+# This file was automatically generated by passthru.fetch-deps.
+# Please dont edit it manually, your changes might get overwritten!
+
+{ fetchNuGet }: [
+ (fetchNuGet { pname = "Ace4896.DBus.Services.Secrets"; version = "1.2.0"; sha256 = "1i1rwv8z2dx0mjib7vair2w7ylngmrcpbd012sdlpvdjpx0af0bn"; })
+ (fetchNuGet { pname = "Cake.Tool"; version = "4.0.0"; sha256 = "11vc5fimi6w465081sqxs4zhw7grr6v8ga7nl1mscdl43wv33ql2"; })
+ (fetchNuGet { pname = "CommandLineParser"; version = "2.9.1"; sha256 = "1sldkj8lakggn4hnyabjj1fppqh50fkdrr1k99d4gswpbk5kv582"; })
+ (fetchNuGet { pname = "GetText.NET"; version = "1.9.14"; sha256 = "18z4cf0dldcf41z8xgj3gdlvj9w5a9ikgj72623r0i740ndnl094"; })
+ (fetchNuGet { pname = "GirCore.Adw-1"; version = "0.5.0-preview.3"; sha256 = "090kg5v99myd7hi49cz933cl36hk5n586ywy78gf5djn5im3v19l"; })
+ (fetchNuGet { pname = "GirCore.Cairo-1.0"; version = "0.5.0-preview.3"; sha256 = "0bh1h2hr6givrq6096bvzcsg4lab1hlm7r7h4bqifbw0zmmcfb7k"; })
+ (fetchNuGet { pname = "GirCore.FreeType2-2.0"; version = "0.5.0-preview.3"; sha256 = "194p44gd7r69x70j3qynv5v8awlyxmdazmzpwzgj5ayy2xpdk3hy"; })
+ (fetchNuGet { pname = "GirCore.Gdk-4.0"; version = "0.5.0-preview.3"; sha256 = "09p097nvs7vi7l14l024m39qyhg1gyqihanq7zv66xqys4hzim1g"; })
+ (fetchNuGet { pname = "GirCore.GdkPixbuf-2.0"; version = "0.5.0-preview.3"; sha256 = "0lspyra1g1rd8hj3f3daxspin5dhgplzgjh4jwhlgzzn648942j0"; })
+ (fetchNuGet { pname = "GirCore.Gio-2.0"; version = "0.5.0-preview.3"; sha256 = "090svrddgpliks5r29yncih3572w7gdc552nl16qbviqbmhr0lbs"; })
+ (fetchNuGet { pname = "GirCore.GLib-2.0"; version = "0.5.0-preview.3"; sha256 = "1wxwf24gabd69yxpnhv30rn7pcv49w885jdw3nqbrakl7pvv9fza"; })
+ (fetchNuGet { pname = "GirCore.GObject-2.0"; version = "0.5.0-preview.3"; sha256 = "0iajydyx79f3khx0fhv8izbxlzxwn6gpps2xzmi9c4v98ly221j3"; })
+ (fetchNuGet { pname = "GirCore.Graphene-1.0"; version = "0.5.0-preview.3"; sha256 = "114fbgxils50jdy891nwj70yr43lnwgbq9fzxqzywd1kk70k7mww"; })
+ (fetchNuGet { pname = "GirCore.Gsk-4.0"; version = "0.5.0-preview.3"; sha256 = "0f5s6f6pwc9vc3nm7xfaa06z2klgpg4rv5cdf0cwis3vlncd7dnj"; })
+ (fetchNuGet { pname = "GirCore.Gtk-4.0"; version = "0.5.0-preview.3"; sha256 = "1fn0b8lwlrmjm9phjq4amqnq3q70fl214115652cap5rz4rjmpgg"; })
+ (fetchNuGet { pname = "GirCore.HarfBuzz-0.0"; version = "0.5.0-preview.3"; sha256 = "0xska2l44l0j38mlgmrwly1qal9wzbv2w2jjj8gn90sxbygb8zky"; })
+ (fetchNuGet { pname = "GirCore.Pango-1.0"; version = "0.5.0-preview.3"; sha256 = "0ccw3bd3kl24mnxbjzhya11i0ln6g1g7q876pyy54cwh48x4mdia"; })
+ (fetchNuGet { pname = "GirCore.PangoCairo-1.0"; version = "0.5.0-preview.3"; sha256 = "0lds340p5cci7sjp58nh94jxkjvzfky9cbs2h4q98hglxndjm7r9"; })
+ (fetchNuGet { pname = "Markdig"; version = "0.33.0"; sha256 = "1dj06wgdqmjji4nfr1dysz7hwp5bjgsrk9qjkdq82d7gk6nmhs9r"; })
+ (fetchNuGet { pname = "Meziantou.Framework.Win32.CredentialManager"; version = "1.4.5"; sha256 = "1ikjxj6wir2jcjwlmd4q7zz0b4g40808gx59alvad31sb2aqp738"; })
+ (fetchNuGet { pname = "Microsoft.Data.Sqlite.Core"; version = "8.0.0"; sha256 = "05qjnzk1fxybks92y93487l3mj5nghjcwiy360xjgk3jykz3rv39"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.Targets"; version = "5.0.0"; sha256 = "0z3qyv7qal5irvabc8lmkh58zsl42mrzd1i0sssvzhv4q4kl3cg6"; })
+ (fetchNuGet { pname = "Microsoft.Win32.SystemEvents"; version = "8.0.0"; sha256 = "05392f41ijgn17y8pbjcx535l1k09krnq3xdp60kyq568sn6xk2i"; })
+ (fetchNuGet { pname = "Nickvision.Aura"; version = "2023.11.4"; sha256 = "0gasyglp1pgi0s6zqzmbm603j3j36vvr68grv6g93fdj2vjlmkxs"; })
+ (fetchNuGet { pname = "Octokit"; version = "9.0.0"; sha256 = "0kw49w1hxk4d2x9598012z9q1yr3ml5rm06fy1jnmhy44s3d3jp5"; })
+ (fetchNuGet { pname = "SkiaSharp"; version = "2.88.6"; sha256 = "0xs11zjw9ha68maw3l825kfwlrid43qwy0mswljxhpjh0y1k6k6b"; })
+ (fetchNuGet { pname = "SkiaSharp.NativeAssets.Linux"; version = "2.88.6"; sha256 = "0cg38xgddww1y93xrnbfn40sin63yl39j5zm7gm5pdgp5si0cf2n"; })
+ (fetchNuGet { pname = "SkiaSharp.NativeAssets.macOS"; version = "2.88.6"; sha256 = "1fp9h8c8k6sbsh48b69dc6461isd4dajq7yw5i7j6fhkas78q4zf"; })
+ (fetchNuGet { pname = "SkiaSharp.NativeAssets.Win32"; version = "2.88.6"; sha256 = "1w2mwcwkqvrg4x4ybc4674xnkqwh1n2ihg520gqgpnqfc11ghc4n"; })
+ (fetchNuGet { pname = "SQLitePCLRaw.bundle_e_sqlcipher"; version = "2.1.6"; sha256 = "15v2x7y4k7cl47a9jccbvgbwngwi5dz6qhv0cxpcasx4v5i9aila"; })
+ (fetchNuGet { pname = "SQLitePCLRaw.core"; version = "2.1.6"; sha256 = "1w8zsgz2w2q0a9cw9cl1rzrpv48a04nhyq67ywan6xlgknds65a7"; })
+ (fetchNuGet { pname = "SQLitePCLRaw.lib.e_sqlcipher"; version = "2.1.6"; sha256 = "0dl5an15whs4yl5hm2wibzbfigzck0flah8a07k99y1bhbmv080z"; })
+ (fetchNuGet { pname = "SQLitePCLRaw.provider.e_sqlcipher"; version = "2.1.6"; sha256 = "1jx8d4dq5w2951b7w722gnxbfgdklwazc48kcbdzylkglwkrqgrq"; })
+ (fetchNuGet { pname = "System.Drawing.Common"; version = "8.0.0"; sha256 = "1j4rsm36bnwqmh5br9mzmj0ikjnc39k26q6l9skjlrnw8hlngwy4"; })
+ (fetchNuGet { pname = "System.IO.Pipelines"; version = "6.0.0"; sha256 = "08211lvckdsdbd67xz4f6cyk76cli565j0dby1grlc4k9bhwby65"; })
+ (fetchNuGet { pname = "System.Memory"; version = "4.5.3"; sha256 = "0naqahm3wljxb5a911d37mwjqjdxv9l0b49p5dmfyijvni2ppy8a"; })
+ (fetchNuGet { pname = "System.Memory"; version = "4.5.5"; sha256 = "08jsfwimcarfzrhlyvjjid61j02irx6xsklf32rv57x2aaikvx0h"; })
+ (fetchNuGet { pname = "Tmds.DBus"; version = "0.15.0"; sha256 = "1bz5j6wfp9hn4fg5vjxl6mr9lva4gx6zqncqyqxrcb8lw7hvhwc6"; })
+ (fetchNuGet { pname = "Tmds.DBus.Protocol"; version = "0.15.0"; sha256 = "0d99kcs7r9cp6gpyc7z230czkkyx4164x86dhy0mca73f2ykc2g2"; })
+]
diff --git a/pkgs/by-name/ca/cavalier/package.nix b/pkgs/by-name/ca/cavalier/package.nix
new file mode 100644
index 000000000000..e563b14379dd
--- /dev/null
+++ b/pkgs/by-name/ca/cavalier/package.nix
@@ -0,0 +1,74 @@
+{ lib
+, buildDotnetModule
+, dotnetCorePackages
+, fetchFromGitHub
+, pkg-config
+, blueprint-compiler
+, glib
+, gtk4
+, libadwaita
+, wrapGAppsHook4
+, appstream-glib
+, desktop-file-utils
+, cava
+}:
+
+buildDotnetModule rec {
+ pname = "cavalier";
+ version = "2024.1.0";
+
+ src = fetchFromGitHub {
+ owner = "NickvisionApps";
+ repo = "Cavalier";
+ rev = "refs/tags/${version}";
+ hash = "sha256-SFhEKtYrlnkbLMnxU4Uf4jnFsw0MJHstgZgLLnGC2d8=";
+ };
+
+ dotnet-sdk = dotnetCorePackages.sdk_8_0;
+ dotnet-runtime = dotnetCorePackages.runtime_8_0;
+
+ projectFile = "NickvisionCavalier.GNOME/NickvisionCavalier.GNOME.csproj";
+ nugetDeps = ./deps.nix;
+ executables = "NickvisionCavalier.GNOME";
+
+ nativeBuildInputs = [
+ pkg-config
+ blueprint-compiler
+ wrapGAppsHook4
+ appstream-glib
+ desktop-file-utils
+ ];
+
+ buildInputs = [
+ glib
+ gtk4
+ libadwaita
+ ];
+
+ runtimeDeps = [
+ glib
+ gtk4
+ libadwaita
+ ];
+
+ postInstall = ''
+ substituteInPlace NickvisionCavalier.Shared/Linux/org.nickvision.cavalier.desktop.in \
+ --replace-fail '@EXEC@' "NickvisionCavalier.GNOME"
+ install -Dm444 NickvisionCavalier.Shared/Linux/org.nickvision.cavalier.desktop.in -T $out/share/applications/org.nickvision.cavalier.desktop
+ install -Dm444 NickvisionCavalier.Shared/Resources/org.nickvision.cavalier.svg -t $out/share/icons/hicolor/scalable/apps/
+ install -Dm444 NickvisionCavalier.Shared/Resources/org.nickvision.cavalier-symbolic.svg -t $out/share/icons/hicolor/symbolic/apps/
+ '';
+
+ makeWrapperArgs = [ "--prefix PATH : ${lib.makeBinPath [ cava ]}" ];
+
+ passthru.updateScript = ./update.sh;
+
+ meta = {
+ description = "Visualize audio with CAVA";
+ homepage = "https://github.com/NickvisionApps/Cavalier";
+ mainProgram = "NickvisionCavalier.GNOME";
+ license = lib.licenses.mit;
+ platforms = lib.platforms.linux;
+ maintainers = with lib.maintainers; [ zendo ];
+ };
+}
diff --git a/pkgs/by-name/ca/cavalier/update.sh b/pkgs/by-name/ca/cavalier/update.sh
new file mode 100755
index 000000000000..1dc8cd08998e
--- /dev/null
+++ b/pkgs/by-name/ca/cavalier/update.sh
@@ -0,0 +1,18 @@
+#!/usr/bin/env nix-shell
+#!nix-shell -I nixpkgs=./. -i bash -p curl jq common-updater-scripts
+#shellcheck shell=bash
+
+set -eu -o pipefail
+
+version=$(curl -s ${GITHUB_TOKEN:+-u ":$GITHUB_TOKEN"} \
+ https://api.github.com/repos/NickvisionApps/Cavalier/releases/latest | jq -e -r .tag_name)
+old_version=$(nix-instantiate --eval -A cavalier.version | jq -e -r)
+
+if [[ $version == "$old_version" ]]; then
+ echo "New version same as old version, nothing to do." >&2
+ exit 0
+fi
+
+update-source-version cavalier "$version"
+
+$(nix-build -A cavalier.fetch-deps --no-out-link) "$(dirname -- "${BASH_SOURCE[0]}")/deps.nix"
diff --git a/pkgs/by-name/cd/cdk/package.nix b/pkgs/by-name/cd/cdk/package.nix
index 410e341cf0fc..9848f293a011 100644
--- a/pkgs/by-name/cd/cdk/package.nix
+++ b/pkgs/by-name/cd/cdk/package.nix
@@ -21,6 +21,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
description = "Curses development kit";
+ mainProgram = "cdk5-config";
homepage = "https://invisible-island.net/cdk/";
changelog = "https://invisible-island.net/cdk/CHANGES.html";
license = lib.licenses.mit;
diff --git a/pkgs/by-name/ce/celeste64/deps.nix b/pkgs/by-name/ce/celeste64/deps.nix
index 9f2596b14a6f..245b7054a625 100644
--- a/pkgs/by-name/ce/celeste64/deps.nix
+++ b/pkgs/by-name/ce/celeste64/deps.nix
@@ -3,7 +3,6 @@
{ fetchNuGet }: [
(fetchNuGet { pname = "FosterFramework"; version = "0.1.18-alpha"; sha256 = "0jglck1ffdyp48sqmadlsxdddlyq45ydfzlxbrqdhszzi92ipq7a"; })
- (fetchNuGet { pname = "Microsoft.NET.ILLink.Tasks"; version = "8.0.1"; sha256 = "1drbgqdcvbpisjn8mqfgba1pwb6yri80qc4mfvyczqwrcsj5k2ja"; })
(fetchNuGet { pname = "SharpGLTF.Core"; version = "1.0.0-alpha0031"; sha256 = "0ln78mkhbcxqvwnf944hbgg24vbsva2jpih6q3x82d3h7rl1pkh6"; })
(fetchNuGet { pname = "SharpGLTF.Runtime"; version = "1.0.0-alpha0031"; sha256 = "0lvb3asi3v0n718qf9y367km7qpkb9wci38y880nqvifpzllw0jg"; })
(fetchNuGet { pname = "Sledge.Formats"; version = "1.2.2"; sha256 = "1y0l66m9rym0p1y4ifjlmg3j9lsmhkvbh38frh40rpvf1axn2dyh"; })
diff --git a/pkgs/by-name/ce/centrifugo/package.nix b/pkgs/by-name/ce/centrifugo/package.nix
index 8691b76b2d6d..1ad075da67d7 100644
--- a/pkgs/by-name/ce/centrifugo/package.nix
+++ b/pkgs/by-name/ce/centrifugo/package.nix
@@ -14,16 +14,16 @@ let
in
buildGoModule rec {
pname = "centrifugo";
- version = "5.2.2";
+ version = "5.3.0";
src = fetchFromGitHub {
owner = "centrifugal";
repo = "centrifugo";
rev = "v${version}";
- hash = "sha256-jBXg4/Uw5pFk1aCNpmHkXeUYrFwZqwtg0zYQ5zkp5QI=";
+ hash = "sha256-QA10JOy/EDzmLgQKnnPOYL5GpxfYjYmtA394JZjdrAo=";
};
- vendorHash = "sha256-s04XSTs1ISfhezvz8RfcXPlx8cstHXdRBFPIEZlKI7k=";
+ vendorHash = "sha256-fomEiAWIr9Mp0E1kdZlVVSrs2yw3ydMXSmjN/31RzCA=";
ldflags = [
"-s"
diff --git a/pkgs/by-name/ce/cert-viewer/package.nix b/pkgs/by-name/ce/cert-viewer/package.nix
new file mode 100644
index 000000000000..6fcead475a36
--- /dev/null
+++ b/pkgs/by-name/ce/cert-viewer/package.nix
@@ -0,0 +1,26 @@
+{ buildGoModule
+, fetchFromGitHub
+, lib
+}:
+
+buildGoModule rec {
+ pname = "cert-viewer";
+ version = "0.9.0";
+
+ src = fetchFromGitHub {
+ owner = "mgit-at";
+ repo = "cert-viewer";
+ rev = "refs/tags/v${version}";
+ hash = "sha256-q4FLKH0ZA/79zLo7dt+CSOjfKyygTiQKSuungQTtue0=";
+ };
+
+ vendorHash = "sha256-55zDUAe5s+03/OnDcK1DqmMUpFO2sBaVjEk6vbrHgzY=";
+
+ meta = {
+ description = "Admin tool to view and inspect multiple x509 Certificates";
+ homepage = "https://github.com/mgit-at/cert-viewer";
+ license = lib.licenses.apsl20;
+ maintainers = [ lib.maintainers.mkg20001 ];
+ mainProgram = "cert-viewer";
+ };
+}
diff --git a/pkgs/by-name/ce/certi/package.nix b/pkgs/by-name/ce/certi/package.nix
new file mode 100644
index 000000000000..90e929114b4d
--- /dev/null
+++ b/pkgs/by-name/ce/certi/package.nix
@@ -0,0 +1,39 @@
+{ lib
+, fetchFromGitHub
+, python3
+}:
+
+python3.pkgs.buildPythonApplication rec {
+ pname = "certi";
+ version = "0.1.0-unstable-2023-01-27";
+ pyproject = true;
+
+ src = fetchFromGitHub {
+ owner = "zer1t0";
+ repo = "certi";
+ # https://github.com/zer1t0/certi/issues/6
+ rev = "6cfa656c6c0fcbbe9b9bce847b052c881202354e";
+ hash = "sha256-6j/Lwq68qyfEAo5MRibgdomrCO4KEd/DlAEwB+Z52Hc=";
+ };
+
+ nativeBuildInputs = with python3.pkgs; [
+ setuptools
+ ];
+
+ propagatedBuildInputs = with python3.pkgs; [
+ cryptography
+ impacket
+ ];
+
+ pythonImportsCheck = [
+ "certilib"
+ ];
+
+ meta = with lib; {
+ description = "ADCS abuser";
+ homepage = "https://github.com/zer1t0/certi";
+ license = licenses.agpl3Only;
+ maintainers = with maintainers; [ fab ];
+ mainProgram = "certi";
+ };
+}
diff --git a/pkgs/by-name/ce/certificate-ripper/fix-test-temp-dir-path.patch b/pkgs/by-name/ce/certificate-ripper/fix-test-temp-dir-path.patch
new file mode 100644
index 000000000000..ff9b7d3bebd5
--- /dev/null
+++ b/pkgs/by-name/ce/certificate-ripper/fix-test-temp-dir-path.patch
@@ -0,0 +1,13 @@
+diff --git a/src/test/java/nl/altindag/crip/command/FileBaseTest.java b/src/test/java/nl/altindag/crip/command/FileBaseTest.java
+index 674ca10..f140601 100644
+--- a/src/test/java/nl/altindag/crip/command/FileBaseTest.java
++++ b/src/test/java/nl/altindag/crip/command/FileBaseTest.java
+@@ -26,7 +26,7 @@ import java.util.stream.Collectors;
+
+ public class FileBaseTest extends BaseTest {
+
+- protected static final Path TEMP_DIRECTORY = Paths.get(System.getProperty("user.home"), "certificate-ripper-temp");
++ protected static final Path TEMP_DIRECTORY = Paths.get(System.getenv("TMP"), "certificate-ripper-temp");
+
+ @BeforeEach
+ void createTempDirAndClearConsoleCaptor() throws IOException {
diff --git a/pkgs/by-name/ce/certificate-ripper/package.nix b/pkgs/by-name/ce/certificate-ripper/package.nix
new file mode 100644
index 000000000000..439d8079d764
--- /dev/null
+++ b/pkgs/by-name/ce/certificate-ripper/package.nix
@@ -0,0 +1,61 @@
+{ lib
+, maven
+, fetchFromGitHub
+, buildGraalvmNativeImage
+}:
+
+let
+ pname = "certificate-ripper";
+ version = "2.3.0";
+
+ jar = maven.buildMavenPackage {
+ pname = "${pname}-jar";
+ inherit version;
+
+ src = fetchFromGitHub {
+ owner = "Hakky54";
+ repo = "certificate-ripper";
+ rev = version;
+ hash = "sha256-q/UhKLFAre3YUH2W7e+SH4kRM0GIZAUyNJFDm02eL+8=";
+ };
+
+ patches = [
+ ./pin-default-maven-plguin-versions.patch
+ ./fix-test-temp-dir-path.patch
+ ];
+
+ mvnHash = "sha256-/iy7DXBAyq8TIpvrd2WAQh+9OApfxCWo1NoGwbzbq7s=";
+
+ mvnParameters = lib.escapeShellArgs [
+ "-Dproject.build.outputTimestamp=1980-01-01T00:00:02Z" # make timestamp deterministic
+ "-Dtest=!PemExportCommandShould#resolveRootCaOnlyWhenEnabled" # disable test using network
+ ];
+
+ installPhase = ''
+ install -Dm644 target/crip.jar $out
+ '';
+ };
+in
+buildGraalvmNativeImage {
+ inherit pname version;
+
+ src = jar;
+
+ executable = "crip";
+
+ # Copied from pom.xml
+ extraNativeImageBuildArgs = [
+ "--no-fallback"
+ "-H:ReflectionConfigurationResources=graalvm_config.json"
+ "-H:EnableURLProtocols=https"
+ "-H:EnableURLProtocols=http"
+ ];
+
+ meta = {
+ changelog = "https://github.com/Hakky54/certificate-ripper/releases/tag/${version}";
+ description = "A CLI tool to extract server certificates";
+ homepage = "https://github.com/Hakky54/certificate-ripper";
+ license = lib.licenses.asl20;
+ maintainers = with lib.maintainers; [ tomasajt ];
+ };
+}
diff --git a/pkgs/by-name/ce/certificate-ripper/pin-default-maven-plguin-versions.patch b/pkgs/by-name/ce/certificate-ripper/pin-default-maven-plguin-versions.patch
new file mode 100644
index 000000000000..bce8fab057a7
--- /dev/null
+++ b/pkgs/by-name/ce/certificate-ripper/pin-default-maven-plguin-versions.patch
@@ -0,0 +1,60 @@
+diff --git a/pom.xml b/pom.xml
+index dd0075d..46ac184 100644
+--- a/pom.xml
++++ b/pom.xml
+@@ -103,6 +103,55 @@
+
+
+
++
++ org.apache.maven.plugins
++ maven-enforcer-plugin
++ 3.4.1
++
++
++ enforce-plugin-versions
++
++ enforce
++
++
++
++
++
++
++
++
++
++
++ org.apache.maven.plugins
++ maven-deploy-plugin
++ 3.1.1
++
++
++ org.apache.maven.plugins
++ maven-resources-plugin
++ 3.3.1
++
++
++ org.apache.maven.plugins
++ maven-site-plugin
++ 4.0.0-M13
++
++
++ org.apache.maven.plugins
++ maven-install-plugin
++ 3.1.1
++
++
++ org.apache.maven.plugins
++ maven-clean-plugin
++ 3.3.2
++
++
++ org.apache.maven.plugins
++ maven-jar-plugin
++ 3.3.0
++
++
+
+ org.apache.maven.plugins
+ maven-compiler-plugin
diff --git a/pkgs/by-name/ce/certmgr/package.nix b/pkgs/by-name/ce/certmgr/package.nix
index 7b7ccbf34d68..e5579125a2ca 100644
--- a/pkgs/by-name/ce/certmgr/package.nix
+++ b/pkgs/by-name/ce/certmgr/package.nix
@@ -21,6 +21,7 @@ buildGoModule rec {
meta = with lib; {
homepage = "https://cfssl.org/";
description = "Cloudflare's automated certificate management using a CFSSL CA";
+ mainProgram = "certmgr";
platforms = platforms.linux;
license = licenses.bsd2;
maintainers = with maintainers; [ johanot srhb ];
diff --git a/pkgs/by-name/ch/chawan/mancha-augment-path.diff b/pkgs/by-name/ch/chawan/mancha-augment-path.diff
new file mode 100644
index 000000000000..cbe4f6aed80e
--- /dev/null
+++ b/pkgs/by-name/ch/chawan/mancha-augment-path.diff
@@ -0,0 +1,15 @@
+Add the -m option to man's command line to augment the list of paths
+searched by man. The string "OUT" must be substituted with chawan's $out
+path after patching.
+The required -m option is only available in the mandoc implementation.
+--- a/adapter/protocol/man
++++ b/adapter/protocol/man
+@@ -75,7 +75,7 @@ EOF
+
+ $section =~ s:([^-\w\200-\377.,])::g;
+ $man =~ s:([^-\w\200-\377.,])::g;
+- open(F, "GROFF_NO_SGR=1 MAN_KEEP_FORMATTING=1 $MAN $section $man 2> /dev/null |");
++ open(F, "GROFF_NO_SGR=1 MAN_KEEP_FORMATTING=1 $MAN -m OUT/share/man $section $man 2> /dev/null |");
+ }
+
+ $ok = 0;
diff --git a/pkgs/by-name/ch/chawan/package.nix b/pkgs/by-name/ch/chawan/package.nix
new file mode 100644
index 000000000000..4a52e998fc7c
--- /dev/null
+++ b/pkgs/by-name/ch/chawan/package.nix
@@ -0,0 +1,76 @@
+{ lib
+, stdenv
+, fetchFromSourcehut
+, makeBinaryWrapper
+, curlMinimal
+, mandoc
+, ncurses
+, nim
+, pandoc
+, perl
+, pkg-config
+, zlib
+}:
+
+stdenv.mkDerivation {
+ pname = "chawan";
+ version = "0-unstable-2024-03-01";
+
+ src = fetchFromSourcehut {
+ owner = "~bptato";
+ repo = "chawan";
+ rev = "87ba9a87be15abbe06837f1519cfb76f4bf759f3";
+ hash = "sha256-Xs+Mxe5/uoxPMf4FuelpO+bRJ1KdfASVI7rWqtboJZw=";
+ fetchSubmodules = true;
+ };
+
+ patches = [
+ # Include chawan's man pages in mancha's search path
+ ./mancha-augment-path.diff
+ ];
+
+ env.NIX_CFLAGS_COMPILE = toString (
+ lib.optional stdenv.cc.isClang "-Wno-error=implicit-function-declaration"
+ );
+
+ buildInputs = [ curlMinimal ncurses perl zlib ];
+ nativeBuildInputs = [
+ makeBinaryWrapper
+ nim
+ pandoc
+ pkg-config
+ ];
+
+ postPatch = ''
+ substituteInPlace adapter/protocol/man \
+ --replace-fail "OUT" $out
+ '';
+
+ buildFlags = [ "all" "manpage" ];
+ installFlags = [
+ "DESTDIR=$(out)"
+ "PREFIX=/"
+ ];
+
+ postInstall =
+ let
+ makeWrapperArgs = ''
+ --set MANCHA_CHA $out/bin/cha \
+ --set MANCHA_MAN ${mandoc}/bin/man
+ '';
+ in
+ ''
+ wrapProgram $out/bin/cha ${makeWrapperArgs}
+ wrapProgram $out/bin/mancha ${makeWrapperArgs}
+ '';
+
+ meta = {
+ description = "Lightweight and featureful terminal web browser";
+ homepage = "https://sr.ht/~bptato/chawan/";
+ license = lib.licenses.publicDomain;
+ platforms = lib.platforms.unix;
+ maintainers = with lib.maintainers; [ jtbx ];
+ mainProgram = "cha";
+ broken = stdenv.isDarwin; # pending PR #292043
+ };
+}
diff --git a/pkgs/by-name/ch/chess-clock/package.nix b/pkgs/by-name/ch/chess-clock/package.nix
index f8fc0f9baa9a..9e36fc97d00a 100644
--- a/pkgs/by-name/ch/chess-clock/package.nix
+++ b/pkgs/by-name/ch/chess-clock/package.nix
@@ -45,6 +45,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Time games of over-the-board chess";
+ mainProgram = "chess-clock";
homepage = "https://gitlab.gnome.org/World/chess-clock";
changelog = "https://gitlab.gnome.org/World/chess-clock/-/releases/v${version}";
license = licenses.gpl3Plus;
diff --git a/pkgs/by-name/ch/chromatic/package.nix b/pkgs/by-name/ch/chromatic/package.nix
new file mode 100644
index 000000000000..7eaae7818543
--- /dev/null
+++ b/pkgs/by-name/ch/chromatic/package.nix
@@ -0,0 +1,69 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, rustPlatform
+, meson
+, ninja
+, pkg-config
+, rustc
+, cargo
+, wrapGAppsHook4
+, desktop-file-utils
+, libxml2
+, libadwaita
+, portaudio
+, libpulseaudio
+}:
+
+stdenv.mkDerivation rec {
+ pname = "chromatic";
+ version = "0-unstable-2023-08-05";
+
+ src = fetchFromGitHub {
+ owner = "nate-xyz";
+ repo = "chromatic";
+ rev = "ffaeb50dcce74bf3ba1b05f98423cf48f205f55e";
+ hash = "sha256-E3v3UoQumBBYDOiXMfCRh5J7bfUCkettHth7SAresCE=";
+ };
+
+ cargoDeps = rustPlatform.fetchCargoTarball {
+ inherit src;
+ name = "${pname}-${version}";
+ hash = "sha256-M3UMeGkLf57/I/9BIkyiMpOvjbKQJrOk+axf05vRoW0=";
+ };
+
+ nativeBuildInputs = [
+ meson
+ ninja
+ pkg-config
+ rustPlatform.cargoSetupHook
+ rustc
+ cargo
+ wrapGAppsHook4
+ desktop-file-utils
+ libxml2.bin # xmllint
+ ];
+
+ buildInputs = [
+ libadwaita
+ portaudio
+ libpulseaudio
+ ];
+
+ meta = with lib; {
+ description = "Fine-tune your instruments";
+ longDescription = ''
+ Fine-tune your instruments with Chromatic. Chromatic
+ detects the frequency of audio input, converts it to
+ a musical note with the correct semitone and octave,
+ and displays the cents error. Cents are displayed on
+ an analog gauge to make tuning more visually intuitive.
+ Requires PulseAudio or PipeWire.
+ '';
+ homepage = "https://github.com/nate-xyz/chromatic";
+ license = licenses.gpl3Plus;
+ mainProgram = "chromatic";
+ maintainers = with maintainers; [ aleksana ];
+ platforms = platforms.linux;
+ };
+}
diff --git a/pkgs/applications/misc/chrysalis/default.nix b/pkgs/by-name/ch/chrysalis/package.nix
similarity index 86%
rename from pkgs/applications/misc/chrysalis/default.nix
rename to pkgs/by-name/ch/chrysalis/package.nix
index 0852e886c54b..ab4b5b5f3f8f 100644
--- a/pkgs/applications/misc/chrysalis/default.nix
+++ b/pkgs/by-name/ch/chrysalis/package.nix
@@ -2,13 +2,13 @@
let
pname = "chrysalis";
- version = "0.13.2";
+ version = "0.13.3";
name = "${pname}-${version}-binary";
src = fetchurl {
url =
"https://github.com/keyboardio/${pname}/releases/download/v${version}/${pname}-${version}-x64.AppImage";
hash =
- "sha512-WuItdQ/hDxbZZ3zulHI74NUkuYfesV/31rA1gPakCFgX2hpPrmKzwUez2vqt4N5qrGyphrR0bcelUatGZhOn5A==";
+ "sha512-F6Y87rgIclj1OA3gVX/gqqp9AvXKQlBXrbqk/26F1KHPF9NzHJgVmeszSo3Nhb6xg4CzWmzkqc8IW2H/Bg57kw==";
};
appimageContents = appimageTools.extract { inherit name src; };
in appimageTools.wrapType2 rec {
@@ -38,11 +38,13 @@ in appimageTools.wrapType2 rec {
install -Dm444 ${appimageContents}/usr/share/icons/hicolor/256x256/chrysalis.png -t $out/share/pixmaps
'';
+ passthru.updateScript = ./update.sh;
+
meta = with lib; {
description = "A graphical configurator for Kaleidoscope-powered keyboards";
homepage = "https://github.com/keyboardio/Chrysalis";
license = licenses.gpl3Only;
- maintainers = with maintainers; [ aw ];
+ maintainers = with maintainers; [ aw eclairevoyant nshalman ];
platforms = [ "x86_64-linux" ];
mainProgram = "chrysalis";
};
diff --git a/pkgs/by-name/ch/chrysalis/update.sh b/pkgs/by-name/ch/chrysalis/update.sh
new file mode 100644
index 000000000000..182d47a9285a
--- /dev/null
+++ b/pkgs/by-name/ch/chrysalis/update.sh
@@ -0,0 +1,16 @@
+#! /usr/bin/env nix-shell
+#! nix-shell -i bash --pure -p curl cacert jq
+
+set -euo pipefail
+
+cd "$(dirname "${BASH_SOURCE[0]}")"
+DRV_DIR="$PWD"
+
+relinfo=$(curl -sL 'https://api.github.com/repos/keyboardio/chrysalis/releases' | jq 'map(select(.prerelease == false)) | max_by(.tag_name)')
+newver=$(echo "$relinfo" | jq --raw-output '.tag_name' | sed 's|^v||')
+hashurl=$(echo "$relinfo" | jq --raw-output '.assets[] | select(.name == "latest-linux.yml").browser_download_url')
+newhash=$(curl -sL "$hashurl" | grep -Po '^sha512: \K.*')
+
+sed -i package.nix \
+ -e "/^ version =/ s|\".*\"|\"$newver\"|" \
+ -e "/sha512-/ s|\".*\"|\"sha512-$newhash\"|" \
diff --git a/pkgs/by-name/ci/cidr-merger/package.nix b/pkgs/by-name/ci/cidr-merger/package.nix
index 3d0612071609..def8f4080933 100644
--- a/pkgs/by-name/ci/cidr-merger/package.nix
+++ b/pkgs/by-name/ci/cidr-merger/package.nix
@@ -18,6 +18,7 @@ buildGoModule rec {
meta = with lib; {
description = "A simple command line tool to merge ip/ip cidr/ip range, supports IPv4/IPv6";
+ mainProgram = "cidr-merger";
homepage = "https://github.com/zhanhb/cidr-merger";
license = licenses.mit;
maintainers = with maintainers; [ cyounkins ];
diff --git a/pkgs/by-name/ci/cimg/package.nix b/pkgs/by-name/ci/cimg/package.nix
index baf202967c23..1f2bb7f10a77 100644
--- a/pkgs/by-name/ci/cimg/package.nix
+++ b/pkgs/by-name/ci/cimg/package.nix
@@ -7,13 +7,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "cimg";
- version = "3.3.3";
+ version = "3.3.5";
src = fetchFromGitHub {
owner = "GreycLab";
repo = "CImg";
rev = "v.${finalAttrs.version}";
- hash = "sha256-6rgtFBt2GcxuGWd4+/ZZzsJqr3XrnhEzJEPLgOt4G2Q=";
+ hash = "sha256-QdQhMEY6Zl3qdvRIeDv/12TL4HMrNJSAQ66vQymOrBU=";
};
outputs = [ "out" "doc" ];
diff --git a/pkgs/by-name/ci/circom/package.nix b/pkgs/by-name/ci/circom/package.nix
index 4601704293d4..f142a7fc234a 100644
--- a/pkgs/by-name/ci/circom/package.nix
+++ b/pkgs/by-name/ci/circom/package.nix
@@ -19,6 +19,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "zkSnark circuit compiler";
+ mainProgram = "circom";
homepage = "https://github.com/iden3/circom";
changelog = "https://github.com/iden3/circom/blob/${src.rev}/RELEASES.md";
license = licenses.gpl3Only;
diff --git a/pkgs/by-name/ci/ciscoPacketTracer8/package.nix b/pkgs/by-name/ci/ciscoPacketTracer8/package.nix
index 5784c156e956..9dbd32c31b3f 100644
--- a/pkgs/by-name/ci/ciscoPacketTracer8/package.nix
+++ b/pkgs/by-name/ci/ciscoPacketTracer8/package.nix
@@ -29,19 +29,25 @@
let
hashes = {
- "8.2.0" = "1b19885d59f6130ee55414fb02e211a1773460689db38bfd1ac7f0d45117ed16";
- "8.2.1" = "1fh79r4fnh9gjxjh39gcp4j7npgs5hh3qhrhx74x8x546an3i0s2";
+ "8.2.0" = "sha256-GxmIXVn2Ew7lVBT7AuIRoXc0YGids4v9Gsfw1FEX7RY=";
+ "8.2.1" = "sha256-QoM4rDKkdNTJ6TBDPCAs+l17JLnspQFlly9B60hOB7o=";
+ "8.2.2" = "sha256-bNK4iR35LSyti2/cR0gPwIneCFxPP+leuA1UUKKn9y0=";
+ };
+ names = {
+ "8.2.0" = "CiscoPacketTracer_820_Ubuntu_64bit.deb";
+ "8.2.1" = "CiscoPacketTracer_821_Ubuntu_64bit.deb";
+ "8.2.2" = "CiscoPacketTracer822_amd64_signed.deb";
};
in
stdenvNoCC.mkDerivation rec {
pname = "ciscoPacketTracer8";
- version = "8.2.1";
+ version = "8.2.2";
src = requireFile {
- name = "CiscoPacketTracer_${builtins.replaceStrings ["."] [""] version}_Ubuntu_64bit.deb";
- sha256 = hashes.${version};
+ name = names.${version};
+ hash = hashes.${version};
url = "https://www.netacad.com";
};
@@ -114,5 +120,6 @@ stdenvNoCC.mkDerivation rec {
license = licenses.unfree;
maintainers = with maintainers; [ lucasew ];
platforms = [ "x86_64-linux" ];
+ mainProgram = "packettracer8";
};
}
diff --git a/pkgs/by-name/cl/clamtk/package.nix b/pkgs/by-name/cl/clamtk/package.nix
index 3c85528a6e63..b34850c92599 100644
--- a/pkgs/by-name/cl/clamtk/package.nix
+++ b/pkgs/by-name/cl/clamtk/package.nix
@@ -67,6 +67,7 @@ perlPackages.buildPerlPackage rec {
description = ''
Easy to use, lightweight front-end for ClamAV (Clam Antivirus).
'';
+ mainProgram = "clamtk";
license = licenses.gpl1Plus;
homepage = "https://github.com/dave-theunsub/clamtk";
platforms = platforms.linux;
diff --git a/pkgs/by-name/cl/clangbuildanalyzer/package.nix b/pkgs/by-name/cl/clangbuildanalyzer/package.nix
new file mode 100644
index 000000000000..21b67fcf44c4
--- /dev/null
+++ b/pkgs/by-name/cl/clangbuildanalyzer/package.nix
@@ -0,0 +1,25 @@
+{ stdenv, lib, cmake, fetchFromGitHub }:
+stdenv.mkDerivation (finalAttrs: {
+ pname = "clangbuildanalyzer";
+ version = "1.5.0";
+
+ src = fetchFromGitHub {
+ owner = "aras-p";
+ repo = "ClangBuildAnalyzer";
+ rev = "v${finalAttrs.version}";
+ sha256 = "sha256-kmgdk634zM0W0OoRoP/RzepArSipa5bNqdVgdZO9gxo=";
+ };
+
+ nativeBuildInputs = [
+ cmake
+ ];
+
+ meta = {
+ description = "Tool for analyzing Clang's -ftime-trace files";
+ homepage = "https://github.com/aras-p/ClangBuildAnalyzer";
+ maintainers = with lib.maintainers; [ lf- ];
+ license = lib.licenses.unlicense;
+ platforms = lib.platforms.unix;
+ mainProgram = "ClangBuildAnalyzer";
+ };
+})
diff --git a/pkgs/by-name/cl/clash-meta/package.nix b/pkgs/by-name/cl/clash-meta/package.nix
new file mode 100644
index 000000000000..552a8729e861
--- /dev/null
+++ b/pkgs/by-name/cl/clash-meta/package.nix
@@ -0,0 +1,13 @@
+{ mihomo }:
+
+mihomo.overrideAttrs (finalAttrs: previousAttrs: {
+ pname = "clash-meta";
+
+ postInstall = ''
+ mv $out/bin/${previousAttrs.meta.mainProgram} $out/bin/${finalAttrs.meta.mainProgram}
+ '';
+
+ meta = previousAttrs.meta // {
+ mainProgram = "clash-meta";
+ };
+})
diff --git a/pkgs/by-name/cl/clash-nyanpasu/package.nix b/pkgs/by-name/cl/clash-nyanpasu/package.nix
new file mode 100644
index 000000000000..90da8892b094
--- /dev/null
+++ b/pkgs/by-name/cl/clash-nyanpasu/package.nix
@@ -0,0 +1,23 @@
+{ lib
+, clash-verge
+, mihomo
+, fetchurl
+}:
+
+(clash-verge.override {
+ clash-meta = mihomo;
+}).overrideAttrs (old: rec {
+ pname = "clash-nyanpasu";
+ version = "1.4.5";
+
+ src = fetchurl {
+ url = "https://github.com/keiko233/clash-nyanpasu/releases/download/v${version}/clash-nyanpasu_${version}_amd64.deb";
+ hash = "sha256-cxaq7Rndf0ytEaqc7CGQix5SOAdsTOoTj1Jlhjr5wEA=";
+ };
+
+ meta = old.meta // (with lib; {
+ homepage = "https://github.com/keiko233/clash-nyanpasu";
+ maintainers = with maintainers; [ Guanran928 ];
+ mainProgram = "clash-nyanpasu";
+ });
+})
diff --git a/pkgs/by-name/cl/clash-verge-rev/package.nix b/pkgs/by-name/cl/clash-verge-rev/package.nix
new file mode 100644
index 000000000000..576a994c1e73
--- /dev/null
+++ b/pkgs/by-name/cl/clash-verge-rev/package.nix
@@ -0,0 +1,19 @@
+{ lib
+, clash-verge
+, fetchurl
+}:
+
+clash-verge.overrideAttrs (old: rec {
+ pname = "clash-verge-rev";
+ version = "1.5.10";
+
+ src = fetchurl {
+ url = "https://github.com/clash-verge-rev/clash-verge-rev/releases/download/v${version}/clash-verge_${version}_amd64.deb";
+ hash = "sha256-xv6xKAjpHL4eyFEcgiuHZQi3EDhYkzGHAKbcKm4rIGk=";
+ };
+
+ meta = old.meta // (with lib; {
+ homepage = "https://github.com/clash-verge-rev/clash-verge-rev";
+ maintainers = with maintainers; [ Guanran928 ];
+ });
+})
diff --git a/pkgs/applications/networking/clash-verge/default.nix b/pkgs/by-name/cl/clash-verge/package.nix
similarity index 86%
rename from pkgs/applications/networking/clash-verge/default.nix
rename to pkgs/by-name/cl/clash-verge/package.nix
index f83583283d4f..1a8d6a99198e 100644
--- a/pkgs/applications/networking/clash-verge/default.nix
+++ b/pkgs/by-name/cl/clash-verge/package.nix
@@ -9,6 +9,7 @@
, webkitgtk
, udev
, libayatana-appindicator
+, nix-update-script
}:
stdenv.mkDerivation rec {
@@ -42,15 +43,17 @@ stdenv.mkDerivation rec {
mkdir -p $out/bin
mv usr/* $out
- rm $out/bin/{clash,clash-meta}
runHook postInstall
'';
postFixup = ''
- ln -s ${lib.getExe clash-meta} $out/bin/clash-meta
+ rm -f $out/bin/clash
+ ln -sf ${lib.getExe clash-meta} $out/bin/${clash-meta.meta.mainProgram}
'';
+ passthru.updateScript = nix-update-script { };
+
meta = with lib; {
description = "A Clash GUI based on tauri";
homepage = "https://github.com/zzzgydi/clash-verge";
diff --git a/pkgs/by-name/cl/cld2/package.nix b/pkgs/by-name/cl/cld2/package.nix
new file mode 100644
index 000000000000..bf28b160f2ba
--- /dev/null
+++ b/pkgs/by-name/cl/cld2/package.nix
@@ -0,0 +1,50 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, cmake
+, fetchpatch
+}:
+
+stdenv.mkDerivation {
+ pname = "cld2";
+ version = "unstable-2015-08-21";
+
+ src = fetchFromGitHub {
+ owner = "CLD2Owners";
+ repo = "cld2";
+ rev = "b56fa78a2fe44ac2851bae5bf4f4693a0644da7b";
+ hash = "sha256-YhXs45IbriKWKULguZM4DgfV/Fzr73VHxA1pFTXCyv8=";
+ };
+
+ patches = [
+ (fetchpatch {
+ name = "add-cmakelists.txt";
+ url = "https://github.com/CLD2Owners/cld2/pull/65/commits/9cfac02c2ac7802ab7079560b38a474473c45f51.patch";
+ hash = "sha256-uOjmUk8kMFl+wED44ErXoLRyblhgDwFx9K1Wj65Omh8=";
+ })
+ ];
+
+ nativeBuildInputs = [ cmake ];
+
+ meta = with lib; {
+ homepage = "https://github.com/CLD2Owners/cld2";
+ description = "Compact Language Detector 2";
+ longDescription = ''
+ CLD2 probabilistically detects over 80 languages in Unicode UTF-8 text,
+ either plain text or HTML/XML. Legacy encodings must be converted to valid
+ UTF-8 by the caller. For mixed-language input, CLD2 returns the top three
+ languages found and their approximate percentages of the total text bytes
+ (e.g. 80% English and 20% French out of 1000 bytes of text means about 800
+ bytes of English and 200 bytes of French). Optionally, it also returns a
+ vector of text spans with the language of each identified. This may be
+ useful for applying different spelling-correction dictionaries or
+ different machine translation requests to each span. The design target is
+ web pages of at least 200 characters (about two sentences); CLD2 is not
+ designed to do well on very short text, lists of proper names, part
+ numbers, etc.
+ '';
+ license = licenses.asl20;
+ maintainers = with maintainers; [ chvp ];
+ platforms = platforms.all;
+ };
+}
diff --git a/pkgs/by-name/cl/clipse/package.nix b/pkgs/by-name/cl/clipse/package.nix
new file mode 100644
index 000000000000..af4b96385d16
--- /dev/null
+++ b/pkgs/by-name/cl/clipse/package.nix
@@ -0,0 +1,26 @@
+{ lib
+, buildGoModule
+, fetchFromGitHub
+}:
+
+buildGoModule rec {
+ pname = "clipse";
+ version = "0.0.6";
+
+ src = fetchFromGitHub {
+ owner = "savedra1";
+ repo = "clipse";
+ rev = "v${version}";
+ hash = "sha256-DLvYTPlLkp98zCzmbeL68B7mHl7RY3ee9rL30vYm5Ow=";
+ };
+
+ vendorHash = "sha256-GIUEx4h3xvLySjBAQKajby2cdH8ioHkv8aPskHN0V+w=";
+
+ meta = {
+ description = "Useful clipboard manager TUI for Unix";
+ homepage = "https://github.com/savedra1/clipse";
+ license = lib.licenses.mit;
+ mainProgram = "clipse";
+ maintainers = [ lib.maintainers.savedra1 ];
+ };
+}
diff --git a/pkgs/by-name/cl/cloudrecon/package.nix b/pkgs/by-name/cl/cloudrecon/package.nix
new file mode 100644
index 000000000000..45b50ffcaed8
--- /dev/null
+++ b/pkgs/by-name/cl/cloudrecon/package.nix
@@ -0,0 +1,32 @@
+{ lib
+, buildGoModule
+, fetchFromGitHub
+}:
+
+buildGoModule rec {
+ pname = "cloudrecon";
+ version = "1.0.4";
+
+ src = fetchFromGitHub {
+ owner = "g0ldencybersec";
+ repo = "CloudRecon";
+ rev = "refs/tags/v${version}";
+ hash = "sha256-SslHkwoMelvszrQZvNX28EokBgwnPDBbTUBA9jdJPro=";
+ };
+
+ vendorHash = "sha256-hLEmRq7Iw0hHEAla0Ehwk1EfmpBv6ddBuYtq12XdhVc=";
+
+ ldflags = [
+ "-s"
+ "-w"
+ ];
+
+ meta = with lib; {
+ description = "Tool to find assets from certificates";
+ homepage = "https://github.com/g0ldencybersec/CloudRecon";
+ changelog = "https://github.com/g0ldencybersec/CloudRecon/releases/tag/v${version}";
+ license = licenses.mit;
+ maintainers = with maintainers; [ fab ];
+ mainProgram = "cloudrecon";
+ };
+}
diff --git a/pkgs/by-name/cl/clzip/package.nix b/pkgs/by-name/cl/clzip/package.nix
index 19ec4347a1e7..d2e17c5e14a0 100644
--- a/pkgs/by-name/cl/clzip/package.nix
+++ b/pkgs/by-name/cl/clzip/package.nix
@@ -15,6 +15,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
homepage = "https://www.nongnu.org/lzip/clzip.html";
description = "C language version of lzip";
+ mainProgram = "clzip";
license = licenses.gpl2Plus;
maintainers = with maintainers; [ ];
platforms = platforms.all;
diff --git a/pkgs/by-name/cm/cmake/005-remove-systemconfiguration-dep.diff b/pkgs/by-name/cm/cmake/005-remove-systemconfiguration-dep.diff
index ffd3077d301e..16bcbbd02fda 100644
--- a/pkgs/by-name/cm/cmake/005-remove-systemconfiguration-dep.diff
+++ b/pkgs/by-name/cm/cmake/005-remove-systemconfiguration-dep.diff
@@ -1,10 +1,10 @@
-diff -Naur cmake-3.27.7/Utilities/cmcurl/CMakeLists.txt cmake-3.27.7-new/Utilities/cmcurl/CMakeLists.txt
---- cmake-3.27.7/Utilities/cmcurl/CMakeLists.txt 2023-10-06 10:08:35.000000000 -0300
-+++ cmake-3.27.7-new/Utilities/cmcurl/CMakeLists.txt 2023-10-22 21:51:09.231609901 -0300
-@@ -414,13 +414,6 @@
+diff -Naur cmake-3.28.2/Utilities/cmcurl/CMakeLists.txt cmake-3.28.2-new/Utilities/cmcurl/CMakeLists.txt
+--- cmake-3.28.2/Utilities/cmcurl/CMakeLists.txt 2024-01-29 23:01:32.000000000 +0300
++++ cmake-3.28.2-new/Utilities/cmcurl/CMakeLists.txt 2024-02-16 13:09:40.805479195 +0300
+@@ -470,13 +470,6 @@
if(CMAKE_SYSTEM_NAME STREQUAL "Darwin" AND NOT ENABLE_ARES)
- set(use_core_foundation ON)
+ set(use_core_foundation_and_core_services ON)
-
- find_library(SYSTEMCONFIGURATION_FRAMEWORK "SystemConfiguration")
- if(NOT SYSTEMCONFIGURATION_FRAMEWORK)
@@ -15,56 +15,19 @@ diff -Naur cmake-3.27.7/Utilities/cmcurl/CMakeLists.txt cmake-3.27.7-new/Utiliti
endif()
endif()
-diff -Naur cmake-3.27.7/Utilities/cmcurl/lib/curl_setup.h cmake-3.27.7-new/Utilities/cmcurl/lib/curl_setup.h
---- cmake-3.27.7/Utilities/cmcurl/lib/curl_setup.h 2023-10-06 10:08:35.000000000 -0300
-+++ cmake-3.27.7-new/Utilities/cmcurl/lib/curl_setup.h 2023-10-22 21:52:00.214748294 -0300
-@@ -260,11 +260,7 @@
+diff -Naur cmake-3.28.2/Utilities/cmcurl/lib/curl_setup.h cmake-3.28.2-new/Utilities/cmcurl/lib/curl_setup.h
+--- cmake-3.28.2/Utilities/cmcurl/lib/curl_setup.h 2024-01-29 23:01:32.000000000 +0300
++++ cmake-3.28.2-new/Utilities/cmcurl/lib/curl_setup.h 2024-02-16 13:10:38.822445566 +0300
+@@ -255,12 +255,6 @@
* performing this task will result in a synthesized IPv6 address.
*/
#if defined(__APPLE__) && !defined(USE_ARES)
-#include
- #define USE_RESOLVE_ON_IPS 1
--# if defined(TARGET_OS_OSX) && TARGET_OS_OSX
--# define CURL_OSX_CALL_COPYPROXIES 1
+-#define USE_RESOLVE_ON_IPS 1
+-# if TARGET_OS_MAC && !(defined(TARGET_OS_IPHONE) && TARGET_OS_IPHONE) && \
+- defined(ENABLE_IPV6)
+-# define CURL_MACOS_CALL_COPYPROXIES 1
-# endif
#endif
#ifdef USE_LWIPSOCK
-diff -Naur cmake-3.27.7/Utilities/cmcurl/lib/hostip.c cmake-3.27.7-new/Utilities/cmcurl/lib/hostip.c
---- cmake-3.27.7/Utilities/cmcurl/lib/hostip.c 2023-10-06 10:08:35.000000000 -0300
-+++ cmake-3.27.7-new/Utilities/cmcurl/lib/hostip.c 2023-10-22 21:53:29.249989934 -0300
-@@ -67,10 +67,6 @@
- #include "curl_memory.h"
- #include "memdebug.h"
-
--#if defined(ENABLE_IPV6) && defined(CURL_OSX_CALL_COPYPROXIES)
--#include
--#endif
--
- #if defined(CURLRES_SYNCH) && \
- defined(HAVE_ALARM) && \
- defined(SIGALRM) && \
-@@ -743,23 +739,6 @@
- return CURLRESOLV_ERROR;
- }
-
--#if defined(ENABLE_IPV6) && defined(CURL_OSX_CALL_COPYPROXIES)
-- {
-- /*
-- * The automagic conversion from IPv4 literals to IPv6 literals only
-- * works if the SCDynamicStoreCopyProxies system function gets called
-- * first. As Curl currently doesn't support system-wide HTTP proxies, we
-- * therefore don't use any value this function might return.
-- *
-- * This function is only available on a macOS and is not needed for
-- * IPv4-only builds, hence the conditions above.
-- */
-- CFDictionaryRef dict = SCDynamicStoreCopyProxies(NULL);
-- if(dict)
-- CFRelease(dict);
-- }
--#endif
--
- #ifndef USE_RESOLVE_ON_IPS
- /* First check if this is an IPv4 address string */
- if(Curl_inet_pton(AF_INET, hostname, &in) > 0)
diff --git a/pkgs/by-name/cm/cmake/package.nix b/pkgs/by-name/cm/cmake/package.nix
index 42dbacac67b9..a9b29a3245d5 100644
--- a/pkgs/by-name/cm/cmake/package.nix
+++ b/pkgs/by-name/cm/cmake/package.nix
@@ -29,10 +29,11 @@
, buildDocs ? !(isMinimalBuild || (uiToolkits == []))
, darwin
, libsForQt5
+, gitUpdater
}:
let
- inherit (darwin.apple_sdk.frameworks) SystemConfiguration;
+ inherit (darwin.apple_sdk.frameworks) CoreServices SystemConfiguration;
inherit (libsForQt5) qtbase wrapQtAppsHook;
cursesUI = lib.elem "ncurses" uiToolkits;
qt5UI = lib.elem "qt5" uiToolkits;
@@ -46,11 +47,11 @@ stdenv.mkDerivation (finalAttrs: {
+ lib.optionalString isMinimalBuild "-minimal"
+ lib.optionalString cursesUI "-cursesUI"
+ lib.optionalString qt5UI "-qt5UI";
- version = "3.27.9";
+ version = "3.28.3";
src = fetchurl {
url = "https://cmake.org/files/v${lib.versions.majorMinor finalAttrs.version}/cmake-${finalAttrs.version}.tar.gz";
- hash = "sha256-YJqbmFcqal6kd/kSz/uXMQntTQpqaz+eI1PSzcBIcI4=";
+ hash = "sha256-crdXDlyFk95qxKtDO3PqsYxfsyiIBGDIbOMmCBQa1cE=";
};
patches = [
@@ -97,6 +98,7 @@ stdenv.mkDerivation (finalAttrs: {
++ lib.optional useOpenSSL openssl
++ lib.optional cursesUI ncurses
++ lib.optional qt5UI qtbase
+ ++ lib.optional stdenv.isDarwin CoreServices
++ lib.optional (stdenv.isDarwin && !isMinimalBuild) SystemConfiguration;
propagatedBuildInputs = lib.optional stdenv.isDarwin ps;
@@ -176,6 +178,12 @@ stdenv.mkDerivation (finalAttrs: {
doCheck = false; # fails
+ passthru.updateScript = gitUpdater {
+ url = "https://gitlab.kitware.com/cmake/cmake.git";
+ rev-prefix = "v";
+ ignoredVersions = "-"; # -rc1 and friends
+ };
+
meta = {
homepage = "https://cmake.org/";
description = "Cross-platform, open-source build system generator";
@@ -190,6 +198,7 @@ stdenv.mkDerivation (finalAttrs: {
license = lib.licenses.bsd3;
maintainers = with lib.maintainers; [ ttuegel lnl7 AndersonTorres ];
platforms = lib.platforms.all;
+ mainProgram = "cmake";
broken = (qt5UI && stdenv.isDarwin);
};
})
diff --git a/pkgs/by-name/cn/cntb/package.nix b/pkgs/by-name/cn/cntb/package.nix
index 78e5f16f303c..24b319308919 100644
--- a/pkgs/by-name/cn/cntb/package.nix
+++ b/pkgs/by-name/cn/cntb/package.nix
@@ -3,26 +3,22 @@
, fetchFromGitHub
}: buildGoModule rec {
pname = "cntb";
- version = "1.4.6";
+ version = "1.4.8";
src = fetchFromGitHub {
owner = "contabo";
repo = "cntb";
- rev = "v${version}";
- hash = "sha256-bvWNcEUSSHEk8fwwPdowATGEHIAj+TN8Z+A156sPVtA=";
- # docs contains two files with the same name but different cases,
- # this leads to a different hash on case insensitive filesystems (e.g. darwin)
- postFetch = ''
- rm -rf $out/openapi/docs
- '';
+ rev = version;
+ hash = "sha256-Cj1PO82JeztThFAHR4/8UyqKrodgxBqVDMDsun3iGDo=";
};
subPackages = [ "." ];
- vendorHash = "sha256-++y2C3jYuGZ0ovRFoxeqnx7S9EwoOZBJ5zxeLGWjkqc=";
+ vendorHash = "sha256-4PhLUUtlnRh2dKkeVD7rZDDVP0eTDVAohvLLftQxQyE=";
meta = with lib; {
description = "CLI tool for managing your products from Contabo like VPS and VDS";
+ mainProgram = "cntb";
homepage = "https://github.com/contabo/cntb";
license = licenses.gpl3Only;
maintainers = with maintainers; [ aciceri ];
diff --git a/pkgs/by-name/co/cockpit/package.nix b/pkgs/by-name/co/cockpit/package.nix
index 4e979fa2cdad..db977bafe822 100644
--- a/pkgs/by-name/co/cockpit/package.nix
+++ b/pkgs/by-name/co/cockpit/package.nix
@@ -44,13 +44,13 @@ in
stdenv.mkDerivation rec {
pname = "cockpit";
- version = "310.2";
+ version = "313";
src = fetchFromGitHub {
owner = "cockpit-project";
repo = "cockpit";
rev = "refs/tags/${version}";
- hash = "sha256-VaH34UT8kXKZbRPTNvL1afeONb3n6vK0UB1UgWeNRWY=";
+ hash = "sha256-k/JbvotOcVs2OXwGOrnjY0xYRRgvk61p0o7VQTTPqz4=";
fetchSubmodules = true;
};
@@ -217,6 +217,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Web-based graphical interface for servers";
+ mainProgram = "cockpit-bridge";
homepage = "https://cockpit-project.org/";
license = licenses.lgpl21;
maintainers = with maintainers; [ lucasew ];
diff --git a/pkgs/by-name/co/codeium/package.nix b/pkgs/by-name/co/codeium/package.nix
index 3c203fdfc2ff..28343e1b3cfc 100644
--- a/pkgs/by-name/co/codeium/package.nix
+++ b/pkgs/by-name/co/codeium/package.nix
@@ -13,10 +13,10 @@ let
}.${system} or throwSystem;
hash = {
- x86_64-linux = "sha256-xAo8XtNXUJXjGu+LMoRj/s0/VFtVwIC6TCc4a1wrzzQ=";
- aarch64-linux = "sha256-HOfSb87g6iN5IwmYZ20F91y+a8fbAhTQ+OhHGq7E9ko=";
- x86_64-darwin = "sha256-GCP+apn5g/aPZcwHBhKj9Oy90hMpTWRZNLUtOk3yNTc=";
- aarch64-darwin = "sha256-EwpO/gOnv/XIxdV1I1dV+i4w5A4avMcv1zPnBLEqoLI=";
+ x86_64-linux = "sha256-5rvLkJ0sFRgIekGVxk/r1gxheJHIKYsWqvtukqh+YTI=";
+ aarch64-linux = "sha256-19jKB71ZLkDqrsuacFb2JLBniOEyMediJBfLCP5Ss7o=";
+ x86_64-darwin = "sha256-DVuBMNhdQUcz29aidzkBQfHNk/ttOg0WrmUAuu6MG7A=";
+ aarch64-darwin = "sha256-lf/kBZFVYbE9GMkPPM/5MrMyavywCJF+FO54RTnup8g=";
}.${system} or throwSystem;
bin = "$out/bin/codeium_language_server";
@@ -24,7 +24,7 @@ let
in
stdenv.mkDerivation (finalAttrs: {
pname = "codeium";
- version = "1.6.34";
+ version = "1.8.13";
src = fetchurl {
name = "${finalAttrs.pname}-${finalAttrs.version}.gz";
url = "https://github.com/Exafunction/codeium/releases/download/language-server-v${finalAttrs.version}/language_server_${plat}.gz";
diff --git a/pkgs/by-name/co/coffeegrindsize/package.nix b/pkgs/by-name/co/coffeegrindsize/package.nix
new file mode 100644
index 000000000000..ea23de82bbce
--- /dev/null
+++ b/pkgs/by-name/co/coffeegrindsize/package.nix
@@ -0,0 +1,44 @@
+{ lib, python3, fetchFromGitHub }:
+
+python3.pkgs.buildPythonApplication {
+ pname = "coffeegrindsize";
+ # no tags in the repo
+ version = "0-unstable-2021-04-20";
+
+ format = "other";
+
+ src = fetchFromGitHub {
+ owner = "jgagneastro";
+ repo = "coffeegrindsize";
+ rev = "22661ebd21831dba4cf32bfc6ba59fe3d49f879c";
+ hash = "sha256-HlTw0nmr+VZL6EUX9RJzj253fnAred9LNFNgVHqoAoI=";
+ };
+
+ propagatedBuildInputs = with python3.pkgs; [
+ tkinter
+ matplotlib
+ numpy
+ pandas
+ pillow
+ ];
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p $out/bin
+ echo "#!/usr/bin/env python" > "$out/bin/coffeegrindsize"
+ cat coffeegrindsize.py >> "$out/bin/coffeegrindsize"
+ chmod +x "$out/bin/coffeegrindsize"
+ patchShebangs "$out/bin/coffeegrindsize"
+
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ description = "Detects the individual coffee grounds in a white-background picture to determine particle size distribution";
+ mainProgram = "coffeegrindsize";
+ homepage = "https://github.com/jgagneastro/coffeegrindsize";
+ license = licenses.mit;
+ maintainers = with maintainers; [ t4ccer ];
+ };
+}
diff --git a/pkgs/by-name/co/commitmsgfmt/package.nix b/pkgs/by-name/co/commitmsgfmt/package.nix
index 45ee60ff0b3b..508ce1f98312 100644
--- a/pkgs/by-name/co/commitmsgfmt/package.nix
+++ b/pkgs/by-name/co/commitmsgfmt/package.nix
@@ -25,6 +25,7 @@ rustPlatform.buildRustPackage rec {
homepage = "https://gitlab.com/mkjeldsen/commitmsgfmt";
changelog = "https://gitlab.com/mkjeldsen/commitmsgfmt/-/raw/v${version}/CHANGELOG.md";
description = "Formats commit messages better than fmt(1) and Vim";
+ mainProgram = "commitmsgfmt";
license = licenses.asl20;
maintainers = with maintainers; [ mmlb ];
};
diff --git a/pkgs/by-name/co/composefs/package.nix b/pkgs/by-name/co/composefs/package.nix
index eec9ef0de853..6d0b6b9319b9 100644
--- a/pkgs/by-name/co/composefs/package.nix
+++ b/pkgs/by-name/co/composefs/package.nix
@@ -16,6 +16,7 @@
, fsverity-utils
, nix-update-script
, testers
+, nixosTests
, fuseSupport ? lib.meta.availableOn stdenv.hostPlatform fuse3
, enableValgrindCheck ? false
@@ -23,13 +24,13 @@
}:
stdenv.mkDerivation (finalAttrs: {
pname = "composefs";
- version = "1.0.2";
+ version = "1.0.3";
src = fetchFromGitHub {
owner = "containers";
repo = "composefs";
rev = "v${finalAttrs.version}";
- hash = "sha256-ViZkmuLFV5DN1nqWKGl+yaqhYUEOztZ1zGpxjr1U/dw=";
+ hash = "sha256-YmredtZZKMjzJW/kxiTUmdgO/1iPIKzJsuJz8DeEdGM=";
};
strictDeps = true;
@@ -69,7 +70,11 @@ stdenv.mkDerivation (finalAttrs: {
passthru = {
updateScript = nix-update-script { };
- tests.pkg-config = testers.testMetaPkgConfig finalAttrs.finalPackage;
+ tests = {
+ # Broken on aarch64 unrelated to this package: https://github.com/NixOS/nixpkgs/issues/291398
+ inherit (nixosTests) activation-etc-overlay-immutable activation-etc-overlay-mutable;
+ pkg-config = testers.testMetaPkgConfig finalAttrs.finalPackage;
+ };
};
meta = {
diff --git a/pkgs/by-name/co/connman-gtk/package.nix b/pkgs/by-name/co/connman-gtk/package.nix
index c75254a27b15..46dce2aa2930 100644
--- a/pkgs/by-name/co/connman-gtk/package.nix
+++ b/pkgs/by-name/co/connman-gtk/package.nix
@@ -35,6 +35,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "GTK GUI for Connman";
+ mainProgram = "connman-gtk";
homepage = "https://github.com/jgke/connman-gtk";
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/by-name/co/connman-ncurses/package.nix b/pkgs/by-name/co/connman-ncurses/package.nix
index 9d638c48000a..53b488159823 100644
--- a/pkgs/by-name/co/connman-ncurses/package.nix
+++ b/pkgs/by-name/co/connman-ncurses/package.nix
@@ -33,6 +33,7 @@ stdenv.mkDerivation {
meta = with lib; {
description = "Simple ncurses UI for connman";
+ mainProgram = "connman_ncurses";
homepage = "https://github.com/eurogiciel-oss/connman-json-client";
license = licenses.gpl2;
platforms = platforms.linux;
diff --git a/pkgs/by-name/co/connman-notify/package.nix b/pkgs/by-name/co/connman-notify/package.nix
index cbf500913038..c8df6bc89352 100644
--- a/pkgs/by-name/co/connman-notify/package.nix
+++ b/pkgs/by-name/co/connman-notify/package.nix
@@ -28,6 +28,7 @@ python3Packages.buildPythonApplication {
meta = with lib; {
description = "Desktop notification integration for connman";
+ mainProgram = "connman-notify";
homepage = "https://gitlab.com/wavexx/connman-notify";
license = licenses.gpl2;
platforms = platforms.linux;
diff --git a/pkgs/by-name/co/connman_dmenu/package.nix b/pkgs/by-name/co/connman_dmenu/package.nix
index 86e5a62fb8de..0a193493b71a 100644
--- a/pkgs/by-name/co/connman_dmenu/package.nix
+++ b/pkgs/by-name/co/connman_dmenu/package.nix
@@ -27,6 +27,7 @@ stdenv.mkDerivation {
meta = {
description = "A dmenu wrapper for connmann";
+ mainProgram = "connman_dmenu";
homepage = "https://github.com/march-linux/connman_dmenu";
license = lib.licenses.free;
maintainers = [ lib.maintainers.magnetophon ];
diff --git a/pkgs/by-name/co/construct/package.nix b/pkgs/by-name/co/construct/package.nix
new file mode 100644
index 000000000000..eb2c922b31b4
--- /dev/null
+++ b/pkgs/by-name/co/construct/package.nix
@@ -0,0 +1,39 @@
+{ stdenv
+, lib
+, fetchFromGitHub
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "construct";
+ version = "0.1.0";
+
+ src = fetchFromGitHub {
+ owner = "Thomas-de-Bock";
+ repo = "construct";
+ rev = finalAttrs.version;
+ hash = "sha256-ENso0y7yEaXzGXzZOnlZ1L7+j/qayJL+f55/NYLz2ew=";
+ };
+
+ postPatch = lib.optionalString stdenv.isDarwin ''
+ substituteInPlace Makefile \
+ --replace g++ c++
+ '';
+
+ makeTarget = "main";
+
+ installPhase = ''
+ runHook preInstall
+ install -Dm755 bin/construct -t $out/bin
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ description = "Construct is an abstraction over x86 NASM Assembly";
+ longDescription = "Construct adds features such as while loops, if statements, scoped macros and function-call syntax to NASM Assembly.";
+ homepage = "https://github.com/Thomas-de-Bock/construct";
+ maintainers = with maintainers; [ rucadi ];
+ platforms = platforms.all;
+ license = licenses.mit;
+ mainProgram = "construct";
+ };
+})
diff --git a/pkgs/by-name/co/convco/package.nix b/pkgs/by-name/co/convco/package.nix
index 5a2319139e69..2883cb56e2cf 100644
--- a/pkgs/by-name/co/convco/package.nix
+++ b/pkgs/by-name/co/convco/package.nix
@@ -11,16 +11,16 @@
rustPlatform.buildRustPackage rec {
pname = "convco";
- version = "0.5.0";
+ version = "0.5.1";
src = fetchFromGitHub {
owner = "convco";
repo = pname;
rev = "v${version}";
- hash = "sha256-x01fkicoAH8NaJJqIF5jjbZ53TitnXBCdKEbr8xVCyE=";
+ hash = "sha256-b05RO6x5hnxG6gepRTK4CDlnLqMdp8hl4KL+InzBH70=";
};
- cargoHash = "sha256-j2xuaAkycWp5sCAmVJLYfqH1ZGxIGU/a/97WpGyQcvU=";
+ cargoHash = "sha256-pdnH/9Tda6PXf70W76mg5vVE2rzOI+M61UR+HMtgXC0=";
nativeBuildInputs = [ cmake pkg-config ];
@@ -28,6 +28,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "A Conventional commit cli";
+ mainProgram = "convco";
homepage = "https://github.com/convco/convco";
license = with licenses; [ mit ];
maintainers = with maintainers; [ hoverbear cafkafk ];
diff --git a/pkgs/by-name/co/cosmic-applibrary/Cargo.lock b/pkgs/by-name/co/cosmic-applibrary/Cargo.lock
index aac1e5fa7736..a481c9a5d943 100644
--- a/pkgs/by-name/co/cosmic-applibrary/Cargo.lock
+++ b/pkgs/by-name/co/cosmic-applibrary/Cargo.lock
@@ -4,28 +4,31 @@ version = 3
[[package]]
name = "accesskit"
-version = "0.11.0"
-source = "git+https://github.com/wash2/accesskit.git?tag=winit-0.28#db6f2587f663eafd8f7888e8507baa3a092599b0"
+version = "0.12.2"
+source = "git+https://github.com/wash2/accesskit.git?branch=winit-0.29#5f9b61c8264000d001499c902562422e13efa7a8"
[[package]]
name = "accesskit_consumer"
-version = "0.15.0"
-source = "git+https://github.com/wash2/accesskit.git?tag=winit-0.28#db6f2587f663eafd8f7888e8507baa3a092599b0"
+version = "0.17.0"
+source = "git+https://github.com/wash2/accesskit.git?branch=winit-0.29#5f9b61c8264000d001499c902562422e13efa7a8"
dependencies = [
"accesskit",
]
[[package]]
name = "accesskit_unix"
-version = "0.4.0"
-source = "git+https://github.com/wash2/accesskit.git?tag=winit-0.28#db6f2587f663eafd8f7888e8507baa3a092599b0"
+version = "0.7.1"
+source = "git+https://github.com/wash2/accesskit.git?branch=winit-0.29#5f9b61c8264000d001499c902562422e13efa7a8"
dependencies = [
"accesskit",
"accesskit_consumer",
- "async-channel 1.9.0",
+ "async-channel",
+ "async-executor",
+ "async-task",
"atspi",
"futures-lite 1.13.0",
- "log",
+ "futures-util",
+ "once_cell",
"serde",
"zbus",
]
@@ -45,17 +48,6 @@ version = "1.0.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "f26201604c87b1e01bd3d98f8d5d9a8fcbb815e8cedb41ffccbeb4bf593a35fe"
-[[package]]
-name = "ahash"
-version = "0.7.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5a824f2aa7e75a0c98c5a504fceb80649e9c35265d44525b5f94de4771a395cd"
-dependencies = [
- "getrandom",
- "once_cell",
- "version_check",
-]
-
[[package]]
name = "ahash"
version = "0.8.7"
@@ -106,9 +98,9 @@ dependencies = [
[[package]]
name = "anstream"
-version = "0.6.5"
+version = "0.6.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d664a92ecae85fd0a7392615844904654d1d5f5514837f471ddef4a057aba1b6"
+checksum = "6e2e1ebcb11de5c03c67de28a7df593d32191b44939c482e97702baaaa6ab6a5"
dependencies = [
"anstyle",
"anstyle-parse",
@@ -120,9 +112,9 @@ dependencies = [
[[package]]
name = "anstyle"
-version = "1.0.4"
+version = "1.0.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7079075b41f533b8c61d2a4d073c4676e1f8b249ff94a393b0595db304e0dd87"
+checksum = "8901269c6307e8d93993578286ac0edf7f195079ffff5ebdeea6a59ffb7e36bc"
[[package]]
name = "anstyle-parse"
@@ -208,9 +200,9 @@ dependencies = [
[[package]]
name = "ashpd"
-version = "0.6.7"
+version = "0.6.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2c018490e423efb6f032ef575f873ea57b61d44bec763cfe027b8e8852a027cf"
+checksum = "4ac22eda5891cc086690cb6fa10121c0390de0e3b04eb269f2d766b00d3f2d81"
dependencies = [
"enumflags2",
"futures-channel",
@@ -239,24 +231,13 @@ dependencies = [
[[package]]
name = "async-channel"
-version = "1.9.0"
+version = "2.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "81953c529336010edd6d8e358f886d9581267795c61b19475b71314bffa46d35"
+checksum = "f28243a43d821d11341ab73c80bed182dc015c514b951616cf79bd4af39af0c3"
dependencies = [
"concurrent-queue",
- "event-listener 2.5.3",
- "futures-core",
-]
-
-[[package]]
-name = "async-channel"
-version = "2.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ca33f4bc4ed1babef42cad36cc1f51fa88be00420404e5b1e80ab1b18f7678c"
-dependencies = [
- "concurrent-queue",
- "event-listener 4.0.2",
- "event-listener-strategy",
+ "event-listener 5.0.0",
+ "event-listener-strategy 0.5.0",
"futures-core",
"pin-project-lite",
]
@@ -267,11 +248,11 @@ version = "1.8.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "17ae5ebefcc48e7452b4987947920dac9450be1110cadf34d1b8c116bdbaf97c"
dependencies = [
- "async-lock 3.2.0",
+ "async-lock 3.3.0",
"async-task",
"concurrent-queue",
"fastrand 2.0.1",
- "futures-lite 2.1.0",
+ "futures-lite 2.2.0",
"slab",
]
@@ -309,18 +290,18 @@ dependencies = [
[[package]]
name = "async-io"
-version = "2.2.2"
+version = "2.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6afaa937395a620e33dc6a742c593c01aced20aa376ffb0f628121198578ccc7"
+checksum = "8f97ab0c5b00a7cdbe5a371b9a782ee7be1316095885c8a4ea1daf490eb0ef65"
dependencies = [
- "async-lock 3.2.0",
+ "async-lock 3.3.0",
"cfg-if",
"concurrent-queue",
"futures-io",
- "futures-lite 2.1.0",
+ "futures-lite 2.2.0",
"parking",
- "polling 3.3.1",
- "rustix 0.38.28",
+ "polling 3.4.0",
+ "rustix 0.38.31",
"slab",
"tracing",
"windows-sys 0.52.0",
@@ -337,12 +318,12 @@ dependencies = [
[[package]]
name = "async-lock"
-version = "3.2.0"
+version = "3.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7125e42787d53db9dd54261812ef17e937c95a51e4d291373b670342fa44310c"
+checksum = "d034b430882f8381900d3fe6f0aaa3ad94f2cb4ac519b429692a1bc2dda4ae7b"
dependencies = [
- "event-listener 4.0.2",
- "event-listener-strategy",
+ "event-listener 4.0.3",
+ "event-listener-strategy 0.4.0",
"pin-project-lite",
]
@@ -359,7 +340,7 @@ dependencies = [
"cfg-if",
"event-listener 3.1.0",
"futures-lite 1.13.0",
- "rustix 0.38.28",
+ "rustix 0.38.31",
"windows-sys 0.48.0",
]
@@ -371,7 +352,7 @@ checksum = "5fd55a5ba1179988837d24ab4c7cc8ed6efdeff578ede0416b4225a5fca35bd0"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.46",
+ "syn 2.0.48",
]
[[package]]
@@ -380,13 +361,13 @@ version = "0.2.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "9e47d90f65a225c4527103a8d747001fc56e375203592b25ad103e1ca13124c5"
dependencies = [
- "async-io 2.2.2",
+ "async-io 2.3.1",
"async-lock 2.8.0",
"atomic-waker",
"cfg-if",
"futures-core",
"futures-io",
- "rustix 0.38.28",
+ "rustix 0.38.31",
"signal-hook-registry",
"slab",
"windows-sys 0.48.0",
@@ -394,9 +375,9 @@ dependencies = [
[[package]]
name = "async-task"
-version = "4.6.0"
+version = "4.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e1d90cd0b264dfdd8eb5bad0a2c217c1f88fa96a8573f40e7b12de23fb468f46"
+checksum = "fbb36e985947064623dbd357f727af08ffd077f93d696782f3c56365fa2e2799"
[[package]]
name = "async-trait"
@@ -406,7 +387,19 @@ checksum = "c980ee35e870bd1a4d2c8294d4c04d0499e67bca1e4b5cefcc693c2fa00caea9"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.46",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "atk-sys"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "251e0b7d90e33e0ba930891a505a9a35ece37b2dd37a14f3ffc306c13b980009"
+dependencies = [
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps",
]
[[package]]
@@ -420,36 +413,57 @@ name = "atomicwrites"
version = "0.4.2"
source = "git+https://github.com/jackpot51/rust-atomicwrites#043ab4859d53ffd3d55334685303d8df39c9f768"
dependencies = [
- "rustix 0.38.28",
+ "rustix 0.38.31",
"tempfile",
"windows-sys 0.48.0",
]
[[package]]
name = "atspi"
-version = "0.10.1"
+version = "0.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "674e7a3376837b2e7d12d34d58ac47073c491dc3bf6f71a7adaf687d4d817faa"
+checksum = "6059f350ab6f593ea00727b334265c4dfc7fd442ee32d264794bd9bdc68e87ca"
dependencies = [
- "async-recursion",
- "async-trait",
- "atspi-macros",
- "enumflags2",
- "futures-lite 1.13.0",
- "serde",
- "tracing",
- "zbus",
- "zbus_names",
+ "atspi-common",
+ "atspi-connection",
+ "atspi-proxies",
]
[[package]]
-name = "atspi-macros"
-version = "0.2.0"
+name = "atspi-common"
+version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "97fb4870a32c0eaa17e35bca0e6b16020635157121fb7d45593d242c295bc768"
+checksum = "92af95f966d2431f962bc632c2e68eda7777330158bf640c4af4249349b2cdf5"
dependencies = [
- "quote",
- "syn 1.0.109",
+ "enumflags2",
+ "serde",
+ "static_assertions",
+ "zbus",
+ "zbus_names",
+ "zvariant",
+]
+
+[[package]]
+name = "atspi-connection"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a0c65e7d70f86d4c0e3b2d585d9bf3f979f0b19d635a336725a88d279f76b939"
+dependencies = [
+ "atspi-common",
+ "atspi-proxies",
+ "futures-lite 1.13.0",
+ "zbus",
+]
+
+[[package]]
+name = "atspi-proxies"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6495661273703e7a229356dcbe8c8f38223d697aacfaf0e13590a9ac9977bb52"
+dependencies = [
+ "atspi-common",
+ "serde",
+ "zbus",
]
[[package]]
@@ -475,9 +489,9 @@ dependencies = [
[[package]]
name = "base64"
-version = "0.21.5"
+version = "0.21.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "35636a1494ede3b646cc98f74f8e62c773a38a659ebc777a2cf26b9b74171df9"
+checksum = "9d297deb1925b89f2ccc13d7635fa0714f12c87adce1c75356b39ca9b7178567"
[[package]]
name = "bit-set"
@@ -508,9 +522,9 @@ checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a"
[[package]]
name = "bitflags"
-version = "2.4.1"
+version = "2.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "327762f6e5a765692301e5bb513e0d9fef63be86bbc14528052b1cd3e6f03e07"
+checksum = "ed570934406eb16438a4e976b1b4500774099c13b8cb96eec99f620f05090ddf"
dependencies = [
"serde",
]
@@ -536,12 +550,12 @@ version = "1.5.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "6a37913e8dc4ddcc604f0c6d3bf2887c995153af3611de9e23c352b44c1b9118"
dependencies = [
- "async-channel 2.1.1",
- "async-lock 3.2.0",
+ "async-channel",
+ "async-lock 3.3.0",
"async-task",
"fastrand 2.0.1",
"futures-io",
- "futures-lite 2.1.0",
+ "futures-lite 2.2.0",
"piper",
"tracing",
]
@@ -554,9 +568,9 @@ checksum = "7f30e7476521f6f8af1a1c4c0b8cc94f0bee37d91763d0ca2665f299b6cd8aec"
[[package]]
name = "bytemuck"
-version = "1.14.0"
+version = "1.14.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "374d28ec25809ee0e23827c2ab573d729e293f281dfe393500e7ad618baa61c6"
+checksum = "a2ef034f05691a48569bd920a96c81b9d91bbad1ab5ac7c4616c1f6ef36cb79f"
dependencies = [
"bytemuck_derive",
]
@@ -569,7 +583,7 @@ checksum = "965ab7eb5f8f97d2a083c799f3a1b994fc397b2fe2da5d1da1626ce15a39f2b1"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.46",
+ "syn 2.0.48",
]
[[package]]
@@ -585,15 +599,25 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "a2bd12c1caf447e69cd4528f47f94d203fd2582878ecb9e9465484c4148a8223"
[[package]]
-name = "calloop"
-version = "0.12.3"
+name = "cairo-sys-rs"
+version = "0.18.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7b50b5a44d59a98c55a9eeb518f39bf7499ba19fd98ee7d22618687f3f10adbf"
+checksum = "685c9fa8e590b8b3d678873528d83411db17242a73fccaed827770ea0fedda51"
dependencies = [
- "bitflags 2.4.1",
+ "libc",
+ "system-deps",
+]
+
+[[package]]
+name = "calloop"
+version = "0.12.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fba7adb4dd5aa98e5553510223000e7148f621165ec5f9acd7113f6ca4995298"
+dependencies = [
+ "bitflags 2.4.2",
"log",
- "polling 3.3.1",
- "rustix 0.38.28",
+ "polling 3.4.0",
+ "rustix 0.38.31",
"slab",
"thiserror",
]
@@ -605,9 +629,9 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "0f0ea9b9476c7fad82841a8dbb380e2eae480c21910feba80725b46931ed8f02"
dependencies = [
"calloop",
- "rustix 0.38.28",
- "wayland-backend 0.3.2",
- "wayland-client 0.31.1",
+ "rustix 0.38.31",
+ "wayland-backend 0.3.3",
+ "wayland-client 0.31.2",
]
[[package]]
@@ -619,6 +643,16 @@ dependencies = [
"libc",
]
+[[package]]
+name = "cfg-expr"
+version = "0.15.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fa50868b64a9a6fda9d593ce778849ea8715cd2a3d2cc17ffdb4a2f2f2f1961d"
+dependencies = [
+ "smallvec",
+ "target-lexicon",
+]
+
[[package]]
name = "cfg-if"
version = "1.0.0"
@@ -632,10 +666,16 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "fd16c4719339c4530435d38e511904438d07cce7950afa3718a84ac36c10e89e"
[[package]]
-name = "clap"
-version = "4.4.12"
+name = "cfg_aliases"
+version = "0.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dcfab8ba68f3668e89f6ff60f5b205cea56aa7b769451a59f34b8682f51c056d"
+checksum = "77e53693616d3075149f4ead59bdeecd204ac6b8192d8969757601b74bddf00f"
+
+[[package]]
+name = "clap"
+version = "4.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "80c21025abd42669a92efc996ef13cfb2c5c627858421ea58d5c3b331a6c134f"
dependencies = [
"clap_builder",
"clap_derive",
@@ -643,33 +683,33 @@ dependencies = [
[[package]]
name = "clap_builder"
-version = "4.4.12"
+version = "4.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fb7fb5e4e979aec3be7791562fcba452f94ad85e954da024396433e0e25a79e9"
+checksum = "458bf1f341769dfcf849846f65dffdf9146daa56bcd2a47cb4e1de9915567c99"
dependencies = [
"anstream",
"anstyle",
"clap_lex",
- "strsim",
+ "strsim 0.11.0",
]
[[package]]
name = "clap_derive"
-version = "4.4.7"
+version = "4.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cf9804afaaf59a91e75b022a30fb7229a7901f60c755489cc61c9b423b836442"
+checksum = "307bc0538d5f0f83b8248db3087aa92fe504e4691294d0c96c0eabc33f47ba47"
dependencies = [
"heck",
"proc-macro2",
"quote",
- "syn 2.0.46",
+ "syn 2.0.48",
]
[[package]]
name = "clap_lex"
-version = "0.6.0"
+version = "0.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "702fc72eb24e5a1e48ce58027a675bc24edd52096d5397d4aea7c6dd9eca0bd1"
+checksum = "98cc8fbded0c607b7ba9dd60cd98df59af97e84d24e49c8557331cfc26d301ce"
[[package]]
name = "cocoa"
@@ -724,10 +764,35 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "acbf1af155f9b9ef647e42cdc158db4b64a1b61f743629225fde6f3e0be2a7c7"
[[package]]
-name = "com-rs"
-version = "0.2.1"
+name = "com"
+version = "0.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bf43edc576402991846b093a7ca18a3477e0ef9c588cde84964b5d3e43016642"
+checksum = "7e17887fd17353b65b1b2ef1c526c83e26cd72e74f598a8dc1bee13a48f3d9f6"
+dependencies = [
+ "com_macros",
+]
+
+[[package]]
+name = "com_macros"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d375883580a668c7481ea6631fc1a8863e33cc335bf56bfad8d7e6d4b04b13a5"
+dependencies = [
+ "com_macros_support",
+ "proc-macro2",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "com_macros_support"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ad899a1087a9296d5644792d7cb72b8e34c1bec8e7d4fbc002230169a6e8710c"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
[[package]]
name = "concurrent-queue"
@@ -738,6 +803,26 @@ dependencies = [
"crossbeam-utils",
]
+[[package]]
+name = "const-random"
+version = "0.1.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5aaf16c9c2c612020bcfd042e170f6e32de9b9d75adb5277cdbbd2e2c8c8299a"
+dependencies = [
+ "const-random-macro",
+]
+
+[[package]]
+name = "const-random-macro"
+version = "0.1.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f9d839f2a20b0aee515dc581a6172f2321f96cab76c1a38a4c584a194955390e"
+dependencies = [
+ "getrandom",
+ "once_cell",
+ "tiny-keccak",
+]
+
[[package]]
name = "core-foundation"
version = "0.9.4"
@@ -802,6 +887,7 @@ dependencies = [
"rust-embed",
"serde",
"shlex",
+ "switcheroo-control",
"tokio",
"url",
"xdg",
@@ -811,17 +897,17 @@ dependencies = [
[[package]]
name = "cosmic-client-toolkit"
version = "0.1.0"
-source = "git+https://github.com/pop-os/cosmic-protocols?rev=c1b6516#c1b651630c2b71cd8dfd2eb4ab47ede9dbd63840"
+source = "git+https://github.com/pop-os/cosmic-protocols?rev=e65fa5e#e65fa5e2bb47e51656221657049bd3f88ae9dae5"
dependencies = [
"cosmic-protocols",
"smithay-client-toolkit 0.18.0",
- "wayland-client 0.31.1",
+ "wayland-client 0.31.2",
]
[[package]]
name = "cosmic-config"
version = "0.1.0"
-source = "git+https://github.com/pop-os/libcosmic/#481cd5a0cdb86661417918bebe8ca2029bc752ab"
+source = "git+https://github.com/pop-os/libcosmic/#5738ac20559ff3c327fd9bcf3bcf323281a4c504"
dependencies = [
"atomicwrites",
"cosmic-config-derive",
@@ -829,17 +915,19 @@ dependencies = [
"dirs 5.0.1",
"futures-util",
"iced_futures",
+ "known-folders",
"notify",
"once_cell",
"ron",
"serde",
+ "xdg",
"zbus",
]
[[package]]
name = "cosmic-config-derive"
version = "0.1.0"
-source = "git+https://github.com/pop-os/libcosmic/#481cd5a0cdb86661417918bebe8ca2029bc752ab"
+source = "git+https://github.com/pop-os/libcosmic/#5738ac20559ff3c327fd9bcf3bcf323281a4c504"
dependencies = [
"quote",
"syn 1.0.109",
@@ -848,13 +936,13 @@ dependencies = [
[[package]]
name = "cosmic-protocols"
version = "0.1.0"
-source = "git+https://github.com/pop-os/cosmic-protocols?rev=c1b6516#c1b651630c2b71cd8dfd2eb4ab47ede9dbd63840"
+source = "git+https://github.com/pop-os/cosmic-protocols?rev=e65fa5e#e65fa5e2bb47e51656221657049bd3f88ae9dae5"
dependencies = [
- "bitflags 2.4.1",
- "wayland-backend 0.3.2",
- "wayland-client 0.31.1",
- "wayland-protocols 0.31.0",
- "wayland-scanner 0.31.0",
+ "bitflags 2.4.2",
+ "wayland-backend 0.3.3",
+ "wayland-client 0.31.2",
+ "wayland-protocols 0.31.2",
+ "wayland-scanner 0.31.1",
"wayland-server",
]
@@ -868,10 +956,10 @@ dependencies = [
[[package]]
name = "cosmic-text"
-version = "0.10.0"
-source = "git+https://github.com/pop-os/cosmic-text.git?branch=refactor#6abf336e6df08771d80232b2d6853269be34ee28"
+version = "0.11.1"
+source = "git+https://github.com/pop-os/cosmic-text.git#cb447ea8c6717d558994575b93a00baa549d01f8"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"fontdb",
"libm",
"log",
@@ -881,6 +969,7 @@ dependencies = [
"self_cell 1.0.3",
"swash",
"sys-locale",
+ "ttf-parser",
"unicode-bidi",
"unicode-linebreak",
"unicode-script",
@@ -890,7 +979,7 @@ dependencies = [
[[package]]
name = "cosmic-theme"
version = "0.1.0"
-source = "git+https://github.com/pop-os/libcosmic/#481cd5a0cdb86661417918bebe8ca2029bc752ab"
+source = "git+https://github.com/pop-os/libcosmic/#5738ac20559ff3c327fd9bcf3bcf323281a4c504"
dependencies = [
"almost",
"cosmic-config",
@@ -903,9 +992,9 @@ dependencies = [
[[package]]
name = "cpufeatures"
-version = "0.2.11"
+version = "0.2.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ce420fe07aecd3e67c5f910618fe65e94158f6dcc0adf44e00d69ce2bdfe0fd0"
+checksum = "53fe5e26ff1b7aef8bca9c6080520cfb8d9333c7568e1829cef191a9723e5504"
dependencies = [
"libc",
]
@@ -921,44 +1010,37 @@ dependencies = [
[[package]]
name = "crossbeam-channel"
-version = "0.5.10"
+version = "0.5.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "82a9b73a36529d9c47029b9fb3a6f0ea3cc916a261195352ba19e770fc1748b2"
+checksum = "176dc175b78f56c0f321911d9c8eb2b77a78a4860b9c19db83835fea1a46649b"
dependencies = [
- "cfg-if",
"crossbeam-utils",
]
[[package]]
name = "crossbeam-deque"
-version = "0.8.4"
+version = "0.8.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fca89a0e215bab21874660c67903c5f143333cab1da83d041c7ded6053774751"
+checksum = "613f8cc01fe9cf1a3eb3d7f488fd2fa8388403e97039e2f73692932e291a770d"
dependencies = [
- "cfg-if",
"crossbeam-epoch",
"crossbeam-utils",
]
[[package]]
name = "crossbeam-epoch"
-version = "0.9.17"
+version = "0.9.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0e3681d554572a651dda4186cd47240627c3d0114d45a95f6ad27f2f22e7548d"
+checksum = "5b82ac4a3c2ca9c3460964f020e1402edd5753411d7737aa39c3714ad1b5420e"
dependencies = [
- "autocfg",
- "cfg-if",
"crossbeam-utils",
]
[[package]]
name = "crossbeam-utils"
-version = "0.8.18"
+version = "0.8.19"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c3a430a770ebd84726f584a90ee7f020d28db52c6d02138900f22341f866d39c"
-dependencies = [
- "cfg-if",
-]
+checksum = "248e3bacc7dc6baa3b21e405ee045c3047101a49145e7e9eca583ab4c2ca5345"
[[package]]
name = "crunchy"
@@ -999,7 +1081,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "30d2b3721e861707777e3195b0158f950ae6dc4a27e4d02ff9f67e3eb3de199e"
dependencies = [
"quote",
- "syn 2.0.46",
+ "syn 2.0.48",
]
[[package]]
@@ -1022,20 +1104,19 @@ checksum = "96a6ac251f4a2aca6b3f91340350eab87ae57c3f127ffeb585e92bd336717991"
[[package]]
name = "d3d12"
-version = "0.7.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e16e44ab292b1dddfdaf7be62cfd8877df52f2f3fde5858d95bab606be259f20"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"libloading 0.8.1",
"winapi",
]
[[package]]
name = "darling"
-version = "0.20.3"
+version = "0.20.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0209d94da627ab5605dcccf08bb18afa5009cfbef48d8a8b7d7bdbc79be25c5e"
+checksum = "fc5d6b04b3fd0ba9926f945895de7d806260a2d7431ba82e7edaecb043c4c6b8"
dependencies = [
"darling_core",
"darling_macro",
@@ -1043,27 +1124,27 @@ dependencies = [
[[package]]
name = "darling_core"
-version = "0.20.3"
+version = "0.20.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "177e3443818124b357d8e76f53be906d60937f0d3a90773a664fa63fa253e621"
+checksum = "04e48a959bcd5c761246f5d090ebc2fbf7b9cd527a492b07a67510c108f1e7e3"
dependencies = [
"fnv",
"ident_case",
"proc-macro2",
"quote",
- "strsim",
- "syn 2.0.46",
+ "strsim 0.10.0",
+ "syn 2.0.48",
]
[[package]]
name = "darling_macro"
-version = "0.20.3"
+version = "0.20.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "836a9bbc7ad63342d6d6e7b815ccab164bc77a2d95d84bc3117a8c0d5c98e2d5"
+checksum = "1d1545d67a2149e1d93b7e5c7752dce5a7426eb5d1357ddcfd89336b94444f77"
dependencies = [
"darling_core",
"quote",
- "syn 2.0.46",
+ "syn 2.0.48",
]
[[package]]
@@ -1073,7 +1154,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "978747c1d849a7d2ee5e8adc0159961c48fb7e5db2f06af6723b80123bb53856"
dependencies = [
"cfg-if",
- "hashbrown 0.14.3",
+ "hashbrown",
"lock_api",
"once_cell",
"parking_lot_core 0.9.9",
@@ -1105,7 +1186,7 @@ dependencies = [
"darling",
"proc-macro2",
"quote",
- "syn 2.0.46",
+ "syn 2.0.48",
]
[[package]]
@@ -1127,15 +1208,6 @@ dependencies = [
"dirs-sys 0.3.7",
]
-[[package]]
-name = "dirs"
-version = "4.0.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ca3aa72a6f96ea37bbc5aa912f6788242832f75369bdfdadcb0e38423f100059"
-dependencies = [
- "dirs-sys 0.3.7",
-]
-
[[package]]
name = "dirs"
version = "5.0.1"
@@ -1168,6 +1240,12 @@ dependencies = [
"windows-sys 0.48.0",
]
+[[package]]
+name = "dispatch"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bd0c93bb4b0c6d9b77f4435b0ae98c24d17f1c45b2ff844c6151a07256ca923b"
+
[[package]]
name = "displaydoc"
version = "0.2.4"
@@ -1176,7 +1254,7 @@ checksum = "487585f4d0c6655fe74905e2504d8ad6908e4db67f744eb140876906c2f3175d"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.46",
+ "syn 2.0.48",
]
[[package]]
@@ -1190,9 +1268,12 @@ dependencies = [
[[package]]
name = "dlv-list"
-version = "0.3.0"
+version = "0.5.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0688c2a7f92e427f44895cd63841bff7b29f8d7a1648b9e7e07a4a365b2e1257"
+checksum = "442039f5147480ba31067cb00ada1adae6892028e40e45fc5de7b7df6dcc1b5f"
+dependencies = [
+ "const-random",
+]
[[package]]
name = "downcast-rs"
@@ -1202,25 +1283,25 @@ checksum = "9ea835d29036a4087793836fa931b08837ad5e957da9e23886b29586fb9b6650"
[[package]]
name = "drm"
-version = "0.10.0"
+version = "0.11.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "97fb1b703ffbc7ebd216eba7900008049a56ace55580ecb2ee7fa801e8d8be87"
+checksum = "a0f8a69e60d75ae7dab4ef26a59ca99f2a89d4c142089b537775ae0c198bdcde"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"bytemuck",
"drm-ffi",
"drm-fourcc",
- "nix 0.27.1",
+ "rustix 0.38.31",
]
[[package]]
name = "drm-ffi"
-version = "0.6.0"
+version = "0.7.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ba7d1c19c4b6270e89d59fb27dc6d02a317c658a8a54e54781e1db9b5947595d"
+checksum = "41334f8405792483e32ad05fbb9c5680ff4e84491883d2947a4757dc54cb2ac6"
dependencies = [
"drm-sys",
- "nix 0.27.1",
+ "rustix 0.38.31",
]
[[package]]
@@ -1231,9 +1312,13 @@ checksum = "0aafbcdb8afc29c1a7ee5fbe53b5d62f4565b35a042a662ca9fecd0b54dae6f4"
[[package]]
name = "drm-sys"
-version = "0.5.0"
+version = "0.6.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3a4f1c0468062a56cd5705f1e3b5409eb286d5596a2028ec8e947595d7e715ae"
+checksum = "2d09ff881f92f118b11105ba5e34ff8f4adf27b30dae8f12e28c193af1c83176"
+dependencies = [
+ "libc",
+ "linux-raw-sys 0.6.4",
+]
[[package]]
name = "either"
@@ -1270,14 +1355,14 @@ checksum = "f95e2801cd355d4a1a3e3953ce6ee5ae9603a5c833455343a8bfe3f44d418246"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.46",
+ "syn 2.0.48",
]
[[package]]
name = "env_logger"
-version = "0.10.1"
+version = "0.10.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "95b3f3e67048839cb0d0781f445682a35113da7121f7c949db0e2be96a4fbece"
+checksum = "4cd405aab171cb85d6735e5c8d9db038c17d3ca007a4d2c25f337935c3d90580"
dependencies = [
"humantime",
"is-terminal",
@@ -1340,9 +1425,20 @@ dependencies = [
[[package]]
name = "event-listener"
-version = "4.0.2"
+version = "4.0.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "218a870470cce1469024e9fb66b901aa983929d81304a1cdb299f28118e550d5"
+checksum = "67b215c49b2b248c855fb73579eb1f4f26c38ffdc12973e20e07b91d78d5646e"
+dependencies = [
+ "concurrent-queue",
+ "parking",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "event-listener"
+version = "5.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b72557800024fabbaa2449dd4bf24e37b93702d457a4d4f2b0dd1f0f039f20c1"
dependencies = [
"concurrent-queue",
"parking",
@@ -1355,18 +1451,28 @@ version = "0.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "958e4d70b6d5e81971bebec42271ec641e7ff4e170a6fa605f2b8a8b65cb97d3"
dependencies = [
- "event-listener 4.0.2",
+ "event-listener 4.0.3",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "event-listener-strategy"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "feedafcaa9b749175d5ac357452a9d41ea2911da598fde46ce1fe02c37751291"
+dependencies = [
+ "event-listener 5.0.0",
"pin-project-lite",
]
[[package]]
name = "exr"
-version = "1.6.4"
+version = "1.72.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "279d3efcc55e19917fff7ab3ddd6c14afb6a90881a0078465196fe2f99d08c56"
+checksum = "887d93f60543e9a9362ef8a21beedd0a833c5d9610e18c67abe15a5963dcb1a4"
dependencies = [
"bit_field",
- "flume 0.10.14",
+ "flume",
"half",
"lebe",
"miniz_oxide",
@@ -1398,9 +1504,9 @@ checksum = "25cbce373ec4653f1a01a31e8a5e5ec0c622dc27ff9c4e6606eefef5cbbed4a5"
[[package]]
name = "fdeflate"
-version = "0.3.3"
+version = "0.3.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "209098dd6dfc4445aa6111f0e98653ac323eaa4dfd212c9ca3931bf9955c31bd"
+checksum = "4f9bfee30e4dedf0ab8b422f03af778d9612b63f502710fc500a334ebe2de645"
dependencies = [
"simd-adler32",
]
@@ -1495,28 +1601,12 @@ dependencies = [
"thiserror",
]
-[[package]]
-name = "flume"
-version = "0.10.14"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1657b4441c3403d9f7b3409e47575237dac27b1b5726df654a6ecbf92f0f7577"
-dependencies = [
- "futures-core",
- "futures-sink",
- "nanorand",
- "pin-project",
- "spin",
-]
-
[[package]]
name = "flume"
version = "0.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "55ac459de2512911e4b674ce33cf20befaba382d05b62b008afc1c8b57cbf181"
dependencies = [
- "futures-core",
- "futures-sink",
- "nanorand",
"spin",
]
@@ -1527,23 +1617,29 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "3f9eec918d3f24069decb9af1554cad7c880e2da24a9afd88aca000531ab82c1"
[[package]]
-name = "fontconfig-parser"
-version = "0.5.3"
+name = "font-types"
+version = "0.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "674e258f4b5d2dcd63888c01c68413c51f565e8af99d2f7701c7b81d79ef41c4"
+checksum = "0bd7f3ea17572640b606b35df42cfb6ecdf003704b062580e59918692190b73d"
+
+[[package]]
+name = "fontconfig-parser"
+version = "0.5.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6a595cb550439a117696039dfc69830492058211b771a2a165379f2a1a53d84d"
dependencies = [
- "roxmltree 0.18.1",
+ "roxmltree",
]
[[package]]
name = "fontdb"
-version = "0.16.0"
+version = "0.16.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "98b88c54a38407f7352dd2c4238830115a6377741098ffd1f997c813d0e088a6"
+checksum = "3890d0893c8253d3eb98337af18b3e1a10a9b2958f2a164b53a93fb3a3049e72"
dependencies = [
"fontconfig-parser",
"log",
- "memmap2 0.9.3",
+ "memmap2 0.9.4",
"slotmap",
"tinyvec",
"ttf-parser",
@@ -1567,7 +1663,7 @@ checksum = "1a5c6c585bc94aaf2c7b51dd4c2ba22680844aba4c687be581871a6f518c5742"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.46",
+ "syn 2.0.48",
]
[[package]]
@@ -1610,11 +1706,11 @@ dependencies = [
[[package]]
name = "freedesktop-icons"
-version = "0.2.4"
+version = "0.2.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3f9d46a9ae065c46efb83854bb10315de6d333bb6f4526ebe320c004dab7857e"
+checksum = "b5339cbd60b2ff6b95ef212ab96bc80bf1a9dff2821b9966c417cdfae2808796"
dependencies = [
- "dirs 4.0.0",
+ "dirs 5.0.1",
"once_cell",
"rust-ini",
"thiserror",
@@ -1696,9 +1792,9 @@ dependencies = [
[[package]]
name = "futures-lite"
-version = "2.1.0"
+version = "2.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "aeee267a1883f7ebef3700f262d2d54de95dfaf38189015a74fdc4e0c7ad8143"
+checksum = "445ba825b27408685aaecefd65178908c36c6e96aaf6d8599419d46e624192ba"
dependencies = [
"fastrand 2.0.1",
"futures-core",
@@ -1715,7 +1811,7 @@ checksum = "87750cf4b7a4c0625b1529e4c543c2182106e4dedc60a2a6455e00d212c489ac"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.46",
+ "syn 2.0.48",
]
[[package]]
@@ -1748,6 +1844,36 @@ dependencies = [
"slab",
]
+[[package]]
+name = "gdk-pixbuf-sys"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3f9839ea644ed9c97a34d129ad56d38a25e6756f99f3a88e15cd39c20629caf7"
+dependencies = [
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps",
+]
+
+[[package]]
+name = "gdk-sys"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "31ff856cb3386dae1703a920f803abafcc580e9b5f711ca62ed1620c25b51ff2"
+dependencies = [
+ "cairo-sys-rs",
+ "gdk-pixbuf-sys",
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "pango-sys",
+ "pkg-config",
+ "system-deps",
+]
+
[[package]]
name = "generic-array"
version = "0.14.7"
@@ -1760,25 +1886,23 @@ dependencies = [
[[package]]
name = "gethostname"
-version = "0.3.0"
+version = "0.4.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bb65d4ba3173c56a500b555b532f72c42e8d1fe64962b518897f8959fae2c177"
+checksum = "0176e0459c2e4a1fe232f984bca6890e681076abb9934f6cea7c326f3fc47818"
dependencies = [
"libc",
- "winapi",
+ "windows-targets 0.48.5",
]
[[package]]
name = "getrandom"
-version = "0.2.11"
+version = "0.2.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fe9006bed769170c11f845cf00c7c1e9092aeb3f268e007c3e760ac68008070f"
+checksum = "190092ea657667030ac6a35e305e62fc4dd69fd98ac98631e5d3a2b1575a12b5"
dependencies = [
"cfg-if",
- "js-sys",
"libc",
"wasi",
- "wasm-bindgen",
]
[[package]]
@@ -1817,6 +1941,19 @@ version = "0.28.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "4271d37baee1b8c7e4b708028c57d816cf9d2434acb33a549475f78c181f6253"
+[[package]]
+name = "gio-sys"
+version = "0.18.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "37566df850baf5e4cb0dfb78af2e4b9898d817ed9263d1090a2df958c64737d2"
+dependencies = [
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps",
+ "winapi",
+]
+
[[package]]
name = "gl_generator"
version = "0.14.0"
@@ -1834,6 +1971,16 @@ version = "0.24.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "b5418c17512bdf42730f9032c74e1ae39afc408745ebb2acf72fbc4691c17945"
+[[package]]
+name = "glib-sys"
+version = "0.18.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "063ce2eb6a8d0ea93d2bf8ba1957e78dbab6be1c2220dd3daca57d5a9d869898"
+dependencies = [
+ "libc",
+ "system-deps",
+]
+
[[package]]
name = "glob"
version = "0.3.1"
@@ -1842,9 +1989,9 @@ checksum = "d2fabcfbdc87f4758337ca535fb41a6d701b65693ce38287d856d1674551ec9b"
[[package]]
name = "glow"
-version = "0.13.0"
+version = "0.13.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "886c2a30b160c4c6fec8f987430c26b526b7988ca71f664e6a699ddf6f9601e4"
+checksum = "bd348e04c43b32574f2de31c8bb397d96c9fcfa1371bd4ca6d8bdc464ab121b1"
dependencies = [
"js-sys",
"slotmap",
@@ -1863,8 +2010,8 @@ dependencies = [
[[package]]
name = "glyphon"
-version = "0.3.0"
-source = "git+https://github.com/jackpot51/glyphon.git?branch=refactor#c28dc99c86b6b598633e6623096b21632f266976"
+version = "0.5.0"
+source = "git+https://github.com/pop-os/glyphon.git?tag=v0.5.0#1b0646ff8f74da92d3be704dfc2257d7f4d7eed8"
dependencies = [
"cosmic-text",
"etagere",
@@ -1872,13 +2019,24 @@ dependencies = [
"wgpu",
]
+[[package]]
+name = "gobject-sys"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0850127b514d1c4a4654ead6dedadb18198999985908e6ffe4436f53c785ce44"
+dependencies = [
+ "glib-sys",
+ "libc",
+ "system-deps",
+]
+
[[package]]
name = "gpu-alloc"
version = "0.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "fbcd2dba93594b227a1f57ee09b8b9da8892c34d55aa332e034a228d0fe6a171"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"gpu-alloc-types",
]
@@ -1888,16 +2046,15 @@ version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "98ff03b468aa837d70984d55f5d3f846f6ec31fe34bbb97c4f85219caeee1ca4"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
]
[[package]]
name = "gpu-allocator"
-version = "0.23.0"
+version = "0.25.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "40fe17c8a05d60c38c0a4e5a3c802f2f1ceb66b76c67d96ffb34bef0475a7fad"
+checksum = "6f56f6318968d03c18e1bcf4857ff88c61157e9da8e47c5f29055d60e1228884"
dependencies = [
- "backtrace",
"log",
"presser",
"thiserror",
@@ -1911,9 +2068,9 @@ version = "0.2.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "cc11df1ace8e7e564511f53af41f3e42ddc95b56fd07b3f4445d2a6048bc682c"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"gpu-descriptor-types",
- "hashbrown 0.14.3",
+ "hashbrown",
]
[[package]]
@@ -1922,7 +2079,7 @@ version = "0.1.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "6bf0b36e6f090b7e1d8a4b49c0cb81c1f8376f72198c65dd3ad9ff3556b8b78c"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
]
[[package]]
@@ -1931,6 +2088,24 @@ version = "0.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "1df00eed8d1f0db937f6be10e46e8072b0671accb504cf0f959c5c52c679f5b9"
+[[package]]
+name = "gtk-sys"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "771437bf1de2c1c0b496c11505bdf748e26066bbe942dfc8f614c9460f6d7722"
+dependencies = [
+ "atk-sys",
+ "cairo-sys-rs",
+ "gdk-pixbuf-sys",
+ "gdk-sys",
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "pango-sys",
+ "system-deps",
+]
+
[[package]]
name = "guillotiere"
version = "0.6.2"
@@ -1951,35 +2126,26 @@ dependencies = [
"crunchy",
]
-[[package]]
-name = "hashbrown"
-version = "0.12.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8a9ee70c43aaf417c914396645a0fa852624801b24ebb7ae78fe8272889ac888"
-dependencies = [
- "ahash 0.7.7",
-]
-
[[package]]
name = "hashbrown"
version = "0.14.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "290f1a1d9242c78d09ce40a5e87e7554ee637af1351968159f4952f028f75604"
dependencies = [
- "ahash 0.8.7",
+ "ahash",
"allocator-api2",
]
[[package]]
name = "hassle-rs"
-version = "0.10.0"
+version = "0.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1397650ee315e8891a0df210707f0fc61771b0cc518c3023896064c5407cb3b0"
+checksum = "af2a7e73e1f34c48da31fb668a907f250794837e08faa144fd24f0b8b741e890"
dependencies = [
- "bitflags 1.3.2",
- "com-rs",
+ "bitflags 2.4.2",
+ "com",
"libc",
- "libloading 0.7.4",
+ "libloading 0.8.1",
"thiserror",
"widestring",
"winapi",
@@ -1993,9 +2159,9 @@ checksum = "95505c38b4572b2d910cecb0281560f54b440a19336cbbcb27bf6ce6adc6f5a8"
[[package]]
name = "hermit-abi"
-version = "0.3.3"
+version = "0.3.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d77f7ec81a6d05a3abb01ab6eb7590f6083d08449fe5a1c8b1e620283546ccb7"
+checksum = "d0c62115964e08cb8039170eb33c1d0e2388a256930279edca206fff675f82c3"
[[package]]
name = "hex"
@@ -2025,7 +2191,7 @@ dependencies = [
"serde",
"serde_derive",
"thiserror",
- "toml 0.8.8",
+ "toml 0.8.10",
"unic-langid",
]
@@ -2067,8 +2233,8 @@ dependencies = [
"proc-macro-error",
"proc-macro2",
"quote",
- "strsim",
- "syn 2.0.46",
+ "strsim 0.10.0",
+ "syn 2.0.48",
"unic-langid",
]
@@ -2082,13 +2248,13 @@ dependencies = [
"i18n-config",
"proc-macro2",
"quote",
- "syn 2.0.46",
+ "syn 2.0.48",
]
[[package]]
name = "iced"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic/#481cd5a0cdb86661417918bebe8ca2029bc752ab"
+source = "git+https://github.com/pop-os/libcosmic/#5738ac20559ff3c327fd9bcf3bcf323281a4c504"
dependencies = [
"iced_accessibility",
"iced_core",
@@ -2103,7 +2269,7 @@ dependencies = [
[[package]]
name = "iced_accessibility"
version = "0.1.0"
-source = "git+https://github.com/pop-os/libcosmic/#481cd5a0cdb86661417918bebe8ca2029bc752ab"
+source = "git+https://github.com/pop-os/libcosmic/#5738ac20559ff3c327fd9bcf3bcf323281a4c504"
dependencies = [
"accesskit",
"accesskit_unix",
@@ -2112,25 +2278,26 @@ dependencies = [
[[package]]
name = "iced_core"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic/#481cd5a0cdb86661417918bebe8ca2029bc752ab"
+source = "git+https://github.com/pop-os/libcosmic/#5738ac20559ff3c327fd9bcf3bcf323281a4c504"
dependencies = [
"bitflags 1.3.2",
"iced_accessibility",
- "instant",
"log",
"num-traits",
"palette",
- "raw-window-handle",
+ "raw-window-handle 0.6.0",
"serde",
"smithay-client-toolkit 0.18.0",
+ "smol_str",
"thiserror",
+ "web-time",
"xxhash-rust",
]
[[package]]
name = "iced_futures"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic/#481cd5a0cdb86661417918bebe8ca2029bc752ab"
+source = "git+https://github.com/pop-os/libcosmic/#5738ac20559ff3c327fd9bcf3bcf323281a4c504"
dependencies = [
"futures",
"iced_core",
@@ -2143,7 +2310,7 @@ dependencies = [
[[package]]
name = "iced_graphics"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic/#481cd5a0cdb86661417918bebe8ca2029bc752ab"
+source = "git+https://github.com/pop-os/libcosmic/#5738ac20559ff3c327fd9bcf3bcf323281a4c504"
dependencies = [
"bitflags 1.3.2",
"bytemuck",
@@ -2151,12 +2318,13 @@ dependencies = [
"glam",
"half",
"iced_core",
+ "iced_futures",
"image",
"kamadak-exif",
"log",
"lyon_path",
"once_cell",
- "raw-window-handle",
+ "raw-window-handle 0.6.0",
"rustc-hash",
"thiserror",
"unicode-segmentation",
@@ -2166,20 +2334,19 @@ dependencies = [
[[package]]
name = "iced_renderer"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic/#481cd5a0cdb86661417918bebe8ca2029bc752ab"
+source = "git+https://github.com/pop-os/libcosmic/#5738ac20559ff3c327fd9bcf3bcf323281a4c504"
dependencies = [
"iced_graphics",
"iced_tiny_skia",
"iced_wgpu",
"log",
- "raw-window-handle",
"thiserror",
]
[[package]]
name = "iced_runtime"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic/#481cd5a0cdb86661417918bebe8ca2029bc752ab"
+source = "git+https://github.com/pop-os/libcosmic/#5738ac20559ff3c327fd9bcf3bcf323281a4c504"
dependencies = [
"iced_accessibility",
"iced_core",
@@ -2191,7 +2358,7 @@ dependencies = [
[[package]]
name = "iced_sctk"
version = "0.1.0"
-source = "git+https://github.com/pop-os/libcosmic/#481cd5a0cdb86661417918bebe8ca2029bc752ab"
+source = "git+https://github.com/pop-os/libcosmic/#5738ac20559ff3c327fd9bcf3bcf323281a4c504"
dependencies = [
"enum-repr",
"float-cmp",
@@ -2200,22 +2367,24 @@ dependencies = [
"iced_graphics",
"iced_runtime",
"iced_style",
- "itertools 0.10.5",
+ "itertools 0.12.1",
"lazy_static",
- "raw-window-handle",
+ "raw-window-handle 0.6.0",
"smithay-client-toolkit 0.18.0",
"smithay-clipboard",
"thiserror",
"tracing",
- "wayland-backend 0.3.2",
- "wayland-protocols 0.31.0",
+ "wayland-backend 0.3.3",
+ "wayland-protocols 0.31.2",
+ "xkbcommon",
+ "xkbcommon-dl",
"xkeysym",
]
[[package]]
name = "iced_style"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic/#481cd5a0cdb86661417918bebe8ca2029bc752ab"
+source = "git+https://github.com/pop-os/libcosmic/#5738ac20559ff3c327fd9bcf3bcf323281a4c504"
dependencies = [
"iced_core",
"once_cell",
@@ -2225,14 +2394,13 @@ dependencies = [
[[package]]
name = "iced_tiny_skia"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic/#481cd5a0cdb86661417918bebe8ca2029bc752ab"
+source = "git+https://github.com/pop-os/libcosmic/#5738ac20559ff3c327fd9bcf3bcf323281a4c504"
dependencies = [
"bytemuck",
"cosmic-text",
"iced_graphics",
"kurbo",
"log",
- "raw-window-handle",
"resvg",
"rustc-hash",
"softbuffer",
@@ -2243,7 +2411,7 @@ dependencies = [
[[package]]
name = "iced_wgpu"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic/#481cd5a0cdb86661417918bebe8ca2029bc752ab"
+source = "git+https://github.com/pop-os/libcosmic/#5738ac20559ff3c327fd9bcf3bcf323281a4c504"
dependencies = [
"bitflags 1.3.2",
"bytemuck",
@@ -2255,7 +2423,6 @@ dependencies = [
"log",
"lyon",
"once_cell",
- "raw-window-handle",
"resvg",
"wgpu",
]
@@ -2263,7 +2430,7 @@ dependencies = [
[[package]]
name = "iced_widget"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic/#481cd5a0cdb86661417918bebe8ca2029bc752ab"
+source = "git+https://github.com/pop-os/libcosmic/#5738ac20559ff3c327fd9bcf3bcf323281a4c504"
dependencies = [
"iced_renderer",
"iced_runtime",
@@ -2293,9 +2460,9 @@ dependencies = [
[[package]]
name = "image"
-version = "0.24.7"
+version = "0.24.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6f3dfdbdd72063086ff443e297b61695500514b1e41095b6fb9a5ab48a70a711"
+checksum = "034bbe799d1909622a74d1193aa50147769440040ff36cb2baa947609b0a4e23"
dependencies = [
"bytemuck",
"byteorder",
@@ -2303,7 +2470,6 @@ dependencies = [
"exr",
"gif",
"jpeg-decoder",
- "num-rational",
"num-traits",
"png",
"qoi",
@@ -2318,12 +2484,12 @@ checksum = "029d73f573d8e8d63e6d5020011d3255b28c3ba85d6cf870a07184ed23de9284"
[[package]]
name = "indexmap"
-version = "2.1.0"
+version = "2.2.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d530e1a18b1cb4c484e6e34556a0d948706958449fca0cab753d649f2bce3d1f"
+checksum = "824b2ae422412366ba479e8111fd301f7b5faece8149317bb81925979a53f520"
dependencies = [
"equivalent",
- "hashbrown 0.14.3",
+ "hashbrown",
]
[[package]]
@@ -2393,24 +2559,15 @@ checksum = "5a611371471e98973dbcab4e0ec66c31a10bc356eeb4d54a0e05eac8158fe38c"
[[package]]
name = "is-terminal"
-version = "0.4.10"
+version = "0.4.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0bad00257d07be169d870ab665980b06cdb366d792ad690bf2e76876dc503455"
+checksum = "fe8f25ce1159c7740ff0b9b2f5cdf4a8428742ba7c112b9f20f22cd5219c7dab"
dependencies = [
"hermit-abi",
- "rustix 0.38.28",
+ "libc",
"windows-sys 0.52.0",
]
-[[package]]
-name = "itertools"
-version = "0.10.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b0fd2260e829bddf4cb6ea802289de2f86d6a7a690192fbe91b3f46e0f2c8473"
-dependencies = [
- "either",
-]
-
[[package]]
name = "itertools"
version = "0.11.0"
@@ -2421,19 +2578,28 @@ dependencies = [
]
[[package]]
-name = "jpeg-decoder"
-version = "0.3.0"
+name = "itertools"
+version = "0.12.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bc0000e42512c92e31c2252315bda326620a4e034105e900c98ec492fa077b3e"
+checksum = "ba291022dbbd398a455acf126c1e341954079855bc60dfdda641363bd6922569"
+dependencies = [
+ "either",
+]
+
+[[package]]
+name = "jpeg-decoder"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f5d4a7da358eff58addd2877a45865158f0d78c911d43a5784ceb7bbf52833b0"
dependencies = [
"rayon",
]
[[package]]
name = "js-sys"
-version = "0.3.66"
+version = "0.3.68"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cee9c64da59eae3b50095c18d3e74f8b73c0b86d2792824ff01bbce68ba229ca"
+checksum = "406cda4b368d531c842222cf9d2600a9a4acce8d29423695379c6868a143a9ee"
dependencies = [
"wasm-bindgen",
]
@@ -2464,6 +2630,15 @@ version = "3.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "e2db585e1d738fc771bf08a151420d3ed193d9d895a36df7f6f8a9456b911ddc"
+[[package]]
+name = "known-folders"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4397c789f2709d23cfcb703b316e0766a8d4b17db2d47b0ab096ef6047cae1d8"
+dependencies = [
+ "windows-sys 0.52.0",
+]
+
[[package]]
name = "kqueue"
version = "1.0.8"
@@ -2507,14 +2682,14 @@ checksum = "03087c2bad5e1034e8cace5926dec053fb3790248370865f5117a7d0213354c8"
[[package]]
name = "libc"
-version = "0.2.151"
+version = "0.2.153"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "302d7ab3130588088d277783b1e2d2e10c9e9e4a16dd9050e6ec93fb3e7048f4"
+checksum = "9c198f91728a82281a64e1f4f9eeb25d82cb32a5de251c6bd1b5154d63a8e7bd"
[[package]]
name = "libcosmic"
version = "0.1.0"
-source = "git+https://github.com/pop-os/libcosmic/#481cd5a0cdb86661417918bebe8ca2029bc752ab"
+source = "git+https://github.com/pop-os/libcosmic/#5738ac20559ff3c327fd9bcf3bcf323281a4c504"
dependencies = [
"apply",
"ashpd",
@@ -2525,6 +2700,7 @@ dependencies = [
"css-color",
"derive_setters",
"fraction",
+ "freedesktop-desktop-entry",
"freedesktop-icons",
"iced",
"iced_core",
@@ -2534,11 +2710,15 @@ dependencies = [
"iced_sctk",
"iced_style",
"iced_tiny_skia",
+ "iced_wgpu",
"iced_widget",
"lazy_static",
+ "nix 0.27.1",
"palette",
+ "rfd",
"ron",
"serde",
+ "shlex",
"slotmap",
"taffy",
"thiserror",
@@ -2581,7 +2761,7 @@ version = "0.0.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "85c833ca1e66078851dba29046874e38f08b2c883700aa29a03ddd3b23814ee8"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"libc",
"redox_syscall 0.4.1",
]
@@ -2594,9 +2774,15 @@ checksum = "ef53942eb7bf7ff43a617b3e2c1c4a5ecf5944a7c1bc12d7ee39bbb15e5c1519"
[[package]]
name = "linux-raw-sys"
-version = "0.4.12"
+version = "0.4.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c4cd1a83af159aa67994778be9070f0ae1bd732942279cabb14f86f986a21456"
+checksum = "01cda141df6706de531b6c46c3a33ecca755538219bd484262fa09410c13539c"
+
+[[package]]
+name = "linux-raw-sys"
+version = "0.6.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f0b5399f6804fbab912acbd8878ed3532d506b7c951b8f9f164ef90fef39e3f4"
[[package]]
name = "locale_config"
@@ -2629,11 +2815,11 @@ checksum = "b5e6163cb8c49088c2c36f57875e58ccd8c87c7427f7fbd50ea6710b2f3f2e8f"
[[package]]
name = "lru"
-version = "0.11.1"
+version = "0.12.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a4a83fb7698b3643a0e34f9ae6f2e8f0178c0fd42f8b59d493aa271ff3a5bf21"
+checksum = "db2c024b41519440580066ba82aab04092b333e09066a5eb86c7c4890df31f22"
dependencies = [
- "hashbrown 0.14.3",
+ "hashbrown",
]
[[package]]
@@ -2723,9 +2909,9 @@ dependencies = [
[[package]]
name = "memmap2"
-version = "0.9.3"
+version = "0.9.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "45fd3a57831bf88bc63f8cebc0cf956116276e97fef3966103e96416209f7c92"
+checksum = "fe751422e4a8caa417e13c3ea66452215d7d63e19e604f4980461212f3ae1322"
dependencies = [
"libc",
]
@@ -2763,7 +2949,7 @@ version = "0.27.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "c43f73953f8cbe511f021b58f18c3ce1c3d1ae13fe953293e13345bf83217f25"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"block",
"core-graphics-types",
"foreign-types",
@@ -2774,9 +2960,9 @@ dependencies = [
[[package]]
name = "miniz_oxide"
-version = "0.7.1"
+version = "0.7.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e7810e0be55b428ada41041c41f32c9f1a42817901b4ccf45fa3d4b6561e74c7"
+checksum = "9d811f3e15f28568be3407c8e7fdb6514c1cda3cb30683f15b6a1a1dc4ea14a7"
dependencies = [
"adler",
"simd-adler32",
@@ -2802,12 +2988,12 @@ checksum = "16cf681a23b4d0a43fc35024c176437f9dcd818db34e0f42ab456a0ee5ad497b"
[[package]]
name = "naga"
-version = "0.14.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ae585df4b6514cf8842ac0f1ab4992edc975892704835b549cf818dc0191249e"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
dependencies = [
+ "arrayvec",
"bit-set",
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"codespan-reporting",
"hexf-parse",
"indexmap",
@@ -2820,15 +3006,6 @@ dependencies = [
"unicode-xid",
]
-[[package]]
-name = "nanorand"
-version = "0.7.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6a51313c5820b0b02bd422f4b44776fbf47961755c74ce64afc73bfad10226c3"
-dependencies = [
- "getrandom",
-]
-
[[package]]
name = "nix"
version = "0.24.3"
@@ -2860,7 +3037,7 @@ version = "0.27.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "2eb04e9c688eff1c89d72b407f168cf79bb9e867a9d3323ed6c01519eb9cc053"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"cfg-if",
"libc",
]
@@ -2871,7 +3048,7 @@ version = "6.1.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "6205bd8bb1e454ad2e27422015fb5e4f2bcc7e08fa8f27058670d208324a4d2d"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"crossbeam-channel",
"filetime",
"fsevent-sys",
@@ -2911,28 +3088,27 @@ dependencies = [
[[package]]
name = "num-complex"
-version = "0.4.4"
+version = "0.4.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ba157ca0885411de85d6ca030ba7e2a83a28636056c7c699b07c8b6f7383214"
+checksum = "23c6602fda94a57c990fe0df199a035d83576b496aa29f4e634a8ac6004e68a6"
dependencies = [
"num-traits",
]
[[package]]
name = "num-integer"
-version = "0.1.45"
+version = "0.1.46"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "225d3389fb3509a24c93f5c29eb6bde2586b98d9f016636dff58d7c6f7569cd9"
+checksum = "7969661fd2958a5cb096e56c8e1ad0444ac2bbcd0061bd28660485a44879858f"
dependencies = [
- "autocfg",
"num-traits",
]
[[package]]
name = "num-iter"
-version = "0.1.43"
+version = "0.1.44"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7d03e6c028c5dc5cac6e2dec0efda81fc887605bb3d884578bb6d6bf7514e252"
+checksum = "d869c01cc0c455284163fd0092f1f93835385ccab5a98a0dcc497b2f8bf055a9"
dependencies = [
"autocfg",
"num-integer",
@@ -2953,9 +3129,9 @@ dependencies = [
[[package]]
name = "num-traits"
-version = "0.2.17"
+version = "0.2.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "39e3200413f237f41ab11ad6d161bc7239c84dcb631773ccd7de3dfe4b5c267c"
+checksum = "da0df0e5185db44f69b44f26786fe401b6c293d1907744beaa7fa62b2e5a517a"
dependencies = [
"autocfg",
"libm",
@@ -3033,12 +3209,12 @@ checksum = "04744f49eae99ab78e0d5c0b603ab218f515ea8cfe5a456d7629ad883a3b6e7d"
[[package]]
name = "ordered-multimap"
-version = "0.4.3"
+version = "0.7.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ccd746e37177e1711c20dd619a1620f34f5c8b569c53590a72dedd5344d8924a"
+checksum = "a4d6a8c22fc714f0c2373e6091bf6f5e9b37b1bc0b1184874b7e0a4e303d318f"
dependencies = [
"dlv-list",
- "hashbrown 0.12.3",
+ "hashbrown",
]
[[package]]
@@ -3072,14 +3248,14 @@ dependencies = [
"proc-macro-error",
"proc-macro2",
"quote",
- "syn 2.0.46",
+ "syn 2.0.48",
]
[[package]]
name = "palette"
-version = "0.7.3"
+version = "0.7.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b2e2f34147767aa758aa649415b50a69eeb46a67f9dc7db8011eeb3d84b351dc"
+checksum = "3d38e6e5ca1612e2081cc31188f08c3cba630ce4ba44709a153f1a0f38d678f2"
dependencies = [
"approx",
"fast-srgb8",
@@ -3090,13 +3266,25 @@ dependencies = [
[[package]]
name = "palette_derive"
-version = "0.7.3"
+version = "0.7.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b7db010ec5ff3d4385e4f133916faacd9dad0f6a09394c92d825b3aed310fa0a"
+checksum = "e05d1c929301fee6830dafa764341118829b2535c216b0571e3821ecac5c885b"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.46",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "pango-sys"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "436737e391a843e5933d6d9aa102cb126d501e815b83601365a948a518555dc5"
+dependencies = [
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps",
]
[[package]]
@@ -3195,7 +3383,7 @@ dependencies = [
"phf_shared",
"proc-macro2",
"quote",
- "syn 2.0.46",
+ "syn 2.0.48",
]
[[package]]
@@ -3213,26 +3401,6 @@ version = "0.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "5be167a7af36ee22fe3115051bc51f6e6c7054c9348e28deb4f49bd6f705a315"
-[[package]]
-name = "pin-project"
-version = "1.1.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fda4ed1c6c173e3fc7a83629421152e01d7b1f9b7f65fb301e490e8cfc656422"
-dependencies = [
- "pin-project-internal",
-]
-
-[[package]]
-name = "pin-project-internal"
-version = "1.1.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4359fd9c9171ec6e8c62926d6faaf553a8dc3f64e1507e76da7911b4f6a04405"
-dependencies = [
- "proc-macro2",
- "quote",
- "syn 2.0.46",
-]
-
[[package]]
name = "pin-project-lite"
version = "0.2.13"
@@ -3258,15 +3426,15 @@ dependencies = [
[[package]]
name = "pkg-config"
-version = "0.3.28"
+version = "0.3.29"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "69d3587f8a9e599cc7ec2c00e331f71c4e69a5f9a4b8a6efd5b07466b9736f9a"
+checksum = "2900ede94e305130c13ddd391e0ab7cbaeb783945ae07a279c268cb05109c6cb"
[[package]]
name = "png"
-version = "0.17.10"
+version = "0.17.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dd75bf2d8dd3702b9707cdbc56a5b9ef42cec752eb8b3bafc01234558442aa64"
+checksum = "1f6c3c3e617595665b8ea2ff95a86066be38fb121ff920a9c0eb282abcd1da5a"
dependencies = [
"bitflags 1.3.2",
"crc32fast",
@@ -3293,14 +3461,14 @@ dependencies = [
[[package]]
name = "polling"
-version = "3.3.1"
+version = "3.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cf63fa624ab313c11656b4cda960bfc46c410187ad493c41f6ba2d8c1e991c9e"
+checksum = "30054e72317ab98eddd8561db0f6524df3367636884b7b21b703e4b280a84a14"
dependencies = [
"cfg-if",
"concurrent-queue",
"pin-project-lite",
- "rustix 0.38.28",
+ "rustix 0.38.31",
"tracing",
"windows-sys 0.52.0",
]
@@ -3363,18 +3531,18 @@ dependencies = [
[[package]]
name = "proc-macro2"
-version = "1.0.74"
+version = "1.0.78"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2de98502f212cfcea8d0bb305bd0f49d7ebdd75b64ba0a68f937d888f4e0d6db"
+checksum = "e2422ad645d89c99f8f3e6b88a9fdeca7fabeac836b1002371c4367c8f984aae"
dependencies = [
"unicode-ident",
]
[[package]]
name = "profiling"
-version = "1.0.13"
+version = "1.0.14"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d135ede8821cf6376eb7a64148901e1690b788c11ae94dc297ae917dbc91dc0e"
+checksum = "0f0f7f43585c34e4fdd7497d746bc32e14458cf11c69341cc0587b1d825dde42"
[[package]]
name = "qoi"
@@ -3396,9 +3564,9 @@ dependencies = [
[[package]]
name = "quick-xml"
-version = "0.30.0"
+version = "0.31.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "eff6510e86862b57b210fd8cbe8ed3f0d7d600b9c2863cd4549a2e033c66e956"
+checksum = "1004a344b30a54e2ee58d66a71b32d2db2feb0a31f9a2d302bf0536f15de2a33"
dependencies = [
"memchr",
]
@@ -3450,9 +3618,9 @@ checksum = "9c8a99fddc9f0ba0a85884b8d14e3592853e787d581ca1816c91349b10e4eeab"
[[package]]
name = "rangemap"
-version = "1.4.0"
+version = "1.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "977b1e897f9d764566891689e642653e5ed90c6895106acd005eb4c1d0203991"
+checksum = "795915a3930a5d6bafd9053d37602fea3e61be2e5d4d788983a8ba9654c1c6f2"
[[package]]
name = "raw-window-handle"
@@ -3461,10 +3629,16 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "f2ff9a1f06a88b01621b7ae906ef0211290d1c8a168a15542486a8f61c0833b9"
[[package]]
-name = "rayon"
-version = "1.8.0"
+name = "raw-window-handle"
+version = "0.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9c27db03db7734835b3f53954b534c91069375ce6ccaa2e065441e07d9b6cdb1"
+checksum = "42a9830a0e1b9fb145ebb365b8bc4ccd75f290f98c0247deafbbe2c75cefb544"
+
+[[package]]
+name = "rayon"
+version = "1.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fa7237101a77a10773db45d62004a272517633fbcc3df19d96455ede1122e051"
dependencies = [
"either",
"rayon-core",
@@ -3472,9 +3646,9 @@ dependencies = [
[[package]]
name = "rayon-core"
-version = "1.12.0"
+version = "1.12.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5ce3fb6ad83f861aac485e76e1985cd109d9a3713802152be56c3b1f0e0658ed"
+checksum = "1465873a3dfdaa8ae7cb14b4383657caab0b3e8a0aa9ae8e04b044854c8dfce2"
dependencies = [
"crossbeam-deque",
"crossbeam-utils",
@@ -3486,6 +3660,15 @@ version = "0.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "3b42e27ef78c35d3998403c1d26f3efd9e135d3e5121b0a4845cc5cc27547f4f"
+[[package]]
+name = "read-fonts"
+version = "0.15.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d70252c718fb23d41771a4f927e924700edefc2a91ecd52a2ee6f2461d4e6b64"
+dependencies = [
+ "font-types",
+]
+
[[package]]
name = "redox_syscall"
version = "0.2.16"
@@ -3517,9 +3700,9 @@ dependencies = [
[[package]]
name = "regex"
-version = "1.10.2"
+version = "1.10.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "380b951a9c5e80ddfd6136919eef32310721aa4aacd4889a8d39124b026ab343"
+checksum = "b62dbe01f0b06f9d8dc7d49e05a0785f153b00b2c227856282f671e0318c9b15"
dependencies = [
"aho-corasick",
"memchr",
@@ -3529,9 +3712,9 @@ dependencies = [
[[package]]
name = "regex-automata"
-version = "0.4.3"
+version = "0.4.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5f804c7828047e88b2d32e2d7fe5a105da8ee3264f01902f796c8e067dc2483f"
+checksum = "5bb987efffd3c6d0d8f5f89510bb458559eab11e4f869acb20bf845e016259cd"
dependencies = [
"aho-corasick",
"memchr",
@@ -3567,6 +3750,30 @@ dependencies = [
"usvg",
]
+[[package]]
+name = "rfd"
+version = "0.13.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c0d8ab342bcc5436e04d3a4c1e09e17d74958bfaddf8d5fad6f85607df0f994f"
+dependencies = [
+ "ashpd",
+ "block",
+ "dispatch",
+ "glib-sys",
+ "gobject-sys",
+ "gtk-sys",
+ "js-sys",
+ "log",
+ "objc",
+ "objc-foundation",
+ "objc_id",
+ "raw-window-handle 0.5.2",
+ "wasm-bindgen",
+ "wasm-bindgen-futures",
+ "web-sys",
+ "windows-sys 0.48.0",
+]
+
[[package]]
name = "rgb"
version = "0.8.37"
@@ -3583,20 +3790,11 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "b91f7eff05f748767f183df4320a63d6936e9c6107d97c9e6bdd9784f4289c94"
dependencies = [
"base64",
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"serde",
"serde_derive",
]
-[[package]]
-name = "roxmltree"
-version = "0.18.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "862340e351ce1b271a378ec53f304a5558f7db87f3769dc655a8f6ecbb68b302"
-dependencies = [
- "xmlparser",
-]
-
[[package]]
name = "roxmltree"
version = "0.19.0"
@@ -3623,7 +3821,7 @@ dependencies = [
"proc-macro2",
"quote",
"rust-embed-utils",
- "syn 2.0.46",
+ "syn 2.0.48",
"walkdir",
]
@@ -3639,9 +3837,9 @@ dependencies = [
[[package]]
name = "rust-ini"
-version = "0.18.0"
+version = "0.20.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f6d5f2436026b4f6e79dc829837d467cc7e9a55ee40e750d716713540715a2df"
+checksum = "3e0698206bcb8882bf2a9ecb4c1e7785db57ff052297085a6efd4fe42302068a"
dependencies = [
"cfg-if",
"ordered-multimap",
@@ -3675,14 +3873,14 @@ dependencies = [
[[package]]
name = "rustix"
-version = "0.38.28"
+version = "0.38.31"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "72e572a5e8ca657d7366229cdde4bd14c4eb5499a9573d4d366fe1b599daa316"
+checksum = "6ea3e1a662af26cd7a3ba09c0297a31af215563ecf42817c98df621387f4e949"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"errno",
"libc",
- "linux-raw-sys 0.4.12",
+ "linux-raw-sys 0.4.13",
"windows-sys 0.52.0",
]
@@ -3692,7 +3890,7 @@ version = "0.12.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "f0ae5692c5beaad6a9e22830deeed7874eae8a4e3ba4076fb48e12c56856222c"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"bytemuck",
"libm",
"smallvec",
@@ -3741,22 +3939,22 @@ checksum = "58bf37232d3bb9a2c4e641ca2a11d83b5062066f88df7fed36c28772046d65ba"
[[package]]
name = "serde"
-version = "1.0.194"
+version = "1.0.196"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0b114498256798c94a0689e1a15fec6005dee8ac1f41de56404b67afc2a4b773"
+checksum = "870026e60fa08c69f064aa766c10f10b1d62db9ccd4d0abb206472bee0ce3b32"
dependencies = [
"serde_derive",
]
[[package]]
name = "serde_derive"
-version = "1.0.194"
+version = "1.0.196"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a3385e45322e8f9931410f01b3031ec534c3947d0e94c18049af4d9f9907d4e0"
+checksum = "33c85360c95e7d137454dc81d9a4ed2b8efd8fbe19cee57357b32b9771fccb67"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.46",
+ "syn 2.0.48",
]
[[package]]
@@ -3767,7 +3965,7 @@ checksum = "0b2e6b945e9d3df726b65d6ee24060aff8e3533d431f677a9695db04eff9dfdb"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.46",
+ "syn 2.0.48",
]
[[package]]
@@ -3803,9 +4001,9 @@ dependencies = [
[[package]]
name = "shlex"
-version = "1.2.0"
+version = "1.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a7cee0529a6d40f580e7a5e6c495c8fbfe21b7b52795ed4bb5e62cdf92bc6380"
+checksum = "0fda2ff0d084019ba4d7c6f371c95d8fd75ce3524c3cb8fb653a3023f6323e64"
[[package]]
name = "signal-hook-registry"
@@ -3857,9 +4055,9 @@ dependencies = [
[[package]]
name = "smallvec"
-version = "1.11.2"
+version = "1.13.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4dccd0940a2dcdf68d092b8cbab7dc0ad8fa938bf95787e1b916b0e3d0e8e970"
+checksum = "e6ecd384b10a64542d77071bd64bd7b231f4ed5940fba55e98c3de13824cf3d7"
[[package]]
name = "smithay-client-toolkit"
@@ -3884,24 +4082,24 @@ name = "smithay-client-toolkit"
version = "0.18.0"
source = "git+https://github.com/smithay/client-toolkit?rev=2e9bf9f#2e9bf9f31698851ca373e5f1e7ba3e6e804e4db1"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"bytemuck",
"calloop",
"calloop-wayland-source",
"cursor-icon",
"libc",
"log",
- "memmap2 0.9.3",
+ "memmap2 0.9.4",
"pkg-config",
- "rustix 0.38.28",
+ "rustix 0.38.31",
"thiserror",
- "wayland-backend 0.3.2",
- "wayland-client 0.31.1",
+ "wayland-backend 0.3.3",
+ "wayland-client 0.31.2",
"wayland-csd-frame",
- "wayland-cursor 0.31.0",
- "wayland-protocols 0.31.0",
+ "wayland-cursor 0.31.1",
+ "wayland-protocols 0.31.2",
"wayland-protocols-wlr",
- "wayland-scanner 0.31.0",
+ "wayland-scanner 0.31.1",
"xkbcommon",
"xkeysym",
]
@@ -3916,6 +4114,15 @@ dependencies = [
"wayland-client 0.29.5",
]
+[[package]]
+name = "smol_str"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e6845563ada680337a52d43bb0b29f396f2d911616f6573012645b9e3d048a49"
+dependencies = [
+ "serde",
+]
+
[[package]]
name = "socket2"
version = "0.4.10"
@@ -3938,12 +4145,12 @@ dependencies = [
[[package]]
name = "softbuffer"
-version = "0.3.3"
-source = "git+https://github.com/pop-os/softbuffer?tag=v0.3-cosmic#6f0371ccece51d124c6c5d37082189df0dc5f9ba"
+version = "0.4.1"
+source = "git+https://github.com/pop-os/softbuffer?tag=cosmic-4.0#0bb85989353f0d17deb593dedb00ee4392a871e7"
dependencies = [
"as-raw-xcb-connection",
"bytemuck",
- "cfg_aliases",
+ "cfg_aliases 0.2.0",
"cocoa",
"core-graphics",
"drm",
@@ -3951,18 +4158,18 @@ dependencies = [
"foreign-types",
"js-sys",
"log",
- "memmap2 0.9.3",
+ "memmap2 0.9.4",
"objc",
- "raw-window-handle",
+ "raw-window-handle 0.6.0",
"redox_syscall 0.4.1",
- "rustix 0.38.28",
+ "rustix 0.38.31",
"tiny-xlib",
"wasm-bindgen",
- "wayland-backend 0.3.2",
- "wayland-client 0.31.1",
+ "wayland-backend 0.3.3",
+ "wayland-client 0.31.2",
"wayland-sys 0.31.1",
"web-sys",
- "windows-sys 0.48.0",
+ "windows-sys 0.52.0",
"x11rb",
]
@@ -3977,12 +4184,11 @@ dependencies = [
[[package]]
name = "spirv"
-version = "0.2.0+1.5.4"
+version = "0.3.0+sdk-1.3.268.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "246bfa38fe3db3f1dfc8ca5a2cdeb7348c78be2112740cc0ec8ef18b6d94f830"
+checksum = "eda41003dc44290527a59b13432d4a0379379fa074b70174882adfbdfd917844"
dependencies = [
- "bitflags 1.3.2",
- "num-traits",
+ "bitflags 2.4.2",
]
[[package]]
@@ -4006,6 +4212,12 @@ version = "0.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "73473c0e59e6d5812c5dfe2a064a6444949f089e20eec9a2e5506596494e4623"
+[[package]]
+name = "strsim"
+version = "0.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5ee073c9e4cd00e28217186dbe12796d692868f432bf2e97ee73bed0c56dfa01"
+
[[package]]
name = "svg_fmt"
version = "0.4.1"
@@ -4024,14 +4236,23 @@ dependencies = [
[[package]]
name = "swash"
-version = "0.1.8"
+version = "0.1.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3b7c73c813353c347272919aa1af2885068b05e625e5532b43049e4f641ae77f"
+checksum = "d06ff4664af8923625604261c645f5c4cc610cc83c84bec74b50d76237089de7"
dependencies = [
+ "read-fonts",
"yazi",
"zeno",
]
+[[package]]
+name = "switcheroo-control"
+version = "0.1.0"
+source = "git+https://github.com/pop-os/dbus-settings-bindings#5dea929b730460f883935357a1a8fb9736f36f95"
+dependencies = [
+ "zbus",
+]
+
[[package]]
name = "syn"
version = "1.0.109"
@@ -4045,9 +4266,9 @@ dependencies = [
[[package]]
name = "syn"
-version = "2.0.46"
+version = "2.0.48"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "89456b690ff72fddcecf231caedbe615c59480c93358a93dfae7fc29e3ebbf0e"
+checksum = "0f3531638e407dfc0814761abb7c00a5b54992b849452a0646b7f65c9f770f3f"
dependencies = [
"proc-macro2",
"quote",
@@ -4063,6 +4284,19 @@ dependencies = [
"libc",
]
+[[package]]
+name = "system-deps"
+version = "6.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2a2d580ff6a20c55dfb86be5f9c238f67835d0e81cbdea8bf5680e0897320331"
+dependencies = [
+ "cfg-expr",
+ "heck",
+ "pkg-config",
+ "toml 0.8.10",
+ "version-compare",
+]
+
[[package]]
name = "taffy"
version = "0.3.11"
@@ -4074,6 +4308,12 @@ dependencies = [
"slotmap",
]
+[[package]]
+name = "target-lexicon"
+version = "0.12.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "69758bda2e78f098e4ccb393021a0963bb3442eac05f135c30f61b7370bbafae"
+
[[package]]
name = "temp-dir"
version = "0.1.12"
@@ -4082,22 +4322,21 @@ checksum = "dd16aa9ffe15fe021c6ee3766772132c6e98dfa395a167e16864f61a9cfb71d6"
[[package]]
name = "tempfile"
-version = "3.9.0"
+version = "3.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "01ce4141aa927a6d1bd34a041795abd0db1cccba5d5f24b009f694bdf3a1f3fa"
+checksum = "a365e8cd18e44762ef95d87f284f4b5cd04107fec2ff3052bd6a3e6069669e67"
dependencies = [
"cfg-if",
"fastrand 2.0.1",
- "redox_syscall 0.4.1",
- "rustix 0.38.28",
+ "rustix 0.38.31",
"windows-sys 0.52.0",
]
[[package]]
name = "termcolor"
-version = "1.4.0"
+version = "1.4.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ff1bc3d3f05aff0403e8ac0d92ced918ec05b666a43f83297ccef5bea8a3d449"
+checksum = "06794f8f6c5c898b3275aebefa6b8a1cb24cd2c6c79397ab15774837a0bc5755"
dependencies = [
"winapi-util",
]
@@ -4119,14 +4358,14 @@ checksum = "fa0faa943b50f3db30a20aa7e265dbc66076993efed8463e8de414e5d06d3471"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.46",
+ "syn 2.0.48",
]
[[package]]
name = "tiff"
-version = "0.9.0"
+version = "0.9.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6d172b0f4d3fba17ba89811858b9d3d97f928aece846475bbda076ca46736211"
+checksum = "ba1310fcea54c6a9a4fd1aad794ecc02c31682f6bfbecdf460bf19533eed1e3e"
dependencies = [
"flate2",
"jpeg-decoder",
@@ -4134,10 +4373,19 @@ dependencies = [
]
[[package]]
-name = "tiny-skia"
-version = "0.11.3"
+name = "tiny-keccak"
+version = "2.0.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b6a067b809476893fce6a254cf285850ff69c847e6cfbade6a20b655b6c7e80d"
+checksum = "2c9d3793400a45f954c52e73d068316d76b6f4e36977e3fcebb13a2721e80237"
+dependencies = [
+ "crunchy",
+]
+
+[[package]]
+name = "tiny-skia"
+version = "0.11.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "83d13394d44dae3207b52a326c0c85a8bf87f1541f23b0d143811088497b09ab"
dependencies = [
"arrayref",
"arrayvec",
@@ -4150,9 +4398,9 @@ dependencies = [
[[package]]
name = "tiny-skia-path"
-version = "0.11.3"
+version = "0.11.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5de35e8a90052baaaf61f171680ac2f8e925a1e43ea9d2e3a00514772250e541"
+checksum = "9c9e7fc0c2e86a30b117d0462aa261b72b7a99b7ebd7deb3a14ceda95c5bdc93"
dependencies = [
"arrayref",
"bytemuck",
@@ -4197,9 +4445,9 @@ checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20"
[[package]]
name = "tokio"
-version = "1.35.1"
+version = "1.36.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c89b4efa943be685f629b149f53829423f8f5531ea21249408e8e2f8671ec104"
+checksum = "61285f6515fa018fb2d1e46eb21223fff441ee8db5d0f1435e8ab4f5cdb80931"
dependencies = [
"backtrace",
"bytes",
@@ -4224,14 +4472,14 @@ dependencies = [
[[package]]
name = "toml"
-version = "0.8.8"
+version = "0.8.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a1a195ec8c9da26928f773888e0742ca3ca1040c6cd859c919c9f59c1954ab35"
+checksum = "9a9aad4a3066010876e8dcf5a8a06e70a558751117a145c6ce2b82c2e2054290"
dependencies = [
"serde",
"serde_spanned",
"toml_datetime",
- "toml_edit 0.21.0",
+ "toml_edit 0.22.4",
]
[[package]]
@@ -4256,9 +4504,9 @@ dependencies = [
[[package]]
name = "toml_edit"
-version = "0.21.0"
+version = "0.22.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d34d383cd00a163b4a5b85053df514d45bc330f6de7737edfe0a93311d1eaa03"
+checksum = "0c9ffdf896f8daaabf9b66ba8e77ea1ed5ed0f72821b398aba62352e95062951"
dependencies = [
"indexmap",
"serde",
@@ -4286,7 +4534,7 @@ checksum = "34704c8d6ebcbc939824180af020566b01a7c01f80641264eba0999f6c2b6be7"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.46",
+ "syn 2.0.48",
]
[[package]]
@@ -4351,9 +4599,9 @@ dependencies = [
[[package]]
name = "unicode-bidi"
-version = "0.3.14"
+version = "0.3.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6f2528f27a9eb2b21e69c95319b30bd0efd85d09c379741b0f78ea1d86be2416"
+checksum = "08f95100a766bf4f8f28f90d77e0a5461bbdb219042e7679bebe79004fed8d75"
[[package]]
name = "unicode-bidi-mirroring"
@@ -4390,9 +4638,9 @@ dependencies = [
[[package]]
name = "unicode-properties"
-version = "0.1.0"
+version = "0.1.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c7f91c8b21fbbaa18853c3d0801c78f4fc94cdb976699bb03e832e75f7fd22f0"
+checksum = "e4259d9d4425d9f0661581b804cb85fe66a4c631cadd8f490d1c13a35d5d9291"
[[package]]
name = "unicode-script"
@@ -4402,9 +4650,9 @@ checksum = "7d817255e1bed6dfd4ca47258685d14d2bdcfbc64fdc9e3819bd5848057b8ecc"
[[package]]
name = "unicode-segmentation"
-version = "1.10.1"
+version = "1.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1dd624098567895118886609431a7c3b8f516e41d30e0643f03d94592a147e36"
+checksum = "d4c87d22b6e3f4a18d4d40ef354e97c90fcb14dd91d7dc0aa9d8a1172ebf7202"
[[package]]
name = "unicode-vo"
@@ -4462,7 +4710,7 @@ dependencies = [
"imagesize",
"kurbo",
"log",
- "roxmltree 0.19.0",
+ "roxmltree",
"simplecss",
"siphasher",
"svgtypes",
@@ -4503,6 +4751,12 @@ version = "0.2.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "711b9620af191e0cdc7468a8d14e709c3dcdb115b36f838e601583af800a370a"
+[[package]]
+name = "version-compare"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "579a42fc0b8e0c63b76519a339be31bed574929511fa53c1a3acae26eb258f29"
+
[[package]]
name = "version_check"
version = "0.9.4"
@@ -4533,9 +4787,9 @@ checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
[[package]]
name = "wasm-bindgen"
-version = "0.2.89"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0ed0d4f68a3015cc185aff4db9506a015f4b96f95303897bfa23f846db54064e"
+checksum = "c1e124130aee3fb58c5bdd6b639a0509486b0338acaaae0c84a5124b0f588b7f"
dependencies = [
"cfg-if",
"wasm-bindgen-macro",
@@ -4543,24 +4797,24 @@ dependencies = [
[[package]]
name = "wasm-bindgen-backend"
-version = "0.2.89"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1b56f625e64f3a1084ded111c4d5f477df9f8c92df113852fa5a374dbda78826"
+checksum = "c9e7e1900c352b609c8488ad12639a311045f40a35491fb69ba8c12f758af70b"
dependencies = [
"bumpalo",
"log",
"once_cell",
"proc-macro2",
"quote",
- "syn 2.0.46",
+ "syn 2.0.48",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-futures"
-version = "0.4.39"
+version = "0.4.41"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ac36a15a220124ac510204aec1c3e5db8a22ab06fd6706d881dc6149f8ed9a12"
+checksum = "877b9c3f61ceea0e56331985743b13f3d25c406a7098d45180fb5f09bc19ed97"
dependencies = [
"cfg-if",
"js-sys",
@@ -4570,9 +4824,9 @@ dependencies = [
[[package]]
name = "wasm-bindgen-macro"
-version = "0.2.89"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0162dbf37223cd2afce98f3d0785506dcb8d266223983e4b5b525859e6e182b2"
+checksum = "b30af9e2d358182b5c7449424f017eba305ed32a7010509ede96cdc4696c46ed"
dependencies = [
"quote",
"wasm-bindgen-macro-support",
@@ -4580,22 +4834,22 @@ dependencies = [
[[package]]
name = "wasm-bindgen-macro-support"
-version = "0.2.89"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f0eb82fcb7930ae6219a7ecfd55b217f5f0893484b7a13022ebb2b2bf20b5283"
+checksum = "642f325be6301eb8107a83d12a8ac6c1e1c54345a7ef1a9261962dfefda09e66"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.46",
+ "syn 2.0.48",
"wasm-bindgen-backend",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-shared"
-version = "0.2.89"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7ab9b36309365056cd639da3134bf87fa8f3d86008abf99e612384a6eecd459f"
+checksum = "4f186bd2dcf04330886ce82d6f33dd75a7bfcf69ecf5763b89fcde53b6ac9838"
[[package]]
name = "wasm-timer"
@@ -4629,13 +4883,13 @@ dependencies = [
[[package]]
name = "wayland-backend"
-version = "0.3.2"
+version = "0.3.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "19152ddd73f45f024ed4534d9ca2594e0ef252c1847695255dae47f34df9fbe4"
+checksum = "9d50fa61ce90d76474c87f5fc002828d81b32677340112b4ef08079a9d459a40"
dependencies = [
"cc",
"downcast-rs",
- "nix 0.26.4",
+ "rustix 0.38.31",
"scoped-tls",
"smallvec",
"wayland-sys 0.31.1",
@@ -4671,14 +4925,14 @@ dependencies = [
[[package]]
name = "wayland-client"
-version = "0.31.1"
+version = "0.31.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ca7d52347346f5473bf2f56705f360e8440873052e575e55890c4fa57843ed3"
+checksum = "82fb96ee935c2cea6668ccb470fb7771f6215d1691746c2d896b447a00ad3f1f"
dependencies = [
- "bitflags 2.4.1",
- "nix 0.26.4",
- "wayland-backend 0.3.2",
- "wayland-scanner 0.31.0",
+ "bitflags 2.4.2",
+ "rustix 0.38.31",
+ "wayland-backend 0.3.3",
+ "wayland-scanner 0.31.1",
]
[[package]]
@@ -4699,9 +4953,9 @@ version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "625c5029dbd43d25e6aa9615e88b829a5cad13b2819c4ae129fdbb7c31ab4c7e"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"cursor-icon",
- "wayland-backend 0.3.2",
+ "wayland-backend 0.3.3",
]
[[package]]
@@ -4717,12 +4971,12 @@ dependencies = [
[[package]]
name = "wayland-cursor"
-version = "0.31.0"
+version = "0.31.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a44aa20ae986659d6c77d64d808a046996a932aa763913864dc40c359ef7ad5b"
+checksum = "71ce5fa868dd13d11a0d04c5e2e65726d0897be8de247c0c5a65886e283231ba"
dependencies = [
- "nix 0.26.4",
- "wayland-client 0.31.1",
+ "rustix 0.38.31",
+ "wayland-client 0.31.2",
"xcursor",
]
@@ -4752,14 +5006,14 @@ dependencies = [
[[package]]
name = "wayland-protocols"
-version = "0.31.0"
+version = "0.31.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e253d7107ba913923dc253967f35e8561a3c65f914543e46843c88ddd729e21c"
+checksum = "8f81f365b8b4a97f422ac0e8737c438024b5951734506b0e1d775c73030561f4"
dependencies = [
- "bitflags 2.4.1",
- "wayland-backend 0.3.2",
- "wayland-client 0.31.1",
- "wayland-scanner 0.31.0",
+ "bitflags 2.4.2",
+ "wayland-backend 0.3.3",
+ "wayland-client 0.31.2",
+ "wayland-scanner 0.31.1",
"wayland-server",
]
@@ -4769,11 +5023,11 @@ version = "0.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "ad1f61b76b6c2d8742e10f9ba5c3737f6530b4c243132c2a2ccc8aa96fe25cd6"
dependencies = [
- "bitflags 2.4.1",
- "wayland-backend 0.3.2",
- "wayland-client 0.31.1",
- "wayland-protocols 0.31.0",
- "wayland-scanner 0.31.0",
+ "bitflags 2.4.2",
+ "wayland-backend 0.3.3",
+ "wayland-client 0.31.2",
+ "wayland-protocols 0.31.2",
+ "wayland-scanner 0.31.1",
]
[[package]]
@@ -4800,27 +5054,27 @@ dependencies = [
[[package]]
name = "wayland-scanner"
-version = "0.31.0"
+version = "0.31.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fb8e28403665c9f9513202b7e1ed71ec56fde5c107816843fb14057910b2c09c"
+checksum = "63b3a62929287001986fb58c789dce9b67604a397c15c611ad9f747300b6c283"
dependencies = [
"proc-macro2",
- "quick-xml 0.30.0",
+ "quick-xml 0.31.0",
"quote",
]
[[package]]
name = "wayland-server"
-version = "0.31.0"
+version = "0.31.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3f3f0c52a445936ca1184c98f1a69cf4ad9c9130788884531ef04428468cb1ce"
+checksum = "00e6e4d5c285bc24ba4ed2d5a4bd4febd5fd904451f465973225c8e99772fdb7"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"downcast-rs",
"io-lifetimes 2.0.3",
- "nix 0.26.4",
- "wayland-backend 0.3.2",
- "wayland-scanner 0.31.0",
+ "rustix 0.38.31",
+ "wayland-backend 0.3.3",
+ "wayland-scanner 0.31.1",
]
[[package]]
@@ -4859,9 +5113,19 @@ dependencies = [
[[package]]
name = "web-sys"
-version = "0.3.64"
+version = "0.3.68"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9b85cbef8c220a6abc02aefd892dfc0fc23afb1c6a426316ec33253a3877249b"
+checksum = "96565907687f7aceb35bc5fc03770a8a0471d82e479f25832f54a0e3f4b28446"
+dependencies = [
+ "js-sys",
+ "wasm-bindgen",
+]
+
+[[package]]
+name = "web-time"
+version = "0.2.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "aa30049b1c872b72c89866d458eae9f20380ab280ffd1b1e18df2d3e2d98cfe0"
dependencies = [
"js-sys",
"wasm-bindgen",
@@ -4869,25 +5133,24 @@ dependencies = [
[[package]]
name = "weezl"
-version = "0.1.7"
+version = "0.1.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9193164d4de03a926d909d3bc7c30543cecb35400c02114792c2cae20d5e2dbb"
+checksum = "53a85b86a771b1c87058196170769dd264f66c0782acf1ae6cc51bfd64b39082"
[[package]]
name = "wgpu"
-version = "0.18.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "30e7d227c9f961f2061c26f4cb0fbd4df0ef37e056edd0931783599d6c94ef24"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
dependencies = [
"arrayvec",
"cfg-if",
- "flume 0.11.0",
+ "cfg_aliases 0.1.1",
"js-sys",
"log",
"naga",
"parking_lot 0.12.1",
"profiling",
- "raw-window-handle",
+ "raw-window-handle 0.6.0",
"smallvec",
"static_assertions",
"wasm-bindgen",
@@ -4900,19 +5163,21 @@ dependencies = [
[[package]]
name = "wgpu-core"
-version = "0.18.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ef91c1d62d1e9e81c79e600131a258edf75c9531cbdbde09c44a011a47312726"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
dependencies = [
"arrayvec",
"bit-vec",
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
+ "cfg_aliases 0.1.1",
"codespan-reporting",
+ "indexmap",
"log",
"naga",
+ "once_cell",
"parking_lot 0.12.1",
"profiling",
- "raw-window-handle",
+ "raw-window-handle 0.6.0",
"rustc-hash",
"smallvec",
"thiserror",
@@ -4923,16 +5188,16 @@ dependencies = [
[[package]]
name = "wgpu-hal"
-version = "0.18.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b84ecc802da3eb67b4cf3dd9ea6fe45bbb47ef13e6c49c5c3240868a9cc6cdd9"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
dependencies = [
"android_system_properties",
"arrayvec",
"ash",
"bit-set",
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"block",
+ "cfg_aliases 0.1.1",
"core-graphics-types",
"d3d12",
"glow",
@@ -4953,7 +5218,7 @@ dependencies = [
"parking_lot 0.12.1",
"profiling",
"range-alloc",
- "raw-window-handle",
+ "raw-window-handle 0.6.0",
"renderdoc-sys",
"rustc-hash",
"smallvec",
@@ -4966,11 +5231,10 @@ dependencies = [
[[package]]
name = "wgpu-types"
-version = "0.18.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0d5ed5f0edf0de351fe311c53304986315ce866f394a2e6df0c4b3c70774bcdd"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"js-sys",
"web-sys",
]
@@ -5006,15 +5270,6 @@ dependencies = [
"winapi",
]
-[[package]]
-name = "winapi-wsapoll"
-version = "0.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "44c17110f57155602a80dca10be03852116403c9ff3cd25b079d666f2aa3df6e"
-dependencies = [
- "winapi",
-]
-
[[package]]
name = "winapi-x86_64-pc-windows-gnu"
version = "0.4.0"
@@ -5023,21 +5278,21 @@ checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
[[package]]
name = "windows"
-version = "0.51.1"
+version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ca229916c5ee38c2f2bc1e9d8f04df975b4bd93f9955dc69fabb5d91270045c9"
+checksum = "e48a53791691ab099e5e2ad123536d0fff50652600abaf43bbf952894110d0be"
dependencies = [
"windows-core",
- "windows-targets 0.48.5",
+ "windows-targets 0.52.0",
]
[[package]]
name = "windows-core"
-version = "0.51.1"
+version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f1f8cf84f35d2db49a46868f947758c7a1138116f7fac3bc844f43ade1292e64"
+checksum = "33ab640c8d7e35bf8ba19b884ba838ceb4fba93a4e8c65a9059d08afcfc683d9"
dependencies = [
- "windows-targets 0.48.5",
+ "windows-targets 0.52.0",
]
[[package]]
@@ -5174,38 +5429,33 @@ checksum = "dff9641d1cd4be8d1a070daf9e3773c5f67e78b4d9d42263020c057706765c04"
[[package]]
name = "winnow"
-version = "0.5.32"
+version = "0.5.39"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8434aeec7b290e8da5c3f0d628cb0eac6cabcb31d14bb74f779a08109a5914d6"
+checksum = "5389a154b01683d28c77f8f68f49dea75f0a4da32557a58f68ee51ebba472d29"
dependencies = [
"memchr",
]
[[package]]
name = "x11rb"
-version = "0.12.0"
+version = "0.13.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b1641b26d4dec61337c35a1b1aaf9e3cba8f46f0b43636c609ab0291a648040a"
+checksum = "f8f25ead8c7e4cba123243a6367da5d3990e0d3affa708ea19dce96356bd9f1a"
dependencies = [
"as-raw-xcb-connection",
"gethostname",
"libc",
- "libloading 0.7.4",
- "nix 0.26.4",
+ "libloading 0.8.1",
"once_cell",
- "winapi",
- "winapi-wsapoll",
+ "rustix 0.38.31",
"x11rb-protocol",
]
[[package]]
name = "x11rb-protocol"
-version = "0.12.0"
+version = "0.13.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "82d6c3f9a0fb6701fab8f6cea9b0c0bd5d6876f1f89f7fada07e558077c344bc"
-dependencies = [
- "nix 0.26.4",
-]
+checksum = "e63e71c4b8bd9ffec2c963173a4dc4cbde9ee96961d4fcb4429db9929b606c34"
[[package]]
name = "xcursor"
@@ -5221,11 +5471,11 @@ checksum = "213b7324336b53d2414b2db8537e56544d981803139155afa84f76eeebb7a546"
[[package]]
name = "xdg-home"
-version = "1.0.0"
+version = "1.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2769203cd13a0c6015d515be729c526d041e9cf2c0cc478d57faee85f40c6dcd"
+checksum = "21e5a325c3cb8398ad6cf859c1135b25dd29e186679cf2da7581d9679f63b38e"
dependencies = [
- "nix 0.26.4",
+ "libc",
"winapi",
]
@@ -5240,6 +5490,19 @@ dependencies = [
"xkeysym",
]
+[[package]]
+name = "xkbcommon-dl"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6924668544c48c0133152e7eec86d644a056ca3d09275eb8d5cdb9855f9d8699"
+dependencies = [
+ "bitflags 2.4.2",
+ "dlib",
+ "log",
+ "once_cell",
+ "xkeysym",
+]
+
[[package]]
name = "xkeysym"
version = "0.2.0"
@@ -5255,12 +5518,6 @@ version = "0.8.19"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "0fcb9cbac069e033553e8bb871be2fbdffcab578eb25bd0f7c508cedc6dcd75a"
-[[package]]
-name = "xmlparser"
-version = "0.13.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "66fee0b777b0f5ac1c69bb06d361268faafa61cd4682ae064a171c16c433e9e4"
-
[[package]]
name = "xmlwriter"
version = "0.1.0"
@@ -5281,9 +5538,9 @@ checksum = "c94451ac9513335b5e23d7a8a2b61a7102398b8cca5160829d313e84c9d98be1"
[[package]]
name = "zbus"
-version = "3.14.1"
+version = "3.15.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "31de390a2d872e4cd04edd71b425e29853f786dc99317ed72d73d6fcf5ebb948"
+checksum = "c45d06ae3b0f9ba1fb2671268b975557d8f5a84bb5ec6e43964f87e763d8bca8"
dependencies = [
"async-broadcast",
"async-executor",
@@ -5323,9 +5580,9 @@ dependencies = [
[[package]]
name = "zbus_macros"
-version = "3.14.1"
+version = "3.15.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "41d1794a946878c0e807f55a397187c11fc7a038ba5d868e7db4f3bd7760bc9d"
+checksum = "b4a1ba45ed0ad344b85a2bb5a1fe9830aed23d67812ea39a586e7d0136439c7d"
dependencies = [
"proc-macro-crate",
"proc-macro2",
@@ -5369,7 +5626,7 @@ checksum = "9ce1b18ccd8e73a9321186f97e46f9f04b778851177567b1975109d26a08d2a6"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.46",
+ "syn 2.0.48",
]
[[package]]
diff --git a/pkgs/by-name/co/cosmic-applibrary/package.nix b/pkgs/by-name/co/cosmic-applibrary/package.nix
index 94b263ed2bd7..6402cd1d30bc 100644
--- a/pkgs/by-name/co/cosmic-applibrary/package.nix
+++ b/pkgs/by-name/co/cosmic-applibrary/package.nix
@@ -8,36 +8,44 @@
, libxkbcommon
, wayland
}:
-
rustPlatform.buildRustPackage rec {
pname = "cosmic-applibrary";
- version = "unstable-2024-01-03";
+ version = "0-unstable-2024-02-09";
src = fetchFromGitHub {
owner = "pop-os";
repo = pname;
- rev = "889cb6078c05cdf3eb94f19f64db552fa2be32dc";
- hash = "sha256-qLAFSHA7YdOWr7ZmLCkQ+aGWb2schANfgdD2BxsrvaE=";
+ rev = "e214e9867876c96b24568d8a45aaca2936269d9b";
+ hash = "sha256-fZxDRktiHHmj7X3e5VyJJMO081auOpSMSsBnJdhhtR8=";
};
cargoLock = {
lockFile = ./Cargo.lock;
outputHashes = {
- "accesskit-0.11.0" = "sha256-xVhe6adUb8VmwIKKjHxwCwOo5Y1p3Or3ylcJJdLDrrE=";
+ "accesskit-0.12.2" = "sha256-ksaYMGT/oug7isQY8/1WD97XDUsX2ShBdabUzxWffYw=";
"atomicwrites-0.4.2" = "sha256-QZSuGPrJXh+svMeFWqAXoqZQxLq/WfIiamqvjJNVhxA=";
- "cosmic-config-0.1.0" = "sha256-WM08/jnB2kAf77FE+0xpBJcVGOHOCcUorifQ/5LXav0=";
- "cosmic-client-toolkit-0.1.0" = "sha256-AEgvF7i/OWPdEMi8WUaAg99igBwE/AexhAXHxyeJMdc=";
- "glyphon-0.3.0" = "sha256-JGkNIfj1HjOF8kGxqJPNq/JO+NhZD6XrZ4KmkXEP6Xc=";
- "smithay-client-toolkit-0.18.0" = "sha256-2WbDKlSGiyVmi7blNBr2Aih9FfF2dq/bny57hoA4BrE=";
- "softbuffer-0.3.3" = "sha256-eKYFVr6C1+X6ulidHIu9SP591rJxStxwL9uMiqnXx4k=";
- "taffy-0.3.11" = "sha256-SCx9GEIJjWdoNVyq+RZAGn0N71qraKZxf9ZWhvyzLaI=";
+ "cosmic-config-0.1.0" = "sha256-ETNVJ4y7EraAlU9XEZGNNPdyWt1WIURr1dSH6hQ0Pos=";
+ "cosmic-client-toolkit-0.1.0" = "sha256-vj7Wm1uJ5ULvGNEwKznNhujCZQiuntsWMyKQbIVaO/Q=";
"cosmic-settings-daemon-0.1.0" = "sha256-z/dvRyc3Zc1fAQh2HKk6NI6QSDpNqarqslwszjU+0nc=";
- "cosmic-text-0.10.0" = "sha256-C2FHJBESbiyL2BhLb6T+wI9EX+xCyp02Kk6cI46EfDs=";
+ "glyphon-0.5.0" = "sha256-j1HrbEpUBqazWqNfJhpyjWuxYAxkvbXzRKeSouUoPWg=";
+ "smithay-client-toolkit-0.18.0" = "sha256-2WbDKlSGiyVmi7blNBr2Aih9FfF2dq/bny57hoA4BrE=";
+ "softbuffer-0.4.1" = "sha256-CACVCnyFgefkpDlll6IeaPWB8a3gbF6BW8MnlkytV8o=";
+ "switcheroo-control-0.1.0" = "sha256-ztZ5HD1hEOvsUSn94ZbbJ6SY9Jbsm8iGHR70GuAnEaQ=";
+ "taffy-0.3.11" = "sha256-SCx9GEIJjWdoNVyq+RZAGn0N71qraKZxf9ZWhvyzLaI=";
+ "cosmic-text-0.11.1" = "sha256-lyBl0VAzcKBqLeCPrA28VW6O0MWXazJg1b11YuBR65U=";
+ "d3d12-0.19.0" = "sha256-usrxQXWLGJDjmIdw1LBXtBvX+CchZDvE8fHC0LjvhD4=";
};
};
- nativeBuildInputs = [ just pkg-config makeBinaryWrapper ];
- buildInputs = [ libxkbcommon wayland ];
+ nativeBuildInputs = [
+ just
+ pkg-config
+ makeBinaryWrapper
+ ];
+ buildInputs = [
+ libxkbcommon
+ wayland
+ ];
dontUseJustBuild = true;
@@ -56,7 +64,7 @@ rustPlatform.buildRustPackage rec {
postInstall = ''
wrapProgram $out/bin/cosmic-app-library \
- --prefix LD_LIBRARY_PATH : "${lib.makeLibraryPath [wayland]}"
+ --prefix LD_LIBRARY_PATH : "${lib.makeLibraryPath [ wayland ]}"
'';
meta = with lib; {
diff --git a/pkgs/by-name/co/cosmic-comp/package.nix b/pkgs/by-name/co/cosmic-comp/package.nix
index bd7d147cfeb0..50f30dcd85f8 100644
--- a/pkgs/by-name/co/cosmic-comp/package.nix
+++ b/pkgs/by-name/co/cosmic-comp/package.nix
@@ -83,6 +83,7 @@ rustPlatform.buildRustPackage {
meta = with lib; {
homepage = "https://github.com/pop-os/cosmic-comp";
description = "Compositor for the COSMIC Desktop Environment";
+ mainProgram = "cosmic-comp";
license = licenses.gpl3Only;
maintainers = with maintainers; [ qyliss nyanbinary ];
platforms = platforms.linux;
diff --git a/pkgs/by-name/co/cosmic-edit/Cargo.lock b/pkgs/by-name/co/cosmic-edit/Cargo.lock
index fbfce7d41330..5e0658ab3e8a 100644
--- a/pkgs/by-name/co/cosmic-edit/Cargo.lock
+++ b/pkgs/by-name/co/cosmic-edit/Cargo.lock
@@ -20,66 +20,71 @@ checksum = "c71b1793ee61086797f5c80b6efa2b8ffa6d5dd703f118545808a7f2e27f7046"
[[package]]
name = "accesskit"
-version = "0.11.0"
-source = "git+https://github.com/wash2/accesskit.git?tag=winit-0.28#db6f2587f663eafd8f7888e8507baa3a092599b0"
+version = "0.12.2"
+source = "git+https://github.com/wash2/accesskit.git?branch=winit-0.29#5f9b61c8264000d001499c902562422e13efa7a8"
[[package]]
name = "accesskit_consumer"
-version = "0.15.0"
-source = "git+https://github.com/wash2/accesskit.git?tag=winit-0.28#db6f2587f663eafd8f7888e8507baa3a092599b0"
+version = "0.17.0"
+source = "git+https://github.com/wash2/accesskit.git?branch=winit-0.29#5f9b61c8264000d001499c902562422e13efa7a8"
dependencies = [
"accesskit",
]
[[package]]
name = "accesskit_macos"
-version = "0.7.0"
-source = "git+https://github.com/wash2/accesskit.git?tag=winit-0.28#db6f2587f663eafd8f7888e8507baa3a092599b0"
+version = "0.11.0"
+source = "git+https://github.com/wash2/accesskit.git?branch=winit-0.29#5f9b61c8264000d001499c902562422e13efa7a8"
dependencies = [
"accesskit",
"accesskit_consumer",
- "objc2",
+ "icrate 0.1.0",
+ "objc2 0.5.0",
"once_cell",
]
[[package]]
name = "accesskit_unix"
-version = "0.4.0"
-source = "git+https://github.com/wash2/accesskit.git?tag=winit-0.28#db6f2587f663eafd8f7888e8507baa3a092599b0"
+version = "0.7.1"
+source = "git+https://github.com/wash2/accesskit.git?branch=winit-0.29#5f9b61c8264000d001499c902562422e13efa7a8"
dependencies = [
"accesskit",
"accesskit_consumer",
- "async-channel 1.9.0",
+ "async-channel",
+ "async-executor",
+ "async-task",
"atspi",
"futures-lite 1.13.0",
- "log",
+ "futures-util",
+ "once_cell",
"serde",
"zbus",
]
[[package]]
name = "accesskit_windows"
-version = "0.14.0"
-source = "git+https://github.com/wash2/accesskit.git?tag=winit-0.28#db6f2587f663eafd8f7888e8507baa3a092599b0"
+version = "0.16.0"
+source = "git+https://github.com/wash2/accesskit.git?branch=winit-0.29#5f9b61c8264000d001499c902562422e13efa7a8"
dependencies = [
"accesskit",
"accesskit_consumer",
- "arrayvec 0.7.4",
"once_cell",
"paste",
- "windows 0.44.0",
+ "static_assertions",
+ "windows 0.48.0",
]
[[package]]
name = "accesskit_winit"
-version = "0.13.0"
-source = "git+https://github.com/wash2/accesskit.git?tag=winit-0.28#db6f2587f663eafd8f7888e8507baa3a092599b0"
+version = "0.18.1"
+source = "git+https://github.com/wash2/accesskit.git?branch=winit-0.29#5f9b61c8264000d001499c902562422e13efa7a8"
dependencies = [
"accesskit",
"accesskit_macos",
"accesskit_unix",
"accesskit_windows",
- "winit 0.28.6",
+ "raw-window-handle 0.6.0",
+ "winit 0.29.10",
]
[[package]]
@@ -105,22 +110,12 @@ checksum = "aae1277d39aeec15cb388266ecc24b11c80469deae6067e17a1a7aa9e5c1f234"
[[package]]
name = "ahash"
-version = "0.7.7"
+version = "0.8.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5a824f2aa7e75a0c98c5a504fceb80649e9c35265d44525b5f94de4771a395cd"
-dependencies = [
- "getrandom",
- "once_cell",
- "version_check",
-]
-
-[[package]]
-name = "ahash"
-version = "0.8.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "77c3a9648d43b9cd48db467b3f87fdd6e146bcc88ab0180006cef2179fe11d01"
+checksum = "8b79b82693f705137f8fb9b37871d99e4f9a7df12b917eed79c3d3954830a60b"
dependencies = [
"cfg-if 1.0.0",
+ "getrandom",
"once_cell",
"version_check",
"zerocopy",
@@ -168,20 +163,23 @@ dependencies = [
[[package]]
name = "android-activity"
-version = "0.4.3"
+version = "0.5.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "64529721f27c2314ced0890ce45e469574a73e5e6fdd6e9da1860eb29285f5e0"
+checksum = "ee91c0c2905bae44f84bfa4e044536541df26b7703fd0888deeb9060fcc44289"
dependencies = [
"android-properties",
- "bitflags 1.3.2",
+ "bitflags 2.4.2",
"cc",
+ "cesu8",
+ "jni",
"jni-sys",
"libc",
"log",
- "ndk 0.7.0",
+ "ndk 0.8.0",
"ndk-context",
- "ndk-sys 0.4.1+23.1.7779620",
- "num_enum 0.6.1",
+ "ndk-sys 0.5.0+25.2.9519653",
+ "num_enum 0.7.2",
+ "thiserror",
]
[[package]]
@@ -205,12 +203,66 @@ dependencies = [
"libc",
]
+[[package]]
+name = "anstream"
+version = "0.6.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d96bd03f33fe50a863e394ee9718a706f988b9079b20c3784fb726e7678b62fb"
+dependencies = [
+ "anstyle",
+ "anstyle-parse",
+ "anstyle-query",
+ "anstyle-wincon",
+ "colorchoice",
+ "utf8parse",
+]
+
+[[package]]
+name = "anstyle"
+version = "1.0.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8901269c6307e8d93993578286ac0edf7f195079ffff5ebdeea6a59ffb7e36bc"
+
+[[package]]
+name = "anstyle-parse"
+version = "0.2.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c75ac65da39e5fe5ab759307499ddad880d724eed2f6ce5b5e8a26f4f387928c"
+dependencies = [
+ "utf8parse",
+]
+
+[[package]]
+name = "anstyle-query"
+version = "1.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e28923312444cdd728e4738b3f9c9cac739500909bb3d3c94b43551b16517648"
+dependencies = [
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "anstyle-wincon"
+version = "3.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1cd54b81ec8d6180e24654d0b371ad22fc3dd083b6ff8ba325b72e00c87660a7"
+dependencies = [
+ "anstyle",
+ "windows-sys 0.52.0",
+]
+
[[package]]
name = "any_ascii"
version = "0.1.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "70033777eb8b5124a81a1889416543dddef2de240019b674c81285a2635a7e1e"
+[[package]]
+name = "anyhow"
+version = "1.0.80"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5ad32ce52e4161730f7098c077cd2ed6229b5804ccf99e5366be1ab72a98b4e1"
+
[[package]]
name = "apply"
version = "0.3.0"
@@ -295,24 +347,13 @@ dependencies = [
[[package]]
name = "async-channel"
-version = "1.9.0"
+version = "2.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "81953c529336010edd6d8e358f886d9581267795c61b19475b71314bffa46d35"
+checksum = "f28243a43d821d11341ab73c80bed182dc015c514b951616cf79bd4af39af0c3"
dependencies = [
"concurrent-queue",
- "event-listener 2.5.3",
- "futures-core",
-]
-
-[[package]]
-name = "async-channel"
-version = "2.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ca33f4bc4ed1babef42cad36cc1f51fa88be00420404e5b1e80ab1b18f7678c"
-dependencies = [
- "concurrent-queue",
- "event-listener 4.0.3",
- "event-listener-strategy",
+ "event-listener 5.1.0",
+ "event-listener-strategy 0.5.0",
"futures-core",
"pin-project-lite",
]
@@ -365,9 +406,9 @@ dependencies = [
[[package]]
name = "async-io"
-version = "2.3.0"
+version = "2.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fb41eb19024a91746eba0773aa5e16036045bbf45733766661099e182ea6a744"
+checksum = "8f97ab0c5b00a7cdbe5a371b9a782ee7be1316095885c8a4ea1daf490eb0ef65"
dependencies = [
"async-lock 3.3.0",
"cfg-if 1.0.0",
@@ -375,8 +416,8 @@ dependencies = [
"futures-io",
"futures-lite 2.2.0",
"parking",
- "polling 3.3.2",
- "rustix 0.38.30",
+ "polling 3.5.0",
+ "rustix 0.38.31",
"slab",
"tracing",
"windows-sys 0.52.0",
@@ -398,7 +439,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "d034b430882f8381900d3fe6f0aaa3ad94f2cb4ac519b429692a1bc2dda4ae7b"
dependencies = [
"event-listener 4.0.3",
- "event-listener-strategy",
+ "event-listener-strategy 0.4.0",
"pin-project-lite",
]
@@ -415,7 +456,7 @@ dependencies = [
"cfg-if 1.0.0",
"event-listener 3.1.0",
"futures-lite 1.13.0",
- "rustix 0.38.30",
+ "rustix 0.38.31",
"windows-sys 0.48.0",
]
@@ -427,7 +468,7 @@ checksum = "5fd55a5ba1179988837d24ab4c7cc8ed6efdeff578ede0416b4225a5fca35bd0"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -436,13 +477,13 @@ version = "0.2.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "9e47d90f65a225c4527103a8d747001fc56e375203592b25ad103e1ca13124c5"
dependencies = [
- "async-io 2.3.0",
+ "async-io 2.3.1",
"async-lock 2.8.0",
"atomic-waker",
"cfg-if 1.0.0",
"futures-core",
"futures-io",
- "rustix 0.38.30",
+ "rustix 0.38.31",
"signal-hook-registry",
"slab",
"windows-sys 0.48.0",
@@ -462,7 +503,7 @@ checksum = "c980ee35e870bd1a4d2c8294d4c04d0499e67bca1e4b5cefcc693c2fa00caea9"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -488,36 +529,57 @@ name = "atomicwrites"
version = "0.4.2"
source = "git+https://github.com/jackpot51/rust-atomicwrites#043ab4859d53ffd3d55334685303d8df39c9f768"
dependencies = [
- "rustix 0.38.30",
+ "rustix 0.38.31",
"tempfile",
"windows-sys 0.48.0",
]
[[package]]
name = "atspi"
-version = "0.10.1"
+version = "0.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "674e7a3376837b2e7d12d34d58ac47073c491dc3bf6f71a7adaf687d4d817faa"
+checksum = "6059f350ab6f593ea00727b334265c4dfc7fd442ee32d264794bd9bdc68e87ca"
dependencies = [
- "async-recursion",
- "async-trait",
- "atspi-macros",
- "enumflags2",
- "futures-lite 1.13.0",
- "serde",
- "tracing",
- "zbus",
- "zbus_names",
+ "atspi-common",
+ "atspi-connection",
+ "atspi-proxies",
]
[[package]]
-name = "atspi-macros"
-version = "0.2.0"
+name = "atspi-common"
+version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "97fb4870a32c0eaa17e35bca0e6b16020635157121fb7d45593d242c295bc768"
+checksum = "92af95f966d2431f962bc632c2e68eda7777330158bf640c4af4249349b2cdf5"
dependencies = [
- "quote",
- "syn 1.0.109",
+ "enumflags2",
+ "serde",
+ "static_assertions",
+ "zbus",
+ "zbus_names",
+ "zvariant",
+]
+
+[[package]]
+name = "atspi-connection"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a0c65e7d70f86d4c0e3b2d585d9bf3f979f0b19d635a336725a88d279f76b939"
+dependencies = [
+ "atspi-common",
+ "atspi-proxies",
+ "futures-lite 1.13.0",
+ "zbus",
+]
+
+[[package]]
+name = "atspi-proxies"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6495661273703e7a229356dcbe8c8f38223d697aacfaf0e13590a9ac9977bb52"
+dependencies = [
+ "atspi-common",
+ "serde",
+ "zbus",
]
[[package]]
@@ -536,7 +598,7 @@ dependencies = [
"cc",
"cfg-if 1.0.0",
"libc",
- "miniz_oxide 0.7.1",
+ "miniz_oxide 0.7.2",
"object",
"rustc-demangle",
]
@@ -609,21 +671,31 @@ dependencies = [
[[package]]
name = "block-sys"
-version = "0.1.0-beta.1"
+version = "0.2.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0fa55741ee90902547802152aaf3f8e5248aab7e21468089560d4c8840561146"
+checksum = "ae85a0696e7ea3b835a453750bf002770776609115e6d25c6d2ff28a8200f7e7"
dependencies = [
"objc-sys",
]
[[package]]
name = "block2"
-version = "0.2.0-alpha.6"
+version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8dd9e63c1744f755c2f60332b88de39d341e5e86239014ad839bd71c106dec42"
+checksum = "15b55663a85f33501257357e6421bb33e769d5c9ffb5ba0921c975a123e35e68"
dependencies = [
"block-sys",
- "objc2-encode",
+ "objc2 0.4.1",
+]
+
+[[package]]
+name = "block2"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e58aa60e59d8dbfcc36138f5f18be5f24394d33b38b24f7fd0b1caa33095f22f"
+dependencies = [
+ "block-sys",
+ "objc2 0.5.0",
]
[[package]]
@@ -632,7 +704,7 @@ version = "1.5.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "6a37913e8dc4ddcc604f0c6d3bf2887c995153af3611de9e23c352b44c1b9118"
dependencies = [
- "async-channel 2.1.1",
+ "async-channel",
"async-lock 3.3.0",
"async-task",
"fastrand 2.0.1",
@@ -644,9 +716,9 @@ dependencies = [
[[package]]
name = "bstr"
-version = "1.9.0"
+version = "1.9.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c48f0051a4b4c5e0b6d365cd04af53aeaa209e3cc15ec2cdb69e73cc87fbd0dc"
+checksum = "05efc5cfd9110c8416e471df0e96702d58690178e206e61b7173706673c93706"
dependencies = [
"memchr",
"regex-automata",
@@ -655,9 +727,9 @@ dependencies = [
[[package]]
name = "bumpalo"
-version = "3.14.0"
+version = "3.15.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7f30e7476521f6f8af1a1c4c0b8cc94f0bee37d91763d0ca2665f299b6cd8aec"
+checksum = "8ea184aa71bb362a1157c896979544cc23974e08fd265f29ea96b59f0b4a555b"
[[package]]
name = "bytecount"
@@ -667,9 +739,9 @@ checksum = "e1e5f035d16fc623ae5f74981db80a439803888314e3a555fd6f04acd51a3205"
[[package]]
name = "bytemuck"
-version = "1.14.0"
+version = "1.14.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "374d28ec25809ee0e23827c2ab573d729e293f281dfe393500e7ad618baa61c6"
+checksum = "a2ef034f05691a48569bd920a96c81b9d91bbad1ab5ac7c4616c1f6ef36cb79f"
dependencies = [
"bytemuck_derive",
]
@@ -682,7 +754,7 @@ checksum = "965ab7eb5f8f97d2a083c799f3a1b994fc397b2fe2da5d1da1626ce15a39f2b1"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -719,33 +791,50 @@ dependencies = [
[[package]]
name = "calloop"
-version = "0.10.6"
+version = "0.12.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "52e0d00eb1ea24371a97d2da6201c6747a633dc6dc1988ef503403b4c59504a8"
+checksum = "fba7adb4dd5aa98e5553510223000e7148f621165ec5f9acd7113f6ca4995298"
dependencies = [
- "bitflags 1.3.2",
+ "bitflags 2.4.2",
"log",
- "nix 0.25.1",
- "slotmap",
+ "polling 3.5.0",
+ "rustix 0.38.31",
+ "slab",
"thiserror",
- "vec_map",
+]
+
+[[package]]
+name = "calloop-wayland-source"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0f0ea9b9476c7fad82841a8dbb380e2eae480c21910feba80725b46931ed8f02"
+dependencies = [
+ "calloop 0.12.4",
+ "rustix 0.38.31",
+ "wayland-backend",
+ "wayland-client 0.31.2",
]
[[package]]
name = "cc"
-version = "1.0.83"
+version = "1.0.88"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f1174fb0b6ec23863f8b971027804a42614e347eafb0a95bf0b12cdae21fc4d0"
+checksum = "02f341c093d19155a6e41631ce5971aac4e9a868262212153124c15fa22d1cdc"
dependencies = [
- "jobserver",
"libc",
]
[[package]]
-name = "cfg-expr"
-version = "0.15.6"
+name = "cesu8"
+version = "1.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6100bc57b6209840798d95cb2775684849d332f7bd788db2a8c8caf7ef82a41a"
+checksum = "6d43a04d8753f35258c91f8ec639f792891f748a1edbd759cf1dcea3382ad83c"
+
+[[package]]
+name = "cfg-expr"
+version = "0.15.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fa50868b64a9a6fda9d593ce778849ea8715cd2a3d2cc17ffdb4a2f2f2f1961d"
dependencies = [
"smallvec",
"target-lexicon",
@@ -770,28 +859,33 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "fd16c4719339c4530435d38e511904438d07cce7950afa3718a84ac36c10e89e"
[[package]]
-name = "chrono"
-version = "0.4.31"
+name = "cfg_aliases"
+version = "0.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7f2c685bad3eb3d45a01354cedb7d5faa66194d1d58ba6e267a8de788f79db38"
+checksum = "77e53693616d3075149f4ead59bdeecd204ac6b8192d8969757601b74bddf00f"
+
+[[package]]
+name = "chrono"
+version = "0.4.34"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5bc015644b92d5890fab7489e49d21f879d5c990186827d42ec511919404f38b"
dependencies = [
"android-tzdata",
"iana-time-zone",
"js-sys",
"num-traits",
+ "pure-rust-locales",
"wasm-bindgen",
- "windows-targets 0.48.5",
+ "windows-targets 0.52.3",
]
[[package]]
name = "clipboard-win"
-version = "4.5.0"
+version = "5.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7191c27c2357d9b7ef96baac1773290d4ca63b24205b82a3fd8a0637afcf0362"
+checksum = "12f9a0700e0127ba15d1d52dd742097f821cd9c65939303a44d970465040a297"
dependencies = [
"error-code",
- "str-buf",
- "winapi",
]
[[package]]
@@ -807,21 +901,21 @@ dependencies = [
[[package]]
name = "clipboard_wayland"
-version = "0.2.0"
+version = "0.2.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6f6364a9f7a66f2ac1a1a098aa1c7f6b686f2496c6ac5e5c0d773445df912747"
+checksum = "003f886bc4e2987729d10c1db3424e7f80809f3fc22dbc16c685738887cb37b8"
dependencies = [
"smithay-clipboard",
]
[[package]]
name = "clipboard_x11"
-version = "0.4.0"
+version = "0.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "983a7010836ecd04dde2c6d27a0cb56ec5d21572177e782bdcb24a600124e921"
+checksum = "4274ea815e013e0f9f04a2633423e14194e408a0576c943ce3d14ca56c50031c"
dependencies = [
"thiserror",
- "x11rb 0.9.0",
+ "x11rb",
]
[[package]]
@@ -887,10 +981,51 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "3d7b894f5411737b7867f4827955924d7c254fc9f4d91a6aad6b097804b1018b"
[[package]]
-name = "com-rs"
-version = "0.2.1"
+name = "colorchoice"
+version = "1.0.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bf43edc576402991846b093a7ca18a3477e0ef9c588cde84964b5d3e43016642"
+checksum = "acbf1af155f9b9ef647e42cdc158db4b64a1b61f743629225fde6f3e0be2a7c7"
+
+[[package]]
+name = "com"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7e17887fd17353b65b1b2ef1c526c83e26cd72e74f598a8dc1bee13a48f3d9f6"
+dependencies = [
+ "com_macros",
+]
+
+[[package]]
+name = "com_macros"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d375883580a668c7481ea6631fc1a8863e33cc335bf56bfad8d7e6d4b04b13a5"
+dependencies = [
+ "com_macros_support",
+ "proc-macro2",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "com_macros_support"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ad899a1087a9296d5644792d7cb72b8e34c1bec8e7d4fbc002230169a6e8710c"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "combine"
+version = "4.6.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "35ed6e9d84f0b51a7f52daf1c7d71dd136fd7a3f41a8462b8cdb8c78d920fad4"
+dependencies = [
+ "bytes",
+ "memchr",
+]
[[package]]
name = "concurrent-queue"
@@ -901,6 +1036,26 @@ dependencies = [
"crossbeam-utils",
]
+[[package]]
+name = "const-random"
+version = "0.1.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5aaf16c9c2c612020bcfd042e170f6e32de9b9d75adb5277cdbbd2e2c8c8299a"
+dependencies = [
+ "const-random-macro",
+]
+
+[[package]]
+name = "const-random-macro"
+version = "0.1.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f9d839f2a20b0aee515dc581a6172f2321f96cab76c1a38a4c584a194955390e"
+dependencies = [
+ "getrandom",
+ "once_cell",
+ "tiny-keccak",
+]
+
[[package]]
name = "core-foundation"
version = "0.7.0"
@@ -998,22 +1153,24 @@ dependencies = [
[[package]]
name = "cosmic-config"
version = "0.1.0"
-source = "git+https://github.com/pop-os/libcosmic#4e18199444aecbc60f25a12e8adb91926aa5e653"
+source = "git+https://github.com/pop-os/libcosmic.git#a09e76e729895690caac6ac620c212a2e3745d32"
dependencies = [
"atomicwrites",
"cosmic-config-derive",
- "dirs 5.0.1",
+ "dirs",
"iced_futures",
+ "known-folders",
"notify",
"once_cell",
"ron",
"serde",
+ "xdg",
]
[[package]]
name = "cosmic-config-derive"
version = "0.1.0"
-source = "git+https://github.com/pop-os/libcosmic#4e18199444aecbc60f25a12e8adb91926aa5e653"
+source = "git+https://github.com/pop-os/libcosmic.git#a09e76e729895690caac6ac620c212a2e3745d32"
dependencies = [
"quote",
"syn 1.0.109",
@@ -1023,9 +1180,11 @@ dependencies = [
name = "cosmic-edit"
version = "0.1.0"
dependencies = [
+ "cosmic-files",
"cosmic-syntax-theme",
"cosmic-text",
- "env_logger",
+ "dirs",
+ "env_logger 0.10.2",
"fork",
"grep",
"i18n-embed",
@@ -1035,30 +1194,59 @@ dependencies = [
"libcosmic",
"log",
"notify",
+ "open",
"patch",
- "rfd",
"rust-embed",
"serde",
+ "smol_str",
"syntect",
"systemicons",
"tokio",
"two-face",
+ "vergen",
+]
+
+[[package]]
+name = "cosmic-files"
+version = "0.1.0"
+source = "git+https://github.com/pop-os/cosmic-files.git#8ab15027a521713850384fa87666bb9974f2666b"
+dependencies = [
+ "chrono",
+ "dirs",
+ "env_logger 0.11.2",
+ "fork",
+ "i18n-embed",
+ "i18n-embed-fl",
+ "image 0.24.9",
+ "lexical-sort",
+ "libcosmic",
+ "log",
+ "mime_guess",
+ "notify",
+ "once_cell",
+ "paste",
+ "rust-embed",
+ "serde",
+ "smol_str",
+ "systemicons",
+ "tokio",
+ "trash",
]
[[package]]
name = "cosmic-syntax-theme"
version = "0.1.0"
-source = "git+https://github.com/pop-os/cosmic-syntax-theme#3221bb4e22c17df5d14380a600b2ffe7eb753b25"
+source = "git+https://github.com/pop-os/cosmic-syntax-theme.git#b1e1eb0234568911e59888f092dfc779c609b499"
dependencies = [
"handlebars",
"serde",
- "toml 0.8.8",
+ "toml 0.8.10",
]
[[package]]
name = "cosmic-text"
-version = "0.10.0"
-source = "git+https://github.com/pop-os/cosmic-text#8457e68d984c465f7c5306424a73aa162aff32f2"
+version = "0.11.2"
+source = "git+https://github.com/pop-os/cosmic-text.git#2766961af621b9235616e186046f6d14a2f5fbc0"
dependencies = [
"bitflags 2.4.2",
"cosmic_undo_2",
@@ -1083,7 +1271,7 @@ dependencies = [
[[package]]
name = "cosmic-theme"
version = "0.1.0"
-source = "git+https://github.com/pop-os/libcosmic#4e18199444aecbc60f25a12e8adb91926aa5e653"
+source = "git+https://github.com/pop-os/libcosmic.git#a09e76e729895690caac6ac620c212a2e3745d32"
dependencies = [
"almost",
"cosmic-config",
@@ -1116,9 +1304,9 @@ dependencies = [
[[package]]
name = "crc32fast"
-version = "1.3.2"
+version = "1.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b540bd8bc810d3885c6ea91e2018302f68baba2129ab3e88f32389ee9370880d"
+checksum = "b3855a8a784b474f333699ef2bbca9db2c4a1f6d9088a90a2d25b1eb53111eaa"
dependencies = [
"cfg-if 1.0.0",
]
@@ -1197,9 +1385,9 @@ dependencies = [
[[package]]
name = "css-color"
-version = "0.2.5"
+version = "0.2.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d101c65424c856131a3cb818da2ddde03500dc3656972269cdf79f018ef77eb4"
+checksum = "42aaeae719fd78ce501d77c6cdf01f7e96f26bcd5617a4903a1c2b97e388543a"
[[package]]
name = "csscolorparser"
@@ -1213,12 +1401,12 @@ dependencies = [
[[package]]
name = "ctor"
-version = "0.2.6"
+version = "0.2.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "30d2b3721e861707777e3195b0158f950ae6dc4a27e4d02ff9f67e3eb3de199e"
+checksum = "ad291aa74992b9b7a7e88c38acbbf6ad7e107f1d90ee8775b7bc1fc3394f485c"
dependencies = [
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -1228,10 +1416,15 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "b365fabc795046672053e29c954733ec3b05e4be654ab130fe8f1f94d7051f35"
[[package]]
-name = "d3d12"
-version = "0.7.0"
+name = "cursor-icon"
+version = "1.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e16e44ab292b1dddfdaf7be62cfd8877df52f2f3fde5858d95bab606be259f20"
+checksum = "96a6ac251f4a2aca6b3f91340350eab87ae57c3f127ffeb585e92bd336717991"
+
+[[package]]
+name = "d3d12"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
dependencies = [
"bitflags 2.4.2",
"libloading 0.8.1",
@@ -1250,12 +1443,12 @@ dependencies = [
[[package]]
name = "darling"
-version = "0.20.3"
+version = "0.20.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0209d94da627ab5605dcccf08bb18afa5009cfbef48d8a8b7d7bdbc79be25c5e"
+checksum = "54e36fcd13ed84ffdfda6f5be89b31287cbb80c439841fe69e04841435464391"
dependencies = [
- "darling_core 0.20.3",
- "darling_macro 0.20.3",
+ "darling_core 0.20.8",
+ "darling_macro 0.20.8",
]
[[package]]
@@ -1274,16 +1467,16 @@ dependencies = [
[[package]]
name = "darling_core"
-version = "0.20.3"
+version = "0.20.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "177e3443818124b357d8e76f53be906d60937f0d3a90773a664fa63fa253e621"
+checksum = "9c2cf1c23a687a1feeb728783b993c4e1ad83d99f351801977dd809b48d0a70f"
dependencies = [
"fnv",
"ident_case",
"proc-macro2",
"quote",
"strsim 0.10.0",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -1299,13 +1492,13 @@ dependencies = [
[[package]]
name = "darling_macro"
-version = "0.20.3"
+version = "0.20.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "836a9bbc7ad63342d6d6e7b815ccab164bc77a2d95d84bc3117a8c0d5c98e2d5"
+checksum = "a668eda54683121533a393014d8692171709ff57a7d61f187b6e782719f8933f"
dependencies = [
- "darling_core 0.20.3",
+ "darling_core 0.20.8",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -1315,7 +1508,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "978747c1d849a7d2ee5e8adc0159961c48fb7e5db2f06af6723b80123bb53856"
dependencies = [
"cfg-if 1.0.0",
- "hashbrown 0.14.3",
+ "hashbrown",
"lock_api",
"once_cell",
"parking_lot_core 0.9.9",
@@ -1363,10 +1556,10 @@ version = "0.1.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "4e8ef033054e131169b8f0f9a7af8f5533a9436fadf3c500ed547f730f07090d"
dependencies = [
- "darling 0.20.3",
+ "darling 0.20.8",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -1379,22 +1572,13 @@ dependencies = [
"crypto-common",
]
-[[package]]
-name = "dirs"
-version = "4.0.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ca3aa72a6f96ea37bbc5aa912f6788242832f75369bdfdadcb0e38423f100059"
-dependencies = [
- "dirs-sys 0.3.7",
-]
-
[[package]]
name = "dirs"
version = "5.0.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "44c45a9d03d6676652bcb5e724c7e988de1acad23a711b5217ab9cbecbec2225"
dependencies = [
- "dirs-sys 0.4.1",
+ "dirs-sys",
]
[[package]]
@@ -1407,17 +1591,6 @@ dependencies = [
"dirs-sys-next",
]
-[[package]]
-name = "dirs-sys"
-version = "0.3.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1b1d1d91c932ef41c0f2663aa8b0ca0342d444d842c06914aa0a7e352d0bada6"
-dependencies = [
- "libc",
- "redox_users",
- "winapi",
-]
-
[[package]]
name = "dirs-sys"
version = "0.4.1"
@@ -1455,7 +1628,7 @@ checksum = "487585f4d0c6655fe74905e2504d8ad6908e4db67f744eb140876906c2f3175d"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -1478,9 +1651,12 @@ dependencies = [
[[package]]
name = "dlv-list"
-version = "0.3.0"
+version = "0.5.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0688c2a7f92e427f44895cd63841bff7b29f8d7a1648b9e7e07a4a365b2e1257"
+checksum = "442039f5147480ba31067cb00ada1adae6892028e40e45fc5de7b7df6dcc1b5f"
+dependencies = [
+ "const-random",
+]
[[package]]
name = "downcast-rs"
@@ -1490,25 +1666,25 @@ checksum = "9ea835d29036a4087793836fa931b08837ad5e957da9e23886b29586fb9b6650"
[[package]]
name = "drm"
-version = "0.10.0"
+version = "0.11.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "97fb1b703ffbc7ebd216eba7900008049a56ace55580ecb2ee7fa801e8d8be87"
+checksum = "a0f8a69e60d75ae7dab4ef26a59ca99f2a89d4c142089b537775ae0c198bdcde"
dependencies = [
"bitflags 2.4.2",
"bytemuck",
"drm-ffi",
"drm-fourcc",
- "nix 0.27.1",
+ "rustix 0.38.31",
]
[[package]]
name = "drm-ffi"
-version = "0.6.0"
+version = "0.7.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ba7d1c19c4b6270e89d59fb27dc6d02a317c658a8a54e54781e1db9b5947595d"
+checksum = "41334f8405792483e32ad05fbb9c5680ff4e84491883d2947a4757dc54cb2ac6"
dependencies = [
"drm-sys",
- "nix 0.27.1",
+ "rustix 0.38.31",
]
[[package]]
@@ -1519,15 +1695,19 @@ checksum = "0aafbcdb8afc29c1a7ee5fbe53b5d62f4565b35a042a662ca9fecd0b54dae6f4"
[[package]]
name = "drm-sys"
-version = "0.5.0"
+version = "0.6.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3a4f1c0468062a56cd5705f1e3b5409eb286d5596a2028ec8e947595d7e715ae"
+checksum = "2d09ff881f92f118b11105ba5e34ff8f4adf27b30dae8f12e28c193af1c83176"
+dependencies = [
+ "libc",
+ "linux-raw-sys 0.6.4",
+]
[[package]]
name = "either"
-version = "1.9.0"
+version = "1.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a26ae43d7bcc3b814de94796a5e736d4029efb0ee900c12e2d54c993ad1a1e07"
+checksum = "11157ac094ffbdde99aa67b23417ebdd801842852b500e395a45a9c0aac03e4a"
[[package]]
name = "encoding_rs"
@@ -1549,9 +1729,9 @@ dependencies = [
[[package]]
name = "enumflags2"
-version = "0.7.8"
+version = "0.7.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5998b4f30320c9d93aed72f63af821bfdac50465b75428fce77b48ec482c3939"
+checksum = "3278c9d5fb675e0a51dabcf4c0d355f692b064171535ba72361be1528a9d8e8d"
dependencies = [
"enumflags2_derive",
"serde",
@@ -1559,20 +1739,30 @@ dependencies = [
[[package]]
name = "enumflags2_derive"
-version = "0.7.8"
+version = "0.7.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f95e2801cd355d4a1a3e3953ce6ee5ae9603a5c833455343a8bfe3f44d418246"
+checksum = "5c785274071b1b420972453b306eeca06acf4633829db4223b58a2a8c5953bc4"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
+]
+
+[[package]]
+name = "env_filter"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a009aa4810eb158359dda09d0c87378e4bbb89b5a801f016885a4707ba24f7ea"
+dependencies = [
+ "log",
+ "regex",
]
[[package]]
name = "env_logger"
-version = "0.10.1"
+version = "0.10.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "95b3f3e67048839cb0d0781f445682a35113da7121f7c949db0e2be96a4fbece"
+checksum = "4cd405aab171cb85d6735e5c8d9db038c17d3ca007a4d2c25f337935c3d90580"
dependencies = [
"humantime",
"is-terminal",
@@ -1581,6 +1771,19 @@ dependencies = [
"termcolor",
]
+[[package]]
+name = "env_logger"
+version = "0.11.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6c012a26a7f605efc424dd53697843a72be7dc86ad2d01f7814337794a12231d"
+dependencies = [
+ "anstream",
+ "anstyle",
+ "env_filter",
+ "humantime",
+ "log",
+]
+
[[package]]
name = "equivalent"
version = "1.0.1"
@@ -1599,13 +1802,9 @@ dependencies = [
[[package]]
name = "error-code"
-version = "2.3.1"
+version = "3.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "64f18991e7bf11e7ffee451b5318b5c1a73c52d0d0ada6e5a3017c8c1ced6a21"
-dependencies = [
- "libc",
- "str-buf",
-]
+checksum = "a0474425d51df81997e2f90a21591180b38eccf27292d755f3e30750225c175b"
[[package]]
name = "etagere"
@@ -1654,6 +1853,17 @@ dependencies = [
"pin-project-lite",
]
+[[package]]
+name = "event-listener"
+version = "5.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b7ad6fd685ce13acd6d9541a30f6db6567a7a24c9ffd4ba2955d29e3f22c8b27"
+dependencies = [
+ "concurrent-queue",
+ "parking",
+ "pin-project-lite",
+]
+
[[package]]
name = "event-listener-strategy"
version = "0.4.0"
@@ -1665,16 +1875,26 @@ dependencies = [
]
[[package]]
-name = "exr"
-version = "1.6.4"
+name = "event-listener-strategy"
+version = "0.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "279d3efcc55e19917fff7ab3ddd6c14afb6a90881a0078465196fe2f99d08c56"
+checksum = "feedafcaa9b749175d5ac357452a9d41ea2911da598fde46ce1fe02c37751291"
+dependencies = [
+ "event-listener 5.1.0",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "exr"
+version = "1.72.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "887d93f60543e9a9362ef8a21beedd0a833c5d9610e18c67abe15a5963dcb1a4"
dependencies = [
"bit_field",
- "flume 0.10.14",
+ "flume",
"half",
"lebe",
- "miniz_oxide 0.7.1",
+ "miniz_oxide 0.7.2",
"rayon-core",
"smallvec",
"zune-inflate",
@@ -1738,7 +1958,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "46303f565772937ffe1d394a4fac6f411c6013172fadde9dcdb1e147a086940e"
dependencies = [
"crc32fast",
- "miniz_oxide 0.7.1",
+ "miniz_oxide 0.7.2",
]
[[package]]
@@ -1797,28 +2017,12 @@ dependencies = [
"thiserror",
]
-[[package]]
-name = "flume"
-version = "0.10.14"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1657b4441c3403d9f7b3409e47575237dac27b1b5726df654a6ecbf92f0f7577"
-dependencies = [
- "futures-core",
- "futures-sink",
- "nanorand",
- "pin-project",
- "spin",
-]
-
[[package]]
name = "flume"
version = "0.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "55ac459de2512911e4b674ce33cf20befaba382d05b62b008afc1c8b57cbf181"
dependencies = [
- "futures-core",
- "futures-sink",
- "nanorand",
"spin",
]
@@ -1829,23 +2033,29 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "3f9eec918d3f24069decb9af1554cad7c880e2da24a9afd88aca000531ab82c1"
[[package]]
-name = "fontconfig-parser"
-version = "0.5.3"
+name = "font-types"
+version = "0.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "674e258f4b5d2dcd63888c01c68413c51f565e8af99d2f7701c7b81d79ef41c4"
+checksum = "0bd7f3ea17572640b606b35df42cfb6ecdf003704b062580e59918692190b73d"
+
+[[package]]
+name = "fontconfig-parser"
+version = "0.5.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6a595cb550439a117696039dfc69830492058211b771a2a165379f2a1a53d84d"
dependencies = [
- "roxmltree 0.18.1",
+ "roxmltree",
]
[[package]]
name = "fontdb"
-version = "0.16.0"
+version = "0.16.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "98b88c54a38407f7352dd2c4238830115a6377741098ffd1f997c813d0e088a6"
+checksum = "b0299020c3ef3f60f526a4f64ab4a3d4ce116b1acbf24cdd22da0068e5d81dc3"
dependencies = [
"fontconfig-parser",
"log",
- "memmap2 0.9.3",
+ "memmap2 0.9.4",
"slotmap",
"tinyvec",
"ttf-parser 0.20.0",
@@ -1878,7 +2088,7 @@ checksum = "1a5c6c585bc94aaf2c7b51dd4c2ba22680844aba4c687be581871a6f518c5742"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -1895,9 +2105,9 @@ checksum = "aa9a19cbb55df58761df49b23516a86d432839add4af60fc256da840f66ed35b"
[[package]]
name = "fork"
-version = "0.1.22"
+version = "0.1.23"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bf2ca97a59201425e7ee4d197c9c4fea282fe87a97d666a580bda889b95b8e88"
+checksum = "60e74d3423998a57e9d906e49252fb79eb4a04d5cdfe188fb1b7ff9fc076a8ed"
dependencies = [
"libc",
]
@@ -1923,11 +2133,11 @@ dependencies = [
[[package]]
name = "freedesktop-icons"
-version = "0.2.4"
+version = "0.2.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3f9d46a9ae065c46efb83854bb10315de6d333bb6f4526ebe320c004dab7857e"
+checksum = "a8ef34245e0540c9a3ce7a28340b98d2c12b75da0d446da4e8224923fcaa0c16"
dependencies = [
- "dirs 4.0.0",
+ "dirs",
"once_cell",
"rust-ini",
"thiserror",
@@ -2028,7 +2238,7 @@ checksum = "87750cf4b7a4c0625b1529e4c543c2182106e4dedc60a2a6455e00d212c489ac"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -2103,22 +2313,12 @@ dependencies = [
[[package]]
name = "gethostname"
-version = "0.2.3"
+version = "0.4.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c1ebd34e35c46e00bb73e81363248d627782724609fe1b6396f553f68fe3862e"
+checksum = "0176e0459c2e4a1fe232f984bca6890e681076abb9934f6cea7c326f3fc47818"
dependencies = [
"libc",
- "winapi",
-]
-
-[[package]]
-name = "gethostname"
-version = "0.3.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bb65d4ba3173c56a500b555b532f72c42e8d1fe64962b518897f8959fae2c177"
-dependencies = [
- "libc",
- "winapi",
+ "windows-targets 0.48.5",
]
[[package]]
@@ -2128,10 +2328,8 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "190092ea657667030ac6a35e305e62fc4dd69fd98ac98631e5d3a2b1575a12b5"
dependencies = [
"cfg-if 1.0.0",
- "js-sys",
"libc",
"wasi",
- "wasm-bindgen",
]
[[package]]
@@ -2154,6 +2352,16 @@ dependencies = [
"weezl",
]
+[[package]]
+name = "gif"
+version = "0.13.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3fb2d69b19215e18bb912fa30f7ce15846e301408695e44e0ef719f1da9e19f2"
+dependencies = [
+ "color_quant",
+ "weezl",
+]
+
[[package]]
name = "gimli"
version = "0.28.1"
@@ -2216,7 +2424,7 @@ dependencies = [
"bstr",
"log",
"regex-automata",
- "regex-syntax 0.8.2",
+ "regex-syntax",
]
[[package]]
@@ -2242,8 +2450,8 @@ dependencies = [
[[package]]
name = "glyphon"
-version = "0.4.1"
-source = "git+https://github.com/jackpot51/glyphon.git#abb70c0fda8cf1a5dfc314c1c778103d7ba951e6"
+version = "0.5.0"
+source = "git+https://github.com/pop-os/glyphon.git?tag=v0.5.0#1b0646ff8f74da92d3be704dfc2257d7f4d7eed8"
dependencies = [
"cosmic-text",
"etagere",
@@ -2283,16 +2491,15 @@ dependencies = [
[[package]]
name = "gpu-allocator"
-version = "0.23.0"
+version = "0.25.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "40fe17c8a05d60c38c0a4e5a3c802f2f1ceb66b76c67d96ffb34bef0475a7fad"
+checksum = "6f56f6318968d03c18e1bcf4857ff88c61157e9da8e47c5f29055d60e1228884"
dependencies = [
- "backtrace",
"log",
"presser",
"thiserror",
"winapi",
- "windows 0.51.1",
+ "windows 0.52.0",
]
[[package]]
@@ -2303,7 +2510,7 @@ checksum = "cc11df1ace8e7e564511f53af41f3e42ddc95b56fd07b3f4445d2a6048bc682c"
dependencies = [
"bitflags 2.4.2",
"gpu-descriptor-types",
- "hashbrown 0.14.3",
+ "hashbrown",
]
[[package]]
@@ -2376,7 +2583,7 @@ dependencies = [
"grep-matcher",
"log",
"regex-automata",
- "regex-syntax 0.8.2",
+ "regex-syntax",
]
[[package]]
@@ -2391,7 +2598,7 @@ dependencies = [
"grep-matcher",
"log",
"memchr",
- "memmap2 0.9.3",
+ "memmap2 0.9.4",
]
[[package]]
@@ -2430,9 +2637,9 @@ dependencies = [
[[package]]
name = "half"
-version = "2.3.1"
+version = "2.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bc52e53916c08643f1b56ec082790d1e86a32e58dc5268f897f313fbae7b4872"
+checksum = "b5eceaaeec696539ddaf7b333340f1af35a5aa87ae3e4f3ead0532f72affab2e"
dependencies = [
"cfg-if 1.0.0",
"crunchy",
@@ -2452,35 +2659,26 @@ dependencies = [
"thiserror",
]
-[[package]]
-name = "hashbrown"
-version = "0.12.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8a9ee70c43aaf417c914396645a0fa852624801b24ebb7ae78fe8272889ac888"
-dependencies = [
- "ahash 0.7.7",
-]
-
[[package]]
name = "hashbrown"
version = "0.14.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "290f1a1d9242c78d09ce40a5e87e7554ee637af1351968159f4952f028f75604"
dependencies = [
- "ahash 0.8.7",
+ "ahash",
"allocator-api2",
]
[[package]]
name = "hassle-rs"
-version = "0.10.0"
+version = "0.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1397650ee315e8891a0df210707f0fc61771b0cc518c3023896064c5407cb3b0"
+checksum = "af2a7e73e1f34c48da31fb668a907f250794837e08faa144fd24f0b8b741e890"
dependencies = [
- "bitflags 1.3.2",
- "com-rs",
+ "bitflags 2.4.2",
+ "com",
"libc",
- "libloading 0.7.4",
+ "libloading 0.8.1",
"thiserror",
"widestring",
"winapi",
@@ -2494,9 +2692,9 @@ checksum = "95505c38b4572b2d910cecb0281560f54b440a19336cbbcb27bf6ce6adc6f5a8"
[[package]]
name = "hermit-abi"
-version = "0.3.4"
+version = "0.3.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5d3d0e0f38255e7fa3cf31335b3a56f05febd18025f4db5ef7a0cfb4f8da651f"
+checksum = "379dada1584ad501b383485dd706b8afb7a70fcbc7f4da7d780638a5a6124a60"
[[package]]
name = "hex"
@@ -2526,7 +2724,7 @@ dependencies = [
"serde",
"serde_derive",
"thiserror",
- "toml 0.8.8",
+ "toml 0.8.10",
"unic-langid",
]
@@ -2569,7 +2767,7 @@ dependencies = [
"proc-macro2",
"quote",
"strsim 0.10.0",
- "syn 2.0.48",
+ "syn 2.0.51",
"unic-langid",
]
@@ -2583,21 +2781,21 @@ dependencies = [
"i18n-config",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
name = "iana-time-zone"
-version = "0.1.59"
+version = "0.1.60"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b6a67363e2aa4443928ce15e57ebae94fd8949958fd1223c4cfc0cd473ad7539"
+checksum = "e7ffbb5a1b541ea2561f8c41c087286cc091e21e556a4f09a8f6cbf17b69b141"
dependencies = [
"android_system_properties",
"core-foundation-sys 0.8.6",
"iana-time-zone-haiku",
"js-sys",
"wasm-bindgen",
- "windows-core 0.52.0",
+ "windows-core",
]
[[package]]
@@ -2612,7 +2810,7 @@ dependencies = [
[[package]]
name = "iced"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic#4e18199444aecbc60f25a12e8adb91926aa5e653"
+source = "git+https://github.com/pop-os/libcosmic.git#a09e76e729895690caac6ac620c212a2e3745d32"
dependencies = [
"iced_accessibility",
"iced_core",
@@ -2620,14 +2818,14 @@ dependencies = [
"iced_renderer",
"iced_widget",
"iced_winit",
- "image 0.24.8",
+ "image 0.24.9",
"thiserror",
]
[[package]]
name = "iced_accessibility"
version = "0.1.0"
-source = "git+https://github.com/pop-os/libcosmic#4e18199444aecbc60f25a12e8adb91926aa5e653"
+source = "git+https://github.com/pop-os/libcosmic.git#a09e76e729895690caac6ac620c212a2e3745d32"
dependencies = [
"accesskit",
"accesskit_winit",
@@ -2636,23 +2834,24 @@ dependencies = [
[[package]]
name = "iced_core"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic#4e18199444aecbc60f25a12e8adb91926aa5e653"
+source = "git+https://github.com/pop-os/libcosmic.git#a09e76e729895690caac6ac620c212a2e3745d32"
dependencies = [
"bitflags 1.3.2",
- "instant",
"log",
"num-traits",
"palette",
- "raw-window-handle 0.5.2",
+ "raw-window-handle 0.6.0",
"serde",
+ "smol_str",
"thiserror",
+ "web-time",
"xxhash-rust",
]
[[package]]
name = "iced_futures"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic#4e18199444aecbc60f25a12e8adb91926aa5e653"
+source = "git+https://github.com/pop-os/libcosmic.git#a09e76e729895690caac6ac620c212a2e3745d32"
dependencies = [
"futures",
"iced_core",
@@ -2665,7 +2864,7 @@ dependencies = [
[[package]]
name = "iced_graphics"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic#4e18199444aecbc60f25a12e8adb91926aa5e653"
+source = "git+https://github.com/pop-os/libcosmic.git#a09e76e729895690caac6ac620c212a2e3745d32"
dependencies = [
"bitflags 1.3.2",
"bytemuck",
@@ -2673,12 +2872,13 @@ dependencies = [
"glam",
"half",
"iced_core",
- "image 0.24.8",
+ "iced_futures",
+ "image 0.24.9",
"kamadak-exif",
"log",
"lyon_path",
"once_cell",
- "raw-window-handle 0.5.2",
+ "raw-window-handle 0.6.0",
"rustc-hash",
"thiserror",
"unicode-segmentation",
@@ -2688,20 +2888,19 @@ dependencies = [
[[package]]
name = "iced_renderer"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic#4e18199444aecbc60f25a12e8adb91926aa5e653"
+source = "git+https://github.com/pop-os/libcosmic.git#a09e76e729895690caac6ac620c212a2e3745d32"
dependencies = [
"iced_graphics",
"iced_tiny_skia",
"iced_wgpu",
"log",
- "raw-window-handle 0.5.2",
"thiserror",
]
[[package]]
name = "iced_runtime"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic#4e18199444aecbc60f25a12e8adb91926aa5e653"
+source = "git+https://github.com/pop-os/libcosmic.git#a09e76e729895690caac6ac620c212a2e3745d32"
dependencies = [
"iced_core",
"iced_futures",
@@ -2711,7 +2910,7 @@ dependencies = [
[[package]]
name = "iced_style"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic#4e18199444aecbc60f25a12e8adb91926aa5e653"
+source = "git+https://github.com/pop-os/libcosmic.git#a09e76e729895690caac6ac620c212a2e3745d32"
dependencies = [
"iced_core",
"once_cell",
@@ -2721,25 +2920,24 @@ dependencies = [
[[package]]
name = "iced_tiny_skia"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic#4e18199444aecbc60f25a12e8adb91926aa5e653"
+source = "git+https://github.com/pop-os/libcosmic.git#a09e76e729895690caac6ac620c212a2e3745d32"
dependencies = [
"bytemuck",
"cosmic-text",
"iced_graphics",
"kurbo",
"log",
- "raw-window-handle 0.5.2",
"resvg",
"rustc-hash",
"softbuffer",
- "tiny-skia 0.11.3",
+ "tiny-skia",
"xxhash-rust",
]
[[package]]
name = "iced_wgpu"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic#4e18199444aecbc60f25a12e8adb91926aa5e653"
+source = "git+https://github.com/pop-os/libcosmic.git#a09e76e729895690caac6ac620c212a2e3745d32"
dependencies = [
"bitflags 1.3.2",
"bytemuck",
@@ -2751,7 +2949,6 @@ dependencies = [
"log",
"lyon",
"once_cell",
- "raw-window-handle 0.5.2",
"resvg",
"wgpu",
]
@@ -2759,7 +2956,7 @@ dependencies = [
[[package]]
name = "iced_widget"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic#4e18199444aecbc60f25a12e8adb91926aa5e653"
+source = "git+https://github.com/pop-os/libcosmic.git#a09e76e729895690caac6ac620c212a2e3745d32"
dependencies = [
"iced_renderer",
"iced_runtime",
@@ -2773,7 +2970,7 @@ dependencies = [
[[package]]
name = "iced_winit"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic#4e18199444aecbc60f25a12e8adb91926aa5e653"
+source = "git+https://github.com/pop-os/libcosmic.git#a09e76e729895690caac6ac620c212a2e3745d32"
dependencies = [
"iced_graphics",
"iced_runtime",
@@ -2784,7 +2981,28 @@ dependencies = [
"web-sys",
"winapi",
"window_clipboard",
- "winit 0.28.6",
+ "winit 0.29.10",
+]
+
+[[package]]
+name = "icrate"
+version = "0.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "99d3aaff8a54577104bafdf686ff18565c3b6903ca5782a2026ef06e2c7aa319"
+dependencies = [
+ "block2 0.3.0",
+ "dispatch",
+ "objc2 0.4.1",
+]
+
+[[package]]
+name = "icrate"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e286f4b975ac6c054971a0600a9b76438b332edace54bff79c71c9d3adfc9772"
+dependencies = [
+ "block2 0.4.0",
+ "objc2 0.5.0",
]
[[package]]
@@ -2840,18 +3058,18 @@ dependencies = [
[[package]]
name = "image"
-version = "0.24.8"
+version = "0.24.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "034bbe799d1909622a74d1193aa50147769440040ff36cb2baa947609b0a4e23"
+checksum = "5690139d2f55868e080017335e4b94cb7414274c74f1669c84fb5feba2c9f69d"
dependencies = [
"bytemuck",
"byteorder",
"color_quant",
"exr",
- "gif 0.12.0",
+ "gif 0.13.1",
"jpeg-decoder 0.3.1",
"num-traits",
- "png 0.17.11",
+ "png 0.17.13",
"qoi",
"tiff 0.9.1",
]
@@ -2864,12 +3082,12 @@ checksum = "029d73f573d8e8d63e6d5020011d3255b28c3ba85d6cf870a07184ed23de9284"
[[package]]
name = "indexmap"
-version = "2.1.0"
+version = "2.2.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d530e1a18b1cb4c484e6e34556a0d948706958449fca0cab753d649f2bce3d1f"
+checksum = "233cf39063f058ea2caae4091bf4a3ef70a653afbc026f5c4a4135d114e3c177"
dependencies = [
"equivalent",
- "hashbrown 0.14.3",
+ "hashbrown",
]
[[package]]
@@ -2899,9 +3117,6 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "7a5bbe824c507c5da5956355e86a746d82e0e1464f65d862cc5e71da70e94b2c"
dependencies = [
"cfg-if 1.0.0",
- "js-sys",
- "wasm-bindgen",
- "web-sys",
]
[[package]]
@@ -2935,37 +3150,63 @@ dependencies = [
]
[[package]]
-name = "is-terminal"
-version = "0.4.10"
+name = "is-docker"
+version = "0.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0bad00257d07be169d870ab665980b06cdb366d792ad690bf2e76876dc503455"
+checksum = "928bae27f42bc99b60d9ac7334e3a21d10ad8f1835a4e12ec3ec0464765ed1b3"
+dependencies = [
+ "once_cell",
+]
+
+[[package]]
+name = "is-terminal"
+version = "0.4.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f23ff5ef2b80d608d61efee834934d862cd92461afc0560dedf493e4c033738b"
dependencies = [
"hermit-abi",
- "rustix 0.38.30",
+ "libc",
"windows-sys 0.52.0",
]
+[[package]]
+name = "is-wsl"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "173609498df190136aa7dea1a91db051746d339e18476eed5ca40521f02d7aa5"
+dependencies = [
+ "is-docker",
+ "once_cell",
+]
+
[[package]]
name = "itoa"
version = "1.0.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "b1a46d1a171d865aa5f83f92695765caa047a9b4cbae2cbf37dbd613a793fd4c"
+[[package]]
+name = "jni"
+version = "0.21.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1a87aa2bb7d2af34197c04845522473242e1aa17c12f4935d5856491a7fb8c97"
+dependencies = [
+ "cesu8",
+ "cfg-if 1.0.0",
+ "combine",
+ "jni-sys",
+ "log",
+ "thiserror",
+ "walkdir",
+ "windows-sys 0.45.0",
+]
+
[[package]]
name = "jni-sys"
version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "8eaf4bc02d17cbdd7ff4c7438cafcdf7fb9a4613313ad11b4f8fefe7d3fa0130"
-[[package]]
-name = "jobserver"
-version = "0.1.27"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8c37f63953c4c63420ed5fd3d6d398c719489b9f872b9fa683262f8edd363c7d"
-dependencies = [
- "libc",
-]
-
[[package]]
name = "jpeg-decoder"
version = "0.1.22"
@@ -2986,9 +3227,9 @@ dependencies = [
[[package]]
name = "js-sys"
-version = "0.3.67"
+version = "0.3.68"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9a1d36f1235bc969acba30b7f5990b864423a6068a10f7c90ae8f0112e3a59d1"
+checksum = "406cda4b368d531c842222cf9d2600a9a4acce8d29423695379c6868a143a9ee"
dependencies = [
"wasm-bindgen",
]
@@ -3019,6 +3260,15 @@ version = "3.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "e2db585e1d738fc771bf08a151420d3ed193d9d895a36df7f6f8a9456b911ddc"
+[[package]]
+name = "known-folders"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4397c789f2709d23cfcb703b316e0766a8d4b17db2d47b0ab096ef6047cae1d8"
+dependencies = [
+ "windows-sys 0.52.0",
+]
+
[[package]]
name = "kqueue"
version = "1.0.8"
@@ -3084,14 +3334,14 @@ dependencies = [
[[package]]
name = "libc"
-version = "0.2.152"
+version = "0.2.153"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "13e3bf6590cbc649f4d1a3eefc9d5d6eb746f5200ffb04e5e142700b8faa56e7"
+checksum = "9c198f91728a82281a64e1f4f9eeb25d82cb32a5de251c6bd1b5154d63a8e7bd"
[[package]]
name = "libcosmic"
version = "0.1.0"
-source = "git+https://github.com/pop-os/libcosmic#4e18199444aecbc60f25a12e8adb91926aa5e653"
+source = "git+https://github.com/pop-os/libcosmic.git#a09e76e729895690caac6ac620c212a2e3745d32"
dependencies = [
"apply",
"ashpd",
@@ -3113,6 +3363,7 @@ dependencies = [
"iced_winit",
"lazy_static",
"palette",
+ "rfd",
"slotmap",
"taffy",
"thiserror",
@@ -3208,6 +3459,12 @@ version = "0.4.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "01cda141df6706de531b6c46c3a33ecca755538219bd484262fa09410c13539c"
+[[package]]
+name = "linux-raw-sys"
+version = "0.6.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f0b5399f6804fbab912acbd8878ed3532d506b7c951b8f9f164ef90fef39e3f4"
+
[[package]]
name = "locale_config"
version = "0.3.0"
@@ -3239,11 +3496,11 @@ checksum = "b5e6163cb8c49088c2c36f57875e58ccd8c87c7427f7fbd50ea6710b2f3f2e8f"
[[package]]
name = "lru"
-version = "0.12.1"
+version = "0.12.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2994eeba8ed550fd9b47a0b38f0242bc3344e496483c6180b69139cc2fa5d1d7"
+checksum = "d3262e75e648fce39813cb56ac41f3c3e3f65217ebf3844d818d1f9398cfb0dc"
dependencies = [
- "hashbrown 0.14.3",
+ "hashbrown",
]
[[package]]
@@ -3324,31 +3581,13 @@ dependencies = [
[[package]]
name = "memmap2"
-version = "0.5.10"
+version = "0.9.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "83faa42c0a078c393f6b29d5db232d8be22776a891f8f56e5284faee4a20b327"
+checksum = "fe751422e4a8caa417e13c3ea66452215d7d63e19e604f4980461212f3ae1322"
dependencies = [
"libc",
]
-[[package]]
-name = "memmap2"
-version = "0.9.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "45fd3a57831bf88bc63f8cebc0cf956116276e97fef3966103e96416209f7c92"
-dependencies = [
- "libc",
-]
-
-[[package]]
-name = "memoffset"
-version = "0.6.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5aa361d4faea93603064a027415f07bd8e1d5c88c9fbf68bf56a285428fd79ce"
-dependencies = [
- "autocfg",
-]
-
[[package]]
name = "memoffset"
version = "0.7.1"
@@ -3388,6 +3627,16 @@ version = "0.3.17"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "6877bb514081ee2a7ff5ef9de3281f14a4dd4bceac4c09388074a6b5df8a139a"
+[[package]]
+name = "mime_guess"
+version = "2.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4192263c238a5f0d0c6bfd21f336a313a4ce1c450542449ca191bb657b4642ef"
+dependencies = [
+ "mime",
+ "unicase",
+]
+
[[package]]
name = "minimal-lexical"
version = "0.2.1"
@@ -3415,9 +3664,9 @@ dependencies = [
[[package]]
name = "miniz_oxide"
-version = "0.7.1"
+version = "0.7.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e7810e0be55b428ada41041c41f32c9f1a42817901b4ccf45fa3d4b6561e74c7"
+checksum = "9d811f3e15f28568be3407c8e7fdb6514c1cda3cb30683f15b6a1a1dc4ea14a7"
dependencies = [
"adler",
"simd-adler32",
@@ -3471,9 +3720,9 @@ dependencies = [
[[package]]
name = "modit"
-version = "0.1.3"
+version = "0.1.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "52e146555580584c3ae3e07a079d0a4499ddff20ede0cc3c039578789e43ef0c"
+checksum = "fa21838a18a88208e85015452ef542b4fb5ce0be3dc635331df56874af16c13c"
dependencies = [
"log",
]
@@ -3486,10 +3735,10 @@ checksum = "16cf681a23b4d0a43fc35024c176437f9dcd818db34e0f42ab456a0ee5ad497b"
[[package]]
name = "naga"
-version = "0.14.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ae585df4b6514cf8842ac0f1ab4992edc975892704835b549cf818dc0191249e"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
dependencies = [
+ "arrayvec 0.7.4",
"bit-set",
"bitflags 2.4.2",
"codespan-reporting",
@@ -3504,15 +3753,6 @@ dependencies = [
"unicode-xid",
]
-[[package]]
-name = "nanorand"
-version = "0.7.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6a51313c5820b0b02bd422f4b44776fbf47961755c74ce64afc73bfad10226c3"
-dependencies = [
- "getrandom",
-]
-
[[package]]
name = "ndk"
version = "0.3.0"
@@ -3527,15 +3767,16 @@ dependencies = [
[[package]]
name = "ndk"
-version = "0.7.0"
+version = "0.8.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "451422b7e4718271c8b5b3aadf5adedba43dc76312454b387e98fae0fc951aa0"
+checksum = "2076a31b7010b17a38c01907c45b945e8f11495ee4dd588309718901b1f7a5b7"
dependencies = [
- "bitflags 1.3.2",
+ "bitflags 2.4.2",
"jni-sys",
- "ndk-sys 0.4.1+23.1.7779620",
- "num_enum 0.5.11",
- "raw-window-handle 0.5.2",
+ "log",
+ "ndk-sys 0.5.0+25.2.9519653",
+ "num_enum 0.7.2",
+ "raw-window-handle 0.6.0",
"thiserror",
]
@@ -3580,9 +3821,9 @@ checksum = "e1bcdd74c20ad5d95aacd60ef9ba40fdf77f767051040541df557b7a9b2a2121"
[[package]]
name = "ndk-sys"
-version = "0.4.1+23.1.7779620"
+version = "0.5.0+25.2.9519653"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3cf2aae958bd232cac5069850591667ad422d263686d75b52a065f9badeee5a3"
+checksum = "8c196769dd60fd4f363e11d948139556a344e79d451aeb2fa2fd040738ef7691"
dependencies = [
"jni-sys",
]
@@ -3611,44 +3852,6 @@ dependencies = [
"libc",
]
-[[package]]
-name = "nix"
-version = "0.22.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e4916f159ed8e5de0082076562152a76b7a1f64a01fd9d1e0fea002c37624faf"
-dependencies = [
- "bitflags 1.3.2",
- "cc",
- "cfg-if 1.0.0",
- "libc",
- "memoffset 0.6.5",
-]
-
-[[package]]
-name = "nix"
-version = "0.24.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fa52e972a9a719cecb6864fb88568781eb706bac2cd1d4f04a648542dbf78069"
-dependencies = [
- "bitflags 1.3.2",
- "cfg-if 1.0.0",
- "libc",
- "memoffset 0.6.5",
-]
-
-[[package]]
-name = "nix"
-version = "0.25.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f346ff70e7dbfd675fe90590b92d59ef2de15a8779ae305ebcbfd3f0caf59be4"
-dependencies = [
- "autocfg",
- "bitflags 1.3.2",
- "cfg-if 1.0.0",
- "libc",
- "memoffset 0.6.5",
-]
-
[[package]]
name = "nix"
version = "0.26.4"
@@ -3661,17 +3864,6 @@ dependencies = [
"memoffset 0.7.1",
]
-[[package]]
-name = "nix"
-version = "0.27.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2eb04e9c688eff1c89d72b407f168cf79bb9e867a9d3323ed6c01519eb9cc053"
-dependencies = [
- "bitflags 2.4.2",
- "cfg-if 1.0.0",
- "libc",
-]
-
[[package]]
name = "nom"
version = "5.1.3"
@@ -3759,28 +3951,33 @@ dependencies = [
[[package]]
name = "num-complex"
-version = "0.4.4"
+version = "0.4.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ba157ca0885411de85d6ca030ba7e2a83a28636056c7c699b07c8b6f7383214"
+checksum = "23c6602fda94a57c990fe0df199a035d83576b496aa29f4e634a8ac6004e68a6"
dependencies = [
"num-traits",
]
[[package]]
-name = "num-integer"
-version = "0.1.45"
+name = "num-conv"
+version = "0.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "225d3389fb3509a24c93f5c29eb6bde2586b98d9f016636dff58d7c6f7569cd9"
+checksum = "51d515d32fb182ee37cda2ccdcb92950d6a3c2893aa280e540671c2cd0f3b1d9"
+
+[[package]]
+name = "num-integer"
+version = "0.1.46"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7969661fd2958a5cb096e56c8e1ad0444ac2bbcd0061bd28660485a44879858f"
dependencies = [
- "autocfg",
"num-traits",
]
[[package]]
name = "num-iter"
-version = "0.1.43"
+version = "0.1.44"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7d03e6c028c5dc5cac6e2dec0efda81fc887605bb3d884578bb6d6bf7514e252"
+checksum = "d869c01cc0c455284163fd0092f1f93835385ccab5a98a0dcc497b2f8bf055a9"
dependencies = [
"autocfg",
"num-integer",
@@ -3812,9 +4009,9 @@ dependencies = [
[[package]]
name = "num-traits"
-version = "0.2.17"
+version = "0.2.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "39e3200413f237f41ab11ad6d161bc7239c84dcb631773ccd7de3dfe4b5c267c"
+checksum = "da0df0e5185db44f69b44f26786fe401b6c293d1907744beaa7fa62b2e5a517a"
dependencies = [
"autocfg",
"libm",
@@ -3841,11 +4038,11 @@ dependencies = [
[[package]]
name = "num_enum"
-version = "0.6.1"
+version = "0.7.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7a015b430d3c108a207fd776d2e2196aaf8b1cf8cf93253e3a097ff3085076a1"
+checksum = "02339744ee7253741199f897151b38e72257d13802d4ee837285cc2990a90845"
dependencies = [
- "num_enum_derive 0.6.1",
+ "num_enum_derive 0.7.2",
]
[[package]]
@@ -3862,14 +4059,23 @@ dependencies = [
[[package]]
name = "num_enum_derive"
-version = "0.6.1"
+version = "0.7.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "96667db765a921f7b295ffee8b60472b686a51d4f21c2ee4ffdb94c7013b65a6"
+checksum = "681030a937600a36906c185595136d26abfebb4aa9c65701cefcaf8578bb982b"
dependencies = [
- "proc-macro-crate 1.3.1",
+ "proc-macro-crate 3.1.0",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
+]
+
+[[package]]
+name = "num_threads"
+version = "0.1.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5c7398b9c8b70908f6371f47ed36737907c87c52af34c268fed0bf0ceb92ead9"
+dependencies = [
+ "libc",
]
[[package]]
@@ -3895,29 +4101,41 @@ dependencies = [
[[package]]
name = "objc-sys"
-version = "0.2.0-beta.2"
+version = "0.3.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "df3b9834c1e95694a05a828b59f55fa2afec6288359cda67146126b3f90a55d7"
+checksum = "c7c71324e4180d0899963fc83d9d241ac39e699609fc1025a850aadac8257459"
[[package]]
name = "objc2"
-version = "0.3.0-beta.3.patch-leaks.3"
+version = "0.4.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7e01640f9f2cb1220bbe80325e179e532cb3379ebcd1bf2279d703c19fe3a468"
+checksum = "559c5a40fdd30eb5e344fbceacf7595a81e242529fb4e21cf5f43fb4f11ff98d"
dependencies = [
- "block2",
"objc-sys",
- "objc2-encode",
+ "objc2-encode 3.0.0",
+]
+
+[[package]]
+name = "objc2"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9a9c7f0d511a4ce26b078183179dca908171cfc69f88986fe36c5138e1834476"
+dependencies = [
+ "objc-sys",
+ "objc2-encode 4.0.0",
]
[[package]]
name = "objc2-encode"
-version = "2.0.0-pre.2"
+version = "3.0.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "abfcac41015b00a120608fdaa6938c44cb983fee294351cc4bac7638b4e50512"
-dependencies = [
- "objc-sys",
-]
+checksum = "d079845b37af429bfe5dfa76e6d087d788031045b25cfc6fd898486fd9847666"
+
+[[package]]
+name = "objc2-encode"
+version = "4.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2ff06a6505cde0766484f38d8479ac8e6d31c66fbc2d5492f65ca8c091456379"
[[package]]
name = "objc_exception"
@@ -3974,6 +4192,17 @@ dependencies = [
"pkg-config",
]
+[[package]]
+name = "open"
+version = "5.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eedff767bc49d336bff300224f73307ae36963c843e38dc9312a22171b012cbc"
+dependencies = [
+ "is-wsl",
+ "libc",
+ "pathdiff",
+]
+
[[package]]
name = "option-ext"
version = "0.2.0"
@@ -3991,12 +4220,12 @@ dependencies = [
[[package]]
name = "ordered-multimap"
-version = "0.4.3"
+version = "0.7.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ccd746e37177e1711c20dd619a1620f34f5c8b569c53590a72dedd5344d8924a"
+checksum = "a4d6a8c22fc714f0c2373e6091bf6f5e9b37b1bc0b1184874b7e0a4e303d318f"
dependencies = [
"dlv-list",
- "hashbrown 0.12.3",
+ "hashbrown",
]
[[package]]
@@ -4030,7 +4259,7 @@ dependencies = [
"proc-macro-error",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -4053,9 +4282,9 @@ dependencies = [
[[package]]
name = "palette"
-version = "0.7.3"
+version = "0.7.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b2e2f34147767aa758aa649415b50a69eeb46a67f9dc7db8011eeb3d84b351dc"
+checksum = "ebfc23a4b76642983d57e4ad00bb4504eb30a8ce3c70f4aee1f725610e36d97a"
dependencies = [
"approx",
"fast-srgb8",
@@ -4066,13 +4295,13 @@ dependencies = [
[[package]]
name = "palette_derive"
-version = "0.7.3"
+version = "0.7.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b7db010ec5ff3d4385e4f133916faacd9dad0f6a09394c92d825b3aed310fa0a"
+checksum = "e8890702dbec0bad9116041ae586f84805b13eecd1d8b1df27c29998a9969d6d"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -4158,6 +4387,12 @@ dependencies = [
"nom_locate",
]
+[[package]]
+name = "pathdiff"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8835116a5c179084a830efb3adc117ab007512b535bc1a21c991d3b32a6b44dd"
+
[[package]]
name = "percent-encoding"
version = "2.3.1"
@@ -4166,9 +4401,9 @@ checksum = "e3148f5046208a5d56bcfc03053e3ca6334e51da8dfb19b6cdc8b306fae3283e"
[[package]]
name = "pest"
-version = "2.7.6"
+version = "2.7.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1f200d8d83c44a45b21764d1916299752ca035d15ecd46faca3e9a2a2bf6ad06"
+checksum = "219c0dcc30b6a27553f9cc242972b67f75b60eb0db71f0b5462f38b058c41546"
dependencies = [
"memchr",
"thiserror",
@@ -4177,9 +4412,9 @@ dependencies = [
[[package]]
name = "pest_derive"
-version = "2.7.6"
+version = "2.7.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bcd6ab1236bbdb3a49027e920e693192ebfe8913f6d60e294de57463a493cfde"
+checksum = "22e1288dbd7786462961e69bfd4df7848c1e37e8b74303dbdab82c3a9cdd2809"
dependencies = [
"pest",
"pest_generator",
@@ -4187,22 +4422,22 @@ dependencies = [
[[package]]
name = "pest_generator"
-version = "2.7.6"
+version = "2.7.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2a31940305ffc96863a735bef7c7994a00b325a7138fdbc5bda0f1a0476d3275"
+checksum = "1381c29a877c6d34b8c176e734f35d7f7f5b3adaefe940cb4d1bb7af94678e2e"
dependencies = [
"pest",
"pest_meta",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
name = "pest_meta"
-version = "2.7.6"
+version = "2.7.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a7ff62f5259e53b78d1af898941cdcdccfae7385cf7d793a6e55de5d05bb4b7d"
+checksum = "d0934d6907f148c22a3acbda520c7eed243ad7487a30f51f6ce52b58b7077a8a"
dependencies = [
"once_cell",
"pest",
@@ -4239,7 +4474,7 @@ dependencies = [
"phf_shared",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -4257,26 +4492,6 @@ version = "0.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "5be167a7af36ee22fe3115051bc51f6e6c7054c9348e28deb4f49bd6f705a315"
-[[package]]
-name = "pin-project"
-version = "1.1.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fda4ed1c6c173e3fc7a83629421152e01d7b1f9b7f65fb301e490e8cfc656422"
-dependencies = [
- "pin-project-internal",
-]
-
-[[package]]
-name = "pin-project-internal"
-version = "1.1.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4359fd9c9171ec6e8c62926d6faaf553a8dc3f64e1507e76da7911b4f6a04405"
-dependencies = [
- "proc-macro2",
- "quote",
- "syn 2.0.48",
-]
-
[[package]]
name = "pin-project-lite"
version = "0.2.13"
@@ -4302,9 +4517,9 @@ dependencies = [
[[package]]
name = "pkg-config"
-version = "0.3.29"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2900ede94e305130c13ddd391e0ab7cbaeb783945ae07a279c268cb05109c6cb"
+checksum = "d231b230927b5e4ad203db57bbcbee2802f6bce620b1e4a9024a07d94e2907ec"
[[package]]
name = "plist"
@@ -4315,7 +4530,7 @@ dependencies = [
"base64",
"indexmap",
"line-wrap",
- "quick-xml 0.31.0",
+ "quick-xml",
"serde",
"time",
]
@@ -4334,15 +4549,15 @@ dependencies = [
[[package]]
name = "png"
-version = "0.17.11"
+version = "0.17.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1f6c3c3e617595665b8ea2ff95a86066be38fb121ff920a9c0eb282abcd1da5a"
+checksum = "06e4b0d3d1312775e782c86c91a111aa1f910cbb65e1337f9975b5f9a554b5e1"
dependencies = [
"bitflags 1.3.2",
"crc32fast",
"fdeflate",
"flate2",
- "miniz_oxide 0.7.1",
+ "miniz_oxide 0.7.2",
]
[[package]]
@@ -4363,14 +4578,14 @@ dependencies = [
[[package]]
name = "polling"
-version = "3.3.2"
+version = "3.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "545c980a3880efd47b2e262f6a4bb6daad6555cf3367aa9c4e52895f69537a41"
+checksum = "24f040dee2588b4963afb4e420540439d126f73fdacf4a9c486a96d840bac3c9"
dependencies = [
"cfg-if 1.0.0",
"concurrent-queue",
"pin-project-lite",
- "rustix 0.38.30",
+ "rustix 0.38.31",
"tracing",
"windows-sys 0.52.0",
]
@@ -4412,6 +4627,15 @@ dependencies = [
"toml_edit 0.19.15",
]
+[[package]]
+name = "proc-macro-crate"
+version = "3.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6d37c51ca738a55da99dc0c4a34860fd675453b8b36209178c2249bb13651284"
+dependencies = [
+ "toml_edit 0.21.1",
+]
+
[[package]]
name = "proc-macro-error"
version = "1.0.4"
@@ -4438,18 +4662,24 @@ dependencies = [
[[package]]
name = "proc-macro2"
-version = "1.0.76"
+version = "1.0.78"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "95fc56cda0b5c3325f5fbbd7ff9fda9e02bb00bb3dac51252d2f1bfa1cb8cc8c"
+checksum = "e2422ad645d89c99f8f3e6b88a9fdeca7fabeac836b1002371c4367c8f984aae"
dependencies = [
"unicode-ident",
]
[[package]]
name = "profiling"
-version = "1.0.13"
+version = "1.0.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d135ede8821cf6376eb7a64148901e1690b788c11ae94dc297ae917dbc91dc0e"
+checksum = "43d84d1d7a6ac92673717f9f6d1518374ef257669c24ebc5ac25d5033828be58"
+
+[[package]]
+name = "pure-rust-locales"
+version = "0.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1190fd18ae6ce9e137184f207593877e70f39b015040156b1e05081cdfe3733a"
[[package]]
name = "qoi"
@@ -4460,15 +4690,6 @@ dependencies = [
"bytemuck",
]
-[[package]]
-name = "quick-xml"
-version = "0.30.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "eff6510e86862b57b210fd8cbe8ed3f0d7d600b9c2863cd4549a2e033c66e956"
-dependencies = [
- "memchr",
-]
-
[[package]]
name = "quick-xml"
version = "0.31.0"
@@ -4525,9 +4746,9 @@ checksum = "9c8a99fddc9f0ba0a85884b8d14e3592853e787d581ca1816c91349b10e4eeab"
[[package]]
name = "rangemap"
-version = "1.4.0"
+version = "1.5.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "977b1e897f9d764566891689e642653e5ed90c6895106acd005eb4c1d0203991"
+checksum = "f60fcc7d6849342eff22c4350c8b9a989ee8ceabc4b481253e8946b9fe83d684"
[[package]]
name = "raw-window-handle"
@@ -4554,6 +4775,12 @@ version = "0.5.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "f2ff9a1f06a88b01621b7ae906ef0211290d1c8a168a15542486a8f61c0833b9"
+[[package]]
+name = "raw-window-handle"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "42a9830a0e1b9fb145ebb365b8bc4ccd75f290f98c0247deafbbe2c75cefb544"
+
[[package]]
name = "rayon"
version = "1.8.1"
@@ -4580,6 +4807,15 @@ version = "0.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "3b42e27ef78c35d3998403c1d26f3efd9e135d3e5121b0a4845cc5cc27547f4f"
+[[package]]
+name = "read-fonts"
+version = "0.15.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "17ea23eedb4d938031b6d4343222444608727a6aa68ec355e13588d9947ffe92"
+dependencies = [
+ "font-types",
+]
+
[[package]]
name = "redox_syscall"
version = "0.2.16"
@@ -4620,33 +4856,27 @@ dependencies = [
[[package]]
name = "regex"
-version = "1.10.2"
+version = "1.10.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "380b951a9c5e80ddfd6136919eef32310721aa4aacd4889a8d39124b026ab343"
+checksum = "b62dbe01f0b06f9d8dc7d49e05a0785f153b00b2c227856282f671e0318c9b15"
dependencies = [
"aho-corasick",
"memchr",
"regex-automata",
- "regex-syntax 0.8.2",
+ "regex-syntax",
]
[[package]]
name = "regex-automata"
-version = "0.4.3"
+version = "0.4.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5f804c7828047e88b2d32e2d7fe5a105da8ee3264f01902f796c8e067dc2483f"
+checksum = "5bb987efffd3c6d0d8f5f89510bb458559eab11e4f869acb20bf845e016259cd"
dependencies = [
"aho-corasick",
"memchr",
- "regex-syntax 0.8.2",
+ "regex-syntax",
]
-[[package]]
-name = "regex-syntax"
-version = "0.7.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dbb5fb1acd8a1a18b3dd5be62d25485eb770e05afb408a9627d14d451bae12da"
-
[[package]]
name = "regex-syntax"
version = "0.8.2"
@@ -4669,19 +4899,20 @@ dependencies = [
"jpeg-decoder 0.3.1",
"log",
"pico-args",
- "png 0.17.11",
+ "png 0.17.13",
"rgb",
"svgtypes",
- "tiny-skia 0.11.3",
+ "tiny-skia",
"usvg",
]
[[package]]
name = "rfd"
-version = "0.12.1"
+version = "0.13.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3c9e7b57df6e8472152674607f6cc68aa14a748a3157a857a94f516e11aeacc2"
+checksum = "c0d8ab342bcc5436e04d3a4c1e09e17d74958bfaddf8d5fad6f85607df0f994f"
dependencies = [
+ "ashpd",
"block",
"dispatch",
"glib-sys",
@@ -4720,15 +4951,6 @@ dependencies = [
"serde_derive",
]
-[[package]]
-name = "roxmltree"
-version = "0.18.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "862340e351ce1b271a378ec53f304a5558f7db87f3769dc655a8f6ecbb68b302"
-dependencies = [
- "xmlparser",
-]
-
[[package]]
name = "roxmltree"
version = "0.19.0"
@@ -4737,9 +4959,9 @@ checksum = "3cd14fd5e3b777a7422cca79358c57a8f6e3a703d9ac187448d0daf220c2407f"
[[package]]
name = "rust-embed"
-version = "8.2.0"
+version = "8.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a82c0bbc10308ed323529fd3c1dce8badda635aa319a5ff0e6466f33b8101e3f"
+checksum = "fb78f46d0066053d16d4ca7b898e9343bc3530f71c61d5ad84cd404ada068745"
dependencies = [
"rust-embed-impl",
"rust-embed-utils",
@@ -4748,22 +4970,22 @@ dependencies = [
[[package]]
name = "rust-embed-impl"
-version = "8.2.0"
+version = "8.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6227c01b1783cdfee1bcf844eb44594cd16ec71c35305bf1c9fb5aade2735e16"
+checksum = "b91ac2a3c6c0520a3fb3dd89321177c3c692937c4eb21893378219da10c44fc8"
dependencies = [
"proc-macro2",
"quote",
"rust-embed-utils",
- "syn 2.0.48",
+ "syn 2.0.51",
"walkdir",
]
[[package]]
name = "rust-embed-utils"
-version = "8.2.0"
+version = "8.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8cb0a25bfbb2d4b4402179c2cf030387d9990857ce08a32592c6238db9fa8665"
+checksum = "86f69089032567ffff4eada41c573fc43ff466c7db7c5688b2e7969584345581"
dependencies = [
"sha2",
"walkdir",
@@ -4771,9 +4993,9 @@ dependencies = [
[[package]]
name = "rust-ini"
-version = "0.18.0"
+version = "0.20.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f6d5f2436026b4f6e79dc829837d467cc7e9a55ee40e750d716713540715a2df"
+checksum = "3e0698206bcb8882bf2a9ecb4c1e7785db57ff052297085a6efd4fe42302068a"
dependencies = [
"cfg-if 1.0.0",
"ordered-multimap",
@@ -4816,9 +5038,9 @@ dependencies = [
[[package]]
name = "rustix"
-version = "0.38.30"
+version = "0.38.31"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "322394588aaf33c24007e8bb3238ee3e4c5c09c084ab32bc73890b99ff326bca"
+checksum = "6ea3e1a662af26cd7a3ba09c0297a31af215563ecf42817c98df621387f4e949"
dependencies = [
"bitflags 2.4.2",
"errno",
@@ -4837,6 +5059,12 @@ dependencies = [
"owned_ttf_parser 0.15.2",
]
+[[package]]
+name = "rustversion"
+version = "1.0.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7ffc183a10b4478d04cbbbfc96d0873219d962dd5accaff2ffbd4ceb7df837f4"
+
[[package]]
name = "rustybuzz"
version = "0.12.1"
@@ -4856,9 +5084,9 @@ dependencies = [
[[package]]
name = "ryu"
-version = "1.0.16"
+version = "1.0.17"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f98d2aa92eebf49b69786be48e4477826b256916e84a57ff2a4f21923b48eb4c"
+checksum = "e86697c916019a8588c99b5fac3cead74ec0b4b819707a682fd4d23fa0ce1ba1"
[[package]]
name = "safemem"
@@ -4895,14 +5123,15 @@ checksum = "94143f37725109f92c262ed2cf5e59bce7498c01bcc1502d7b9afe439a4e9f49"
[[package]]
name = "sctk-adwaita"
-version = "0.5.4"
-source = "git+https://github.com/pop-os/sctk-adwaita?branch=wayland-resize#da85380dfb8f0c13aed51c5bddaad0ba3654cb1f"
+version = "0.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "82b2eaf3a5b264a521b988b2e73042e742df700c4f962cde845d1541adb46550"
dependencies = [
"ab_glyph",
"log",
- "memmap2 0.5.10",
- "smithay-client-toolkit 0.16.1",
- "tiny-skia 0.8.4",
+ "memmap2 0.9.4",
+ "smithay-client-toolkit 0.18.1",
+ "tiny-skia",
]
[[package]]
@@ -4937,29 +5166,29 @@ checksum = "388a1df253eca08550bef6c72392cfe7c30914bf41df5269b68cbd6ff8f570a3"
[[package]]
name = "serde"
-version = "1.0.195"
+version = "1.0.197"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "63261df402c67811e9ac6def069e4786148c4563f4b50fd4bf30aa370d626b02"
+checksum = "3fb1c873e1b9b056a4dc4c0c198b24c3ffa059243875552b2bd0933b1aee4ce2"
dependencies = [
"serde_derive",
]
[[package]]
name = "serde_derive"
-version = "1.0.195"
+version = "1.0.197"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "46fe8f8603d81ba86327b23a2e9cdf49e1255fb94a4c5f297f6ee0547178ea2c"
+checksum = "7eb0b34b42edc17f6b7cac84a52a1c5f0e1bb2227e997ca9011ea3dd34e8610b"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
name = "serde_json"
-version = "1.0.111"
+version = "1.0.114"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "176e46fa42316f18edd598015a5166857fc835ec732f5215eac6b7bdbf0a84f4"
+checksum = "c5f09b1bd632ef549eaa9f60a1f8de742bdbc698e6cee2095fc84dde5f549ae0"
dependencies = [
"itoa",
"ryu",
@@ -4974,7 +5203,7 @@ checksum = "0b2e6b945e9d3df726b65d6ee24060aff8e3533d431f677a9695db04eff9dfdb"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -5058,9 +5287,9 @@ dependencies = [
[[package]]
name = "smallvec"
-version = "1.12.0"
+version = "1.13.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2593d31f82ead8df961d8bd23a64c2ccf2eb5dd34b0a34bfb4dd54011c72009e"
+checksum = "e6ecd384b10a64542d77071bd64bd7b231f4ed5940fba55e98c3de13824cf3d7"
[[package]]
name = "smithay-client-toolkit"
@@ -5083,30 +5312,47 @@ dependencies = [
[[package]]
name = "smithay-client-toolkit"
-version = "0.16.1"
-source = "git+https://github.com/pop-os/client-toolkit?branch=wayland-resize#515820fc86cf8cb3ac8d087dc6c87852767627ca"
+version = "0.18.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "922fd3eeab3bd820d76537ce8f582b1cf951eceb5475c28500c7457d9d17f53a"
dependencies = [
- "bitflags 1.3.2",
- "calloop 0.10.6",
- "dlib 0.5.2",
- "lazy_static",
+ "bitflags 2.4.2",
+ "calloop 0.12.4",
+ "calloop-wayland-source",
+ "cursor-icon",
+ "libc",
"log",
- "memmap2 0.5.10",
- "nix 0.24.3",
- "pkg-config",
- "wayland-client 0.29.5",
- "wayland-cursor 0.29.5",
- "wayland-protocols 0.29.5",
+ "memmap2 0.9.4",
+ "rustix 0.38.31",
+ "thiserror",
+ "wayland-backend",
+ "wayland-client 0.31.2",
+ "wayland-csd-frame",
+ "wayland-cursor 0.31.1",
+ "wayland-protocols 0.31.2",
+ "wayland-protocols-wlr",
+ "wayland-scanner 0.31.1",
+ "xkeysym",
]
[[package]]
name = "smithay-clipboard"
-version = "0.6.6"
+version = "0.7.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0a345c870a1fae0b1b779085e81b51e614767c239e93503588e54c5b17f4b0e8"
+checksum = "c091e7354ea8059d6ad99eace06dd13ddeedbb0ac72d40a9a6e7ff790525882d"
dependencies = [
- "smithay-client-toolkit 0.16.1",
- "wayland-client 0.29.5",
+ "libc",
+ "smithay-client-toolkit 0.18.1",
+ "wayland-backend",
+]
+
+[[package]]
+name = "smol_str"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e6845563ada680337a52d43bb0b29f396f2d911616f6573012645b9e3d048a49"
+dependencies = [
+ "serde",
]
[[package]]
@@ -5121,22 +5367,22 @@ dependencies = [
[[package]]
name = "socket2"
-version = "0.5.5"
+version = "0.5.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7b5fac59a5cb5dd637972e5fca70daf0523c9067fcdc4842f053dae04a18f8e9"
+checksum = "05ffd9c0a93b7543e062e759284fcf5f5e3b098501104bfbdde4d404db792871"
dependencies = [
"libc",
- "windows-sys 0.48.0",
+ "windows-sys 0.52.0",
]
[[package]]
name = "softbuffer"
-version = "0.3.3"
-source = "git+https://github.com/pop-os/softbuffer?tag=v0.3-cosmic#6f0371ccece51d124c6c5d37082189df0dc5f9ba"
+version = "0.4.1"
+source = "git+https://github.com/pop-os/softbuffer?tag=cosmic-4.0#6e75b1ad7e98397d37cb187886d05969bc480995"
dependencies = [
"as-raw-xcb-connection",
"bytemuck",
- "cfg_aliases",
+ "cfg_aliases 0.2.0",
"cocoa 0.25.0",
"core-graphics 0.23.1",
"drm",
@@ -5144,19 +5390,19 @@ dependencies = [
"foreign-types 0.5.0",
"js-sys",
"log",
- "memmap2 0.9.3",
+ "memmap2 0.9.4",
"objc",
- "raw-window-handle 0.5.2",
+ "raw-window-handle 0.6.0",
"redox_syscall 0.4.1",
- "rustix 0.38.30",
+ "rustix 0.38.31",
"tiny-xlib",
"wasm-bindgen",
"wayland-backend",
- "wayland-client 0.31.1",
+ "wayland-client 0.31.2",
"wayland-sys 0.31.1",
"web-sys",
- "windows-sys 0.48.0",
- "x11rb 0.12.0",
+ "windows-sys 0.52.0",
+ "x11rb",
]
[[package]]
@@ -5170,12 +5416,11 @@ dependencies = [
[[package]]
name = "spirv"
-version = "0.2.0+1.5.4"
+version = "0.3.0+sdk-1.3.268.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "246bfa38fe3db3f1dfc8ca5a2cdeb7348c78be2112740cc0ec8ef18b6d94f830"
+checksum = "eda41003dc44290527a59b13432d4a0379379fa074b70174882adfbdfd917844"
dependencies = [
- "bitflags 1.3.2",
- "num-traits",
+ "bitflags 2.4.2",
]
[[package]]
@@ -5184,12 +5429,6 @@ version = "1.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "a2eb9349b6444b326872e140eb1cf5e7c522154d69e7a0ffb0fb81c06b37543f"
-[[package]]
-name = "str-buf"
-version = "1.0.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9e08d8363704e6c71fc928674353e6b7c23dcea9d82d7012c8faf2a3a025f8d0"
-
[[package]]
name = "strict-num"
version = "0.1.1"
@@ -5229,10 +5468,11 @@ dependencies = [
[[package]]
name = "swash"
-version = "0.1.8"
+version = "0.1.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3b7c73c813353c347272919aa1af2885068b05e625e5532b43049e4f641ae77f"
+checksum = "d06ff4664af8923625604261c645f5c4cc610cc83c84bec74b50d76237089de7"
dependencies = [
+ "read-fonts",
"yazi",
"zeno",
]
@@ -5250,9 +5490,9 @@ dependencies = [
[[package]]
name = "syn"
-version = "2.0.48"
+version = "2.0.51"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0f3531638e407dfc0814761abb7c00a5b54992b849452a0646b7f65c9f770f3f"
+checksum = "6ab617d94515e94ae53b8406c628598680aa0c9587474ecbe58188f7b345d66c"
dependencies = [
"proc-macro2",
"quote",
@@ -5261,9 +5501,9 @@ dependencies = [
[[package]]
name = "syntect"
-version = "5.1.0"
+version = "5.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e02b4b303bf8d08bfeb0445cba5068a3d306b6baece1d5582171a9bf49188f91"
+checksum = "874dcfa363995604333cf947ae9f751ca3af4522c60886774c4963943b4746b1"
dependencies = [
"bincode",
"bitflags 1.3.2",
@@ -5272,8 +5512,9 @@ dependencies = [
"once_cell",
"onig",
"plist",
- "regex-syntax 0.7.5",
+ "regex-syntax",
"serde",
+ "serde_derive",
"serde_json",
"thiserror",
"walkdir",
@@ -5298,7 +5539,7 @@ dependencies = [
"cfg-expr",
"heck",
"pkg-config",
- "toml 0.8.8",
+ "toml 0.8.10",
"version-compare",
]
@@ -5330,20 +5571,19 @@ dependencies = [
[[package]]
name = "target-lexicon"
-version = "0.12.13"
+version = "0.12.14"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "69758bda2e78f098e4ccb393021a0963bb3442eac05f135c30f61b7370bbafae"
+checksum = "e1fc403891a21bcfb7c37834ba66a547a8f402146eba7265b5a6d88059c9ff2f"
[[package]]
name = "tempfile"
-version = "3.9.0"
+version = "3.10.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "01ce4141aa927a6d1bd34a041795abd0db1cccba5d5f24b009f694bdf3a1f3fa"
+checksum = "85b77fafb263dd9d05cbeac119526425676db3784113aa9295c88498cbf8bff1"
dependencies = [
"cfg-if 1.0.0",
"fastrand 2.0.1",
- "redox_syscall 0.4.1",
- "rustix 0.38.30",
+ "rustix 0.38.31",
"windows-sys 0.52.0",
]
@@ -5358,22 +5598,22 @@ dependencies = [
[[package]]
name = "thiserror"
-version = "1.0.56"
+version = "1.0.57"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d54378c645627613241d077a3a79db965db602882668f9136ac42af9ecb730ad"
+checksum = "1e45bcbe8ed29775f228095caf2cd67af7a4ccf756ebff23a306bf3e8b47b24b"
dependencies = [
"thiserror-impl",
]
[[package]]
name = "thiserror-impl"
-version = "1.0.56"
+version = "1.0.57"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fa0faa943b50f3db30a20aa7e265dbc66076993efed8463e8de414e5d06d3471"
+checksum = "a953cb265bef375dae3de6663da4d3804eee9682ea80d8e2542529b73c531c81"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -5400,12 +5640,15 @@ dependencies = [
[[package]]
name = "time"
-version = "0.3.31"
+version = "0.3.34"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f657ba42c3f86e7680e53c8cd3af8abbe56b5491790b46e22e19c0d57463583e"
+checksum = "c8248b6521bb14bc45b4067159b9b6ad792e2d6d754d6c41fb50e29fefe38749"
dependencies = [
"deranged",
"itoa",
+ "libc",
+ "num-conv",
+ "num_threads",
"powerfmt",
"serde",
"time-core",
@@ -5420,58 +5663,43 @@ checksum = "ef927ca75afb808a4d64dd374f00a2adf8d0fcff8e7b184af886c3c87ec4a3f3"
[[package]]
name = "time-macros"
-version = "0.2.16"
+version = "0.2.17"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "26197e33420244aeb70c3e8c78376ca46571bc4e701e4791c2cd9f57dcb3a43f"
+checksum = "7ba3a3ef41e6672a2f0f001392bb5dcd3ff0a9992d618ca761a11c3121547774"
dependencies = [
+ "num-conv",
"time-core",
]
[[package]]
-name = "tiny-skia"
-version = "0.8.4"
+name = "tiny-keccak"
+version = "2.0.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "df8493a203431061e901613751931f047d1971337153f96d0e5e363d6dbf6a67"
+checksum = "2c9d3793400a45f954c52e73d068316d76b6f4e36977e3fcebb13a2721e80237"
dependencies = [
- "arrayref",
- "arrayvec 0.7.4",
- "bytemuck",
- "cfg-if 1.0.0",
- "png 0.17.11",
- "tiny-skia-path 0.8.4",
+ "crunchy",
]
[[package]]
name = "tiny-skia"
-version = "0.11.3"
+version = "0.11.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b6a067b809476893fce6a254cf285850ff69c847e6cfbade6a20b655b6c7e80d"
+checksum = "83d13394d44dae3207b52a326c0c85a8bf87f1541f23b0d143811088497b09ab"
dependencies = [
"arrayref",
"arrayvec 0.7.4",
"bytemuck",
"cfg-if 1.0.0",
"log",
- "png 0.17.11",
- "tiny-skia-path 0.11.3",
+ "png 0.17.13",
+ "tiny-skia-path",
]
[[package]]
name = "tiny-skia-path"
-version = "0.8.4"
+version = "0.11.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "adbfb5d3f3dd57a0e11d12f4f13d4ebbbc1b5c15b7ab0a156d030b21da5f677c"
-dependencies = [
- "arrayref",
- "bytemuck",
- "strict-num",
-]
-
-[[package]]
-name = "tiny-skia-path"
-version = "0.11.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5de35e8a90052baaaf61f171680ac2f8e925a1e43ea9d2e3a00514772250e541"
+checksum = "9c9e7fc0c2e86a30b117d0462aa261b72b7a99b7ebd7deb3a14ceda95c5bdc93"
dependencies = [
"arrayref",
"bytemuck",
@@ -5516,9 +5744,9 @@ checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20"
[[package]]
name = "tokio"
-version = "1.35.1"
+version = "1.36.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c89b4efa943be685f629b149f53829423f8f5531ea21249408e8e2f8671ec104"
+checksum = "61285f6515fa018fb2d1e46eb21223fff441ee8db5d0f1435e8ab4f5cdb80931"
dependencies = [
"backtrace",
"bytes",
@@ -5527,7 +5755,7 @@ dependencies = [
"num_cpus",
"pin-project-lite",
"signal-hook-registry",
- "socket2 0.5.5",
+ "socket2 0.5.6",
"tracing",
"windows-sys 0.48.0",
]
@@ -5543,14 +5771,14 @@ dependencies = [
[[package]]
name = "toml"
-version = "0.8.8"
+version = "0.8.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a1a195ec8c9da26928f773888e0742ca3ca1040c6cd859c919c9f59c1954ab35"
+checksum = "9a9aad4a3066010876e8dcf5a8a06e70a558751117a145c6ce2b82c2e2054290"
dependencies = [
"serde",
"serde_spanned",
"toml_datetime",
- "toml_edit 0.21.0",
+ "toml_edit 0.22.6",
]
[[package]]
@@ -5570,20 +5798,31 @@ checksum = "1b5bb770da30e5cbfde35a2d7b9b8a2c4b8ef89548a7a6aeab5c9a576e3e7421"
dependencies = [
"indexmap",
"toml_datetime",
- "winnow",
+ "winnow 0.5.40",
]
[[package]]
name = "toml_edit"
-version = "0.21.0"
+version = "0.21.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d34d383cd00a163b4a5b85053df514d45bc330f6de7737edfe0a93311d1eaa03"
+checksum = "6a8534fd7f78b5405e860340ad6575217ce99f38d4d5c8f2442cb5ecb50090e1"
+dependencies = [
+ "indexmap",
+ "toml_datetime",
+ "winnow 0.5.40",
+]
+
+[[package]]
+name = "toml_edit"
+version = "0.22.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2c1b5fd4128cc8d3e0cb74d4ed9a9cc7c7284becd4df68f5f940e1ad123606f6"
dependencies = [
"indexmap",
"serde",
"serde_spanned",
"toml_datetime",
- "winnow",
+ "winnow 0.6.2",
]
[[package]]
@@ -5605,7 +5844,7 @@ checksum = "34704c8d6ebcbc939824180af020566b01a7c01f80641264eba0999f6c2b6be7"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -5617,6 +5856,22 @@ dependencies = [
"once_cell",
]
+[[package]]
+name = "trash"
+version = "3.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c658458d46d9d5a153a3b5cdd88d8579ad50d4fb85d53961e4526c8fc7c55a57"
+dependencies = [
+ "chrono",
+ "libc",
+ "log",
+ "objc",
+ "once_cell",
+ "scopeguard",
+ "url",
+ "windows 0.44.0",
+]
+
[[package]]
name = "ttf-parser"
version = "0.15.2"
@@ -5732,30 +5987,30 @@ checksum = "3b09c83c3c29d37506a3e260c08c03743a6bb66a9cd432c6934ab501a190571f"
[[package]]
name = "unicode-normalization"
-version = "0.1.22"
+version = "0.1.23"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5c5713f0fc4b5db668a2ac63cdb7bb4469d8c9fed047b1d0292cc7b0ce2ba921"
+checksum = "a56d1686db2308d901306f92a263857ef59ea39678a5458e7cb17f01415101f5"
dependencies = [
"tinyvec",
]
[[package]]
name = "unicode-properties"
-version = "0.1.0"
+version = "0.1.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c7f91c8b21fbbaa18853c3d0801c78f4fc94cdb976699bb03e832e75f7fd22f0"
+checksum = "e4259d9d4425d9f0661581b804cb85fe66a4c631cadd8f490d1c13a35d5d9291"
[[package]]
name = "unicode-script"
-version = "0.5.5"
+version = "0.5.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7d817255e1bed6dfd4ca47258685d14d2bdcfbc64fdc9e3819bd5848057b8ecc"
+checksum = "ad8d71f5726e5f285a935e9fe8edfd53f0491eb6e9a5774097fdabee7cd8c9cd"
[[package]]
name = "unicode-segmentation"
-version = "1.10.1"
+version = "1.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1dd624098567895118886609431a7c3b8f516e41d30e0643f03d94592a147e36"
+checksum = "d4c87d22b6e3f4a18d4d40ef354e97c90fcb14dd91d7dc0aa9d8a1172ebf7202"
[[package]]
name = "unicode-vo"
@@ -5813,7 +6068,7 @@ dependencies = [
"imagesize",
"kurbo",
"log",
- "roxmltree 0.19.0",
+ "roxmltree",
"simplecss",
"siphasher",
"svgtypes",
@@ -5845,14 +6100,26 @@ dependencies = [
"rctree",
"strict-num",
"svgtypes",
- "tiny-skia-path 0.11.3",
+ "tiny-skia-path",
]
[[package]]
-name = "vec_map"
-version = "0.8.2"
+name = "utf8parse"
+version = "0.2.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f1bddf1187be692e79c5ffeab891132dfb0f236ed36a43c7ed39f1165ee20191"
+checksum = "711b9620af191e0cdc7468a8d14e709c3dcdb115b36f838e601583af800a370a"
+
+[[package]]
+name = "vergen"
+version = "8.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e27d6bdd219887a9eadd19e1c34f32e47fa332301184935c6d9bca26f3cca525"
+dependencies = [
+ "anyhow",
+ "cfg-if 1.0.0",
+ "rustversion",
+ "time",
+]
[[package]]
name = "version-compare"
@@ -5890,9 +6157,9 @@ checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
[[package]]
name = "wasm-bindgen"
-version = "0.2.90"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b1223296a201415c7fad14792dbefaace9bd52b62d33453ade1c5b5f07555406"
+checksum = "c1e124130aee3fb58c5bdd6b639a0509486b0338acaaae0c84a5124b0f588b7f"
dependencies = [
"cfg-if 1.0.0",
"wasm-bindgen-macro",
@@ -5900,24 +6167,24 @@ dependencies = [
[[package]]
name = "wasm-bindgen-backend"
-version = "0.2.90"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fcdc935b63408d58a32f8cc9738a0bffd8f05cc7c002086c6ef20b7312ad9dcd"
+checksum = "c9e7e1900c352b609c8488ad12639a311045f40a35491fb69ba8c12f758af70b"
dependencies = [
"bumpalo",
"log",
"once_cell",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-futures"
-version = "0.4.40"
+version = "0.4.41"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bde2032aeb86bdfaecc8b261eef3cba735cc426c1f3a3416d1e0791be95fc461"
+checksum = "877b9c3f61ceea0e56331985743b13f3d25c406a7098d45180fb5f09bc19ed97"
dependencies = [
"cfg-if 1.0.0",
"js-sys",
@@ -5927,9 +6194,9 @@ dependencies = [
[[package]]
name = "wasm-bindgen-macro"
-version = "0.2.90"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3e4c238561b2d428924c49815533a8b9121c664599558a5d9ec51f8a1740a999"
+checksum = "b30af9e2d358182b5c7449424f017eba305ed32a7010509ede96cdc4696c46ed"
dependencies = [
"quote",
"wasm-bindgen-macro-support",
@@ -5937,22 +6204,22 @@ dependencies = [
[[package]]
name = "wasm-bindgen-macro-support"
-version = "0.2.90"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bae1abb6806dc1ad9e560ed242107c0f6c84335f1749dd4e8ddb012ebd5e25a7"
+checksum = "642f325be6301eb8107a83d12a8ac6c1e1c54345a7ef1a9261962dfefda09e66"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
"wasm-bindgen-backend",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-shared"
-version = "0.2.90"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4d91413b1c31d7539ba5ef2451af3f0b833a005eb27a631cec32bc0635a8602b"
+checksum = "4f186bd2dcf04330886ce82d6f33dd75a7bfcf69ecf5763b89fcde53b6ac9838"
[[package]]
name = "wasm-timer"
@@ -5971,13 +6238,13 @@ dependencies = [
[[package]]
name = "wayland-backend"
-version = "0.3.2"
+version = "0.3.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "19152ddd73f45f024ed4534d9ca2594e0ef252c1847695255dae47f34df9fbe4"
+checksum = "9d50fa61ce90d76474c87f5fc002828d81b32677340112b4ef08079a9d459a40"
dependencies = [
"cc",
"downcast-rs",
- "nix 0.26.4",
+ "rustix 0.38.31",
"scoped-tls",
"smallvec",
"wayland-sys 0.31.1",
@@ -5994,37 +6261,21 @@ dependencies = [
"libc",
"nix 0.20.0",
"scoped-tls",
- "wayland-commons 0.28.6",
+ "wayland-commons",
"wayland-scanner 0.28.6",
"wayland-sys 0.28.6",
]
[[package]]
name = "wayland-client"
-version = "0.29.5"
+version = "0.31.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3f3b068c05a039c9f755f881dc50f01732214f5685e379829759088967c46715"
-dependencies = [
- "bitflags 1.3.2",
- "downcast-rs",
- "libc",
- "nix 0.24.3",
- "scoped-tls",
- "wayland-commons 0.29.5",
- "wayland-scanner 0.29.5",
- "wayland-sys 0.29.5",
-]
-
-[[package]]
-name = "wayland-client"
-version = "0.31.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ca7d52347346f5473bf2f56705f360e8440873052e575e55890c4fa57843ed3"
+checksum = "82fb96ee935c2cea6668ccb470fb7771f6215d1691746c2d896b447a00ad3f1f"
dependencies = [
"bitflags 2.4.2",
- "nix 0.26.4",
+ "rustix 0.38.31",
"wayland-backend",
- "wayland-scanner 0.31.0",
+ "wayland-scanner 0.31.1",
]
[[package]]
@@ -6040,15 +6291,14 @@ dependencies = [
]
[[package]]
-name = "wayland-commons"
-version = "0.29.5"
+name = "wayland-csd-frame"
+version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8691f134d584a33a6606d9d717b95c4fa20065605f798a3f350d78dced02a902"
+checksum = "625c5029dbd43d25e6aa9615e88b829a5cad13b2819c4ae129fdbb7c31ab4c7e"
dependencies = [
- "nix 0.24.3",
- "once_cell",
- "smallvec",
- "wayland-sys 0.29.5",
+ "bitflags 2.4.2",
+ "cursor-icon",
+ "wayland-backend",
]
[[package]]
@@ -6064,12 +6314,12 @@ dependencies = [
[[package]]
name = "wayland-cursor"
-version = "0.29.5"
+version = "0.31.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6865c6b66f13d6257bef1cd40cbfe8ef2f150fb8ebbdb1e8e873455931377661"
+checksum = "71ce5fa868dd13d11a0d04c5e2e65726d0897be8de247c0c5a65886e283231ba"
dependencies = [
- "nix 0.24.3",
- "wayland-client 0.29.5",
+ "rustix 0.38.31",
+ "wayland-client 0.31.2",
"xcursor",
]
@@ -6081,20 +6331,46 @@ checksum = "286620ea4d803bacf61fa087a4242ee316693099ee5a140796aaba02b29f861f"
dependencies = [
"bitflags 1.3.2",
"wayland-client 0.28.6",
- "wayland-commons 0.28.6",
+ "wayland-commons",
"wayland-scanner 0.28.6",
]
[[package]]
name = "wayland-protocols"
-version = "0.29.5"
+version = "0.31.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b950621f9354b322ee817a23474e479b34be96c2e909c14f7bc0100e9a970bc6"
+checksum = "8f81f365b8b4a97f422ac0e8737c438024b5951734506b0e1d775c73030561f4"
dependencies = [
- "bitflags 1.3.2",
- "wayland-client 0.29.5",
- "wayland-commons 0.29.5",
- "wayland-scanner 0.29.5",
+ "bitflags 2.4.2",
+ "wayland-backend",
+ "wayland-client 0.31.2",
+ "wayland-scanner 0.31.1",
+]
+
+[[package]]
+name = "wayland-protocols-plasma"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "23803551115ff9ea9bce586860c5c5a971e360825a0309264102a9495a5ff479"
+dependencies = [
+ "bitflags 2.4.2",
+ "wayland-backend",
+ "wayland-client 0.31.2",
+ "wayland-protocols 0.31.2",
+ "wayland-scanner 0.31.1",
+]
+
+[[package]]
+name = "wayland-protocols-wlr"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ad1f61b76b6c2d8742e10f9ba5c3737f6530b4c243132c2a2ccc8aa96fe25cd6"
+dependencies = [
+ "bitflags 2.4.2",
+ "wayland-backend",
+ "wayland-client 0.31.2",
+ "wayland-protocols 0.31.2",
+ "wayland-scanner 0.31.1",
]
[[package]]
@@ -6110,23 +6386,12 @@ dependencies = [
[[package]]
name = "wayland-scanner"
-version = "0.29.5"
+version = "0.31.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8f4303d8fa22ab852f789e75a967f0a2cdc430a607751c0499bada3e451cbd53"
+checksum = "63b3a62929287001986fb58c789dce9b67604a397c15c611ad9f747300b6c283"
dependencies = [
"proc-macro2",
- "quote",
- "xml-rs",
-]
-
-[[package]]
-name = "wayland-scanner"
-version = "0.31.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fb8e28403665c9f9513202b7e1ed71ec56fde5c107816843fb14057910b2c09c"
-dependencies = [
- "proc-macro2",
- "quick-xml 0.30.0",
+ "quick-xml",
"quote",
]
@@ -6141,17 +6406,6 @@ dependencies = [
"pkg-config",
]
-[[package]]
-name = "wayland-sys"
-version = "0.29.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "be12ce1a3c39ec7dba25594b97b42cb3195d54953ddb9d3d95a7c3902bc6e9d4"
-dependencies = [
- "dlib 0.5.2",
- "lazy_static",
- "pkg-config",
-]
-
[[package]]
name = "wayland-sys"
version = "0.31.1"
@@ -6166,9 +6420,19 @@ dependencies = [
[[package]]
name = "web-sys"
-version = "0.3.64"
+version = "0.3.68"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9b85cbef8c220a6abc02aefd892dfc0fc23afb1c6a426316ec33253a3877249b"
+checksum = "96565907687f7aceb35bc5fc03770a8a0471d82e479f25832f54a0e3f4b28446"
+dependencies = [
+ "js-sys",
+ "wasm-bindgen",
+]
+
+[[package]]
+name = "web-time"
+version = "0.2.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "aa30049b1c872b72c89866d458eae9f20380ab280ffd1b1e18df2d3e2d98cfe0"
dependencies = [
"js-sys",
"wasm-bindgen",
@@ -6176,25 +6440,24 @@ dependencies = [
[[package]]
name = "weezl"
-version = "0.1.7"
+version = "0.1.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9193164d4de03a926d909d3bc7c30543cecb35400c02114792c2cae20d5e2dbb"
+checksum = "53a85b86a771b1c87058196170769dd264f66c0782acf1ae6cc51bfd64b39082"
[[package]]
name = "wgpu"
-version = "0.18.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "30e7d227c9f961f2061c26f4cb0fbd4df0ef37e056edd0931783599d6c94ef24"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
dependencies = [
"arrayvec 0.7.4",
"cfg-if 1.0.0",
- "flume 0.11.0",
+ "cfg_aliases 0.1.1",
"js-sys",
"log",
"naga",
"parking_lot 0.12.1",
"profiling",
- "raw-window-handle 0.5.2",
+ "raw-window-handle 0.6.0",
"smallvec",
"static_assertions",
"wasm-bindgen",
@@ -6207,19 +6470,21 @@ dependencies = [
[[package]]
name = "wgpu-core"
-version = "0.18.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ef91c1d62d1e9e81c79e600131a258edf75c9531cbdbde09c44a011a47312726"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
dependencies = [
"arrayvec 0.7.4",
"bit-vec",
"bitflags 2.4.2",
+ "cfg_aliases 0.1.1",
"codespan-reporting",
+ "indexmap",
"log",
"naga",
+ "once_cell",
"parking_lot 0.12.1",
"profiling",
- "raw-window-handle 0.5.2",
+ "raw-window-handle 0.6.0",
"rustc-hash",
"smallvec",
"thiserror",
@@ -6230,9 +6495,8 @@ dependencies = [
[[package]]
name = "wgpu-hal"
-version = "0.18.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b84ecc802da3eb67b4cf3dd9ea6fe45bbb47ef13e6c49c5c3240868a9cc6cdd9"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
dependencies = [
"android_system_properties",
"arrayvec 0.7.4",
@@ -6240,6 +6504,7 @@ dependencies = [
"bit-set",
"bitflags 2.4.2",
"block",
+ "cfg_aliases 0.1.1",
"core-graphics-types",
"d3d12",
"glow",
@@ -6260,7 +6525,7 @@ dependencies = [
"parking_lot 0.12.1",
"profiling",
"range-alloc",
- "raw-window-handle 0.5.2",
+ "raw-window-handle 0.6.0",
"renderdoc-sys",
"rustc-hash",
"smallvec",
@@ -6273,9 +6538,8 @@ dependencies = [
[[package]]
name = "wgpu-types"
-version = "0.18.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0d5ed5f0edf0de351fe311c53304986315ce866f394a2e6df0c4b3c70774bcdd"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
dependencies = [
"bitflags 2.4.2",
"js-sys",
@@ -6313,15 +6577,6 @@ dependencies = [
"winapi",
]
-[[package]]
-name = "winapi-wsapoll"
-version = "0.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "44c17110f57155602a80dca10be03852116403c9ff3cd25b079d666f2aa3df6e"
-dependencies = [
- "winapi",
-]
-
[[package]]
name = "winapi-x86_64-pc-windows-gnu"
version = "0.4.0"
@@ -6330,15 +6585,15 @@ checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
[[package]]
name = "window_clipboard"
-version = "0.3.0"
+version = "0.4.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "63287c9c4396ccf5346d035a9b0fcaead9e18377637f5eaa78b7ac65c873ff7d"
+checksum = "f6d692d46038c433f9daee7ad8757e002a4248c20b0a3fbc991d99521d3bcb6d"
dependencies = [
"clipboard-win",
"clipboard_macos",
"clipboard_wayland",
"clipboard_x11",
- "raw-window-handle 0.5.2",
+ "raw-window-handle 0.6.0",
"thiserror",
]
@@ -6348,28 +6603,28 @@ version = "0.44.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "9e745dab35a0c4c77aa3ce42d595e13d2003d6902d6b08c9ef5fc326d08da12b"
dependencies = [
- "windows-implement",
- "windows-interface",
"windows-targets 0.42.2",
]
[[package]]
name = "windows"
-version = "0.51.1"
+version = "0.48.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ca229916c5ee38c2f2bc1e9d8f04df975b4bd93f9955dc69fabb5d91270045c9"
+checksum = "e686886bc078bc1b0b600cac0147aadb815089b6e4da64016cbd754b6342700f"
dependencies = [
- "windows-core 0.51.1",
+ "windows-implement",
+ "windows-interface",
"windows-targets 0.48.5",
]
[[package]]
-name = "windows-core"
-version = "0.51.1"
+name = "windows"
+version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f1f8cf84f35d2db49a46868f947758c7a1138116f7fac3bc844f43ade1292e64"
+checksum = "e48a53791691ab099e5e2ad123536d0fff50652600abaf43bbf952894110d0be"
dependencies = [
- "windows-targets 0.48.5",
+ "windows-core",
+ "windows-targets 0.52.3",
]
[[package]]
@@ -6378,14 +6633,14 @@ version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "33ab640c8d7e35bf8ba19b884ba838ceb4fba93a4e8c65a9059d08afcfc683d9"
dependencies = [
- "windows-targets 0.52.0",
+ "windows-targets 0.52.3",
]
[[package]]
name = "windows-implement"
-version = "0.44.0"
+version = "0.48.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6ce87ca8e3417b02dc2a8a22769306658670ec92d78f1bd420d6310a67c245c6"
+checksum = "5e2ee588991b9e7e6c8338edf3333fbe4da35dc72092643958ebb43f0ab2c49c"
dependencies = [
"proc-macro2",
"quote",
@@ -6394,9 +6649,9 @@ dependencies = [
[[package]]
name = "windows-interface"
-version = "0.44.0"
+version = "0.48.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "853f69a591ecd4f810d29f17e902d40e349fb05b0b11fff63b08b826bfe39c7f"
+checksum = "e6fb8df20c9bcaa8ad6ab513f7b40104840c8867d5751126e4df3b08388d0cc7"
dependencies = [
"proc-macro2",
"quote",
@@ -6427,7 +6682,7 @@ version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "282be5f36a8ce781fad8c8ae18fa3f9beff57ec1b52cb3de0789201425d9a33d"
dependencies = [
- "windows-targets 0.52.0",
+ "windows-targets 0.52.3",
]
[[package]]
@@ -6462,17 +6717,17 @@ dependencies = [
[[package]]
name = "windows-targets"
-version = "0.52.0"
+version = "0.52.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8a18201040b24831fbb9e4eb208f8892e1f50a37feb53cc7ff887feb8f50e7cd"
+checksum = "d380ba1dc7187569a8a9e91ed34b8ccfc33123bbacb8c0aed2d1ad7f3ef2dc5f"
dependencies = [
- "windows_aarch64_gnullvm 0.52.0",
- "windows_aarch64_msvc 0.52.0",
- "windows_i686_gnu 0.52.0",
- "windows_i686_msvc 0.52.0",
- "windows_x86_64_gnu 0.52.0",
- "windows_x86_64_gnullvm 0.52.0",
- "windows_x86_64_msvc 0.52.0",
+ "windows_aarch64_gnullvm 0.52.3",
+ "windows_aarch64_msvc 0.52.3",
+ "windows_i686_gnu 0.52.3",
+ "windows_i686_msvc 0.52.3",
+ "windows_x86_64_gnu 0.52.3",
+ "windows_x86_64_gnullvm 0.52.3",
+ "windows_x86_64_msvc 0.52.3",
]
[[package]]
@@ -6489,9 +6744,9 @@ checksum = "2b38e32f0abccf9987a4e3079dfb67dcd799fb61361e53e2882c3cbaf0d905d8"
[[package]]
name = "windows_aarch64_gnullvm"
-version = "0.52.0"
+version = "0.52.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cb7764e35d4db8a7921e09562a0304bf2f93e0a51bfccee0bd0bb0b666b015ea"
+checksum = "68e5dcfb9413f53afd9c8f86e56a7b4d86d9a2fa26090ea2dc9e40fba56c6ec6"
[[package]]
name = "windows_aarch64_msvc"
@@ -6507,9 +6762,9 @@ checksum = "dc35310971f3b2dbbf3f0690a219f40e2d9afcf64f9ab7cc1be722937c26b4bc"
[[package]]
name = "windows_aarch64_msvc"
-version = "0.52.0"
+version = "0.52.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bbaa0368d4f1d2aaefc55b6fcfee13f41544ddf36801e793edbbfd7d7df075ef"
+checksum = "8dab469ebbc45798319e69eebf92308e541ce46760b49b18c6b3fe5e8965b30f"
[[package]]
name = "windows_i686_gnu"
@@ -6525,9 +6780,9 @@ checksum = "a75915e7def60c94dcef72200b9a8e58e5091744960da64ec734a6c6e9b3743e"
[[package]]
name = "windows_i686_gnu"
-version = "0.52.0"
+version = "0.52.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a28637cb1fa3560a16915793afb20081aba2c92ee8af57b4d5f28e4b3e7df313"
+checksum = "2a4e9b6a7cac734a8b4138a4e1044eac3404d8326b6c0f939276560687a033fb"
[[package]]
name = "windows_i686_msvc"
@@ -6543,9 +6798,9 @@ checksum = "8f55c233f70c4b27f66c523580f78f1004e8b5a8b659e05a4eb49d4166cca406"
[[package]]
name = "windows_i686_msvc"
-version = "0.52.0"
+version = "0.52.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ffe5e8e31046ce6230cc7215707b816e339ff4d4d67c65dffa206fd0f7aa7b9a"
+checksum = "28b0ec9c422ca95ff34a78755cfa6ad4a51371da2a5ace67500cf7ca5f232c58"
[[package]]
name = "windows_x86_64_gnu"
@@ -6561,9 +6816,9 @@ checksum = "53d40abd2583d23e4718fddf1ebec84dbff8381c07cae67ff7768bbf19c6718e"
[[package]]
name = "windows_x86_64_gnu"
-version = "0.52.0"
+version = "0.52.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3d6fa32db2bc4a2f5abeacf2b69f7992cd09dca97498da74a151a3132c26befd"
+checksum = "704131571ba93e89d7cd43482277d6632589b18ecf4468f591fbae0a8b101614"
[[package]]
name = "windows_x86_64_gnullvm"
@@ -6579,9 +6834,9 @@ checksum = "0b7b52767868a23d5bab768e390dc5f5c55825b6d30b86c844ff2dc7414044cc"
[[package]]
name = "windows_x86_64_gnullvm"
-version = "0.52.0"
+version = "0.52.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1a657e1e9d3f514745a572a6846d3c7aa7dbe1658c056ed9c3344c4109a6949e"
+checksum = "42079295511643151e98d61c38c0acc444e52dd42ab456f7ccfd5152e8ecf21c"
[[package]]
name = "windows_x86_64_msvc"
@@ -6597,9 +6852,9 @@ checksum = "ed94fce61571a4006852b7389a063ab983c02eb1bb37b47f8272ce92d06d9538"
[[package]]
name = "windows_x86_64_msvc"
-version = "0.52.0"
+version = "0.52.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dff9641d1cd4be8d1a070daf9e3773c5f67e78b4d9d42263020c057706765c04"
+checksum = "0770833d60a970638e989b3fa9fd2bb1aaadcf88963d1659fd7d9990196ed2d6"
[[package]]
name = "winit"
@@ -6635,43 +6890,65 @@ dependencies = [
[[package]]
name = "winit"
-version = "0.28.6"
-source = "git+https://github.com/pop-os/winit.git?branch=master#c6ad672264b2e320cd15a531f67e133d9ecd39bf"
+version = "0.29.10"
+source = "git+https://github.com/pop-os/winit.git?branch=winit-0.29#bdc66109acc85c912264c9e4b864520345bdb45f"
dependencies = [
+ "ahash",
"android-activity",
- "bitflags 1.3.2",
- "cfg_aliases",
+ "atomic-waker",
+ "bitflags 2.4.2",
+ "bytemuck",
+ "calloop 0.12.4",
+ "cfg_aliases 0.1.1",
"core-foundation 0.9.4",
- "core-graphics 0.22.3",
- "dispatch",
- "instant",
+ "core-graphics 0.23.1",
+ "cursor-icon",
+ "icrate 0.0.4",
+ "js-sys",
"libc",
"log",
- "mio 0.8.10",
- "ndk 0.7.0",
- "objc2",
+ "memmap2 0.9.4",
+ "ndk 0.8.0",
+ "ndk-sys 0.5.0+25.2.9519653",
+ "objc2 0.4.1",
"once_cell",
"orbclient",
"percent-encoding",
- "raw-window-handle 0.5.2",
+ "raw-window-handle 0.6.0",
"redox_syscall 0.3.5",
+ "rustix 0.38.31",
"sctk-adwaita",
- "smithay-client-toolkit 0.16.1",
+ "smithay-client-toolkit 0.18.1",
+ "smol_str",
+ "unicode-segmentation",
"wasm-bindgen",
- "wayland-client 0.29.5",
- "wayland-commons 0.29.5",
- "wayland-protocols 0.29.5",
- "wayland-scanner 0.29.5",
+ "wasm-bindgen-futures",
+ "wayland-backend",
+ "wayland-client 0.31.2",
+ "wayland-protocols 0.31.2",
+ "wayland-protocols-plasma",
"web-sys",
- "windows-sys 0.45.0",
+ "web-time",
+ "windows-sys 0.48.0",
"x11-dl",
+ "x11rb",
+ "xkbcommon-dl",
]
[[package]]
name = "winnow"
-version = "0.5.34"
+version = "0.5.40"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b7cf47b659b318dccbd69cc4797a39ae128f533dce7902a1096044d1967b9c16"
+checksum = "f593a95398737aeed53e489c785df13f3618e41dbcd6718c6addbf1395aa6876"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "winnow"
+version = "0.6.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7a4191c47f15cc3ec71fcb4913cb83d58def65dd3787610213c649283b5ce178"
dependencies = [
"memchr",
]
@@ -6689,41 +6966,24 @@ dependencies = [
[[package]]
name = "x11rb"
-version = "0.9.0"
+version = "0.13.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6e99be55648b3ae2a52342f9a870c0e138709a3493261ce9b469afe6e4df6d8a"
-dependencies = [
- "gethostname 0.2.3",
- "nix 0.22.3",
- "winapi",
- "winapi-wsapoll",
-]
-
-[[package]]
-name = "x11rb"
-version = "0.12.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b1641b26d4dec61337c35a1b1aaf9e3cba8f46f0b43636c609ab0291a648040a"
+checksum = "f8f25ead8c7e4cba123243a6367da5d3990e0d3affa708ea19dce96356bd9f1a"
dependencies = [
"as-raw-xcb-connection",
- "gethostname 0.3.0",
+ "gethostname",
"libc",
- "libloading 0.7.4",
- "nix 0.26.4",
+ "libloading 0.8.1",
"once_cell",
- "winapi",
- "winapi-wsapoll",
+ "rustix 0.38.31",
"x11rb-protocol",
]
[[package]]
name = "x11rb-protocol"
-version = "0.12.0"
+version = "0.13.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "82d6c3f9a0fb6701fab8f6cea9b0c0bd5d6876f1f89f7fada07e558077c344bc"
-dependencies = [
- "nix 0.26.4",
-]
+checksum = "e63e71c4b8bd9ffec2c963173a4dc4cbde9ee96961d4fcb4429db9929b606c34"
[[package]]
name = "xcursor"
@@ -6739,11 +6999,11 @@ checksum = "213b7324336b53d2414b2db8537e56544d981803139155afa84f76eeebb7a546"
[[package]]
name = "xdg-home"
-version = "1.0.0"
+version = "1.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2769203cd13a0c6015d515be729c526d041e9cf2c0cc478d57faee85f40c6dcd"
+checksum = "21e5a325c3cb8398ad6cf859c1135b25dd29e186679cf2da7581d9679f63b38e"
dependencies = [
- "nix 0.26.4",
+ "libc",
"winapi",
]
@@ -6760,18 +7020,31 @@ dependencies = [
"unicase",
]
+[[package]]
+name = "xkbcommon-dl"
+version = "0.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d039de8032a9a8856a6be89cea3e5d12fdd82306ab7c94d74e6deab2460651c5"
+dependencies = [
+ "bitflags 2.4.2",
+ "dlib 0.5.2",
+ "log",
+ "once_cell",
+ "xkeysym",
+]
+
+[[package]]
+name = "xkeysym"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "054a8e68b76250b253f671d1268cb7f1ae089ec35e195b2efb2a4e9a836d0621"
+
[[package]]
name = "xml-rs"
version = "0.8.19"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "0fcb9cbac069e033553e8bb871be2fbdffcab578eb25bd0f7c508cedc6dcd75a"
-[[package]]
-name = "xmlparser"
-version = "0.13.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "66fee0b777b0f5ac1c69bb06d361268faafa61cd4682ae064a171c16c433e9e4"
-
[[package]]
name = "xmlwriter"
version = "0.1.0"
@@ -6780,9 +7053,9 @@ checksum = "ec7a2a501ed189703dba8b08142f057e887dfc4b2cc4db2d343ac6376ba3e0b9"
[[package]]
name = "xxhash-rust"
-version = "0.8.8"
+version = "0.8.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "53be06678ed9e83edb1745eb72efc0bbcd7b5c3c35711a860906aed827a13d61"
+checksum = "927da81e25be1e1a2901d59b81b37dd2efd1fc9c9345a55007f09bf5a2d3ee03"
[[package]]
name = "yaml-rust"
@@ -6801,9 +7074,9 @@ checksum = "c94451ac9513335b5e23d7a8a2b61a7102398b8cca5160829d313e84c9d98be1"
[[package]]
name = "zbus"
-version = "3.14.1"
+version = "3.15.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "31de390a2d872e4cd04edd71b425e29853f786dc99317ed72d73d6fcf5ebb948"
+checksum = "675d170b632a6ad49804c8cf2105d7c31eddd3312555cffd4b740e08e97c25e6"
dependencies = [
"async-broadcast",
"async-executor",
@@ -6843,9 +7116,9 @@ dependencies = [
[[package]]
name = "zbus_macros"
-version = "3.14.1"
+version = "3.15.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "41d1794a946878c0e807f55a397187c11fc7a038ba5d868e7db4f3bd7760bc9d"
+checksum = "7131497b0f887e8061b430c530240063d33bf9455fa34438f388a245da69e0a5"
dependencies = [
"proc-macro-crate 1.3.1",
"proc-macro2",
@@ -6889,7 +7162,7 @@ checksum = "9ce1b18ccd8e73a9321186f97e46f9f04b778851177567b1975109d26a08d2a6"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -6903,9 +7176,9 @@ dependencies = [
[[package]]
name = "zvariant"
-version = "3.15.0"
+version = "3.15.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "44b291bee0d960c53170780af148dca5fa260a63cdd24f1962fa82e03e53338c"
+checksum = "4eef2be88ba09b358d3b58aca6e41cd853631d44787f319a1383ca83424fb2db"
dependencies = [
"byteorder",
"enumflags2",
@@ -6918,9 +7191,9 @@ dependencies = [
[[package]]
name = "zvariant_derive"
-version = "3.15.0"
+version = "3.15.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "934d7a7dfc310d6ee06c87ffe88ef4eca7d3e37bb251dece2ef93da8f17d8ecd"
+checksum = "37c24dc0bed72f5f90d1f8bb5b07228cbf63b3c6e9f82d82559d4bae666e7ed9"
dependencies = [
"proc-macro-crate 1.3.1",
"proc-macro2",
diff --git a/pkgs/by-name/co/cosmic-edit/package.nix b/pkgs/by-name/co/cosmic-edit/package.nix
index 60020d46df45..155b812b42d9 100644
--- a/pkgs/by-name/co/cosmic-edit/package.nix
+++ b/pkgs/by-name/co/cosmic-edit/package.nix
@@ -6,8 +6,6 @@
cmake,
makeBinaryWrapper,
cosmic-icons,
- glib,
- gtk3,
just,
pkg-config,
libglvnd,
@@ -23,33 +21,38 @@
rustPlatform.buildRustPackage rec {
pname = "cosmic-edit";
- version = "0-unstable-2024-01-19";
+ version = "unstable-2024-02-28";
src = fetchFromGitHub {
owner = "pop-os";
repo = pname;
- rev = "b97eb0603bf6c7e168fc6e17aa779af1f105b9ee";
- hash = "sha256-oprqM3QTewC/L/KOQ4uT81dPLqjP+Kp+wxgkY8l1Nc8=";
+ rev = "536a66eff9c032afd76b60be6a9067a14ed38ab1";
+ hash = "sha256-UsZBxeamw8VuHtHrVtTwHhPPG+SMBrGY5taw+da/Ll0=";
};
cargoLock = {
lockFile = ./Cargo.lock;
outputHashes = {
- "accesskit-0.11.0" = "sha256-xVhe6adUb8VmwIKKjHxwCwOo5Y1p3Or3ylcJJdLDrrE=";
+ "accesskit-0.12.2" = "sha256-ksaYMGT/oug7isQY8/1WD97XDUsX2ShBdabUzxWffYw=";
"atomicwrites-0.4.2" = "sha256-QZSuGPrJXh+svMeFWqAXoqZQxLq/WfIiamqvjJNVhxA=";
- "cosmic-config-0.1.0" = "sha256-PR6u2DT+HneMSFszfg0sZK7oLwsOX4YtpUP88KWHy68=";
- "cosmic-syntax-theme-0.1.0" = "sha256-9Vf2s5Ry2hco80EbXOuVLwvOWygRiuaRD4tTImWooSg=";
- "cosmic-text-0.10.0" = "sha256-WxT0LPXu17jb0XpuCu2PjlGTV1a0K1HMhl6WpciKMkM=";
- "glyphon-0.4.1" = "sha256-mwJXi63LTBIVFrFcywr/NeOJKfMjQaQkNl3CSdEgrZc=";
- "sctk-adwaita-0.5.4" = "sha256-yK0F2w/0nxyKrSiHZbx7+aPNY2vlFs7s8nu/COp2KqQ=";
- "softbuffer-0.3.3" = "sha256-eKYFVr6C1+X6ulidHIu9SP591rJxStxwL9uMiqnXx4k=";
- "smithay-client-toolkit-0.16.1" = "sha256-z7EZThbh7YmKzAACv181zaEZmWxTrMkFRzP0nfsHK6c=";
+ "cosmic-config-0.1.0" = "sha256-Zyi95zcBAohM1WBropLzJczSIfNNNBK2odB4AmW4h5I=";
+ "cosmic-files-0.1.0" = "sha256-64An0MPgnFgyVlWmtBGBs+IV2z+4vmEY2uRPetZM4/M=";
+ "cosmic-syntax-theme-0.1.0" = "sha256-BNb9wrryD5FJImboD3TTdPRIfiBqPpItqwGdT1ZiNng=";
+ "cosmic-text-0.11.2" = "sha256-Y9i5stMYpx+iqn4y5DJm1O1+3UIGp0/fSsnNq3Zloug=";
+ "d3d12-0.19.0" = "sha256-usrxQXWLGJDjmIdw1LBXtBvX+CchZDvE8fHC0LjvhD4=";
+ "glyphon-0.5.0" = "sha256-j1HrbEpUBqazWqNfJhpyjWuxYAxkvbXzRKeSouUoPWg=";
+ "softbuffer-0.4.1" = "sha256-a0bUFz6O8CWRweNt/OxTvflnPYwO5nm6vsyc/WcXyNg=";
"systemicons-0.7.0" = "sha256-zzAI+6mnpQOh+3mX7/sJ+w4a7uX27RduQ99PNxLNF78=";
"taffy-0.3.11" = "sha256-SCx9GEIJjWdoNVyq+RZAGn0N71qraKZxf9ZWhvyzLaI=";
- "winit-0.28.6" = "sha256-FhW6d2XnXCGJUMoT9EMQew9/OPXiehy/JraeCiVd76M=";
+ "winit-0.29.10" = "sha256-ScTII2AzK3SC8MVeASZ9jhVWsEaGrSQ2BnApTxgfxK4=";
};
};
+ # COSMIC applications now uses vergen for the About page
+ # Update the COMMIT_DATE to match when the commit was made
+ env.VERGEN_GIT_COMMIT_DATE = "2024-02-28";
+ env.VERGEN_GIT_SHA = src.rev;
+
postPatch = ''
substituteInPlace justfile --replace '#!/usr/bin/env' "#!$(command -v env)"
'';
@@ -57,13 +60,12 @@ rustPlatform.buildRustPackage rec {
nativeBuildInputs = [ just pkg-config makeBinaryWrapper ];
buildInputs = [
libxkbcommon
- glib
- gtk3
xorg.libX11
libinput
libglvnd
fontconfig
freetype
+ mesa
wayland
vulkan-loader
];
@@ -94,13 +96,14 @@ rustPlatform.buildRustPackage rec {
wrapProgram "$out/bin/${pname}" \
--suffix XDG_DATA_DIRS : "${cosmic-icons}/share" \
--prefix LD_LIBRARY_PATH : ${lib.makeLibraryPath [
- xorg.libX11 xorg.libXcursor xorg.libXi xorg.libXrandr vulkan-loader mesa.drivers
+ xorg.libX11 xorg.libXcursor xorg.libXi xorg.libXrandr vulkan-loader libxkbcommon mesa.drivers wayland
]}
'';
meta = with lib; {
homepage = "https://github.com/pop-os/cosmic-edit";
description = "Text Editor for the COSMIC Desktop Environment";
+ mainProgram = "cosmic-edit";
license = licenses.gpl3Only;
maintainers = with maintainers; [ ahoneybun nyanbinary ];
platforms = platforms.linux;
diff --git a/pkgs/by-name/co/cosmic-files/Cargo.lock b/pkgs/by-name/co/cosmic-files/Cargo.lock
index 4eaf5071f33e..95151198a829 100644
--- a/pkgs/by-name/co/cosmic-files/Cargo.lock
+++ b/pkgs/by-name/co/cosmic-files/Cargo.lock
@@ -20,66 +20,71 @@ checksum = "c71b1793ee61086797f5c80b6efa2b8ffa6d5dd703f118545808a7f2e27f7046"
[[package]]
name = "accesskit"
-version = "0.11.0"
-source = "git+https://github.com/wash2/accesskit.git?tag=winit-0.28#db6f2587f663eafd8f7888e8507baa3a092599b0"
+version = "0.12.2"
+source = "git+https://github.com/wash2/accesskit.git?branch=winit-0.29#5f9b61c8264000d001499c902562422e13efa7a8"
[[package]]
name = "accesskit_consumer"
-version = "0.15.0"
-source = "git+https://github.com/wash2/accesskit.git?tag=winit-0.28#db6f2587f663eafd8f7888e8507baa3a092599b0"
+version = "0.17.0"
+source = "git+https://github.com/wash2/accesskit.git?branch=winit-0.29#5f9b61c8264000d001499c902562422e13efa7a8"
dependencies = [
"accesskit",
]
[[package]]
name = "accesskit_macos"
-version = "0.7.0"
-source = "git+https://github.com/wash2/accesskit.git?tag=winit-0.28#db6f2587f663eafd8f7888e8507baa3a092599b0"
+version = "0.11.0"
+source = "git+https://github.com/wash2/accesskit.git?branch=winit-0.29#5f9b61c8264000d001499c902562422e13efa7a8"
dependencies = [
"accesskit",
"accesskit_consumer",
- "objc2",
+ "icrate 0.1.0",
+ "objc2 0.5.0",
"once_cell",
]
[[package]]
name = "accesskit_unix"
-version = "0.4.0"
-source = "git+https://github.com/wash2/accesskit.git?tag=winit-0.28#db6f2587f663eafd8f7888e8507baa3a092599b0"
+version = "0.7.1"
+source = "git+https://github.com/wash2/accesskit.git?branch=winit-0.29#5f9b61c8264000d001499c902562422e13efa7a8"
dependencies = [
"accesskit",
"accesskit_consumer",
- "async-channel 1.9.0",
+ "async-channel",
+ "async-executor",
+ "async-task",
"atspi",
"futures-lite 1.13.0",
- "log",
+ "futures-util",
+ "once_cell",
"serde",
"zbus",
]
[[package]]
name = "accesskit_windows"
-version = "0.14.0"
-source = "git+https://github.com/wash2/accesskit.git?tag=winit-0.28#db6f2587f663eafd8f7888e8507baa3a092599b0"
+version = "0.16.0"
+source = "git+https://github.com/wash2/accesskit.git?branch=winit-0.29#5f9b61c8264000d001499c902562422e13efa7a8"
dependencies = [
"accesskit",
"accesskit_consumer",
- "arrayvec 0.7.4",
"once_cell",
"paste",
- "windows 0.44.0",
+ "static_assertions",
+ "windows 0.48.0",
]
[[package]]
name = "accesskit_winit"
-version = "0.13.0"
-source = "git+https://github.com/wash2/accesskit.git?tag=winit-0.28#db6f2587f663eafd8f7888e8507baa3a092599b0"
+version = "0.18.1"
+source = "git+https://github.com/wash2/accesskit.git?branch=winit-0.29#5f9b61c8264000d001499c902562422e13efa7a8"
dependencies = [
"accesskit",
"accesskit_macos",
"accesskit_unix",
"accesskit_windows",
- "winit 0.28.6",
+ "raw-window-handle 0.6.0",
+ "winit 0.29.10",
]
[[package]]
@@ -105,22 +110,12 @@ checksum = "aae1277d39aeec15cb388266ecc24b11c80469deae6067e17a1a7aa9e5c1f234"
[[package]]
name = "ahash"
-version = "0.7.7"
+version = "0.8.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5a824f2aa7e75a0c98c5a504fceb80649e9c35265d44525b5f94de4771a395cd"
-dependencies = [
- "getrandom",
- "once_cell",
- "version_check",
-]
-
-[[package]]
-name = "ahash"
-version = "0.8.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "77c3a9648d43b9cd48db467b3f87fdd6e146bcc88ab0180006cef2179fe11d01"
+checksum = "8b79b82693f705137f8fb9b37871d99e4f9a7df12b917eed79c3d3954830a60b"
dependencies = [
"cfg-if 1.0.0",
+ "getrandom",
"once_cell",
"version_check",
"zerocopy",
@@ -168,20 +163,23 @@ dependencies = [
[[package]]
name = "android-activity"
-version = "0.4.3"
+version = "0.5.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "64529721f27c2314ced0890ce45e469574a73e5e6fdd6e9da1860eb29285f5e0"
+checksum = "ee91c0c2905bae44f84bfa4e044536541df26b7703fd0888deeb9060fcc44289"
dependencies = [
"android-properties",
- "bitflags 1.3.2",
+ "bitflags 2.4.2",
"cc",
+ "cesu8",
+ "jni",
"jni-sys",
"libc",
"log",
- "ndk 0.7.0",
+ "ndk 0.8.0",
"ndk-context",
- "ndk-sys 0.4.1+23.1.7779620",
- "num_enum 0.6.1",
+ "ndk-sys 0.5.0+25.2.9519653",
+ "num_enum 0.7.2",
+ "thiserror",
]
[[package]]
@@ -205,12 +203,66 @@ dependencies = [
"libc",
]
+[[package]]
+name = "anstream"
+version = "0.6.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d96bd03f33fe50a863e394ee9718a706f988b9079b20c3784fb726e7678b62fb"
+dependencies = [
+ "anstyle",
+ "anstyle-parse",
+ "anstyle-query",
+ "anstyle-wincon",
+ "colorchoice",
+ "utf8parse",
+]
+
+[[package]]
+name = "anstyle"
+version = "1.0.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8901269c6307e8d93993578286ac0edf7f195079ffff5ebdeea6a59ffb7e36bc"
+
+[[package]]
+name = "anstyle-parse"
+version = "0.2.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c75ac65da39e5fe5ab759307499ddad880d724eed2f6ce5b5e8a26f4f387928c"
+dependencies = [
+ "utf8parse",
+]
+
+[[package]]
+name = "anstyle-query"
+version = "1.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e28923312444cdd728e4738b3f9c9cac739500909bb3d3c94b43551b16517648"
+dependencies = [
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "anstyle-wincon"
+version = "3.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1cd54b81ec8d6180e24654d0b371ad22fc3dd083b6ff8ba325b72e00c87660a7"
+dependencies = [
+ "anstyle",
+ "windows-sys 0.52.0",
+]
+
[[package]]
name = "any_ascii"
version = "0.1.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "70033777eb8b5124a81a1889416543dddef2de240019b674c81285a2635a7e1e"
+[[package]]
+name = "anyhow"
+version = "1.0.80"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5ad32ce52e4161730f7098c077cd2ed6229b5804ccf99e5366be1ab72a98b4e1"
+
[[package]]
name = "apply"
version = "0.3.0"
@@ -295,24 +347,13 @@ dependencies = [
[[package]]
name = "async-channel"
-version = "1.9.0"
+version = "2.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "81953c529336010edd6d8e358f886d9581267795c61b19475b71314bffa46d35"
+checksum = "f28243a43d821d11341ab73c80bed182dc015c514b951616cf79bd4af39af0c3"
dependencies = [
"concurrent-queue",
- "event-listener 2.5.3",
- "futures-core",
-]
-
-[[package]]
-name = "async-channel"
-version = "2.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ca33f4bc4ed1babef42cad36cc1f51fa88be00420404e5b1e80ab1b18f7678c"
-dependencies = [
- "concurrent-queue",
- "event-listener 4.0.3",
- "event-listener-strategy",
+ "event-listener 5.1.0",
+ "event-listener-strategy 0.5.0",
"futures-core",
"pin-project-lite",
]
@@ -365,9 +406,9 @@ dependencies = [
[[package]]
name = "async-io"
-version = "2.2.2"
+version = "2.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6afaa937395a620e33dc6a742c593c01aced20aa376ffb0f628121198578ccc7"
+checksum = "8f97ab0c5b00a7cdbe5a371b9a782ee7be1316095885c8a4ea1daf490eb0ef65"
dependencies = [
"async-lock 3.3.0",
"cfg-if 1.0.0",
@@ -375,8 +416,8 @@ dependencies = [
"futures-io",
"futures-lite 2.2.0",
"parking",
- "polling 3.3.1",
- "rustix 0.38.28",
+ "polling 3.5.0",
+ "rustix 0.38.31",
"slab",
"tracing",
"windows-sys 0.52.0",
@@ -398,7 +439,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "d034b430882f8381900d3fe6f0aaa3ad94f2cb4ac519b429692a1bc2dda4ae7b"
dependencies = [
"event-listener 4.0.3",
- "event-listener-strategy",
+ "event-listener-strategy 0.4.0",
"pin-project-lite",
]
@@ -415,7 +456,7 @@ dependencies = [
"cfg-if 1.0.0",
"event-listener 3.1.0",
"futures-lite 1.13.0",
- "rustix 0.38.28",
+ "rustix 0.38.31",
"windows-sys 0.48.0",
]
@@ -427,7 +468,7 @@ checksum = "5fd55a5ba1179988837d24ab4c7cc8ed6efdeff578ede0416b4225a5fca35bd0"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -436,13 +477,13 @@ version = "0.2.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "9e47d90f65a225c4527103a8d747001fc56e375203592b25ad103e1ca13124c5"
dependencies = [
- "async-io 2.2.2",
+ "async-io 2.3.1",
"async-lock 2.8.0",
"atomic-waker",
"cfg-if 1.0.0",
"futures-core",
"futures-io",
- "rustix 0.38.28",
+ "rustix 0.38.31",
"signal-hook-registry",
"slab",
"windows-sys 0.48.0",
@@ -462,7 +503,19 @@ checksum = "c980ee35e870bd1a4d2c8294d4c04d0499e67bca1e4b5cefcc693c2fa00caea9"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
+]
+
+[[package]]
+name = "atk-sys"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "251e0b7d90e33e0ba930891a505a9a35ece37b2dd37a14f3ffc306c13b980009"
+dependencies = [
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps",
]
[[package]]
@@ -476,36 +529,57 @@ name = "atomicwrites"
version = "0.4.2"
source = "git+https://github.com/jackpot51/rust-atomicwrites#043ab4859d53ffd3d55334685303d8df39c9f768"
dependencies = [
- "rustix 0.38.28",
+ "rustix 0.38.31",
"tempfile",
"windows-sys 0.48.0",
]
[[package]]
name = "atspi"
-version = "0.10.1"
+version = "0.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "674e7a3376837b2e7d12d34d58ac47073c491dc3bf6f71a7adaf687d4d817faa"
+checksum = "6059f350ab6f593ea00727b334265c4dfc7fd442ee32d264794bd9bdc68e87ca"
dependencies = [
- "async-recursion",
- "async-trait",
- "atspi-macros",
- "enumflags2",
- "futures-lite 1.13.0",
- "serde",
- "tracing",
- "zbus",
- "zbus_names",
+ "atspi-common",
+ "atspi-connection",
+ "atspi-proxies",
]
[[package]]
-name = "atspi-macros"
-version = "0.2.0"
+name = "atspi-common"
+version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "97fb4870a32c0eaa17e35bca0e6b16020635157121fb7d45593d242c295bc768"
+checksum = "92af95f966d2431f962bc632c2e68eda7777330158bf640c4af4249349b2cdf5"
dependencies = [
- "quote",
- "syn 1.0.109",
+ "enumflags2",
+ "serde",
+ "static_assertions",
+ "zbus",
+ "zbus_names",
+ "zvariant",
+]
+
+[[package]]
+name = "atspi-connection"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a0c65e7d70f86d4c0e3b2d585d9bf3f979f0b19d635a336725a88d279f76b939"
+dependencies = [
+ "atspi-common",
+ "atspi-proxies",
+ "futures-lite 1.13.0",
+ "zbus",
+]
+
+[[package]]
+name = "atspi-proxies"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6495661273703e7a229356dcbe8c8f38223d697aacfaf0e13590a9ac9977bb52"
+dependencies = [
+ "atspi-common",
+ "serde",
+ "zbus",
]
[[package]]
@@ -524,7 +598,7 @@ dependencies = [
"cc",
"cfg-if 1.0.0",
"libc",
- "miniz_oxide 0.7.1",
+ "miniz_oxide 0.7.2",
"object",
"rustc-demangle",
]
@@ -564,9 +638,9 @@ checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a"
[[package]]
name = "bitflags"
-version = "2.4.1"
+version = "2.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "327762f6e5a765692301e5bb513e0d9fef63be86bbc14528052b1cd3e6f03e07"
+checksum = "ed570934406eb16438a4e976b1b4500774099c13b8cb96eec99f620f05090ddf"
dependencies = [
"serde",
]
@@ -588,21 +662,31 @@ dependencies = [
[[package]]
name = "block-sys"
-version = "0.1.0-beta.1"
+version = "0.2.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0fa55741ee90902547802152aaf3f8e5248aab7e21468089560d4c8840561146"
+checksum = "ae85a0696e7ea3b835a453750bf002770776609115e6d25c6d2ff28a8200f7e7"
dependencies = [
"objc-sys",
]
[[package]]
name = "block2"
-version = "0.2.0-alpha.6"
+version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8dd9e63c1744f755c2f60332b88de39d341e5e86239014ad839bd71c106dec42"
+checksum = "15b55663a85f33501257357e6421bb33e769d5c9ffb5ba0921c975a123e35e68"
dependencies = [
"block-sys",
- "objc2-encode",
+ "objc2 0.4.1",
+]
+
+[[package]]
+name = "block2"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e58aa60e59d8dbfcc36138f5f18be5f24394d33b38b24f7fd0b1caa33095f22f"
+dependencies = [
+ "block-sys",
+ "objc2 0.5.0",
]
[[package]]
@@ -611,7 +695,7 @@ version = "1.5.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "6a37913e8dc4ddcc604f0c6d3bf2887c995153af3611de9e23c352b44c1b9118"
dependencies = [
- "async-channel 2.1.1",
+ "async-channel",
"async-lock 3.3.0",
"async-task",
"fastrand 2.0.1",
@@ -623,15 +707,15 @@ dependencies = [
[[package]]
name = "bumpalo"
-version = "3.14.0"
+version = "3.15.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7f30e7476521f6f8af1a1c4c0b8cc94f0bee37d91763d0ca2665f299b6cd8aec"
+checksum = "8ea184aa71bb362a1157c896979544cc23974e08fd265f29ea96b59f0b4a555b"
[[package]]
name = "bytemuck"
-version = "1.14.0"
+version = "1.14.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "374d28ec25809ee0e23827c2ab573d729e293f281dfe393500e7ad618baa61c6"
+checksum = "a2ef034f05691a48569bd920a96c81b9d91bbad1ab5ac7c4616c1f6ef36cb79f"
dependencies = [
"bytemuck_derive",
]
@@ -644,7 +728,7 @@ checksum = "965ab7eb5f8f97d2a083c799f3a1b994fc397b2fe2da5d1da1626ce15a39f2b1"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -659,6 +743,16 @@ version = "1.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "a2bd12c1caf447e69cd4528f47f94d203fd2582878ecb9e9465484c4148a8223"
+[[package]]
+name = "cairo-sys-rs"
+version = "0.18.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "685c9fa8e590b8b3d678873528d83411db17242a73fccaed827770ea0fedda51"
+dependencies = [
+ "libc",
+ "system-deps",
+]
+
[[package]]
name = "calloop"
version = "0.6.5"
@@ -671,28 +765,55 @@ dependencies = [
[[package]]
name = "calloop"
-version = "0.10.6"
+version = "0.12.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "52e0d00eb1ea24371a97d2da6201c6747a633dc6dc1988ef503403b4c59504a8"
+checksum = "fba7adb4dd5aa98e5553510223000e7148f621165ec5f9acd7113f6ca4995298"
dependencies = [
- "bitflags 1.3.2",
+ "bitflags 2.4.2",
"log",
- "nix 0.25.1",
- "slotmap",
+ "polling 3.5.0",
+ "rustix 0.38.31",
+ "slab",
"thiserror",
- "vec_map",
+]
+
+[[package]]
+name = "calloop-wayland-source"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0f0ea9b9476c7fad82841a8dbb380e2eae480c21910feba80725b46931ed8f02"
+dependencies = [
+ "calloop 0.12.4",
+ "rustix 0.38.31",
+ "wayland-backend",
+ "wayland-client 0.31.2",
]
[[package]]
name = "cc"
-version = "1.0.83"
+version = "1.0.88"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f1174fb0b6ec23863f8b971027804a42614e347eafb0a95bf0b12cdae21fc4d0"
+checksum = "02f341c093d19155a6e41631ce5971aac4e9a868262212153124c15fa22d1cdc"
dependencies = [
- "jobserver",
"libc",
]
+[[package]]
+name = "cesu8"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6d43a04d8753f35258c91f8ec639f792891f748a1edbd759cf1dcea3382ad83c"
+
+[[package]]
+name = "cfg-expr"
+version = "0.15.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fa50868b64a9a6fda9d593ce778849ea8715cd2a3d2cc17ffdb4a2f2f2f1961d"
+dependencies = [
+ "smallvec",
+ "target-lexicon",
+]
+
[[package]]
name = "cfg-if"
version = "0.1.10"
@@ -712,10 +833,16 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "fd16c4719339c4530435d38e511904438d07cce7950afa3718a84ac36c10e89e"
[[package]]
-name = "chrono"
-version = "0.4.31"
+name = "cfg_aliases"
+version = "0.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7f2c685bad3eb3d45a01354cedb7d5faa66194d1d58ba6e267a8de788f79db38"
+checksum = "77e53693616d3075149f4ead59bdeecd204ac6b8192d8969757601b74bddf00f"
+
+[[package]]
+name = "chrono"
+version = "0.4.34"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5bc015644b92d5890fab7489e49d21f879d5c990186827d42ec511919404f38b"
dependencies = [
"android-tzdata",
"iana-time-zone",
@@ -723,18 +850,16 @@ dependencies = [
"num-traits",
"pure-rust-locales",
"wasm-bindgen",
- "windows-targets 0.48.5",
+ "windows-targets 0.52.3",
]
[[package]]
name = "clipboard-win"
-version = "4.5.0"
+version = "5.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7191c27c2357d9b7ef96baac1773290d4ca63b24205b82a3fd8a0637afcf0362"
+checksum = "12f9a0700e0127ba15d1d52dd742097f821cd9c65939303a44d970465040a297"
dependencies = [
"error-code",
- "str-buf",
- "winapi",
]
[[package]]
@@ -750,21 +875,21 @@ dependencies = [
[[package]]
name = "clipboard_wayland"
-version = "0.2.0"
+version = "0.2.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6f6364a9f7a66f2ac1a1a098aa1c7f6b686f2496c6ac5e5c0d773445df912747"
+checksum = "003f886bc4e2987729d10c1db3424e7f80809f3fc22dbc16c685738887cb37b8"
dependencies = [
"smithay-clipboard",
]
[[package]]
name = "clipboard_x11"
-version = "0.4.0"
+version = "0.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "983a7010836ecd04dde2c6d27a0cb56ec5d21572177e782bdcb24a600124e921"
+checksum = "4274ea815e013e0f9f04a2633423e14194e408a0576c943ce3d14ca56c50031c"
dependencies = [
"thiserror",
- "x11rb 0.9.0",
+ "x11rb",
]
[[package]]
@@ -830,10 +955,51 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "3d7b894f5411737b7867f4827955924d7c254fc9f4d91a6aad6b097804b1018b"
[[package]]
-name = "com-rs"
-version = "0.2.1"
+name = "colorchoice"
+version = "1.0.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bf43edc576402991846b093a7ca18a3477e0ef9c588cde84964b5d3e43016642"
+checksum = "acbf1af155f9b9ef647e42cdc158db4b64a1b61f743629225fde6f3e0be2a7c7"
+
+[[package]]
+name = "com"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7e17887fd17353b65b1b2ef1c526c83e26cd72e74f598a8dc1bee13a48f3d9f6"
+dependencies = [
+ "com_macros",
+]
+
+[[package]]
+name = "com_macros"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d375883580a668c7481ea6631fc1a8863e33cc335bf56bfad8d7e6d4b04b13a5"
+dependencies = [
+ "com_macros_support",
+ "proc-macro2",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "com_macros_support"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ad899a1087a9296d5644792d7cb72b8e34c1bec8e7d4fbc002230169a6e8710c"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "combine"
+version = "4.6.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "35ed6e9d84f0b51a7f52daf1c7d71dd136fd7a3f41a8462b8cdb8c78d920fad4"
+dependencies = [
+ "bytes",
+ "memchr",
+]
[[package]]
name = "concurrent-queue"
@@ -844,6 +1010,26 @@ dependencies = [
"crossbeam-utils",
]
+[[package]]
+name = "const-random"
+version = "0.1.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5aaf16c9c2c612020bcfd042e170f6e32de9b9d75adb5277cdbbd2e2c8c8299a"
+dependencies = [
+ "const-random-macro",
+]
+
+[[package]]
+name = "const-random-macro"
+version = "0.1.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f9d839f2a20b0aee515dc581a6172f2321f96cab76c1a38a4c584a194955390e"
+dependencies = [
+ "getrandom",
+ "once_cell",
+ "tiny-keccak",
+]
+
[[package]]
name = "core-foundation"
version = "0.7.0"
@@ -941,22 +1127,24 @@ dependencies = [
[[package]]
name = "cosmic-config"
version = "0.1.0"
-source = "git+https://github.com/pop-os/libcosmic.git#9fb3d874e18ce36fadea931e16488fdbf28d3d02"
+source = "git+https://github.com/pop-os/libcosmic.git#7c3145828e780c6f6e9487f3f30486e5c44b4b2e"
dependencies = [
"atomicwrites",
"cosmic-config-derive",
- "dirs 5.0.1",
+ "dirs",
"iced_futures",
+ "known-folders",
"notify",
"once_cell",
"ron",
"serde",
+ "xdg",
]
[[package]]
name = "cosmic-config-derive"
version = "0.1.0"
-source = "git+https://github.com/pop-os/libcosmic.git#9fb3d874e18ce36fadea931e16488fdbf28d3d02"
+source = "git+https://github.com/pop-os/libcosmic.git#7c3145828e780c6f6e9487f3f30486e5c44b4b2e"
dependencies = [
"quote",
"syn 1.0.109",
@@ -967,28 +1155,38 @@ name = "cosmic-files"
version = "0.1.0"
dependencies = [
"chrono",
- "dirs 5.0.1",
+ "dirs",
"env_logger",
+ "fastrand 2.0.1",
"fork",
"i18n-embed",
"i18n-embed-fl",
- "lazy_static",
+ "image 0.24.9",
"lexical-sort",
"libcosmic",
"log",
+ "mime_guess",
+ "notify",
+ "once_cell",
+ "open",
+ "paste",
"rust-embed",
"serde",
+ "smol_str",
"systemicons",
+ "tempfile",
+ "test-log",
"tokio",
"trash",
+ "vergen",
]
[[package]]
name = "cosmic-text"
-version = "0.10.0"
-source = "git+https://github.com/pop-os/cosmic-text.git?branch=refactor#dd4c4cbbe2d5ed5046054b5361a6eeead50e0bb0"
+version = "0.11.2"
+source = "git+https://github.com/pop-os/cosmic-text.git#2766961af621b9235616e186046f6d14a2f5fbc0"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"fontdb",
"libm",
"log",
@@ -998,6 +1196,7 @@ dependencies = [
"self_cell 1.0.3",
"swash",
"sys-locale",
+ "ttf-parser 0.20.0",
"unicode-bidi",
"unicode-linebreak",
"unicode-script",
@@ -1007,7 +1206,7 @@ dependencies = [
[[package]]
name = "cosmic-theme"
version = "0.1.0"
-source = "git+https://github.com/pop-os/libcosmic.git#9fb3d874e18ce36fadea931e16488fdbf28d3d02"
+source = "git+https://github.com/pop-os/libcosmic.git#7c3145828e780c6f6e9487f3f30486e5c44b4b2e"
dependencies = [
"almost",
"cosmic-config",
@@ -1029,9 +1228,9 @@ dependencies = [
[[package]]
name = "crc32fast"
-version = "1.3.2"
+version = "1.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b540bd8bc810d3885c6ea91e2018302f68baba2129ab3e88f32389ee9370880d"
+checksum = "b3855a8a784b474f333699ef2bbca9db2c4a1f6d9088a90a2d25b1eb53111eaa"
dependencies = [
"cfg-if 1.0.0",
]
@@ -1110,9 +1309,9 @@ dependencies = [
[[package]]
name = "css-color"
-version = "0.2.5"
+version = "0.2.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d101c65424c856131a3cb818da2ddde03500dc3656972269cdf79f018ef77eb4"
+checksum = "42aaeae719fd78ce501d77c6cdf01f7e96f26bcd5617a4903a1c2b97e388543a"
[[package]]
name = "csscolorparser"
@@ -1126,12 +1325,12 @@ dependencies = [
[[package]]
name = "ctor"
-version = "0.2.6"
+version = "0.2.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "30d2b3721e861707777e3195b0158f950ae6dc4a27e4d02ff9f67e3eb3de199e"
+checksum = "ad291aa74992b9b7a7e88c38acbbf6ad7e107f1d90ee8775b7bc1fc3394f485c"
dependencies = [
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -1141,12 +1340,17 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "b365fabc795046672053e29c954733ec3b05e4be654ab130fe8f1f94d7051f35"
[[package]]
-name = "d3d12"
-version = "0.7.0"
+name = "cursor-icon"
+version = "1.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e16e44ab292b1dddfdaf7be62cfd8877df52f2f3fde5858d95bab606be259f20"
+checksum = "96a6ac251f4a2aca6b3f91340350eab87ae57c3f127ffeb585e92bd336717991"
+
+[[package]]
+name = "d3d12"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"libloading 0.8.1",
"winapi",
]
@@ -1163,12 +1367,12 @@ dependencies = [
[[package]]
name = "darling"
-version = "0.20.3"
+version = "0.20.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0209d94da627ab5605dcccf08bb18afa5009cfbef48d8a8b7d7bdbc79be25c5e"
+checksum = "54e36fcd13ed84ffdfda6f5be89b31287cbb80c439841fe69e04841435464391"
dependencies = [
- "darling_core 0.20.3",
- "darling_macro 0.20.3",
+ "darling_core 0.20.8",
+ "darling_macro 0.20.8",
]
[[package]]
@@ -1187,16 +1391,16 @@ dependencies = [
[[package]]
name = "darling_core"
-version = "0.20.3"
+version = "0.20.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "177e3443818124b357d8e76f53be906d60937f0d3a90773a664fa63fa253e621"
+checksum = "9c2cf1c23a687a1feeb728783b993c4e1ad83d99f351801977dd809b48d0a70f"
dependencies = [
"fnv",
"ident_case",
"proc-macro2",
"quote",
"strsim 0.10.0",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -1212,13 +1416,13 @@ dependencies = [
[[package]]
name = "darling_macro"
-version = "0.20.3"
+version = "0.20.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "836a9bbc7ad63342d6d6e7b815ccab164bc77a2d95d84bc3117a8c0d5c98e2d5"
+checksum = "a668eda54683121533a393014d8692171709ff57a7d61f187b6e782719f8933f"
dependencies = [
- "darling_core 0.20.3",
+ "darling_core 0.20.8",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -1228,7 +1432,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "978747c1d849a7d2ee5e8adc0159961c48fb7e5db2f06af6723b80123bb53856"
dependencies = [
"cfg-if 1.0.0",
- "hashbrown 0.14.3",
+ "hashbrown",
"lock_api",
"once_cell",
"parking_lot_core 0.9.9",
@@ -1250,6 +1454,15 @@ dependencies = [
"byteorder",
]
+[[package]]
+name = "deranged"
+version = "0.3.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b42b6fa04a440b495c8b04d0e71b707c585f83cb9cb28cf8cd0d976c315e31b4"
+dependencies = [
+ "powerfmt",
+]
+
[[package]]
name = "derivative"
version = "2.2.0"
@@ -1267,10 +1480,10 @@ version = "0.1.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "4e8ef033054e131169b8f0f9a7af8f5533a9436fadf3c500ed547f730f07090d"
dependencies = [
- "darling 0.20.3",
+ "darling 0.20.8",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -1283,22 +1496,13 @@ dependencies = [
"crypto-common",
]
-[[package]]
-name = "dirs"
-version = "4.0.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ca3aa72a6f96ea37bbc5aa912f6788242832f75369bdfdadcb0e38423f100059"
-dependencies = [
- "dirs-sys 0.3.7",
-]
-
[[package]]
name = "dirs"
version = "5.0.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "44c45a9d03d6676652bcb5e724c7e988de1acad23a711b5217ab9cbecbec2225"
dependencies = [
- "dirs-sys 0.4.1",
+ "dirs-sys",
]
[[package]]
@@ -1311,17 +1515,6 @@ dependencies = [
"dirs-sys-next",
]
-[[package]]
-name = "dirs-sys"
-version = "0.3.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1b1d1d91c932ef41c0f2663aa8b0ca0342d444d842c06914aa0a7e352d0bada6"
-dependencies = [
- "libc",
- "redox_users",
- "winapi",
-]
-
[[package]]
name = "dirs-sys"
version = "0.4.1"
@@ -1359,7 +1552,7 @@ checksum = "487585f4d0c6655fe74905e2504d8ad6908e4db67f744eb140876906c2f3175d"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -1382,9 +1575,12 @@ dependencies = [
[[package]]
name = "dlv-list"
-version = "0.3.0"
+version = "0.5.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0688c2a7f92e427f44895cd63841bff7b29f8d7a1648b9e7e07a4a365b2e1257"
+checksum = "442039f5147480ba31067cb00ada1adae6892028e40e45fc5de7b7df6dcc1b5f"
+dependencies = [
+ "const-random",
+]
[[package]]
name = "downcast-rs"
@@ -1394,25 +1590,25 @@ checksum = "9ea835d29036a4087793836fa931b08837ad5e957da9e23886b29586fb9b6650"
[[package]]
name = "drm"
-version = "0.10.0"
+version = "0.11.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "97fb1b703ffbc7ebd216eba7900008049a56ace55580ecb2ee7fa801e8d8be87"
+checksum = "a0f8a69e60d75ae7dab4ef26a59ca99f2a89d4c142089b537775ae0c198bdcde"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"bytemuck",
"drm-ffi",
"drm-fourcc",
- "nix 0.27.1",
+ "rustix 0.38.31",
]
[[package]]
name = "drm-ffi"
-version = "0.6.0"
+version = "0.7.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ba7d1c19c4b6270e89d59fb27dc6d02a317c658a8a54e54781e1db9b5947595d"
+checksum = "41334f8405792483e32ad05fbb9c5680ff4e84491883d2947a4757dc54cb2ac6"
dependencies = [
"drm-sys",
- "nix 0.27.1",
+ "rustix 0.38.31",
]
[[package]]
@@ -1423,21 +1619,25 @@ checksum = "0aafbcdb8afc29c1a7ee5fbe53b5d62f4565b35a042a662ca9fecd0b54dae6f4"
[[package]]
name = "drm-sys"
-version = "0.5.0"
+version = "0.6.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3a4f1c0468062a56cd5705f1e3b5409eb286d5596a2028ec8e947595d7e715ae"
+checksum = "2d09ff881f92f118b11105ba5e34ff8f4adf27b30dae8f12e28c193af1c83176"
+dependencies = [
+ "libc",
+ "linux-raw-sys 0.6.4",
+]
[[package]]
name = "either"
-version = "1.9.0"
+version = "1.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a26ae43d7bcc3b814de94796a5e736d4029efb0ee900c12e2d54c993ad1a1e07"
+checksum = "11157ac094ffbdde99aa67b23417ebdd801842852b500e395a45a9c0aac03e4a"
[[package]]
name = "enumflags2"
-version = "0.7.8"
+version = "0.7.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5998b4f30320c9d93aed72f63af821bfdac50465b75428fce77b48ec482c3939"
+checksum = "3278c9d5fb675e0a51dabcf4c0d355f692b064171535ba72361be1528a9d8e8d"
dependencies = [
"enumflags2_derive",
"serde",
@@ -1445,26 +1645,36 @@ dependencies = [
[[package]]
name = "enumflags2_derive"
-version = "0.7.8"
+version = "0.7.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f95e2801cd355d4a1a3e3953ce6ee5ae9603a5c833455343a8bfe3f44d418246"
+checksum = "5c785274071b1b420972453b306eeca06acf4633829db4223b58a2a8c5953bc4"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
+]
+
+[[package]]
+name = "env_filter"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a009aa4810eb158359dda09d0c87378e4bbb89b5a801f016885a4707ba24f7ea"
+dependencies = [
+ "log",
+ "regex",
]
[[package]]
name = "env_logger"
-version = "0.10.1"
+version = "0.11.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "95b3f3e67048839cb0d0781f445682a35113da7121f7c949db0e2be96a4fbece"
+checksum = "6c012a26a7f605efc424dd53697843a72be7dc86ad2d01f7814337794a12231d"
dependencies = [
+ "anstream",
+ "anstyle",
+ "env_filter",
"humantime",
- "is-terminal",
"log",
- "regex",
- "termcolor",
]
[[package]]
@@ -1485,13 +1695,9 @@ dependencies = [
[[package]]
name = "error-code"
-version = "2.3.1"
+version = "3.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "64f18991e7bf11e7ffee451b5318b5c1a73c52d0d0ada6e5a3017c8c1ced6a21"
-dependencies = [
- "libc",
- "str-buf",
-]
+checksum = "a0474425d51df81997e2f90a21591180b38eccf27292d755f3e30750225c175b"
[[package]]
name = "etagere"
@@ -1540,6 +1746,17 @@ dependencies = [
"pin-project-lite",
]
+[[package]]
+name = "event-listener"
+version = "5.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b7ad6fd685ce13acd6d9541a30f6db6567a7a24c9ffd4ba2955d29e3f22c8b27"
+dependencies = [
+ "concurrent-queue",
+ "parking",
+ "pin-project-lite",
+]
+
[[package]]
name = "event-listener-strategy"
version = "0.4.0"
@@ -1551,16 +1768,26 @@ dependencies = [
]
[[package]]
-name = "exr"
-version = "1.6.4"
+name = "event-listener-strategy"
+version = "0.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "279d3efcc55e19917fff7ab3ddd6c14afb6a90881a0078465196fe2f99d08c56"
+checksum = "feedafcaa9b749175d5ac357452a9d41ea2911da598fde46ce1fe02c37751291"
+dependencies = [
+ "event-listener 5.1.0",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "exr"
+version = "1.72.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "887d93f60543e9a9362ef8a21beedd0a833c5d9610e18c67abe15a5963dcb1a4"
dependencies = [
"bit_field",
- "flume 0.10.14",
+ "flume",
"half",
"lebe",
- "miniz_oxide 0.7.1",
+ "miniz_oxide 0.7.2",
"rayon-core",
"smallvec",
"zune-inflate",
@@ -1589,9 +1816,9 @@ checksum = "25cbce373ec4653f1a01a31e8a5e5ec0c622dc27ff9c4e6606eefef5cbbed4a5"
[[package]]
name = "fdeflate"
-version = "0.3.3"
+version = "0.3.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "209098dd6dfc4445aa6111f0e98653ac323eaa4dfd212c9ca3931bf9955c31bd"
+checksum = "4f9bfee30e4dedf0ab8b422f03af778d9612b63f502710fc500a334ebe2de645"
dependencies = [
"simd-adler32",
]
@@ -1624,7 +1851,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "46303f565772937ffe1d394a4fac6f411c6013172fadde9dcdb1e147a086940e"
dependencies = [
"crc32fast",
- "miniz_oxide 0.7.1",
+ "miniz_oxide 0.7.2",
]
[[package]]
@@ -1683,28 +1910,12 @@ dependencies = [
"thiserror",
]
-[[package]]
-name = "flume"
-version = "0.10.14"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1657b4441c3403d9f7b3409e47575237dac27b1b5726df654a6ecbf92f0f7577"
-dependencies = [
- "futures-core",
- "futures-sink",
- "nanorand",
- "pin-project",
- "spin",
-]
-
[[package]]
name = "flume"
version = "0.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "55ac459de2512911e4b674ce33cf20befaba382d05b62b008afc1c8b57cbf181"
dependencies = [
- "futures-core",
- "futures-sink",
- "nanorand",
"spin",
]
@@ -1715,23 +1926,29 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "3f9eec918d3f24069decb9af1554cad7c880e2da24a9afd88aca000531ab82c1"
[[package]]
-name = "fontconfig-parser"
-version = "0.5.3"
+name = "font-types"
+version = "0.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "674e258f4b5d2dcd63888c01c68413c51f565e8af99d2f7701c7b81d79ef41c4"
+checksum = "0bd7f3ea17572640b606b35df42cfb6ecdf003704b062580e59918692190b73d"
+
+[[package]]
+name = "fontconfig-parser"
+version = "0.5.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6a595cb550439a117696039dfc69830492058211b771a2a165379f2a1a53d84d"
dependencies = [
- "roxmltree 0.18.1",
+ "roxmltree",
]
[[package]]
name = "fontdb"
-version = "0.16.0"
+version = "0.16.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "98b88c54a38407f7352dd2c4238830115a6377741098ffd1f997c813d0e088a6"
+checksum = "b0299020c3ef3f60f526a4f64ab4a3d4ce116b1acbf24cdd22da0068e5d81dc3"
dependencies = [
"fontconfig-parser",
"log",
- "memmap2 0.9.3",
+ "memmap2 0.9.4",
"slotmap",
"tinyvec",
"ttf-parser 0.20.0",
@@ -1764,7 +1981,7 @@ checksum = "1a5c6c585bc94aaf2c7b51dd4c2ba22680844aba4c687be581871a6f518c5742"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -1781,9 +1998,9 @@ checksum = "aa9a19cbb55df58761df49b23516a86d432839add4af60fc256da840f66ed35b"
[[package]]
name = "fork"
-version = "0.1.22"
+version = "0.1.23"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bf2ca97a59201425e7ee4d197c9c4fea282fe87a97d666a580bda889b95b8e88"
+checksum = "60e74d3423998a57e9d906e49252fb79eb4a04d5cdfe188fb1b7ff9fc076a8ed"
dependencies = [
"libc",
]
@@ -1809,11 +2026,11 @@ dependencies = [
[[package]]
name = "freedesktop-icons"
-version = "0.2.4"
+version = "0.2.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3f9d46a9ae065c46efb83854bb10315de6d333bb6f4526ebe320c004dab7857e"
+checksum = "a8ef34245e0540c9a3ce7a28340b98d2c12b75da0d446da4e8224923fcaa0c16"
dependencies = [
- "dirs 4.0.0",
+ "dirs",
"once_cell",
"rust-ini",
"thiserror",
@@ -1914,7 +2131,7 @@ checksum = "87750cf4b7a4c0625b1529e4c543c2182106e4dedc60a2a6455e00d212c489ac"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -1947,6 +2164,36 @@ dependencies = [
"slab",
]
+[[package]]
+name = "gdk-pixbuf-sys"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3f9839ea644ed9c97a34d129ad56d38a25e6756f99f3a88e15cd39c20629caf7"
+dependencies = [
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps",
+]
+
+[[package]]
+name = "gdk-sys"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "31ff856cb3386dae1703a920f803abafcc580e9b5f711ca62ed1620c25b51ff2"
+dependencies = [
+ "cairo-sys-rs",
+ "gdk-pixbuf-sys",
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "pango-sys",
+ "pkg-config",
+ "system-deps",
+]
+
[[package]]
name = "generic-array"
version = "0.14.7"
@@ -1959,22 +2206,12 @@ dependencies = [
[[package]]
name = "gethostname"
-version = "0.2.3"
+version = "0.4.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c1ebd34e35c46e00bb73e81363248d627782724609fe1b6396f553f68fe3862e"
+checksum = "0176e0459c2e4a1fe232f984bca6890e681076abb9934f6cea7c326f3fc47818"
dependencies = [
"libc",
- "winapi",
-]
-
-[[package]]
-name = "gethostname"
-version = "0.3.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bb65d4ba3173c56a500b555b532f72c42e8d1fe64962b518897f8959fae2c177"
-dependencies = [
- "libc",
- "winapi",
+ "windows-targets 0.48.5",
]
[[package]]
@@ -1984,10 +2221,8 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "190092ea657667030ac6a35e305e62fc4dd69fd98ac98631e5d3a2b1575a12b5"
dependencies = [
"cfg-if 1.0.0",
- "js-sys",
"libc",
"wasi",
- "wasm-bindgen",
]
[[package]]
@@ -2010,12 +2245,35 @@ dependencies = [
"weezl",
]
+[[package]]
+name = "gif"
+version = "0.13.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3fb2d69b19215e18bb912fa30f7ce15846e301408695e44e0ef719f1da9e19f2"
+dependencies = [
+ "color_quant",
+ "weezl",
+]
+
[[package]]
name = "gimli"
version = "0.28.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "4271d37baee1b8c7e4b708028c57d816cf9d2434acb33a549475f78c181f6253"
+[[package]]
+name = "gio-sys"
+version = "0.18.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "37566df850baf5e4cb0dfb78af2e4b9898d817ed9263d1090a2df958c64737d2"
+dependencies = [
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps",
+ "winapi",
+]
+
[[package]]
name = "gl_generator"
version = "0.14.0"
@@ -2033,6 +2291,16 @@ version = "0.24.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "b5418c17512bdf42730f9032c74e1ae39afc408745ebb2acf72fbc4691c17945"
+[[package]]
+name = "glib-sys"
+version = "0.18.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "063ce2eb6a8d0ea93d2bf8ba1957e78dbab6be1c2220dd3daca57d5a9d869898"
+dependencies = [
+ "libc",
+ "system-deps",
+]
+
[[package]]
name = "glob"
version = "0.3.1"
@@ -2041,9 +2309,9 @@ checksum = "d2fabcfbdc87f4758337ca535fb41a6d701b65693ce38287d856d1674551ec9b"
[[package]]
name = "glow"
-version = "0.13.0"
+version = "0.13.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "886c2a30b160c4c6fec8f987430c26b526b7988ca71f664e6a699ddf6f9601e4"
+checksum = "bd348e04c43b32574f2de31c8bb397d96c9fcfa1371bd4ca6d8bdc464ab121b1"
dependencies = [
"js-sys",
"slotmap",
@@ -2062,8 +2330,8 @@ dependencies = [
[[package]]
name = "glyphon"
-version = "0.3.0"
-source = "git+https://github.com/jackpot51/glyphon.git?branch=refactor#c28dc99c86b6b598633e6623096b21632f266976"
+version = "0.5.0"
+source = "git+https://github.com/pop-os/glyphon.git?tag=v0.5.0#1b0646ff8f74da92d3be704dfc2257d7f4d7eed8"
dependencies = [
"cosmic-text",
"etagere",
@@ -2071,13 +2339,24 @@ dependencies = [
"wgpu",
]
+[[package]]
+name = "gobject-sys"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0850127b514d1c4a4654ead6dedadb18198999985908e6ffe4436f53c785ce44"
+dependencies = [
+ "glib-sys",
+ "libc",
+ "system-deps",
+]
+
[[package]]
name = "gpu-alloc"
version = "0.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "fbcd2dba93594b227a1f57ee09b8b9da8892c34d55aa332e034a228d0fe6a171"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"gpu-alloc-types",
]
@@ -2087,21 +2366,20 @@ version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "98ff03b468aa837d70984d55f5d3f846f6ec31fe34bbb97c4f85219caeee1ca4"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
]
[[package]]
name = "gpu-allocator"
-version = "0.23.0"
+version = "0.25.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "40fe17c8a05d60c38c0a4e5a3c802f2f1ceb66b76c67d96ffb34bef0475a7fad"
+checksum = "6f56f6318968d03c18e1bcf4857ff88c61157e9da8e47c5f29055d60e1228884"
dependencies = [
- "backtrace",
"log",
"presser",
"thiserror",
"winapi",
- "windows 0.51.1",
+ "windows 0.52.0",
]
[[package]]
@@ -2110,9 +2388,9 @@ version = "0.2.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "cc11df1ace8e7e564511f53af41f3e42ddc95b56fd07b3f4445d2a6048bc682c"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"gpu-descriptor-types",
- "hashbrown 0.14.3",
+ "hashbrown",
]
[[package]]
@@ -2121,7 +2399,7 @@ version = "0.1.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "6bf0b36e6f090b7e1d8a4b49c0cb81c1f8376f72198c65dd3ad9ff3556b8b78c"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
]
[[package]]
@@ -2130,6 +2408,24 @@ version = "0.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "1df00eed8d1f0db937f6be10e46e8072b0671accb504cf0f959c5c52c679f5b9"
+[[package]]
+name = "gtk-sys"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "771437bf1de2c1c0b496c11505bdf748e26066bbe942dfc8f614c9460f6d7722"
+dependencies = [
+ "atk-sys",
+ "cairo-sys-rs",
+ "gdk-pixbuf-sys",
+ "gdk-sys",
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "pango-sys",
+ "system-deps",
+]
+
[[package]]
name = "guillotiere"
version = "0.6.2"
@@ -2142,43 +2438,34 @@ dependencies = [
[[package]]
name = "half"
-version = "2.3.1"
+version = "2.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bc52e53916c08643f1b56ec082790d1e86a32e58dc5268f897f313fbae7b4872"
+checksum = "b5eceaaeec696539ddaf7b333340f1af35a5aa87ae3e4f3ead0532f72affab2e"
dependencies = [
"cfg-if 1.0.0",
"crunchy",
]
-[[package]]
-name = "hashbrown"
-version = "0.12.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8a9ee70c43aaf417c914396645a0fa852624801b24ebb7ae78fe8272889ac888"
-dependencies = [
- "ahash 0.7.7",
-]
-
[[package]]
name = "hashbrown"
version = "0.14.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "290f1a1d9242c78d09ce40a5e87e7554ee637af1351968159f4952f028f75604"
dependencies = [
- "ahash 0.8.7",
+ "ahash",
"allocator-api2",
]
[[package]]
name = "hassle-rs"
-version = "0.10.0"
+version = "0.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1397650ee315e8891a0df210707f0fc61771b0cc518c3023896064c5407cb3b0"
+checksum = "af2a7e73e1f34c48da31fb668a907f250794837e08faa144fd24f0b8b741e890"
dependencies = [
- "bitflags 1.3.2",
- "com-rs",
+ "bitflags 2.4.2",
+ "com",
"libc",
- "libloading 0.7.4",
+ "libloading 0.8.1",
"thiserror",
"widestring",
"winapi",
@@ -2192,9 +2479,9 @@ checksum = "95505c38b4572b2d910cecb0281560f54b440a19336cbbcb27bf6ce6adc6f5a8"
[[package]]
name = "hermit-abi"
-version = "0.3.3"
+version = "0.3.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d77f7ec81a6d05a3abb01ab6eb7590f6083d08449fe5a1c8b1e620283546ccb7"
+checksum = "379dada1584ad501b383485dd706b8afb7a70fcbc7f4da7d780638a5a6124a60"
[[package]]
name = "hex"
@@ -2224,15 +2511,15 @@ dependencies = [
"serde",
"serde_derive",
"thiserror",
- "toml 0.8.8",
+ "toml 0.8.10",
"unic-langid",
]
[[package]]
name = "i18n-embed"
-version = "0.13.9"
+version = "0.14.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "92a86226a7a16632de6723449ee5fe70bac5af718bc642ee9ca2f0f6e14fa1fa"
+checksum = "94205d95764f5bb9db9ea98fa77f89653365ca748e27161f5bbea2ffd50e459c"
dependencies = [
"arc-swap",
"fluent",
@@ -2252,9 +2539,9 @@ dependencies = [
[[package]]
name = "i18n-embed-fl"
-version = "0.6.7"
+version = "0.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d26a3d3569737dfaac7fc1c4078e6af07471c3060b8e570bcd83cdd5f4685395"
+checksum = "9fc1f8715195dffc4caddcf1cf3128da15fe5d8a137606ea8856c9300047d5a2"
dependencies = [
"dashmap",
"find-crate",
@@ -2267,7 +2554,7 @@ dependencies = [
"proc-macro2",
"quote",
"strsim 0.10.0",
- "syn 2.0.48",
+ "syn 2.0.51",
"unic-langid",
]
@@ -2281,21 +2568,21 @@ dependencies = [
"i18n-config",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
name = "iana-time-zone"
-version = "0.1.59"
+version = "0.1.60"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b6a67363e2aa4443928ce15e57ebae94fd8949958fd1223c4cfc0cd473ad7539"
+checksum = "e7ffbb5a1b541ea2561f8c41c087286cc091e21e556a4f09a8f6cbf17b69b141"
dependencies = [
"android_system_properties",
"core-foundation-sys 0.8.6",
"iana-time-zone-haiku",
"js-sys",
"wasm-bindgen",
- "windows-core 0.52.0",
+ "windows-core",
]
[[package]]
@@ -2310,7 +2597,7 @@ dependencies = [
[[package]]
name = "iced"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic.git#9fb3d874e18ce36fadea931e16488fdbf28d3d02"
+source = "git+https://github.com/pop-os/libcosmic.git#7c3145828e780c6f6e9487f3f30486e5c44b4b2e"
dependencies = [
"iced_accessibility",
"iced_core",
@@ -2318,14 +2605,14 @@ dependencies = [
"iced_renderer",
"iced_widget",
"iced_winit",
- "image 0.24.7",
+ "image 0.24.9",
"thiserror",
]
[[package]]
name = "iced_accessibility"
version = "0.1.0"
-source = "git+https://github.com/pop-os/libcosmic.git#9fb3d874e18ce36fadea931e16488fdbf28d3d02"
+source = "git+https://github.com/pop-os/libcosmic.git#7c3145828e780c6f6e9487f3f30486e5c44b4b2e"
dependencies = [
"accesskit",
"accesskit_winit",
@@ -2334,23 +2621,24 @@ dependencies = [
[[package]]
name = "iced_core"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic.git#9fb3d874e18ce36fadea931e16488fdbf28d3d02"
+source = "git+https://github.com/pop-os/libcosmic.git#7c3145828e780c6f6e9487f3f30486e5c44b4b2e"
dependencies = [
"bitflags 1.3.2",
- "instant",
"log",
"num-traits",
"palette",
- "raw-window-handle 0.5.2",
+ "raw-window-handle 0.6.0",
"serde",
+ "smol_str",
"thiserror",
+ "web-time",
"xxhash-rust",
]
[[package]]
name = "iced_futures"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic.git#9fb3d874e18ce36fadea931e16488fdbf28d3d02"
+source = "git+https://github.com/pop-os/libcosmic.git#7c3145828e780c6f6e9487f3f30486e5c44b4b2e"
dependencies = [
"futures",
"iced_core",
@@ -2363,7 +2651,7 @@ dependencies = [
[[package]]
name = "iced_graphics"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic.git#9fb3d874e18ce36fadea931e16488fdbf28d3d02"
+source = "git+https://github.com/pop-os/libcosmic.git#7c3145828e780c6f6e9487f3f30486e5c44b4b2e"
dependencies = [
"bitflags 1.3.2",
"bytemuck",
@@ -2371,12 +2659,13 @@ dependencies = [
"glam",
"half",
"iced_core",
- "image 0.24.7",
+ "iced_futures",
+ "image 0.24.9",
"kamadak-exif",
"log",
"lyon_path",
"once_cell",
- "raw-window-handle 0.5.2",
+ "raw-window-handle 0.6.0",
"rustc-hash",
"thiserror",
"unicode-segmentation",
@@ -2386,20 +2675,19 @@ dependencies = [
[[package]]
name = "iced_renderer"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic.git#9fb3d874e18ce36fadea931e16488fdbf28d3d02"
+source = "git+https://github.com/pop-os/libcosmic.git#7c3145828e780c6f6e9487f3f30486e5c44b4b2e"
dependencies = [
"iced_graphics",
"iced_tiny_skia",
"iced_wgpu",
"log",
- "raw-window-handle 0.5.2",
"thiserror",
]
[[package]]
name = "iced_runtime"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic.git#9fb3d874e18ce36fadea931e16488fdbf28d3d02"
+source = "git+https://github.com/pop-os/libcosmic.git#7c3145828e780c6f6e9487f3f30486e5c44b4b2e"
dependencies = [
"iced_core",
"iced_futures",
@@ -2409,7 +2697,7 @@ dependencies = [
[[package]]
name = "iced_style"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic.git#9fb3d874e18ce36fadea931e16488fdbf28d3d02"
+source = "git+https://github.com/pop-os/libcosmic.git#7c3145828e780c6f6e9487f3f30486e5c44b4b2e"
dependencies = [
"iced_core",
"once_cell",
@@ -2419,25 +2707,24 @@ dependencies = [
[[package]]
name = "iced_tiny_skia"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic.git#9fb3d874e18ce36fadea931e16488fdbf28d3d02"
+source = "git+https://github.com/pop-os/libcosmic.git#7c3145828e780c6f6e9487f3f30486e5c44b4b2e"
dependencies = [
"bytemuck",
"cosmic-text",
"iced_graphics",
"kurbo",
"log",
- "raw-window-handle 0.5.2",
"resvg",
"rustc-hash",
"softbuffer",
- "tiny-skia 0.11.3",
+ "tiny-skia",
"xxhash-rust",
]
[[package]]
name = "iced_wgpu"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic.git#9fb3d874e18ce36fadea931e16488fdbf28d3d02"
+source = "git+https://github.com/pop-os/libcosmic.git#7c3145828e780c6f6e9487f3f30486e5c44b4b2e"
dependencies = [
"bitflags 1.3.2",
"bytemuck",
@@ -2449,7 +2736,6 @@ dependencies = [
"log",
"lyon",
"once_cell",
- "raw-window-handle 0.5.2",
"resvg",
"wgpu",
]
@@ -2457,7 +2743,7 @@ dependencies = [
[[package]]
name = "iced_widget"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic.git#9fb3d874e18ce36fadea931e16488fdbf28d3d02"
+source = "git+https://github.com/pop-os/libcosmic.git#7c3145828e780c6f6e9487f3f30486e5c44b4b2e"
dependencies = [
"iced_renderer",
"iced_runtime",
@@ -2471,7 +2757,7 @@ dependencies = [
[[package]]
name = "iced_winit"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic.git#9fb3d874e18ce36fadea931e16488fdbf28d3d02"
+source = "git+https://github.com/pop-os/libcosmic.git#7c3145828e780c6f6e9487f3f30486e5c44b4b2e"
dependencies = [
"iced_graphics",
"iced_runtime",
@@ -2482,7 +2768,28 @@ dependencies = [
"web-sys",
"winapi",
"window_clipboard",
- "winit 0.28.6",
+ "winit 0.29.10",
+]
+
+[[package]]
+name = "icrate"
+version = "0.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "99d3aaff8a54577104bafdf686ff18565c3b6903ca5782a2026ef06e2c7aa319"
+dependencies = [
+ "block2 0.3.0",
+ "dispatch",
+ "objc2 0.4.1",
+]
+
+[[package]]
+name = "icrate"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e286f4b975ac6c054971a0600a9b76438b332edace54bff79c71c9d3adfc9772"
+dependencies = [
+ "block2 0.4.0",
+ "objc2 0.5.0",
]
[[package]]
@@ -2522,21 +2829,20 @@ dependencies = [
[[package]]
name = "image"
-version = "0.24.7"
+version = "0.24.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6f3dfdbdd72063086ff443e297b61695500514b1e41095b6fb9a5ab48a70a711"
+checksum = "5690139d2f55868e080017335e4b94cb7414274c74f1669c84fb5feba2c9f69d"
dependencies = [
"bytemuck",
"byteorder",
"color_quant",
"exr",
- "gif 0.12.0",
- "jpeg-decoder 0.3.0",
- "num-rational 0.4.1",
+ "gif 0.13.1",
+ "jpeg-decoder 0.3.1",
"num-traits",
- "png 0.17.10",
+ "png 0.17.13",
"qoi",
- "tiff 0.9.0",
+ "tiff 0.9.1",
]
[[package]]
@@ -2547,12 +2853,12 @@ checksum = "029d73f573d8e8d63e6d5020011d3255b28c3ba85d6cf870a07184ed23de9284"
[[package]]
name = "indexmap"
-version = "2.1.0"
+version = "2.2.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d530e1a18b1cb4c484e6e34556a0d948706958449fca0cab753d649f2bce3d1f"
+checksum = "233cf39063f058ea2caae4091bf4a3ef70a653afbc026f5c4a4135d114e3c177"
dependencies = [
"equivalent",
- "hashbrown 0.14.3",
+ "hashbrown",
]
[[package]]
@@ -2582,9 +2888,6 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "7a5bbe824c507c5da5956355e86a746d82e0e1464f65d862cc5e71da70e94b2c"
dependencies = [
"cfg-if 1.0.0",
- "js-sys",
- "wasm-bindgen",
- "web-sys",
]
[[package]]
@@ -2618,14 +2921,44 @@ dependencies = [
]
[[package]]
-name = "is-terminal"
-version = "0.4.10"
+name = "is-docker"
+version = "0.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0bad00257d07be169d870ab665980b06cdb366d792ad690bf2e76876dc503455"
+checksum = "928bae27f42bc99b60d9ac7334e3a21d10ad8f1835a4e12ec3ec0464765ed1b3"
dependencies = [
- "hermit-abi",
- "rustix 0.38.28",
- "windows-sys 0.52.0",
+ "once_cell",
+]
+
+[[package]]
+name = "is-wsl"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "173609498df190136aa7dea1a91db051746d339e18476eed5ca40521f02d7aa5"
+dependencies = [
+ "is-docker",
+ "once_cell",
+]
+
+[[package]]
+name = "itoa"
+version = "1.0.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b1a46d1a171d865aa5f83f92695765caa047a9b4cbae2cbf37dbd613a793fd4c"
+
+[[package]]
+name = "jni"
+version = "0.21.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1a87aa2bb7d2af34197c04845522473242e1aa17c12f4935d5856491a7fb8c97"
+dependencies = [
+ "cesu8",
+ "cfg-if 1.0.0",
+ "combine",
+ "jni-sys",
+ "log",
+ "thiserror",
+ "walkdir",
+ "windows-sys 0.45.0",
]
[[package]]
@@ -2634,15 +2967,6 @@ version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "8eaf4bc02d17cbdd7ff4c7438cafcdf7fb9a4613313ad11b4f8fefe7d3fa0130"
-[[package]]
-name = "jobserver"
-version = "0.1.27"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8c37f63953c4c63420ed5fd3d6d398c719489b9f872b9fa683262f8edd363c7d"
-dependencies = [
- "libc",
-]
-
[[package]]
name = "jpeg-decoder"
version = "0.1.22"
@@ -2654,18 +2978,18 @@ dependencies = [
[[package]]
name = "jpeg-decoder"
-version = "0.3.0"
+version = "0.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bc0000e42512c92e31c2252315bda326620a4e034105e900c98ec492fa077b3e"
+checksum = "f5d4a7da358eff58addd2877a45865158f0d78c911d43a5784ceb7bbf52833b0"
dependencies = [
"rayon",
]
[[package]]
name = "js-sys"
-version = "0.3.66"
+version = "0.3.68"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cee9c64da59eae3b50095c18d3e74f8b73c0b86d2792824ff01bbce68ba229ca"
+checksum = "406cda4b368d531c842222cf9d2600a9a4acce8d29423695379c6868a143a9ee"
dependencies = [
"wasm-bindgen",
]
@@ -2696,6 +3020,15 @@ version = "3.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "e2db585e1d738fc771bf08a151420d3ed193d9d895a36df7f6f8a9456b911ddc"
+[[package]]
+name = "known-folders"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4397c789f2709d23cfcb703b316e0766a8d4b17db2d47b0ab096ef6047cae1d8"
+dependencies = [
+ "windows-sys 0.52.0",
+]
+
[[package]]
name = "kqueue"
version = "1.0.8"
@@ -2761,14 +3094,14 @@ dependencies = [
[[package]]
name = "libc"
-version = "0.2.152"
+version = "0.2.153"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "13e3bf6590cbc649f4d1a3eefc9d5d6eb746f5200ffb04e5e142700b8faa56e7"
+checksum = "9c198f91728a82281a64e1f4f9eeb25d82cb32a5de251c6bd1b5154d63a8e7bd"
[[package]]
name = "libcosmic"
version = "0.1.0"
-source = "git+https://github.com/pop-os/libcosmic.git#9fb3d874e18ce36fadea931e16488fdbf28d3d02"
+source = "git+https://github.com/pop-os/libcosmic.git#7c3145828e780c6f6e9487f3f30486e5c44b4b2e"
dependencies = [
"apply",
"ashpd",
@@ -2790,6 +3123,7 @@ dependencies = [
"iced_winit",
"lazy_static",
"palette",
+ "rfd",
"slotmap",
"taffy",
"thiserror",
@@ -2842,7 +3176,7 @@ version = "0.0.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "85c833ca1e66078851dba29046874e38f08b2c883700aa29a03ddd3b23814ee8"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"libc",
"redox_syscall 0.4.1",
]
@@ -2853,7 +3187,7 @@ version = "0.0.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "3af92c55d7d839293953fcd0fda5ecfe93297cfde6ffbdec13b41d99c0ba6607"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"libc",
"redox_syscall 0.4.1",
]
@@ -2866,9 +3200,15 @@ checksum = "ef53942eb7bf7ff43a617b3e2c1c4a5ecf5944a7c1bc12d7ee39bbb15e5c1519"
[[package]]
name = "linux-raw-sys"
-version = "0.4.12"
+version = "0.4.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c4cd1a83af159aa67994778be9070f0ae1bd732942279cabb14f86f986a21456"
+checksum = "01cda141df6706de531b6c46c3a33ecca755538219bd484262fa09410c13539c"
+
+[[package]]
+name = "linux-raw-sys"
+version = "0.6.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f0b5399f6804fbab912acbd8878ed3532d506b7c951b8f9f164ef90fef39e3f4"
[[package]]
name = "locale_config"
@@ -2901,11 +3241,11 @@ checksum = "b5e6163cb8c49088c2c36f57875e58ccd8c87c7427f7fbd50ea6710b2f3f2e8f"
[[package]]
name = "lru"
-version = "0.11.1"
+version = "0.12.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a4a83fb7698b3643a0e34f9ae6f2e8f0178c0fd42f8b59d493aa271ff3a5bf21"
+checksum = "d3262e75e648fce39813cb56ac41f3c3e3f65217ebf3844d818d1f9398cfb0dc"
dependencies = [
- "hashbrown 0.14.3",
+ "hashbrown",
]
[[package]]
@@ -2986,31 +3326,13 @@ dependencies = [
[[package]]
name = "memmap2"
-version = "0.5.10"
+version = "0.9.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "83faa42c0a078c393f6b29d5db232d8be22776a891f8f56e5284faee4a20b327"
+checksum = "fe751422e4a8caa417e13c3ea66452215d7d63e19e604f4980461212f3ae1322"
dependencies = [
"libc",
]
-[[package]]
-name = "memmap2"
-version = "0.9.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "45fd3a57831bf88bc63f8cebc0cf956116276e97fef3966103e96416209f7c92"
-dependencies = [
- "libc",
-]
-
-[[package]]
-name = "memoffset"
-version = "0.6.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5aa361d4faea93603064a027415f07bd8e1d5c88c9fbf68bf56a285428fd79ce"
-dependencies = [
- "autocfg",
-]
-
[[package]]
name = "memoffset"
version = "0.7.1"
@@ -3035,7 +3357,7 @@ version = "0.27.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "c43f73953f8cbe511f021b58f18c3ce1c3d1ae13fe953293e13345bf83217f25"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"block",
"core-graphics-types",
"foreign-types 0.5.0",
@@ -3050,6 +3372,16 @@ version = "0.3.17"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "6877bb514081ee2a7ff5ef9de3281f14a4dd4bceac4c09388074a6b5df8a139a"
+[[package]]
+name = "mime_guess"
+version = "2.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4192263c238a5f0d0c6bfd21f336a313a4ce1c450542449ca191bb657b4642ef"
+dependencies = [
+ "mime",
+ "unicase",
+]
+
[[package]]
name = "miniz_oxide"
version = "0.3.7"
@@ -3071,9 +3403,9 @@ dependencies = [
[[package]]
name = "miniz_oxide"
-version = "0.7.1"
+version = "0.7.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e7810e0be55b428ada41041c41f32c9f1a42817901b4ccf45fa3d4b6561e74c7"
+checksum = "9d811f3e15f28568be3407c8e7fdb6514c1cda3cb30683f15b6a1a1dc4ea14a7"
dependencies = [
"adler",
"simd-adler32",
@@ -3133,12 +3465,12 @@ checksum = "16cf681a23b4d0a43fc35024c176437f9dcd818db34e0f42ab456a0ee5ad497b"
[[package]]
name = "naga"
-version = "0.14.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ae585df4b6514cf8842ac0f1ab4992edc975892704835b549cf818dc0191249e"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
dependencies = [
+ "arrayvec 0.7.4",
"bit-set",
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"codespan-reporting",
"hexf-parse",
"indexmap",
@@ -3151,15 +3483,6 @@ dependencies = [
"unicode-xid",
]
-[[package]]
-name = "nanorand"
-version = "0.7.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6a51313c5820b0b02bd422f4b44776fbf47961755c74ce64afc73bfad10226c3"
-dependencies = [
- "getrandom",
-]
-
[[package]]
name = "ndk"
version = "0.3.0"
@@ -3174,15 +3497,16 @@ dependencies = [
[[package]]
name = "ndk"
-version = "0.7.0"
+version = "0.8.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "451422b7e4718271c8b5b3aadf5adedba43dc76312454b387e98fae0fc951aa0"
+checksum = "2076a31b7010b17a38c01907c45b945e8f11495ee4dd588309718901b1f7a5b7"
dependencies = [
- "bitflags 1.3.2",
+ "bitflags 2.4.2",
"jni-sys",
- "ndk-sys 0.4.1+23.1.7779620",
- "num_enum 0.5.11",
- "raw-window-handle 0.5.2",
+ "log",
+ "ndk-sys 0.5.0+25.2.9519653",
+ "num_enum 0.7.2",
+ "raw-window-handle 0.6.0",
"thiserror",
]
@@ -3227,9 +3551,9 @@ checksum = "e1bcdd74c20ad5d95aacd60ef9ba40fdf77f767051040541df557b7a9b2a2121"
[[package]]
name = "ndk-sys"
-version = "0.4.1+23.1.7779620"
+version = "0.5.0+25.2.9519653"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3cf2aae958bd232cac5069850591667ad422d263686d75b52a065f9badeee5a3"
+checksum = "8c196769dd60fd4f363e11d948139556a344e79d451aeb2fa2fd040738ef7691"
dependencies = [
"jni-sys",
]
@@ -3258,44 +3582,6 @@ dependencies = [
"libc",
]
-[[package]]
-name = "nix"
-version = "0.22.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e4916f159ed8e5de0082076562152a76b7a1f64a01fd9d1e0fea002c37624faf"
-dependencies = [
- "bitflags 1.3.2",
- "cc",
- "cfg-if 1.0.0",
- "libc",
- "memoffset 0.6.5",
-]
-
-[[package]]
-name = "nix"
-version = "0.24.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fa52e972a9a719cecb6864fb88568781eb706bac2cd1d4f04a648542dbf78069"
-dependencies = [
- "bitflags 1.3.2",
- "cfg-if 1.0.0",
- "libc",
- "memoffset 0.6.5",
-]
-
-[[package]]
-name = "nix"
-version = "0.25.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f346ff70e7dbfd675fe90590b92d59ef2de15a8779ae305ebcbfd3f0caf59be4"
-dependencies = [
- "autocfg",
- "bitflags 1.3.2",
- "cfg-if 1.0.0",
- "libc",
- "memoffset 0.6.5",
-]
-
[[package]]
name = "nix"
version = "0.26.4"
@@ -3308,17 +3594,6 @@ dependencies = [
"memoffset 0.7.1",
]
-[[package]]
-name = "nix"
-version = "0.27.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2eb04e9c688eff1c89d72b407f168cf79bb9e867a9d3323ed6c01519eb9cc053"
-dependencies = [
- "bitflags 2.4.1",
- "cfg-if 1.0.0",
- "libc",
-]
-
[[package]]
name = "nom"
version = "5.1.3"
@@ -3336,7 +3611,7 @@ version = "6.1.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "6205bd8bb1e454ad2e27422015fb5e4f2bcc7e08fa8f27058670d208324a4d2d"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"crossbeam-channel",
"filetime",
"fsevent-sys",
@@ -3385,28 +3660,33 @@ dependencies = [
[[package]]
name = "num-complex"
-version = "0.4.4"
+version = "0.4.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ba157ca0885411de85d6ca030ba7e2a83a28636056c7c699b07c8b6f7383214"
+checksum = "23c6602fda94a57c990fe0df199a035d83576b496aa29f4e634a8ac6004e68a6"
dependencies = [
"num-traits",
]
[[package]]
-name = "num-integer"
-version = "0.1.45"
+name = "num-conv"
+version = "0.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "225d3389fb3509a24c93f5c29eb6bde2586b98d9f016636dff58d7c6f7569cd9"
+checksum = "51d515d32fb182ee37cda2ccdcb92950d6a3c2893aa280e540671c2cd0f3b1d9"
+
+[[package]]
+name = "num-integer"
+version = "0.1.46"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7969661fd2958a5cb096e56c8e1ad0444ac2bbcd0061bd28660485a44879858f"
dependencies = [
- "autocfg",
"num-traits",
]
[[package]]
name = "num-iter"
-version = "0.1.43"
+version = "0.1.44"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7d03e6c028c5dc5cac6e2dec0efda81fc887605bb3d884578bb6d6bf7514e252"
+checksum = "d869c01cc0c455284163fd0092f1f93835385ccab5a98a0dcc497b2f8bf055a9"
dependencies = [
"autocfg",
"num-integer",
@@ -3438,9 +3718,9 @@ dependencies = [
[[package]]
name = "num-traits"
-version = "0.2.17"
+version = "0.2.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "39e3200413f237f41ab11ad6d161bc7239c84dcb631773ccd7de3dfe4b5c267c"
+checksum = "da0df0e5185db44f69b44f26786fe401b6c293d1907744beaa7fa62b2e5a517a"
dependencies = [
"autocfg",
"libm",
@@ -3467,11 +3747,11 @@ dependencies = [
[[package]]
name = "num_enum"
-version = "0.6.1"
+version = "0.7.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7a015b430d3c108a207fd776d2e2196aaf8b1cf8cf93253e3a097ff3085076a1"
+checksum = "02339744ee7253741199f897151b38e72257d13802d4ee837285cc2990a90845"
dependencies = [
- "num_enum_derive 0.6.1",
+ "num_enum_derive 0.7.2",
]
[[package]]
@@ -3488,14 +3768,23 @@ dependencies = [
[[package]]
name = "num_enum_derive"
-version = "0.6.1"
+version = "0.7.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "96667db765a921f7b295ffee8b60472b686a51d4f21c2ee4ffdb94c7013b65a6"
+checksum = "681030a937600a36906c185595136d26abfebb4aa9c65701cefcaf8578bb982b"
dependencies = [
- "proc-macro-crate 1.3.1",
+ "proc-macro-crate 3.1.0",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
+]
+
+[[package]]
+name = "num_threads"
+version = "0.1.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5c7398b9c8b70908f6371f47ed36737907c87c52af34c268fed0bf0ceb92ead9"
+dependencies = [
+ "libc",
]
[[package]]
@@ -3521,29 +3810,41 @@ dependencies = [
[[package]]
name = "objc-sys"
-version = "0.2.0-beta.2"
+version = "0.3.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "df3b9834c1e95694a05a828b59f55fa2afec6288359cda67146126b3f90a55d7"
+checksum = "c7c71324e4180d0899963fc83d9d241ac39e699609fc1025a850aadac8257459"
[[package]]
name = "objc2"
-version = "0.3.0-beta.3.patch-leaks.3"
+version = "0.4.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7e01640f9f2cb1220bbe80325e179e532cb3379ebcd1bf2279d703c19fe3a468"
+checksum = "559c5a40fdd30eb5e344fbceacf7595a81e242529fb4e21cf5f43fb4f11ff98d"
dependencies = [
- "block2",
"objc-sys",
- "objc2-encode",
+ "objc2-encode 3.0.0",
+]
+
+[[package]]
+name = "objc2"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9a9c7f0d511a4ce26b078183179dca908171cfc69f88986fe36c5138e1834476"
+dependencies = [
+ "objc-sys",
+ "objc2-encode 4.0.0",
]
[[package]]
name = "objc2-encode"
-version = "2.0.0-pre.2"
+version = "3.0.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "abfcac41015b00a120608fdaa6938c44cb983fee294351cc4bac7638b4e50512"
-dependencies = [
- "objc-sys",
-]
+checksum = "d079845b37af429bfe5dfa76e6d087d788031045b25cfc6fd898486fd9847666"
+
+[[package]]
+name = "objc2-encode"
+version = "4.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2ff06a6505cde0766484f38d8479ac8e6d31c66fbc2d5492f65ca8c091456379"
[[package]]
name = "objc_exception"
@@ -3578,6 +3879,17 @@ version = "1.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "3fdb12b2476b595f9358c5161aa467c2438859caa136dec86c26fdd2efe17b92"
+[[package]]
+name = "open"
+version = "5.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eedff767bc49d336bff300224f73307ae36963c843e38dc9312a22171b012cbc"
+dependencies = [
+ "is-wsl",
+ "libc",
+ "pathdiff",
+]
+
[[package]]
name = "option-ext"
version = "0.2.0"
@@ -3595,12 +3907,12 @@ dependencies = [
[[package]]
name = "ordered-multimap"
-version = "0.4.3"
+version = "0.7.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ccd746e37177e1711c20dd619a1620f34f5c8b569c53590a72dedd5344d8924a"
+checksum = "a4d6a8c22fc714f0c2373e6091bf6f5e9b37b1bc0b1184874b7e0a4e303d318f"
dependencies = [
"dlv-list",
- "hashbrown 0.12.3",
+ "hashbrown",
]
[[package]]
@@ -3634,7 +3946,7 @@ dependencies = [
"proc-macro-error",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -3657,9 +3969,9 @@ dependencies = [
[[package]]
name = "palette"
-version = "0.7.3"
+version = "0.7.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b2e2f34147767aa758aa649415b50a69eeb46a67f9dc7db8011eeb3d84b351dc"
+checksum = "ebfc23a4b76642983d57e4ad00bb4504eb30a8ce3c70f4aee1f725610e36d97a"
dependencies = [
"approx",
"fast-srgb8",
@@ -3670,13 +3982,25 @@ dependencies = [
[[package]]
name = "palette_derive"
-version = "0.7.3"
+version = "0.7.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b7db010ec5ff3d4385e4f133916faacd9dad0f6a09394c92d825b3aed310fa0a"
+checksum = "e8890702dbec0bad9116041ae586f84805b13eecd1d8b1df27c29998a9969d6d"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
+]
+
+[[package]]
+name = "pango-sys"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "436737e391a843e5933d6d9aa102cb126d501e815b83601365a948a518555dc5"
+dependencies = [
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps",
]
[[package]]
@@ -3739,6 +4063,12 @@ version = "1.0.14"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "de3145af08024dea9fa9914f381a17b8fc6034dfb00f3a84013f7ff43f29ed4c"
+[[package]]
+name = "pathdiff"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8835116a5c179084a830efb3adc117ab007512b535bc1a21c991d3b32a6b44dd"
+
[[package]]
name = "percent-encoding"
version = "2.3.1"
@@ -3775,7 +4105,7 @@ dependencies = [
"phf_shared",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -3793,26 +4123,6 @@ version = "0.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "5be167a7af36ee22fe3115051bc51f6e6c7054c9348e28deb4f49bd6f705a315"
-[[package]]
-name = "pin-project"
-version = "1.1.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fda4ed1c6c173e3fc7a83629421152e01d7b1f9b7f65fb301e490e8cfc656422"
-dependencies = [
- "pin-project-internal",
-]
-
-[[package]]
-name = "pin-project-internal"
-version = "1.1.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4359fd9c9171ec6e8c62926d6faaf553a8dc3f64e1507e76da7911b4f6a04405"
-dependencies = [
- "proc-macro2",
- "quote",
- "syn 2.0.48",
-]
-
[[package]]
name = "pin-project-lite"
version = "0.2.13"
@@ -3838,9 +4148,9 @@ dependencies = [
[[package]]
name = "pkg-config"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "69d3587f8a9e599cc7ec2c00e331f71c4e69a5f9a4b8a6efd5b07466b9736f9a"
+checksum = "d231b230927b5e4ad203db57bbcbee2802f6bce620b1e4a9024a07d94e2907ec"
[[package]]
name = "png"
@@ -3856,15 +4166,15 @@ dependencies = [
[[package]]
name = "png"
-version = "0.17.10"
+version = "0.17.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dd75bf2d8dd3702b9707cdbc56a5b9ef42cec752eb8b3bafc01234558442aa64"
+checksum = "06e4b0d3d1312775e782c86c91a111aa1f910cbb65e1337f9975b5f9a554b5e1"
dependencies = [
"bitflags 1.3.2",
"crc32fast",
"fdeflate",
"flate2",
- "miniz_oxide 0.7.1",
+ "miniz_oxide 0.7.2",
]
[[package]]
@@ -3885,18 +4195,24 @@ dependencies = [
[[package]]
name = "polling"
-version = "3.3.1"
+version = "3.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cf63fa624ab313c11656b4cda960bfc46c410187ad493c41f6ba2d8c1e991c9e"
+checksum = "24f040dee2588b4963afb4e420540439d126f73fdacf4a9c486a96d840bac3c9"
dependencies = [
"cfg-if 1.0.0",
"concurrent-queue",
"pin-project-lite",
- "rustix 0.38.28",
+ "rustix 0.38.31",
"tracing",
"windows-sys 0.52.0",
]
+[[package]]
+name = "powerfmt"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "439ee305def115ba05938db6eb1644ff94165c5ab5e9420d1c1bcedbba909391"
+
[[package]]
name = "ppv-lite86"
version = "0.2.17"
@@ -3928,6 +4244,15 @@ dependencies = [
"toml_edit 0.19.15",
]
+[[package]]
+name = "proc-macro-crate"
+version = "3.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6d37c51ca738a55da99dc0c4a34860fd675453b8b36209178c2249bb13651284"
+dependencies = [
+ "toml_edit 0.21.1",
+]
+
[[package]]
name = "proc-macro-error"
version = "1.0.4"
@@ -3954,24 +4279,24 @@ dependencies = [
[[package]]
name = "proc-macro2"
-version = "1.0.76"
+version = "1.0.78"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "95fc56cda0b5c3325f5fbbd7ff9fda9e02bb00bb3dac51252d2f1bfa1cb8cc8c"
+checksum = "e2422ad645d89c99f8f3e6b88a9fdeca7fabeac836b1002371c4367c8f984aae"
dependencies = [
"unicode-ident",
]
[[package]]
name = "profiling"
-version = "1.0.13"
+version = "1.0.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d135ede8821cf6376eb7a64148901e1690b788c11ae94dc297ae917dbc91dc0e"
+checksum = "43d84d1d7a6ac92673717f9f6d1518374ef257669c24ebc5ac25d5033828be58"
[[package]]
name = "pure-rust-locales"
-version = "0.7.0"
+version = "0.8.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ed02a829e62dc2715ceb8afb4f80e298148e1345749ceb369540fe0eb3368432"
+checksum = "1190fd18ae6ce9e137184f207593877e70f39b015040156b1e05081cdfe3733a"
[[package]]
name = "qoi"
@@ -3984,9 +4309,9 @@ dependencies = [
[[package]]
name = "quick-xml"
-version = "0.30.0"
+version = "0.31.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "eff6510e86862b57b210fd8cbe8ed3f0d7d600b9c2863cd4549a2e033c66e956"
+checksum = "1004a344b30a54e2ee58d66a71b32d2db2feb0a31f9a2d302bf0536f15de2a33"
dependencies = [
"memchr",
]
@@ -4038,9 +4363,9 @@ checksum = "9c8a99fddc9f0ba0a85884b8d14e3592853e787d581ca1816c91349b10e4eeab"
[[package]]
name = "rangemap"
-version = "1.4.0"
+version = "1.5.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "977b1e897f9d764566891689e642653e5ed90c6895106acd005eb4c1d0203991"
+checksum = "f60fcc7d6849342eff22c4350c8b9a989ee8ceabc4b481253e8946b9fe83d684"
[[package]]
name = "raw-window-handle"
@@ -4068,10 +4393,16 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "f2ff9a1f06a88b01621b7ae906ef0211290d1c8a168a15542486a8f61c0833b9"
[[package]]
-name = "rayon"
-version = "1.8.0"
+name = "raw-window-handle"
+version = "0.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9c27db03db7734835b3f53954b534c91069375ce6ccaa2e065441e07d9b6cdb1"
+checksum = "42a9830a0e1b9fb145ebb365b8bc4ccd75f290f98c0247deafbbe2c75cefb544"
+
+[[package]]
+name = "rayon"
+version = "1.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fa7237101a77a10773db45d62004a272517633fbcc3df19d96455ede1122e051"
dependencies = [
"either",
"rayon-core",
@@ -4079,9 +4410,9 @@ dependencies = [
[[package]]
name = "rayon-core"
-version = "1.12.0"
+version = "1.12.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5ce3fb6ad83f861aac485e76e1985cd109d9a3713802152be56c3b1f0e0658ed"
+checksum = "1465873a3dfdaa8ae7cb14b4383657caab0b3e8a0aa9ae8e04b044854c8dfce2"
dependencies = [
"crossbeam-deque",
"crossbeam-utils",
@@ -4093,6 +4424,15 @@ version = "0.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "3b42e27ef78c35d3998403c1d26f3efd9e135d3e5121b0a4845cc5cc27547f4f"
+[[package]]
+name = "read-fonts"
+version = "0.15.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "17ea23eedb4d938031b6d4343222444608727a6aa68ec355e13588d9947ffe92"
+dependencies = [
+ "font-types",
+]
+
[[package]]
name = "redox_syscall"
version = "0.2.16"
@@ -4133,9 +4473,9 @@ dependencies = [
[[package]]
name = "regex"
-version = "1.10.2"
+version = "1.10.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "380b951a9c5e80ddfd6136919eef32310721aa4aacd4889a8d39124b026ab343"
+checksum = "b62dbe01f0b06f9d8dc7d49e05a0785f153b00b2c227856282f671e0318c9b15"
dependencies = [
"aho-corasick",
"memchr",
@@ -4145,9 +4485,9 @@ dependencies = [
[[package]]
name = "regex-automata"
-version = "0.4.3"
+version = "0.4.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5f804c7828047e88b2d32e2d7fe5a105da8ee3264f01902f796c8e067dc2483f"
+checksum = "5bb987efffd3c6d0d8f5f89510bb458559eab11e4f869acb20bf845e016259cd"
dependencies = [
"aho-corasick",
"memchr",
@@ -4173,16 +4513,40 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "cadccb3d99a9efb8e5e00c16fbb732cbe400db2ec7fc004697ee7d97d86cf1f4"
dependencies = [
"gif 0.12.0",
- "jpeg-decoder 0.3.0",
+ "jpeg-decoder 0.3.1",
"log",
"pico-args",
- "png 0.17.10",
+ "png 0.17.13",
"rgb",
"svgtypes",
- "tiny-skia 0.11.3",
+ "tiny-skia",
"usvg",
]
+[[package]]
+name = "rfd"
+version = "0.13.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c0d8ab342bcc5436e04d3a4c1e09e17d74958bfaddf8d5fad6f85607df0f994f"
+dependencies = [
+ "ashpd",
+ "block",
+ "dispatch",
+ "glib-sys",
+ "gobject-sys",
+ "gtk-sys",
+ "js-sys",
+ "log",
+ "objc",
+ "objc-foundation",
+ "objc_id",
+ "raw-window-handle 0.5.2",
+ "wasm-bindgen",
+ "wasm-bindgen-futures",
+ "web-sys",
+ "windows-sys 0.48.0",
+]
+
[[package]]
name = "rgb"
version = "0.8.37"
@@ -4199,20 +4563,11 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "b91f7eff05f748767f183df4320a63d6936e9c6107d97c9e6bdd9784f4289c94"
dependencies = [
"base64",
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"serde",
"serde_derive",
]
-[[package]]
-name = "roxmltree"
-version = "0.18.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "862340e351ce1b271a378ec53f304a5558f7db87f3769dc655a8f6ecbb68b302"
-dependencies = [
- "xmlparser",
-]
-
[[package]]
name = "roxmltree"
version = "0.19.0"
@@ -4221,9 +4576,9 @@ checksum = "3cd14fd5e3b777a7422cca79358c57a8f6e3a703d9ac187448d0daf220c2407f"
[[package]]
name = "rust-embed"
-version = "6.8.1"
+version = "8.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a36224c3276f8c4ebc8c20f158eca7ca4359c8db89991c4925132aaaf6702661"
+checksum = "fb78f46d0066053d16d4ca7b898e9343bc3530f71c61d5ad84cd404ada068745"
dependencies = [
"rust-embed-impl",
"rust-embed-utils",
@@ -4232,22 +4587,22 @@ dependencies = [
[[package]]
name = "rust-embed-impl"
-version = "6.8.1"
+version = "8.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "49b94b81e5b2c284684141a2fb9e2a31be90638caf040bf9afbc5a0416afe1ac"
+checksum = "b91ac2a3c6c0520a3fb3dd89321177c3c692937c4eb21893378219da10c44fc8"
dependencies = [
"proc-macro2",
"quote",
"rust-embed-utils",
- "syn 2.0.48",
+ "syn 2.0.51",
"walkdir",
]
[[package]]
name = "rust-embed-utils"
-version = "7.8.1"
+version = "8.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9d38ff6bf570dc3bb7100fce9f7b60c33fa71d80e88da3f2580df4ff2bdded74"
+checksum = "86f69089032567ffff4eada41c573fc43ff466c7db7c5688b2e7969584345581"
dependencies = [
"sha2",
"walkdir",
@@ -4255,9 +4610,9 @@ dependencies = [
[[package]]
name = "rust-ini"
-version = "0.18.0"
+version = "0.20.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f6d5f2436026b4f6e79dc829837d467cc7e9a55ee40e750d716713540715a2df"
+checksum = "3e0698206bcb8882bf2a9ecb4c1e7785db57ff052297085a6efd4fe42302068a"
dependencies = [
"cfg-if 1.0.0",
"ordered-multimap",
@@ -4291,14 +4646,14 @@ dependencies = [
[[package]]
name = "rustix"
-version = "0.38.28"
+version = "0.38.31"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "72e572a5e8ca657d7366229cdde4bd14c4eb5499a9573d4d366fe1b599daa316"
+checksum = "6ea3e1a662af26cd7a3ba09c0297a31af215563ecf42817c98df621387f4e949"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"errno",
"libc",
- "linux-raw-sys 0.4.12",
+ "linux-raw-sys 0.4.13",
"windows-sys 0.52.0",
]
@@ -4312,13 +4667,19 @@ dependencies = [
"owned_ttf_parser 0.15.2",
]
+[[package]]
+name = "rustversion"
+version = "1.0.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7ffc183a10b4478d04cbbbfc96d0873219d962dd5accaff2ffbd4ceb7df837f4"
+
[[package]]
name = "rustybuzz"
version = "0.12.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "f0ae5692c5beaad6a9e22830deeed7874eae8a4e3ba4076fb48e12c56856222c"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"bytemuck",
"libm",
"smallvec",
@@ -4331,9 +4692,9 @@ dependencies = [
[[package]]
name = "ryu"
-version = "1.0.16"
+version = "1.0.17"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f98d2aa92eebf49b69786be48e4477826b256916e84a57ff2a4f21923b48eb4c"
+checksum = "e86697c916019a8588c99b5fac3cead74ec0b4b819707a682fd4d23fa0ce1ba1"
[[package]]
name = "same-file"
@@ -4364,14 +4725,15 @@ checksum = "94143f37725109f92c262ed2cf5e59bce7498c01bcc1502d7b9afe439a4e9f49"
[[package]]
name = "sctk-adwaita"
-version = "0.5.4"
-source = "git+https://github.com/pop-os/sctk-adwaita?branch=wayland-resize#da85380dfb8f0c13aed51c5bddaad0ba3654cb1f"
+version = "0.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "82b2eaf3a5b264a521b988b2e73042e742df700c4f962cde845d1541adb46550"
dependencies = [
"ab_glyph",
"log",
- "memmap2 0.5.10",
- "smithay-client-toolkit 0.16.1",
- "tiny-skia 0.8.4",
+ "memmap2 0.9.4",
+ "smithay-client-toolkit 0.18.1",
+ "tiny-skia",
]
[[package]]
@@ -4391,22 +4753,22 @@ checksum = "58bf37232d3bb9a2c4e641ca2a11d83b5062066f88df7fed36c28772046d65ba"
[[package]]
name = "serde"
-version = "1.0.195"
+version = "1.0.197"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "63261df402c67811e9ac6def069e4786148c4563f4b50fd4bf30aa370d626b02"
+checksum = "3fb1c873e1b9b056a4dc4c0c198b24c3ffa059243875552b2bd0933b1aee4ce2"
dependencies = [
"serde_derive",
]
[[package]]
name = "serde_derive"
-version = "1.0.195"
+version = "1.0.197"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "46fe8f8603d81ba86327b23a2e9cdf49e1255fb94a4c5f297f6ee0547178ea2c"
+checksum = "7eb0b34b42edc17f6b7cac84a52a1c5f0e1bb2227e997ca9011ea3dd34e8610b"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -4417,7 +4779,7 @@ checksum = "0b2e6b945e9d3df726b65d6ee24060aff8e3533d431f677a9695db04eff9dfdb"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -4501,9 +4863,9 @@ dependencies = [
[[package]]
name = "smallvec"
-version = "1.11.2"
+version = "1.13.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4dccd0940a2dcdf68d092b8cbab7dc0ad8fa938bf95787e1b916b0e3d0e8e970"
+checksum = "e6ecd384b10a64542d77071bd64bd7b231f4ed5940fba55e98c3de13824cf3d7"
[[package]]
name = "smithay-client-toolkit"
@@ -4526,30 +4888,47 @@ dependencies = [
[[package]]
name = "smithay-client-toolkit"
-version = "0.16.1"
-source = "git+https://github.com/pop-os/client-toolkit?branch=wayland-resize#515820fc86cf8cb3ac8d087dc6c87852767627ca"
+version = "0.18.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "922fd3eeab3bd820d76537ce8f582b1cf951eceb5475c28500c7457d9d17f53a"
dependencies = [
- "bitflags 1.3.2",
- "calloop 0.10.6",
- "dlib 0.5.2",
- "lazy_static",
+ "bitflags 2.4.2",
+ "calloop 0.12.4",
+ "calloop-wayland-source",
+ "cursor-icon",
+ "libc",
"log",
- "memmap2 0.5.10",
- "nix 0.24.3",
- "pkg-config",
- "wayland-client 0.29.5",
- "wayland-cursor 0.29.5",
- "wayland-protocols 0.29.5",
+ "memmap2 0.9.4",
+ "rustix 0.38.31",
+ "thiserror",
+ "wayland-backend",
+ "wayland-client 0.31.2",
+ "wayland-csd-frame",
+ "wayland-cursor 0.31.1",
+ "wayland-protocols 0.31.2",
+ "wayland-protocols-wlr",
+ "wayland-scanner 0.31.1",
+ "xkeysym",
]
[[package]]
name = "smithay-clipboard"
-version = "0.6.6"
+version = "0.7.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0a345c870a1fae0b1b779085e81b51e614767c239e93503588e54c5b17f4b0e8"
+checksum = "c091e7354ea8059d6ad99eace06dd13ddeedbb0ac72d40a9a6e7ff790525882d"
dependencies = [
- "smithay-client-toolkit 0.16.1",
- "wayland-client 0.29.5",
+ "libc",
+ "smithay-client-toolkit 0.18.1",
+ "wayland-backend",
+]
+
+[[package]]
+name = "smol_str"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e6845563ada680337a52d43bb0b29f396f2d911616f6573012645b9e3d048a49"
+dependencies = [
+ "serde",
]
[[package]]
@@ -4564,22 +4943,22 @@ dependencies = [
[[package]]
name = "socket2"
-version = "0.5.5"
+version = "0.5.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7b5fac59a5cb5dd637972e5fca70daf0523c9067fcdc4842f053dae04a18f8e9"
+checksum = "05ffd9c0a93b7543e062e759284fcf5f5e3b098501104bfbdde4d404db792871"
dependencies = [
"libc",
- "windows-sys 0.48.0",
+ "windows-sys 0.52.0",
]
[[package]]
name = "softbuffer"
-version = "0.3.3"
-source = "git+https://github.com/pop-os/softbuffer?tag=v0.3-cosmic#6f0371ccece51d124c6c5d37082189df0dc5f9ba"
+version = "0.4.1"
+source = "git+https://github.com/pop-os/softbuffer?tag=cosmic-4.0#6e75b1ad7e98397d37cb187886d05969bc480995"
dependencies = [
"as-raw-xcb-connection",
"bytemuck",
- "cfg_aliases",
+ "cfg_aliases 0.2.0",
"cocoa 0.25.0",
"core-graphics 0.23.1",
"drm",
@@ -4587,19 +4966,19 @@ dependencies = [
"foreign-types 0.5.0",
"js-sys",
"log",
- "memmap2 0.9.3",
+ "memmap2 0.9.4",
"objc",
- "raw-window-handle 0.5.2",
+ "raw-window-handle 0.6.0",
"redox_syscall 0.4.1",
- "rustix 0.38.28",
+ "rustix 0.38.31",
"tiny-xlib",
"wasm-bindgen",
"wayland-backend",
- "wayland-client 0.31.1",
+ "wayland-client 0.31.2",
"wayland-sys 0.31.1",
"web-sys",
- "windows-sys 0.48.0",
- "x11rb 0.12.0",
+ "windows-sys 0.52.0",
+ "x11rb",
]
[[package]]
@@ -4613,12 +4992,11 @@ dependencies = [
[[package]]
name = "spirv"
-version = "0.2.0+1.5.4"
+version = "0.3.0+sdk-1.3.268.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "246bfa38fe3db3f1dfc8ca5a2cdeb7348c78be2112740cc0ec8ef18b6d94f830"
+checksum = "eda41003dc44290527a59b13432d4a0379379fa074b70174882adfbdfd917844"
dependencies = [
- "bitflags 1.3.2",
- "num-traits",
+ "bitflags 2.4.2",
]
[[package]]
@@ -4627,12 +5005,6 @@ version = "1.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "a2eb9349b6444b326872e140eb1cf5e7c522154d69e7a0ffb0fb81c06b37543f"
-[[package]]
-name = "str-buf"
-version = "1.0.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9e08d8363704e6c71fc928674353e6b7c23dcea9d82d7012c8faf2a3a025f8d0"
-
[[package]]
name = "strict-num"
version = "0.1.1"
@@ -4672,10 +5044,11 @@ dependencies = [
[[package]]
name = "swash"
-version = "0.1.8"
+version = "0.1.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3b7c73c813353c347272919aa1af2885068b05e625e5532b43049e4f641ae77f"
+checksum = "d06ff4664af8923625604261c645f5c4cc610cc83c84bec74b50d76237089de7"
dependencies = [
+ "read-fonts",
"yazi",
"zeno",
]
@@ -4693,9 +5066,9 @@ dependencies = [
[[package]]
name = "syn"
-version = "2.0.48"
+version = "2.0.51"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0f3531638e407dfc0814761abb7c00a5b54992b849452a0646b7f65c9f770f3f"
+checksum = "6ab617d94515e94ae53b8406c628598680aa0c9587474ecbe58188f7b345d66c"
dependencies = [
"proc-macro2",
"quote",
@@ -4711,6 +5084,19 @@ dependencies = [
"libc",
]
+[[package]]
+name = "system-deps"
+version = "6.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2a2d580ff6a20c55dfb86be5f9c238f67835d0e81cbdea8bf5680e0897320331"
+dependencies = [
+ "cfg-expr",
+ "heck",
+ "pkg-config",
+ "toml 0.8.10",
+ "version-compare",
+]
+
[[package]]
name = "systemicons"
version = "0.7.0"
@@ -4738,15 +5124,20 @@ dependencies = [
]
[[package]]
-name = "tempfile"
-version = "3.9.0"
+name = "target-lexicon"
+version = "0.12.14"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "01ce4141aa927a6d1bd34a041795abd0db1cccba5d5f24b009f694bdf3a1f3fa"
+checksum = "e1fc403891a21bcfb7c37834ba66a547a8f402146eba7265b5a6d88059c9ff2f"
+
+[[package]]
+name = "tempfile"
+version = "3.10.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "85b77fafb263dd9d05cbeac119526425676db3784113aa9295c88498cbf8bff1"
dependencies = [
"cfg-if 1.0.0",
"fastrand 2.0.1",
- "redox_syscall 0.4.1",
- "rustix 0.38.28",
+ "rustix 0.38.31",
"windows-sys 0.52.0",
]
@@ -4760,23 +5151,44 @@ dependencies = [
]
[[package]]
-name = "thiserror"
-version = "1.0.56"
+name = "test-log"
+version = "0.2.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d54378c645627613241d077a3a79db965db602882668f9136ac42af9ecb730ad"
+checksum = "7b319995299c65d522680decf80f2c108d85b861d81dfe340a10d16cee29d9e6"
+dependencies = [
+ "env_logger",
+ "test-log-macros",
+]
+
+[[package]]
+name = "test-log-macros"
+version = "0.2.15"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c8f546451eaa38373f549093fe9fd05e7d2bade739e2ddf834b9968621d60107"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.51",
+]
+
+[[package]]
+name = "thiserror"
+version = "1.0.57"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1e45bcbe8ed29775f228095caf2cd67af7a4ccf756ebff23a306bf3e8b47b24b"
dependencies = [
"thiserror-impl",
]
[[package]]
name = "thiserror-impl"
-version = "1.0.56"
+version = "1.0.57"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fa0faa943b50f3db30a20aa7e265dbc66076993efed8463e8de414e5d06d3471"
+checksum = "a953cb265bef375dae3de6663da4d3804eee9682ea80d8e2542529b73c531c81"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -4792,60 +5204,77 @@ dependencies = [
[[package]]
name = "tiff"
-version = "0.9.0"
+version = "0.9.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6d172b0f4d3fba17ba89811858b9d3d97f928aece846475bbda076ca46736211"
+checksum = "ba1310fcea54c6a9a4fd1aad794ecc02c31682f6bfbecdf460bf19533eed1e3e"
dependencies = [
"flate2",
- "jpeg-decoder 0.3.0",
+ "jpeg-decoder 0.3.1",
"weezl",
]
[[package]]
-name = "tiny-skia"
-version = "0.8.4"
+name = "time"
+version = "0.3.34"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "df8493a203431061e901613751931f047d1971337153f96d0e5e363d6dbf6a67"
+checksum = "c8248b6521bb14bc45b4067159b9b6ad792e2d6d754d6c41fb50e29fefe38749"
dependencies = [
- "arrayref",
- "arrayvec 0.7.4",
- "bytemuck",
- "cfg-if 1.0.0",
- "png 0.17.10",
- "tiny-skia-path 0.8.4",
+ "deranged",
+ "itoa",
+ "libc",
+ "num-conv",
+ "num_threads",
+ "powerfmt",
+ "serde",
+ "time-core",
+ "time-macros",
+]
+
+[[package]]
+name = "time-core"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ef927ca75afb808a4d64dd374f00a2adf8d0fcff8e7b184af886c3c87ec4a3f3"
+
+[[package]]
+name = "time-macros"
+version = "0.2.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7ba3a3ef41e6672a2f0f001392bb5dcd3ff0a9992d618ca761a11c3121547774"
+dependencies = [
+ "num-conv",
+ "time-core",
+]
+
+[[package]]
+name = "tiny-keccak"
+version = "2.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2c9d3793400a45f954c52e73d068316d76b6f4e36977e3fcebb13a2721e80237"
+dependencies = [
+ "crunchy",
]
[[package]]
name = "tiny-skia"
-version = "0.11.3"
+version = "0.11.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b6a067b809476893fce6a254cf285850ff69c847e6cfbade6a20b655b6c7e80d"
+checksum = "83d13394d44dae3207b52a326c0c85a8bf87f1541f23b0d143811088497b09ab"
dependencies = [
"arrayref",
"arrayvec 0.7.4",
"bytemuck",
"cfg-if 1.0.0",
"log",
- "png 0.17.10",
- "tiny-skia-path 0.11.3",
+ "png 0.17.13",
+ "tiny-skia-path",
]
[[package]]
name = "tiny-skia-path"
-version = "0.8.4"
+version = "0.11.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "adbfb5d3f3dd57a0e11d12f4f13d4ebbbc1b5c15b7ab0a156d030b21da5f677c"
-dependencies = [
- "arrayref",
- "bytemuck",
- "strict-num",
-]
-
-[[package]]
-name = "tiny-skia-path"
-version = "0.11.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5de35e8a90052baaaf61f171680ac2f8e925a1e43ea9d2e3a00514772250e541"
+checksum = "9c9e7fc0c2e86a30b117d0462aa261b72b7a99b7ebd7deb3a14ceda95c5bdc93"
dependencies = [
"arrayref",
"bytemuck",
@@ -4890,9 +5319,9 @@ checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20"
[[package]]
name = "tokio"
-version = "1.35.1"
+version = "1.36.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c89b4efa943be685f629b149f53829423f8f5531ea21249408e8e2f8671ec104"
+checksum = "61285f6515fa018fb2d1e46eb21223fff441ee8db5d0f1435e8ab4f5cdb80931"
dependencies = [
"backtrace",
"bytes",
@@ -4901,7 +5330,7 @@ dependencies = [
"num_cpus",
"pin-project-lite",
"signal-hook-registry",
- "socket2 0.5.5",
+ "socket2 0.5.6",
"tracing",
"windows-sys 0.48.0",
]
@@ -4917,14 +5346,14 @@ dependencies = [
[[package]]
name = "toml"
-version = "0.8.8"
+version = "0.8.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a1a195ec8c9da26928f773888e0742ca3ca1040c6cd859c919c9f59c1954ab35"
+checksum = "9a9aad4a3066010876e8dcf5a8a06e70a558751117a145c6ce2b82c2e2054290"
dependencies = [
"serde",
"serde_spanned",
"toml_datetime",
- "toml_edit 0.21.0",
+ "toml_edit 0.22.6",
]
[[package]]
@@ -4944,20 +5373,31 @@ checksum = "1b5bb770da30e5cbfde35a2d7b9b8a2c4b8ef89548a7a6aeab5c9a576e3e7421"
dependencies = [
"indexmap",
"toml_datetime",
- "winnow",
+ "winnow 0.5.40",
]
[[package]]
name = "toml_edit"
-version = "0.21.0"
+version = "0.21.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d34d383cd00a163b4a5b85053df514d45bc330f6de7737edfe0a93311d1eaa03"
+checksum = "6a8534fd7f78b5405e860340ad6575217ce99f38d4d5c8f2442cb5ecb50090e1"
+dependencies = [
+ "indexmap",
+ "toml_datetime",
+ "winnow 0.5.40",
+]
+
+[[package]]
+name = "toml_edit"
+version = "0.22.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2c1b5fd4128cc8d3e0cb74d4ed9a9cc7c7284becd4df68f5f940e1ad123606f6"
dependencies = [
"indexmap",
"serde",
"serde_spanned",
"toml_datetime",
- "winnow",
+ "winnow 0.6.2",
]
[[package]]
@@ -4979,7 +5419,7 @@ checksum = "34704c8d6ebcbc939824180af020566b01a7c01f80641264eba0999f6c2b6be7"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -4993,9 +5433,9 @@ dependencies = [
[[package]]
name = "trash"
-version = "3.2.0"
+version = "3.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6e7b1a28f9550f43ac27987f2144d7798520c6dee6a7eb1dedfe3131e3c257e3"
+checksum = "c658458d46d9d5a153a3b5cdd88d8579ad50d4fb85d53961e4526c8fc7c55a57"
dependencies = [
"chrono",
"libc",
@@ -5075,9 +5515,9 @@ dependencies = [
[[package]]
name = "unicode-bidi"
-version = "0.3.14"
+version = "0.3.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6f2528f27a9eb2b21e69c95319b30bd0efd85d09c379741b0f78ea1d86be2416"
+checksum = "08f95100a766bf4f8f28f90d77e0a5461bbdb219042e7679bebe79004fed8d75"
[[package]]
name = "unicode-bidi-mirroring"
@@ -5105,30 +5545,30 @@ checksum = "3b09c83c3c29d37506a3e260c08c03743a6bb66a9cd432c6934ab501a190571f"
[[package]]
name = "unicode-normalization"
-version = "0.1.22"
+version = "0.1.23"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5c5713f0fc4b5db668a2ac63cdb7bb4469d8c9fed047b1d0292cc7b0ce2ba921"
+checksum = "a56d1686db2308d901306f92a263857ef59ea39678a5458e7cb17f01415101f5"
dependencies = [
"tinyvec",
]
[[package]]
name = "unicode-properties"
-version = "0.1.0"
+version = "0.1.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c7f91c8b21fbbaa18853c3d0801c78f4fc94cdb976699bb03e832e75f7fd22f0"
+checksum = "e4259d9d4425d9f0661581b804cb85fe66a4c631cadd8f490d1c13a35d5d9291"
[[package]]
name = "unicode-script"
-version = "0.5.5"
+version = "0.5.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7d817255e1bed6dfd4ca47258685d14d2bdcfbc64fdc9e3819bd5848057b8ecc"
+checksum = "ad8d71f5726e5f285a935e9fe8edfd53f0491eb6e9a5774097fdabee7cd8c9cd"
[[package]]
name = "unicode-segmentation"
-version = "1.10.1"
+version = "1.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1dd624098567895118886609431a7c3b8f516e41d30e0643f03d94592a147e36"
+checksum = "d4c87d22b6e3f4a18d4d40ef354e97c90fcb14dd91d7dc0aa9d8a1172ebf7202"
[[package]]
name = "unicode-vo"
@@ -5186,7 +5626,7 @@ dependencies = [
"imagesize",
"kurbo",
"log",
- "roxmltree 0.19.0",
+ "roxmltree",
"simplecss",
"siphasher",
"svgtypes",
@@ -5218,14 +5658,32 @@ dependencies = [
"rctree",
"strict-num",
"svgtypes",
- "tiny-skia-path 0.11.3",
+ "tiny-skia-path",
]
[[package]]
-name = "vec_map"
-version = "0.8.2"
+name = "utf8parse"
+version = "0.2.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f1bddf1187be692e79c5ffeab891132dfb0f236ed36a43c7ed39f1165ee20191"
+checksum = "711b9620af191e0cdc7468a8d14e709c3dcdb115b36f838e601583af800a370a"
+
+[[package]]
+name = "vergen"
+version = "8.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e27d6bdd219887a9eadd19e1c34f32e47fa332301184935c6d9bca26f3cca525"
+dependencies = [
+ "anyhow",
+ "cfg-if 1.0.0",
+ "rustversion",
+ "time",
+]
+
+[[package]]
+name = "version-compare"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "579a42fc0b8e0c63b76519a339be31bed574929511fa53c1a3acae26eb258f29"
[[package]]
name = "version_check"
@@ -5257,9 +5715,9 @@ checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
[[package]]
name = "wasm-bindgen"
-version = "0.2.89"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0ed0d4f68a3015cc185aff4db9506a015f4b96f95303897bfa23f846db54064e"
+checksum = "c1e124130aee3fb58c5bdd6b639a0509486b0338acaaae0c84a5124b0f588b7f"
dependencies = [
"cfg-if 1.0.0",
"wasm-bindgen-macro",
@@ -5267,24 +5725,24 @@ dependencies = [
[[package]]
name = "wasm-bindgen-backend"
-version = "0.2.89"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1b56f625e64f3a1084ded111c4d5f477df9f8c92df113852fa5a374dbda78826"
+checksum = "c9e7e1900c352b609c8488ad12639a311045f40a35491fb69ba8c12f758af70b"
dependencies = [
"bumpalo",
"log",
"once_cell",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-futures"
-version = "0.4.39"
+version = "0.4.41"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ac36a15a220124ac510204aec1c3e5db8a22ab06fd6706d881dc6149f8ed9a12"
+checksum = "877b9c3f61ceea0e56331985743b13f3d25c406a7098d45180fb5f09bc19ed97"
dependencies = [
"cfg-if 1.0.0",
"js-sys",
@@ -5294,9 +5752,9 @@ dependencies = [
[[package]]
name = "wasm-bindgen-macro"
-version = "0.2.89"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0162dbf37223cd2afce98f3d0785506dcb8d266223983e4b5b525859e6e182b2"
+checksum = "b30af9e2d358182b5c7449424f017eba305ed32a7010509ede96cdc4696c46ed"
dependencies = [
"quote",
"wasm-bindgen-macro-support",
@@ -5304,22 +5762,22 @@ dependencies = [
[[package]]
name = "wasm-bindgen-macro-support"
-version = "0.2.89"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f0eb82fcb7930ae6219a7ecfd55b217f5f0893484b7a13022ebb2b2bf20b5283"
+checksum = "642f325be6301eb8107a83d12a8ac6c1e1c54345a7ef1a9261962dfefda09e66"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
"wasm-bindgen-backend",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-shared"
-version = "0.2.89"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7ab9b36309365056cd639da3134bf87fa8f3d86008abf99e612384a6eecd459f"
+checksum = "4f186bd2dcf04330886ce82d6f33dd75a7bfcf69ecf5763b89fcde53b6ac9838"
[[package]]
name = "wasm-timer"
@@ -5338,13 +5796,13 @@ dependencies = [
[[package]]
name = "wayland-backend"
-version = "0.3.2"
+version = "0.3.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "19152ddd73f45f024ed4534d9ca2594e0ef252c1847695255dae47f34df9fbe4"
+checksum = "9d50fa61ce90d76474c87f5fc002828d81b32677340112b4ef08079a9d459a40"
dependencies = [
"cc",
"downcast-rs",
- "nix 0.26.4",
+ "rustix 0.38.31",
"scoped-tls",
"smallvec",
"wayland-sys 0.31.1",
@@ -5361,37 +5819,21 @@ dependencies = [
"libc",
"nix 0.20.0",
"scoped-tls",
- "wayland-commons 0.28.6",
+ "wayland-commons",
"wayland-scanner 0.28.6",
"wayland-sys 0.28.6",
]
[[package]]
name = "wayland-client"
-version = "0.29.5"
+version = "0.31.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3f3b068c05a039c9f755f881dc50f01732214f5685e379829759088967c46715"
+checksum = "82fb96ee935c2cea6668ccb470fb7771f6215d1691746c2d896b447a00ad3f1f"
dependencies = [
- "bitflags 1.3.2",
- "downcast-rs",
- "libc",
- "nix 0.24.3",
- "scoped-tls",
- "wayland-commons 0.29.5",
- "wayland-scanner 0.29.5",
- "wayland-sys 0.29.5",
-]
-
-[[package]]
-name = "wayland-client"
-version = "0.31.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ca7d52347346f5473bf2f56705f360e8440873052e575e55890c4fa57843ed3"
-dependencies = [
- "bitflags 2.4.1",
- "nix 0.26.4",
+ "bitflags 2.4.2",
+ "rustix 0.38.31",
"wayland-backend",
- "wayland-scanner 0.31.0",
+ "wayland-scanner 0.31.1",
]
[[package]]
@@ -5407,15 +5849,14 @@ dependencies = [
]
[[package]]
-name = "wayland-commons"
-version = "0.29.5"
+name = "wayland-csd-frame"
+version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8691f134d584a33a6606d9d717b95c4fa20065605f798a3f350d78dced02a902"
+checksum = "625c5029dbd43d25e6aa9615e88b829a5cad13b2819c4ae129fdbb7c31ab4c7e"
dependencies = [
- "nix 0.24.3",
- "once_cell",
- "smallvec",
- "wayland-sys 0.29.5",
+ "bitflags 2.4.2",
+ "cursor-icon",
+ "wayland-backend",
]
[[package]]
@@ -5431,12 +5872,12 @@ dependencies = [
[[package]]
name = "wayland-cursor"
-version = "0.29.5"
+version = "0.31.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6865c6b66f13d6257bef1cd40cbfe8ef2f150fb8ebbdb1e8e873455931377661"
+checksum = "71ce5fa868dd13d11a0d04c5e2e65726d0897be8de247c0c5a65886e283231ba"
dependencies = [
- "nix 0.24.3",
- "wayland-client 0.29.5",
+ "rustix 0.38.31",
+ "wayland-client 0.31.2",
"xcursor",
]
@@ -5448,20 +5889,46 @@ checksum = "286620ea4d803bacf61fa087a4242ee316693099ee5a140796aaba02b29f861f"
dependencies = [
"bitflags 1.3.2",
"wayland-client 0.28.6",
- "wayland-commons 0.28.6",
+ "wayland-commons",
"wayland-scanner 0.28.6",
]
[[package]]
name = "wayland-protocols"
-version = "0.29.5"
+version = "0.31.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b950621f9354b322ee817a23474e479b34be96c2e909c14f7bc0100e9a970bc6"
+checksum = "8f81f365b8b4a97f422ac0e8737c438024b5951734506b0e1d775c73030561f4"
dependencies = [
- "bitflags 1.3.2",
- "wayland-client 0.29.5",
- "wayland-commons 0.29.5",
- "wayland-scanner 0.29.5",
+ "bitflags 2.4.2",
+ "wayland-backend",
+ "wayland-client 0.31.2",
+ "wayland-scanner 0.31.1",
+]
+
+[[package]]
+name = "wayland-protocols-plasma"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "23803551115ff9ea9bce586860c5c5a971e360825a0309264102a9495a5ff479"
+dependencies = [
+ "bitflags 2.4.2",
+ "wayland-backend",
+ "wayland-client 0.31.2",
+ "wayland-protocols 0.31.2",
+ "wayland-scanner 0.31.1",
+]
+
+[[package]]
+name = "wayland-protocols-wlr"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ad1f61b76b6c2d8742e10f9ba5c3737f6530b4c243132c2a2ccc8aa96fe25cd6"
+dependencies = [
+ "bitflags 2.4.2",
+ "wayland-backend",
+ "wayland-client 0.31.2",
+ "wayland-protocols 0.31.2",
+ "wayland-scanner 0.31.1",
]
[[package]]
@@ -5477,20 +5944,9 @@ dependencies = [
[[package]]
name = "wayland-scanner"
-version = "0.29.5"
+version = "0.31.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8f4303d8fa22ab852f789e75a967f0a2cdc430a607751c0499bada3e451cbd53"
-dependencies = [
- "proc-macro2",
- "quote",
- "xml-rs",
-]
-
-[[package]]
-name = "wayland-scanner"
-version = "0.31.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fb8e28403665c9f9513202b7e1ed71ec56fde5c107816843fb14057910b2c09c"
+checksum = "63b3a62929287001986fb58c789dce9b67604a397c15c611ad9f747300b6c283"
dependencies = [
"proc-macro2",
"quick-xml",
@@ -5508,17 +5964,6 @@ dependencies = [
"pkg-config",
]
-[[package]]
-name = "wayland-sys"
-version = "0.29.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "be12ce1a3c39ec7dba25594b97b42cb3195d54953ddb9d3d95a7c3902bc6e9d4"
-dependencies = [
- "dlib 0.5.2",
- "lazy_static",
- "pkg-config",
-]
-
[[package]]
name = "wayland-sys"
version = "0.31.1"
@@ -5533,9 +5978,19 @@ dependencies = [
[[package]]
name = "web-sys"
-version = "0.3.64"
+version = "0.3.68"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9b85cbef8c220a6abc02aefd892dfc0fc23afb1c6a426316ec33253a3877249b"
+checksum = "96565907687f7aceb35bc5fc03770a8a0471d82e479f25832f54a0e3f4b28446"
+dependencies = [
+ "js-sys",
+ "wasm-bindgen",
+]
+
+[[package]]
+name = "web-time"
+version = "0.2.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "aa30049b1c872b72c89866d458eae9f20380ab280ffd1b1e18df2d3e2d98cfe0"
dependencies = [
"js-sys",
"wasm-bindgen",
@@ -5543,25 +5998,24 @@ dependencies = [
[[package]]
name = "weezl"
-version = "0.1.7"
+version = "0.1.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9193164d4de03a926d909d3bc7c30543cecb35400c02114792c2cae20d5e2dbb"
+checksum = "53a85b86a771b1c87058196170769dd264f66c0782acf1ae6cc51bfd64b39082"
[[package]]
name = "wgpu"
-version = "0.18.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "30e7d227c9f961f2061c26f4cb0fbd4df0ef37e056edd0931783599d6c94ef24"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
dependencies = [
"arrayvec 0.7.4",
"cfg-if 1.0.0",
- "flume 0.11.0",
+ "cfg_aliases 0.1.1",
"js-sys",
"log",
"naga",
"parking_lot 0.12.1",
"profiling",
- "raw-window-handle 0.5.2",
+ "raw-window-handle 0.6.0",
"smallvec",
"static_assertions",
"wasm-bindgen",
@@ -5574,19 +6028,21 @@ dependencies = [
[[package]]
name = "wgpu-core"
-version = "0.18.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ef91c1d62d1e9e81c79e600131a258edf75c9531cbdbde09c44a011a47312726"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
dependencies = [
"arrayvec 0.7.4",
"bit-vec",
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
+ "cfg_aliases 0.1.1",
"codespan-reporting",
+ "indexmap",
"log",
"naga",
+ "once_cell",
"parking_lot 0.12.1",
"profiling",
- "raw-window-handle 0.5.2",
+ "raw-window-handle 0.6.0",
"rustc-hash",
"smallvec",
"thiserror",
@@ -5597,16 +6053,16 @@ dependencies = [
[[package]]
name = "wgpu-hal"
-version = "0.18.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b84ecc802da3eb67b4cf3dd9ea6fe45bbb47ef13e6c49c5c3240868a9cc6cdd9"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
dependencies = [
"android_system_properties",
"arrayvec 0.7.4",
"ash",
"bit-set",
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"block",
+ "cfg_aliases 0.1.1",
"core-graphics-types",
"d3d12",
"glow",
@@ -5627,7 +6083,7 @@ dependencies = [
"parking_lot 0.12.1",
"profiling",
"range-alloc",
- "raw-window-handle 0.5.2",
+ "raw-window-handle 0.6.0",
"renderdoc-sys",
"rustc-hash",
"smallvec",
@@ -5640,11 +6096,10 @@ dependencies = [
[[package]]
name = "wgpu-types"
-version = "0.18.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0d5ed5f0edf0de351fe311c53304986315ce866f394a2e6df0c4b3c70774bcdd"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"js-sys",
"web-sys",
]
@@ -5680,15 +6135,6 @@ dependencies = [
"winapi",
]
-[[package]]
-name = "winapi-wsapoll"
-version = "0.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "44c17110f57155602a80dca10be03852116403c9ff3cd25b079d666f2aa3df6e"
-dependencies = [
- "winapi",
-]
-
[[package]]
name = "winapi-x86_64-pc-windows-gnu"
version = "0.4.0"
@@ -5697,15 +6143,15 @@ checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
[[package]]
name = "window_clipboard"
-version = "0.3.0"
+version = "0.4.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "63287c9c4396ccf5346d035a9b0fcaead9e18377637f5eaa78b7ac65c873ff7d"
+checksum = "f6d692d46038c433f9daee7ad8757e002a4248c20b0a3fbc991d99521d3bcb6d"
dependencies = [
"clipboard-win",
"clipboard_macos",
"clipboard_wayland",
"clipboard_x11",
- "raw-window-handle 0.5.2",
+ "raw-window-handle 0.6.0",
"thiserror",
]
@@ -5715,28 +6161,28 @@ version = "0.44.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "9e745dab35a0c4c77aa3ce42d595e13d2003d6902d6b08c9ef5fc326d08da12b"
dependencies = [
- "windows-implement",
- "windows-interface",
"windows-targets 0.42.2",
]
[[package]]
name = "windows"
-version = "0.51.1"
+version = "0.48.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ca229916c5ee38c2f2bc1e9d8f04df975b4bd93f9955dc69fabb5d91270045c9"
+checksum = "e686886bc078bc1b0b600cac0147aadb815089b6e4da64016cbd754b6342700f"
dependencies = [
- "windows-core 0.51.1",
+ "windows-implement",
+ "windows-interface",
"windows-targets 0.48.5",
]
[[package]]
-name = "windows-core"
-version = "0.51.1"
+name = "windows"
+version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f1f8cf84f35d2db49a46868f947758c7a1138116f7fac3bc844f43ade1292e64"
+checksum = "e48a53791691ab099e5e2ad123536d0fff50652600abaf43bbf952894110d0be"
dependencies = [
- "windows-targets 0.48.5",
+ "windows-core",
+ "windows-targets 0.52.3",
]
[[package]]
@@ -5745,14 +6191,14 @@ version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "33ab640c8d7e35bf8ba19b884ba838ceb4fba93a4e8c65a9059d08afcfc683d9"
dependencies = [
- "windows-targets 0.52.0",
+ "windows-targets 0.52.3",
]
[[package]]
name = "windows-implement"
-version = "0.44.0"
+version = "0.48.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6ce87ca8e3417b02dc2a8a22769306658670ec92d78f1bd420d6310a67c245c6"
+checksum = "5e2ee588991b9e7e6c8338edf3333fbe4da35dc72092643958ebb43f0ab2c49c"
dependencies = [
"proc-macro2",
"quote",
@@ -5761,9 +6207,9 @@ dependencies = [
[[package]]
name = "windows-interface"
-version = "0.44.0"
+version = "0.48.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "853f69a591ecd4f810d29f17e902d40e349fb05b0b11fff63b08b826bfe39c7f"
+checksum = "e6fb8df20c9bcaa8ad6ab513f7b40104840c8867d5751126e4df3b08388d0cc7"
dependencies = [
"proc-macro2",
"quote",
@@ -5794,7 +6240,7 @@ version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "282be5f36a8ce781fad8c8ae18fa3f9beff57ec1b52cb3de0789201425d9a33d"
dependencies = [
- "windows-targets 0.52.0",
+ "windows-targets 0.52.3",
]
[[package]]
@@ -5829,17 +6275,17 @@ dependencies = [
[[package]]
name = "windows-targets"
-version = "0.52.0"
+version = "0.52.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8a18201040b24831fbb9e4eb208f8892e1f50a37feb53cc7ff887feb8f50e7cd"
+checksum = "d380ba1dc7187569a8a9e91ed34b8ccfc33123bbacb8c0aed2d1ad7f3ef2dc5f"
dependencies = [
- "windows_aarch64_gnullvm 0.52.0",
- "windows_aarch64_msvc 0.52.0",
- "windows_i686_gnu 0.52.0",
- "windows_i686_msvc 0.52.0",
- "windows_x86_64_gnu 0.52.0",
- "windows_x86_64_gnullvm 0.52.0",
- "windows_x86_64_msvc 0.52.0",
+ "windows_aarch64_gnullvm 0.52.3",
+ "windows_aarch64_msvc 0.52.3",
+ "windows_i686_gnu 0.52.3",
+ "windows_i686_msvc 0.52.3",
+ "windows_x86_64_gnu 0.52.3",
+ "windows_x86_64_gnullvm 0.52.3",
+ "windows_x86_64_msvc 0.52.3",
]
[[package]]
@@ -5856,9 +6302,9 @@ checksum = "2b38e32f0abccf9987a4e3079dfb67dcd799fb61361e53e2882c3cbaf0d905d8"
[[package]]
name = "windows_aarch64_gnullvm"
-version = "0.52.0"
+version = "0.52.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cb7764e35d4db8a7921e09562a0304bf2f93e0a51bfccee0bd0bb0b666b015ea"
+checksum = "68e5dcfb9413f53afd9c8f86e56a7b4d86d9a2fa26090ea2dc9e40fba56c6ec6"
[[package]]
name = "windows_aarch64_msvc"
@@ -5874,9 +6320,9 @@ checksum = "dc35310971f3b2dbbf3f0690a219f40e2d9afcf64f9ab7cc1be722937c26b4bc"
[[package]]
name = "windows_aarch64_msvc"
-version = "0.52.0"
+version = "0.52.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bbaa0368d4f1d2aaefc55b6fcfee13f41544ddf36801e793edbbfd7d7df075ef"
+checksum = "8dab469ebbc45798319e69eebf92308e541ce46760b49b18c6b3fe5e8965b30f"
[[package]]
name = "windows_i686_gnu"
@@ -5892,9 +6338,9 @@ checksum = "a75915e7def60c94dcef72200b9a8e58e5091744960da64ec734a6c6e9b3743e"
[[package]]
name = "windows_i686_gnu"
-version = "0.52.0"
+version = "0.52.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a28637cb1fa3560a16915793afb20081aba2c92ee8af57b4d5f28e4b3e7df313"
+checksum = "2a4e9b6a7cac734a8b4138a4e1044eac3404d8326b6c0f939276560687a033fb"
[[package]]
name = "windows_i686_msvc"
@@ -5910,9 +6356,9 @@ checksum = "8f55c233f70c4b27f66c523580f78f1004e8b5a8b659e05a4eb49d4166cca406"
[[package]]
name = "windows_i686_msvc"
-version = "0.52.0"
+version = "0.52.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ffe5e8e31046ce6230cc7215707b816e339ff4d4d67c65dffa206fd0f7aa7b9a"
+checksum = "28b0ec9c422ca95ff34a78755cfa6ad4a51371da2a5ace67500cf7ca5f232c58"
[[package]]
name = "windows_x86_64_gnu"
@@ -5928,9 +6374,9 @@ checksum = "53d40abd2583d23e4718fddf1ebec84dbff8381c07cae67ff7768bbf19c6718e"
[[package]]
name = "windows_x86_64_gnu"
-version = "0.52.0"
+version = "0.52.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3d6fa32db2bc4a2f5abeacf2b69f7992cd09dca97498da74a151a3132c26befd"
+checksum = "704131571ba93e89d7cd43482277d6632589b18ecf4468f591fbae0a8b101614"
[[package]]
name = "windows_x86_64_gnullvm"
@@ -5946,9 +6392,9 @@ checksum = "0b7b52767868a23d5bab768e390dc5f5c55825b6d30b86c844ff2dc7414044cc"
[[package]]
name = "windows_x86_64_gnullvm"
-version = "0.52.0"
+version = "0.52.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1a657e1e9d3f514745a572a6846d3c7aa7dbe1658c056ed9c3344c4109a6949e"
+checksum = "42079295511643151e98d61c38c0acc444e52dd42ab456f7ccfd5152e8ecf21c"
[[package]]
name = "windows_x86_64_msvc"
@@ -5964,9 +6410,9 @@ checksum = "ed94fce61571a4006852b7389a063ab983c02eb1bb37b47f8272ce92d06d9538"
[[package]]
name = "windows_x86_64_msvc"
-version = "0.52.0"
+version = "0.52.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dff9641d1cd4be8d1a070daf9e3773c5f67e78b4d9d42263020c057706765c04"
+checksum = "0770833d60a970638e989b3fa9fd2bb1aaadcf88963d1659fd7d9990196ed2d6"
[[package]]
name = "winit"
@@ -6002,43 +6448,65 @@ dependencies = [
[[package]]
name = "winit"
-version = "0.28.6"
-source = "git+https://github.com/pop-os/winit.git?branch=master#c6ad672264b2e320cd15a531f67e133d9ecd39bf"
+version = "0.29.10"
+source = "git+https://github.com/pop-os/winit.git?branch=winit-0.29#bdc66109acc85c912264c9e4b864520345bdb45f"
dependencies = [
+ "ahash",
"android-activity",
- "bitflags 1.3.2",
- "cfg_aliases",
+ "atomic-waker",
+ "bitflags 2.4.2",
+ "bytemuck",
+ "calloop 0.12.4",
+ "cfg_aliases 0.1.1",
"core-foundation 0.9.4",
- "core-graphics 0.22.3",
- "dispatch",
- "instant",
+ "core-graphics 0.23.1",
+ "cursor-icon",
+ "icrate 0.0.4",
+ "js-sys",
"libc",
"log",
- "mio 0.8.10",
- "ndk 0.7.0",
- "objc2",
+ "memmap2 0.9.4",
+ "ndk 0.8.0",
+ "ndk-sys 0.5.0+25.2.9519653",
+ "objc2 0.4.1",
"once_cell",
"orbclient",
"percent-encoding",
- "raw-window-handle 0.5.2",
+ "raw-window-handle 0.6.0",
"redox_syscall 0.3.5",
+ "rustix 0.38.31",
"sctk-adwaita",
- "smithay-client-toolkit 0.16.1",
+ "smithay-client-toolkit 0.18.1",
+ "smol_str",
+ "unicode-segmentation",
"wasm-bindgen",
- "wayland-client 0.29.5",
- "wayland-commons 0.29.5",
- "wayland-protocols 0.29.5",
- "wayland-scanner 0.29.5",
+ "wasm-bindgen-futures",
+ "wayland-backend",
+ "wayland-client 0.31.2",
+ "wayland-protocols 0.31.2",
+ "wayland-protocols-plasma",
"web-sys",
- "windows-sys 0.45.0",
+ "web-time",
+ "windows-sys 0.48.0",
"x11-dl",
+ "x11rb",
+ "xkbcommon-dl",
]
[[package]]
name = "winnow"
-version = "0.5.34"
+version = "0.5.40"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b7cf47b659b318dccbd69cc4797a39ae128f533dce7902a1096044d1967b9c16"
+checksum = "f593a95398737aeed53e489c785df13f3618e41dbcd6718c6addbf1395aa6876"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "winnow"
+version = "0.6.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7a4191c47f15cc3ec71fcb4913cb83d58def65dd3787610213c649283b5ce178"
dependencies = [
"memchr",
]
@@ -6056,41 +6524,24 @@ dependencies = [
[[package]]
name = "x11rb"
-version = "0.9.0"
+version = "0.13.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6e99be55648b3ae2a52342f9a870c0e138709a3493261ce9b469afe6e4df6d8a"
-dependencies = [
- "gethostname 0.2.3",
- "nix 0.22.3",
- "winapi",
- "winapi-wsapoll",
-]
-
-[[package]]
-name = "x11rb"
-version = "0.12.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b1641b26d4dec61337c35a1b1aaf9e3cba8f46f0b43636c609ab0291a648040a"
+checksum = "f8f25ead8c7e4cba123243a6367da5d3990e0d3affa708ea19dce96356bd9f1a"
dependencies = [
"as-raw-xcb-connection",
- "gethostname 0.3.0",
+ "gethostname",
"libc",
- "libloading 0.7.4",
- "nix 0.26.4",
+ "libloading 0.8.1",
"once_cell",
- "winapi",
- "winapi-wsapoll",
+ "rustix 0.38.31",
"x11rb-protocol",
]
[[package]]
name = "x11rb-protocol"
-version = "0.12.0"
+version = "0.13.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "82d6c3f9a0fb6701fab8f6cea9b0c0bd5d6876f1f89f7fada07e558077c344bc"
-dependencies = [
- "nix 0.26.4",
-]
+checksum = "e63e71c4b8bd9ffec2c963173a4dc4cbde9ee96961d4fcb4429db9929b606c34"
[[package]]
name = "xcursor"
@@ -6106,11 +6557,11 @@ checksum = "213b7324336b53d2414b2db8537e56544d981803139155afa84f76eeebb7a546"
[[package]]
name = "xdg-home"
-version = "1.0.0"
+version = "1.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2769203cd13a0c6015d515be729c526d041e9cf2c0cc478d57faee85f40c6dcd"
+checksum = "21e5a325c3cb8398ad6cf859c1135b25dd29e186679cf2da7581d9679f63b38e"
dependencies = [
- "nix 0.26.4",
+ "libc",
"winapi",
]
@@ -6127,18 +6578,31 @@ dependencies = [
"unicase",
]
+[[package]]
+name = "xkbcommon-dl"
+version = "0.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d039de8032a9a8856a6be89cea3e5d12fdd82306ab7c94d74e6deab2460651c5"
+dependencies = [
+ "bitflags 2.4.2",
+ "dlib 0.5.2",
+ "log",
+ "once_cell",
+ "xkeysym",
+]
+
+[[package]]
+name = "xkeysym"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "054a8e68b76250b253f671d1268cb7f1ae089ec35e195b2efb2a4e9a836d0621"
+
[[package]]
name = "xml-rs"
version = "0.8.19"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "0fcb9cbac069e033553e8bb871be2fbdffcab578eb25bd0f7c508cedc6dcd75a"
-[[package]]
-name = "xmlparser"
-version = "0.13.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "66fee0b777b0f5ac1c69bb06d361268faafa61cd4682ae064a171c16c433e9e4"
-
[[package]]
name = "xmlwriter"
version = "0.1.0"
@@ -6147,9 +6611,9 @@ checksum = "ec7a2a501ed189703dba8b08142f057e887dfc4b2cc4db2d343ac6376ba3e0b9"
[[package]]
name = "xxhash-rust"
-version = "0.8.8"
+version = "0.8.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "53be06678ed9e83edb1745eb72efc0bbcd7b5c3c35711a860906aed827a13d61"
+checksum = "927da81e25be1e1a2901d59b81b37dd2efd1fc9c9345a55007f09bf5a2d3ee03"
[[package]]
name = "yazi"
@@ -6159,9 +6623,9 @@ checksum = "c94451ac9513335b5e23d7a8a2b61a7102398b8cca5160829d313e84c9d98be1"
[[package]]
name = "zbus"
-version = "3.14.1"
+version = "3.15.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "31de390a2d872e4cd04edd71b425e29853f786dc99317ed72d73d6fcf5ebb948"
+checksum = "675d170b632a6ad49804c8cf2105d7c31eddd3312555cffd4b740e08e97c25e6"
dependencies = [
"async-broadcast",
"async-executor",
@@ -6201,9 +6665,9 @@ dependencies = [
[[package]]
name = "zbus_macros"
-version = "3.14.1"
+version = "3.15.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "41d1794a946878c0e807f55a397187c11fc7a038ba5d868e7db4f3bd7760bc9d"
+checksum = "7131497b0f887e8061b430c530240063d33bf9455fa34438f388a245da69e0a5"
dependencies = [
"proc-macro-crate 1.3.1",
"proc-macro2",
@@ -6247,7 +6711,7 @@ checksum = "9ce1b18ccd8e73a9321186f97e46f9f04b778851177567b1975109d26a08d2a6"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -6261,9 +6725,9 @@ dependencies = [
[[package]]
name = "zvariant"
-version = "3.15.0"
+version = "3.15.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "44b291bee0d960c53170780af148dca5fa260a63cdd24f1962fa82e03e53338c"
+checksum = "4eef2be88ba09b358d3b58aca6e41cd853631d44787f319a1383ca83424fb2db"
dependencies = [
"byteorder",
"enumflags2",
@@ -6276,9 +6740,9 @@ dependencies = [
[[package]]
name = "zvariant_derive"
-version = "3.15.0"
+version = "3.15.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "934d7a7dfc310d6ee06c87ffe88ef4eca7d3e37bb251dece2ef93da8f17d8ecd"
+checksum = "37c24dc0bed72f5f90d1f8bb5b07228cbf63b3c6e9f82d82559d4bae666e7ed9"
dependencies = [
"proc-macro-crate 1.3.1",
"proc-macro2",
diff --git a/pkgs/by-name/co/cosmic-files/package.nix b/pkgs/by-name/co/cosmic-files/package.nix
index 8751fee772e5..26897de2cd88 100644
--- a/pkgs/by-name/co/cosmic-files/package.nix
+++ b/pkgs/by-name/co/cosmic-files/package.nix
@@ -13,32 +13,36 @@
rustPlatform.buildRustPackage rec {
pname = "cosmic-files";
- version = "unstable-2024-01-12";
+ version = "unstable-2024-02-28";
src = fetchFromGitHub {
owner = "pop-os";
repo = pname;
- rev = "467414217903d96f71f5566d8359831000dfede1";
- hash = "sha256-cfIlTHm1lnASjwHnrlLFJd01jT8D1P5XGLXYaJiF8pA=";
+ rev = "6123108f3ae3c7074264184952f0a53e49a981d5";
+ hash = "sha256-BeqpoLIZbR5Dg7OGYGQMFWBLdD96n4t7fX8Ju9/h5JU=";
};
cargoLock = {
lockFile = ./Cargo.lock;
outputHashes = {
- "accesskit-0.11.0" = "sha256-xVhe6adUb8VmwIKKjHxwCwOo5Y1p3Or3ylcJJdLDrrE=";
+ "accesskit-0.12.2" = "sha256-ksaYMGT/oug7isQY8/1WD97XDUsX2ShBdabUzxWffYw=";
"atomicwrites-0.4.2" = "sha256-QZSuGPrJXh+svMeFWqAXoqZQxLq/WfIiamqvjJNVhxA=";
- "cosmic-config-0.1.0" = "sha256-+kcul4iOillcWPK+aD3Z2rMfmVb+i2p5ckKRhc1gdDw=";
- "cosmic-text-0.10.0" = "sha256-PHz5jUecK889E88Y20XUe2adTUO8ElnoV7IIcaohMUw=";
- "glyphon-0.3.0" = "sha256-JGkNIfj1HjOF8kGxqJPNq/JO+NhZD6XrZ4KmkXEP6Xc=";
- "sctk-adwaita-0.5.4" = "sha256-yK0F2w/0nxyKrSiHZbx7+aPNY2vlFs7s8nu/COp2KqQ=";
- "softbuffer-0.3.3" = "sha256-eKYFVr6C1+X6ulidHIu9SP591rJxStxwL9uMiqnXx4k=";
- "smithay-client-toolkit-0.16.1" = "sha256-z7EZThbh7YmKzAACv181zaEZmWxTrMkFRzP0nfsHK6c=";
+ "cosmic-config-0.1.0" = "sha256-eaG/HCwlKqSfEp6GEPeBS63j5WHq4qdYTNHqnW2zeeE=";
+ "cosmic-text-0.11.2" = "sha256-Y9i5stMYpx+iqn4y5DJm1O1+3UIGp0/fSsnNq3Zloug=";
+ "d3d12-0.19.0" = "sha256-usrxQXWLGJDjmIdw1LBXtBvX+CchZDvE8fHC0LjvhD4=";
+ "glyphon-0.5.0" = "sha256-j1HrbEpUBqazWqNfJhpyjWuxYAxkvbXzRKeSouUoPWg=";
+ "softbuffer-0.4.1" = "sha256-a0bUFz6O8CWRweNt/OxTvflnPYwO5nm6vsyc/WcXyNg=";
"systemicons-0.7.0" = "sha256-zzAI+6mnpQOh+3mX7/sJ+w4a7uX27RduQ99PNxLNF78=";
"taffy-0.3.11" = "sha256-SCx9GEIJjWdoNVyq+RZAGn0N71qraKZxf9ZWhvyzLaI=";
- "winit-0.28.6" = "sha256-FhW6d2XnXCGJUMoT9EMQew9/OPXiehy/JraeCiVd76M=";
+ "winit-0.29.10" = "sha256-ScTII2AzK3SC8MVeASZ9jhVWsEaGrSQ2BnApTxgfxK4=";
};
};
+ # COSMIC applications now uses vergen for the About page
+ # Update the COMMIT_DATE to match when the commit was made
+ env.VERGEN_GIT_COMMIT_DATE = "2024-02-28";
+ env.VERGEN_GIT_SHA = src.rev;
+
postPatch = ''
substituteInPlace justfile --replace '#!/usr/bin/env' "#!$(command -v env)"
'';
diff --git a/pkgs/by-name/co/cosmic-greeter/package.nix b/pkgs/by-name/co/cosmic-greeter/package.nix
index 754c181d9ff0..103eec88c217 100644
--- a/pkgs/by-name/co/cosmic-greeter/package.nix
+++ b/pkgs/by-name/co/cosmic-greeter/package.nix
@@ -51,6 +51,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
homepage = "https://github.com/pop-os/cosmic-greeter";
description = "Greeter for the COSMIC Desktop Environment";
+ mainProgram = "cosmic-greeter";
license = licenses.gpl3Only;
maintainers = with maintainers; [ nyanbinary ];
platforms = platforms.linux;
diff --git a/pkgs/by-name/co/cosmic-icons/package.nix b/pkgs/by-name/co/cosmic-icons/package.nix
index fc6b0181e0e4..b14387ee7a86 100644
--- a/pkgs/by-name/co/cosmic-icons/package.nix
+++ b/pkgs/by-name/co/cosmic-icons/package.nix
@@ -8,13 +8,13 @@
}:
stdenvNoCC.mkDerivation rec {
pname = "cosmic-icons";
- version = "unstable-2024-02-07";
+ version = "unstable-2024-02-22";
src = fetchFromGitHub {
owner = "pop-os";
repo = pname;
- rev = "edd405ed84186ee24307deb7da6f25efc85986e9";
- sha256 = "sha256-qz39vI9bRac9ZQg8FPrwv3/TW5zGlsvs2me5aE5vvZo=";
+ rev = "ee87327736728a9fb5a70c8688e9000f72829343";
+ sha256 = "sha256-W4t5uTkiOVGGHZEqD5tGbEPhHbNZp5qnYYHDG8N70vQ=";
};
nativeBuildInputs = [ just ];
diff --git a/pkgs/by-name/co/cosmic-launcher/package.nix b/pkgs/by-name/co/cosmic-launcher/package.nix
index 8d5b65bd8c4b..6fcdd0373641 100644
--- a/pkgs/by-name/co/cosmic-launcher/package.nix
+++ b/pkgs/by-name/co/cosmic-launcher/package.nix
@@ -66,6 +66,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
homepage = "https://github.com/pop-os/cosmic-launcher";
description = "Launcher for the COSMIC Desktop Environment";
+ mainProgram = "cosmic-launcher";
license = licenses.gpl3Only;
maintainers = with maintainers; [ nyanbinary ];
platforms = platforms.linux;
diff --git a/pkgs/by-name/co/cosmic-notifications/package.nix b/pkgs/by-name/co/cosmic-notifications/package.nix
index 3faf12c39e02..2bf02f2245d3 100644
--- a/pkgs/by-name/co/cosmic-notifications/package.nix
+++ b/pkgs/by-name/co/cosmic-notifications/package.nix
@@ -66,6 +66,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
homepage = "https://github.com/pop-os/cosmic-notifications";
description = "Notifications for the COSMIC Desktop Environment";
+ mainProgram = "cosmic-notifications";
license = licenses.gpl3Only;
maintainers = with maintainers; [ nyanbinary ];
platforms = platforms.linux;
diff --git a/pkgs/by-name/co/cosmic-osd/package.nix b/pkgs/by-name/co/cosmic-osd/package.nix
index b71333fe0f94..f42f68e8c801 100644
--- a/pkgs/by-name/co/cosmic-osd/package.nix
+++ b/pkgs/by-name/co/cosmic-osd/package.nix
@@ -38,6 +38,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
homepage = "https://github.com/pop-os/cosmic-osd";
description = "OSD for the COSMIC Desktop Environment";
+ mainProgram = "cosmic-osd";
license = licenses.gpl3Only;
maintainers = with maintainers; [ nyanbinary ];
platforms = platforms.linux;
diff --git a/pkgs/by-name/co/cosmic-panel/package.nix b/pkgs/by-name/co/cosmic-panel/package.nix
index 7c8c31f511d4..8847307fa41f 100644
--- a/pkgs/by-name/co/cosmic-panel/package.nix
+++ b/pkgs/by-name/co/cosmic-panel/package.nix
@@ -55,6 +55,7 @@ rustPlatform.buildRustPackage {
meta = with lib; {
homepage = "https://github.com/pop-os/cosmic-panel";
description = "Panel for the COSMIC Desktop Environment";
+ mainProgram = "cosmic-panel";
license = licenses.gpl3Only;
maintainers = with maintainers; [ qyliss nyanbinary ];
platforms = platforms.linux;
diff --git a/pkgs/by-name/co/cosmic-session/package.nix b/pkgs/by-name/co/cosmic-session/package.nix
index cebd00aff004..2c84a130bd9c 100644
--- a/pkgs/by-name/co/cosmic-session/package.nix
+++ b/pkgs/by-name/co/cosmic-session/package.nix
@@ -51,11 +51,10 @@ rustPlatform.buildRustPackage rec {
"--set"
"prefix"
(placeholder "out")
- "--set"
- "xdp_cosmic"
- xdg-desktop-portal-cosmic
];
+ env.XDP_COSMIC = lib.getExe xdg-desktop-portal-cosmic;
+
passthru.providedSessions = [ "cosmic" ];
meta = with lib; {
diff --git a/pkgs/by-name/co/cosmic-settings-daemon/package.nix b/pkgs/by-name/co/cosmic-settings-daemon/package.nix
index 6046b55e3bc8..8b0c9819ab4e 100644
--- a/pkgs/by-name/co/cosmic-settings-daemon/package.nix
+++ b/pkgs/by-name/co/cosmic-settings-daemon/package.nix
@@ -24,6 +24,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
homepage = "https://github.com/pop-os/cosmic-settings-daemon";
description = "Settings Daemon for the COSMIC Desktop Environment";
+ mainProgram = "cosmic-settings-daemon";
license = licenses.gpl3Only;
maintainers = with maintainers; [ nyanbinary ];
platforms = platforms.linux;
diff --git a/pkgs/by-name/co/cosmic-settings/Cargo.lock b/pkgs/by-name/co/cosmic-settings/Cargo.lock
index 2f078222ba0b..794466d88628 100644
--- a/pkgs/by-name/co/cosmic-settings/Cargo.lock
+++ b/pkgs/by-name/co/cosmic-settings/Cargo.lock
@@ -4,28 +4,31 @@ version = 3
[[package]]
name = "accesskit"
-version = "0.11.0"
-source = "git+https://github.com/wash2/accesskit.git?tag=winit-0.28#db6f2587f663eafd8f7888e8507baa3a092599b0"
+version = "0.12.2"
+source = "git+https://github.com/wash2/accesskit.git?branch=winit-0.29#5f9b61c8264000d001499c902562422e13efa7a8"
[[package]]
name = "accesskit_consumer"
-version = "0.15.0"
-source = "git+https://github.com/wash2/accesskit.git?tag=winit-0.28#db6f2587f663eafd8f7888e8507baa3a092599b0"
+version = "0.17.0"
+source = "git+https://github.com/wash2/accesskit.git?branch=winit-0.29#5f9b61c8264000d001499c902562422e13efa7a8"
dependencies = [
"accesskit",
]
[[package]]
name = "accesskit_unix"
-version = "0.4.0"
-source = "git+https://github.com/wash2/accesskit.git?tag=winit-0.28#db6f2587f663eafd8f7888e8507baa3a092599b0"
+version = "0.7.1"
+source = "git+https://github.com/wash2/accesskit.git?branch=winit-0.29#5f9b61c8264000d001499c902562422e13efa7a8"
dependencies = [
"accesskit",
"accesskit_consumer",
- "async-channel 1.9.0",
+ "async-channel",
+ "async-executor",
+ "async-task",
"atspi",
"futures-lite 1.13.0",
- "log",
+ "futures-util",
+ "once_cell",
"serde",
"zbus",
]
@@ -47,9 +50,9 @@ checksum = "f26201604c87b1e01bd3d98f8d5d9a8fcbb815e8cedb41ffccbeb4bf593a35fe"
[[package]]
name = "ahash"
-version = "0.7.7"
+version = "0.7.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5a824f2aa7e75a0c98c5a504fceb80649e9c35265d44525b5f94de4771a395cd"
+checksum = "891477e0c6a8957309ee5c45a6368af3ae14bb510732d2684ffa19af310920f9"
dependencies = [
"getrandom",
"once_cell",
@@ -58,9 +61,9 @@ dependencies = [
[[package]]
name = "ahash"
-version = "0.8.6"
+version = "0.8.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "91429305e9f0a25f6205c5b8e0d2db09e0708a7a6df0f42212bb56c32c8ac97a"
+checksum = "42cd52102d3df161c77a887b608d7a4897d7cc112886a9537b738a887a03aaff"
dependencies = [
"cfg-if",
"once_cell",
@@ -106,9 +109,9 @@ dependencies = [
[[package]]
name = "anstream"
-version = "0.6.5"
+version = "0.6.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d664a92ecae85fd0a7392615844904654d1d5f5514837f471ddef4a057aba1b6"
+checksum = "6e2e1ebcb11de5c03c67de28a7df593d32191b44939c482e97702baaaa6ab6a5"
dependencies = [
"anstyle",
"anstyle-parse",
@@ -120,9 +123,9 @@ dependencies = [
[[package]]
name = "anstyle"
-version = "1.0.4"
+version = "1.0.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7079075b41f533b8c61d2a4d073c4676e1f8b249ff94a393b0595db304e0dd87"
+checksum = "8901269c6307e8d93993578286ac0edf7f195079ffff5ebdeea6a59ffb7e36bc"
[[package]]
name = "anstyle-parse"
@@ -154,9 +157,9 @@ dependencies = [
[[package]]
name = "anyhow"
-version = "1.0.75"
+version = "1.0.79"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a4668cab20f66d8d020e1fbc0ebe47217433c1b6c8f2040faf858554e394ace6"
+checksum = "080e9890a082662b09c1ad45f567faeeb47f22b5fb23895fbe1e651e718e25ca"
[[package]]
name = "apply"
@@ -193,9 +196,9 @@ checksum = "96d30a06541fbafbc7f82ed10c06164cfbd2c401138f6addd8404629c4b16711"
[[package]]
name = "as-raw-xcb-connection"
-version = "1.0.0"
+version = "1.0.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2d5f312b0a56c5cdf967c0aeb67f6289603354951683bc97ddc595ab974ba9aa"
+checksum = "175571dd1d178ced59193a6fc02dde1b972eb0bc56c892cde9beeceac5bf0f6b"
[[package]]
name = "ash"
@@ -208,9 +211,9 @@ dependencies = [
[[package]]
name = "ashpd"
-version = "0.6.7"
+version = "0.6.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2c018490e423efb6f032ef575f873ea57b61d44bec763cfe027b8e8852a027cf"
+checksum = "4ac22eda5891cc086690cb6fa10121c0390de0e3b04eb269f2d766b00d3f2d81"
dependencies = [
"enumflags2",
"futures-channel",
@@ -239,24 +242,13 @@ dependencies = [
[[package]]
name = "async-channel"
-version = "1.9.0"
+version = "2.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "81953c529336010edd6d8e358f886d9581267795c61b19475b71314bffa46d35"
+checksum = "f28243a43d821d11341ab73c80bed182dc015c514b951616cf79bd4af39af0c3"
dependencies = [
"concurrent-queue",
- "event-listener 2.5.3",
- "futures-core",
-]
-
-[[package]]
-name = "async-channel"
-version = "2.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ca33f4bc4ed1babef42cad36cc1f51fa88be00420404e5b1e80ab1b18f7678c"
-dependencies = [
- "concurrent-queue",
- "event-listener 4.0.0",
- "event-listener-strategy",
+ "event-listener 5.0.0",
+ "event-listener-strategy 0.5.0",
"futures-core",
"pin-project-lite",
]
@@ -267,11 +259,11 @@ version = "1.8.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "17ae5ebefcc48e7452b4987947920dac9450be1110cadf34d1b8c116bdbaf97c"
dependencies = [
- "async-lock 3.2.0",
+ "async-lock 3.3.0",
"async-task",
"concurrent-queue",
"fastrand 2.0.1",
- "futures-lite 2.1.0",
+ "futures-lite 2.2.0",
"slab",
]
@@ -309,18 +301,18 @@ dependencies = [
[[package]]
name = "async-io"
-version = "2.2.2"
+version = "2.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6afaa937395a620e33dc6a742c593c01aced20aa376ffb0f628121198578ccc7"
+checksum = "8f97ab0c5b00a7cdbe5a371b9a782ee7be1316095885c8a4ea1daf490eb0ef65"
dependencies = [
- "async-lock 3.2.0",
+ "async-lock 3.3.0",
"cfg-if",
"concurrent-queue",
"futures-io",
- "futures-lite 2.1.0",
+ "futures-lite 2.2.0",
"parking",
- "polling 3.3.1",
- "rustix 0.38.28",
+ "polling 3.4.0",
+ "rustix 0.38.31",
"slab",
"tracing",
"windows-sys 0.52.0",
@@ -337,12 +329,12 @@ dependencies = [
[[package]]
name = "async-lock"
-version = "3.2.0"
+version = "3.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7125e42787d53db9dd54261812ef17e937c95a51e4d291373b670342fa44310c"
+checksum = "d034b430882f8381900d3fe6f0aaa3ad94f2cb4ac519b429692a1bc2dda4ae7b"
dependencies = [
- "event-listener 4.0.0",
- "event-listener-strategy",
+ "event-listener 4.0.3",
+ "event-listener-strategy 0.4.0",
"pin-project-lite",
]
@@ -359,7 +351,7 @@ dependencies = [
"cfg-if",
"event-listener 3.1.0",
"futures-lite 1.13.0",
- "rustix 0.38.28",
+ "rustix 0.38.31",
"windows-sys 0.48.0",
]
@@ -371,7 +363,7 @@ checksum = "5fd55a5ba1179988837d24ab4c7cc8ed6efdeff578ede0416b4225a5fca35bd0"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.41",
+ "syn 2.0.48",
]
[[package]]
@@ -380,13 +372,13 @@ version = "0.2.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "9e47d90f65a225c4527103a8d747001fc56e375203592b25ad103e1ca13124c5"
dependencies = [
- "async-io 2.2.2",
+ "async-io 2.3.1",
"async-lock 2.8.0",
"atomic-waker",
"cfg-if",
"futures-core",
"futures-io",
- "rustix 0.38.28",
+ "rustix 0.38.31",
"signal-hook-registry",
"slab",
"windows-sys 0.48.0",
@@ -394,19 +386,31 @@ dependencies = [
[[package]]
name = "async-task"
-version = "4.5.0"
+version = "4.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b4eb2cdb97421e01129ccb49169d8279ed21e829929144f4a22a6e54ac549ca1"
+checksum = "fbb36e985947064623dbd357f727af08ffd077f93d696782f3c56365fa2e2799"
[[package]]
name = "async-trait"
-version = "0.1.74"
+version = "0.1.77"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a66537f1bb974b254c98ed142ff995236e81b9d0fe4db0575f46612cb15eb0f9"
+checksum = "c980ee35e870bd1a4d2c8294d4c04d0499e67bca1e4b5cefcc693c2fa00caea9"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.41",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "atk-sys"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "251e0b7d90e33e0ba930891a505a9a35ece37b2dd37a14f3ffc306c13b980009"
+dependencies = [
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps",
]
[[package]]
@@ -420,36 +424,57 @@ name = "atomicwrites"
version = "0.4.2"
source = "git+https://github.com/jackpot51/rust-atomicwrites#043ab4859d53ffd3d55334685303d8df39c9f768"
dependencies = [
- "rustix 0.38.28",
+ "rustix 0.38.31",
"tempfile",
"windows-sys 0.48.0",
]
[[package]]
name = "atspi"
-version = "0.10.1"
+version = "0.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "674e7a3376837b2e7d12d34d58ac47073c491dc3bf6f71a7adaf687d4d817faa"
+checksum = "6059f350ab6f593ea00727b334265c4dfc7fd442ee32d264794bd9bdc68e87ca"
dependencies = [
- "async-recursion",
- "async-trait",
- "atspi-macros",
- "enumflags2",
- "futures-lite 1.13.0",
- "serde",
- "tracing",
- "zbus",
- "zbus_names",
+ "atspi-common",
+ "atspi-connection",
+ "atspi-proxies",
]
[[package]]
-name = "atspi-macros"
-version = "0.2.0"
+name = "atspi-common"
+version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "97fb4870a32c0eaa17e35bca0e6b16020635157121fb7d45593d242c295bc768"
+checksum = "92af95f966d2431f962bc632c2e68eda7777330158bf640c4af4249349b2cdf5"
dependencies = [
- "quote",
- "syn 1.0.109",
+ "enumflags2",
+ "serde",
+ "static_assertions",
+ "zbus",
+ "zbus_names",
+ "zvariant",
+]
+
+[[package]]
+name = "atspi-connection"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a0c65e7d70f86d4c0e3b2d585d9bf3f979f0b19d635a336725a88d279f76b939"
+dependencies = [
+ "atspi-common",
+ "atspi-proxies",
+ "futures-lite 1.13.0",
+ "zbus",
+]
+
+[[package]]
+name = "atspi-proxies"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6495661273703e7a229356dcbe8c8f38223d697aacfaf0e13590a9ac9977bb52"
+dependencies = [
+ "atspi-common",
+ "serde",
+ "zbus",
]
[[package]]
@@ -475,9 +500,9 @@ dependencies = [
[[package]]
name = "base64"
-version = "0.21.5"
+version = "0.21.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "35636a1494ede3b646cc98f74f8e62c773a38a659ebc777a2cf26b9b74171df9"
+checksum = "9d297deb1925b89f2ccc13d7635fa0714f12c87adce1c75356b39ca9b7178567"
[[package]]
name = "bit-set"
@@ -508,13 +533,25 @@ checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a"
[[package]]
name = "bitflags"
-version = "2.4.1"
+version = "2.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "327762f6e5a765692301e5bb513e0d9fef63be86bbc14528052b1cd3e6f03e07"
+checksum = "ed570934406eb16438a4e976b1b4500774099c13b8cb96eec99f620f05090ddf"
dependencies = [
"serde",
]
+[[package]]
+name = "bitvec"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1bc2832c24239b0141d5674bb9174f9d68a8b5b3f2753311927c172ca46f7e9c"
+dependencies = [
+ "funty",
+ "radium",
+ "tap",
+ "wyz",
+]
+
[[package]]
name = "block"
version = "0.1.6"
@@ -536,16 +573,40 @@ version = "1.5.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "6a37913e8dc4ddcc604f0c6d3bf2887c995153af3611de9e23c352b44c1b9118"
dependencies = [
- "async-channel 2.1.1",
- "async-lock 3.2.0",
+ "async-channel",
+ "async-lock 3.3.0",
"async-task",
"fastrand 2.0.1",
"futures-io",
- "futures-lite 2.1.0",
+ "futures-lite 2.2.0",
"piper",
"tracing",
]
+[[package]]
+name = "borsh"
+version = "1.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f58b559fd6448c6e2fd0adb5720cd98a2506594cafa4737ff98c396f3e82f667"
+dependencies = [
+ "borsh-derive",
+ "cfg_aliases 0.1.1",
+]
+
+[[package]]
+name = "borsh-derive"
+version = "1.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7aadb5b6ccbd078890f6d7003694e33816e6b784358f18e15e7e6d9f065a57cd"
+dependencies = [
+ "once_cell",
+ "proc-macro-crate 3.1.0",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+ "syn_derive",
+]
+
[[package]]
name = "bumpalo"
version = "3.14.0"
@@ -554,18 +615,42 @@ checksum = "7f30e7476521f6f8af1a1c4c0b8cc94f0bee37d91763d0ca2665f299b6cd8aec"
[[package]]
name = "byte-unit"
-version = "4.0.19"
+version = "5.1.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "da78b32057b8fdfc352504708feeba7216dcd65a2c9ab02978cbd288d1279b6c"
+checksum = "33ac19bdf0b2665407c39d82dbc937e951e7e2001609f0fb32edd0af45a2d63e"
dependencies = [
+ "rust_decimal",
+ "serde",
"utf8-width",
]
[[package]]
-name = "bytemuck"
-version = "1.14.0"
+name = "bytecheck"
+version = "0.6.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "374d28ec25809ee0e23827c2ab573d729e293f281dfe393500e7ad618baa61c6"
+checksum = "23cdc57ce23ac53c931e88a43d06d070a6fd142f2617be5855eb75efc9beb1c2"
+dependencies = [
+ "bytecheck_derive",
+ "ptr_meta",
+ "simdutf8",
+]
+
+[[package]]
+name = "bytecheck_derive"
+version = "0.6.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3db406d29fbcd95542e92559bed4d8ad92636d1ca8b3b72ede10b4bcc010e659"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "bytemuck"
+version = "1.14.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a2ef034f05691a48569bd920a96c81b9d91bbad1ab5ac7c4616c1f6ef36cb79f"
dependencies = [
"bytemuck_derive",
]
@@ -578,7 +663,7 @@ checksum = "965ab7eb5f8f97d2a083c799f3a1b994fc397b2fe2da5d1da1626ce15a39f2b1"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.41",
+ "syn 2.0.48",
]
[[package]]
@@ -593,6 +678,16 @@ version = "1.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "a2bd12c1caf447e69cd4528f47f94d203fd2582878ecb9e9465484c4148a8223"
+[[package]]
+name = "cairo-sys-rs"
+version = "0.18.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "685c9fa8e590b8b3d678873528d83411db17242a73fccaed827770ea0fedda51"
+dependencies = [
+ "libc",
+ "system-deps",
+]
+
[[package]]
name = "calendrical_calculations"
version = "0.1.0"
@@ -605,14 +700,14 @@ dependencies = [
[[package]]
name = "calloop"
-version = "0.12.3"
+version = "0.12.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7b50b5a44d59a98c55a9eeb518f39bf7499ba19fd98ee7d22618687f3f10adbf"
+checksum = "fba7adb4dd5aa98e5553510223000e7148f621165ec5f9acd7113f6ca4995298"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"log",
- "polling 3.3.1",
- "rustix 0.38.28",
+ "polling 3.4.0",
+ "rustix 0.38.31",
"slab",
"thiserror",
]
@@ -624,9 +719,9 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "0f0ea9b9476c7fad82841a8dbb380e2eae480c21910feba80725b46931ed8f02"
dependencies = [
"calloop",
- "rustix 0.38.28",
- "wayland-backend 0.3.2",
- "wayland-client 0.31.1",
+ "rustix 0.38.31",
+ "wayland-backend 0.3.3",
+ "wayland-client 0.31.2",
]
[[package]]
@@ -649,6 +744,16 @@ dependencies = [
"uuid",
]
+[[package]]
+name = "cfg-expr"
+version = "0.15.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fa50868b64a9a6fda9d593ce778849ea8715cd2a3d2cc17ffdb4a2f2f2f1961d"
+dependencies = [
+ "smallvec",
+ "target-lexicon",
+]
+
[[package]]
name = "cfg-if"
version = "1.0.0"
@@ -662,10 +767,16 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "fd16c4719339c4530435d38e511904438d07cce7950afa3718a84ac36c10e89e"
[[package]]
-name = "clap"
-version = "4.4.11"
+name = "cfg_aliases"
+version = "0.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bfaff671f6b22ca62406885ece523383b9b64022e341e53e009a62ebc47a45f2"
+checksum = "77e53693616d3075149f4ead59bdeecd204ac6b8192d8969757601b74bddf00f"
+
+[[package]]
+name = "clap"
+version = "4.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "80c21025abd42669a92efc996ef13cfb2c5c627858421ea58d5c3b331a6c134f"
dependencies = [
"clap_builder",
"clap_derive",
@@ -673,33 +784,33 @@ dependencies = [
[[package]]
name = "clap_builder"
-version = "4.4.11"
+version = "4.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a216b506622bb1d316cd51328dce24e07bdff4a6128a47c7e7fad11878d5adbb"
+checksum = "458bf1f341769dfcf849846f65dffdf9146daa56bcd2a47cb4e1de9915567c99"
dependencies = [
"anstream",
"anstyle",
"clap_lex",
- "strsim",
+ "strsim 0.11.0",
]
[[package]]
name = "clap_derive"
-version = "4.4.7"
+version = "4.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cf9804afaaf59a91e75b022a30fb7229a7901f60c755489cc61c9b423b836442"
+checksum = "307bc0538d5f0f83b8248db3087aa92fe504e4691294d0c96c0eabc33f47ba47"
dependencies = [
"heck",
"proc-macro2",
"quote",
- "syn 2.0.41",
+ "syn 2.0.48",
]
[[package]]
name = "clap_lex"
-version = "0.6.0"
+version = "0.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "702fc72eb24e5a1e48ce58027a675bc24edd52096d5397d4aea7c6dd9eca0bd1"
+checksum = "98cc8fbded0c607b7ba9dd60cd98df59af97e84d24e49c8557331cfc26d301ce"
[[package]]
name = "cocoa"
@@ -790,10 +901,35 @@ dependencies = [
]
[[package]]
-name = "com-rs"
-version = "0.2.1"
+name = "com"
+version = "0.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bf43edc576402991846b093a7ca18a3477e0ef9c588cde84964b5d3e43016642"
+checksum = "7e17887fd17353b65b1b2ef1c526c83e26cd72e74f598a8dc1bee13a48f3d9f6"
+dependencies = [
+ "com_macros",
+]
+
+[[package]]
+name = "com_macros"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d375883580a668c7481ea6631fc1a8863e33cc335bf56bfad8d7e6d4b04b13a5"
+dependencies = [
+ "com_macros_support",
+ "proc-macro2",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "com_macros_support"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ad899a1087a9296d5644792d7cb72b8e34c1bec8e7d4fbc002230169a6e8710c"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
[[package]]
name = "concat-in-place"
@@ -810,6 +946,26 @@ dependencies = [
"crossbeam-utils",
]
+[[package]]
+name = "const-random"
+version = "0.1.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5aaf16c9c2c612020bcfd042e170f6e32de9b9d75adb5277cdbbd2e2c8c8299a"
+dependencies = [
+ "const-random-macro",
+]
+
+[[package]]
+name = "const-random-macro"
+version = "0.1.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f9d839f2a20b0aee515dc581a6172f2321f96cab76c1a38a4c584a194955390e"
+dependencies = [
+ "getrandom",
+ "once_cell",
+ "tiny-keccak",
+]
+
[[package]]
name = "const_format"
version = "0.2.32"
@@ -882,7 +1038,7 @@ dependencies = [
[[package]]
name = "cosmic-bg-config"
version = "0.1.0"
-source = "git+https://github.com/pop-os/cosmic-bg#6a6fe4e387e46c2e159df56a9768220a6269ccf4"
+source = "git+https://github.com/pop-os/cosmic-bg#a1f0552187a9e9c436b392908b76866dea482345"
dependencies = [
"colorgrad",
"cosmic-config",
@@ -896,18 +1052,19 @@ dependencies = [
[[package]]
name = "cosmic-client-toolkit"
version = "0.1.0"
-source = "git+https://github.com/pop-os/cosmic-protocols?rev=c1b6516#c1b651630c2b71cd8dfd2eb4ab47ede9dbd63840"
+source = "git+https://github.com/pop-os/cosmic-protocols?rev=e65fa5e#e65fa5e2bb47e51656221657049bd3f88ae9dae5"
dependencies = [
"cosmic-protocols",
"smithay-client-toolkit 0.18.0",
- "wayland-client 0.31.1",
+ "wayland-client 0.31.2",
]
[[package]]
name = "cosmic-comp-config"
version = "0.1.0"
-source = "git+https://github.com/pop-os/cosmic-comp#a8b401b11df3b05336358f1bc7b4ee06f9ef53b5"
+source = "git+https://github.com/pop-os/cosmic-comp#d1aac380fff5447a14fa1fc1023ea1078c0226a4"
dependencies = [
+ "cosmic-config",
"input",
"serde",
]
@@ -915,22 +1072,25 @@ dependencies = [
[[package]]
name = "cosmic-config"
version = "0.1.0"
-source = "git+https://github.com/pop-os/libcosmic#d53f693a3715fdd79481d75652cbf74286f4f387"
+source = "git+https://github.com/pop-os/libcosmic#676a0906244d9ff41d32821d997df4aefa967bed"
dependencies = [
"atomicwrites",
"calloop",
"cosmic-config-derive",
"dirs 5.0.1",
"iced_futures",
+ "known-folders",
"notify",
+ "once_cell",
"ron",
"serde",
+ "xdg",
]
[[package]]
name = "cosmic-config-derive"
version = "0.1.0"
-source = "git+https://github.com/pop-os/libcosmic#d53f693a3715fdd79481d75652cbf74286f4f387"
+source = "git+https://github.com/pop-os/libcosmic#676a0906244d9ff41d32821d997df4aefa967bed"
dependencies = [
"quote",
"syn 1.0.109",
@@ -939,12 +1099,13 @@ dependencies = [
[[package]]
name = "cosmic-panel-config"
version = "0.1.0"
-source = "git+https://github.com/pop-os/cosmic-panel#7c9c9245bce034beefc8efb71fc086ca14337517"
+source = "git+https://github.com/pop-os/cosmic-panel#47d5a704652860be3dff3787ee17bc88e007ff6d"
dependencies = [
"anyhow",
"cosmic-config",
"ron",
"serde",
+ "smithay-client-toolkit 0.18.0",
"tracing",
"wayland-protocols-wlr",
"xdg-shell-wrapper-config",
@@ -953,16 +1114,27 @@ dependencies = [
[[package]]
name = "cosmic-protocols"
version = "0.1.0"
-source = "git+https://github.com/pop-os/cosmic-protocols?rev=c1b6516#c1b651630c2b71cd8dfd2eb4ab47ede9dbd63840"
+source = "git+https://github.com/pop-os/cosmic-protocols?rev=e65fa5e#e65fa5e2bb47e51656221657049bd3f88ae9dae5"
dependencies = [
- "bitflags 2.4.1",
- "wayland-backend 0.3.2",
- "wayland-client 0.31.1",
- "wayland-protocols 0.31.0",
- "wayland-scanner 0.31.0",
+ "bitflags 2.4.2",
+ "wayland-backend 0.3.3",
+ "wayland-client 0.31.2",
+ "wayland-protocols 0.31.2",
+ "wayland-scanner 0.31.1",
"wayland-server",
]
+[[package]]
+name = "cosmic-randr-shell"
+version = "0.1.0"
+source = "git+https://github.com/pop-os/cosmic-randr#88c570cf8b88beae1cf4f3e2d412cc64ec49cd7c"
+dependencies = [
+ "kdl",
+ "slotmap",
+ "thiserror",
+ "tokio",
+]
+
[[package]]
name = "cosmic-settings"
version = "0.1.0"
@@ -970,25 +1142,28 @@ dependencies = [
"anyhow",
"apply",
"ashpd",
- "async-channel 1.9.0",
+ "async-channel",
"clap",
"color-eyre",
"cosmic-comp-config",
"cosmic-panel-config",
- "cosmic-settings-desktop",
+ "cosmic-randr-shell",
"cosmic-settings-page",
"cosmic-settings-system",
"cosmic-settings-time",
+ "cosmic-settings-wallpaper",
"derivative",
"derive_setters",
"dirs 5.0.1",
"downcast-rs",
"freedesktop-desktop-entry",
+ "futures-lite 2.2.0",
"generator",
"i18n-embed",
"i18n-embed-fl",
"image",
- "itertools 0.11.0",
+ "itertools",
+ "itoa",
"libcosmic",
"log",
"notify",
@@ -1005,24 +1180,6 @@ dependencies = [
"url",
]
-[[package]]
-name = "cosmic-settings-desktop"
-version = "0.1.0"
-dependencies = [
- "cosmic-bg-config",
- "cosmic-config",
- "dirs 5.0.1",
- "freedesktop-icons",
- "futures-lite 1.13.0",
- "image",
- "infer",
- "rayon",
- "smithay-client-toolkit 0.18.0",
- "tokio",
- "tracing",
- "wayland-client 0.31.1",
-]
-
[[package]]
name = "cosmic-settings-page"
version = "0.1.0"
@@ -1060,20 +1217,38 @@ dependencies = [
]
[[package]]
-name = "cosmic-text"
-version = "0.10.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "75acbfb314aeb4f5210d379af45ed1ec2c98c7f1790bf57b8a4c562ac0c51b71"
+name = "cosmic-settings-wallpaper"
+version = "0.1.0"
dependencies = [
+ "cosmic-bg-config",
+ "cosmic-config",
+ "cosmic-randr-shell",
+ "dirs 5.0.1",
+ "freedesktop-icons",
+ "futures-lite 2.2.0",
+ "image",
+ "infer",
+ "rayon",
+ "tokio",
+ "tracing",
+]
+
+[[package]]
+name = "cosmic-text"
+version = "0.11.2"
+source = "git+https://github.com/pop-os/cosmic-text.git#85821731285bf88d712dce8e7410453d908bc038"
+dependencies = [
+ "bitflags 2.4.2",
"fontdb",
"libm",
"log",
"rangemap",
"rustc-hash",
- "rustybuzz 0.11.0",
- "self_cell 1.0.2",
+ "rustybuzz",
+ "self_cell 1.0.3",
"swash",
"sys-locale",
+ "ttf-parser",
"unicode-bidi",
"unicode-linebreak",
"unicode-script",
@@ -1083,7 +1258,7 @@ dependencies = [
[[package]]
name = "cosmic-theme"
version = "0.1.0"
-source = "git+https://github.com/pop-os/libcosmic#d53f693a3715fdd79481d75652cbf74286f4f387"
+source = "git+https://github.com/pop-os/libcosmic#676a0906244d9ff41d32821d997df4aefa967bed"
dependencies = [
"almost",
"cosmic-config",
@@ -1096,63 +1271,55 @@ dependencies = [
[[package]]
name = "cpufeatures"
-version = "0.2.11"
+version = "0.2.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ce420fe07aecd3e67c5f910618fe65e94158f6dcc0adf44e00d69ce2bdfe0fd0"
+checksum = "53fe5e26ff1b7aef8bca9c6080520cfb8d9333c7568e1829cef191a9723e5504"
dependencies = [
"libc",
]
[[package]]
name = "crc32fast"
-version = "1.3.2"
+version = "1.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b540bd8bc810d3885c6ea91e2018302f68baba2129ab3e88f32389ee9370880d"
+checksum = "b3855a8a784b474f333699ef2bbca9db2c4a1f6d9088a90a2d25b1eb53111eaa"
dependencies = [
"cfg-if",
]
[[package]]
name = "crossbeam-channel"
-version = "0.5.9"
+version = "0.5.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "14c3242926edf34aec4ac3a77108ad4854bffaa2e4ddc1824124ce59231302d5"
+checksum = "176dc175b78f56c0f321911d9c8eb2b77a78a4860b9c19db83835fea1a46649b"
dependencies = [
- "cfg-if",
"crossbeam-utils",
]
[[package]]
name = "crossbeam-deque"
-version = "0.8.4"
+version = "0.8.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fca89a0e215bab21874660c67903c5f143333cab1da83d041c7ded6053774751"
+checksum = "613f8cc01fe9cf1a3eb3d7f488fd2fa8388403e97039e2f73692932e291a770d"
dependencies = [
- "cfg-if",
"crossbeam-epoch",
"crossbeam-utils",
]
[[package]]
name = "crossbeam-epoch"
-version = "0.9.16"
+version = "0.9.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2d2fe95351b870527a5d09bf563ed3c97c0cffb87cf1c78a591bf48bb218d9aa"
+checksum = "5b82ac4a3c2ca9c3460964f020e1402edd5753411d7737aa39c3714ad1b5420e"
dependencies = [
- "autocfg",
- "cfg-if",
"crossbeam-utils",
- "memoffset 0.9.0",
]
[[package]]
name = "crossbeam-utils"
-version = "0.8.17"
+version = "0.8.19"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c06d96137f14f244c37f989d9fff8f95e6c18b918e71f36638f8c49112e4c78f"
-dependencies = [
- "cfg-if",
-]
+checksum = "248e3bacc7dc6baa3b21e405ee045c3047101a49145e7e9eca583ab4c2ca5345"
[[package]]
name = "crunchy"
@@ -1193,7 +1360,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "30d2b3721e861707777e3195b0158f950ae6dc4a27e4d02ff9f67e3eb3de199e"
dependencies = [
"quote",
- "syn 2.0.41",
+ "syn 2.0.48",
]
[[package]]
@@ -1204,20 +1371,19 @@ checksum = "96a6ac251f4a2aca6b3f91340350eab87ae57c3f127ffeb585e92bd336717991"
[[package]]
name = "d3d12"
-version = "0.7.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e16e44ab292b1dddfdaf7be62cfd8877df52f2f3fde5858d95bab606be259f20"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"libloading 0.8.1",
"winapi",
]
[[package]]
name = "darling"
-version = "0.20.3"
+version = "0.20.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0209d94da627ab5605dcccf08bb18afa5009cfbef48d8a8b7d7bdbc79be25c5e"
+checksum = "fc5d6b04b3fd0ba9926f945895de7d806260a2d7431ba82e7edaecb043c4c6b8"
dependencies = [
"darling_core",
"darling_macro",
@@ -1225,27 +1391,27 @@ dependencies = [
[[package]]
name = "darling_core"
-version = "0.20.3"
+version = "0.20.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "177e3443818124b357d8e76f53be906d60937f0d3a90773a664fa63fa253e621"
+checksum = "04e48a959bcd5c761246f5d090ebc2fbf7b9cd527a492b07a67510c108f1e7e3"
dependencies = [
"fnv",
"ident_case",
"proc-macro2",
"quote",
- "strsim",
- "syn 2.0.41",
+ "strsim 0.10.0",
+ "syn 2.0.48",
]
[[package]]
name = "darling_macro"
-version = "0.20.3"
+version = "0.20.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "836a9bbc7ad63342d6d6e7b815ccab164bc77a2d95d84bc3117a8c0d5c98e2d5"
+checksum = "1d1545d67a2149e1d93b7e5c7752dce5a7426eb5d1357ddcfd89336b94444f77"
dependencies = [
"darling_core",
"quote",
- "syn 2.0.41",
+ "syn 2.0.48",
]
[[package]]
@@ -1287,7 +1453,7 @@ dependencies = [
"darling",
"proc-macro2",
"quote",
- "syn 2.0.41",
+ "syn 2.0.48",
]
[[package]]
@@ -1309,15 +1475,6 @@ dependencies = [
"dirs-sys 0.3.7",
]
-[[package]]
-name = "dirs"
-version = "4.0.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ca3aa72a6f96ea37bbc5aa912f6788242832f75369bdfdadcb0e38423f100059"
-dependencies = [
- "dirs-sys 0.3.7",
-]
-
[[package]]
name = "dirs"
version = "5.0.1"
@@ -1350,6 +1507,12 @@ dependencies = [
"windows-sys 0.48.0",
]
+[[package]]
+name = "dispatch"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bd0c93bb4b0c6d9b77f4435b0ae98c24d17f1c45b2ff844c6151a07256ca923b"
+
[[package]]
name = "displaydoc"
version = "0.2.4"
@@ -1358,7 +1521,7 @@ checksum = "487585f4d0c6655fe74905e2504d8ad6908e4db67f744eb140876906c2f3175d"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.41",
+ "syn 2.0.48",
]
[[package]]
@@ -1372,9 +1535,12 @@ dependencies = [
[[package]]
name = "dlv-list"
-version = "0.3.0"
+version = "0.5.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0688c2a7f92e427f44895cd63841bff7b29f8d7a1648b9e7e07a4a365b2e1257"
+checksum = "442039f5147480ba31067cb00ada1adae6892028e40e45fc5de7b7df6dcc1b5f"
+dependencies = [
+ "const-random",
+]
[[package]]
name = "downcast-rs"
@@ -1384,25 +1550,25 @@ checksum = "9ea835d29036a4087793836fa931b08837ad5e957da9e23886b29586fb9b6650"
[[package]]
name = "drm"
-version = "0.10.0"
+version = "0.11.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "97fb1b703ffbc7ebd216eba7900008049a56ace55580ecb2ee7fa801e8d8be87"
+checksum = "a0f8a69e60d75ae7dab4ef26a59ca99f2a89d4c142089b537775ae0c198bdcde"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"bytemuck",
"drm-ffi",
"drm-fourcc",
- "nix 0.27.1",
+ "rustix 0.38.31",
]
[[package]]
name = "drm-ffi"
-version = "0.6.0"
+version = "0.7.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ba7d1c19c4b6270e89d59fb27dc6d02a317c658a8a54e54781e1db9b5947595d"
+checksum = "41334f8405792483e32ad05fbb9c5680ff4e84491883d2947a4757dc54cb2ac6"
dependencies = [
"drm-sys",
- "nix 0.27.1",
+ "rustix 0.38.31",
]
[[package]]
@@ -1413,15 +1579,19 @@ checksum = "0aafbcdb8afc29c1a7ee5fbe53b5d62f4565b35a042a662ca9fecd0b54dae6f4"
[[package]]
name = "drm-sys"
-version = "0.5.0"
+version = "0.6.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3a4f1c0468062a56cd5705f1e3b5409eb286d5596a2028ec8e947595d7e715ae"
+checksum = "2d09ff881f92f118b11105ba5e34ff8f4adf27b30dae8f12e28c193af1c83176"
+dependencies = [
+ "libc",
+ "linux-raw-sys 0.6.4",
+]
[[package]]
name = "either"
-version = "1.9.0"
+version = "1.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a26ae43d7bcc3b814de94796a5e736d4029efb0ee900c12e2d54c993ad1a1e07"
+checksum = "11157ac094ffbdde99aa67b23417ebdd801842852b500e395a45a9c0aac03e4a"
[[package]]
name = "enum-repr"
@@ -1436,9 +1606,9 @@ dependencies = [
[[package]]
name = "enumflags2"
-version = "0.7.8"
+version = "0.7.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5998b4f30320c9d93aed72f63af821bfdac50465b75428fce77b48ec482c3939"
+checksum = "3278c9d5fb675e0a51dabcf4c0d355f692b064171535ba72361be1528a9d8e8d"
dependencies = [
"enumflags2_derive",
"serde",
@@ -1446,13 +1616,13 @@ dependencies = [
[[package]]
name = "enumflags2_derive"
-version = "0.7.8"
+version = "0.7.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f95e2801cd355d4a1a3e3953ce6ee5ae9603a5c833455343a8bfe3f44d418246"
+checksum = "5c785274071b1b420972453b306eeca06acf4633829db4223b58a2a8c5953bc4"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.41",
+ "syn 2.0.48",
]
[[package]]
@@ -1509,9 +1679,20 @@ dependencies = [
[[package]]
name = "event-listener"
-version = "4.0.0"
+version = "4.0.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "770d968249b5d99410d61f5bf89057f3199a077a04d087092f58e7d10692baae"
+checksum = "67b215c49b2b248c855fb73579eb1f4f26c38ffdc12973e20e07b91d78d5646e"
+dependencies = [
+ "concurrent-queue",
+ "parking",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "event-listener"
+version = "5.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b72557800024fabbaa2449dd4bf24e37b93702d457a4d4f2b0dd1f0f039f20c1"
dependencies = [
"concurrent-queue",
"parking",
@@ -1524,18 +1705,28 @@ version = "0.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "958e4d70b6d5e81971bebec42271ec641e7ff4e170a6fa605f2b8a8b65cb97d3"
dependencies = [
- "event-listener 4.0.0",
+ "event-listener 4.0.3",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "event-listener-strategy"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "feedafcaa9b749175d5ac357452a9d41ea2911da598fde46ce1fe02c37751291"
+dependencies = [
+ "event-listener 5.0.0",
"pin-project-lite",
]
[[package]]
name = "exr"
-version = "1.6.4"
+version = "1.72.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "279d3efcc55e19917fff7ab3ddd6c14afb6a90881a0078465196fe2f99d08c56"
+checksum = "887d93f60543e9a9362ef8a21beedd0a833c5d9610e18c67abe15a5963dcb1a4"
dependencies = [
"bit_field",
- "flume 0.10.14",
+ "flume",
"half",
"lebe",
"miniz_oxide",
@@ -1546,9 +1737,9 @@ dependencies = [
[[package]]
name = "eyre"
-version = "0.6.11"
+version = "0.6.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b6267a1fa6f59179ea4afc8e50fd8612a3cc60bc858f786ff877a4a8cb042799"
+checksum = "7cd915d99f24784cdc19fd37ef22b97e3ff0ae756c7e492e9fbfe897d61e2aec"
dependencies = [
"indenter",
"once_cell",
@@ -1577,9 +1768,9 @@ checksum = "25cbce373ec4653f1a01a31e8a5e5ec0c622dc27ff9c4e6606eefef5cbbed4a5"
[[package]]
name = "fdeflate"
-version = "0.3.1"
+version = "0.3.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "64d6dafc854908ff5da46ff3f8f473c6984119a2876a383a860246dd7841a868"
+checksum = "4f9bfee30e4dedf0ab8b422f03af778d9612b63f502710fc500a334ebe2de645"
dependencies = [
"simd-adler32",
]
@@ -1674,28 +1865,12 @@ dependencies = [
"thiserror",
]
-[[package]]
-name = "flume"
-version = "0.10.14"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1657b4441c3403d9f7b3409e47575237dac27b1b5726df654a6ecbf92f0f7577"
-dependencies = [
- "futures-core",
- "futures-sink",
- "nanorand",
- "pin-project",
- "spin",
-]
-
[[package]]
name = "flume"
version = "0.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "55ac459de2512911e4b674ce33cf20befaba382d05b62b008afc1c8b57cbf181"
dependencies = [
- "futures-core",
- "futures-sink",
- "nanorand",
"spin",
]
@@ -1706,26 +1881,32 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "3f9eec918d3f24069decb9af1554cad7c880e2da24a9afd88aca000531ab82c1"
[[package]]
-name = "fontconfig-parser"
-version = "0.5.3"
+name = "font-types"
+version = "0.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "674e258f4b5d2dcd63888c01c68413c51f565e8af99d2f7701c7b81d79ef41c4"
+checksum = "0bd7f3ea17572640b606b35df42cfb6ecdf003704b062580e59918692190b73d"
+
+[[package]]
+name = "fontconfig-parser"
+version = "0.5.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6a595cb550439a117696039dfc69830492058211b771a2a165379f2a1a53d84d"
dependencies = [
"roxmltree",
]
[[package]]
name = "fontdb"
-version = "0.15.0"
+version = "0.16.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "020e203f177c0fb250fb19455a252e838d2bbbce1f80f25ecc42402aafa8cd38"
+checksum = "3890d0893c8253d3eb98337af18b3e1a10a9b2958f2a164b53a93fb3a3049e72"
dependencies = [
"fontconfig-parser",
"log",
- "memmap2 0.8.0",
+ "memmap2 0.9.4",
"slotmap",
"tinyvec",
- "ttf-parser 0.19.2",
+ "ttf-parser",
]
[[package]]
@@ -1746,7 +1927,7 @@ checksum = "1a5c6c585bc94aaf2c7b51dd4c2ba22680844aba4c687be581871a6f518c5742"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.41",
+ "syn 2.0.48",
]
[[package]]
@@ -1789,11 +1970,11 @@ dependencies = [
[[package]]
name = "freedesktop-icons"
-version = "0.2.4"
+version = "0.2.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3f9d46a9ae065c46efb83854bb10315de6d333bb6f4526ebe320c004dab7857e"
+checksum = "a8ef34245e0540c9a3ce7a28340b98d2c12b75da0d446da4e8224923fcaa0c16"
dependencies = [
- "dirs 4.0.0",
+ "dirs 5.0.1",
"once_cell",
"rust-ini",
"thiserror",
@@ -1810,10 +1991,16 @@ dependencies = [
]
[[package]]
-name = "futures"
-version = "0.3.29"
+name = "funty"
+version = "2.0.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "da0290714b38af9b4a7b094b8a37086d1b4e61f2df9122c3cad2577669145335"
+checksum = "e6d5a32815ae3f33302d95fdcb2ce17862f8c65363dcfd29360480ba1001fc9c"
+
+[[package]]
+name = "futures"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "645c6916888f6cb6350d2550b80fb63e734897a8498abe35cfb732b6487804b0"
dependencies = [
"futures-channel",
"futures-core",
@@ -1826,9 +2013,9 @@ dependencies = [
[[package]]
name = "futures-channel"
-version = "0.3.29"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ff4dd66668b557604244583e3e1e1eada8c5c2e96a6d0d6653ede395b78bbacb"
+checksum = "eac8f7d7865dcb88bd4373ab671c8cf4508703796caa2b1985a9ca867b3fcb78"
dependencies = [
"futures-core",
"futures-sink",
@@ -1836,15 +2023,15 @@ dependencies = [
[[package]]
name = "futures-core"
-version = "0.3.29"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "eb1d22c66e66d9d72e1758f0bd7d4fd0bee04cad842ee34587d68c07e45d088c"
+checksum = "dfc6580bb841c5a68e9ef15c77ccc837b40a7504914d52e47b8b0e9bbda25a1d"
[[package]]
name = "futures-executor"
-version = "0.3.29"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0f4fb8693db0cf099eadcca0efe2a5a22e4550f98ed16aba6c48700da29597bc"
+checksum = "a576fc72ae164fca6b9db127eaa9a9dda0d61316034f33a0a0d4eda41f02b01d"
dependencies = [
"futures-core",
"futures-task",
@@ -1854,9 +2041,9 @@ dependencies = [
[[package]]
name = "futures-io"
-version = "0.3.29"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8bf34a163b5c4c52d0478a4d757da8fb65cabef42ba90515efee0f6f9fa45aaa"
+checksum = "a44623e20b9681a318efdd71c299b6b222ed6f231972bfe2f224ebad6311f0c1"
[[package]]
name = "futures-lite"
@@ -1875,9 +2062,9 @@ dependencies = [
[[package]]
name = "futures-lite"
-version = "2.1.0"
+version = "2.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "aeee267a1883f7ebef3700f262d2d54de95dfaf38189015a74fdc4e0c7ad8143"
+checksum = "445ba825b27408685aaecefd65178908c36c6e96aaf6d8599419d46e624192ba"
dependencies = [
"fastrand 2.0.1",
"futures-core",
@@ -1888,32 +2075,32 @@ dependencies = [
[[package]]
name = "futures-macro"
-version = "0.3.29"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "53b153fd91e4b0147f4aced87be237c98248656bb01050b96bf3ee89220a8ddb"
+checksum = "87750cf4b7a4c0625b1529e4c543c2182106e4dedc60a2a6455e00d212c489ac"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.41",
+ "syn 2.0.48",
]
[[package]]
name = "futures-sink"
-version = "0.3.29"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e36d3378ee38c2a36ad710c5d30c2911d752cb941c00c72dbabfb786a7970817"
+checksum = "9fb8e00e87438d937621c1c6269e53f536c14d3fbd6a042bb24879e57d474fb5"
[[package]]
name = "futures-task"
-version = "0.3.29"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "efd193069b0ddadc69c46389b740bbccdd97203899b48d09c5f7969591d6bae2"
+checksum = "38d84fa142264698cdce1a9f9172cf383a0c82de1bddcf3092901442c4097004"
[[package]]
name = "futures-util"
-version = "0.3.29"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a19526d624e703a3179b3d322efec918b6246ea0fa51d41124525f00f1cc8104"
+checksum = "3d6401deb83407ab3da39eba7e33987a73c3df0c82b4bb5813ee871c19c41d48"
dependencies = [
"futures-channel",
"futures-core",
@@ -1927,6 +2114,36 @@ dependencies = [
"slab",
]
+[[package]]
+name = "gdk-pixbuf-sys"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3f9839ea644ed9c97a34d129ad56d38a25e6756f99f3a88e15cd39c20629caf7"
+dependencies = [
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps",
+]
+
+[[package]]
+name = "gdk-sys"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "31ff856cb3386dae1703a920f803abafcc580e9b5f711ca62ed1620c25b51ff2"
+dependencies = [
+ "cairo-sys-rs",
+ "gdk-pixbuf-sys",
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "pango-sys",
+ "pkg-config",
+ "system-deps",
+]
+
[[package]]
name = "generator"
version = "0.7.5"
@@ -1952,25 +2169,23 @@ dependencies = [
[[package]]
name = "gethostname"
-version = "0.3.0"
+version = "0.4.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bb65d4ba3173c56a500b555b532f72c42e8d1fe64962b518897f8959fae2c177"
+checksum = "0176e0459c2e4a1fe232f984bca6890e681076abb9934f6cea7c326f3fc47818"
dependencies = [
"libc",
- "winapi",
+ "windows-targets 0.48.5",
]
[[package]]
name = "getrandom"
-version = "0.2.11"
+version = "0.2.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fe9006bed769170c11f845cf00c7c1e9092aeb3f268e007c3e760ac68008070f"
+checksum = "190092ea657667030ac6a35e305e62fc4dd69fd98ac98631e5d3a2b1575a12b5"
dependencies = [
"cfg-if",
- "js-sys",
"libc",
"wasi",
- "wasm-bindgen",
]
[[package]]
@@ -2009,6 +2224,19 @@ version = "0.28.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "4271d37baee1b8c7e4b708028c57d816cf9d2434acb33a549475f78c181f6253"
+[[package]]
+name = "gio-sys"
+version = "0.18.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "37566df850baf5e4cb0dfb78af2e4b9898d817ed9263d1090a2df958c64737d2"
+dependencies = [
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps",
+ "winapi",
+]
+
[[package]]
name = "gl_generator"
version = "0.14.0"
@@ -2027,10 +2255,20 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "b5418c17512bdf42730f9032c74e1ae39afc408745ebb2acf72fbc4691c17945"
[[package]]
-name = "glow"
-version = "0.13.0"
+name = "glib-sys"
+version = "0.18.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "886c2a30b160c4c6fec8f987430c26b526b7988ca71f664e6a699ddf6f9601e4"
+checksum = "063ce2eb6a8d0ea93d2bf8ba1957e78dbab6be1c2220dd3daca57d5a9d869898"
+dependencies = [
+ "libc",
+ "system-deps",
+]
+
+[[package]]
+name = "glow"
+version = "0.13.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bd348e04c43b32574f2de31c8bb397d96c9fcfa1371bd4ca6d8bdc464ab121b1"
dependencies = [
"js-sys",
"slotmap",
@@ -2049,8 +2287,8 @@ dependencies = [
[[package]]
name = "glyphon"
-version = "0.3.0"
-source = "git+https://github.com/grovesNL/glyphon.git?rev=2caa9fc5e5923c1d827d177c3619cab7e9885b85#2caa9fc5e5923c1d827d177c3619cab7e9885b85"
+version = "0.5.0"
+source = "git+https://github.com/pop-os/glyphon.git?tag=v0.5.0#1b0646ff8f74da92d3be704dfc2257d7f4d7eed8"
dependencies = [
"cosmic-text",
"etagere",
@@ -2058,13 +2296,24 @@ dependencies = [
"wgpu",
]
+[[package]]
+name = "gobject-sys"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0850127b514d1c4a4654ead6dedadb18198999985908e6ffe4436f53c785ce44"
+dependencies = [
+ "glib-sys",
+ "libc",
+ "system-deps",
+]
+
[[package]]
name = "gpu-alloc"
version = "0.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "fbcd2dba93594b227a1f57ee09b8b9da8892c34d55aa332e034a228d0fe6a171"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"gpu-alloc-types",
]
@@ -2074,21 +2323,20 @@ version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "98ff03b468aa837d70984d55f5d3f846f6ec31fe34bbb97c4f85219caeee1ca4"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
]
[[package]]
name = "gpu-allocator"
-version = "0.23.0"
+version = "0.25.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "40fe17c8a05d60c38c0a4e5a3c802f2f1ceb66b76c67d96ffb34bef0475a7fad"
+checksum = "6f56f6318968d03c18e1bcf4857ff88c61157e9da8e47c5f29055d60e1228884"
dependencies = [
- "backtrace",
"log",
"presser",
"thiserror",
"winapi",
- "windows 0.51.1",
+ "windows 0.52.0",
]
[[package]]
@@ -2097,7 +2345,7 @@ version = "0.2.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "cc11df1ace8e7e564511f53af41f3e42ddc95b56fd07b3f4445d2a6048bc682c"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"gpu-descriptor-types",
"hashbrown 0.14.3",
]
@@ -2108,7 +2356,7 @@ version = "0.1.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "6bf0b36e6f090b7e1d8a4b49c0cb81c1f8376f72198c65dd3ad9ff3556b8b78c"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
]
[[package]]
@@ -2117,6 +2365,24 @@ version = "0.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "1df00eed8d1f0db937f6be10e46e8072b0671accb504cf0f959c5c52c679f5b9"
+[[package]]
+name = "gtk-sys"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "771437bf1de2c1c0b496c11505bdf748e26066bbe942dfc8f614c9460f6d7722"
+dependencies = [
+ "atk-sys",
+ "cairo-sys-rs",
+ "gdk-pixbuf-sys",
+ "gdk-sys",
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "pango-sys",
+ "system-deps",
+]
+
[[package]]
name = "guillotiere"
version = "0.6.2"
@@ -2143,7 +2409,7 @@ version = "0.12.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "8a9ee70c43aaf417c914396645a0fa852624801b24ebb7ae78fe8272889ac888"
dependencies = [
- "ahash 0.7.7",
+ "ahash 0.7.8",
]
[[package]]
@@ -2152,20 +2418,20 @@ version = "0.14.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "290f1a1d9242c78d09ce40a5e87e7554ee637af1351968159f4952f028f75604"
dependencies = [
- "ahash 0.8.6",
+ "ahash 0.8.8",
"allocator-api2",
]
[[package]]
name = "hassle-rs"
-version = "0.10.0"
+version = "0.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1397650ee315e8891a0df210707f0fc61771b0cc518c3023896064c5407cb3b0"
+checksum = "af2a7e73e1f34c48da31fb668a907f250794837e08faa144fd24f0b8b741e890"
dependencies = [
- "bitflags 1.3.2",
- "com-rs",
+ "bitflags 2.4.2",
+ "com",
"libc",
- "libloading 0.7.4",
+ "libloading 0.8.1",
"thiserror",
"widestring",
"winapi",
@@ -2179,9 +2445,9 @@ checksum = "95505c38b4572b2d910cecb0281560f54b440a19336cbbcb27bf6ce6adc6f5a8"
[[package]]
name = "hermit-abi"
-version = "0.3.3"
+version = "0.3.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d77f7ec81a6d05a3abb01ab6eb7590f6083d08449fe5a1c8b1e620283546ccb7"
+checksum = "bd5256b483761cd23699d0da46cc6fd2ee3be420bbe6d020ae4a091e70b7e9fd"
[[package]]
name = "hex"
@@ -2205,15 +2471,15 @@ dependencies = [
"serde",
"serde_derive",
"thiserror",
- "toml 0.8.8",
+ "toml 0.8.10",
"unic-langid",
]
[[package]]
name = "i18n-embed"
-version = "0.13.9"
+version = "0.14.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "92a86226a7a16632de6723449ee5fe70bac5af718bc642ee9ca2f0f6e14fa1fa"
+checksum = "94205d95764f5bb9db9ea98fa77f89653365ca748e27161f5bbea2ffd50e459c"
dependencies = [
"arc-swap",
"fluent",
@@ -2233,9 +2499,9 @@ dependencies = [
[[package]]
name = "i18n-embed-fl"
-version = "0.6.7"
+version = "0.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d26a3d3569737dfaac7fc1c4078e6af07471c3060b8e570bcd83cdd5f4685395"
+checksum = "9fc1f8715195dffc4caddcf1cf3128da15fe5d8a137606ea8856c9300047d5a2"
dependencies = [
"dashmap",
"find-crate",
@@ -2247,8 +2513,8 @@ dependencies = [
"proc-macro-error",
"proc-macro2",
"quote",
- "strsim",
- "syn 2.0.41",
+ "strsim 0.10.0",
+ "syn 2.0.48",
"unic-langid",
]
@@ -2262,13 +2528,13 @@ dependencies = [
"i18n-config",
"proc-macro2",
"quote",
- "syn 2.0.41",
+ "syn 2.0.48",
]
[[package]]
name = "iced"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic#d53f693a3715fdd79481d75652cbf74286f4f387"
+source = "git+https://github.com/pop-os/libcosmic#676a0906244d9ff41d32821d997df4aefa967bed"
dependencies = [
"iced_accessibility",
"iced_core",
@@ -2283,7 +2549,7 @@ dependencies = [
[[package]]
name = "iced_accessibility"
version = "0.1.0"
-source = "git+https://github.com/pop-os/libcosmic#d53f693a3715fdd79481d75652cbf74286f4f387"
+source = "git+https://github.com/pop-os/libcosmic#676a0906244d9ff41d32821d997df4aefa967bed"
dependencies = [
"accesskit",
"accesskit_unix",
@@ -2292,25 +2558,26 @@ dependencies = [
[[package]]
name = "iced_core"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic#d53f693a3715fdd79481d75652cbf74286f4f387"
+source = "git+https://github.com/pop-os/libcosmic#676a0906244d9ff41d32821d997df4aefa967bed"
dependencies = [
"bitflags 1.3.2",
"iced_accessibility",
- "instant",
"log",
"num-traits",
"palette",
- "raw-window-handle",
+ "raw-window-handle 0.6.0",
"serde",
"smithay-client-toolkit 0.18.0",
+ "smol_str",
"thiserror",
+ "web-time",
"xxhash-rust",
]
[[package]]
name = "iced_futures"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic#d53f693a3715fdd79481d75652cbf74286f4f387"
+source = "git+https://github.com/pop-os/libcosmic#676a0906244d9ff41d32821d997df4aefa967bed"
dependencies = [
"futures",
"iced_core",
@@ -2323,7 +2590,7 @@ dependencies = [
[[package]]
name = "iced_graphics"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic#d53f693a3715fdd79481d75652cbf74286f4f387"
+source = "git+https://github.com/pop-os/libcosmic#676a0906244d9ff41d32821d997df4aefa967bed"
dependencies = [
"bitflags 1.3.2",
"bytemuck",
@@ -2331,12 +2598,13 @@ dependencies = [
"glam",
"half",
"iced_core",
+ "iced_futures",
"image",
"kamadak-exif",
"log",
"lyon_path",
"once_cell",
- "raw-window-handle",
+ "raw-window-handle 0.6.0",
"rustc-hash",
"thiserror",
"unicode-segmentation",
@@ -2346,20 +2614,19 @@ dependencies = [
[[package]]
name = "iced_renderer"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic#d53f693a3715fdd79481d75652cbf74286f4f387"
+source = "git+https://github.com/pop-os/libcosmic#676a0906244d9ff41d32821d997df4aefa967bed"
dependencies = [
"iced_graphics",
"iced_tiny_skia",
"iced_wgpu",
"log",
- "raw-window-handle",
"thiserror",
]
[[package]]
name = "iced_runtime"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic#d53f693a3715fdd79481d75652cbf74286f4f387"
+source = "git+https://github.com/pop-os/libcosmic#676a0906244d9ff41d32821d997df4aefa967bed"
dependencies = [
"iced_accessibility",
"iced_core",
@@ -2371,7 +2638,7 @@ dependencies = [
[[package]]
name = "iced_sctk"
version = "0.1.0"
-source = "git+https://github.com/pop-os/libcosmic#d53f693a3715fdd79481d75652cbf74286f4f387"
+source = "git+https://github.com/pop-os/libcosmic#676a0906244d9ff41d32821d997df4aefa967bed"
dependencies = [
"enum-repr",
"float-cmp",
@@ -2380,22 +2647,24 @@ dependencies = [
"iced_graphics",
"iced_runtime",
"iced_style",
- "itertools 0.10.5",
+ "itertools",
"lazy_static",
- "raw-window-handle",
+ "raw-window-handle 0.6.0",
"smithay-client-toolkit 0.18.0",
"smithay-clipboard",
"thiserror",
"tracing",
- "wayland-backend 0.3.2",
- "wayland-protocols 0.31.0",
+ "wayland-backend 0.3.3",
+ "wayland-protocols 0.31.2",
+ "xkbcommon",
+ "xkbcommon-dl",
"xkeysym",
]
[[package]]
name = "iced_style"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic#d53f693a3715fdd79481d75652cbf74286f4f387"
+source = "git+https://github.com/pop-os/libcosmic#676a0906244d9ff41d32821d997df4aefa967bed"
dependencies = [
"iced_core",
"once_cell",
@@ -2405,14 +2674,13 @@ dependencies = [
[[package]]
name = "iced_tiny_skia"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic#d53f693a3715fdd79481d75652cbf74286f4f387"
+source = "git+https://github.com/pop-os/libcosmic#676a0906244d9ff41d32821d997df4aefa967bed"
dependencies = [
"bytemuck",
"cosmic-text",
"iced_graphics",
"kurbo",
"log",
- "raw-window-handle",
"resvg",
"rustc-hash",
"softbuffer",
@@ -2423,7 +2691,7 @@ dependencies = [
[[package]]
name = "iced_wgpu"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic#d53f693a3715fdd79481d75652cbf74286f4f387"
+source = "git+https://github.com/pop-os/libcosmic#676a0906244d9ff41d32821d997df4aefa967bed"
dependencies = [
"bitflags 1.3.2",
"bytemuck",
@@ -2435,7 +2703,6 @@ dependencies = [
"log",
"lyon",
"once_cell",
- "raw-window-handle",
"resvg",
"wgpu",
]
@@ -2443,7 +2710,7 @@ dependencies = [
[[package]]
name = "iced_widget"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic#d53f693a3715fdd79481d75652cbf74286f4f387"
+source = "git+https://github.com/pop-os/libcosmic#676a0906244d9ff41d32821d997df4aefa967bed"
dependencies = [
"iced_renderer",
"iced_runtime",
@@ -2536,7 +2803,7 @@ checksum = "d2abdd3a62551e8337af119c5899e600ca0c88ec8f23a46c60ba216c803dcf1a"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.41",
+ "syn 2.0.48",
]
[[package]]
@@ -2579,9 +2846,9 @@ dependencies = [
[[package]]
name = "image"
-version = "0.24.7"
+version = "0.24.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6f3dfdbdd72063086ff443e297b61695500514b1e41095b6fb9a5ab48a70a711"
+checksum = "034bbe799d1909622a74d1193aa50147769440040ff36cb2baa947609b0a4e23"
dependencies = [
"bytemuck",
"byteorder",
@@ -2589,7 +2856,6 @@ dependencies = [
"exr",
"gif",
"jpeg-decoder",
- "num-rational",
"num-traits",
"png",
"qoi",
@@ -2610,9 +2876,9 @@ checksum = "ce23b50ad8242c51a442f3ff322d56b02f08852c77e4c0b4d3fd684abc89c683"
[[package]]
name = "indexmap"
-version = "2.1.0"
+version = "2.2.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d530e1a18b1cb4c484e6e34556a0d948706958449fca0cab753d649f2bce3d1f"
+checksum = "233cf39063f058ea2caae4091bf4a3ef70a653afbc026f5c4a4135d114e3c177"
dependencies = [
"equivalent",
"hashbrown 0.14.3",
@@ -2649,11 +2915,11 @@ dependencies = [
[[package]]
name = "input"
-version = "0.8.3"
+version = "0.9.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e6e74cd82cedcd66db78742a8337bdc48f188c4d2c12742cbc5cd85113f0b059"
+checksum = "7911ce3db9c10c5ab4a35c49af778a5f9a827bd0f7371d9be56175d8dd2740d0"
dependencies = [
- "bitflags 1.3.2",
+ "bitflags 2.4.2",
"input-sys",
"io-lifetimes 1.0.11",
"libc",
@@ -2663,12 +2929,9 @@ dependencies = [
[[package]]
name = "input-sys"
-version = "1.17.0"
+version = "1.18.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "05f6c2a17e8aba7217660e32863af87b0febad811d4b8620ef76b386603fddc2"
-dependencies = [
- "libc",
-]
+checksum = "bd4f5b4d1c00331c5245163aacfe5f20be75b564c7112d45893d4ae038119eb0"
[[package]]
name = "instant"
@@ -2717,36 +2980,33 @@ checksum = "5a611371471e98973dbcab4e0ec66c31a10bc356eeb4d54a0e05eac8158fe38c"
[[package]]
name = "itertools"
-version = "0.10.5"
+version = "0.12.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b0fd2260e829bddf4cb6ea802289de2f86d6a7a690192fbe91b3f46e0f2c8473"
+checksum = "ba291022dbbd398a455acf126c1e341954079855bc60dfdda641363bd6922569"
dependencies = [
"either",
]
[[package]]
-name = "itertools"
-version = "0.11.0"
+name = "itoa"
+version = "1.0.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b1c173a5686ce8bfa551b3563d0c2170bf24ca44da99c7ca4bfdab5418c3fe57"
-dependencies = [
- "either",
-]
+checksum = "b1a46d1a171d865aa5f83f92695765caa047a9b4cbae2cbf37dbd613a793fd4c"
[[package]]
name = "jpeg-decoder"
-version = "0.3.0"
+version = "0.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bc0000e42512c92e31c2252315bda326620a4e034105e900c98ec492fa077b3e"
+checksum = "f5d4a7da358eff58addd2877a45865158f0d78c911d43a5784ceb7bbf52833b0"
dependencies = [
"rayon",
]
[[package]]
name = "js-sys"
-version = "0.3.66"
+version = "0.3.68"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cee9c64da59eae3b50095c18d3e74f8b73c0b86d2792824ff01bbce68ba229ca"
+checksum = "406cda4b368d531c842222cf9d2600a9a4acce8d29423695379c6868a143a9ee"
dependencies = [
"wasm-bindgen",
]
@@ -2760,6 +3020,17 @@ dependencies = [
"mutate_once",
]
+[[package]]
+name = "kdl"
+version = "4.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "062c875482ccb676fd40c804a40e3824d4464c18c364547456d1c8e8e951ae47"
+dependencies = [
+ "miette",
+ "nom",
+ "thiserror",
+]
+
[[package]]
name = "khronos-egl"
version = "6.0.0"
@@ -2777,6 +3048,15 @@ version = "3.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "e2db585e1d738fc771bf08a151420d3ed193d9d895a36df7f6f8a9456b911ddc"
+[[package]]
+name = "known-folders"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4397c789f2709d23cfcb703b316e0766a8d4b17db2d47b0ab096ef6047cae1d8"
+dependencies = [
+ "windows-sys 0.52.0",
+]
+
[[package]]
name = "kqueue"
version = "1.0.8"
@@ -2820,14 +3100,14 @@ checksum = "03087c2bad5e1034e8cace5926dec053fb3790248370865f5117a7d0213354c8"
[[package]]
name = "libc"
-version = "0.2.151"
+version = "0.2.153"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "302d7ab3130588088d277783b1e2d2e10c9e9e4a16dd9050e6ec93fb3e7048f4"
+checksum = "9c198f91728a82281a64e1f4f9eeb25d82cb32a5de251c6bd1b5154d63a8e7bd"
[[package]]
name = "libcosmic"
version = "0.1.0"
-source = "git+https://github.com/pop-os/libcosmic#d53f693a3715fdd79481d75652cbf74286f4f387"
+source = "git+https://github.com/pop-os/libcosmic#676a0906244d9ff41d32821d997df4aefa967bed"
dependencies = [
"apply",
"ashpd",
@@ -2846,9 +3126,11 @@ dependencies = [
"iced_sctk",
"iced_style",
"iced_tiny_skia",
+ "iced_wgpu",
"iced_widget",
"lazy_static",
"palette",
+ "rfd",
"ron",
"serde",
"slotmap",
@@ -2893,7 +3175,7 @@ version = "0.0.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "85c833ca1e66078851dba29046874e38f08b2c883700aa29a03ddd3b23814ee8"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"libc",
"redox_syscall 0.4.1",
]
@@ -2916,9 +3198,15 @@ checksum = "ef53942eb7bf7ff43a617b3e2c1c4a5ecf5944a7c1bc12d7ee39bbb15e5c1519"
[[package]]
name = "linux-raw-sys"
-version = "0.4.12"
+version = "0.4.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c4cd1a83af159aa67994778be9070f0ae1bd732942279cabb14f86f986a21456"
+checksum = "01cda141df6706de531b6c46c3a33ecca755538219bd484262fa09410c13539c"
+
+[[package]]
+name = "linux-raw-sys"
+version = "0.6.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f0b5399f6804fbab912acbd8878ed3532d506b7c951b8f9f164ef90fef39e3f4"
[[package]]
name = "litemap"
@@ -2957,9 +3245,9 @@ checksum = "b5e6163cb8c49088c2c36f57875e58ccd8c87c7427f7fbd50ea6710b2f3f2e8f"
[[package]]
name = "lru"
-version = "0.11.1"
+version = "0.12.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a4a83fb7698b3643a0e34f9ae6f2e8f0178c0fd42f8b59d493aa271ff3a5bf21"
+checksum = "db2c024b41519440580066ba82aab04092b333e09066a5eb86c7c4890df31f22"
dependencies = [
"hashbrown 0.14.3",
]
@@ -2986,9 +3274,9 @@ dependencies = [
[[package]]
name = "lyon_geom"
-version = "1.0.4"
+version = "1.0.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "74df1ff0a0147282eb10699537a03baa7d31972b58984a1d44ce0624043fe8ad"
+checksum = "edecfb8d234a2b0be031ab02ebcdd9f3b9ee418fb35e265f7a540a48d197bff9"
dependencies = [
"arrayvec",
"euclid",
@@ -3007,13 +3295,13 @@ dependencies = [
[[package]]
name = "lyon_tessellation"
-version = "1.0.12"
+version = "1.0.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1f5bcf02928361d18e6edb8ad3bc5b93cba8aa57e2508deb072c2d2ade8bbd0d"
+checksum = "8c7c67b5bc8123b352b2e7e742b47d1f236a13fe77619433be9568fbd888e9c0"
dependencies = [
"float_next_after",
"lyon_path",
- "thiserror",
+ "num-traits",
]
[[package]]
@@ -3027,9 +3315,9 @@ dependencies = [
[[package]]
name = "memchr"
-version = "2.6.4"
+version = "2.7.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f665ee40bc4a3c5590afb1e9677db74a508659dfd71e126420da8274909a0167"
+checksum = "523dc4f511e55ab87b694dc30d0f820d60906ef06413f93d4d7a1385599cc149"
[[package]]
name = "memmap2"
@@ -3051,9 +3339,9 @@ dependencies = [
[[package]]
name = "memmap2"
-version = "0.9.0"
+version = "0.9.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "deaba38d7abf1d4cca21cc89e932e542ba2b9258664d2a9ef0e61512039c9375"
+checksum = "fe751422e4a8caa417e13c3ea66452215d7d63e19e604f4980461212f3ae1322"
dependencies = [
"libc",
]
@@ -3091,7 +3379,7 @@ version = "0.27.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "c43f73953f8cbe511f021b58f18c3ce1c3d1ae13fe953293e13345bf83217f25"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"block",
"core-graphics-types",
"foreign-types",
@@ -3101,10 +3389,39 @@ dependencies = [
]
[[package]]
-name = "miniz_oxide"
-version = "0.7.1"
+name = "miette"
+version = "5.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e7810e0be55b428ada41041c41f32c9f1a42817901b4ccf45fa3d4b6561e74c7"
+checksum = "59bb584eaeeab6bd0226ccf3509a69d7936d148cf3d036ad350abe35e8c6856e"
+dependencies = [
+ "miette-derive",
+ "once_cell",
+ "thiserror",
+ "unicode-width",
+]
+
+[[package]]
+name = "miette-derive"
+version = "5.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "49e7bc1560b95a3c4a25d03de42fe76ca718ab92d1a22a55b9b4cf67b3ae635c"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "minimal-lexical"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "68354c5c6bd36d73ff3feceb05efa59b6acb7626617f4962be322a825e61f79a"
+
+[[package]]
+name = "miniz_oxide"
+version = "0.7.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9d811f3e15f28568be3407c8e7fdb6514c1cda3cb30683f15b6a1a1dc4ea14a7"
dependencies = [
"adler",
"simd-adler32",
@@ -3130,12 +3447,12 @@ checksum = "16cf681a23b4d0a43fc35024c176437f9dcd818db34e0f42ab456a0ee5ad497b"
[[package]]
name = "naga"
-version = "0.14.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ae585df4b6514cf8842ac0f1ab4992edc975892704835b549cf818dc0191249e"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
dependencies = [
+ "arrayvec",
"bit-set",
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"codespan-reporting",
"hexf-parse",
"indexmap",
@@ -3148,15 +3465,6 @@ dependencies = [
"unicode-xid",
]
-[[package]]
-name = "nanorand"
-version = "0.7.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6a51313c5820b0b02bd422f4b44776fbf47961755c74ce64afc73bfad10226c3"
-dependencies = [
- "getrandom",
-]
-
[[package]]
name = "nix"
version = "0.24.3"
@@ -3182,14 +3490,13 @@ dependencies = [
]
[[package]]
-name = "nix"
-version = "0.27.1"
+name = "nom"
+version = "7.1.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2eb04e9c688eff1c89d72b407f168cf79bb9e867a9d3323ed6c01519eb9cc053"
+checksum = "d273983c5a657a70a3e8f2a01329822f3b8c8172b73826411a55751e404a0a4a"
dependencies = [
- "bitflags 2.4.1",
- "cfg-if",
- "libc",
+ "memchr",
+ "minimal-lexical",
]
[[package]]
@@ -3198,7 +3505,7 @@ version = "6.1.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "6205bd8bb1e454ad2e27422015fb5e4f2bcc7e08fa8f27058670d208324a4d2d"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"crossbeam-channel",
"filetime",
"fsevent-sys",
@@ -3257,28 +3564,27 @@ dependencies = [
[[package]]
name = "num-complex"
-version = "0.4.4"
+version = "0.4.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ba157ca0885411de85d6ca030ba7e2a83a28636056c7c699b07c8b6f7383214"
+checksum = "23c6602fda94a57c990fe0df199a035d83576b496aa29f4e634a8ac6004e68a6"
dependencies = [
"num-traits",
]
[[package]]
name = "num-integer"
-version = "0.1.45"
+version = "0.1.46"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "225d3389fb3509a24c93f5c29eb6bde2586b98d9f016636dff58d7c6f7569cd9"
+checksum = "7969661fd2958a5cb096e56c8e1ad0444ac2bbcd0061bd28660485a44879858f"
dependencies = [
- "autocfg",
"num-traits",
]
[[package]]
name = "num-iter"
-version = "0.1.43"
+version = "0.1.44"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7d03e6c028c5dc5cac6e2dec0efda81fc887605bb3d884578bb6d6bf7514e252"
+checksum = "d869c01cc0c455284163fd0092f1f93835385ccab5a98a0dcc497b2f8bf055a9"
dependencies = [
"autocfg",
"num-integer",
@@ -3299,9 +3605,9 @@ dependencies = [
[[package]]
name = "num-traits"
-version = "0.2.17"
+version = "0.2.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "39e3200413f237f41ab11ad6d161bc7239c84dcb631773ccd7de3dfe4b5c267c"
+checksum = "da0df0e5185db44f69b44f26786fe401b6c293d1907744beaa7fa62b2e5a517a"
dependencies = [
"autocfg",
"libm",
@@ -3358,9 +3664,9 @@ dependencies = [
[[package]]
name = "object"
-version = "0.32.1"
+version = "0.32.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9cf5f9dd3933bd50a9e1f149ec995f39ae2c496d31fd772c1fd45ebc27e902b0"
+checksum = "a6a622008b6e321afc04970976f62ee297fdbaa6f95318ca343e3eebb9648441"
dependencies = [
"memchr",
]
@@ -3379,12 +3685,12 @@ checksum = "04744f49eae99ab78e0d5c0b603ab218f515ea8cfe5a456d7629ad883a3b6e7d"
[[package]]
name = "ordered-multimap"
-version = "0.4.3"
+version = "0.7.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ccd746e37177e1711c20dd619a1620f34f5c8b569c53590a72dedd5344d8924a"
+checksum = "a4d6a8c22fc714f0c2373e6091bf6f5e9b37b1bc0b1184874b7e0a4e303d318f"
dependencies = [
"dlv-list",
- "hashbrown 0.12.3",
+ "hashbrown 0.14.3",
]
[[package]]
@@ -3418,7 +3724,7 @@ dependencies = [
"proc-macro-error",
"proc-macro2",
"quote",
- "syn 2.0.41",
+ "syn 2.0.48",
]
[[package]]
@@ -3435,9 +3741,9 @@ checksum = "c1b04fb49957986fdce4d6ee7a65027d55d4b6d2265e5848bbb507b58ccfdb6f"
[[package]]
name = "palette"
-version = "0.7.3"
+version = "0.7.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b2e2f34147767aa758aa649415b50a69eeb46a67f9dc7db8011eeb3d84b351dc"
+checksum = "3d38e6e5ca1612e2081cc31188f08c3cba630ce4ba44709a153f1a0f38d678f2"
dependencies = [
"approx",
"fast-srgb8",
@@ -3448,13 +3754,25 @@ dependencies = [
[[package]]
name = "palette_derive"
-version = "0.7.3"
+version = "0.7.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b7db010ec5ff3d4385e4f133916faacd9dad0f6a09394c92d825b3aed310fa0a"
+checksum = "e05d1c929301fee6830dafa764341118829b2535c216b0571e3821ecac5c885b"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.41",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "pango-sys"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "436737e391a843e5933d6d9aa102cb126d501e815b83601365a948a518555dc5"
+dependencies = [
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps",
]
[[package]]
@@ -3553,7 +3871,7 @@ dependencies = [
"phf_shared",
"proc-macro2",
"quote",
- "syn 2.0.41",
+ "syn 2.0.48",
]
[[package]]
@@ -3571,26 +3889,6 @@ version = "0.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "5be167a7af36ee22fe3115051bc51f6e6c7054c9348e28deb4f49bd6f705a315"
-[[package]]
-name = "pin-project"
-version = "1.1.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fda4ed1c6c173e3fc7a83629421152e01d7b1f9b7f65fb301e490e8cfc656422"
-dependencies = [
- "pin-project-internal",
-]
-
-[[package]]
-name = "pin-project-internal"
-version = "1.1.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4359fd9c9171ec6e8c62926d6faaf553a8dc3f64e1507e76da7911b4f6a04405"
-dependencies = [
- "proc-macro2",
- "quote",
- "syn 2.0.41",
-]
-
[[package]]
name = "pin-project-lite"
version = "0.2.13"
@@ -3616,15 +3914,15 @@ dependencies = [
[[package]]
name = "pkg-config"
-version = "0.3.27"
+version = "0.3.29"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "26072860ba924cbfa98ea39c8c19b4dd6a4a25423dbdf219c1eca91aa0cf6964"
+checksum = "2900ede94e305130c13ddd391e0ab7cbaeb783945ae07a279c268cb05109c6cb"
[[package]]
name = "png"
-version = "0.17.10"
+version = "0.17.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dd75bf2d8dd3702b9707cdbc56a5b9ef42cec752eb8b3bafc01234558442aa64"
+checksum = "1f6c3c3e617595665b8ea2ff95a86066be38fb121ff920a9c0eb282abcd1da5a"
dependencies = [
"bitflags 1.3.2",
"crc32fast",
@@ -3651,14 +3949,14 @@ dependencies = [
[[package]]
name = "polling"
-version = "3.3.1"
+version = "3.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cf63fa624ab313c11656b4cda960bfc46c410187ad493c41f6ba2d8c1e991c9e"
+checksum = "30054e72317ab98eddd8561db0f6524df3367636884b7b21b703e4b280a84a14"
dependencies = [
"cfg-if",
"concurrent-queue",
"pin-project-lite",
- "rustix 0.38.28",
+ "rustix 0.38.31",
"tracing",
"windows-sys 0.52.0",
]
@@ -3685,6 +3983,15 @@ dependencies = [
"toml_edit 0.19.15",
]
+[[package]]
+name = "proc-macro-crate"
+version = "3.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6d37c51ca738a55da99dc0c4a34860fd675453b8b36209178c2249bb13651284"
+dependencies = [
+ "toml_edit 0.21.1",
+]
+
[[package]]
name = "proc-macro-error"
version = "1.0.4"
@@ -3711,18 +4018,38 @@ dependencies = [
[[package]]
name = "proc-macro2"
-version = "1.0.70"
+version = "1.0.78"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "39278fbbf5fb4f646ce651690877f89d1c5811a3d4acb27700c1cb3cdb78fd3b"
+checksum = "e2422ad645d89c99f8f3e6b88a9fdeca7fabeac836b1002371c4367c8f984aae"
dependencies = [
"unicode-ident",
]
[[package]]
name = "profiling"
-version = "1.0.12"
+version = "1.0.14"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1de09527cd2ea2c2d59fb6c2f8c1ab8c71709ed9d1b6d60b0e1c9fbb6fdcb33c"
+checksum = "0f0f7f43585c34e4fdd7497d746bc32e14458cf11c69341cc0587b1d825dde42"
+
+[[package]]
+name = "ptr_meta"
+version = "0.1.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0738ccf7ea06b608c10564b31debd4f5bc5e197fc8bfe088f68ae5ce81e7a4f1"
+dependencies = [
+ "ptr_meta_derive",
+]
+
+[[package]]
+name = "ptr_meta_derive"
+version = "0.1.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "16b845dbfca988fa33db069c0e230574d15a3088f147a87b64c7589eb662c9ac"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
[[package]]
name = "qoi"
@@ -3744,22 +4071,28 @@ dependencies = [
[[package]]
name = "quick-xml"
-version = "0.30.0"
+version = "0.31.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "eff6510e86862b57b210fd8cbe8ed3f0d7d600b9c2863cd4549a2e033c66e956"
+checksum = "1004a344b30a54e2ee58d66a71b32d2db2feb0a31f9a2d302bf0536f15de2a33"
dependencies = [
"memchr",
]
[[package]]
name = "quote"
-version = "1.0.33"
+version = "1.0.35"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5267fca4496028628a95160fc423a33e8b2e6af8a5302579e322e4b520293cae"
+checksum = "291ec9ab5efd934aaf503a6466c5d5251535d108ee747472c3977cc5acc868ef"
dependencies = [
"proc-macro2",
]
+[[package]]
+name = "radium"
+version = "0.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dc33ff2d4973d518d823d61aa239014831e521c75da58e3df4840d3f47749d09"
+
[[package]]
name = "rand"
version = "0.8.5"
@@ -3798,9 +4131,9 @@ checksum = "9c8a99fddc9f0ba0a85884b8d14e3592853e787d581ca1816c91349b10e4eeab"
[[package]]
name = "rangemap"
-version = "1.4.0"
+version = "1.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "977b1e897f9d764566891689e642653e5ed90c6895106acd005eb4c1d0203991"
+checksum = "795915a3930a5d6bafd9053d37602fea3e61be2e5d4d788983a8ba9654c1c6f2"
[[package]]
name = "raw-window-handle"
@@ -3809,10 +4142,16 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "f2ff9a1f06a88b01621b7ae906ef0211290d1c8a168a15542486a8f61c0833b9"
[[package]]
-name = "rayon"
-version = "1.8.0"
+name = "raw-window-handle"
+version = "0.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9c27db03db7734835b3f53954b534c91069375ce6ccaa2e065441e07d9b6cdb1"
+checksum = "42a9830a0e1b9fb145ebb365b8bc4ccd75f290f98c0247deafbbe2c75cefb544"
+
+[[package]]
+name = "rayon"
+version = "1.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fa7237101a77a10773db45d62004a272517633fbcc3df19d96455ede1122e051"
dependencies = [
"either",
"rayon-core",
@@ -3820,9 +4159,9 @@ dependencies = [
[[package]]
name = "rayon-core"
-version = "1.12.0"
+version = "1.12.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5ce3fb6ad83f861aac485e76e1985cd109d9a3713802152be56c3b1f0e0658ed"
+checksum = "1465873a3dfdaa8ae7cb14b4383657caab0b3e8a0aa9ae8e04b044854c8dfce2"
dependencies = [
"crossbeam-deque",
"crossbeam-utils",
@@ -3834,6 +4173,15 @@ version = "0.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "3b42e27ef78c35d3998403c1d26f3efd9e135d3e5121b0a4845cc5cc27547f4f"
+[[package]]
+name = "read-fonts"
+version = "0.15.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c044ab88c43e2eae05b34a17fc13598736679fdb03d71b49fcfe114443ec8a86"
+dependencies = [
+ "font-types",
+]
+
[[package]]
name = "redox_syscall"
version = "0.2.16"
@@ -3865,9 +4213,9 @@ dependencies = [
[[package]]
name = "regex"
-version = "1.10.2"
+version = "1.10.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "380b951a9c5e80ddfd6136919eef32310721aa4aacd4889a8d39124b026ab343"
+checksum = "b62dbe01f0b06f9d8dc7d49e05a0785f153b00b2c227856282f671e0318c9b15"
dependencies = [
"aho-corasick",
"memchr",
@@ -3877,9 +4225,9 @@ dependencies = [
[[package]]
name = "regex-automata"
-version = "0.4.3"
+version = "0.4.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5f804c7828047e88b2d32e2d7fe5a105da8ee3264f01902f796c8e067dc2483f"
+checksum = "5bb987efffd3c6d0d8f5f89510bb458559eab11e4f869acb20bf845e016259cd"
dependencies = [
"aho-corasick",
"memchr",
@@ -3892,6 +4240,15 @@ version = "0.8.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "c08c74e62047bb2de4ff487b251e4a92e24f48745648451635cec7d591162d9f"
+[[package]]
+name = "rend"
+version = "0.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "71fe3824f5629716b1589be05dacd749f6aa084c87e00e016714a8cdfccc997c"
+dependencies = [
+ "bytecheck",
+]
+
[[package]]
name = "renderdoc-sys"
version = "1.0.0"
@@ -3900,9 +4257,9 @@ checksum = "216080ab382b992234dda86873c18d4c48358f5cfcb70fd693d7f6f2131b628b"
[[package]]
name = "resvg"
-version = "0.36.0"
+version = "0.37.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cc7980f653f9a7db31acff916a262c3b78c562919263edea29bf41a056e20497"
+checksum = "cadccb3d99a9efb8e5e00c16fbb732cbe400db2ec7fc004697ee7d97d86cf1f4"
dependencies = [
"gif",
"jpeg-decoder",
@@ -3915,6 +4272,30 @@ dependencies = [
"usvg",
]
+[[package]]
+name = "rfd"
+version = "0.13.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c0d8ab342bcc5436e04d3a4c1e09e17d74958bfaddf8d5fad6f85607df0f994f"
+dependencies = [
+ "ashpd",
+ "block",
+ "dispatch",
+ "glib-sys",
+ "gobject-sys",
+ "gtk-sys",
+ "js-sys",
+ "log",
+ "objc",
+ "objc-foundation",
+ "objc_id",
+ "raw-window-handle 0.5.2",
+ "wasm-bindgen",
+ "wasm-bindgen-futures",
+ "web-sys",
+ "windows-sys 0.48.0",
+]
+
[[package]]
name = "rgb"
version = "0.8.37"
@@ -3924,6 +4305,35 @@ dependencies = [
"bytemuck",
]
+[[package]]
+name = "rkyv"
+version = "0.7.44"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5cba464629b3394fc4dbc6f940ff8f5b4ff5c7aef40f29166fd4ad12acbc99c0"
+dependencies = [
+ "bitvec",
+ "bytecheck",
+ "bytes",
+ "hashbrown 0.12.3",
+ "ptr_meta",
+ "rend",
+ "rkyv_derive",
+ "seahash",
+ "tinyvec",
+ "uuid",
+]
+
+[[package]]
+name = "rkyv_derive"
+version = "0.7.44"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a7dddfff8de25e6f62b9d64e6e432bf1c6736c57d20323e15ee10435fbda7c65"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
[[package]]
name = "ron"
version = "0.8.1"
@@ -3931,25 +4341,22 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "b91f7eff05f748767f183df4320a63d6936e9c6107d97c9e6bdd9784f4289c94"
dependencies = [
"base64",
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"serde",
"serde_derive",
]
[[package]]
name = "roxmltree"
-version = "0.18.1"
+version = "0.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "862340e351ce1b271a378ec53f304a5558f7db87f3769dc655a8f6ecbb68b302"
-dependencies = [
- "xmlparser",
-]
+checksum = "3cd14fd5e3b777a7422cca79358c57a8f6e3a703d9ac187448d0daf220c2407f"
[[package]]
name = "rust-embed"
-version = "6.8.1"
+version = "8.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a36224c3276f8c4ebc8c20f158eca7ca4359c8db89991c4925132aaaf6702661"
+checksum = "a82c0bbc10308ed323529fd3c1dce8badda635aa319a5ff0e6466f33b8101e3f"
dependencies = [
"rust-embed-impl",
"rust-embed-utils",
@@ -3958,22 +4365,22 @@ dependencies = [
[[package]]
name = "rust-embed-impl"
-version = "6.8.1"
+version = "8.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "49b94b81e5b2c284684141a2fb9e2a31be90638caf040bf9afbc5a0416afe1ac"
+checksum = "6227c01b1783cdfee1bcf844eb44594cd16ec71c35305bf1c9fb5aade2735e16"
dependencies = [
"proc-macro2",
"quote",
"rust-embed-utils",
- "syn 2.0.41",
+ "syn 2.0.48",
"walkdir",
]
[[package]]
name = "rust-embed-utils"
-version = "7.8.1"
+version = "8.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9d38ff6bf570dc3bb7100fce9f7b60c33fa71d80e88da3f2580df4ff2bdded74"
+checksum = "8cb0a25bfbb2d4b4402179c2cf030387d9990857ce08a32592c6238db9fa8665"
dependencies = [
"sha2",
"walkdir",
@@ -3981,14 +4388,30 @@ dependencies = [
[[package]]
name = "rust-ini"
-version = "0.18.0"
+version = "0.20.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f6d5f2436026b4f6e79dc829837d467cc7e9a55ee40e750d716713540715a2df"
+checksum = "3e0698206bcb8882bf2a9ecb4c1e7785db57ff052297085a6efd4fe42302068a"
dependencies = [
"cfg-if",
"ordered-multimap",
]
+[[package]]
+name = "rust_decimal"
+version = "1.34.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b39449a79f45e8da28c57c341891b69a183044b29518bb8f86dbac9df60bb7df"
+dependencies = [
+ "arrayvec",
+ "borsh",
+ "bytes",
+ "num-traits",
+ "rand",
+ "rkyv",
+ "serde",
+ "serde_json",
+]
+
[[package]]
name = "rustc-demangle"
version = "0.1.23"
@@ -4017,14 +4440,14 @@ dependencies = [
[[package]]
name = "rustix"
-version = "0.38.28"
+version = "0.38.31"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "72e572a5e8ca657d7366229cdde4bd14c4eb5499a9573d4d366fe1b599daa316"
+checksum = "6ea3e1a662af26cd7a3ba09c0297a31af215563ecf42817c98df621387f4e949"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"errno",
"libc",
- "linux-raw-sys 0.4.12",
+ "linux-raw-sys 0.4.13",
"windows-sys 0.52.0",
]
@@ -4036,14 +4459,15 @@ checksum = "7ffc183a10b4478d04cbbbfc96d0873219d962dd5accaff2ffbd4ceb7df837f4"
[[package]]
name = "rustybuzz"
-version = "0.10.0"
+version = "0.12.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "71cd15fef9112a1f94ac64b58d1e4628192631ad6af4dc69997f995459c874e7"
+checksum = "f0ae5692c5beaad6a9e22830deeed7874eae8a4e3ba4076fb48e12c56856222c"
dependencies = [
- "bitflags 1.3.2",
+ "bitflags 2.4.2",
"bytemuck",
+ "libm",
"smallvec",
- "ttf-parser 0.19.2",
+ "ttf-parser",
"unicode-bidi-mirroring",
"unicode-ccc",
"unicode-properties",
@@ -4051,21 +4475,10 @@ dependencies = [
]
[[package]]
-name = "rustybuzz"
-version = "0.11.0"
+name = "ryu"
+version = "1.0.16"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2ee8fe2a8461a0854a37101fe7a1b13998d0cfa987e43248e81d2a5f4570f6fa"
-dependencies = [
- "bitflags 1.3.2",
- "bytemuck",
- "libm",
- "smallvec",
- "ttf-parser 0.20.0",
- "unicode-bidi-mirroring",
- "unicode-ccc",
- "unicode-properties",
- "unicode-script",
-]
+checksum = "f98d2aa92eebf49b69786be48e4477826b256916e84a57ff2a4f21923b48eb4c"
[[package]]
name = "same-file"
@@ -4088,57 +4501,74 @@ version = "1.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "94143f37725109f92c262ed2cf5e59bce7498c01bcc1502d7b9afe439a4e9f49"
+[[package]]
+name = "seahash"
+version = "4.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1c107b6f4780854c8b126e228ea8869f4d7b71260f962fefb57b996b8959ba6b"
+
[[package]]
name = "self_cell"
version = "0.10.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "e14e4d63b804dc0c7ec4a1e52bcb63f02c7ac94476755aa579edac21e01f915d"
dependencies = [
- "self_cell 1.0.2",
+ "self_cell 1.0.3",
]
[[package]]
name = "self_cell"
-version = "1.0.2"
+version = "1.0.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e388332cd64eb80cd595a00941baf513caffae8dce9cfd0467fc9c66397dade6"
+checksum = "58bf37232d3bb9a2c4e641ca2a11d83b5062066f88df7fed36c28772046d65ba"
[[package]]
name = "serde"
-version = "1.0.193"
+version = "1.0.196"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "25dd9975e68d0cb5aa1120c288333fc98731bd1dd12f561e468ea4728c042b89"
+checksum = "870026e60fa08c69f064aa766c10f10b1d62db9ccd4d0abb206472bee0ce3b32"
dependencies = [
"serde_derive",
]
[[package]]
name = "serde_derive"
-version = "1.0.193"
+version = "1.0.196"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "43576ca501357b9b071ac53cdc7da8ef0cbd9493d8df094cd821777ea6e894d3"
+checksum = "33c85360c95e7d137454dc81d9a4ed2b8efd8fbe19cee57357b32b9771fccb67"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.41",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "serde_json"
+version = "1.0.113"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "69801b70b1c3dac963ecb03a364ba0ceda9cf60c71cfe475e99864759c8b8a79"
+dependencies = [
+ "itoa",
+ "ryu",
+ "serde",
]
[[package]]
name = "serde_repr"
-version = "0.1.17"
+version = "0.1.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3081f5ffbb02284dda55132aa26daecedd7372a42417bbbab6f14ab7d6bb9145"
+checksum = "0b2e6b945e9d3df726b65d6ee24060aff8e3533d431f677a9695db04eff9dfdb"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.41",
+ "syn 2.0.48",
]
[[package]]
name = "serde_spanned"
-version = "0.6.4"
+version = "0.6.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "12022b835073e5b11e90a14f86838ceb1c8fb0325b72416845c487ac0fa95e80"
+checksum = "eb3622f419d1296904700073ea6cc23ad690adbd66f13ea683df73298736f0c1"
dependencies = [
"serde",
]
@@ -4189,6 +4619,12 @@ version = "0.3.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "d66dc143e6b11c1eddc06d5c423cfc97062865baf299914ab64caa38182078fe"
+[[package]]
+name = "simdutf8"
+version = "0.1.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f27f6278552951f1f2b8cf9da965d10969b2efdea95a6ec47987ab46edfe263a"
+
[[package]]
name = "simplecss"
version = "0.2.1"
@@ -4224,9 +4660,9 @@ dependencies = [
[[package]]
name = "smallvec"
-version = "1.11.2"
+version = "1.13.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4dccd0940a2dcdf68d092b8cbab7dc0ad8fa938bf95787e1b916b0e3d0e8e970"
+checksum = "e6ecd384b10a64542d77071bd64bd7b231f4ed5940fba55e98c3de13824cf3d7"
[[package]]
name = "smithay-client-toolkit"
@@ -4249,26 +4685,26 @@ dependencies = [
[[package]]
name = "smithay-client-toolkit"
version = "0.18.0"
-source = "git+https://github.com/smithay/client-toolkit//?rev=e63ab5f#e63ab5f01964bc48766fc4c3bf79cc05dc59874c"
+source = "git+https://github.com/smithay/client-toolkit/?rev=2e9bf9f#2e9bf9f31698851ca373e5f1e7ba3e6e804e4db1"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"bytemuck",
"calloop",
"calloop-wayland-source",
"cursor-icon",
"libc",
"log",
- "memmap2 0.9.0",
+ "memmap2 0.9.4",
"pkg-config",
- "rustix 0.38.28",
+ "rustix 0.38.31",
"thiserror",
- "wayland-backend 0.3.2",
- "wayland-client 0.31.1",
+ "wayland-backend 0.3.3",
+ "wayland-client 0.31.2",
"wayland-csd-frame",
- "wayland-cursor 0.31.0",
- "wayland-protocols 0.31.0",
+ "wayland-cursor 0.31.1",
+ "wayland-protocols 0.31.2",
"wayland-protocols-wlr",
- "wayland-scanner 0.31.0",
+ "wayland-scanner 0.31.1",
"xkbcommon",
"xkeysym",
]
@@ -4283,6 +4719,15 @@ dependencies = [
"wayland-client 0.29.5",
]
+[[package]]
+name = "smol_str"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e6845563ada680337a52d43bb0b29f396f2d911616f6573012645b9e3d048a49"
+dependencies = [
+ "serde",
+]
+
[[package]]
name = "socket2"
version = "0.4.10"
@@ -4305,12 +4750,12 @@ dependencies = [
[[package]]
name = "softbuffer"
-version = "0.3.3"
-source = "git+https://github.com/pop-os/softbuffer?tag=v0.3-cosmic#6f0371ccece51d124c6c5d37082189df0dc5f9ba"
+version = "0.4.1"
+source = "git+https://github.com/pop-os/softbuffer?tag=cosmic-4.0#6e75b1ad7e98397d37cb187886d05969bc480995"
dependencies = [
"as-raw-xcb-connection",
"bytemuck",
- "cfg_aliases",
+ "cfg_aliases 0.2.0",
"cocoa",
"core-graphics",
"drm",
@@ -4318,18 +4763,18 @@ dependencies = [
"foreign-types",
"js-sys",
"log",
- "memmap2 0.9.0",
+ "memmap2 0.9.4",
"objc",
- "raw-window-handle",
+ "raw-window-handle 0.6.0",
"redox_syscall 0.4.1",
- "rustix 0.38.28",
+ "rustix 0.38.31",
"tiny-xlib",
"wasm-bindgen",
- "wayland-backend 0.3.2",
- "wayland-client 0.31.1",
+ "wayland-backend 0.3.3",
+ "wayland-client 0.31.2",
"wayland-sys 0.31.1",
"web-sys",
- "windows-sys 0.48.0",
+ "windows-sys 0.52.0",
"x11rb",
]
@@ -4344,12 +4789,11 @@ dependencies = [
[[package]]
name = "spirv"
-version = "0.2.0+1.5.4"
+version = "0.3.0+sdk-1.3.268.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "246bfa38fe3db3f1dfc8ca5a2cdeb7348c78be2112740cc0ec8ef18b6d94f830"
+checksum = "eda41003dc44290527a59b13432d4a0379379fa074b70174882adfbdfd917844"
dependencies = [
- "bitflags 1.3.2",
- "num-traits",
+ "bitflags 2.4.2",
]
[[package]]
@@ -4371,7 +4815,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "8a2a1c578e98c1c16fc3b8ec1328f7659a500737d7a0c6d625e73e830ff9c1f6"
dependencies = [
"bitflags 1.3.2",
- "cfg_aliases",
+ "cfg_aliases 0.1.1",
"libc",
"parking_lot 0.11.2",
"parking_lot_core 0.8.6",
@@ -4385,7 +4829,7 @@ version = "1.0.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "70a2595fc3aa78f2d0e45dd425b22282dd863273761cc77780914b2cf3003acf"
dependencies = [
- "cfg_aliases",
+ "cfg_aliases 0.1.1",
"memchr",
"proc-macro2",
"quote",
@@ -4407,6 +4851,12 @@ version = "0.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "73473c0e59e6d5812c5dfe2a064a6444949f089e20eec9a2e5506596494e4623"
+[[package]]
+name = "strsim"
+version = "0.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5ee073c9e4cd00e28217186dbe12796d692868f432bf2e97ee73bed0c56dfa01"
+
[[package]]
name = "svg_fmt"
version = "0.4.1"
@@ -4415,9 +4865,9 @@ checksum = "8fb1df15f412ee2e9dfc1c504260fa695c1c3f10fe9f4a6ee2d2184d7d6450e2"
[[package]]
name = "svgtypes"
-version = "0.12.0"
+version = "0.13.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d71499ff2d42f59d26edb21369a308ede691421f79ebc0f001e2b1fd3a7c9e52"
+checksum = "6e44e288cd960318917cbd540340968b90becc8bc81f171345d706e7a89d9d70"
dependencies = [
"kurbo",
"siphasher",
@@ -4425,10 +4875,11 @@ dependencies = [
[[package]]
name = "swash"
-version = "0.1.8"
+version = "0.1.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3b7c73c813353c347272919aa1af2885068b05e625e5532b43049e4f641ae77f"
+checksum = "d06ff4664af8923625604261c645f5c4cc610cc83c84bec74b50d76237089de7"
dependencies = [
+ "read-fonts",
"yazi",
"zeno",
]
@@ -4446,9 +4897,9 @@ dependencies = [
[[package]]
name = "syn"
-version = "2.0.41"
+version = "2.0.48"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "44c8b28c477cc3bf0e7966561e3460130e1255f7a1cf71931075f1c5e7a7e269"
+checksum = "0f3531638e407dfc0814761abb7c00a5b54992b849452a0646b7f65c9f770f3f"
dependencies = [
"proc-macro2",
"quote",
@@ -4456,15 +4907,26 @@ dependencies = [
]
[[package]]
-name = "synstructure"
-version = "0.13.0"
+name = "syn_derive"
+version = "0.1.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "285ba80e733fac80aa4270fbcdf83772a79b80aa35c97075320abfee4a915b06"
+checksum = "1329189c02ff984e9736652b1631330da25eaa6bc639089ed4915d25446cbe7b"
+dependencies = [
+ "proc-macro-error",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "synstructure"
+version = "0.13.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c8af7666ab7b6390ab78131fb5b0fce11d6b7a6951602017c35fa82800708971"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.41",
- "unicode-xid",
+ "syn 2.0.48",
]
[[package]]
@@ -4478,9 +4940,9 @@ dependencies = [
[[package]]
name = "sysinfo"
-version = "0.29.11"
+version = "0.30.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cd727fc423c2060f6c92d9534cef765c65a6ed3f428a03d7def74a8c4348e666"
+checksum = "1fb4f3438c8f6389c864e61221cbc97e9bca98b4daf39a5beb7bea660f528bb2"
dependencies = [
"cfg-if",
"core-foundation-sys",
@@ -4488,7 +4950,20 @@ dependencies = [
"ntapi",
"once_cell",
"rayon",
- "winapi",
+ "windows 0.52.0",
+]
+
+[[package]]
+name = "system-deps"
+version = "6.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2a2d580ff6a20c55dfb86be5f9c238f67835d0e81cbdea8bf5680e0897320331"
+dependencies = [
+ "cfg-expr",
+ "heck",
+ "pkg-config",
+ "toml 0.8.10",
+ "version-compare",
]
[[package]]
@@ -4503,51 +4978,62 @@ dependencies = [
]
[[package]]
-name = "temp-dir"
-version = "0.1.11"
+name = "tap"
+version = "1.0.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "af547b166dd1ea4b472165569fc456cfb6818116f854690b0ff205e636523dab"
+checksum = "55937e1799185b12863d447f42597ed69d9928686b8d88a1df17376a097d8369"
+
+[[package]]
+name = "target-lexicon"
+version = "0.12.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "69758bda2e78f098e4ccb393021a0963bb3442eac05f135c30f61b7370bbafae"
+
+[[package]]
+name = "temp-dir"
+version = "0.1.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dd16aa9ffe15fe021c6ee3766772132c6e98dfa395a167e16864f61a9cfb71d6"
[[package]]
name = "tempfile"
-version = "3.8.1"
+version = "3.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7ef1adac450ad7f4b3c28589471ade84f25f731a7a0fe30d71dfa9f60fd808e5"
+checksum = "a365e8cd18e44762ef95d87f284f4b5cd04107fec2ff3052bd6a3e6069669e67"
dependencies = [
"cfg-if",
"fastrand 2.0.1",
- "redox_syscall 0.4.1",
- "rustix 0.38.28",
- "windows-sys 0.48.0",
+ "rustix 0.38.31",
+ "windows-sys 0.52.0",
]
[[package]]
name = "termcolor"
-version = "1.4.0"
+version = "1.4.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ff1bc3d3f05aff0403e8ac0d92ced918ec05b666a43f83297ccef5bea8a3d449"
+checksum = "06794f8f6c5c898b3275aebefa6b8a1cb24cd2c6c79397ab15774837a0bc5755"
dependencies = [
"winapi-util",
]
[[package]]
name = "thiserror"
-version = "1.0.50"
+version = "1.0.57"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f9a7210f5c9a7156bb50aa36aed4c95afb51df0df00713949448cf9e97d382d2"
+checksum = "1e45bcbe8ed29775f228095caf2cd67af7a4ccf756ebff23a306bf3e8b47b24b"
dependencies = [
"thiserror-impl",
]
[[package]]
name = "thiserror-impl"
-version = "1.0.50"
+version = "1.0.57"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "266b2e40bc00e5a6c09c3584011e08b06f123c00362c92b975ba9843aaaa14b8"
+checksum = "a953cb265bef375dae3de6663da4d3804eee9682ea80d8e2542529b73c531c81"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.41",
+ "syn 2.0.48",
]
[[package]]
@@ -4562,9 +5048,9 @@ dependencies = [
[[package]]
name = "tiff"
-version = "0.9.0"
+version = "0.9.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6d172b0f4d3fba17ba89811858b9d3d97f928aece846475bbda076ca46736211"
+checksum = "ba1310fcea54c6a9a4fd1aad794ecc02c31682f6bfbecdf460bf19533eed1e3e"
dependencies = [
"flate2",
"jpeg-decoder",
@@ -4581,10 +5067,19 @@ dependencies = [
]
[[package]]
-name = "tiny-skia"
-version = "0.11.3"
+name = "tiny-keccak"
+version = "2.0.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b6a067b809476893fce6a254cf285850ff69c847e6cfbade6a20b655b6c7e80d"
+checksum = "2c9d3793400a45f954c52e73d068316d76b6f4e36977e3fcebb13a2721e80237"
+dependencies = [
+ "crunchy",
+]
+
+[[package]]
+name = "tiny-skia"
+version = "0.11.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "83d13394d44dae3207b52a326c0c85a8bf87f1541f23b0d143811088497b09ab"
dependencies = [
"arrayref",
"arrayvec",
@@ -4597,9 +5092,9 @@ dependencies = [
[[package]]
name = "tiny-skia-path"
-version = "0.11.3"
+version = "0.11.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5de35e8a90052baaaf61f171680ac2f8e925a1e43ea9d2e3a00514772250e541"
+checksum = "9c9e7fc0c2e86a30b117d0462aa261b72b7a99b7ebd7deb3a14ceda95c5bdc93"
dependencies = [
"arrayref",
"bytemuck",
@@ -4645,9 +5140,9 @@ checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20"
[[package]]
name = "tokio"
-version = "1.35.0"
+version = "1.36.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "841d45b238a16291a4e1584e61820b8ae57d696cc5015c459c229ccc6990cc1c"
+checksum = "61285f6515fa018fb2d1e46eb21223fff441ee8db5d0f1435e8ab4f5cdb80931"
dependencies = [
"backtrace",
"bytes",
@@ -4672,14 +5167,14 @@ dependencies = [
[[package]]
name = "toml"
-version = "0.8.8"
+version = "0.8.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a1a195ec8c9da26928f773888e0742ca3ca1040c6cd859c919c9f59c1954ab35"
+checksum = "9a9aad4a3066010876e8dcf5a8a06e70a558751117a145c6ce2b82c2e2054290"
dependencies = [
"serde",
"serde_spanned",
"toml_datetime",
- "toml_edit 0.21.0",
+ "toml_edit 0.22.5",
]
[[package]]
@@ -4699,20 +5194,31 @@ checksum = "1b5bb770da30e5cbfde35a2d7b9b8a2c4b8ef89548a7a6aeab5c9a576e3e7421"
dependencies = [
"indexmap",
"toml_datetime",
- "winnow",
+ "winnow 0.5.40",
]
[[package]]
name = "toml_edit"
-version = "0.21.0"
+version = "0.21.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d34d383cd00a163b4a5b85053df514d45bc330f6de7737edfe0a93311d1eaa03"
+checksum = "6a8534fd7f78b5405e860340ad6575217ce99f38d4d5c8f2442cb5ecb50090e1"
+dependencies = [
+ "indexmap",
+ "toml_datetime",
+ "winnow 0.5.40",
+]
+
+[[package]]
+name = "toml_edit"
+version = "0.22.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "99e68c159e8f5ba8a28c4eb7b0c0c190d77bb479047ca713270048145a9ad28a"
dependencies = [
"indexmap",
"serde",
"serde_spanned",
"toml_datetime",
- "winnow",
+ "winnow 0.6.0",
]
[[package]]
@@ -4734,7 +5240,7 @@ checksum = "34704c8d6ebcbc939824180af020566b01a7c01f80641264eba0999f6c2b6be7"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.41",
+ "syn 2.0.48",
]
[[package]]
@@ -4782,12 +5288,6 @@ dependencies = [
"tracing-log",
]
-[[package]]
-name = "ttf-parser"
-version = "0.19.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "49d64318d8311fc2668e48b63969f4343e0a85c4a109aa8460d6672e364b8bd1"
-
[[package]]
name = "ttf-parser"
version = "0.20.0"
@@ -4811,10 +5311,11 @@ checksum = "42ff0bf0c66b8238c6f3b578df37d0b7848e55df8577b3f74f92a69acceeb825"
[[package]]
name = "udev"
-version = "0.7.0"
+version = "0.8.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4ebdbbd670373442a12fe9ef7aeb53aec4147a5a27a00bbc3ab639f08f48191a"
+checksum = "50051c6e22be28ee6f217d50014f3bc29e81c20dc66ff7ca0d5c5226e1dcc5a1"
dependencies = [
+ "io-lifetimes 1.0.11",
"libc",
"libudev-sys",
"pkg-config",
@@ -4822,10 +5323,11 @@ dependencies = [
[[package]]
name = "uds_windows"
-version = "1.0.2"
+version = "1.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ce65604324d3cce9b966701489fbd0cf318cb1f7bd9dd07ac9a4ee6fb791930d"
+checksum = "89daebc3e6fd160ac4aa9fc8b3bf71e1f74fbf92367ae71fb83a037e8bf164b9"
dependencies = [
+ "memoffset 0.9.0",
"tempfile",
"winapi",
]
@@ -4851,9 +5353,9 @@ dependencies = [
[[package]]
name = "unicode-bidi"
-version = "0.3.14"
+version = "0.3.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6f2528f27a9eb2b21e69c95319b30bd0efd85d09c379741b0f78ea1d86be2416"
+checksum = "08f95100a766bf4f8f28f90d77e0a5461bbdb219042e7679bebe79004fed8d75"
[[package]]
name = "unicode-bidi-mirroring"
@@ -4890,9 +5392,9 @@ dependencies = [
[[package]]
name = "unicode-properties"
-version = "0.1.0"
+version = "0.1.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c7f91c8b21fbbaa18853c3d0801c78f4fc94cdb976699bb03e832e75f7fd22f0"
+checksum = "e4259d9d4425d9f0661581b804cb85fe66a4c631cadd8f490d1c13a35d5d9291"
[[package]]
name = "unicode-script"
@@ -4902,9 +5404,9 @@ checksum = "7d817255e1bed6dfd4ca47258685d14d2bdcfbc64fdc9e3819bd5848057b8ecc"
[[package]]
name = "unicode-segmentation"
-version = "1.10.1"
+version = "1.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1dd624098567895118886609431a7c3b8f516e41d30e0643f03d94592a147e36"
+checksum = "d4c87d22b6e3f4a18d4d40ef354e97c90fcb14dd91d7dc0aa9d8a1172ebf7202"
[[package]]
name = "unicode-vo"
@@ -4938,9 +5440,9 @@ dependencies = [
[[package]]
name = "usvg"
-version = "0.36.0"
+version = "0.37.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c51daa774fe9ee5efcf7b4fec13019b8119cda764d9a8b5b06df02bb1445c656"
+checksum = "38b0a51b72ab80ca511d126b77feeeb4fb1e972764653e61feac30adc161a756"
dependencies = [
"base64",
"log",
@@ -4953,9 +5455,9 @@ dependencies = [
[[package]]
name = "usvg-parser"
-version = "0.36.0"
+version = "0.37.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "45c88a5ffaa338f0e978ecf3d4e00d8f9f493e29bed0752e1a808a1db16afc40"
+checksum = "9bd4e3c291f45d152929a31f0f6c819245e2921bfd01e7bd91201a9af39a2bdc"
dependencies = [
"data-url",
"flate2",
@@ -4971,14 +5473,14 @@ dependencies = [
[[package]]
name = "usvg-text-layout"
-version = "0.36.0"
+version = "0.37.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4d2374378cb7a3fb8f33894e0fdb8625e1bbc4f25312db8d91f862130b541593"
+checksum = "d383a3965de199d7f96d4e11a44dd859f46e86de7f3dca9a39bf82605da0a37c"
dependencies = [
"fontdb",
"kurbo",
"log",
- "rustybuzz 0.10.0",
+ "rustybuzz",
"unicode-bidi",
"unicode-script",
"unicode-vo",
@@ -4987,9 +5489,9 @@ dependencies = [
[[package]]
name = "usvg-tree"
-version = "0.36.0"
+version = "0.37.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6cacb0c5edeaf3e80e5afcf5b0d4004cc1d36318befc9a7c6606507e5d0f4062"
+checksum = "8ee3d202ebdb97a6215604b8f5b4d6ef9024efd623cf2e373a6416ba976ec7d3"
dependencies = [
"rctree",
"strict-num",
@@ -5011,9 +5513,9 @@ checksum = "711b9620af191e0cdc7468a8d14e709c3dcdb115b36f838e601583af800a370a"
[[package]]
name = "uuid"
-version = "1.6.1"
+version = "1.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5e395fcf16a7a3d8127ec99782007af141946b4795001f876d54fb0d55978560"
+checksum = "f00cc9702ca12d3c81455259621e676d0f7251cec66a21e98fe2e9a37db93b2a"
[[package]]
name = "valuable"
@@ -5021,6 +5523,12 @@ version = "0.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "830b7e5d4d90034032940e4ace0d9a9a057e7a45cd94e6c007832e39edb82f6d"
+[[package]]
+name = "version-compare"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "579a42fc0b8e0c63b76519a339be31bed574929511fa53c1a3acae26eb258f29"
+
[[package]]
name = "version_check"
version = "0.9.4"
@@ -5051,9 +5559,9 @@ checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
[[package]]
name = "wasm-bindgen"
-version = "0.2.89"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0ed0d4f68a3015cc185aff4db9506a015f4b96f95303897bfa23f846db54064e"
+checksum = "c1e124130aee3fb58c5bdd6b639a0509486b0338acaaae0c84a5124b0f588b7f"
dependencies = [
"cfg-if",
"wasm-bindgen-macro",
@@ -5061,24 +5569,24 @@ dependencies = [
[[package]]
name = "wasm-bindgen-backend"
-version = "0.2.89"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1b56f625e64f3a1084ded111c4d5f477df9f8c92df113852fa5a374dbda78826"
+checksum = "c9e7e1900c352b609c8488ad12639a311045f40a35491fb69ba8c12f758af70b"
dependencies = [
"bumpalo",
"log",
"once_cell",
"proc-macro2",
"quote",
- "syn 2.0.41",
+ "syn 2.0.48",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-futures"
-version = "0.4.39"
+version = "0.4.41"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ac36a15a220124ac510204aec1c3e5db8a22ab06fd6706d881dc6149f8ed9a12"
+checksum = "877b9c3f61ceea0e56331985743b13f3d25c406a7098d45180fb5f09bc19ed97"
dependencies = [
"cfg-if",
"js-sys",
@@ -5088,9 +5596,9 @@ dependencies = [
[[package]]
name = "wasm-bindgen-macro"
-version = "0.2.89"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0162dbf37223cd2afce98f3d0785506dcb8d266223983e4b5b525859e6e182b2"
+checksum = "b30af9e2d358182b5c7449424f017eba305ed32a7010509ede96cdc4696c46ed"
dependencies = [
"quote",
"wasm-bindgen-macro-support",
@@ -5098,22 +5606,22 @@ dependencies = [
[[package]]
name = "wasm-bindgen-macro-support"
-version = "0.2.89"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f0eb82fcb7930ae6219a7ecfd55b217f5f0893484b7a13022ebb2b2bf20b5283"
+checksum = "642f325be6301eb8107a83d12a8ac6c1e1c54345a7ef1a9261962dfefda09e66"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.41",
+ "syn 2.0.48",
"wasm-bindgen-backend",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-shared"
-version = "0.2.89"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7ab9b36309365056cd639da3134bf87fa8f3d86008abf99e612384a6eecd459f"
+checksum = "4f186bd2dcf04330886ce82d6f33dd75a7bfcf69ecf5763b89fcde53b6ac9838"
[[package]]
name = "wasm-timer"
@@ -5147,13 +5655,13 @@ dependencies = [
[[package]]
name = "wayland-backend"
-version = "0.3.2"
+version = "0.3.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "19152ddd73f45f024ed4534d9ca2594e0ef252c1847695255dae47f34df9fbe4"
+checksum = "9d50fa61ce90d76474c87f5fc002828d81b32677340112b4ef08079a9d459a40"
dependencies = [
"cc",
"downcast-rs",
- "nix 0.26.4",
+ "rustix 0.38.31",
"scoped-tls",
"smallvec",
"wayland-sys 0.31.1",
@@ -5189,14 +5697,14 @@ dependencies = [
[[package]]
name = "wayland-client"
-version = "0.31.1"
+version = "0.31.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ca7d52347346f5473bf2f56705f360e8440873052e575e55890c4fa57843ed3"
+checksum = "82fb96ee935c2cea6668ccb470fb7771f6215d1691746c2d896b447a00ad3f1f"
dependencies = [
- "bitflags 2.4.1",
- "nix 0.26.4",
- "wayland-backend 0.3.2",
- "wayland-scanner 0.31.0",
+ "bitflags 2.4.2",
+ "rustix 0.38.31",
+ "wayland-backend 0.3.3",
+ "wayland-scanner 0.31.1",
]
[[package]]
@@ -5217,9 +5725,9 @@ version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "625c5029dbd43d25e6aa9615e88b829a5cad13b2819c4ae129fdbb7c31ab4c7e"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"cursor-icon",
- "wayland-backend 0.3.2",
+ "wayland-backend 0.3.3",
]
[[package]]
@@ -5235,12 +5743,12 @@ dependencies = [
[[package]]
name = "wayland-cursor"
-version = "0.31.0"
+version = "0.31.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a44aa20ae986659d6c77d64d808a046996a932aa763913864dc40c359ef7ad5b"
+checksum = "71ce5fa868dd13d11a0d04c5e2e65726d0897be8de247c0c5a65886e283231ba"
dependencies = [
- "nix 0.26.4",
- "wayland-client 0.31.1",
+ "rustix 0.38.31",
+ "wayland-client 0.31.2",
"xcursor",
]
@@ -5270,14 +5778,14 @@ dependencies = [
[[package]]
name = "wayland-protocols"
-version = "0.31.0"
+version = "0.31.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e253d7107ba913923dc253967f35e8561a3c65f914543e46843c88ddd729e21c"
+checksum = "8f81f365b8b4a97f422ac0e8737c438024b5951734506b0e1d775c73030561f4"
dependencies = [
- "bitflags 2.4.1",
- "wayland-backend 0.3.2",
- "wayland-client 0.31.1",
- "wayland-scanner 0.31.0",
+ "bitflags 2.4.2",
+ "wayland-backend 0.3.3",
+ "wayland-client 0.31.2",
+ "wayland-scanner 0.31.1",
"wayland-server",
]
@@ -5287,11 +5795,11 @@ version = "0.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "ad1f61b76b6c2d8742e10f9ba5c3737f6530b4c243132c2a2ccc8aa96fe25cd6"
dependencies = [
- "bitflags 2.4.1",
- "wayland-backend 0.3.2",
- "wayland-client 0.31.1",
- "wayland-protocols 0.31.0",
- "wayland-scanner 0.31.0",
+ "bitflags 2.4.2",
+ "wayland-backend 0.3.3",
+ "wayland-client 0.31.2",
+ "wayland-protocols 0.31.2",
+ "wayland-scanner 0.31.1",
"wayland-server",
]
@@ -5319,27 +5827,27 @@ dependencies = [
[[package]]
name = "wayland-scanner"
-version = "0.31.0"
+version = "0.31.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fb8e28403665c9f9513202b7e1ed71ec56fde5c107816843fb14057910b2c09c"
+checksum = "63b3a62929287001986fb58c789dce9b67604a397c15c611ad9f747300b6c283"
dependencies = [
"proc-macro2",
- "quick-xml 0.30.0",
+ "quick-xml 0.31.0",
"quote",
]
[[package]]
name = "wayland-server"
-version = "0.31.0"
+version = "0.31.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3f3f0c52a445936ca1184c98f1a69cf4ad9c9130788884531ef04428468cb1ce"
+checksum = "00e6e4d5c285bc24ba4ed2d5a4bd4febd5fd904451f465973225c8e99772fdb7"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"downcast-rs",
"io-lifetimes 2.0.3",
- "nix 0.26.4",
- "wayland-backend 0.3.2",
- "wayland-scanner 0.31.0",
+ "rustix 0.38.31",
+ "wayland-backend 0.3.3",
+ "wayland-scanner 0.31.1",
]
[[package]]
@@ -5378,9 +5886,19 @@ dependencies = [
[[package]]
name = "web-sys"
-version = "0.3.64"
+version = "0.3.68"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9b85cbef8c220a6abc02aefd892dfc0fc23afb1c6a426316ec33253a3877249b"
+checksum = "96565907687f7aceb35bc5fc03770a8a0471d82e479f25832f54a0e3f4b28446"
+dependencies = [
+ "js-sys",
+ "wasm-bindgen",
+]
+
+[[package]]
+name = "web-time"
+version = "0.2.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "aa30049b1c872b72c89866d458eae9f20380ab280ffd1b1e18df2d3e2d98cfe0"
dependencies = [
"js-sys",
"wasm-bindgen",
@@ -5388,25 +5906,24 @@ dependencies = [
[[package]]
name = "weezl"
-version = "0.1.7"
+version = "0.1.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9193164d4de03a926d909d3bc7c30543cecb35400c02114792c2cae20d5e2dbb"
+checksum = "53a85b86a771b1c87058196170769dd264f66c0782acf1ae6cc51bfd64b39082"
[[package]]
name = "wgpu"
-version = "0.18.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "30e7d227c9f961f2061c26f4cb0fbd4df0ef37e056edd0931783599d6c94ef24"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
dependencies = [
"arrayvec",
"cfg-if",
- "flume 0.11.0",
+ "cfg_aliases 0.1.1",
"js-sys",
"log",
"naga",
"parking_lot 0.12.1",
"profiling",
- "raw-window-handle",
+ "raw-window-handle 0.6.0",
"smallvec",
"static_assertions",
"wasm-bindgen",
@@ -5419,19 +5936,21 @@ dependencies = [
[[package]]
name = "wgpu-core"
-version = "0.18.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ef91c1d62d1e9e81c79e600131a258edf75c9531cbdbde09c44a011a47312726"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
dependencies = [
"arrayvec",
"bit-vec",
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
+ "cfg_aliases 0.1.1",
"codespan-reporting",
+ "indexmap",
"log",
"naga",
+ "once_cell",
"parking_lot 0.12.1",
"profiling",
- "raw-window-handle",
+ "raw-window-handle 0.6.0",
"rustc-hash",
"smallvec",
"thiserror",
@@ -5442,16 +5961,16 @@ dependencies = [
[[package]]
name = "wgpu-hal"
-version = "0.18.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b84ecc802da3eb67b4cf3dd9ea6fe45bbb47ef13e6c49c5c3240868a9cc6cdd9"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
dependencies = [
"android_system_properties",
"arrayvec",
"ash",
"bit-set",
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"block",
+ "cfg_aliases 0.1.1",
"core-graphics-types",
"d3d12",
"glow",
@@ -5472,7 +5991,7 @@ dependencies = [
"parking_lot 0.12.1",
"profiling",
"range-alloc",
- "raw-window-handle",
+ "raw-window-handle 0.6.0",
"renderdoc-sys",
"rustc-hash",
"smallvec",
@@ -5485,11 +6004,10 @@ dependencies = [
[[package]]
name = "wgpu-types"
-version = "0.18.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0d5ed5f0edf0de351fe311c53304986315ce866f394a2e6df0c4b3c70774bcdd"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"js-sys",
"web-sys",
]
@@ -5525,15 +6043,6 @@ dependencies = [
"winapi",
]
-[[package]]
-name = "winapi-wsapoll"
-version = "0.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "44c17110f57155602a80dca10be03852116403c9ff3cd25b079d666f2aa3df6e"
-dependencies = [
- "winapi",
-]
-
[[package]]
name = "winapi-x86_64-pc-windows-gnu"
version = "0.4.0"
@@ -5551,21 +6060,21 @@ dependencies = [
[[package]]
name = "windows"
-version = "0.51.1"
+version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ca229916c5ee38c2f2bc1e9d8f04df975b4bd93f9955dc69fabb5d91270045c9"
+checksum = "e48a53791691ab099e5e2ad123536d0fff50652600abaf43bbf952894110d0be"
dependencies = [
"windows-core",
- "windows-targets 0.48.5",
+ "windows-targets 0.52.0",
]
[[package]]
name = "windows-core"
-version = "0.51.1"
+version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f1f8cf84f35d2db49a46868f947758c7a1138116f7fac3bc844f43ade1292e64"
+checksum = "33ab640c8d7e35bf8ba19b884ba838ceb4fba93a4e8c65a9059d08afcfc683d9"
dependencies = [
- "windows-targets 0.48.5",
+ "windows-targets 0.52.0",
]
[[package]]
@@ -5702,9 +6211,18 @@ checksum = "dff9641d1cd4be8d1a070daf9e3773c5f67e78b4d9d42263020c057706765c04"
[[package]]
name = "winnow"
-version = "0.5.28"
+version = "0.5.40"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6c830786f7720c2fd27a1a0e27a709dbd3c4d009b56d098fc742d4f4eab91fe2"
+checksum = "f593a95398737aeed53e489c785df13f3618e41dbcd6718c6addbf1395aa6876"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "winnow"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6b1dbce9e90e5404c5a52ed82b1d13fc8cfbdad85033b6f57546ffd1265f8451"
dependencies = [
"memchr",
]
@@ -5716,30 +6234,34 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "dad7bb64b8ef9c0aa27b6da38b452b0ee9fd82beaf276a87dd796fb55cbae14e"
[[package]]
-name = "x11rb"
-version = "0.12.0"
+name = "wyz"
+version = "0.5.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b1641b26d4dec61337c35a1b1aaf9e3cba8f46f0b43636c609ab0291a648040a"
+checksum = "05f360fc0b24296329c78fda852a1e9ae82de9cf7b27dae4b7f62f118f77b9ed"
+dependencies = [
+ "tap",
+]
+
+[[package]]
+name = "x11rb"
+version = "0.13.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f8f25ead8c7e4cba123243a6367da5d3990e0d3affa708ea19dce96356bd9f1a"
dependencies = [
"as-raw-xcb-connection",
"gethostname",
"libc",
- "libloading 0.7.4",
- "nix 0.26.4",
+ "libloading 0.8.1",
"once_cell",
- "winapi",
- "winapi-wsapoll",
+ "rustix 0.38.31",
"x11rb-protocol",
]
[[package]]
name = "x11rb-protocol"
-version = "0.12.0"
+version = "0.13.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "82d6c3f9a0fb6701fab8f6cea9b0c0bd5d6876f1f89f7fada07e558077c344bc"
-dependencies = [
- "nix 0.26.4",
-]
+checksum = "e63e71c4b8bd9ffec2c963173a4dc4cbde9ee96961d4fcb4429db9929b606c34"
[[package]]
name = "xcursor"
@@ -5755,18 +6277,18 @@ checksum = "213b7324336b53d2414b2db8537e56544d981803139155afa84f76eeebb7a546"
[[package]]
name = "xdg-home"
-version = "1.0.0"
+version = "1.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2769203cd13a0c6015d515be729c526d041e9cf2c0cc478d57faee85f40c6dcd"
+checksum = "21e5a325c3cb8398ad6cf859c1135b25dd29e186679cf2da7581d9679f63b38e"
dependencies = [
- "nix 0.26.4",
+ "libc",
"winapi",
]
[[package]]
name = "xdg-shell-wrapper-config"
version = "0.1.0"
-source = "git+https://github.com/pop-os/xdg-shell-wrapper#f2ca1c3dee8f66c40bdc91cb39de69a62aaaf22f"
+source = "git+https://github.com/pop-os/xdg-shell-wrapper#f7e2fac29229e31c9f50884fbf910ff8ff7ab6bd"
dependencies = [
"serde",
"wayland-protocols-wlr",
@@ -5783,6 +6305,19 @@ dependencies = [
"xkeysym",
]
+[[package]]
+name = "xkbcommon-dl"
+version = "0.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d039de8032a9a8856a6be89cea3e5d12fdd82306ab7c94d74e6deab2460651c5"
+dependencies = [
+ "bitflags 2.4.2",
+ "dlib",
+ "log",
+ "once_cell",
+ "xkeysym",
+]
+
[[package]]
name = "xkeysym"
version = "0.2.0"
@@ -5798,12 +6333,6 @@ version = "0.8.19"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "0fcb9cbac069e033553e8bb871be2fbdffcab578eb25bd0f7c508cedc6dcd75a"
-[[package]]
-name = "xmlparser"
-version = "0.13.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "66fee0b777b0f5ac1c69bb06d361268faafa61cd4682ae064a171c16c433e9e4"
-
[[package]]
name = "xmlwriter"
version = "0.1.0"
@@ -5812,9 +6341,9 @@ checksum = "ec7a2a501ed189703dba8b08142f057e887dfc4b2cc4db2d343ac6376ba3e0b9"
[[package]]
name = "xxhash-rust"
-version = "0.8.7"
+version = "0.8.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9828b178da53440fa9c766a3d2f73f7cf5d0ac1fe3980c1e5018d899fd19e07b"
+checksum = "53be06678ed9e83edb1745eb72efc0bbcd7b5c3c35711a860906aed827a13d61"
[[package]]
name = "yazi"
@@ -5842,15 +6371,15 @@ checksum = "9e6936f0cce458098a201c245a11bef556c6a0181129c7034d10d76d1ec3a2b8"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.41",
+ "syn 2.0.48",
"synstructure",
]
[[package]]
name = "zbus"
-version = "3.14.1"
+version = "3.15.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "31de390a2d872e4cd04edd71b425e29853f786dc99317ed72d73d6fcf5ebb948"
+checksum = "c45d06ae3b0f9ba1fb2671268b975557d8f5a84bb5ec6e43964f87e763d8bca8"
dependencies = [
"async-broadcast",
"async-executor",
@@ -5890,11 +6419,11 @@ dependencies = [
[[package]]
name = "zbus_macros"
-version = "3.14.1"
+version = "3.15.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "41d1794a946878c0e807f55a397187c11fc7a038ba5d868e7db4f3bd7760bc9d"
+checksum = "b4a1ba45ed0ad344b85a2bb5a1fe9830aed23d67812ea39a586e7d0136439c7d"
dependencies = [
- "proc-macro-crate",
+ "proc-macro-crate 1.3.1",
"proc-macro2",
"quote",
"regex",
@@ -5921,22 +6450,22 @@ checksum = "dd15f8e0dbb966fd9245e7498c7e9e5055d9e5c8b676b95bd67091cd11a1e697"
[[package]]
name = "zerocopy"
-version = "0.7.30"
+version = "0.7.32"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "306dca4455518f1f31635ec308b6b3e4eb1b11758cefafc782827d0aa7acb5c7"
+checksum = "74d4d3961e53fa4c9a25a8637fc2bfaf2595b3d3ae34875568a5cf64787716be"
dependencies = [
"zerocopy-derive",
]
[[package]]
name = "zerocopy-derive"
-version = "0.7.30"
+version = "0.7.32"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "be912bf68235a88fbefd1b73415cb218405958d1655b2ece9035a19920bdf6ba"
+checksum = "9ce1b18ccd8e73a9321186f97e46f9f04b778851177567b1975109d26a08d2a6"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.41",
+ "syn 2.0.48",
]
[[package]]
@@ -5956,7 +6485,7 @@ checksum = "e6a647510471d372f2e6c2e6b7219e44d8c574d24fdc11c610a61455782f18c3"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.41",
+ "syn 2.0.48",
"synstructure",
]
@@ -5990,7 +6519,7 @@ checksum = "7b4e5997cbf58990550ef1f0e5124a05e47e1ebd33a84af25739be6031a62c20"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.41",
+ "syn 2.0.48",
]
[[package]]
@@ -6023,7 +6552,7 @@ version = "3.15.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "934d7a7dfc310d6ee06c87ffe88ef4eca7d3e37bb251dece2ef93da8f17d8ecd"
dependencies = [
- "proc-macro-crate",
+ "proc-macro-crate 1.3.1",
"proc-macro2",
"quote",
"syn 1.0.109",
diff --git a/pkgs/by-name/co/cosmic-settings/package.nix b/pkgs/by-name/co/cosmic-settings/package.nix
index ee7760d8ed11..793fc324a2ff 100644
--- a/pkgs/by-name/co/cosmic-settings/package.nix
+++ b/pkgs/by-name/co/cosmic-settings/package.nix
@@ -5,6 +5,7 @@
, cmake
, makeBinaryWrapper
, cosmic-icons
+, cosmic-randr
, just
, pkg-config
, libxkbcommon
@@ -14,34 +15,38 @@
, wayland
, expat
, udev
+, util-linux
}:
rustPlatform.buildRustPackage rec {
pname = "cosmic-settings";
- version = "unstable-2024-01-09";
+ version = "0-unstable-2024-02-15";
src = fetchFromGitHub {
owner = "pop-os";
repo = pname;
- rev = "f2148eed9a56ef1b5ba73db73e15486e188e01b7";
- hash = "sha256-JUiUC/RNR1cqJouUEneHZotkN2M18vJhv+ATvGFrQxU=";
+ rev = "82ee8a693cb2e1f727aa600f62a24d5de5d685d6";
+ hash = "sha256-OGei48Eu0kBXlWwGQaRZULAOnKyrDjCXV8OuWdOmv8E=";
};
cargoLock = {
lockFile = ./Cargo.lock;
outputHashes = {
- "accesskit-0.11.0" = "sha256-xVhe6adUb8VmwIKKjHxwCwOo5Y1p3Or3ylcJJdLDrrE=";
+ "accesskit-0.12.2" = "sha256-ksaYMGT/oug7isQY8/1WD97XDUsX2ShBdabUzxWffYw=";
"atomicwrites-0.4.2" = "sha256-QZSuGPrJXh+svMeFWqAXoqZQxLq/WfIiamqvjJNVhxA=";
- "cosmic-bg-config-0.1.0" = "sha256-fdRFndhwISmbTqmXfekFqh+Wrtdjg3vSZut4IAQUBbA=";
- "cosmic-comp-config-0.1.0" = "sha256-xN5VbxRO50BPU0VP1rSOkq3TS2WTiCGavJS8o05Jw50=";
- "cosmic-config-0.1.0" = "sha256-/oAG5xu0Lnsw/CIGXrvoC3pKkj5aS0qubWIPozQDSsY=";
- "cosmic-client-toolkit-0.1.0" = "sha256-AEgvF7i/OWPdEMi8WUaAg99igBwE/AexhAXHxyeJMdc=";
- "cosmic-panel-config-0.1.0" = "sha256-SDqNLuj219FMqlO2devw/DD04RJfSBJLDLH/4ObRCl8=";
- "glyphon-0.3.0" = "sha256-Uw1zbHVAjB3pUfUd8GnFUnske3Gxs+RktrbaFJfK430=";
- "smithay-client-toolkit-0.18.0" = "sha256-9NwNrEC+csTVtmXrNQFvOgohTGUO2VCvqOME7SnDCOg=";
- "softbuffer-0.3.3" = "sha256-eKYFVr6C1+X6ulidHIu9SP591rJxStxwL9uMiqnXx4k=";
+ "cosmic-bg-config-0.1.0" = "sha256-2P2NcgDmytvBCMbG8isfZrX+JirMwAz8qjW3BhfhebI=";
+ "cosmic-comp-config-0.1.0" = "sha256-btXMVpgf6CKSXuUeNydreibgrRvBwiljYucaoch6RKs=";
+ "cosmic-config-0.1.0" = "sha256-QDcU9kVRHJmr8yuHq5C0RahQz0xBMkmDboW9Y2Tsk5s=";
+ "cosmic-client-toolkit-0.1.0" = "sha256-vj7Wm1uJ5ULvGNEwKznNhujCZQiuntsWMyKQbIVaO/Q=";
+ "cosmic-panel-config-0.1.0" = "sha256-gPQ5BsLvhnopnnGeKbUizmgk0yhEEgSD0etX9YEWc5E=";
+ "cosmic-randr-shell-0.1.0" = "sha256-t1PM/uIM+lbBwgFsKnRiqPZnlb4dxZnN72MfnW0HU/0=";
+ "cosmic-text-0.11.2" = "sha256-EG0jERREWR4MBWKgFmE/t6SpTTQRXK76PPa7+/TAKOA=";
+ "d3d12-0.19.0" = "sha256-usrxQXWLGJDjmIdw1LBXtBvX+CchZDvE8fHC0LjvhD4=";
+ "glyphon-0.5.0" = "sha256-j1HrbEpUBqazWqNfJhpyjWuxYAxkvbXzRKeSouUoPWg=";
+ "smithay-client-toolkit-0.18.0" = "sha256-2WbDKlSGiyVmi7blNBr2Aih9FfF2dq/bny57hoA4BrE=";
+ "softbuffer-0.4.1" = "sha256-a0bUFz6O8CWRweNt/OxTvflnPYwO5nm6vsyc/WcXyNg=";
"taffy-0.3.11" = "sha256-SCx9GEIJjWdoNVyq+RZAGn0N71qraKZxf9ZWhvyzLaI=";
- "xdg-shell-wrapper-config-0.1.0" = "sha256-3Dc2fU8xBVUmAs0Q1zEdcdG7vlxpBO+UIlyM/kzGcC4=";
+ "xdg-shell-wrapper-config-0.1.0" = "sha256-PfuybCDLeRcVCkVxFK2T9BnL2uJz7C4EEPDZ9cWlPqk=";
};
};
@@ -50,7 +55,7 @@ rustPlatform.buildRustPackage rec {
'';
nativeBuildInputs = [ cmake just pkg-config makeBinaryWrapper ];
- buildInputs = [ libxkbcommon libinput fontconfig freetype wayland expat udev ];
+ buildInputs = [ libxkbcommon libinput fontconfig freetype wayland expat udev util-linux ];
dontUseJustBuild = true;
@@ -65,7 +70,8 @@ rustPlatform.buildRustPackage rec {
postInstall = ''
wrapProgram "$out/bin/cosmic-settings" \
- --suffix XDG_DATA_DIRS : "${cosmic-icons}/share"
+ --prefix PATH : ${lib.makeBinPath [ cosmic-randr ]} \
+ --suffix XDG_DATA_DIRS : "$out/share:${cosmic-icons}/share"
'';
meta = with lib; {
diff --git a/pkgs/by-name/co/cosmic-store/Cargo.lock b/pkgs/by-name/co/cosmic-store/Cargo.lock
new file mode 100644
index 000000000000..7d7e8ef1b9a3
--- /dev/null
+++ b/pkgs/by-name/co/cosmic-store/Cargo.lock
@@ -0,0 +1,6525 @@
+# This file is automatically @generated by Cargo.
+# It is not intended for manual editing.
+version = 3
+
+[[package]]
+name = "ab_glyph"
+version = "0.2.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "80179d7dd5d7e8c285d67c4a1e652972a92de7475beddfb92028c76463b13225"
+dependencies = [
+ "ab_glyph_rasterizer",
+ "owned_ttf_parser",
+]
+
+[[package]]
+name = "ab_glyph_rasterizer"
+version = "0.1.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c71b1793ee61086797f5c80b6efa2b8ffa6d5dd703f118545808a7f2e27f7046"
+
+[[package]]
+name = "accesskit"
+version = "0.12.2"
+source = "git+https://github.com/wash2/accesskit.git?branch=winit-0.29#5f9b61c8264000d001499c902562422e13efa7a8"
+
+[[package]]
+name = "accesskit_consumer"
+version = "0.17.0"
+source = "git+https://github.com/wash2/accesskit.git?branch=winit-0.29#5f9b61c8264000d001499c902562422e13efa7a8"
+dependencies = [
+ "accesskit",
+]
+
+[[package]]
+name = "accesskit_macos"
+version = "0.11.0"
+source = "git+https://github.com/wash2/accesskit.git?branch=winit-0.29#5f9b61c8264000d001499c902562422e13efa7a8"
+dependencies = [
+ "accesskit",
+ "accesskit_consumer",
+ "icrate 0.1.0",
+ "objc2 0.5.0",
+ "once_cell",
+]
+
+[[package]]
+name = "accesskit_unix"
+version = "0.7.1"
+source = "git+https://github.com/wash2/accesskit.git?branch=winit-0.29#5f9b61c8264000d001499c902562422e13efa7a8"
+dependencies = [
+ "accesskit",
+ "accesskit_consumer",
+ "async-channel",
+ "async-executor",
+ "async-task",
+ "atspi",
+ "futures-lite 1.13.0",
+ "futures-util",
+ "once_cell",
+ "serde",
+ "zbus",
+]
+
+[[package]]
+name = "accesskit_windows"
+version = "0.16.0"
+source = "git+https://github.com/wash2/accesskit.git?branch=winit-0.29#5f9b61c8264000d001499c902562422e13efa7a8"
+dependencies = [
+ "accesskit",
+ "accesskit_consumer",
+ "once_cell",
+ "paste",
+ "static_assertions",
+ "windows 0.48.0",
+]
+
+[[package]]
+name = "accesskit_winit"
+version = "0.18.1"
+source = "git+https://github.com/wash2/accesskit.git?branch=winit-0.29#5f9b61c8264000d001499c902562422e13efa7a8"
+dependencies = [
+ "accesskit",
+ "accesskit_macos",
+ "accesskit_unix",
+ "accesskit_windows",
+ "raw-window-handle 0.6.0",
+ "winit",
+]
+
+[[package]]
+name = "addr2line"
+version = "0.21.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8a30b2e23b9e17a9f90641c7ab1549cd9b44f296d3ccbf309d2863cfe398a0cb"
+dependencies = [
+ "gimli",
+]
+
+[[package]]
+name = "adler"
+version = "1.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f26201604c87b1e01bd3d98f8d5d9a8fcbb815e8cedb41ffccbeb4bf593a35fe"
+
+[[package]]
+name = "ahash"
+version = "0.8.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e89da841a80418a9b391ebaea17f5c112ffaaa96f621d2c285b5174da76b9011"
+dependencies = [
+ "cfg-if",
+ "getrandom",
+ "once_cell",
+ "version_check",
+ "zerocopy",
+]
+
+[[package]]
+name = "aho-corasick"
+version = "1.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b2969dcb958b36655471fc61f7e416fa76033bdd4bfed0678d8fee1e2d07a1f0"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "aliasable"
+version = "0.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "250f629c0161ad8107cf89319e990051fae62832fd343083bea452d93e2205fd"
+
+[[package]]
+name = "allocator-api2"
+version = "0.2.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0942ffc6dcaadf03badf6e6a2d0228460359d5e34b57ccdc720b7382dfbd5ec5"
+
+[[package]]
+name = "almost"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3aa2999eb46af81abb65c2d30d446778d7e613b60bbf4e174a027e80f90a3c14"
+
+[[package]]
+name = "android-activity"
+version = "0.5.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ee91c0c2905bae44f84bfa4e044536541df26b7703fd0888deeb9060fcc44289"
+dependencies = [
+ "android-properties",
+ "bitflags 2.4.2",
+ "cc",
+ "cesu8",
+ "jni",
+ "jni-sys",
+ "libc",
+ "log",
+ "ndk",
+ "ndk-context",
+ "ndk-sys",
+ "num_enum",
+ "thiserror",
+]
+
+[[package]]
+name = "android-properties"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fc7eb209b1518d6bb87b283c20095f5228ecda460da70b44f0802523dea6da04"
+
+[[package]]
+name = "android-tzdata"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e999941b234f3131b00bc13c22d06e8c5ff726d1b6318ac7eb276997bbb4fef0"
+
+[[package]]
+name = "android_system_properties"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "819e7219dbd41043ac279b19830f2efc897156490d7fd6ea916720117ee66311"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "any_ascii"
+version = "0.1.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "70033777eb8b5124a81a1889416543dddef2de240019b674c81285a2635a7e1e"
+
+[[package]]
+name = "apply"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f47b57fc4521e3cae26a4d45b5227f8fadee4c345be0fefd8d5d1711afb8aeb9"
+
+[[package]]
+name = "approx"
+version = "0.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cab112f0a86d568ea0e627cc1d6be74a1e9cd55214684db5561995f6dad897c6"
+dependencies = [
+ "num-traits",
+]
+
+[[package]]
+name = "appstream"
+version = "0.2.2"
+source = "git+https://github.com/jackpot51/appstream.git#d174d1df122ce1828660be2648dc2a3add8b7bd3"
+dependencies = [
+ "chrono",
+ "serde",
+ "serde_derive",
+ "strum",
+ "strum_macros",
+ "thiserror",
+ "url",
+ "xmltree",
+]
+
+[[package]]
+name = "arc-swap"
+version = "1.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7b3d0060af21e8d11a926981cc00c6c1541aa91dd64b9f881985c3da1094425f"
+
+[[package]]
+name = "arrayref"
+version = "0.3.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6b4930d2cb77ce62f89ee5d5289b4ac049559b1c45539271f5ed4fdc7db34545"
+
+[[package]]
+name = "arrayvec"
+version = "0.7.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "96d30a06541fbafbc7f82ed10c06164cfbd2c401138f6addd8404629c4b16711"
+
+[[package]]
+name = "as-raw-xcb-connection"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "175571dd1d178ced59193a6fc02dde1b972eb0bc56c892cde9beeceac5bf0f6b"
+
+[[package]]
+name = "ash"
+version = "0.37.3+1.3.251"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "39e9c3835d686b0a6084ab4234fcd1b07dbf6e4767dce60874b12356a25ecd4a"
+dependencies = [
+ "libloading 0.7.4",
+]
+
+[[package]]
+name = "ashpd"
+version = "0.6.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4ac22eda5891cc086690cb6fa10121c0390de0e3b04eb269f2d766b00d3f2d81"
+dependencies = [
+ "enumflags2",
+ "futures-channel",
+ "futures-util",
+ "once_cell",
+ "rand",
+ "serde",
+ "serde_repr",
+ "tokio",
+ "url",
+ "zbus",
+]
+
+[[package]]
+name = "ashpd"
+version = "0.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "01992ad7774250d5b7fe214e2676cb99bf92564436d8135ab44fe815e71769a9"
+dependencies = [
+ "enumflags2",
+ "futures-channel",
+ "futures-util",
+ "rand",
+ "serde",
+ "serde_repr",
+ "tokio",
+ "url",
+ "zbus",
+]
+
+[[package]]
+name = "async-broadcast"
+version = "0.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7c48ccdbf6ca6b121e0f586cbc0e73ae440e56c67c30fa0873b4e110d9c26d2b"
+dependencies = [
+ "event-listener 2.5.3",
+ "futures-core",
+]
+
+[[package]]
+name = "async-channel"
+version = "2.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f28243a43d821d11341ab73c80bed182dc015c514b951616cf79bd4af39af0c3"
+dependencies = [
+ "concurrent-queue",
+ "event-listener 5.2.0",
+ "event-listener-strategy 0.5.0",
+ "futures-core",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "async-executor"
+version = "1.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "17ae5ebefcc48e7452b4987947920dac9450be1110cadf34d1b8c116bdbaf97c"
+dependencies = [
+ "async-lock 3.3.0",
+ "async-task",
+ "concurrent-queue",
+ "fastrand 2.0.1",
+ "futures-lite 2.2.0",
+ "slab",
+]
+
+[[package]]
+name = "async-fs"
+version = "1.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "279cf904654eeebfa37ac9bb1598880884924aab82e290aa65c9e77a0e142e06"
+dependencies = [
+ "async-lock 2.8.0",
+ "autocfg",
+ "blocking",
+ "futures-lite 1.13.0",
+]
+
+[[package]]
+name = "async-io"
+version = "1.13.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0fc5b45d93ef0529756f812ca52e44c221b35341892d3dcc34132ac02f3dd2af"
+dependencies = [
+ "async-lock 2.8.0",
+ "autocfg",
+ "cfg-if",
+ "concurrent-queue",
+ "futures-lite 1.13.0",
+ "log",
+ "parking",
+ "polling 2.8.0",
+ "rustix 0.37.27",
+ "slab",
+ "socket2 0.4.10",
+ "waker-fn",
+]
+
+[[package]]
+name = "async-io"
+version = "2.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f97ab0c5b00a7cdbe5a371b9a782ee7be1316095885c8a4ea1daf490eb0ef65"
+dependencies = [
+ "async-lock 3.3.0",
+ "cfg-if",
+ "concurrent-queue",
+ "futures-io",
+ "futures-lite 2.2.0",
+ "parking",
+ "polling 3.5.0",
+ "rustix 0.38.31",
+ "slab",
+ "tracing",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "async-lock"
+version = "2.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "287272293e9d8c41773cec55e365490fe034813a2f172f502d6ddcf75b2f582b"
+dependencies = [
+ "event-listener 2.5.3",
+]
+
+[[package]]
+name = "async-lock"
+version = "3.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d034b430882f8381900d3fe6f0aaa3ad94f2cb4ac519b429692a1bc2dda4ae7b"
+dependencies = [
+ "event-listener 4.0.3",
+ "event-listener-strategy 0.4.0",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "async-process"
+version = "1.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ea6438ba0a08d81529c69b36700fa2f95837bfe3e776ab39cde9c14d9149da88"
+dependencies = [
+ "async-io 1.13.0",
+ "async-lock 2.8.0",
+ "async-signal",
+ "blocking",
+ "cfg-if",
+ "event-listener 3.1.0",
+ "futures-lite 1.13.0",
+ "rustix 0.38.31",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "async-recursion"
+version = "1.0.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5fd55a5ba1179988837d24ab4c7cc8ed6efdeff578ede0416b4225a5fca35bd0"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "async-signal"
+version = "0.2.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9e47d90f65a225c4527103a8d747001fc56e375203592b25ad103e1ca13124c5"
+dependencies = [
+ "async-io 2.3.1",
+ "async-lock 2.8.0",
+ "atomic-waker",
+ "cfg-if",
+ "futures-core",
+ "futures-io",
+ "rustix 0.38.31",
+ "signal-hook-registry",
+ "slab",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "async-task"
+version = "4.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fbb36e985947064623dbd357f727af08ffd077f93d696782f3c56365fa2e2799"
+
+[[package]]
+name = "async-trait"
+version = "0.1.77"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c980ee35e870bd1a4d2c8294d4c04d0499e67bca1e4b5cefcc693c2fa00caea9"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "atk-sys"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "251e0b7d90e33e0ba930891a505a9a35ece37b2dd37a14f3ffc306c13b980009"
+dependencies = [
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps",
+]
+
+[[package]]
+name = "atomic-waker"
+version = "1.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1505bd5d3d116872e7271a6d4e16d81d0c8570876c8de68093a09ac269d8aac0"
+
+[[package]]
+name = "atomicwrites"
+version = "0.4.2"
+source = "git+https://github.com/jackpot51/rust-atomicwrites#043ab4859d53ffd3d55334685303d8df39c9f768"
+dependencies = [
+ "rustix 0.38.31",
+ "tempfile",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "atomicwrites"
+version = "0.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fc7b2dbe9169059af0f821e811180fddc971fc210c776c133c7819ccd6e478db"
+dependencies = [
+ "rustix 0.38.31",
+ "tempfile",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "atspi"
+version = "0.19.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6059f350ab6f593ea00727b334265c4dfc7fd442ee32d264794bd9bdc68e87ca"
+dependencies = [
+ "atspi-common",
+ "atspi-connection",
+ "atspi-proxies",
+]
+
+[[package]]
+name = "atspi-common"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "92af95f966d2431f962bc632c2e68eda7777330158bf640c4af4249349b2cdf5"
+dependencies = [
+ "enumflags2",
+ "serde",
+ "static_assertions",
+ "zbus",
+ "zbus_names",
+ "zvariant",
+]
+
+[[package]]
+name = "atspi-connection"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a0c65e7d70f86d4c0e3b2d585d9bf3f979f0b19d635a336725a88d279f76b939"
+dependencies = [
+ "atspi-common",
+ "atspi-proxies",
+ "futures-lite 1.13.0",
+ "zbus",
+]
+
+[[package]]
+name = "atspi-proxies"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6495661273703e7a229356dcbe8c8f38223d697aacfaf0e13590a9ac9977bb52"
+dependencies = [
+ "atspi-common",
+ "serde",
+ "zbus",
+]
+
+[[package]]
+name = "autocfg"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d468802bab17cbc0cc575e9b053f41e72aa36bfa6b7f55e3529ffa43161b97fa"
+
+[[package]]
+name = "backtrace"
+version = "0.3.69"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2089b7e3f35b9dd2d0ed921ead4f6d318c27680d4a5bd167b3ee120edb105837"
+dependencies = [
+ "addr2line",
+ "cc",
+ "cfg-if",
+ "libc",
+ "miniz_oxide",
+ "object",
+ "rustc-demangle",
+]
+
+[[package]]
+name = "base64"
+version = "0.21.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9d297deb1925b89f2ccc13d7635fa0714f12c87adce1c75356b39ca9b7178567"
+
+[[package]]
+name = "bit-set"
+version = "0.5.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0700ddab506f33b20a03b13996eccd309a48e5ff77d0d95926aa0210fb4e95f1"
+dependencies = [
+ "bit-vec",
+]
+
+[[package]]
+name = "bit-vec"
+version = "0.6.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "349f9b6a179ed607305526ca489b34ad0a41aed5f7980fa90eb03160b69598fb"
+
+[[package]]
+name = "bit_field"
+version = "0.10.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dc827186963e592360843fb5ba4b973e145841266c1357f7180c43526f2e5b61"
+
+[[package]]
+name = "bitcode"
+version = "0.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e8a733ab290c6e6b2859bba3e68fd1a4ca3eee0577f21ea46049a3529e500627"
+dependencies = [
+ "bitcode_derive",
+ "bytemuck",
+ "from_bytes_or_zeroed",
+ "residua-zigzag",
+ "serde",
+ "simdutf8",
+]
+
+[[package]]
+name = "bitcode_derive"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4c25ffc293cbf807499083d016ce3bc0459aaa7fd624c1cc563718a2440cb38a"
+dependencies = [
+ "packagemerge",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "bitflags"
+version = "1.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a"
+
+[[package]]
+name = "bitflags"
+version = "2.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ed570934406eb16438a4e976b1b4500774099c13b8cb96eec99f620f05090ddf"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "block"
+version = "0.1.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0d8c1fef690941d3e7788d328517591fecc684c084084702d6ff1641e993699a"
+
+[[package]]
+name = "block-buffer"
+version = "0.10.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3078c7629b62d3f0439517fa394996acacc5cbc91c5a20d8c658e77abd503a71"
+dependencies = [
+ "generic-array",
+]
+
+[[package]]
+name = "block-sys"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ae85a0696e7ea3b835a453750bf002770776609115e6d25c6d2ff28a8200f7e7"
+dependencies = [
+ "objc-sys",
+]
+
+[[package]]
+name = "block2"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "15b55663a85f33501257357e6421bb33e769d5c9ffb5ba0921c975a123e35e68"
+dependencies = [
+ "block-sys",
+ "objc2 0.4.1",
+]
+
+[[package]]
+name = "block2"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e58aa60e59d8dbfcc36138f5f18be5f24394d33b38b24f7fd0b1caa33095f22f"
+dependencies = [
+ "block-sys",
+ "objc2 0.5.0",
+]
+
+[[package]]
+name = "blocking"
+version = "1.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6a37913e8dc4ddcc604f0c6d3bf2887c995153af3611de9e23c352b44c1b9118"
+dependencies = [
+ "async-channel",
+ "async-lock 3.3.0",
+ "async-task",
+ "fastrand 2.0.1",
+ "futures-io",
+ "futures-lite 2.2.0",
+ "piper",
+ "tracing",
+]
+
+[[package]]
+name = "bumpalo"
+version = "3.15.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7ff69b9dd49fd426c69a0db9fc04dd934cdb6645ff000864d98f7e2af8830eaa"
+
+[[package]]
+name = "bytemuck"
+version = "1.14.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a2ef034f05691a48569bd920a96c81b9d91bbad1ab5ac7c4616c1f6ef36cb79f"
+dependencies = [
+ "bytemuck_derive",
+]
+
+[[package]]
+name = "bytemuck_derive"
+version = "1.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "965ab7eb5f8f97d2a083c799f3a1b994fc397b2fe2da5d1da1626ce15a39f2b1"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "byteorder"
+version = "1.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1fd0f2584146f6f2ef48085050886acf353beff7305ebd1ae69500e27c67f64b"
+
+[[package]]
+name = "bytes"
+version = "1.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a2bd12c1caf447e69cd4528f47f94d203fd2582878ecb9e9465484c4148a8223"
+
+[[package]]
+name = "cairo-sys-rs"
+version = "0.18.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "685c9fa8e590b8b3d678873528d83411db17242a73fccaed827770ea0fedda51"
+dependencies = [
+ "libc",
+ "system-deps",
+]
+
+[[package]]
+name = "calloop"
+version = "0.12.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fba7adb4dd5aa98e5553510223000e7148f621165ec5f9acd7113f6ca4995298"
+dependencies = [
+ "bitflags 2.4.2",
+ "log",
+ "polling 3.5.0",
+ "rustix 0.38.31",
+ "slab",
+ "thiserror",
+]
+
+[[package]]
+name = "calloop-wayland-source"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0f0ea9b9476c7fad82841a8dbb380e2eae480c21910feba80725b46931ed8f02"
+dependencies = [
+ "calloop",
+ "rustix 0.38.31",
+ "wayland-backend",
+ "wayland-client",
+]
+
+[[package]]
+name = "cc"
+version = "1.0.90"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8cd6604a82acf3039f1144f54b8eb34e91ffba622051189e71b781822d5ee1f5"
+dependencies = [
+ "jobserver",
+ "libc",
+]
+
+[[package]]
+name = "cesu8"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6d43a04d8753f35258c91f8ec639f792891f748a1edbd759cf1dcea3382ad83c"
+
+[[package]]
+name = "cfg-expr"
+version = "0.15.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fa50868b64a9a6fda9d593ce778849ea8715cd2a3d2cc17ffdb4a2f2f2f1961d"
+dependencies = [
+ "smallvec",
+ "target-lexicon",
+]
+
+[[package]]
+name = "cfg-if"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd"
+
+[[package]]
+name = "cfg_aliases"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fd16c4719339c4530435d38e511904438d07cce7950afa3718a84ac36c10e89e"
+
+[[package]]
+name = "cfg_aliases"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "77e53693616d3075149f4ead59bdeecd204ac6b8192d8969757601b74bddf00f"
+
+[[package]]
+name = "chrono"
+version = "0.4.35"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8eaf5903dcbc0a39312feb77df2ff4c76387d591b9fc7b04a238dcf8bb62639a"
+dependencies = [
+ "android-tzdata",
+ "iana-time-zone",
+ "js-sys",
+ "num-traits",
+ "serde",
+ "wasm-bindgen",
+ "windows-targets 0.52.4",
+]
+
+[[package]]
+name = "clipboard-win"
+version = "5.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "12f9a0700e0127ba15d1d52dd742097f821cd9c65939303a44d970465040a297"
+dependencies = [
+ "error-code",
+]
+
+[[package]]
+name = "clipboard_macos"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "145a7f9e9b89453bc0a5e32d166456405d389cea5b578f57f1274b1397588a95"
+dependencies = [
+ "objc",
+ "objc-foundation",
+ "objc_id",
+]
+
+[[package]]
+name = "clipboard_wayland"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "003f886bc4e2987729d10c1db3424e7f80809f3fc22dbc16c685738887cb37b8"
+dependencies = [
+ "smithay-clipboard",
+]
+
+[[package]]
+name = "clipboard_x11"
+version = "0.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4274ea815e013e0f9f04a2633423e14194e408a0576c943ce3d14ca56c50031c"
+dependencies = [
+ "thiserror",
+ "x11rb",
+]
+
+[[package]]
+name = "cocoa"
+version = "0.25.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f6140449f97a6e97f9511815c5632d84c8aacf8ac271ad77c559218161a1373c"
+dependencies = [
+ "bitflags 1.3.2",
+ "block",
+ "cocoa-foundation",
+ "core-foundation",
+ "core-graphics",
+ "foreign-types 0.5.0",
+ "libc",
+ "objc",
+]
+
+[[package]]
+name = "cocoa-foundation"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8c6234cbb2e4c785b456c0644748b1ac416dd045799740356f8363dfe00c93f7"
+dependencies = [
+ "bitflags 1.3.2",
+ "block",
+ "core-foundation",
+ "core-graphics-types",
+ "libc",
+ "objc",
+]
+
+[[package]]
+name = "codespan-reporting"
+version = "0.11.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3538270d33cc669650c4b093848450d380def10c331d38c768e34cac80576e6e"
+dependencies = [
+ "termcolor",
+ "unicode-width",
+]
+
+[[package]]
+name = "color_quant"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3d7b894f5411737b7867f4827955924d7c254fc9f4d91a6aad6b097804b1018b"
+
+[[package]]
+name = "com"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7e17887fd17353b65b1b2ef1c526c83e26cd72e74f598a8dc1bee13a48f3d9f6"
+dependencies = [
+ "com_macros",
+]
+
+[[package]]
+name = "com_macros"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d375883580a668c7481ea6631fc1a8863e33cc335bf56bfad8d7e6d4b04b13a5"
+dependencies = [
+ "com_macros_support",
+ "proc-macro2",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "com_macros_support"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ad899a1087a9296d5644792d7cb72b8e34c1bec8e7d4fbc002230169a6e8710c"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "combine"
+version = "4.6.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "35ed6e9d84f0b51a7f52daf1c7d71dd136fd7a3f41a8462b8cdb8c78d920fad4"
+dependencies = [
+ "bytes",
+ "memchr",
+]
+
+[[package]]
+name = "concurrent-queue"
+version = "2.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d16048cd947b08fa32c24458a22f5dc5e835264f689f4f5653210c69fd107363"
+dependencies = [
+ "crossbeam-utils",
+]
+
+[[package]]
+name = "const-random"
+version = "0.1.18"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "87e00182fe74b066627d63b85fd550ac2998d4b0bd86bfed477a0ae4c7c71359"
+dependencies = [
+ "const-random-macro",
+]
+
+[[package]]
+name = "const-random-macro"
+version = "0.1.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f9d839f2a20b0aee515dc581a6172f2321f96cab76c1a38a4c584a194955390e"
+dependencies = [
+ "getrandom",
+ "once_cell",
+ "tiny-keccak",
+]
+
+[[package]]
+name = "core-foundation"
+version = "0.9.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "91e195e091a93c46f7102ec7818a2aa394e1e1771c3ab4825963fa03e45afb8f"
+dependencies = [
+ "core-foundation-sys",
+ "libc",
+]
+
+[[package]]
+name = "core-foundation-sys"
+version = "0.8.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "06ea2b9bc92be3c2baa9334a323ebca2d6f074ff852cd1d7b11064035cd3868f"
+
+[[package]]
+name = "core-graphics"
+version = "0.23.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "970a29baf4110c26fedbc7f82107d42c23f7e88e404c4577ed73fe99ff85a212"
+dependencies = [
+ "bitflags 1.3.2",
+ "core-foundation",
+ "core-graphics-types",
+ "foreign-types 0.5.0",
+ "libc",
+]
+
+[[package]]
+name = "core-graphics-types"
+version = "0.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "45390e6114f68f718cc7a830514a96f903cccd70d02a8f6d9f643ac4ba45afaf"
+dependencies = [
+ "bitflags 1.3.2",
+ "core-foundation",
+ "libc",
+]
+
+[[package]]
+name = "cosmic-config"
+version = "0.1.0"
+source = "git+https://github.com/pop-os/libcosmic.git#2b23005fbff5a3d7e218a867e1aadb491abeac55"
+dependencies = [
+ "atomicwrites 0.4.2",
+ "cosmic-config-derive",
+ "dirs 5.0.1",
+ "iced_futures",
+ "known-folders",
+ "notify",
+ "once_cell",
+ "ron",
+ "serde",
+ "xdg",
+]
+
+[[package]]
+name = "cosmic-config-derive"
+version = "0.1.0"
+source = "git+https://github.com/pop-os/libcosmic.git#2b23005fbff5a3d7e218a867e1aadb491abeac55"
+dependencies = [
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "cosmic-store"
+version = "0.1.0"
+dependencies = [
+ "appstream",
+ "atomicwrites 0.4.3",
+ "bitcode",
+ "dirs 5.0.1",
+ "env_logger",
+ "flate2",
+ "freedesktop_entry_parser",
+ "i18n-embed",
+ "i18n-embed-fl",
+ "lazy_static",
+ "lexical-sort",
+ "libc",
+ "libcosmic",
+ "libflatpak",
+ "log",
+ "packagekit-zbus",
+ "paste",
+ "rayon",
+ "regex",
+ "reqwest",
+ "rust-embed",
+ "serde",
+ "serde_yaml",
+ "smol_str",
+ "sys-locale",
+ "tokio",
+ "xdg",
+]
+
+[[package]]
+name = "cosmic-text"
+version = "0.11.2"
+source = "git+https://github.com/pop-os/cosmic-text.git#a53a0b3a8c085143470a9d26ac2c2911cc479033"
+dependencies = [
+ "bitflags 2.4.2",
+ "fontdb",
+ "libm",
+ "log",
+ "rangemap",
+ "rustc-hash",
+ "rustybuzz",
+ "self_cell 1.0.3",
+ "swash",
+ "sys-locale",
+ "ttf-parser",
+ "unicode-bidi",
+ "unicode-linebreak",
+ "unicode-script",
+ "unicode-segmentation",
+]
+
+[[package]]
+name = "cosmic-theme"
+version = "0.1.0"
+source = "git+https://github.com/pop-os/libcosmic.git#2b23005fbff5a3d7e218a867e1aadb491abeac55"
+dependencies = [
+ "almost",
+ "cosmic-config",
+ "csscolorparser",
+ "lazy_static",
+ "palette",
+ "ron",
+ "serde",
+]
+
+[[package]]
+name = "cpufeatures"
+version = "0.2.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "53fe5e26ff1b7aef8bca9c6080520cfb8d9333c7568e1829cef191a9723e5504"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "crc32fast"
+version = "1.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b3855a8a784b474f333699ef2bbca9db2c4a1f6d9088a90a2d25b1eb53111eaa"
+dependencies = [
+ "cfg-if",
+]
+
+[[package]]
+name = "crossbeam-channel"
+version = "0.5.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ab3db02a9c5b5121e1e42fbdb1aeb65f5e02624cc58c43f2884c6ccac0b82f95"
+dependencies = [
+ "crossbeam-utils",
+]
+
+[[package]]
+name = "crossbeam-deque"
+version = "0.8.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "613f8cc01fe9cf1a3eb3d7f488fd2fa8388403e97039e2f73692932e291a770d"
+dependencies = [
+ "crossbeam-epoch",
+ "crossbeam-utils",
+]
+
+[[package]]
+name = "crossbeam-epoch"
+version = "0.9.18"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5b82ac4a3c2ca9c3460964f020e1402edd5753411d7737aa39c3714ad1b5420e"
+dependencies = [
+ "crossbeam-utils",
+]
+
+[[package]]
+name = "crossbeam-utils"
+version = "0.8.19"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "248e3bacc7dc6baa3b21e405ee045c3047101a49145e7e9eca583ab4c2ca5345"
+
+[[package]]
+name = "crunchy"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7a81dae078cea95a014a339291cec439d2f232ebe854a9d672b796c6afafa9b7"
+
+[[package]]
+name = "crypto-common"
+version = "0.1.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1bfb12502f3fc46cca1bb51ac28df9d618d813cdc3d2f25b9fe775a34af26bb3"
+dependencies = [
+ "generic-array",
+ "typenum",
+]
+
+[[package]]
+name = "css-color"
+version = "0.2.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "42aaeae719fd78ce501d77c6cdf01f7e96f26bcd5617a4903a1c2b97e388543a"
+
+[[package]]
+name = "csscolorparser"
+version = "0.6.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eb2a7d3066da2de787b7f032c736763eb7ae5d355f81a68bab2675a96008b0bf"
+dependencies = [
+ "phf",
+ "serde",
+]
+
+[[package]]
+name = "ctor"
+version = "0.2.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ad291aa74992b9b7a7e88c38acbbf6ad7e107f1d90ee8775b7bc1fc3394f485c"
+dependencies = [
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "cursor-icon"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "96a6ac251f4a2aca6b3f91340350eab87ae57c3f127ffeb585e92bd336717991"
+
+[[package]]
+name = "d3d12"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
+dependencies = [
+ "bitflags 2.4.2",
+ "libloading 0.8.3",
+ "winapi",
+]
+
+[[package]]
+name = "darling"
+version = "0.20.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "54e36fcd13ed84ffdfda6f5be89b31287cbb80c439841fe69e04841435464391"
+dependencies = [
+ "darling_core",
+ "darling_macro",
+]
+
+[[package]]
+name = "darling_core"
+version = "0.20.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9c2cf1c23a687a1feeb728783b993c4e1ad83d99f351801977dd809b48d0a70f"
+dependencies = [
+ "fnv",
+ "ident_case",
+ "proc-macro2",
+ "quote",
+ "strsim",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "darling_macro"
+version = "0.20.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a668eda54683121533a393014d8692171709ff57a7d61f187b6e782719f8933f"
+dependencies = [
+ "darling_core",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "dashmap"
+version = "5.5.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "978747c1d849a7d2ee5e8adc0159961c48fb7e5db2f06af6723b80123bb53856"
+dependencies = [
+ "cfg-if",
+ "hashbrown",
+ "lock_api",
+ "once_cell",
+ "parking_lot_core 0.9.9",
+]
+
+[[package]]
+name = "data-url"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5c297a1c74b71ae29df00c3e22dd9534821d60eb9af5a0192823fa2acea70c2a"
+
+[[package]]
+name = "derivative"
+version = "2.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fcc3dd5e9e9c0b295d6e1e4d811fb6f157d5ffd784b8d202fc62eac8035a770b"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "derive_setters"
+version = "0.1.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4e8ef033054e131169b8f0f9a7af8f5533a9436fadf3c500ed547f730f07090d"
+dependencies = [
+ "darling",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "digest"
+version = "0.10.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9ed9a281f7bc9b7576e61468ba615a66a5c8cfdff42420a70aa82701a3b1e292"
+dependencies = [
+ "block-buffer",
+ "crypto-common",
+]
+
+[[package]]
+name = "dirs"
+version = "3.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "30baa043103c9d0c2a57cf537cc2f35623889dc0d405e6c3cccfadbc81c71309"
+dependencies = [
+ "dirs-sys 0.3.7",
+]
+
+[[package]]
+name = "dirs"
+version = "5.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "44c45a9d03d6676652bcb5e724c7e988de1acad23a711b5217ab9cbecbec2225"
+dependencies = [
+ "dirs-sys 0.4.1",
+]
+
+[[package]]
+name = "dirs-sys"
+version = "0.3.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1b1d1d91c932ef41c0f2663aa8b0ca0342d444d842c06914aa0a7e352d0bada6"
+dependencies = [
+ "libc",
+ "redox_users",
+ "winapi",
+]
+
+[[package]]
+name = "dirs-sys"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "520f05a5cbd335fae5a99ff7a6ab8627577660ee5cfd6a94a6a929b52ff0321c"
+dependencies = [
+ "libc",
+ "option-ext",
+ "redox_users",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "dispatch"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bd0c93bb4b0c6d9b77f4435b0ae98c24d17f1c45b2ff844c6151a07256ca923b"
+
+[[package]]
+name = "displaydoc"
+version = "0.2.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "487585f4d0c6655fe74905e2504d8ad6908e4db67f744eb140876906c2f3175d"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "dlib"
+version = "0.5.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "330c60081dcc4c72131f8eb70510f1ac07223e5d4163db481a04a0befcffa412"
+dependencies = [
+ "libloading 0.8.3",
+]
+
+[[package]]
+name = "dlv-list"
+version = "0.5.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "442039f5147480ba31067cb00ada1adae6892028e40e45fc5de7b7df6dcc1b5f"
+dependencies = [
+ "const-random",
+]
+
+[[package]]
+name = "downcast-rs"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9ea835d29036a4087793836fa931b08837ad5e957da9e23886b29586fb9b6650"
+
+[[package]]
+name = "drm"
+version = "0.11.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a0f8a69e60d75ae7dab4ef26a59ca99f2a89d4c142089b537775ae0c198bdcde"
+dependencies = [
+ "bitflags 2.4.2",
+ "bytemuck",
+ "drm-ffi",
+ "drm-fourcc",
+ "rustix 0.38.31",
+]
+
+[[package]]
+name = "drm-ffi"
+version = "0.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "41334f8405792483e32ad05fbb9c5680ff4e84491883d2947a4757dc54cb2ac6"
+dependencies = [
+ "drm-sys",
+ "rustix 0.38.31",
+]
+
+[[package]]
+name = "drm-fourcc"
+version = "2.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0aafbcdb8afc29c1a7ee5fbe53b5d62f4565b35a042a662ca9fecd0b54dae6f4"
+
+[[package]]
+name = "drm-sys"
+version = "0.6.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2d09ff881f92f118b11105ba5e34ff8f4adf27b30dae8f12e28c193af1c83176"
+dependencies = [
+ "libc",
+ "linux-raw-sys 0.6.4",
+]
+
+[[package]]
+name = "either"
+version = "1.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "11157ac094ffbdde99aa67b23417ebdd801842852b500e395a45a9c0aac03e4a"
+
+[[package]]
+name = "encoding_rs"
+version = "0.8.33"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7268b386296a025e474d5140678f75d6de9493ae55a5d709eeb9dd08149945e1"
+dependencies = [
+ "cfg-if",
+]
+
+[[package]]
+name = "enumflags2"
+version = "0.7.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3278c9d5fb675e0a51dabcf4c0d355f692b064171535ba72361be1528a9d8e8d"
+dependencies = [
+ "enumflags2_derive",
+ "serde",
+]
+
+[[package]]
+name = "enumflags2_derive"
+version = "0.7.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5c785274071b1b420972453b306eeca06acf4633829db4223b58a2a8c5953bc4"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "env_logger"
+version = "0.10.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4cd405aab171cb85d6735e5c8d9db038c17d3ca007a4d2c25f337935c3d90580"
+dependencies = [
+ "humantime",
+ "is-terminal",
+ "log",
+ "regex",
+ "termcolor",
+]
+
+[[package]]
+name = "equivalent"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5443807d6dff69373d433ab9ef5378ad8df50ca6298caf15de6e52e24aaf54d5"
+
+[[package]]
+name = "errno"
+version = "0.3.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a258e46cdc063eb8519c00b9fc845fc47bcfca4130e2f08e88665ceda8474245"
+dependencies = [
+ "libc",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "error-code"
+version = "3.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a0474425d51df81997e2f90a21591180b38eccf27292d755f3e30750225c175b"
+
+[[package]]
+name = "etagere"
+version = "0.2.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "306960881d6c46bd0dd6b7f07442a441418c08d0d3e63d8d080b0f64c6343e4e"
+dependencies = [
+ "euclid",
+ "svg_fmt",
+]
+
+[[package]]
+name = "euclid"
+version = "0.22.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "87f253bc5c813ca05792837a0ff4b3a580336b224512d48f7eda1d7dd9210787"
+dependencies = [
+ "num-traits",
+]
+
+[[package]]
+name = "event-listener"
+version = "2.5.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0206175f82b8d6bf6652ff7d71a1e27fd2e4efde587fd368662814d6ec1d9ce0"
+
+[[package]]
+name = "event-listener"
+version = "3.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d93877bcde0eb80ca09131a08d23f0a5c18a620b01db137dba666d18cd9b30c2"
+dependencies = [
+ "concurrent-queue",
+ "parking",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "event-listener"
+version = "4.0.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "67b215c49b2b248c855fb73579eb1f4f26c38ffdc12973e20e07b91d78d5646e"
+dependencies = [
+ "concurrent-queue",
+ "parking",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "event-listener"
+version = "5.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2b5fb89194fa3cad959b833185b3063ba881dbfc7030680b314250779fb4cc91"
+dependencies = [
+ "concurrent-queue",
+ "parking",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "event-listener-strategy"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "958e4d70b6d5e81971bebec42271ec641e7ff4e170a6fa605f2b8a8b65cb97d3"
+dependencies = [
+ "event-listener 4.0.3",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "event-listener-strategy"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "feedafcaa9b749175d5ac357452a9d41ea2911da598fde46ce1fe02c37751291"
+dependencies = [
+ "event-listener 5.2.0",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "exr"
+version = "1.72.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "887d93f60543e9a9362ef8a21beedd0a833c5d9610e18c67abe15a5963dcb1a4"
+dependencies = [
+ "bit_field",
+ "flume",
+ "half",
+ "lebe",
+ "miniz_oxide",
+ "rayon-core",
+ "smallvec",
+ "zune-inflate",
+]
+
+[[package]]
+name = "fast-srgb8"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dd2e7510819d6fbf51a5545c8f922716ecfb14df168a3242f7d33e0239efe6a1"
+
+[[package]]
+name = "fastrand"
+version = "1.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e51093e27b0797c359783294ca4f0a911c270184cb10f85783b118614a1501be"
+dependencies = [
+ "instant",
+]
+
+[[package]]
+name = "fastrand"
+version = "2.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "25cbce373ec4653f1a01a31e8a5e5ec0c622dc27ff9c4e6606eefef5cbbed4a5"
+
+[[package]]
+name = "fdeflate"
+version = "0.3.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4f9bfee30e4dedf0ab8b422f03af778d9612b63f502710fc500a334ebe2de645"
+dependencies = [
+ "simd-adler32",
+]
+
+[[package]]
+name = "filetime"
+version = "0.2.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1ee447700ac8aa0b2f2bd7bc4462ad686ba06baa6727ac149a2d6277f0d240fd"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "redox_syscall 0.4.1",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "find-crate"
+version = "0.6.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "59a98bbaacea1c0eb6a0876280051b892eb73594fd90cf3b20e9c817029c57d2"
+dependencies = [
+ "toml 0.5.11",
+]
+
+[[package]]
+name = "flate2"
+version = "1.0.28"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "46303f565772937ffe1d394a4fac6f411c6013172fadde9dcdb1e147a086940e"
+dependencies = [
+ "crc32fast",
+ "miniz_oxide",
+]
+
+[[package]]
+name = "flathub-stats"
+version = "0.1.0"
+dependencies = [
+ "bitcode",
+ "reqwest",
+ "serde",
+ "serde_json",
+ "tokio",
+]
+
+[[package]]
+name = "float-cmp"
+version = "0.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "98de4bbd547a563b716d8dfa9aad1cb19bfab00f4fa09a6a4ed21dbcf44ce9c4"
+
+[[package]]
+name = "float_next_after"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8bf7cc16383c4b8d58b9905a8509f02926ce3058053c056376248d958c9df1e8"
+
+[[package]]
+name = "fluent"
+version = "0.16.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "61f69378194459db76abd2ce3952b790db103ceb003008d3d50d97c41ff847a7"
+dependencies = [
+ "fluent-bundle",
+ "unic-langid",
+]
+
+[[package]]
+name = "fluent-bundle"
+version = "0.15.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e242c601dec9711505f6d5bbff5bedd4b61b2469f2e8bb8e57ee7c9747a87ffd"
+dependencies = [
+ "fluent-langneg",
+ "fluent-syntax",
+ "intl-memoizer",
+ "intl_pluralrules",
+ "rustc-hash",
+ "self_cell 0.10.3",
+ "smallvec",
+ "unic-langid",
+]
+
+[[package]]
+name = "fluent-langneg"
+version = "0.13.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2c4ad0989667548f06ccd0e306ed56b61bd4d35458d54df5ec7587c0e8ed5e94"
+dependencies = [
+ "unic-langid",
+]
+
+[[package]]
+name = "fluent-syntax"
+version = "0.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c0abed97648395c902868fee9026de96483933faa54ea3b40d652f7dfe61ca78"
+dependencies = [
+ "thiserror",
+]
+
+[[package]]
+name = "flume"
+version = "0.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "55ac459de2512911e4b674ce33cf20befaba382d05b62b008afc1c8b57cbf181"
+dependencies = [
+ "spin",
+]
+
+[[package]]
+name = "fnv"
+version = "1.0.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3f9eec918d3f24069decb9af1554cad7c880e2da24a9afd88aca000531ab82c1"
+
+[[package]]
+name = "font-types"
+version = "0.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0bd7f3ea17572640b606b35df42cfb6ecdf003704b062580e59918692190b73d"
+
+[[package]]
+name = "fontconfig-parser"
+version = "0.5.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6a595cb550439a117696039dfc69830492058211b771a2a165379f2a1a53d84d"
+dependencies = [
+ "roxmltree",
+]
+
+[[package]]
+name = "fontdb"
+version = "0.16.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b0299020c3ef3f60f526a4f64ab4a3d4ce116b1acbf24cdd22da0068e5d81dc3"
+dependencies = [
+ "fontconfig-parser",
+ "log",
+ "memmap2",
+ "slotmap",
+ "tinyvec",
+ "ttf-parser",
+]
+
+[[package]]
+name = "foreign-types"
+version = "0.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f6f339eb8adc052cd2ca78910fda869aefa38d22d5cb648e6485e4d3fc06f3b1"
+dependencies = [
+ "foreign-types-shared 0.1.1",
+]
+
+[[package]]
+name = "foreign-types"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d737d9aa519fb7b749cbc3b962edcf310a8dd1f4b67c91c4f83975dbdd17d965"
+dependencies = [
+ "foreign-types-macros",
+ "foreign-types-shared 0.3.1",
+]
+
+[[package]]
+name = "foreign-types-macros"
+version = "0.2.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1a5c6c585bc94aaf2c7b51dd4c2ba22680844aba4c687be581871a6f518c5742"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "foreign-types-shared"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "00b0228411908ca8685dba7fc2cdd70ec9990a6e753e89b6ac91a84c40fbaf4b"
+
+[[package]]
+name = "foreign-types-shared"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "aa9a19cbb55df58761df49b23516a86d432839add4af60fc256da840f66ed35b"
+
+[[package]]
+name = "form_urlencoded"
+version = "1.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e13624c2627564efccf4934284bdd98cbaa14e79b0b5a141218e507b3a823456"
+dependencies = [
+ "percent-encoding",
+]
+
+[[package]]
+name = "fraction"
+version = "0.14.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "59a78dd758a47a7305478e0e054f9fde4e983b9f9eccda162bf7ca03b79e9d40"
+dependencies = [
+ "lazy_static",
+ "num",
+]
+
+[[package]]
+name = "freedesktop-desktop-entry"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "45157175a725e81f3f594382430b6b78af5f8f72db9bd51b94f0785f80fc6d29"
+dependencies = [
+ "dirs 3.0.2",
+ "gettext-rs",
+ "memchr",
+ "thiserror",
+ "xdg",
+]
+
+[[package]]
+name = "freedesktop-icons"
+version = "0.2.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a8ef34245e0540c9a3ce7a28340b98d2c12b75da0d446da4e8224923fcaa0c16"
+dependencies = [
+ "dirs 5.0.1",
+ "once_cell",
+ "rust-ini",
+ "thiserror",
+ "xdg",
+]
+
+[[package]]
+name = "freedesktop_entry_parser"
+version = "1.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "db9c27b72f19a99a895f8ca89e2d26e4ef31013376e56fdafef697627306c3e4"
+dependencies = [
+ "nom",
+ "thiserror",
+]
+
+[[package]]
+name = "from_bytes_or_zeroed"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3d25934a78435889223e575c7b0fc36a290c5a312e7a7ae901f10587792e142a"
+
+[[package]]
+name = "fsevent-sys"
+version = "4.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "76ee7a02da4d231650c7cea31349b889be2f45ddb3ef3032d2ec8185f6313fd2"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "futures"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "645c6916888f6cb6350d2550b80fb63e734897a8498abe35cfb732b6487804b0"
+dependencies = [
+ "futures-channel",
+ "futures-core",
+ "futures-executor",
+ "futures-io",
+ "futures-sink",
+ "futures-task",
+ "futures-util",
+]
+
+[[package]]
+name = "futures-channel"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eac8f7d7865dcb88bd4373ab671c8cf4508703796caa2b1985a9ca867b3fcb78"
+dependencies = [
+ "futures-core",
+ "futures-sink",
+]
+
+[[package]]
+name = "futures-core"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dfc6580bb841c5a68e9ef15c77ccc837b40a7504914d52e47b8b0e9bbda25a1d"
+
+[[package]]
+name = "futures-executor"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a576fc72ae164fca6b9db127eaa9a9dda0d61316034f33a0a0d4eda41f02b01d"
+dependencies = [
+ "futures-core",
+ "futures-task",
+ "futures-util",
+ "num_cpus",
+]
+
+[[package]]
+name = "futures-io"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a44623e20b9681a318efdd71c299b6b222ed6f231972bfe2f224ebad6311f0c1"
+
+[[package]]
+name = "futures-lite"
+version = "1.13.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "49a9d51ce47660b1e808d3c990b4709f2f415d928835a17dfd16991515c46bce"
+dependencies = [
+ "fastrand 1.9.0",
+ "futures-core",
+ "futures-io",
+ "memchr",
+ "parking",
+ "pin-project-lite",
+ "waker-fn",
+]
+
+[[package]]
+name = "futures-lite"
+version = "2.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "445ba825b27408685aaecefd65178908c36c6e96aaf6d8599419d46e624192ba"
+dependencies = [
+ "fastrand 2.0.1",
+ "futures-core",
+ "futures-io",
+ "parking",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "futures-macro"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "87750cf4b7a4c0625b1529e4c543c2182106e4dedc60a2a6455e00d212c489ac"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "futures-sink"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9fb8e00e87438d937621c1c6269e53f536c14d3fbd6a042bb24879e57d474fb5"
+
+[[package]]
+name = "futures-task"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "38d84fa142264698cdce1a9f9172cf383a0c82de1bddcf3092901442c4097004"
+
+[[package]]
+name = "futures-util"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3d6401deb83407ab3da39eba7e33987a73c3df0c82b4bb5813ee871c19c41d48"
+dependencies = [
+ "futures-channel",
+ "futures-core",
+ "futures-io",
+ "futures-macro",
+ "futures-sink",
+ "futures-task",
+ "memchr",
+ "pin-project-lite",
+ "pin-utils",
+ "slab",
+]
+
+[[package]]
+name = "gdk-pixbuf-sys"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3f9839ea644ed9c97a34d129ad56d38a25e6756f99f3a88e15cd39c20629caf7"
+dependencies = [
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps",
+]
+
+[[package]]
+name = "gdk-sys"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "31ff856cb3386dae1703a920f803abafcc580e9b5f711ca62ed1620c25b51ff2"
+dependencies = [
+ "cairo-sys-rs",
+ "gdk-pixbuf-sys",
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "pango-sys",
+ "pkg-config",
+ "system-deps",
+]
+
+[[package]]
+name = "generic-array"
+version = "0.14.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "85649ca51fd72272d7821adaf274ad91c288277713d9c18820d8499a7ff69e9a"
+dependencies = [
+ "typenum",
+ "version_check",
+]
+
+[[package]]
+name = "gethostname"
+version = "0.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0176e0459c2e4a1fe232f984bca6890e681076abb9934f6cea7c326f3fc47818"
+dependencies = [
+ "libc",
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "getrandom"
+version = "0.2.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "190092ea657667030ac6a35e305e62fc4dd69fd98ac98631e5d3a2b1575a12b5"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "wasi",
+]
+
+[[package]]
+name = "gettext-rs"
+version = "0.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e49ea8a8fad198aaa1f9655a2524b64b70eb06b2f3ff37da407566c93054f364"
+dependencies = [
+ "gettext-sys",
+ "locale_config",
+]
+
+[[package]]
+name = "gettext-sys"
+version = "0.21.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c63ce2e00f56a206778276704bbe38564c8695249fdc8f354b4ef71c57c3839d"
+dependencies = [
+ "cc",
+ "temp-dir",
+]
+
+[[package]]
+name = "gif"
+version = "0.12.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "80792593675e051cf94a4b111980da2ba60d4a83e43e0048c5693baab3977045"
+dependencies = [
+ "color_quant",
+ "weezl",
+]
+
+[[package]]
+name = "gif"
+version = "0.13.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3fb2d69b19215e18bb912fa30f7ce15846e301408695e44e0ef719f1da9e19f2"
+dependencies = [
+ "color_quant",
+ "weezl",
+]
+
+[[package]]
+name = "gimli"
+version = "0.28.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4271d37baee1b8c7e4b708028c57d816cf9d2434acb33a549475f78c181f6253"
+
+[[package]]
+name = "gio"
+version = "0.18.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d4fc8f532f87b79cbc51a79748f16a6828fb784be93145a322fa14d06d354c73"
+dependencies = [
+ "futures-channel",
+ "futures-core",
+ "futures-io",
+ "futures-util",
+ "gio-sys",
+ "glib",
+ "libc",
+ "once_cell",
+ "pin-project-lite",
+ "smallvec",
+ "thiserror",
+]
+
+[[package]]
+name = "gio-sys"
+version = "0.18.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "37566df850baf5e4cb0dfb78af2e4b9898d817ed9263d1090a2df958c64737d2"
+dependencies = [
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps",
+ "winapi",
+]
+
+[[package]]
+name = "gl_generator"
+version = "0.14.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1a95dfc23a2b4a9a2f5ab41d194f8bfda3cabec42af4e39f08c339eb2a0c124d"
+dependencies = [
+ "khronos_api",
+ "log",
+ "xml-rs",
+]
+
+[[package]]
+name = "glam"
+version = "0.24.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b5418c17512bdf42730f9032c74e1ae39afc408745ebb2acf72fbc4691c17945"
+
+[[package]]
+name = "glib"
+version = "0.18.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "233daaf6e83ae6a12a52055f568f9d7cf4671dabb78ff9560ab6da230ce00ee5"
+dependencies = [
+ "bitflags 2.4.2",
+ "futures-channel",
+ "futures-core",
+ "futures-executor",
+ "futures-task",
+ "futures-util",
+ "gio-sys",
+ "glib-macros",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "memchr",
+ "once_cell",
+ "smallvec",
+ "thiserror",
+]
+
+[[package]]
+name = "glib-macros"
+version = "0.18.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0bb0228f477c0900c880fd78c8759b95c7636dbd7842707f49e132378aa2acdc"
+dependencies = [
+ "heck",
+ "proc-macro-crate 2.0.2",
+ "proc-macro-error",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "glib-sys"
+version = "0.18.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "063ce2eb6a8d0ea93d2bf8ba1957e78dbab6be1c2220dd3daca57d5a9d869898"
+dependencies = [
+ "libc",
+ "system-deps",
+]
+
+[[package]]
+name = "glow"
+version = "0.13.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bd348e04c43b32574f2de31c8bb397d96c9fcfa1371bd4ca6d8bdc464ab121b1"
+dependencies = [
+ "js-sys",
+ "slotmap",
+ "wasm-bindgen",
+ "web-sys",
+]
+
+[[package]]
+name = "glutin_wgl_sys"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6c8098adac955faa2d31079b65dc48841251f69efd3ac25477903fc424362ead"
+dependencies = [
+ "gl_generator",
+]
+
+[[package]]
+name = "glyphon"
+version = "0.5.0"
+source = "git+https://github.com/pop-os/glyphon.git?tag=v0.5.0#1b0646ff8f74da92d3be704dfc2257d7f4d7eed8"
+dependencies = [
+ "cosmic-text",
+ "etagere",
+ "lru",
+ "wgpu",
+]
+
+[[package]]
+name = "gobject-sys"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0850127b514d1c4a4654ead6dedadb18198999985908e6ffe4436f53c785ce44"
+dependencies = [
+ "glib-sys",
+ "libc",
+ "system-deps",
+]
+
+[[package]]
+name = "gpu-alloc"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fbcd2dba93594b227a1f57ee09b8b9da8892c34d55aa332e034a228d0fe6a171"
+dependencies = [
+ "bitflags 2.4.2",
+ "gpu-alloc-types",
+]
+
+[[package]]
+name = "gpu-alloc-types"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "98ff03b468aa837d70984d55f5d3f846f6ec31fe34bbb97c4f85219caeee1ca4"
+dependencies = [
+ "bitflags 2.4.2",
+]
+
+[[package]]
+name = "gpu-allocator"
+version = "0.25.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6f56f6318968d03c18e1bcf4857ff88c61157e9da8e47c5f29055d60e1228884"
+dependencies = [
+ "log",
+ "presser",
+ "thiserror",
+ "winapi",
+ "windows 0.52.0",
+]
+
+[[package]]
+name = "gpu-descriptor"
+version = "0.2.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cc11df1ace8e7e564511f53af41f3e42ddc95b56fd07b3f4445d2a6048bc682c"
+dependencies = [
+ "bitflags 2.4.2",
+ "gpu-descriptor-types",
+ "hashbrown",
+]
+
+[[package]]
+name = "gpu-descriptor-types"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6bf0b36e6f090b7e1d8a4b49c0cb81c1f8376f72198c65dd3ad9ff3556b8b78c"
+dependencies = [
+ "bitflags 2.4.2",
+]
+
+[[package]]
+name = "grid"
+version = "0.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1df00eed8d1f0db937f6be10e46e8072b0671accb504cf0f959c5c52c679f5b9"
+
+[[package]]
+name = "gtk-sys"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "771437bf1de2c1c0b496c11505bdf748e26066bbe942dfc8f614c9460f6d7722"
+dependencies = [
+ "atk-sys",
+ "cairo-sys-rs",
+ "gdk-pixbuf-sys",
+ "gdk-sys",
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "pango-sys",
+ "system-deps",
+]
+
+[[package]]
+name = "guillotiere"
+version = "0.6.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b62d5865c036cb1393e23c50693df631d3f5d7bcca4c04fe4cc0fd592e74a782"
+dependencies = [
+ "euclid",
+ "svg_fmt",
+]
+
+[[package]]
+name = "h2"
+version = "0.3.24"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bb2c4422095b67ee78da96fbb51a4cc413b3b25883c7717ff7ca1ab31022c9c9"
+dependencies = [
+ "bytes",
+ "fnv",
+ "futures-core",
+ "futures-sink",
+ "futures-util",
+ "http",
+ "indexmap",
+ "slab",
+ "tokio",
+ "tokio-util",
+ "tracing",
+]
+
+[[package]]
+name = "half"
+version = "2.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b5eceaaeec696539ddaf7b333340f1af35a5aa87ae3e4f3ead0532f72affab2e"
+dependencies = [
+ "cfg-if",
+ "crunchy",
+]
+
+[[package]]
+name = "hashbrown"
+version = "0.14.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "290f1a1d9242c78d09ce40a5e87e7554ee637af1351968159f4952f028f75604"
+dependencies = [
+ "ahash",
+ "allocator-api2",
+]
+
+[[package]]
+name = "hassle-rs"
+version = "0.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "af2a7e73e1f34c48da31fb668a907f250794837e08faa144fd24f0b8b741e890"
+dependencies = [
+ "bitflags 2.4.2",
+ "com",
+ "libc",
+ "libloading 0.8.3",
+ "thiserror",
+ "widestring",
+ "winapi",
+]
+
+[[package]]
+name = "heck"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "95505c38b4572b2d910cecb0281560f54b440a19336cbbcb27bf6ce6adc6f5a8"
+
+[[package]]
+name = "hermit-abi"
+version = "0.3.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d231dfb89cfffdbc30e7fc41579ed6066ad03abda9e567ccafae602b97ec5024"
+
+[[package]]
+name = "hex"
+version = "0.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f24254aa9a54b5c858eaee2f5bccdb46aaf0e486a595ed5fd8f86ba55232a70"
+
+[[package]]
+name = "hexf-parse"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dfa686283ad6dd069f105e5ab091b04c62850d3e4cf5d67debad1933f55023df"
+
+[[package]]
+name = "http"
+version = "0.2.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "601cbb57e577e2f5ef5be8e7b83f0f63994f25aa94d673e54a92d5c516d101f1"
+dependencies = [
+ "bytes",
+ "fnv",
+ "itoa",
+]
+
+[[package]]
+name = "http-body"
+version = "0.4.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7ceab25649e9960c0311ea418d17bee82c0dcec1bd053b5f9a66e265a693bed2"
+dependencies = [
+ "bytes",
+ "http",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "httparse"
+version = "1.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d897f394bad6a705d5f4104762e116a75639e470d80901eed05a860a95cb1904"
+
+[[package]]
+name = "httpdate"
+version = "1.0.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "df3b46402a9d5adb4c86a0cf463f42e19994e3ee891101b1841f30a545cb49a9"
+
+[[package]]
+name = "humantime"
+version = "2.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9a3a5bfb195931eeb336b2a7b4d761daec841b97f947d34394601737a7bba5e4"
+
+[[package]]
+name = "hyper"
+version = "0.14.28"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bf96e135eb83a2a8ddf766e426a841d8ddd7449d5f00d34ea02b41d2f19eef80"
+dependencies = [
+ "bytes",
+ "futures-channel",
+ "futures-core",
+ "futures-util",
+ "h2",
+ "http",
+ "http-body",
+ "httparse",
+ "httpdate",
+ "itoa",
+ "pin-project-lite",
+ "socket2 0.5.6",
+ "tokio",
+ "tower-service",
+ "tracing",
+ "want",
+]
+
+[[package]]
+name = "hyper-tls"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d6183ddfa99b85da61a140bea0efc93fdf56ceaa041b37d553518030827f9905"
+dependencies = [
+ "bytes",
+ "hyper",
+ "native-tls",
+ "tokio",
+ "tokio-native-tls",
+]
+
+[[package]]
+name = "i18n-config"
+version = "0.4.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0c9ce3c48cbc21fd5b22b9331f32b5b51f6ad85d969b99e793427332e76e7640"
+dependencies = [
+ "log",
+ "serde",
+ "serde_derive",
+ "thiserror",
+ "toml 0.8.2",
+ "unic-langid",
+]
+
+[[package]]
+name = "i18n-embed"
+version = "0.13.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "92a86226a7a16632de6723449ee5fe70bac5af718bc642ee9ca2f0f6e14fa1fa"
+dependencies = [
+ "arc-swap",
+ "fluent",
+ "fluent-langneg",
+ "fluent-syntax",
+ "i18n-embed-impl",
+ "intl-memoizer",
+ "lazy_static",
+ "locale_config",
+ "log",
+ "parking_lot 0.12.1",
+ "rust-embed",
+ "thiserror",
+ "unic-langid",
+ "walkdir",
+]
+
+[[package]]
+name = "i18n-embed-fl"
+version = "0.6.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d26a3d3569737dfaac7fc1c4078e6af07471c3060b8e570bcd83cdd5f4685395"
+dependencies = [
+ "dashmap",
+ "find-crate",
+ "fluent",
+ "fluent-syntax",
+ "i18n-config",
+ "i18n-embed",
+ "lazy_static",
+ "proc-macro-error",
+ "proc-macro2",
+ "quote",
+ "strsim",
+ "syn 2.0.52",
+ "unic-langid",
+]
+
+[[package]]
+name = "i18n-embed-impl"
+version = "0.8.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "81093c4701672f59416582fe3145676126fd23ba5db910acad0793c1108aaa58"
+dependencies = [
+ "find-crate",
+ "i18n-config",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "iana-time-zone"
+version = "0.1.60"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e7ffbb5a1b541ea2561f8c41c087286cc091e21e556a4f09a8f6cbf17b69b141"
+dependencies = [
+ "android_system_properties",
+ "core-foundation-sys",
+ "iana-time-zone-haiku",
+ "js-sys",
+ "wasm-bindgen",
+ "windows-core",
+]
+
+[[package]]
+name = "iana-time-zone-haiku"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f31827a206f56af32e590ba56d5d2d085f558508192593743f16b2306495269f"
+dependencies = [
+ "cc",
+]
+
+[[package]]
+name = "iced"
+version = "0.12.0"
+source = "git+https://github.com/pop-os/libcosmic.git#2b23005fbff5a3d7e218a867e1aadb491abeac55"
+dependencies = [
+ "iced_accessibility",
+ "iced_core",
+ "iced_futures",
+ "iced_renderer",
+ "iced_widget",
+ "iced_winit",
+ "image",
+ "thiserror",
+]
+
+[[package]]
+name = "iced_accessibility"
+version = "0.1.0"
+source = "git+https://github.com/pop-os/libcosmic.git#2b23005fbff5a3d7e218a867e1aadb491abeac55"
+dependencies = [
+ "accesskit",
+ "accesskit_winit",
+]
+
+[[package]]
+name = "iced_core"
+version = "0.12.0"
+source = "git+https://github.com/pop-os/libcosmic.git#2b23005fbff5a3d7e218a867e1aadb491abeac55"
+dependencies = [
+ "bitflags 1.3.2",
+ "log",
+ "num-traits",
+ "palette",
+ "raw-window-handle 0.6.0",
+ "serde",
+ "smol_str",
+ "thiserror",
+ "web-time",
+ "xxhash-rust",
+]
+
+[[package]]
+name = "iced_futures"
+version = "0.12.0"
+source = "git+https://github.com/pop-os/libcosmic.git#2b23005fbff5a3d7e218a867e1aadb491abeac55"
+dependencies = [
+ "futures",
+ "iced_core",
+ "log",
+ "tokio",
+ "wasm-bindgen-futures",
+ "wasm-timer",
+]
+
+[[package]]
+name = "iced_graphics"
+version = "0.12.0"
+source = "git+https://github.com/pop-os/libcosmic.git#2b23005fbff5a3d7e218a867e1aadb491abeac55"
+dependencies = [
+ "bitflags 1.3.2",
+ "bytemuck",
+ "cosmic-text",
+ "glam",
+ "half",
+ "iced_core",
+ "iced_futures",
+ "image",
+ "kamadak-exif",
+ "log",
+ "lyon_path",
+ "once_cell",
+ "raw-window-handle 0.6.0",
+ "rustc-hash",
+ "thiserror",
+ "unicode-segmentation",
+ "xxhash-rust",
+]
+
+[[package]]
+name = "iced_renderer"
+version = "0.12.0"
+source = "git+https://github.com/pop-os/libcosmic.git#2b23005fbff5a3d7e218a867e1aadb491abeac55"
+dependencies = [
+ "iced_graphics",
+ "iced_tiny_skia",
+ "iced_wgpu",
+ "log",
+ "thiserror",
+]
+
+[[package]]
+name = "iced_runtime"
+version = "0.12.0"
+source = "git+https://github.com/pop-os/libcosmic.git#2b23005fbff5a3d7e218a867e1aadb491abeac55"
+dependencies = [
+ "iced_core",
+ "iced_futures",
+ "thiserror",
+]
+
+[[package]]
+name = "iced_style"
+version = "0.12.0"
+source = "git+https://github.com/pop-os/libcosmic.git#2b23005fbff5a3d7e218a867e1aadb491abeac55"
+dependencies = [
+ "iced_core",
+ "once_cell",
+ "palette",
+]
+
+[[package]]
+name = "iced_tiny_skia"
+version = "0.12.0"
+source = "git+https://github.com/pop-os/libcosmic.git#2b23005fbff5a3d7e218a867e1aadb491abeac55"
+dependencies = [
+ "bytemuck",
+ "cosmic-text",
+ "iced_graphics",
+ "kurbo",
+ "log",
+ "resvg",
+ "rustc-hash",
+ "softbuffer",
+ "tiny-skia",
+ "xxhash-rust",
+]
+
+[[package]]
+name = "iced_wgpu"
+version = "0.12.0"
+source = "git+https://github.com/pop-os/libcosmic.git#2b23005fbff5a3d7e218a867e1aadb491abeac55"
+dependencies = [
+ "bitflags 1.3.2",
+ "bytemuck",
+ "futures",
+ "glam",
+ "glyphon",
+ "guillotiere",
+ "iced_graphics",
+ "log",
+ "lyon",
+ "once_cell",
+ "resvg",
+ "wgpu",
+]
+
+[[package]]
+name = "iced_widget"
+version = "0.12.0"
+source = "git+https://github.com/pop-os/libcosmic.git#2b23005fbff5a3d7e218a867e1aadb491abeac55"
+dependencies = [
+ "iced_renderer",
+ "iced_runtime",
+ "iced_style",
+ "num-traits",
+ "ouroboros",
+ "thiserror",
+ "unicode-segmentation",
+]
+
+[[package]]
+name = "iced_winit"
+version = "0.12.0"
+source = "git+https://github.com/pop-os/libcosmic.git#2b23005fbff5a3d7e218a867e1aadb491abeac55"
+dependencies = [
+ "iced_graphics",
+ "iced_runtime",
+ "iced_style",
+ "log",
+ "thiserror",
+ "tracing",
+ "web-sys",
+ "winapi",
+ "window_clipboard",
+ "winit",
+]
+
+[[package]]
+name = "icrate"
+version = "0.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "99d3aaff8a54577104bafdf686ff18565c3b6903ca5782a2026ef06e2c7aa319"
+dependencies = [
+ "block2 0.3.0",
+ "dispatch",
+ "objc2 0.4.1",
+]
+
+[[package]]
+name = "icrate"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e286f4b975ac6c054971a0600a9b76438b332edace54bff79c71c9d3adfc9772"
+dependencies = [
+ "block2 0.4.0",
+ "objc2 0.5.0",
+]
+
+[[package]]
+name = "ident_case"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b9e0384b61958566e926dc50660321d12159025e767c18e043daf26b70104c39"
+
+[[package]]
+name = "idna"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "634d9b1461af396cad843f47fdba5597a4f9e6ddd4bfb6ff5d85028c25cb12f6"
+dependencies = [
+ "unicode-bidi",
+ "unicode-normalization",
+]
+
+[[package]]
+name = "image"
+version = "0.24.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5690139d2f55868e080017335e4b94cb7414274c74f1669c84fb5feba2c9f69d"
+dependencies = [
+ "bytemuck",
+ "byteorder",
+ "color_quant",
+ "exr",
+ "gif 0.13.1",
+ "jpeg-decoder",
+ "num-traits",
+ "png",
+ "qoi",
+ "tiff",
+]
+
+[[package]]
+name = "imagesize"
+version = "0.12.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "029d73f573d8e8d63e6d5020011d3255b28c3ba85d6cf870a07184ed23de9284"
+
+[[package]]
+name = "indexmap"
+version = "2.2.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7b0b929d511467233429c45a44ac1dcaa21ba0f5ba11e4879e6ed28ddb4f9df4"
+dependencies = [
+ "equivalent",
+ "hashbrown",
+]
+
+[[package]]
+name = "inotify"
+version = "0.9.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f8069d3ec154eb856955c1c0fbffefbf5f3c40a104ec912d4797314c1801abff"
+dependencies = [
+ "bitflags 1.3.2",
+ "inotify-sys",
+ "libc",
+]
+
+[[package]]
+name = "inotify-sys"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e05c02b5e89bff3b946cedeca278abc628fe811e604f027c45a8aa3cf793d0eb"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "instant"
+version = "0.1.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7a5bbe824c507c5da5956355e86a746d82e0e1464f65d862cc5e71da70e94b2c"
+dependencies = [
+ "cfg-if",
+]
+
+[[package]]
+name = "intl-memoizer"
+version = "0.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c310433e4a310918d6ed9243542a6b83ec1183df95dff8f23f87bb88a264a66f"
+dependencies = [
+ "type-map",
+ "unic-langid",
+]
+
+[[package]]
+name = "intl_pluralrules"
+version = "7.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "078ea7b7c29a2b4df841a7f6ac8775ff6074020c6776d48491ce2268e068f972"
+dependencies = [
+ "unic-langid",
+]
+
+[[package]]
+name = "io-lifetimes"
+version = "1.0.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eae7b9aee968036d54dce06cebaefd919e4472e753296daccd6d344e3e2df0c2"
+dependencies = [
+ "hermit-abi",
+ "libc",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "ipnet"
+version = "2.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f518f335dce6725a761382244631d86cf0ccb2863413590b31338feb467f9c3"
+
+[[package]]
+name = "is-terminal"
+version = "0.4.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f23ff5ef2b80d608d61efee834934d862cd92461afc0560dedf493e4c033738b"
+dependencies = [
+ "hermit-abi",
+ "libc",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "itertools"
+version = "0.4.19"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c4a9b56eb56058f43dc66e58f40a214b2ccbc9f3df51861b63d51dec7b65bc3f"
+
+[[package]]
+name = "itoa"
+version = "1.0.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b1a46d1a171d865aa5f83f92695765caa047a9b4cbae2cbf37dbd613a793fd4c"
+
+[[package]]
+name = "jni"
+version = "0.21.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1a87aa2bb7d2af34197c04845522473242e1aa17c12f4935d5856491a7fb8c97"
+dependencies = [
+ "cesu8",
+ "cfg-if",
+ "combine",
+ "jni-sys",
+ "log",
+ "thiserror",
+ "walkdir",
+ "windows-sys 0.45.0",
+]
+
+[[package]]
+name = "jni-sys"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8eaf4bc02d17cbdd7ff4c7438cafcdf7fb9a4613313ad11b4f8fefe7d3fa0130"
+
+[[package]]
+name = "jobserver"
+version = "0.1.28"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ab46a6e9526ddef3ae7f787c06f0f2600639ba80ea3eade3d8e670a2230f51d6"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "jpeg-decoder"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f5d4a7da358eff58addd2877a45865158f0d78c911d43a5784ceb7bbf52833b0"
+dependencies = [
+ "rayon",
+]
+
+[[package]]
+name = "js-sys"
+version = "0.3.69"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "29c15563dc2726973df627357ce0c9ddddbea194836909d655df6a75d2cf296d"
+dependencies = [
+ "wasm-bindgen",
+]
+
+[[package]]
+name = "kamadak-exif"
+version = "0.5.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ef4fc70d0ab7e5b6bafa30216a6b48705ea964cdfc29c050f2412295eba58077"
+dependencies = [
+ "mutate_once",
+]
+
+[[package]]
+name = "khronos-egl"
+version = "6.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6aae1df220ece3c0ada96b8153459b67eebe9ae9212258bb0134ae60416fdf76"
+dependencies = [
+ "libc",
+ "libloading 0.8.3",
+ "pkg-config",
+]
+
+[[package]]
+name = "khronos_api"
+version = "3.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e2db585e1d738fc771bf08a151420d3ed193d9d895a36df7f6f8a9456b911ddc"
+
+[[package]]
+name = "known-folders"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4397c789f2709d23cfcb703b316e0766a8d4b17db2d47b0ab096ef6047cae1d8"
+dependencies = [
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "kqueue"
+version = "1.0.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7447f1ca1b7b563588a205fe93dea8df60fd981423a768bc1c0ded35ed147d0c"
+dependencies = [
+ "kqueue-sys",
+ "libc",
+]
+
+[[package]]
+name = "kqueue-sys"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ed9625ffda8729b85e45cf04090035ac368927b8cebc34898e7c120f52e4838b"
+dependencies = [
+ "bitflags 1.3.2",
+ "libc",
+]
+
+[[package]]
+name = "kurbo"
+version = "0.9.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bd85a5776cd9500c2e2059c8c76c3b01528566b7fcbaf8098b55a33fc298849b"
+dependencies = [
+ "arrayvec",
+]
+
+[[package]]
+name = "lazy_static"
+version = "1.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e2abad23fbc42b3700f2f279844dc832adb2b2eb069b2df918f455c4e18cc646"
+
+[[package]]
+name = "lebe"
+version = "0.5.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "03087c2bad5e1034e8cace5926dec053fb3790248370865f5117a7d0213354c8"
+
+[[package]]
+name = "lexical-sort"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c09e4591611e231daf4d4c685a66cb0410cc1e502027a20ae55f2bb9e997207a"
+dependencies = [
+ "any_ascii",
+]
+
+[[package]]
+name = "libc"
+version = "0.2.153"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9c198f91728a82281a64e1f4f9eeb25d82cb32a5de251c6bd1b5154d63a8e7bd"
+
+[[package]]
+name = "libcosmic"
+version = "0.1.0"
+source = "git+https://github.com/pop-os/libcosmic.git#2b23005fbff5a3d7e218a867e1aadb491abeac55"
+dependencies = [
+ "apply",
+ "ashpd 0.7.0",
+ "cosmic-config",
+ "cosmic-theme",
+ "css-color",
+ "derive_setters",
+ "fraction",
+ "freedesktop-desktop-entry",
+ "freedesktop-icons",
+ "iced",
+ "iced_core",
+ "iced_futures",
+ "iced_renderer",
+ "iced_runtime",
+ "iced_style",
+ "iced_tiny_skia",
+ "iced_wgpu",
+ "iced_widget",
+ "iced_winit",
+ "lazy_static",
+ "mime",
+ "nix 0.27.1",
+ "palette",
+ "rfd",
+ "shlex",
+ "slotmap",
+ "taffy",
+ "thiserror",
+ "tokio",
+ "tracing",
+ "unicode-segmentation",
+ "url",
+ "zbus",
+]
+
+[[package]]
+name = "libflatpak"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "acbb6ec6dc391ec5f06d6c4d0d901ec12746188ddf7318ebd2509fe375e28860"
+dependencies = [
+ "gio",
+ "glib",
+ "libc",
+ "libflatpak-sys",
+]
+
+[[package]]
+name = "libflatpak-sys"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "419a73222474b84d09bf11b8ebe3140611230ff5ef4c8ae51b612f8e0e3e3f40"
+dependencies = [
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "pkg-config",
+ "system-deps",
+]
+
+[[package]]
+name = "libloading"
+version = "0.7.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b67380fd3b2fbe7527a606e18729d21c6f3951633d0500574c4dc22d2d638b9f"
+dependencies = [
+ "cfg-if",
+ "winapi",
+]
+
+[[package]]
+name = "libloading"
+version = "0.8.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0c2a198fb6b0eada2a8df47933734e6d35d350665a33a3593d7164fa52c75c19"
+dependencies = [
+ "cfg-if",
+ "windows-targets 0.52.4",
+]
+
+[[package]]
+name = "libm"
+version = "0.2.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4ec2a862134d2a7d32d7983ddcdd1c4923530833c9f2ea1a44fc5fa473989058"
+
+[[package]]
+name = "libredox"
+version = "0.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "85c833ca1e66078851dba29046874e38f08b2c883700aa29a03ddd3b23814ee8"
+dependencies = [
+ "bitflags 2.4.2",
+ "libc",
+ "redox_syscall 0.4.1",
+]
+
+[[package]]
+name = "libredox"
+version = "0.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3af92c55d7d839293953fcd0fda5ecfe93297cfde6ffbdec13b41d99c0ba6607"
+dependencies = [
+ "bitflags 2.4.2",
+ "libc",
+ "redox_syscall 0.4.1",
+]
+
+[[package]]
+name = "linux-raw-sys"
+version = "0.3.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ef53942eb7bf7ff43a617b3e2c1c4a5ecf5944a7c1bc12d7ee39bbb15e5c1519"
+
+[[package]]
+name = "linux-raw-sys"
+version = "0.4.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "01cda141df6706de531b6c46c3a33ecca755538219bd484262fa09410c13539c"
+
+[[package]]
+name = "linux-raw-sys"
+version = "0.6.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f0b5399f6804fbab912acbd8878ed3532d506b7c951b8f9f164ef90fef39e3f4"
+
+[[package]]
+name = "locale_config"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "08d2c35b16f4483f6c26f0e4e9550717a2f6575bcd6f12a53ff0c490a94a6934"
+dependencies = [
+ "lazy_static",
+ "objc",
+ "objc-foundation",
+ "regex",
+ "winapi",
+]
+
+[[package]]
+name = "lock_api"
+version = "0.4.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3c168f8615b12bc01f9c17e2eb0cc07dcae1940121185446edc3744920e8ef45"
+dependencies = [
+ "autocfg",
+ "scopeguard",
+]
+
+[[package]]
+name = "log"
+version = "0.4.21"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "90ed8c1e510134f979dbc4f070f87d4313098b704861a105fe34231c70a3901c"
+
+[[package]]
+name = "lru"
+version = "0.12.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d3262e75e648fce39813cb56ac41f3c3e3f65217ebf3844d818d1f9398cfb0dc"
+dependencies = [
+ "hashbrown",
+]
+
+[[package]]
+name = "lyon"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "91e7f9cda98b5430809e63ca5197b06c7d191bf7e26dfc467d5a3f0290e2a74f"
+dependencies = [
+ "lyon_algorithms",
+ "lyon_tessellation",
+]
+
+[[package]]
+name = "lyon_algorithms"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a3bca95f9a4955b3e4a821fbbcd5edfbd9be2a9a50bb5758173e5358bfb4c623"
+dependencies = [
+ "lyon_path",
+ "num-traits",
+]
+
+[[package]]
+name = "lyon_geom"
+version = "1.0.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "edecfb8d234a2b0be031ab02ebcdd9f3b9ee418fb35e265f7a540a48d197bff9"
+dependencies = [
+ "arrayvec",
+ "euclid",
+ "num-traits",
+]
+
+[[package]]
+name = "lyon_path"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ca507745ba7ccbc76e5c44e7b63b1a29d2b0d6126f375806a5bbaf657c7d6c45"
+dependencies = [
+ "lyon_geom",
+ "num-traits",
+]
+
+[[package]]
+name = "lyon_tessellation"
+version = "1.0.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8c7c67b5bc8123b352b2e7e742b47d1f236a13fe77619433be9568fbd888e9c0"
+dependencies = [
+ "float_next_after",
+ "lyon_path",
+ "num-traits",
+]
+
+[[package]]
+name = "malloc_buf"
+version = "0.0.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "62bb907fe88d54d8d9ce32a3cceab4218ed2f6b7d35617cafe9adf84e43919cb"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "memchr"
+version = "2.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "523dc4f511e55ab87b694dc30d0f820d60906ef06413f93d4d7a1385599cc149"
+
+[[package]]
+name = "memmap2"
+version = "0.9.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fe751422e4a8caa417e13c3ea66452215d7d63e19e604f4980461212f3ae1322"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "memoffset"
+version = "0.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5de893c32cde5f383baa4c04c5d6dbdd735cfd4a794b0debdb2bb1b421da5ff4"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "memoffset"
+version = "0.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5a634b1c61a95585bd15607c6ab0c4e5b226e695ff2800ba0cdccddf208c406c"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "metal"
+version = "0.27.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c43f73953f8cbe511f021b58f18c3ce1c3d1ae13fe953293e13345bf83217f25"
+dependencies = [
+ "bitflags 2.4.2",
+ "block",
+ "core-graphics-types",
+ "foreign-types 0.5.0",
+ "log",
+ "objc",
+ "paste",
+]
+
+[[package]]
+name = "mime"
+version = "0.3.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6877bb514081ee2a7ff5ef9de3281f14a4dd4bceac4c09388074a6b5df8a139a"
+
+[[package]]
+name = "minimal-lexical"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "68354c5c6bd36d73ff3feceb05efa59b6acb7626617f4962be322a825e61f79a"
+
+[[package]]
+name = "miniz_oxide"
+version = "0.7.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9d811f3e15f28568be3407c8e7fdb6514c1cda3cb30683f15b6a1a1dc4ea14a7"
+dependencies = [
+ "adler",
+ "simd-adler32",
+]
+
+[[package]]
+name = "mio"
+version = "0.8.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a4a650543ca06a924e8b371db273b2756685faae30f8487da1b56505a8f78b0c"
+dependencies = [
+ "libc",
+ "log",
+ "wasi",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "mutate_once"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "16cf681a23b4d0a43fc35024c176437f9dcd818db34e0f42ab456a0ee5ad497b"
+
+[[package]]
+name = "naga"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
+dependencies = [
+ "arrayvec",
+ "bit-set",
+ "bitflags 2.4.2",
+ "codespan-reporting",
+ "hexf-parse",
+ "indexmap",
+ "log",
+ "num-traits",
+ "rustc-hash",
+ "spirv",
+ "termcolor",
+ "thiserror",
+ "unicode-xid",
+]
+
+[[package]]
+name = "native-tls"
+version = "0.2.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "07226173c32f2926027b63cce4bcd8076c3552846cbe7925f3aaffeac0a3b92e"
+dependencies = [
+ "lazy_static",
+ "libc",
+ "log",
+ "openssl",
+ "openssl-probe",
+ "openssl-sys",
+ "schannel",
+ "security-framework",
+ "security-framework-sys",
+ "tempfile",
+]
+
+[[package]]
+name = "ndk"
+version = "0.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2076a31b7010b17a38c01907c45b945e8f11495ee4dd588309718901b1f7a5b7"
+dependencies = [
+ "bitflags 2.4.2",
+ "jni-sys",
+ "log",
+ "ndk-sys",
+ "num_enum",
+ "raw-window-handle 0.6.0",
+ "thiserror",
+]
+
+[[package]]
+name = "ndk-context"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "27b02d87554356db9e9a873add8782d4ea6e3e58ea071a9adb9a2e8ddb884a8b"
+
+[[package]]
+name = "ndk-sys"
+version = "0.5.0+25.2.9519653"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8c196769dd60fd4f363e11d948139556a344e79d451aeb2fa2fd040738ef7691"
+dependencies = [
+ "jni-sys",
+]
+
+[[package]]
+name = "nix"
+version = "0.26.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "598beaf3cc6fdd9a5dfb1630c2800c7acd31df7aaf0f565796fba2b53ca1af1b"
+dependencies = [
+ "bitflags 1.3.2",
+ "cfg-if",
+ "libc",
+ "memoffset 0.7.1",
+]
+
+[[package]]
+name = "nix"
+version = "0.27.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2eb04e9c688eff1c89d72b407f168cf79bb9e867a9d3323ed6c01519eb9cc053"
+dependencies = [
+ "bitflags 2.4.2",
+ "cfg-if",
+ "libc",
+]
+
+[[package]]
+name = "nom"
+version = "7.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d273983c5a657a70a3e8f2a01329822f3b8c8172b73826411a55751e404a0a4a"
+dependencies = [
+ "memchr",
+ "minimal-lexical",
+]
+
+[[package]]
+name = "notify"
+version = "6.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6205bd8bb1e454ad2e27422015fb5e4f2bcc7e08fa8f27058670d208324a4d2d"
+dependencies = [
+ "bitflags 2.4.2",
+ "crossbeam-channel",
+ "filetime",
+ "fsevent-sys",
+ "inotify",
+ "kqueue",
+ "libc",
+ "log",
+ "mio",
+ "walkdir",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "num"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b05180d69e3da0e530ba2a1dae5110317e49e3b7f3d41be227dc5f92e49ee7af"
+dependencies = [
+ "num-bigint",
+ "num-complex",
+ "num-integer",
+ "num-iter",
+ "num-rational",
+ "num-traits",
+]
+
+[[package]]
+name = "num-bigint"
+version = "0.4.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "608e7659b5c3d7cba262d894801b9ec9d00de989e8a82bd4bef91d08da45cdc0"
+dependencies = [
+ "autocfg",
+ "num-integer",
+ "num-traits",
+]
+
+[[package]]
+name = "num-complex"
+version = "0.4.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "23c6602fda94a57c990fe0df199a035d83576b496aa29f4e634a8ac6004e68a6"
+dependencies = [
+ "num-traits",
+]
+
+[[package]]
+name = "num-integer"
+version = "0.1.46"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7969661fd2958a5cb096e56c8e1ad0444ac2bbcd0061bd28660485a44879858f"
+dependencies = [
+ "num-traits",
+]
+
+[[package]]
+name = "num-iter"
+version = "0.1.44"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d869c01cc0c455284163fd0092f1f93835385ccab5a98a0dcc497b2f8bf055a9"
+dependencies = [
+ "autocfg",
+ "num-integer",
+ "num-traits",
+]
+
+[[package]]
+name = "num-rational"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0638a1c9d0a3c0914158145bc76cff373a75a627e6ecbfb71cbe6f453a5a19b0"
+dependencies = [
+ "autocfg",
+ "num-bigint",
+ "num-integer",
+ "num-traits",
+]
+
+[[package]]
+name = "num-traits"
+version = "0.2.18"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "da0df0e5185db44f69b44f26786fe401b6c293d1907744beaa7fa62b2e5a517a"
+dependencies = [
+ "autocfg",
+ "libm",
+]
+
+[[package]]
+name = "num_cpus"
+version = "1.16.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4161fcb6d602d4d2081af7c3a45852d875a03dd337a6bfdd6e06407b61342a43"
+dependencies = [
+ "hermit-abi",
+ "libc",
+]
+
+[[package]]
+name = "num_enum"
+version = "0.7.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "02339744ee7253741199f897151b38e72257d13802d4ee837285cc2990a90845"
+dependencies = [
+ "num_enum_derive",
+]
+
+[[package]]
+name = "num_enum_derive"
+version = "0.7.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "681030a937600a36906c185595136d26abfebb4aa9c65701cefcaf8578bb982b"
+dependencies = [
+ "proc-macro-crate 2.0.2",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "objc"
+version = "0.2.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "915b1b472bc21c53464d6c8461c9d3af805ba1ef837e1cac254428f4a77177b1"
+dependencies = [
+ "malloc_buf",
+ "objc_exception",
+]
+
+[[package]]
+name = "objc-foundation"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1add1b659e36c9607c7aab864a76c7a4c2760cd0cd2e120f3fb8b952c7e22bf9"
+dependencies = [
+ "block",
+ "objc",
+ "objc_id",
+]
+
+[[package]]
+name = "objc-sys"
+version = "0.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c7c71324e4180d0899963fc83d9d241ac39e699609fc1025a850aadac8257459"
+
+[[package]]
+name = "objc2"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "559c5a40fdd30eb5e344fbceacf7595a81e242529fb4e21cf5f43fb4f11ff98d"
+dependencies = [
+ "objc-sys",
+ "objc2-encode 3.0.0",
+]
+
+[[package]]
+name = "objc2"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9a9c7f0d511a4ce26b078183179dca908171cfc69f88986fe36c5138e1834476"
+dependencies = [
+ "objc-sys",
+ "objc2-encode 4.0.0",
+]
+
+[[package]]
+name = "objc2-encode"
+version = "3.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d079845b37af429bfe5dfa76e6d087d788031045b25cfc6fd898486fd9847666"
+
+[[package]]
+name = "objc2-encode"
+version = "4.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2ff06a6505cde0766484f38d8479ac8e6d31c66fbc2d5492f65ca8c091456379"
+
+[[package]]
+name = "objc_exception"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ad970fb455818ad6cba4c122ad012fae53ae8b4795f86378bce65e4f6bab2ca4"
+dependencies = [
+ "cc",
+]
+
+[[package]]
+name = "objc_id"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c92d4ddb4bd7b50d730c215ff871754d0da6b2178849f8a2a2ab69712d0c073b"
+dependencies = [
+ "objc",
+]
+
+[[package]]
+name = "object"
+version = "0.32.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a6a622008b6e321afc04970976f62ee297fdbaa6f95318ca343e3eebb9648441"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "once_cell"
+version = "1.19.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3fdb12b2476b595f9358c5161aa467c2438859caa136dec86c26fdd2efe17b92"
+
+[[package]]
+name = "openssl"
+version = "0.10.64"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "95a0481286a310808298130d22dd1fef0fa571e05a8f44ec801801e84b216b1f"
+dependencies = [
+ "bitflags 2.4.2",
+ "cfg-if",
+ "foreign-types 0.3.2",
+ "libc",
+ "once_cell",
+ "openssl-macros",
+ "openssl-sys",
+]
+
+[[package]]
+name = "openssl-macros"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a948666b637a0f465e8564c73e89d4dde00d72d4d473cc972f390fc3dcee7d9c"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "openssl-probe"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ff011a302c396a5197692431fc1948019154afc178baf7d8e37367442a4601cf"
+
+[[package]]
+name = "openssl-sys"
+version = "0.9.101"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dda2b0f344e78efc2facf7d195d098df0dd72151b26ab98da807afc26c198dff"
+dependencies = [
+ "cc",
+ "libc",
+ "pkg-config",
+ "vcpkg",
+]
+
+[[package]]
+name = "option-ext"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "04744f49eae99ab78e0d5c0b603ab218f515ea8cfe5a456d7629ad883a3b6e7d"
+
+[[package]]
+name = "orbclient"
+version = "0.3.47"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "52f0d54bde9774d3a51dcf281a5def240c71996bc6ca05d2c847ec8b2b216166"
+dependencies = [
+ "libredox 0.0.2",
+]
+
+[[package]]
+name = "ordered-multimap"
+version = "0.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a4d6a8c22fc714f0c2373e6091bf6f5e9b37b1bc0b1184874b7e0a4e303d318f"
+dependencies = [
+ "dlv-list",
+ "hashbrown",
+]
+
+[[package]]
+name = "ordered-stream"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9aa2b01e1d916879f73a53d01d1d6cee68adbb31d6d9177a8cfce093cced1d50"
+dependencies = [
+ "futures-core",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "ouroboros"
+version = "0.17.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e2ba07320d39dfea882faa70554b4bd342a5f273ed59ba7c1c6b4c840492c954"
+dependencies = [
+ "aliasable",
+ "ouroboros_macro",
+ "static_assertions",
+]
+
+[[package]]
+name = "ouroboros_macro"
+version = "0.17.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ec4c6225c69b4ca778c0aea097321a64c421cf4577b331c61b229267edabb6f8"
+dependencies = [
+ "heck",
+ "proc-macro-error",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "owned_ttf_parser"
+version = "0.20.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d4586edfe4c648c71797a74c84bacb32b52b212eff5dfe2bb9f2c599844023e7"
+dependencies = [
+ "ttf-parser",
+]
+
+[[package]]
+name = "packagekit-zbus"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9433dbe304f2280c5aba7ba4e4b0a01f915635c2d3d55137c420d687c3114fb3"
+dependencies = [
+ "zbus",
+]
+
+[[package]]
+name = "packagemerge"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0efcf6ee55f8f7a24333bc8d1dd0e541a6cedf903dbc07ae6479d7f8ff32ed08"
+dependencies = [
+ "itertools",
+]
+
+[[package]]
+name = "palette"
+version = "0.7.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ebfc23a4b76642983d57e4ad00bb4504eb30a8ce3c70f4aee1f725610e36d97a"
+dependencies = [
+ "approx",
+ "fast-srgb8",
+ "palette_derive",
+ "phf",
+ "serde",
+]
+
+[[package]]
+name = "palette_derive"
+version = "0.7.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e8890702dbec0bad9116041ae586f84805b13eecd1d8b1df27c29998a9969d6d"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "pango-sys"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "436737e391a843e5933d6d9aa102cb126d501e815b83601365a948a518555dc5"
+dependencies = [
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps",
+]
+
+[[package]]
+name = "parking"
+version = "2.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bb813b8af86854136c6922af0598d719255ecb2179515e6e7730d468f05c9cae"
+
+[[package]]
+name = "parking_lot"
+version = "0.11.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7d17b78036a60663b797adeaee46f5c9dfebb86948d1255007a1d6be0271ff99"
+dependencies = [
+ "instant",
+ "lock_api",
+ "parking_lot_core 0.8.6",
+]
+
+[[package]]
+name = "parking_lot"
+version = "0.12.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3742b2c103b9f06bc9fff0a37ff4912935851bee6d36f3c02bcc755bcfec228f"
+dependencies = [
+ "lock_api",
+ "parking_lot_core 0.9.9",
+]
+
+[[package]]
+name = "parking_lot_core"
+version = "0.8.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "60a2cfe6f0ad2bfc16aefa463b497d5c7a5ecd44a23efa72aa342d90177356dc"
+dependencies = [
+ "cfg-if",
+ "instant",
+ "libc",
+ "redox_syscall 0.2.16",
+ "smallvec",
+ "winapi",
+]
+
+[[package]]
+name = "parking_lot_core"
+version = "0.9.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4c42a9226546d68acdd9c0a280d17ce19bfe27a46bf68784e4066115788d008e"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "redox_syscall 0.4.1",
+ "smallvec",
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "paste"
+version = "1.0.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "de3145af08024dea9fa9914f381a17b8fc6034dfb00f3a84013f7ff43f29ed4c"
+
+[[package]]
+name = "percent-encoding"
+version = "2.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e3148f5046208a5d56bcfc03053e3ca6334e51da8dfb19b6cdc8b306fae3283e"
+
+[[package]]
+name = "phf"
+version = "0.11.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ade2d8b8f33c7333b51bcf0428d37e217e9f32192ae4772156f65063b8ce03dc"
+dependencies = [
+ "phf_macros",
+ "phf_shared",
+]
+
+[[package]]
+name = "phf_generator"
+version = "0.11.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "48e4cc64c2ad9ebe670cb8fd69dd50ae301650392e81c05f9bfcb2d5bdbc24b0"
+dependencies = [
+ "phf_shared",
+ "rand",
+]
+
+[[package]]
+name = "phf_macros"
+version = "0.11.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3444646e286606587e49f3bcf1679b8cef1dc2c5ecc29ddacaffc305180d464b"
+dependencies = [
+ "phf_generator",
+ "phf_shared",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "phf_shared"
+version = "0.11.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "90fcb95eef784c2ac79119d1dd819e162b5da872ce6f3c3abe1e8ca1c082f72b"
+dependencies = [
+ "siphasher",
+]
+
+[[package]]
+name = "pico-args"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5be167a7af36ee22fe3115051bc51f6e6c7054c9348e28deb4f49bd6f705a315"
+
+[[package]]
+name = "pin-project-lite"
+version = "0.2.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8afb450f006bf6385ca15ef45d71d2288452bc3683ce2e2cacc0d18e4be60b58"
+
+[[package]]
+name = "pin-utils"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8b870d8c151b6f2fb93e84a13146138f05d02ed11c7e7c54f8826aaaf7c9f184"
+
+[[package]]
+name = "piper"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "668d31b1c4eba19242f2088b2bf3316b82ca31082a8335764db4e083db7485d4"
+dependencies = [
+ "atomic-waker",
+ "fastrand 2.0.1",
+ "futures-io",
+]
+
+[[package]]
+name = "pkg-config"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d231b230927b5e4ad203db57bbcbee2802f6bce620b1e4a9024a07d94e2907ec"
+
+[[package]]
+name = "png"
+version = "0.17.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "06e4b0d3d1312775e782c86c91a111aa1f910cbb65e1337f9975b5f9a554b5e1"
+dependencies = [
+ "bitflags 1.3.2",
+ "crc32fast",
+ "fdeflate",
+ "flate2",
+ "miniz_oxide",
+]
+
+[[package]]
+name = "polling"
+version = "2.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4b2d323e8ca7996b3e23126511a523f7e62924d93ecd5ae73b333815b0eb3dce"
+dependencies = [
+ "autocfg",
+ "bitflags 1.3.2",
+ "cfg-if",
+ "concurrent-queue",
+ "libc",
+ "log",
+ "pin-project-lite",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "polling"
+version = "3.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "24f040dee2588b4963afb4e420540439d126f73fdacf4a9c486a96d840bac3c9"
+dependencies = [
+ "cfg-if",
+ "concurrent-queue",
+ "pin-project-lite",
+ "rustix 0.38.31",
+ "tracing",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "ppv-lite86"
+version = "0.2.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5b40af805b3121feab8a3c29f04d8ad262fa8e0561883e7653e024ae4479e6de"
+
+[[package]]
+name = "presser"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e8cf8e6a8aa66ce33f63993ffc4ea4271eb5b0530a9002db8455ea6050c77bfa"
+
+[[package]]
+name = "proc-macro-crate"
+version = "1.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f4c021e1093a56626774e81216a4ce732a735e5bad4868a03f3ed65ca0c3919"
+dependencies = [
+ "once_cell",
+ "toml_edit 0.19.15",
+]
+
+[[package]]
+name = "proc-macro-crate"
+version = "2.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b00f26d3400549137f92511a46ac1cd8ce37cb5598a96d382381458b992a5d24"
+dependencies = [
+ "toml_datetime",
+ "toml_edit 0.20.2",
+]
+
+[[package]]
+name = "proc-macro-error"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "da25490ff9892aab3fcf7c36f08cfb902dd3e71ca0f9f9517bea02a73a5ce38c"
+dependencies = [
+ "proc-macro-error-attr",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+ "version_check",
+]
+
+[[package]]
+name = "proc-macro-error-attr"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a1be40180e52ecc98ad80b184934baf3d0d29f979574e439af5a55274b35f869"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "version_check",
+]
+
+[[package]]
+name = "proc-macro2"
+version = "1.0.78"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e2422ad645d89c99f8f3e6b88a9fdeca7fabeac836b1002371c4367c8f984aae"
+dependencies = [
+ "unicode-ident",
+]
+
+[[package]]
+name = "profiling"
+version = "1.0.15"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "43d84d1d7a6ac92673717f9f6d1518374ef257669c24ebc5ac25d5033828be58"
+
+[[package]]
+name = "qoi"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f6d64c71eb498fe9eae14ce4ec935c555749aef511cca85b5568910d6e48001"
+dependencies = [
+ "bytemuck",
+]
+
+[[package]]
+name = "quick-xml"
+version = "0.31.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1004a344b30a54e2ee58d66a71b32d2db2feb0a31f9a2d302bf0536f15de2a33"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "quote"
+version = "1.0.35"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "291ec9ab5efd934aaf503a6466c5d5251535d108ee747472c3977cc5acc868ef"
+dependencies = [
+ "proc-macro2",
+]
+
+[[package]]
+name = "rand"
+version = "0.8.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "34af8d1a0e25924bc5b7c43c079c942339d8f0a8b57c39049bef581b46327404"
+dependencies = [
+ "libc",
+ "rand_chacha",
+ "rand_core",
+]
+
+[[package]]
+name = "rand_chacha"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e6c10a63a0fa32252be49d21e7709d4d4baf8d231c2dbce1eaa8141b9b127d88"
+dependencies = [
+ "ppv-lite86",
+ "rand_core",
+]
+
+[[package]]
+name = "rand_core"
+version = "0.6.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ec0be4795e2f6a28069bec0b5ff3e2ac9bafc99e6a9a7dc3547996c5c816922c"
+dependencies = [
+ "getrandom",
+]
+
+[[package]]
+name = "range-alloc"
+version = "0.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9c8a99fddc9f0ba0a85884b8d14e3592853e787d581ca1816c91349b10e4eeab"
+
+[[package]]
+name = "rangemap"
+version = "1.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f60fcc7d6849342eff22c4350c8b9a989ee8ceabc4b481253e8946b9fe83d684"
+
+[[package]]
+name = "raw-window-handle"
+version = "0.5.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f2ff9a1f06a88b01621b7ae906ef0211290d1c8a168a15542486a8f61c0833b9"
+
+[[package]]
+name = "raw-window-handle"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "42a9830a0e1b9fb145ebb365b8bc4ccd75f290f98c0247deafbbe2c75cefb544"
+
+[[package]]
+name = "rayon"
+version = "1.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e4963ed1bc86e4f3ee217022bd855b297cef07fb9eac5dfa1f788b220b49b3bd"
+dependencies = [
+ "either",
+ "rayon-core",
+]
+
+[[package]]
+name = "rayon-core"
+version = "1.12.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1465873a3dfdaa8ae7cb14b4383657caab0b3e8a0aa9ae8e04b044854c8dfce2"
+dependencies = [
+ "crossbeam-deque",
+ "crossbeam-utils",
+]
+
+[[package]]
+name = "rctree"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3b42e27ef78c35d3998403c1d26f3efd9e135d3e5121b0a4845cc5cc27547f4f"
+
+[[package]]
+name = "read-fonts"
+version = "0.15.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "17ea23eedb4d938031b6d4343222444608727a6aa68ec355e13588d9947ffe92"
+dependencies = [
+ "font-types",
+]
+
+[[package]]
+name = "redox_syscall"
+version = "0.2.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fb5a58c1855b4b6819d59012155603f0b22ad30cad752600aadfcb695265519a"
+dependencies = [
+ "bitflags 1.3.2",
+]
+
+[[package]]
+name = "redox_syscall"
+version = "0.3.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "567664f262709473930a4bf9e51bf2ebf3348f2e748ccc50dea20646858f8f29"
+dependencies = [
+ "bitflags 1.3.2",
+]
+
+[[package]]
+name = "redox_syscall"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4722d768eff46b75989dd134e5c353f0d6296e5aaa3132e776cbdb56be7731aa"
+dependencies = [
+ "bitflags 1.3.2",
+]
+
+[[package]]
+name = "redox_users"
+version = "0.4.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a18479200779601e498ada4e8c1e1f50e3ee19deb0259c25825a98b5603b2cb4"
+dependencies = [
+ "getrandom",
+ "libredox 0.0.1",
+ "thiserror",
+]
+
+[[package]]
+name = "regex"
+version = "1.10.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b62dbe01f0b06f9d8dc7d49e05a0785f153b00b2c227856282f671e0318c9b15"
+dependencies = [
+ "aho-corasick",
+ "memchr",
+ "regex-automata",
+ "regex-syntax",
+]
+
+[[package]]
+name = "regex-automata"
+version = "0.4.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "86b83b8b9847f9bf95ef68afb0b8e6cdb80f498442f5179a29fad448fcc1eaea"
+dependencies = [
+ "aho-corasick",
+ "memchr",
+ "regex-syntax",
+]
+
+[[package]]
+name = "regex-syntax"
+version = "0.8.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c08c74e62047bb2de4ff487b251e4a92e24f48745648451635cec7d591162d9f"
+
+[[package]]
+name = "renderdoc-sys"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "19b30a45b0cd0bcca8037f3d0dc3421eaf95327a17cad11964fb8179b4fc4832"
+
+[[package]]
+name = "reqwest"
+version = "0.11.25"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0eea5a9eb898d3783f17c6407670e3592fd174cb81a10e51d4c37f49450b9946"
+dependencies = [
+ "base64",
+ "bytes",
+ "encoding_rs",
+ "futures-core",
+ "futures-util",
+ "h2",
+ "http",
+ "http-body",
+ "hyper",
+ "hyper-tls",
+ "ipnet",
+ "js-sys",
+ "log",
+ "mime",
+ "native-tls",
+ "once_cell",
+ "percent-encoding",
+ "pin-project-lite",
+ "rustls-pemfile",
+ "serde",
+ "serde_json",
+ "serde_urlencoded",
+ "sync_wrapper",
+ "system-configuration",
+ "tokio",
+ "tokio-native-tls",
+ "tower-service",
+ "url",
+ "wasm-bindgen",
+ "wasm-bindgen-futures",
+ "web-sys",
+ "winreg",
+]
+
+[[package]]
+name = "residua-zigzag"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2b37805477eee599a61753230f511ae94d737f69b536e468e294723ad5f1b75f"
+
+[[package]]
+name = "resvg"
+version = "0.37.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cadccb3d99a9efb8e5e00c16fbb732cbe400db2ec7fc004697ee7d97d86cf1f4"
+dependencies = [
+ "gif 0.12.0",
+ "jpeg-decoder",
+ "log",
+ "pico-args",
+ "png",
+ "rgb",
+ "svgtypes",
+ "tiny-skia",
+ "usvg",
+]
+
+[[package]]
+name = "rfd"
+version = "0.13.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c0d8ab342bcc5436e04d3a4c1e09e17d74958bfaddf8d5fad6f85607df0f994f"
+dependencies = [
+ "ashpd 0.6.8",
+ "block",
+ "dispatch",
+ "glib-sys",
+ "gobject-sys",
+ "gtk-sys",
+ "js-sys",
+ "log",
+ "objc",
+ "objc-foundation",
+ "objc_id",
+ "raw-window-handle 0.5.2",
+ "wasm-bindgen",
+ "wasm-bindgen-futures",
+ "web-sys",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "rgb"
+version = "0.8.37"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "05aaa8004b64fd573fc9d002f4e632d51ad4f026c2b5ba95fcb6c2f32c2c47d8"
+dependencies = [
+ "bytemuck",
+]
+
+[[package]]
+name = "ron"
+version = "0.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b91f7eff05f748767f183df4320a63d6936e9c6107d97c9e6bdd9784f4289c94"
+dependencies = [
+ "base64",
+ "bitflags 2.4.2",
+ "serde",
+ "serde_derive",
+]
+
+[[package]]
+name = "roxmltree"
+version = "0.19.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3cd14fd5e3b777a7422cca79358c57a8f6e3a703d9ac187448d0daf220c2407f"
+
+[[package]]
+name = "rust-embed"
+version = "6.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a36224c3276f8c4ebc8c20f158eca7ca4359c8db89991c4925132aaaf6702661"
+dependencies = [
+ "rust-embed-impl",
+ "rust-embed-utils",
+ "walkdir",
+]
+
+[[package]]
+name = "rust-embed-impl"
+version = "6.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "49b94b81e5b2c284684141a2fb9e2a31be90638caf040bf9afbc5a0416afe1ac"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "rust-embed-utils",
+ "syn 2.0.52",
+ "walkdir",
+]
+
+[[package]]
+name = "rust-embed-utils"
+version = "7.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9d38ff6bf570dc3bb7100fce9f7b60c33fa71d80e88da3f2580df4ff2bdded74"
+dependencies = [
+ "sha2",
+ "walkdir",
+]
+
+[[package]]
+name = "rust-ini"
+version = "0.20.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3e0698206bcb8882bf2a9ecb4c1e7785db57ff052297085a6efd4fe42302068a"
+dependencies = [
+ "cfg-if",
+ "ordered-multimap",
+]
+
+[[package]]
+name = "rustc-demangle"
+version = "0.1.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d626bb9dae77e28219937af045c257c28bfd3f69333c512553507f5f9798cb76"
+
+[[package]]
+name = "rustc-hash"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "08d43f7aa6b08d49f382cde6a7982047c3426db949b1424bc4b7ec9ae12c6ce2"
+
+[[package]]
+name = "rustix"
+version = "0.37.27"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fea8ca367a3a01fe35e6943c400addf443c0f57670e6ec51196f71a4b8762dd2"
+dependencies = [
+ "bitflags 1.3.2",
+ "errno",
+ "io-lifetimes",
+ "libc",
+ "linux-raw-sys 0.3.8",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "rustix"
+version = "0.38.31"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6ea3e1a662af26cd7a3ba09c0297a31af215563ecf42817c98df621387f4e949"
+dependencies = [
+ "bitflags 2.4.2",
+ "errno",
+ "libc",
+ "linux-raw-sys 0.4.13",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "rustls-pemfile"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1c74cae0a4cf6ccbbf5f359f08efdf8ee7e1dc532573bf0db71968cb56b1448c"
+dependencies = [
+ "base64",
+]
+
+[[package]]
+name = "rustversion"
+version = "1.0.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7ffc183a10b4478d04cbbbfc96d0873219d962dd5accaff2ffbd4ceb7df837f4"
+
+[[package]]
+name = "rustybuzz"
+version = "0.12.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f0ae5692c5beaad6a9e22830deeed7874eae8a4e3ba4076fb48e12c56856222c"
+dependencies = [
+ "bitflags 2.4.2",
+ "bytemuck",
+ "libm",
+ "smallvec",
+ "ttf-parser",
+ "unicode-bidi-mirroring",
+ "unicode-ccc",
+ "unicode-properties",
+ "unicode-script",
+]
+
+[[package]]
+name = "ryu"
+version = "1.0.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e86697c916019a8588c99b5fac3cead74ec0b4b819707a682fd4d23fa0ce1ba1"
+
+[[package]]
+name = "same-file"
+version = "1.0.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "93fc1dc3aaa9bfed95e02e6eadabb4baf7e3078b0bd1b4d7b6b0b68378900502"
+dependencies = [
+ "winapi-util",
+]
+
+[[package]]
+name = "schannel"
+version = "0.1.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fbc91545643bcf3a0bbb6569265615222618bdf33ce4ffbbd13c4bbd4c093534"
+dependencies = [
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "scoped-tls"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e1cf6437eb19a8f4a6cc0f7dca544973b0b78843adbfeb3683d1a94a0024a294"
+
+[[package]]
+name = "scopeguard"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "94143f37725109f92c262ed2cf5e59bce7498c01bcc1502d7b9afe439a4e9f49"
+
+[[package]]
+name = "sctk-adwaita"
+version = "0.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "82b2eaf3a5b264a521b988b2e73042e742df700c4f962cde845d1541adb46550"
+dependencies = [
+ "ab_glyph",
+ "log",
+ "memmap2",
+ "smithay-client-toolkit",
+ "tiny-skia",
+]
+
+[[package]]
+name = "security-framework"
+version = "2.9.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "05b64fb303737d99b81884b2c63433e9ae28abebe5eb5045dcdd175dc2ecf4de"
+dependencies = [
+ "bitflags 1.3.2",
+ "core-foundation",
+ "core-foundation-sys",
+ "libc",
+ "security-framework-sys",
+]
+
+[[package]]
+name = "security-framework-sys"
+version = "2.9.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e932934257d3b408ed8f30db49d85ea163bfe74961f017f405b025af298f0c7a"
+dependencies = [
+ "core-foundation-sys",
+ "libc",
+]
+
+[[package]]
+name = "self_cell"
+version = "0.10.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e14e4d63b804dc0c7ec4a1e52bcb63f02c7ac94476755aa579edac21e01f915d"
+dependencies = [
+ "self_cell 1.0.3",
+]
+
+[[package]]
+name = "self_cell"
+version = "1.0.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "58bf37232d3bb9a2c4e641ca2a11d83b5062066f88df7fed36c28772046d65ba"
+
+[[package]]
+name = "serde"
+version = "1.0.197"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3fb1c873e1b9b056a4dc4c0c198b24c3ffa059243875552b2bd0933b1aee4ce2"
+dependencies = [
+ "serde_derive",
+]
+
+[[package]]
+name = "serde_derive"
+version = "1.0.197"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7eb0b34b42edc17f6b7cac84a52a1c5f0e1bb2227e997ca9011ea3dd34e8610b"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "serde_json"
+version = "1.0.114"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c5f09b1bd632ef549eaa9f60a1f8de742bdbc698e6cee2095fc84dde5f549ae0"
+dependencies = [
+ "itoa",
+ "ryu",
+ "serde",
+]
+
+[[package]]
+name = "serde_repr"
+version = "0.1.18"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0b2e6b945e9d3df726b65d6ee24060aff8e3533d431f677a9695db04eff9dfdb"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "serde_spanned"
+version = "0.6.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eb3622f419d1296904700073ea6cc23ad690adbd66f13ea683df73298736f0c1"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "serde_urlencoded"
+version = "0.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d3491c14715ca2294c4d6a88f15e84739788c1d030eed8c110436aafdaa2f3fd"
+dependencies = [
+ "form_urlencoded",
+ "itoa",
+ "ryu",
+ "serde",
+]
+
+[[package]]
+name = "serde_yaml"
+version = "0.9.32"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8fd075d994154d4a774f95b51fb96bdc2832b0ea48425c92546073816cda1f2f"
+dependencies = [
+ "indexmap",
+ "itoa",
+ "ryu",
+ "serde",
+ "unsafe-libyaml",
+]
+
+[[package]]
+name = "sha1"
+version = "0.10.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e3bf829a2d51ab4a5ddf1352d8470c140cadc8301b2ae1789db023f01cedd6ba"
+dependencies = [
+ "cfg-if",
+ "cpufeatures",
+ "digest",
+]
+
+[[package]]
+name = "sha2"
+version = "0.10.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "793db75ad2bcafc3ffa7c68b215fee268f537982cd901d132f89c6343f3a3dc8"
+dependencies = [
+ "cfg-if",
+ "cpufeatures",
+ "digest",
+]
+
+[[package]]
+name = "shlex"
+version = "1.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0fda2ff0d084019ba4d7c6f371c95d8fd75ce3524c3cb8fb653a3023f6323e64"
+
+[[package]]
+name = "signal-hook-registry"
+version = "1.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d8229b473baa5980ac72ef434c4415e70c4b5e71b423043adb4ba059f89c99a1"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "simd-adler32"
+version = "0.3.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d66dc143e6b11c1eddc06d5c423cfc97062865baf299914ab64caa38182078fe"
+
+[[package]]
+name = "simdutf8"
+version = "0.1.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f27f6278552951f1f2b8cf9da965d10969b2efdea95a6ec47987ab46edfe263a"
+
+[[package]]
+name = "simplecss"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a11be7c62927d9427e9f40f3444d5499d868648e2edbc4e2116de69e7ec0e89d"
+dependencies = [
+ "log",
+]
+
+[[package]]
+name = "siphasher"
+version = "0.3.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "38b58827f4464d87d377d175e90bf58eb00fd8716ff0a62f80356b5e61555d0d"
+
+[[package]]
+name = "slab"
+version = "0.4.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f92a496fb766b417c996b9c5e57daf2f7ad3b0bebe1ccfca4856390e3d3bb67"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "slotmap"
+version = "1.0.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dbff4acf519f630b3a3ddcfaea6c06b42174d9a44bc70c620e9ed1649d58b82a"
+dependencies = [
+ "version_check",
+]
+
+[[package]]
+name = "smallvec"
+version = "1.13.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e6ecd384b10a64542d77071bd64bd7b231f4ed5940fba55e98c3de13824cf3d7"
+
+[[package]]
+name = "smithay-client-toolkit"
+version = "0.18.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "922fd3eeab3bd820d76537ce8f582b1cf951eceb5475c28500c7457d9d17f53a"
+dependencies = [
+ "bitflags 2.4.2",
+ "calloop",
+ "calloop-wayland-source",
+ "cursor-icon",
+ "libc",
+ "log",
+ "memmap2",
+ "rustix 0.38.31",
+ "thiserror",
+ "wayland-backend",
+ "wayland-client",
+ "wayland-csd-frame",
+ "wayland-cursor",
+ "wayland-protocols",
+ "wayland-protocols-wlr",
+ "wayland-scanner",
+ "xkeysym",
+]
+
+[[package]]
+name = "smithay-clipboard"
+version = "0.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c091e7354ea8059d6ad99eace06dd13ddeedbb0ac72d40a9a6e7ff790525882d"
+dependencies = [
+ "libc",
+ "smithay-client-toolkit",
+ "wayland-backend",
+]
+
+[[package]]
+name = "smol_str"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e6845563ada680337a52d43bb0b29f396f2d911616f6573012645b9e3d048a49"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "socket2"
+version = "0.4.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9f7916fc008ca5542385b89a3d3ce689953c143e9304a9bf8beec1de48994c0d"
+dependencies = [
+ "libc",
+ "winapi",
+]
+
+[[package]]
+name = "socket2"
+version = "0.5.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "05ffd9c0a93b7543e062e759284fcf5f5e3b098501104bfbdde4d404db792871"
+dependencies = [
+ "libc",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "softbuffer"
+version = "0.4.1"
+source = "git+https://github.com/pop-os/softbuffer?tag=cosmic-4.0#6e75b1ad7e98397d37cb187886d05969bc480995"
+dependencies = [
+ "as-raw-xcb-connection",
+ "bytemuck",
+ "cfg_aliases 0.2.0",
+ "cocoa",
+ "core-graphics",
+ "drm",
+ "fastrand 2.0.1",
+ "foreign-types 0.5.0",
+ "js-sys",
+ "log",
+ "memmap2",
+ "objc",
+ "raw-window-handle 0.6.0",
+ "redox_syscall 0.4.1",
+ "rustix 0.38.31",
+ "tiny-xlib",
+ "wasm-bindgen",
+ "wayland-backend",
+ "wayland-client",
+ "wayland-sys",
+ "web-sys",
+ "windows-sys 0.52.0",
+ "x11rb",
+]
+
+[[package]]
+name = "spin"
+version = "0.9.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6980e8d7511241f8acf4aebddbb1ff938df5eebe98691418c4468d0b72a96a67"
+dependencies = [
+ "lock_api",
+]
+
+[[package]]
+name = "spirv"
+version = "0.3.0+sdk-1.3.268.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eda41003dc44290527a59b13432d4a0379379fa074b70174882adfbdfd917844"
+dependencies = [
+ "bitflags 2.4.2",
+]
+
+[[package]]
+name = "static_assertions"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a2eb9349b6444b326872e140eb1cf5e7c522154d69e7a0ffb0fb81c06b37543f"
+
+[[package]]
+name = "strict-num"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6637bab7722d379c8b41ba849228d680cc12d0a45ba1fa2b48f2a30577a06731"
+dependencies = [
+ "float-cmp",
+]
+
+[[package]]
+name = "strsim"
+version = "0.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "73473c0e59e6d5812c5dfe2a064a6444949f089e20eec9a2e5506596494e4623"
+
+[[package]]
+name = "strum"
+version = "0.24.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "063e6045c0e62079840579a7e47a355ae92f60eb74daaf156fb1e84ba164e63f"
+
+[[package]]
+name = "strum_macros"
+version = "0.24.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1e385be0d24f186b4ce2f9982191e7101bb737312ad61c1f2f984f34bcf85d59"
+dependencies = [
+ "heck",
+ "proc-macro2",
+ "quote",
+ "rustversion",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "svg_fmt"
+version = "0.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f83ba502a3265efb76efb89b0a2f7782ad6f2675015d4ce37e4b547dda42b499"
+
+[[package]]
+name = "svgtypes"
+version = "0.13.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6e44e288cd960318917cbd540340968b90becc8bc81f171345d706e7a89d9d70"
+dependencies = [
+ "kurbo",
+ "siphasher",
+]
+
+[[package]]
+name = "swash"
+version = "0.1.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d06ff4664af8923625604261c645f5c4cc610cc83c84bec74b50d76237089de7"
+dependencies = [
+ "read-fonts",
+ "yazi",
+ "zeno",
+]
+
+[[package]]
+name = "syn"
+version = "1.0.109"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "72b64191b275b66ffe2469e8af2c1cfe3bafa67b529ead792a6d0160888b4237"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "unicode-ident",
+]
+
+[[package]]
+name = "syn"
+version = "2.0.52"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b699d15b36d1f02c3e7c69f8ffef53de37aefae075d8488d4ba1a7788d574a07"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "unicode-ident",
+]
+
+[[package]]
+name = "sync_wrapper"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2047c6ded9c721764247e62cd3b03c09ffc529b2ba5b10ec482ae507a4a70160"
+
+[[package]]
+name = "sys-locale"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e801cf239ecd6ccd71f03d270d67dd53d13e90aab208bf4b8fe4ad957ea949b0"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "system-configuration"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "658bc6ee10a9b4fcf576e9b0819d95ec16f4d2c02d39fd83ac1c8789785c4a42"
+dependencies = [
+ "bitflags 2.4.2",
+ "core-foundation",
+ "system-configuration-sys",
+]
+
+[[package]]
+name = "system-configuration-sys"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8e1d1b10ced5ca923a1fcb8d03e96b8d3268065d724548c0211415ff6ac6bac4"
+dependencies = [
+ "core-foundation-sys",
+ "libc",
+]
+
+[[package]]
+name = "system-deps"
+version = "6.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2a2d580ff6a20c55dfb86be5f9c238f67835d0e81cbdea8bf5680e0897320331"
+dependencies = [
+ "cfg-expr",
+ "heck",
+ "pkg-config",
+ "toml 0.8.2",
+ "version-compare",
+]
+
+[[package]]
+name = "taffy"
+version = "0.3.11"
+source = "git+https://github.com/DioxusLabs/taffy?rev=7781c70#7781c70241f7f572130c13106f2a869a9cf80885"
+dependencies = [
+ "arrayvec",
+ "grid",
+ "num-traits",
+ "slotmap",
+]
+
+[[package]]
+name = "target-lexicon"
+version = "0.12.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e1fc403891a21bcfb7c37834ba66a547a8f402146eba7265b5a6d88059c9ff2f"
+
+[[package]]
+name = "temp-dir"
+version = "0.1.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dd16aa9ffe15fe021c6ee3766772132c6e98dfa395a167e16864f61a9cfb71d6"
+
+[[package]]
+name = "tempfile"
+version = "3.10.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "85b77fafb263dd9d05cbeac119526425676db3784113aa9295c88498cbf8bff1"
+dependencies = [
+ "cfg-if",
+ "fastrand 2.0.1",
+ "rustix 0.38.31",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "termcolor"
+version = "1.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "06794f8f6c5c898b3275aebefa6b8a1cb24cd2c6c79397ab15774837a0bc5755"
+dependencies = [
+ "winapi-util",
+]
+
+[[package]]
+name = "thiserror"
+version = "1.0.57"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1e45bcbe8ed29775f228095caf2cd67af7a4ccf756ebff23a306bf3e8b47b24b"
+dependencies = [
+ "thiserror-impl",
+]
+
+[[package]]
+name = "thiserror-impl"
+version = "1.0.57"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a953cb265bef375dae3de6663da4d3804eee9682ea80d8e2542529b73c531c81"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "tiff"
+version = "0.9.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ba1310fcea54c6a9a4fd1aad794ecc02c31682f6bfbecdf460bf19533eed1e3e"
+dependencies = [
+ "flate2",
+ "jpeg-decoder",
+ "weezl",
+]
+
+[[package]]
+name = "tiny-keccak"
+version = "2.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2c9d3793400a45f954c52e73d068316d76b6f4e36977e3fcebb13a2721e80237"
+dependencies = [
+ "crunchy",
+]
+
+[[package]]
+name = "tiny-skia"
+version = "0.11.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "83d13394d44dae3207b52a326c0c85a8bf87f1541f23b0d143811088497b09ab"
+dependencies = [
+ "arrayref",
+ "arrayvec",
+ "bytemuck",
+ "cfg-if",
+ "log",
+ "png",
+ "tiny-skia-path",
+]
+
+[[package]]
+name = "tiny-skia-path"
+version = "0.11.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9c9e7fc0c2e86a30b117d0462aa261b72b7a99b7ebd7deb3a14ceda95c5bdc93"
+dependencies = [
+ "arrayref",
+ "bytemuck",
+ "strict-num",
+]
+
+[[package]]
+name = "tiny-xlib"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d4098d49269baa034a8d1eae9bd63e9fa532148d772121dace3bcd6a6c98eb6d"
+dependencies = [
+ "as-raw-xcb-connection",
+ "ctor",
+ "libloading 0.8.3",
+ "tracing",
+]
+
+[[package]]
+name = "tinystr"
+version = "0.7.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "83c02bf3c538ab32ba913408224323915f4ef9a6d61c0e85d493f355921c0ece"
+dependencies = [
+ "displaydoc",
+]
+
+[[package]]
+name = "tinyvec"
+version = "1.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "87cc5ceb3875bb20c2890005a4e226a4651264a5c75edb2421b52861a0a0cb50"
+dependencies = [
+ "tinyvec_macros",
+]
+
+[[package]]
+name = "tinyvec_macros"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20"
+
+[[package]]
+name = "tokio"
+version = "1.36.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "61285f6515fa018fb2d1e46eb21223fff441ee8db5d0f1435e8ab4f5cdb80931"
+dependencies = [
+ "backtrace",
+ "bytes",
+ "libc",
+ "mio",
+ "num_cpus",
+ "parking_lot 0.12.1",
+ "pin-project-lite",
+ "signal-hook-registry",
+ "socket2 0.5.6",
+ "tokio-macros",
+ "tracing",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "tokio-macros"
+version = "2.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5b8a1e28f2deaa14e508979454cb3a223b10b938b45af148bc0986de36f1923b"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "tokio-native-tls"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bbae76ab933c85776efabc971569dd6119c580d8f5d448769dec1764bf796ef2"
+dependencies = [
+ "native-tls",
+ "tokio",
+]
+
+[[package]]
+name = "tokio-util"
+version = "0.7.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5419f34732d9eb6ee4c3578b7989078579b7f039cbbb9ca2c4da015749371e15"
+dependencies = [
+ "bytes",
+ "futures-core",
+ "futures-sink",
+ "pin-project-lite",
+ "tokio",
+ "tracing",
+]
+
+[[package]]
+name = "toml"
+version = "0.5.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f4f7f0dd8d50a853a531c426359045b1998f04219d88799810762cd4ad314234"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "toml"
+version = "0.8.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "185d8ab0dfbb35cf1399a6344d8484209c088f75f8f68230da55d48d95d43e3d"
+dependencies = [
+ "serde",
+ "serde_spanned",
+ "toml_datetime",
+ "toml_edit 0.20.2",
+]
+
+[[package]]
+name = "toml_datetime"
+version = "0.6.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7cda73e2f1397b1262d6dfdcef8aafae14d1de7748d66822d3bfeeb6d03e5e4b"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "toml_edit"
+version = "0.19.15"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1b5bb770da30e5cbfde35a2d7b9b8a2c4b8ef89548a7a6aeab5c9a576e3e7421"
+dependencies = [
+ "indexmap",
+ "toml_datetime",
+ "winnow",
+]
+
+[[package]]
+name = "toml_edit"
+version = "0.20.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "396e4d48bbb2b7554c944bde63101b5ae446cff6ec4a24227428f15eb72ef338"
+dependencies = [
+ "indexmap",
+ "serde",
+ "serde_spanned",
+ "toml_datetime",
+ "winnow",
+]
+
+[[package]]
+name = "tower-service"
+version = "0.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b6bc1c9ce2b5135ac7f93c72918fc37feb872bdc6a5533a8b85eb4b86bfdae52"
+
+[[package]]
+name = "tracing"
+version = "0.1.40"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c3523ab5a71916ccf420eebdf5521fcef02141234bbc0b8a49f2fdc4544364ef"
+dependencies = [
+ "pin-project-lite",
+ "tracing-attributes",
+ "tracing-core",
+]
+
+[[package]]
+name = "tracing-attributes"
+version = "0.1.27"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "34704c8d6ebcbc939824180af020566b01a7c01f80641264eba0999f6c2b6be7"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "tracing-core"
+version = "0.1.32"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c06d3da6113f116aaee68e4d601191614c9053067f9ab7f6edbcb161237daa54"
+dependencies = [
+ "once_cell",
+]
+
+[[package]]
+name = "try-lock"
+version = "0.2.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e421abadd41a4225275504ea4d6566923418b7f05506fbc9c0fe86ba7396114b"
+
+[[package]]
+name = "ttf-parser"
+version = "0.20.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "17f77d76d837a7830fe1d4f12b7b4ba4192c1888001c7164257e4bc6d21d96b4"
+
+[[package]]
+name = "type-map"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b6d3364c5e96cb2ad1603037ab253ddd34d7fb72a58bdddf4b7350760fc69a46"
+dependencies = [
+ "rustc-hash",
+]
+
+[[package]]
+name = "typenum"
+version = "1.17.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "42ff0bf0c66b8238c6f3b578df37d0b7848e55df8577b3f74f92a69acceeb825"
+
+[[package]]
+name = "uds_windows"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "89daebc3e6fd160ac4aa9fc8b3bf71e1f74fbf92367ae71fb83a037e8bf164b9"
+dependencies = [
+ "memoffset 0.9.0",
+ "tempfile",
+ "winapi",
+]
+
+[[package]]
+name = "unic-langid"
+version = "0.9.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "238722e6d794ed130f91f4ea33e01fcff4f188d92337a21297892521c72df516"
+dependencies = [
+ "unic-langid-impl",
+]
+
+[[package]]
+name = "unic-langid-impl"
+version = "0.9.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4bd55a2063fdea4ef1f8633243a7b0524cbeef1905ae04c31a1c9b9775c55bc6"
+dependencies = [
+ "serde",
+ "tinystr",
+]
+
+[[package]]
+name = "unicode-bidi"
+version = "0.3.15"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "08f95100a766bf4f8f28f90d77e0a5461bbdb219042e7679bebe79004fed8d75"
+
+[[package]]
+name = "unicode-bidi-mirroring"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "56d12260fb92d52f9008be7e4bca09f584780eb2266dc8fecc6a192bec561694"
+
+[[package]]
+name = "unicode-ccc"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cc2520efa644f8268dce4dcd3050eaa7fc044fca03961e9998ac7e2e92b77cf1"
+
+[[package]]
+name = "unicode-ident"
+version = "1.0.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3354b9ac3fae1ff6755cb6db53683adb661634f67557942dea4facebec0fee4b"
+
+[[package]]
+name = "unicode-linebreak"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3b09c83c3c29d37506a3e260c08c03743a6bb66a9cd432c6934ab501a190571f"
+
+[[package]]
+name = "unicode-normalization"
+version = "0.1.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a56d1686db2308d901306f92a263857ef59ea39678a5458e7cb17f01415101f5"
+dependencies = [
+ "tinyvec",
+]
+
+[[package]]
+name = "unicode-properties"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e4259d9d4425d9f0661581b804cb85fe66a4c631cadd8f490d1c13a35d5d9291"
+
+[[package]]
+name = "unicode-script"
+version = "0.5.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ad8d71f5726e5f285a935e9fe8edfd53f0491eb6e9a5774097fdabee7cd8c9cd"
+
+[[package]]
+name = "unicode-segmentation"
+version = "1.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d4c87d22b6e3f4a18d4d40ef354e97c90fcb14dd91d7dc0aa9d8a1172ebf7202"
+
+[[package]]
+name = "unicode-vo"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b1d386ff53b415b7fe27b50bb44679e2cc4660272694b7b6f3326d8480823a94"
+
+[[package]]
+name = "unicode-width"
+version = "0.1.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e51733f11c9c4f72aa0c160008246859e340b00807569a0da0e7a1079b27ba85"
+
+[[package]]
+name = "unicode-xid"
+version = "0.2.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f962df74c8c05a667b5ee8bcf162993134c104e96440b663c8daa176dc772d8c"
+
+[[package]]
+name = "unsafe-libyaml"
+version = "0.2.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ab4c90930b95a82d00dc9e9ac071b4991924390d46cbd0dfe566148667605e4b"
+
+[[package]]
+name = "url"
+version = "2.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "31e6302e3bb753d46e83516cae55ae196fc0c309407cf11ab35cc51a4c2a4633"
+dependencies = [
+ "form_urlencoded",
+ "idna",
+ "percent-encoding",
+ "serde",
+]
+
+[[package]]
+name = "usvg"
+version = "0.37.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "38b0a51b72ab80ca511d126b77feeeb4fb1e972764653e61feac30adc161a756"
+dependencies = [
+ "base64",
+ "log",
+ "pico-args",
+ "usvg-parser",
+ "usvg-text-layout",
+ "usvg-tree",
+ "xmlwriter",
+]
+
+[[package]]
+name = "usvg-parser"
+version = "0.37.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9bd4e3c291f45d152929a31f0f6c819245e2921bfd01e7bd91201a9af39a2bdc"
+dependencies = [
+ "data-url",
+ "flate2",
+ "imagesize",
+ "kurbo",
+ "log",
+ "roxmltree",
+ "simplecss",
+ "siphasher",
+ "svgtypes",
+ "usvg-tree",
+]
+
+[[package]]
+name = "usvg-text-layout"
+version = "0.37.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d383a3965de199d7f96d4e11a44dd859f46e86de7f3dca9a39bf82605da0a37c"
+dependencies = [
+ "fontdb",
+ "kurbo",
+ "log",
+ "rustybuzz",
+ "unicode-bidi",
+ "unicode-script",
+ "unicode-vo",
+ "usvg-tree",
+]
+
+[[package]]
+name = "usvg-tree"
+version = "0.37.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8ee3d202ebdb97a6215604b8f5b4d6ef9024efd623cf2e373a6416ba976ec7d3"
+dependencies = [
+ "rctree",
+ "strict-num",
+ "svgtypes",
+ "tiny-skia-path",
+]
+
+[[package]]
+name = "vcpkg"
+version = "0.2.15"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "accd4ea62f7bb7a82fe23066fb0957d48ef677f6eeb8215f372f52e48bb32426"
+
+[[package]]
+name = "version-compare"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "579a42fc0b8e0c63b76519a339be31bed574929511fa53c1a3acae26eb258f29"
+
+[[package]]
+name = "version_check"
+version = "0.9.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "49874b5167b65d7193b8aba1567f5c7d93d001cafc34600cee003eda787e483f"
+
+[[package]]
+name = "waker-fn"
+version = "1.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f3c4517f54858c779bbcbf228f4fca63d121bf85fbecb2dc578cdf4a39395690"
+
+[[package]]
+name = "walkdir"
+version = "2.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "29790946404f91d9c5d06f9874efddea1dc06c5efe94541a7d6863108e3a5e4b"
+dependencies = [
+ "same-file",
+ "winapi-util",
+]
+
+[[package]]
+name = "want"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bfa7760aed19e106de2c7c0b581b509f2f25d3dacaf737cb82ac61bc6d760b0e"
+dependencies = [
+ "try-lock",
+]
+
+[[package]]
+name = "wasi"
+version = "0.11.0+wasi-snapshot-preview1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
+
+[[package]]
+name = "wasm-bindgen"
+version = "0.2.92"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4be2531df63900aeb2bca0daaaddec08491ee64ceecbee5076636a3b026795a8"
+dependencies = [
+ "cfg-if",
+ "wasm-bindgen-macro",
+]
+
+[[package]]
+name = "wasm-bindgen-backend"
+version = "0.2.92"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "614d787b966d3989fa7bb98a654e369c762374fd3213d212cfc0251257e747da"
+dependencies = [
+ "bumpalo",
+ "log",
+ "once_cell",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+ "wasm-bindgen-shared",
+]
+
+[[package]]
+name = "wasm-bindgen-futures"
+version = "0.4.42"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "76bc14366121efc8dbb487ab05bcc9d346b3b5ec0eaa76e46594cabbe51762c0"
+dependencies = [
+ "cfg-if",
+ "js-sys",
+ "wasm-bindgen",
+ "web-sys",
+]
+
+[[package]]
+name = "wasm-bindgen-macro"
+version = "0.2.92"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a1f8823de937b71b9460c0c34e25f3da88250760bec0ebac694b49997550d726"
+dependencies = [
+ "quote",
+ "wasm-bindgen-macro-support",
+]
+
+[[package]]
+name = "wasm-bindgen-macro-support"
+version = "0.2.92"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e94f17b526d0a461a191c78ea52bbce64071ed5c04c9ffe424dcb38f74171bb7"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+ "wasm-bindgen-backend",
+ "wasm-bindgen-shared",
+]
+
+[[package]]
+name = "wasm-bindgen-shared"
+version = "0.2.92"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "af190c94f2773fdb3729c55b007a722abb5384da03bc0986df4c289bf5567e96"
+
+[[package]]
+name = "wasm-timer"
+version = "0.2.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "be0ecb0db480561e9a7642b5d3e4187c128914e58aa84330b9493e3eb68c5e7f"
+dependencies = [
+ "futures",
+ "js-sys",
+ "parking_lot 0.11.2",
+ "pin-utils",
+ "wasm-bindgen",
+ "wasm-bindgen-futures",
+ "web-sys",
+]
+
+[[package]]
+name = "wayland-backend"
+version = "0.3.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9d50fa61ce90d76474c87f5fc002828d81b32677340112b4ef08079a9d459a40"
+dependencies = [
+ "cc",
+ "downcast-rs",
+ "rustix 0.38.31",
+ "scoped-tls",
+ "smallvec",
+ "wayland-sys",
+]
+
+[[package]]
+name = "wayland-client"
+version = "0.31.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "82fb96ee935c2cea6668ccb470fb7771f6215d1691746c2d896b447a00ad3f1f"
+dependencies = [
+ "bitflags 2.4.2",
+ "rustix 0.38.31",
+ "wayland-backend",
+ "wayland-scanner",
+]
+
+[[package]]
+name = "wayland-csd-frame"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "625c5029dbd43d25e6aa9615e88b829a5cad13b2819c4ae129fdbb7c31ab4c7e"
+dependencies = [
+ "bitflags 2.4.2",
+ "cursor-icon",
+ "wayland-backend",
+]
+
+[[package]]
+name = "wayland-cursor"
+version = "0.31.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "71ce5fa868dd13d11a0d04c5e2e65726d0897be8de247c0c5a65886e283231ba"
+dependencies = [
+ "rustix 0.38.31",
+ "wayland-client",
+ "xcursor",
+]
+
+[[package]]
+name = "wayland-protocols"
+version = "0.31.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f81f365b8b4a97f422ac0e8737c438024b5951734506b0e1d775c73030561f4"
+dependencies = [
+ "bitflags 2.4.2",
+ "wayland-backend",
+ "wayland-client",
+ "wayland-scanner",
+]
+
+[[package]]
+name = "wayland-protocols-plasma"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "23803551115ff9ea9bce586860c5c5a971e360825a0309264102a9495a5ff479"
+dependencies = [
+ "bitflags 2.4.2",
+ "wayland-backend",
+ "wayland-client",
+ "wayland-protocols",
+ "wayland-scanner",
+]
+
+[[package]]
+name = "wayland-protocols-wlr"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ad1f61b76b6c2d8742e10f9ba5c3737f6530b4c243132c2a2ccc8aa96fe25cd6"
+dependencies = [
+ "bitflags 2.4.2",
+ "wayland-backend",
+ "wayland-client",
+ "wayland-protocols",
+ "wayland-scanner",
+]
+
+[[package]]
+name = "wayland-scanner"
+version = "0.31.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "63b3a62929287001986fb58c789dce9b67604a397c15c611ad9f747300b6c283"
+dependencies = [
+ "proc-macro2",
+ "quick-xml",
+ "quote",
+]
+
+[[package]]
+name = "wayland-sys"
+version = "0.31.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "15a0c8eaff5216d07f226cb7a549159267f3467b289d9a2e52fd3ef5aae2b7af"
+dependencies = [
+ "dlib",
+ "log",
+ "once_cell",
+ "pkg-config",
+]
+
+[[package]]
+name = "web-sys"
+version = "0.3.69"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "77afa9a11836342370f4817622a2f0f418b134426d91a82dfb48f532d2ec13ef"
+dependencies = [
+ "js-sys",
+ "wasm-bindgen",
+]
+
+[[package]]
+name = "web-time"
+version = "0.2.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "aa30049b1c872b72c89866d458eae9f20380ab280ffd1b1e18df2d3e2d98cfe0"
+dependencies = [
+ "js-sys",
+ "wasm-bindgen",
+]
+
+[[package]]
+name = "weezl"
+version = "0.1.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "53a85b86a771b1c87058196170769dd264f66c0782acf1ae6cc51bfd64b39082"
+
+[[package]]
+name = "wgpu"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
+dependencies = [
+ "arrayvec",
+ "cfg-if",
+ "cfg_aliases 0.1.1",
+ "js-sys",
+ "log",
+ "naga",
+ "parking_lot 0.12.1",
+ "profiling",
+ "raw-window-handle 0.6.0",
+ "smallvec",
+ "static_assertions",
+ "wasm-bindgen",
+ "wasm-bindgen-futures",
+ "web-sys",
+ "wgpu-core",
+ "wgpu-hal",
+ "wgpu-types",
+]
+
+[[package]]
+name = "wgpu-core"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
+dependencies = [
+ "arrayvec",
+ "bit-vec",
+ "bitflags 2.4.2",
+ "cfg_aliases 0.1.1",
+ "codespan-reporting",
+ "indexmap",
+ "log",
+ "naga",
+ "once_cell",
+ "parking_lot 0.12.1",
+ "profiling",
+ "raw-window-handle 0.6.0",
+ "rustc-hash",
+ "smallvec",
+ "thiserror",
+ "web-sys",
+ "wgpu-hal",
+ "wgpu-types",
+]
+
+[[package]]
+name = "wgpu-hal"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
+dependencies = [
+ "android_system_properties",
+ "arrayvec",
+ "ash",
+ "bit-set",
+ "bitflags 2.4.2",
+ "block",
+ "cfg_aliases 0.1.1",
+ "core-graphics-types",
+ "d3d12",
+ "glow",
+ "glutin_wgl_sys",
+ "gpu-alloc",
+ "gpu-allocator",
+ "gpu-descriptor",
+ "hassle-rs",
+ "js-sys",
+ "khronos-egl",
+ "libc",
+ "libloading 0.8.3",
+ "log",
+ "metal",
+ "naga",
+ "objc",
+ "once_cell",
+ "parking_lot 0.12.1",
+ "profiling",
+ "range-alloc",
+ "raw-window-handle 0.6.0",
+ "renderdoc-sys",
+ "rustc-hash",
+ "smallvec",
+ "thiserror",
+ "wasm-bindgen",
+ "web-sys",
+ "wgpu-types",
+ "winapi",
+]
+
+[[package]]
+name = "wgpu-types"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
+dependencies = [
+ "bitflags 2.4.2",
+ "js-sys",
+ "web-sys",
+]
+
+[[package]]
+name = "widestring"
+version = "1.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "653f141f39ec16bba3c5abe400a0c60da7468261cc2cbf36805022876bc721a8"
+
+[[package]]
+name = "winapi"
+version = "0.3.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5c839a674fcd7a98952e593242ea400abe93992746761e38641405d28b00f419"
+dependencies = [
+ "winapi-i686-pc-windows-gnu",
+ "winapi-x86_64-pc-windows-gnu",
+]
+
+[[package]]
+name = "winapi-i686-pc-windows-gnu"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ac3b87c63620426dd9b991e5ce0329eff545bccbbb34f3be09ff6fb6ab51b7b6"
+
+[[package]]
+name = "winapi-util"
+version = "0.1.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f29e6f9198ba0d26b4c9f07dbe6f9ed633e1f3d5b8b414090084349e46a52596"
+dependencies = [
+ "winapi",
+]
+
+[[package]]
+name = "winapi-x86_64-pc-windows-gnu"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
+
+[[package]]
+name = "window_clipboard"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f6d692d46038c433f9daee7ad8757e002a4248c20b0a3fbc991d99521d3bcb6d"
+dependencies = [
+ "clipboard-win",
+ "clipboard_macos",
+ "clipboard_wayland",
+ "clipboard_x11",
+ "raw-window-handle 0.6.0",
+ "thiserror",
+]
+
+[[package]]
+name = "windows"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e686886bc078bc1b0b600cac0147aadb815089b6e4da64016cbd754b6342700f"
+dependencies = [
+ "windows-implement",
+ "windows-interface",
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "windows"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e48a53791691ab099e5e2ad123536d0fff50652600abaf43bbf952894110d0be"
+dependencies = [
+ "windows-core",
+ "windows-targets 0.52.4",
+]
+
+[[package]]
+name = "windows-core"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "33ab640c8d7e35bf8ba19b884ba838ceb4fba93a4e8c65a9059d08afcfc683d9"
+dependencies = [
+ "windows-targets 0.52.4",
+]
+
+[[package]]
+name = "windows-implement"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5e2ee588991b9e7e6c8338edf3333fbe4da35dc72092643958ebb43f0ab2c49c"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "windows-interface"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e6fb8df20c9bcaa8ad6ab513f7b40104840c8867d5751126e4df3b08388d0cc7"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "windows-sys"
+version = "0.45.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "75283be5efb2831d37ea142365f009c02ec203cd29a3ebecbc093d52315b66d0"
+dependencies = [
+ "windows-targets 0.42.2",
+]
+
+[[package]]
+name = "windows-sys"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "677d2418bec65e3338edb076e806bc1ec15693c5d0104683f2efe857f61056a9"
+dependencies = [
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "windows-sys"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "282be5f36a8ce781fad8c8ae18fa3f9beff57ec1b52cb3de0789201425d9a33d"
+dependencies = [
+ "windows-targets 0.52.4",
+]
+
+[[package]]
+name = "windows-targets"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8e5180c00cd44c9b1c88adb3693291f1cd93605ded80c250a75d472756b4d071"
+dependencies = [
+ "windows_aarch64_gnullvm 0.42.2",
+ "windows_aarch64_msvc 0.42.2",
+ "windows_i686_gnu 0.42.2",
+ "windows_i686_msvc 0.42.2",
+ "windows_x86_64_gnu 0.42.2",
+ "windows_x86_64_gnullvm 0.42.2",
+ "windows_x86_64_msvc 0.42.2",
+]
+
+[[package]]
+name = "windows-targets"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9a2fa6e2155d7247be68c096456083145c183cbbbc2764150dda45a87197940c"
+dependencies = [
+ "windows_aarch64_gnullvm 0.48.5",
+ "windows_aarch64_msvc 0.48.5",
+ "windows_i686_gnu 0.48.5",
+ "windows_i686_msvc 0.48.5",
+ "windows_x86_64_gnu 0.48.5",
+ "windows_x86_64_gnullvm 0.48.5",
+ "windows_x86_64_msvc 0.48.5",
+]
+
+[[package]]
+name = "windows-targets"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7dd37b7e5ab9018759f893a1952c9420d060016fc19a472b4bb20d1bdd694d1b"
+dependencies = [
+ "windows_aarch64_gnullvm 0.52.4",
+ "windows_aarch64_msvc 0.52.4",
+ "windows_i686_gnu 0.52.4",
+ "windows_i686_msvc 0.52.4",
+ "windows_x86_64_gnu 0.52.4",
+ "windows_x86_64_gnullvm 0.52.4",
+ "windows_x86_64_msvc 0.52.4",
+]
+
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "597a5118570b68bc08d8d59125332c54f1ba9d9adeedeef5b99b02ba2b0698f8"
+
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2b38e32f0abccf9987a4e3079dfb67dcd799fb61361e53e2882c3cbaf0d905d8"
+
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bcf46cf4c365c6f2d1cc93ce535f2c8b244591df96ceee75d8e83deb70a9cac9"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e08e8864a60f06ef0d0ff4ba04124db8b0fb3be5776a5cd47641e942e58c4d43"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dc35310971f3b2dbbf3f0690a219f40e2d9afcf64f9ab7cc1be722937c26b4bc"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "da9f259dd3bcf6990b55bffd094c4f7235817ba4ceebde8e6d11cd0c5633b675"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c61d927d8da41da96a81f029489353e68739737d3beca43145c8afec9a31a84f"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a75915e7def60c94dcef72200b9a8e58e5091744960da64ec734a6c6e9b3743e"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b474d8268f99e0995f25b9f095bc7434632601028cf86590aea5c8a5cb7801d3"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "44d840b6ec649f480a41c8d80f9c65108b92d89345dd94027bfe06ac444d1060"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f55c233f70c4b27f66c523580f78f1004e8b5a8b659e05a4eb49d4166cca406"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1515e9a29e5bed743cb4415a9ecf5dfca648ce85ee42e15873c3cd8610ff8e02"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8de912b8b8feb55c064867cf047dda097f92d51efad5b491dfb98f6bbb70cb36"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "53d40abd2583d23e4718fddf1ebec84dbff8381c07cae67ff7768bbf19c6718e"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5eee091590e89cc02ad514ffe3ead9eb6b660aedca2183455434b93546371a03"
+
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "26d41b46a36d453748aedef1486d5c7a85db22e56aff34643984ea85514e94a3"
+
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0b7b52767868a23d5bab768e390dc5f5c55825b6d30b86c844ff2dc7414044cc"
+
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "77ca79f2451b49fa9e2af39f0747fe999fcda4f5e241b2898624dca97a1f2177"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9aec5da331524158c6d1a4ac0ab1541149c0b9505fde06423b02f5ef0106b9f0"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ed94fce61571a4006852b7389a063ab983c02eb1bb37b47f8272ce92d06d9538"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "32b752e52a2da0ddfbdbcc6fceadfeede4c939ed16d13e648833a61dfb611ed8"
+
+[[package]]
+name = "winit"
+version = "0.29.10"
+source = "git+https://github.com/pop-os/winit.git?branch=winit-0.29#bdc66109acc85c912264c9e4b864520345bdb45f"
+dependencies = [
+ "ahash",
+ "android-activity",
+ "atomic-waker",
+ "bitflags 2.4.2",
+ "bytemuck",
+ "calloop",
+ "cfg_aliases 0.1.1",
+ "core-foundation",
+ "core-graphics",
+ "cursor-icon",
+ "icrate 0.0.4",
+ "js-sys",
+ "libc",
+ "log",
+ "memmap2",
+ "ndk",
+ "ndk-sys",
+ "objc2 0.4.1",
+ "once_cell",
+ "orbclient",
+ "percent-encoding",
+ "raw-window-handle 0.6.0",
+ "redox_syscall 0.3.5",
+ "rustix 0.38.31",
+ "sctk-adwaita",
+ "smithay-client-toolkit",
+ "smol_str",
+ "unicode-segmentation",
+ "wasm-bindgen",
+ "wasm-bindgen-futures",
+ "wayland-backend",
+ "wayland-client",
+ "wayland-protocols",
+ "wayland-protocols-plasma",
+ "web-sys",
+ "web-time",
+ "windows-sys 0.48.0",
+ "x11-dl",
+ "x11rb",
+ "xkbcommon-dl",
+]
+
+[[package]]
+name = "winnow"
+version = "0.5.40"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f593a95398737aeed53e489c785df13f3618e41dbcd6718c6addbf1395aa6876"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "winreg"
+version = "0.50.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "524e57b2c537c0f9b1e69f1965311ec12182b4122e45035b1508cd24d2adadb1"
+dependencies = [
+ "cfg-if",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "x11-dl"
+version = "2.21.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "38735924fedd5314a6e548792904ed8c6de6636285cb9fec04d5b1db85c1516f"
+dependencies = [
+ "libc",
+ "once_cell",
+ "pkg-config",
+]
+
+[[package]]
+name = "x11rb"
+version = "0.13.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f8f25ead8c7e4cba123243a6367da5d3990e0d3affa708ea19dce96356bd9f1a"
+dependencies = [
+ "as-raw-xcb-connection",
+ "gethostname",
+ "libc",
+ "libloading 0.8.3",
+ "once_cell",
+ "rustix 0.38.31",
+ "x11rb-protocol",
+]
+
+[[package]]
+name = "x11rb-protocol"
+version = "0.13.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e63e71c4b8bd9ffec2c963173a4dc4cbde9ee96961d4fcb4429db9929b606c34"
+
+[[package]]
+name = "xcursor"
+version = "0.3.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6a0ccd7b4a5345edfcd0c3535718a4e9ff7798ffc536bb5b5a0e26ff84732911"
+
+[[package]]
+name = "xdg"
+version = "2.5.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "213b7324336b53d2414b2db8537e56544d981803139155afa84f76eeebb7a546"
+
+[[package]]
+name = "xdg-home"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "21e5a325c3cb8398ad6cf859c1135b25dd29e186679cf2da7581d9679f63b38e"
+dependencies = [
+ "libc",
+ "winapi",
+]
+
+[[package]]
+name = "xkbcommon-dl"
+version = "0.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d039de8032a9a8856a6be89cea3e5d12fdd82306ab7c94d74e6deab2460651c5"
+dependencies = [
+ "bitflags 2.4.2",
+ "dlib",
+ "log",
+ "once_cell",
+ "xkeysym",
+]
+
+[[package]]
+name = "xkeysym"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "054a8e68b76250b253f671d1268cb7f1ae089ec35e195b2efb2a4e9a836d0621"
+
+[[package]]
+name = "xml-rs"
+version = "0.8.19"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0fcb9cbac069e033553e8bb871be2fbdffcab578eb25bd0f7c508cedc6dcd75a"
+
+[[package]]
+name = "xmltree"
+version = "0.10.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d7d8a75eaf6557bb84a65ace8609883db44a29951042ada9b393151532e41fcb"
+dependencies = [
+ "xml-rs",
+]
+
+[[package]]
+name = "xmlwriter"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ec7a2a501ed189703dba8b08142f057e887dfc4b2cc4db2d343ac6376ba3e0b9"
+
+[[package]]
+name = "xxhash-rust"
+version = "0.8.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "927da81e25be1e1a2901d59b81b37dd2efd1fc9c9345a55007f09bf5a2d3ee03"
+
+[[package]]
+name = "yazi"
+version = "0.1.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c94451ac9513335b5e23d7a8a2b61a7102398b8cca5160829d313e84c9d98be1"
+
+[[package]]
+name = "zbus"
+version = "3.15.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "675d170b632a6ad49804c8cf2105d7c31eddd3312555cffd4b740e08e97c25e6"
+dependencies = [
+ "async-broadcast",
+ "async-executor",
+ "async-fs",
+ "async-io 1.13.0",
+ "async-lock 2.8.0",
+ "async-process",
+ "async-recursion",
+ "async-task",
+ "async-trait",
+ "blocking",
+ "byteorder",
+ "derivative",
+ "enumflags2",
+ "event-listener 2.5.3",
+ "futures-core",
+ "futures-sink",
+ "futures-util",
+ "hex",
+ "nix 0.26.4",
+ "once_cell",
+ "ordered-stream",
+ "rand",
+ "serde",
+ "serde_repr",
+ "sha1",
+ "static_assertions",
+ "tokio",
+ "tracing",
+ "uds_windows",
+ "winapi",
+ "xdg-home",
+ "zbus_macros",
+ "zbus_names",
+ "zvariant",
+]
+
+[[package]]
+name = "zbus_macros"
+version = "3.15.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7131497b0f887e8061b430c530240063d33bf9455fa34438f388a245da69e0a5"
+dependencies = [
+ "proc-macro-crate 1.3.1",
+ "proc-macro2",
+ "quote",
+ "regex",
+ "syn 1.0.109",
+ "zvariant_utils",
+]
+
+[[package]]
+name = "zbus_names"
+version = "2.6.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "437d738d3750bed6ca9b8d423ccc7a8eb284f6b1d6d4e225a0e4e6258d864c8d"
+dependencies = [
+ "serde",
+ "static_assertions",
+ "zvariant",
+]
+
+[[package]]
+name = "zeno"
+version = "0.2.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dd15f8e0dbb966fd9245e7498c7e9e5055d9e5c8b676b95bd67091cd11a1e697"
+
+[[package]]
+name = "zerocopy"
+version = "0.7.32"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "74d4d3961e53fa4c9a25a8637fc2bfaf2595b3d3ae34875568a5cf64787716be"
+dependencies = [
+ "zerocopy-derive",
+]
+
+[[package]]
+name = "zerocopy-derive"
+version = "0.7.32"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9ce1b18ccd8e73a9321186f97e46f9f04b778851177567b1975109d26a08d2a6"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "zune-inflate"
+version = "0.2.54"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "73ab332fe2f6680068f3582b16a24f90ad7096d5d39b974d1c0aff0125116f02"
+dependencies = [
+ "simd-adler32",
+]
+
+[[package]]
+name = "zvariant"
+version = "3.15.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4eef2be88ba09b358d3b58aca6e41cd853631d44787f319a1383ca83424fb2db"
+dependencies = [
+ "byteorder",
+ "enumflags2",
+ "libc",
+ "serde",
+ "static_assertions",
+ "url",
+ "zvariant_derive",
+]
+
+[[package]]
+name = "zvariant_derive"
+version = "3.15.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "37c24dc0bed72f5f90d1f8bb5b07228cbf63b3c6e9f82d82559d4bae666e7ed9"
+dependencies = [
+ "proc-macro-crate 1.3.1",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+ "zvariant_utils",
+]
+
+[[package]]
+name = "zvariant_utils"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7234f0d811589db492d16893e3f21e8e2fd282e6d01b0cddee310322062cc200"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
diff --git a/pkgs/by-name/co/cosmic-store/package.nix b/pkgs/by-name/co/cosmic-store/package.nix
new file mode 100644
index 000000000000..3fcb76c17808
--- /dev/null
+++ b/pkgs/by-name/co/cosmic-store/package.nix
@@ -0,0 +1,99 @@
+{ lib, stdenv, fetchFromGitHub, rustPlatform, appstream, makeBinaryWrapper
+, cosmic-icons, glib, just, pkg-config, libglvnd, libxkbcommon, libinput
+, fontconfig, flatpak, freetype, openssl, mesa, wayland, xorg, vulkan-loader
+, vulkan-validation-layers, }:
+
+rustPlatform.buildRustPackage rec {
+ pname = "cosmic-store";
+ version = "unstable-2024-03-13";
+ src = fetchFromGitHub {
+ owner = "pop-os";
+ repo = pname;
+ rev = "df014ea82ae0465470f5d237bfe71d2c085d29a0";
+ hash = "sha256-1Sp6/qVONK+O5FLEcsu45eEBNaVbJLptt+ByXOZYwpo=";
+ fetchSubmodules = true;
+ };
+
+ cargoLock = {
+ lockFile = ./Cargo.lock;
+ outputHashes = {
+ "accesskit-0.12.2" = "sha256-ksaYMGT/oug7isQY8/1WD97XDUsX2ShBdabUzxWffYw=";
+ "appstream-0.2.2" = "sha256-Qb/zzZJ2sM97nGVtp8amecTlwuaDrx1cacDcZOwhUm8=";
+ "atomicwrites-0.4.2" = "sha256-QZSuGPrJXh+svMeFWqAXoqZQxLq/WfIiamqvjJNVhxA=";
+ "cosmic-config-0.1.0" = "sha256-J6c2pRCpyfCFMmzwJ4RdEghSaFDshDtZL6DteAiaq1o=";
+ "cosmic-text-0.11.2" = "sha256-6mvGyMCFC/tSIiDgDX+zuDUi15S9dXI6Dc6pj36hIJM=";
+ "d3d12-0.19.0" = "sha256-usrxQXWLGJDjmIdw1LBXtBvX+CchZDvE8fHC0LjvhD4=";
+ "glyphon-0.5.0" = "sha256-j1HrbEpUBqazWqNfJhpyjWuxYAxkvbXzRKeSouUoPWg=";
+ "softbuffer-0.4.1" = "sha256-a0bUFz6O8CWRweNt/OxTvflnPYwO5nm6vsyc/WcXyNg=";
+ "taffy-0.3.11" = "sha256-SCx9GEIJjWdoNVyq+RZAGn0N71qraKZxf9ZWhvyzLaI=";
+ "winit-0.29.10" = "sha256-ScTII2AzK3SC8MVeASZ9jhVWsEaGrSQ2BnApTxgfxK4=";
+ };
+ };
+
+ postPatch = ''
+ substituteInPlace justfile --replace '#!/usr/bin/env' "#!$(command -v env)"
+ '';
+
+ nativeBuildInputs = [ just pkg-config makeBinaryWrapper ];
+ buildInputs = [
+ appstream
+ glib
+ libxkbcommon
+ libinput
+ libglvnd
+ fontconfig
+ flatpak
+ freetype
+ openssl
+ xorg.libX11
+ wayland
+ vulkan-loader
+ vulkan-validation-layers
+ ];
+
+ dontUseJustBuild = true;
+
+ justFlags = [
+ "--set"
+ "prefix"
+ (placeholder "out")
+ "--set"
+ "bin-src"
+ "target/${stdenv.hostPlatform.rust.cargoShortTarget}/release/cosmic-store"
+ ];
+
+ # Force linking to libEGL, which is always dlopen()ed, and to
+ # libwayland-client, which is always dlopen()ed except by the
+ # obscure winit backend.
+ RUSTFLAGS = map (a: "-C link-arg=${a}") [
+ "-Wl,--push-state,--no-as-needed"
+ "-lEGL"
+ "-lwayland-client"
+ "-Wl,--pop-state"
+ ];
+
+ # LD_LIBRARY_PATH can be removed once tiny-xlib is bumped above 0.2.2
+ postInstall = ''
+ wrapProgram "$out/bin/${pname}" \
+ --suffix XDG_DATA_DIRS : "${cosmic-icons}/share" \
+ --prefix LD_LIBRARY_PATH : ${
+ lib.makeLibraryPath [
+ xorg.libX11
+ xorg.libXcursor
+ xorg.libXi
+ xorg.libXrandr
+ libxkbcommon
+ vulkan-loader
+ mesa.drivers
+ ]
+ }
+ '';
+
+ meta = with lib; {
+ homepage = "https://github.com/pop-os/cosmic-store";
+ description = "App Store for the COSMIC Desktop Environment";
+ license = licenses.gpl3Only;
+ maintainers = with maintainers; [ ahoneybun nyanbinary ];
+ platforms = platforms.linux;
+ };
+}
diff --git a/pkgs/by-name/co/cosmic-term/Cargo.lock b/pkgs/by-name/co/cosmic-term/Cargo.lock
index 4ad8a828cb5a..3130abd0c06a 100644
--- a/pkgs/by-name/co/cosmic-term/Cargo.lock
+++ b/pkgs/by-name/co/cosmic-term/Cargo.lock
@@ -9,7 +9,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "80179d7dd5d7e8c285d67c4a1e652972a92de7475beddfb92028c76463b13225"
dependencies = [
"ab_glyph_rasterizer",
- "owned_ttf_parser",
+ "owned_ttf_parser 0.20.0",
]
[[package]]
@@ -20,66 +20,71 @@ checksum = "c71b1793ee61086797f5c80b6efa2b8ffa6d5dd703f118545808a7f2e27f7046"
[[package]]
name = "accesskit"
-version = "0.11.0"
-source = "git+https://github.com/wash2/accesskit.git?tag=winit-0.28#db6f2587f663eafd8f7888e8507baa3a092599b0"
+version = "0.12.2"
+source = "git+https://github.com/wash2/accesskit.git?branch=winit-0.29#5f9b61c8264000d001499c902562422e13efa7a8"
[[package]]
name = "accesskit_consumer"
-version = "0.15.0"
-source = "git+https://github.com/wash2/accesskit.git?tag=winit-0.28#db6f2587f663eafd8f7888e8507baa3a092599b0"
+version = "0.17.0"
+source = "git+https://github.com/wash2/accesskit.git?branch=winit-0.29#5f9b61c8264000d001499c902562422e13efa7a8"
dependencies = [
"accesskit",
]
[[package]]
name = "accesskit_macos"
-version = "0.7.0"
-source = "git+https://github.com/wash2/accesskit.git?tag=winit-0.28#db6f2587f663eafd8f7888e8507baa3a092599b0"
+version = "0.11.0"
+source = "git+https://github.com/wash2/accesskit.git?branch=winit-0.29#5f9b61c8264000d001499c902562422e13efa7a8"
dependencies = [
"accesskit",
"accesskit_consumer",
- "objc2",
+ "icrate 0.1.0",
+ "objc2 0.5.0",
"once_cell",
]
[[package]]
name = "accesskit_unix"
-version = "0.4.0"
-source = "git+https://github.com/wash2/accesskit.git?tag=winit-0.28#db6f2587f663eafd8f7888e8507baa3a092599b0"
+version = "0.7.1"
+source = "git+https://github.com/wash2/accesskit.git?branch=winit-0.29#5f9b61c8264000d001499c902562422e13efa7a8"
dependencies = [
"accesskit",
"accesskit_consumer",
- "async-channel 1.9.0",
+ "async-channel",
+ "async-executor",
+ "async-task",
"atspi",
"futures-lite 1.13.0",
- "log",
+ "futures-util",
+ "once_cell",
"serde",
"zbus",
]
[[package]]
name = "accesskit_windows"
-version = "0.14.0"
-source = "git+https://github.com/wash2/accesskit.git?tag=winit-0.28#db6f2587f663eafd8f7888e8507baa3a092599b0"
+version = "0.16.0"
+source = "git+https://github.com/wash2/accesskit.git?branch=winit-0.29#5f9b61c8264000d001499c902562422e13efa7a8"
dependencies = [
"accesskit",
"accesskit_consumer",
- "arrayvec",
"once_cell",
"paste",
- "windows 0.44.0",
+ "static_assertions",
+ "windows 0.48.0",
]
[[package]]
name = "accesskit_winit"
-version = "0.13.0"
-source = "git+https://github.com/wash2/accesskit.git?tag=winit-0.28#db6f2587f663eafd8f7888e8507baa3a092599b0"
+version = "0.18.1"
+source = "git+https://github.com/wash2/accesskit.git?branch=winit-0.29#5f9b61c8264000d001499c902562422e13efa7a8"
dependencies = [
"accesskit",
"accesskit_macos",
"accesskit_unix",
"accesskit_windows",
- "winit",
+ "raw-window-handle 0.6.0",
+ "winit 0.29.10",
]
[[package]]
@@ -98,23 +103,19 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "f26201604c87b1e01bd3d98f8d5d9a8fcbb815e8cedb41ffccbeb4bf593a35fe"
[[package]]
-name = "ahash"
-version = "0.7.7"
+name = "adler32"
+version = "1.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5a824f2aa7e75a0c98c5a504fceb80649e9c35265d44525b5f94de4771a395cd"
-dependencies = [
- "getrandom",
- "once_cell",
- "version_check",
-]
+checksum = "aae1277d39aeec15cb388266ecc24b11c80469deae6067e17a1a7aa9e5c1f234"
[[package]]
name = "ahash"
-version = "0.8.7"
+version = "0.8.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "77c3a9648d43b9cd48db467b3f87fdd6e146bcc88ab0180006cef2179fe11d01"
+checksum = "8b79b82693f705137f8fb9b37871d99e4f9a7df12b917eed79c3d3954830a60b"
dependencies = [
- "cfg-if",
+ "cfg-if 1.0.0",
+ "getrandom",
"once_cell",
"version_check",
"zerocopy",
@@ -140,10 +141,10 @@ dependencies = [
"home",
"libc",
"log",
- "miow",
+ "miow 0.6.0",
"parking_lot 0.12.1",
"piper",
- "polling 3.3.2",
+ "polling 3.4.0",
"regex-automata",
"rustix-openpty",
"serde",
@@ -172,21 +173,37 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "3aa2999eb46af81abb65c2d30d446778d7e613b60bbf4e174a027e80f90a3c14"
[[package]]
-name = "android-activity"
-version = "0.4.3"
+name = "andrew"
+version = "0.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "64529721f27c2314ced0890ce45e469574a73e5e6fdd6e9da1860eb29285f5e0"
+checksum = "8c4afb09dd642feec8408e33f92f3ffc4052946f6b20f32fb99c1f58cd4fa7cf"
+dependencies = [
+ "bitflags 1.3.2",
+ "rusttype",
+ "walkdir",
+ "xdg",
+ "xml-rs",
+]
+
+[[package]]
+name = "android-activity"
+version = "0.5.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ee91c0c2905bae44f84bfa4e044536541df26b7703fd0888deeb9060fcc44289"
dependencies = [
"android-properties",
- "bitflags 1.3.2",
+ "bitflags 2.4.2",
"cc",
+ "cesu8",
+ "jni",
"jni-sys",
"libc",
"log",
- "ndk",
+ "ndk 0.8.0",
"ndk-context",
- "ndk-sys",
- "num_enum 0.6.1",
+ "ndk-sys 0.5.0+25.2.9519653",
+ "num_enum 0.7.2",
+ "thiserror",
]
[[package]]
@@ -195,6 +212,12 @@ version = "0.2.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "fc7eb209b1518d6bb87b283c20095f5228ecda460da70b44f0802523dea6da04"
+[[package]]
+name = "android-tzdata"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e999941b234f3131b00bc13c22d06e8c5ff726d1b6318ac7eb276997bbb4fef0"
+
[[package]]
name = "android_system_properties"
version = "0.1.5"
@@ -204,6 +227,66 @@ dependencies = [
"libc",
]
+[[package]]
+name = "anstream"
+version = "0.6.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d96bd03f33fe50a863e394ee9718a706f988b9079b20c3784fb726e7678b62fb"
+dependencies = [
+ "anstyle",
+ "anstyle-parse",
+ "anstyle-query",
+ "anstyle-wincon",
+ "colorchoice",
+ "utf8parse",
+]
+
+[[package]]
+name = "anstyle"
+version = "1.0.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8901269c6307e8d93993578286ac0edf7f195079ffff5ebdeea6a59ffb7e36bc"
+
+[[package]]
+name = "anstyle-parse"
+version = "0.2.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c75ac65da39e5fe5ab759307499ddad880d724eed2f6ce5b5e8a26f4f387928c"
+dependencies = [
+ "utf8parse",
+]
+
+[[package]]
+name = "anstyle-query"
+version = "1.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e28923312444cdd728e4738b3f9c9cac739500909bb3d3c94b43551b16517648"
+dependencies = [
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "anstyle-wincon"
+version = "3.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1cd54b81ec8d6180e24654d0b371ad22fc3dd083b6ff8ba325b72e00c87660a7"
+dependencies = [
+ "anstyle",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "any_ascii"
+version = "0.1.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "70033777eb8b5124a81a1889416543dddef2de240019b674c81285a2635a7e1e"
+
+[[package]]
+name = "anyhow"
+version = "1.0.80"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5ad32ce52e4161730f7098c077cd2ed6229b5804ccf99e5366be1ab72a98b4e1"
+
[[package]]
name = "apply"
version = "0.3.0"
@@ -231,6 +314,12 @@ version = "0.3.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "6b4930d2cb77ce62f89ee5d5289b4ac049559b1c45539271f5ed4fdc7db34545"
+[[package]]
+name = "arrayvec"
+version = "0.5.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "23b62fc65de8e4e7f52534fb52b0f3ed04746ae267519eef2a83941e8085068b"
+
[[package]]
name = "arrayvec"
version = "0.7.4"
@@ -282,24 +371,13 @@ dependencies = [
[[package]]
name = "async-channel"
-version = "1.9.0"
+version = "2.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "81953c529336010edd6d8e358f886d9581267795c61b19475b71314bffa46d35"
+checksum = "f28243a43d821d11341ab73c80bed182dc015c514b951616cf79bd4af39af0c3"
dependencies = [
"concurrent-queue",
- "event-listener 2.5.3",
- "futures-core",
-]
-
-[[package]]
-name = "async-channel"
-version = "2.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ca33f4bc4ed1babef42cad36cc1f51fa88be00420404e5b1e80ab1b18f7678c"
-dependencies = [
- "concurrent-queue",
- "event-listener 4.0.3",
- "event-listener-strategy",
+ "event-listener 5.1.0",
+ "event-listener-strategy 0.5.0",
"futures-core",
"pin-project-lite",
]
@@ -338,7 +416,7 @@ checksum = "0fc5b45d93ef0529756f812ca52e44c221b35341892d3dcc34132ac02f3dd2af"
dependencies = [
"async-lock 2.8.0",
"autocfg",
- "cfg-if",
+ "cfg-if 1.0.0",
"concurrent-queue",
"futures-lite 1.13.0",
"log",
@@ -352,17 +430,17 @@ dependencies = [
[[package]]
name = "async-io"
-version = "2.3.0"
+version = "2.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fb41eb19024a91746eba0773aa5e16036045bbf45733766661099e182ea6a744"
+checksum = "8f97ab0c5b00a7cdbe5a371b9a782ee7be1316095885c8a4ea1daf490eb0ef65"
dependencies = [
"async-lock 3.3.0",
- "cfg-if",
+ "cfg-if 1.0.0",
"concurrent-queue",
"futures-io",
"futures-lite 2.2.0",
"parking",
- "polling 3.3.2",
+ "polling 3.4.0",
"rustix 0.38.28",
"slab",
"tracing",
@@ -385,7 +463,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "d034b430882f8381900d3fe6f0aaa3ad94f2cb4ac519b429692a1bc2dda4ae7b"
dependencies = [
"event-listener 4.0.3",
- "event-listener-strategy",
+ "event-listener-strategy 0.4.0",
"pin-project-lite",
]
@@ -399,7 +477,7 @@ dependencies = [
"async-lock 2.8.0",
"async-signal",
"blocking",
- "cfg-if",
+ "cfg-if 1.0.0",
"event-listener 3.1.0",
"futures-lite 1.13.0",
"rustix 0.38.28",
@@ -414,7 +492,7 @@ checksum = "5fd55a5ba1179988837d24ab4c7cc8ed6efdeff578ede0416b4225a5fca35bd0"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -423,10 +501,10 @@ version = "0.2.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "9e47d90f65a225c4527103a8d747001fc56e375203592b25ad103e1ca13124c5"
dependencies = [
- "async-io 2.3.0",
+ "async-io 2.3.1",
"async-lock 2.8.0",
"atomic-waker",
- "cfg-if",
+ "cfg-if 1.0.0",
"futures-core",
"futures-io",
"rustix 0.38.28",
@@ -449,7 +527,19 @@ checksum = "c980ee35e870bd1a4d2c8294d4c04d0499e67bca1e4b5cefcc693c2fa00caea9"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
+]
+
+[[package]]
+name = "atk-sys"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "251e0b7d90e33e0ba930891a505a9a35ece37b2dd37a14f3ffc306c13b980009"
+dependencies = [
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps",
]
[[package]]
@@ -470,29 +560,50 @@ dependencies = [
[[package]]
name = "atspi"
-version = "0.10.1"
+version = "0.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "674e7a3376837b2e7d12d34d58ac47073c491dc3bf6f71a7adaf687d4d817faa"
+checksum = "6059f350ab6f593ea00727b334265c4dfc7fd442ee32d264794bd9bdc68e87ca"
dependencies = [
- "async-recursion",
- "async-trait",
- "atspi-macros",
- "enumflags2",
- "futures-lite 1.13.0",
- "serde",
- "tracing",
- "zbus",
- "zbus_names",
+ "atspi-common",
+ "atspi-connection",
+ "atspi-proxies",
]
[[package]]
-name = "atspi-macros"
-version = "0.2.0"
+name = "atspi-common"
+version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "97fb4870a32c0eaa17e35bca0e6b16020635157121fb7d45593d242c295bc768"
+checksum = "92af95f966d2431f962bc632c2e68eda7777330158bf640c4af4249349b2cdf5"
dependencies = [
- "quote",
- "syn 1.0.109",
+ "enumflags2",
+ "serde",
+ "static_assertions",
+ "zbus",
+ "zbus_names",
+ "zvariant",
+]
+
+[[package]]
+name = "atspi-connection"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a0c65e7d70f86d4c0e3b2d585d9bf3f979f0b19d635a336725a88d279f76b939"
+dependencies = [
+ "atspi-common",
+ "atspi-proxies",
+ "futures-lite 1.13.0",
+ "zbus",
+]
+
+[[package]]
+name = "atspi-proxies"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6495661273703e7a229356dcbe8c8f38223d697aacfaf0e13590a9ac9977bb52"
+dependencies = [
+ "atspi-common",
+ "serde",
+ "zbus",
]
[[package]]
@@ -509,9 +620,9 @@ checksum = "2089b7e3f35b9dd2d0ed921ead4f6d318c27680d4a5bd167b3ee120edb105837"
dependencies = [
"addr2line",
"cc",
- "cfg-if",
+ "cfg-if 1.0.0",
"libc",
- "miniz_oxide",
+ "miniz_oxide 0.7.2",
"object",
"rustc-demangle",
]
@@ -575,21 +686,31 @@ dependencies = [
[[package]]
name = "block-sys"
-version = "0.1.0-beta.1"
+version = "0.2.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0fa55741ee90902547802152aaf3f8e5248aab7e21468089560d4c8840561146"
+checksum = "ae85a0696e7ea3b835a453750bf002770776609115e6d25c6d2ff28a8200f7e7"
dependencies = [
"objc-sys",
]
[[package]]
name = "block2"
-version = "0.2.0-alpha.6"
+version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8dd9e63c1744f755c2f60332b88de39d341e5e86239014ad839bd71c106dec42"
+checksum = "15b55663a85f33501257357e6421bb33e769d5c9ffb5ba0921c975a123e35e68"
dependencies = [
"block-sys",
- "objc2-encode",
+ "objc2 0.4.1",
+]
+
+[[package]]
+name = "block2"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e58aa60e59d8dbfcc36138f5f18be5f24394d33b38b24f7fd0b1caa33095f22f"
+dependencies = [
+ "block-sys",
+ "objc2 0.5.0",
]
[[package]]
@@ -598,7 +719,7 @@ version = "1.5.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "6a37913e8dc4ddcc604f0c6d3bf2887c995153af3611de9e23c352b44c1b9118"
dependencies = [
- "async-channel 2.1.1",
+ "async-channel",
"async-lock 3.3.0",
"async-task",
"fastrand 2.0.1",
@@ -610,15 +731,15 @@ dependencies = [
[[package]]
name = "bumpalo"
-version = "3.14.0"
+version = "3.15.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7f30e7476521f6f8af1a1c4c0b8cc94f0bee37d91763d0ca2665f299b6cd8aec"
+checksum = "8ea184aa71bb362a1157c896979544cc23974e08fd265f29ea96b59f0b4a555b"
[[package]]
name = "bytemuck"
-version = "1.14.0"
+version = "1.14.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "374d28ec25809ee0e23827c2ab573d729e293f281dfe393500e7ad618baa61c6"
+checksum = "a2ef034f05691a48569bd920a96c81b9d91bbad1ab5ac7c4616c1f6ef36cb79f"
dependencies = [
"bytemuck_derive",
]
@@ -631,7 +752,7 @@ checksum = "965ab7eb5f8f97d2a083c799f3a1b994fc397b2fe2da5d1da1626ce15a39f2b1"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -647,17 +768,23 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "a2bd12c1caf447e69cd4528f47f94d203fd2582878ecb9e9465484c4148a8223"
[[package]]
-name = "calloop"
-version = "0.10.6"
+name = "cairo-sys-rs"
+version = "0.18.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "52e0d00eb1ea24371a97d2da6201c6747a633dc6dc1988ef503403b4c59504a8"
+checksum = "685c9fa8e590b8b3d678873528d83411db17242a73fccaed827770ea0fedda51"
+dependencies = [
+ "libc",
+ "system-deps",
+]
+
+[[package]]
+name = "calloop"
+version = "0.6.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0b036167e76041694579972c28cf4877b4f92da222560ddb49008937b6a6727c"
dependencies = [
- "bitflags 1.3.2",
"log",
- "nix 0.25.1",
- "slotmap",
- "thiserror",
- "vec_map",
+ "nix 0.18.0",
]
[[package]]
@@ -668,7 +795,7 @@ checksum = "fba7adb4dd5aa98e5553510223000e7148f621165ec5f9acd7113f6ca4995298"
dependencies = [
"bitflags 2.4.2",
"log",
- "polling 3.3.2",
+ "polling 3.4.0",
"rustix 0.38.28",
"slab",
"thiserror",
@@ -683,19 +810,40 @@ dependencies = [
"calloop 0.12.4",
"rustix 0.38.28",
"wayland-backend",
- "wayland-client 0.31.1",
+ "wayland-client 0.31.2",
]
[[package]]
name = "cc"
-version = "1.0.83"
+version = "1.0.88"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f1174fb0b6ec23863f8b971027804a42614e347eafb0a95bf0b12cdae21fc4d0"
+checksum = "02f341c093d19155a6e41631ce5971aac4e9a868262212153124c15fa22d1cdc"
dependencies = [
- "jobserver",
"libc",
]
+[[package]]
+name = "cesu8"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6d43a04d8753f35258c91f8ec639f792891f748a1edbd759cf1dcea3382ad83c"
+
+[[package]]
+name = "cfg-expr"
+version = "0.15.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fa50868b64a9a6fda9d593ce778849ea8715cd2a3d2cc17ffdb4a2f2f2f1961d"
+dependencies = [
+ "smallvec",
+ "target-lexicon",
+]
+
+[[package]]
+name = "cfg-if"
+version = "0.1.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4785bdd1c96b2a846b2bd7cc02e86b6b3dbf14e7e53446c4f54c92a361040822"
+
[[package]]
name = "cfg-if"
version = "1.0.0"
@@ -709,14 +857,33 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "fd16c4719339c4530435d38e511904438d07cce7950afa3718a84ac36c10e89e"
[[package]]
-name = "clipboard-win"
-version = "4.5.0"
+name = "cfg_aliases"
+version = "0.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7191c27c2357d9b7ef96baac1773290d4ca63b24205b82a3fd8a0637afcf0362"
+checksum = "77e53693616d3075149f4ead59bdeecd204ac6b8192d8969757601b74bddf00f"
+
+[[package]]
+name = "chrono"
+version = "0.4.34"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5bc015644b92d5890fab7489e49d21f879d5c990186827d42ec511919404f38b"
+dependencies = [
+ "android-tzdata",
+ "iana-time-zone",
+ "js-sys",
+ "num-traits",
+ "pure-rust-locales",
+ "wasm-bindgen",
+ "windows-targets 0.52.3",
+]
+
+[[package]]
+name = "clipboard-win"
+version = "5.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "12f9a0700e0127ba15d1d52dd742097f821cd9c65939303a44d970465040a297"
dependencies = [
"error-code",
- "str-buf",
- "winapi",
]
[[package]]
@@ -732,21 +899,37 @@ dependencies = [
[[package]]
name = "clipboard_wayland"
-version = "0.2.1"
+version = "0.2.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8134163bd07c47ae3cc29babc42c255fdb315facc790950ae2d0e561ea6f2ec0"
+checksum = "003f886bc4e2987729d10c1db3424e7f80809f3fc22dbc16c685738887cb37b8"
dependencies = [
"smithay-clipboard",
]
[[package]]
name = "clipboard_x11"
-version = "0.4.1"
+version = "0.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5cf45b436634fee64c6d3981639b46a87eeea3c64e422643273fcefd1baef56c"
+checksum = "4274ea815e013e0f9f04a2633423e14194e408a0576c943ce3d14ca56c50031c"
dependencies = [
"thiserror",
- "x11rb 0.13.0",
+ "x11rb",
+]
+
+[[package]]
+name = "cocoa"
+version = "0.24.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f425db7937052c684daec3bd6375c8abe2d146dca4b8b143d6db777c39138f3a"
+dependencies = [
+ "bitflags 1.3.2",
+ "block",
+ "cocoa-foundation",
+ "core-foundation 0.9.4",
+ "core-graphics 0.22.3",
+ "foreign-types 0.3.2",
+ "libc",
+ "objc",
]
[[package]]
@@ -758,7 +941,7 @@ dependencies = [
"bitflags 1.3.2",
"block",
"cocoa-foundation",
- "core-foundation",
+ "core-foundation 0.9.4",
"core-graphics 0.23.1",
"foreign-types 0.5.0",
"libc",
@@ -773,7 +956,7 @@ checksum = "8c6234cbb2e4c785b456c0644748b1ac416dd045799740356f8363dfe00c93f7"
dependencies = [
"bitflags 1.3.2",
"block",
- "core-foundation",
+ "core-foundation 0.9.4",
"core-graphics-types",
"libc",
"objc",
@@ -796,10 +979,51 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "3d7b894f5411737b7867f4827955924d7c254fc9f4d91a6aad6b097804b1018b"
[[package]]
-name = "com-rs"
-version = "0.2.1"
+name = "colorchoice"
+version = "1.0.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bf43edc576402991846b093a7ca18a3477e0ef9c588cde84964b5d3e43016642"
+checksum = "acbf1af155f9b9ef647e42cdc158db4b64a1b61f743629225fde6f3e0be2a7c7"
+
+[[package]]
+name = "com"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7e17887fd17353b65b1b2ef1c526c83e26cd72e74f598a8dc1bee13a48f3d9f6"
+dependencies = [
+ "com_macros",
+]
+
+[[package]]
+name = "com_macros"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d375883580a668c7481ea6631fc1a8863e33cc335bf56bfad8d7e6d4b04b13a5"
+dependencies = [
+ "com_macros_support",
+ "proc-macro2",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "com_macros_support"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ad899a1087a9296d5644792d7cb72b8e34c1bec8e7d4fbc002230169a6e8710c"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "combine"
+version = "4.6.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "35ed6e9d84f0b51a7f52daf1c7d71dd136fd7a3f41a8462b8cdb8c78d920fad4"
+dependencies = [
+ "bytes",
+ "memchr",
+]
[[package]]
name = "concurrent-queue"
@@ -810,22 +1034,70 @@ dependencies = [
"crossbeam-utils",
]
+[[package]]
+name = "const-random"
+version = "0.1.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5aaf16c9c2c612020bcfd042e170f6e32de9b9d75adb5277cdbbd2e2c8c8299a"
+dependencies = [
+ "const-random-macro",
+]
+
+[[package]]
+name = "const-random-macro"
+version = "0.1.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f9d839f2a20b0aee515dc581a6172f2321f96cab76c1a38a4c584a194955390e"
+dependencies = [
+ "getrandom",
+ "once_cell",
+ "tiny-keccak",
+]
+
+[[package]]
+name = "core-foundation"
+version = "0.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "57d24c7a13c43e870e37c1556b74555437870a04514f7685f5b354e090567171"
+dependencies = [
+ "core-foundation-sys 0.7.0",
+ "libc",
+]
+
[[package]]
name = "core-foundation"
version = "0.9.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "91e195e091a93c46f7102ec7818a2aa394e1e1771c3ab4825963fa03e45afb8f"
dependencies = [
- "core-foundation-sys",
+ "core-foundation-sys 0.8.6",
"libc",
]
+[[package]]
+name = "core-foundation-sys"
+version = "0.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b3a71ab494c0b5b860bdc8407ae08978052417070c2ced38573a9157ad75b8ac"
+
[[package]]
name = "core-foundation-sys"
version = "0.8.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "06ea2b9bc92be3c2baa9334a323ebca2d6f074ff852cd1d7b11064035cd3868f"
+[[package]]
+name = "core-graphics"
+version = "0.19.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b3889374e6ea6ab25dba90bb5d96202f61108058361f6dc72e8b03e6f8bbe923"
+dependencies = [
+ "bitflags 1.3.2",
+ "core-foundation 0.7.0",
+ "foreign-types 0.3.2",
+ "libc",
+]
+
[[package]]
name = "core-graphics"
version = "0.22.3"
@@ -833,7 +1105,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "2581bbab3b8ffc6fcbd550bf46c355135d16e9ff2a6ea032ad6b9bf1d7efe4fb"
dependencies = [
"bitflags 1.3.2",
- "core-foundation",
+ "core-foundation 0.9.4",
"core-graphics-types",
"foreign-types 0.3.2",
"libc",
@@ -846,7 +1118,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "970a29baf4110c26fedbc7f82107d42c23f7e88e404c4577ed73fe99ff85a212"
dependencies = [
"bitflags 1.3.2",
- "core-foundation",
+ "core-foundation 0.9.4",
"core-graphics-types",
"foreign-types 0.5.0",
"libc",
@@ -859,59 +1131,109 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "45390e6114f68f718cc7a830514a96f903cccd70d02a8f6d9f643ac4ba45afaf"
dependencies = [
"bitflags 1.3.2",
- "core-foundation",
+ "core-foundation 0.9.4",
"libc",
]
+[[package]]
+name = "core-video-sys"
+version = "0.1.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "34ecad23610ad9757664d644e369246edde1803fcb43ed72876565098a5d3828"
+dependencies = [
+ "cfg-if 0.1.10",
+ "core-foundation-sys 0.7.0",
+ "core-graphics 0.19.2",
+ "libc",
+ "objc",
+]
+
[[package]]
name = "cosmic-config"
version = "0.1.0"
-source = "git+https://github.com/pop-os/libcosmic.git#efe4ce2f5b514e4d553ab82c0c873dca7585c028"
+source = "git+https://github.com/pop-os/libcosmic.git#a09e76e729895690caac6ac620c212a2e3745d32"
dependencies = [
"atomicwrites",
"cosmic-config-derive",
- "dirs 5.0.1",
+ "dirs",
"iced_futures",
+ "known-folders",
"notify",
"once_cell",
"ron",
"serde",
+ "xdg",
]
[[package]]
name = "cosmic-config-derive"
version = "0.1.0"
-source = "git+https://github.com/pop-os/libcosmic.git#efe4ce2f5b514e4d553ab82c0c873dca7585c028"
+source = "git+https://github.com/pop-os/libcosmic.git#a09e76e729895690caac6ac620c212a2e3745d32"
dependencies = [
"quote",
"syn 1.0.109",
]
+[[package]]
+name = "cosmic-files"
+version = "0.1.0"
+source = "git+https://github.com/pop-os/cosmic-files.git#8ab15027a521713850384fa87666bb9974f2666b"
+dependencies = [
+ "chrono",
+ "dirs",
+ "env_logger 0.11.2",
+ "fork",
+ "i18n-embed",
+ "i18n-embed-fl",
+ "image 0.24.9",
+ "lexical-sort",
+ "libcosmic",
+ "log",
+ "mime_guess",
+ "notify",
+ "once_cell",
+ "paste",
+ "rust-embed",
+ "serde",
+ "smol_str",
+ "systemicons",
+ "tokio",
+ "trash",
+]
+
[[package]]
name = "cosmic-term"
version = "0.1.0"
dependencies = [
"alacritty_terminal",
+ "cosmic-files",
"cosmic-text",
- "env_logger",
+ "env_logger 0.10.2",
"fork",
+ "hex_color",
"i18n-embed",
"i18n-embed-fl",
"indexmap",
"lazy_static",
+ "lexical-sort",
"libcosmic",
"log",
+ "open",
"palette",
"paste",
+ "ron",
"rust-embed",
"serde",
+ "shlex",
+ "smol_str",
"tokio",
+ "vergen",
]
[[package]]
name = "cosmic-text"
-version = "0.10.0"
-source = "git+https://github.com/pop-os/cosmic-text.git#db1530c4ec14bcbb290f9c971d8a6197c90e189a"
+version = "0.11.2"
+source = "git+https://github.com/pop-os/cosmic-text.git#2766961af621b9235616e186046f6d14a2f5fbc0"
dependencies = [
"bitflags 2.4.2",
"fontdb",
@@ -923,7 +1245,7 @@ dependencies = [
"self_cell 1.0.3",
"swash",
"sys-locale",
- "ttf-parser",
+ "ttf-parser 0.20.0",
"unicode-bidi",
"unicode-linebreak",
"unicode-script",
@@ -933,7 +1255,7 @@ dependencies = [
[[package]]
name = "cosmic-theme"
version = "0.1.0"
-source = "git+https://github.com/pop-os/libcosmic.git#efe4ce2f5b514e4d553ab82c0c873dca7585c028"
+source = "git+https://github.com/pop-os/libcosmic.git#a09e76e729895690caac6ac620c212a2e3745d32"
dependencies = [
"almost",
"cosmic-config",
@@ -955,11 +1277,24 @@ dependencies = [
[[package]]
name = "crc32fast"
-version = "1.3.2"
+version = "1.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b540bd8bc810d3885c6ea91e2018302f68baba2129ab3e88f32389ee9370880d"
+checksum = "b3855a8a784b474f333699ef2bbca9db2c4a1f6d9088a90a2d25b1eb53111eaa"
dependencies = [
- "cfg-if",
+ "cfg-if 1.0.0",
+]
+
+[[package]]
+name = "crossbeam"
+version = "0.8.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1137cd7e7fc0fb5d3c5a8678be38ec56e819125d8d7907411fe24ccb943faca8"
+dependencies = [
+ "crossbeam-channel",
+ "crossbeam-deque",
+ "crossbeam-epoch",
+ "crossbeam-queue",
+ "crossbeam-utils",
]
[[package]]
@@ -990,6 +1325,15 @@ dependencies = [
"crossbeam-utils",
]
+[[package]]
+name = "crossbeam-queue"
+version = "0.3.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "df0346b5d5e76ac2fe4e327c5fd1118d6be7c51dfb18f9b7922923f287471e35"
+dependencies = [
+ "crossbeam-utils",
+]
+
[[package]]
name = "crossbeam-utils"
version = "0.8.19"
@@ -1014,9 +1358,9 @@ dependencies = [
[[package]]
name = "css-color"
-version = "0.2.5"
+version = "0.2.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d101c65424c856131a3cb818da2ddde03500dc3656972269cdf79f018ef77eb4"
+checksum = "42aaeae719fd78ce501d77c6cdf01f7e96f26bcd5617a4903a1c2b97e388543a"
[[package]]
name = "csscolorparser"
@@ -1030,14 +1374,20 @@ dependencies = [
[[package]]
name = "ctor"
-version = "0.2.6"
+version = "0.2.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "30d2b3721e861707777e3195b0158f950ae6dc4a27e4d02ff9f67e3eb3de199e"
+checksum = "ad291aa74992b9b7a7e88c38acbbf6ad7e107f1d90ee8775b7bc1fc3394f485c"
dependencies = [
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
+[[package]]
+name = "cty"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b365fabc795046672053e29c954733ec3b05e4be654ab130fe8f1f94d7051f35"
+
[[package]]
name = "cursor-icon"
version = "1.1.0"
@@ -1046,9 +1396,8 @@ checksum = "96a6ac251f4a2aca6b3f91340350eab87ae57c3f127ffeb585e92bd336717991"
[[package]]
name = "d3d12"
-version = "0.7.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e16e44ab292b1dddfdaf7be62cfd8877df52f2f3fde5858d95bab606be259f20"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
dependencies = [
"bitflags 2.4.2",
"libloading 0.8.1",
@@ -1057,37 +1406,72 @@ dependencies = [
[[package]]
name = "darling"
-version = "0.20.3"
+version = "0.10.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0209d94da627ab5605dcccf08bb18afa5009cfbef48d8a8b7d7bdbc79be25c5e"
+checksum = "0d706e75d87e35569db781a9b5e2416cff1236a47ed380831f959382ccd5f858"
dependencies = [
- "darling_core",
- "darling_macro",
+ "darling_core 0.10.2",
+ "darling_macro 0.10.2",
+]
+
+[[package]]
+name = "darling"
+version = "0.20.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "54e36fcd13ed84ffdfda6f5be89b31287cbb80c439841fe69e04841435464391"
+dependencies = [
+ "darling_core 0.20.8",
+ "darling_macro 0.20.8",
]
[[package]]
name = "darling_core"
-version = "0.20.3"
+version = "0.10.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "177e3443818124b357d8e76f53be906d60937f0d3a90773a664fa63fa253e621"
+checksum = "f0c960ae2da4de88a91b2d920c2a7233b400bc33cb28453a2987822d8392519b"
dependencies = [
"fnv",
"ident_case",
"proc-macro2",
"quote",
- "strsim",
- "syn 2.0.48",
+ "strsim 0.9.3",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "darling_core"
+version = "0.20.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9c2cf1c23a687a1feeb728783b993c4e1ad83d99f351801977dd809b48d0a70f"
+dependencies = [
+ "fnv",
+ "ident_case",
+ "proc-macro2",
+ "quote",
+ "strsim 0.10.0",
+ "syn 2.0.51",
]
[[package]]
name = "darling_macro"
-version = "0.20.3"
+version = "0.10.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "836a9bbc7ad63342d6d6e7b815ccab164bc77a2d95d84bc3117a8c0d5c98e2d5"
+checksum = "d9b5a2f4ac4969822c62224815d069952656cadc7084fdca9751e6d959189b72"
dependencies = [
- "darling_core",
+ "darling_core 0.10.2",
"quote",
- "syn 2.0.48",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "darling_macro"
+version = "0.20.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a668eda54683121533a393014d8692171709ff57a7d61f187b6e782719f8933f"
+dependencies = [
+ "darling_core 0.20.8",
+ "quote",
+ "syn 2.0.51",
]
[[package]]
@@ -1096,8 +1480,8 @@ version = "5.5.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "978747c1d849a7d2ee5e8adc0159961c48fb7e5db2f06af6723b80123bb53856"
dependencies = [
- "cfg-if",
- "hashbrown 0.14.3",
+ "cfg-if 1.0.0",
+ "hashbrown",
"lock_api",
"once_cell",
"parking_lot_core 0.9.9",
@@ -1109,6 +1493,25 @@ version = "0.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "5c297a1c74b71ae29df00c3e22dd9534821d60eb9af5a0192823fa2acea70c2a"
+[[package]]
+name = "deflate"
+version = "0.8.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "73770f8e1fe7d64df17ca66ad28994a0a623ea497fa69486e14984e715c5d174"
+dependencies = [
+ "adler32",
+ "byteorder",
+]
+
+[[package]]
+name = "deranged"
+version = "0.3.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b42b6fa04a440b495c8b04d0e71b707c585f83cb9cb28cf8cd0d976c315e31b4"
+dependencies = [
+ "powerfmt",
+]
+
[[package]]
name = "derivative"
version = "2.2.0"
@@ -1126,10 +1529,10 @@ version = "0.1.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "4e8ef033054e131169b8f0f9a7af8f5533a9436fadf3c500ed547f730f07090d"
dependencies = [
- "darling",
+ "darling 0.20.8",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -1142,33 +1545,23 @@ dependencies = [
"crypto-common",
]
-[[package]]
-name = "dirs"
-version = "4.0.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ca3aa72a6f96ea37bbc5aa912f6788242832f75369bdfdadcb0e38423f100059"
-dependencies = [
- "dirs-sys 0.3.7",
-]
-
[[package]]
name = "dirs"
version = "5.0.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "44c45a9d03d6676652bcb5e724c7e988de1acad23a711b5217ab9cbecbec2225"
dependencies = [
- "dirs-sys 0.4.1",
+ "dirs-sys",
]
[[package]]
-name = "dirs-sys"
-version = "0.3.7"
+name = "dirs-next"
+version = "2.0.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1b1d1d91c932ef41c0f2663aa8b0ca0342d444d842c06914aa0a7e352d0bada6"
+checksum = "b98cf8ebf19c3d1b223e151f99a4f9f0690dca41414773390fc824184ac833e1"
dependencies = [
- "libc",
- "redox_users",
- "winapi",
+ "cfg-if 1.0.0",
+ "dirs-sys-next",
]
[[package]]
@@ -1183,6 +1576,17 @@ dependencies = [
"windows-sys 0.48.0",
]
+[[package]]
+name = "dirs-sys-next"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4ebda144c4fe02d1f7ea1a7d9641b6fc6b580adcfa024ae48797ecdeb6825b4d"
+dependencies = [
+ "libc",
+ "redox_users",
+ "winapi",
+]
+
[[package]]
name = "dispatch"
version = "0.2.0"
@@ -1197,7 +1601,16 @@ checksum = "487585f4d0c6655fe74905e2504d8ad6908e4db67f744eb140876906c2f3175d"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
+]
+
+[[package]]
+name = "dlib"
+version = "0.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b11f15d1e3268f140f68d390637d5e76d849782d971ae7063e0da69fe9709a76"
+dependencies = [
+ "libloading 0.6.7",
]
[[package]]
@@ -1211,9 +1624,12 @@ dependencies = [
[[package]]
name = "dlv-list"
-version = "0.3.0"
+version = "0.5.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0688c2a7f92e427f44895cd63841bff7b29f8d7a1648b9e7e07a4a365b2e1257"
+checksum = "442039f5147480ba31067cb00ada1adae6892028e40e45fc5de7b7df6dcc1b5f"
+dependencies = [
+ "const-random",
+]
[[package]]
name = "downcast-rs"
@@ -1223,25 +1639,25 @@ checksum = "9ea835d29036a4087793836fa931b08837ad5e957da9e23886b29586fb9b6650"
[[package]]
name = "drm"
-version = "0.10.0"
+version = "0.11.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "97fb1b703ffbc7ebd216eba7900008049a56ace55580ecb2ee7fa801e8d8be87"
+checksum = "a0f8a69e60d75ae7dab4ef26a59ca99f2a89d4c142089b537775ae0c198bdcde"
dependencies = [
"bitflags 2.4.2",
"bytemuck",
"drm-ffi",
"drm-fourcc",
- "nix 0.27.1",
+ "rustix 0.38.28",
]
[[package]]
name = "drm-ffi"
-version = "0.6.0"
+version = "0.7.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ba7d1c19c4b6270e89d59fb27dc6d02a317c658a8a54e54781e1db9b5947595d"
+checksum = "41334f8405792483e32ad05fbb9c5680ff4e84491883d2947a4757dc54cb2ac6"
dependencies = [
"drm-sys",
- "nix 0.27.1",
+ "rustix 0.38.28",
]
[[package]]
@@ -1252,21 +1668,25 @@ checksum = "0aafbcdb8afc29c1a7ee5fbe53b5d62f4565b35a042a662ca9fecd0b54dae6f4"
[[package]]
name = "drm-sys"
-version = "0.5.0"
+version = "0.6.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3a4f1c0468062a56cd5705f1e3b5409eb286d5596a2028ec8e947595d7e715ae"
+checksum = "2d09ff881f92f118b11105ba5e34ff8f4adf27b30dae8f12e28c193af1c83176"
+dependencies = [
+ "libc",
+ "linux-raw-sys 0.6.4",
+]
[[package]]
name = "either"
-version = "1.9.0"
+version = "1.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a26ae43d7bcc3b814de94796a5e736d4029efb0ee900c12e2d54c993ad1a1e07"
+checksum = "11157ac094ffbdde99aa67b23417ebdd801842852b500e395a45a9c0aac03e4a"
[[package]]
name = "enumflags2"
-version = "0.7.8"
+version = "0.7.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5998b4f30320c9d93aed72f63af821bfdac50465b75428fce77b48ec482c3939"
+checksum = "3278c9d5fb675e0a51dabcf4c0d355f692b064171535ba72361be1528a9d8e8d"
dependencies = [
"enumflags2_derive",
"serde",
@@ -1274,13 +1694,23 @@ dependencies = [
[[package]]
name = "enumflags2_derive"
-version = "0.7.8"
+version = "0.7.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f95e2801cd355d4a1a3e3953ce6ee5ae9603a5c833455343a8bfe3f44d418246"
+checksum = "5c785274071b1b420972453b306eeca06acf4633829db4223b58a2a8c5953bc4"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
+]
+
+[[package]]
+name = "env_filter"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a009aa4810eb158359dda09d0c87378e4bbb89b5a801f016885a4707ba24f7ea"
+dependencies = [
+ "log",
+ "regex",
]
[[package]]
@@ -1296,6 +1726,19 @@ dependencies = [
"termcolor",
]
+[[package]]
+name = "env_logger"
+version = "0.11.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6c012a26a7f605efc424dd53697843a72be7dc86ad2d01f7814337794a12231d"
+dependencies = [
+ "anstream",
+ "anstyle",
+ "env_filter",
+ "humantime",
+ "log",
+]
+
[[package]]
name = "equivalent"
version = "1.0.1"
@@ -1314,13 +1757,9 @@ dependencies = [
[[package]]
name = "error-code"
-version = "2.3.1"
+version = "3.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "64f18991e7bf11e7ffee451b5318b5c1a73c52d0d0ada6e5a3017c8c1ced6a21"
-dependencies = [
- "libc",
- "str-buf",
-]
+checksum = "a0474425d51df81997e2f90a21591180b38eccf27292d755f3e30750225c175b"
[[package]]
name = "etagere"
@@ -1369,6 +1808,17 @@ dependencies = [
"pin-project-lite",
]
+[[package]]
+name = "event-listener"
+version = "5.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b7ad6fd685ce13acd6d9541a30f6db6567a7a24c9ffd4ba2955d29e3f22c8b27"
+dependencies = [
+ "concurrent-queue",
+ "parking",
+ "pin-project-lite",
+]
+
[[package]]
name = "event-listener-strategy"
version = "0.4.0"
@@ -1380,16 +1830,26 @@ dependencies = [
]
[[package]]
-name = "exr"
-version = "1.6.4"
+name = "event-listener-strategy"
+version = "0.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "279d3efcc55e19917fff7ab3ddd6c14afb6a90881a0078465196fe2f99d08c56"
+checksum = "feedafcaa9b749175d5ac357452a9d41ea2911da598fde46ce1fe02c37751291"
+dependencies = [
+ "event-listener 5.1.0",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "exr"
+version = "1.72.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "887d93f60543e9a9362ef8a21beedd0a833c5d9610e18c67abe15a5963dcb1a4"
dependencies = [
"bit_field",
- "flume 0.10.14",
+ "flume",
"half",
"lebe",
- "miniz_oxide",
+ "miniz_oxide 0.7.2",
"rayon-core",
"smallvec",
"zune-inflate",
@@ -1431,7 +1891,7 @@ version = "0.2.23"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "1ee447700ac8aa0b2f2bd7bc4462ad686ba06baa6727ac149a2d6277f0d240fd"
dependencies = [
- "cfg-if",
+ "cfg-if 1.0.0",
"libc",
"redox_syscall 0.4.1",
"windows-sys 0.52.0",
@@ -1453,7 +1913,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "46303f565772937ffe1d394a4fac6f411c6013172fadde9dcdb1e147a086940e"
dependencies = [
"crc32fast",
- "miniz_oxide",
+ "miniz_oxide 0.7.2",
]
[[package]]
@@ -1512,28 +1972,12 @@ dependencies = [
"thiserror",
]
-[[package]]
-name = "flume"
-version = "0.10.14"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1657b4441c3403d9f7b3409e47575237dac27b1b5726df654a6ecbf92f0f7577"
-dependencies = [
- "futures-core",
- "futures-sink",
- "nanorand",
- "pin-project",
- "spin",
-]
-
[[package]]
name = "flume"
version = "0.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "55ac459de2512911e4b674ce33cf20befaba382d05b62b008afc1c8b57cbf181"
dependencies = [
- "futures-core",
- "futures-sink",
- "nanorand",
"spin",
]
@@ -1544,26 +1988,32 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "3f9eec918d3f24069decb9af1554cad7c880e2da24a9afd88aca000531ab82c1"
[[package]]
-name = "fontconfig-parser"
-version = "0.5.3"
+name = "font-types"
+version = "0.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "674e258f4b5d2dcd63888c01c68413c51f565e8af99d2f7701c7b81d79ef41c4"
+checksum = "0bd7f3ea17572640b606b35df42cfb6ecdf003704b062580e59918692190b73d"
+
+[[package]]
+name = "fontconfig-parser"
+version = "0.5.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6a595cb550439a117696039dfc69830492058211b771a2a165379f2a1a53d84d"
dependencies = [
- "roxmltree 0.18.1",
+ "roxmltree",
]
[[package]]
name = "fontdb"
-version = "0.16.0"
+version = "0.16.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "98b88c54a38407f7352dd2c4238830115a6377741098ffd1f997c813d0e088a6"
+checksum = "b0299020c3ef3f60f526a4f64ab4a3d4ce116b1acbf24cdd22da0068e5d81dc3"
dependencies = [
"fontconfig-parser",
"log",
- "memmap2 0.9.3",
+ "memmap2 0.9.4",
"slotmap",
"tinyvec",
- "ttf-parser",
+ "ttf-parser 0.20.0",
]
[[package]]
@@ -1593,7 +2043,7 @@ checksum = "1a5c6c585bc94aaf2c7b51dd4c2ba22680844aba4c687be581871a6f518c5742"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -1610,9 +2060,9 @@ checksum = "aa9a19cbb55df58761df49b23516a86d432839add4af60fc256da840f66ed35b"
[[package]]
name = "fork"
-version = "0.1.22"
+version = "0.1.23"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bf2ca97a59201425e7ee4d197c9c4fea282fe87a97d666a580bda889b95b8e88"
+checksum = "60e74d3423998a57e9d906e49252fb79eb4a04d5cdfe188fb1b7ff9fc076a8ed"
dependencies = [
"libc",
]
@@ -1638,11 +2088,11 @@ dependencies = [
[[package]]
name = "freedesktop-icons"
-version = "0.2.4"
+version = "0.2.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3f9d46a9ae065c46efb83854bb10315de6d333bb6f4526ebe320c004dab7857e"
+checksum = "a8ef34245e0540c9a3ce7a28340b98d2c12b75da0d446da4e8224923fcaa0c16"
dependencies = [
- "dirs 4.0.0",
+ "dirs",
"once_cell",
"rust-ini",
"thiserror",
@@ -1743,7 +2193,7 @@ checksum = "87750cf4b7a4c0625b1529e4c543c2182106e4dedc60a2a6455e00d212c489ac"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -1776,6 +2226,36 @@ dependencies = [
"slab",
]
+[[package]]
+name = "gdk-pixbuf-sys"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3f9839ea644ed9c97a34d129ad56d38a25e6756f99f3a88e15cd39c20629caf7"
+dependencies = [
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps",
+]
+
+[[package]]
+name = "gdk-sys"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "31ff856cb3386dae1703a920f803abafcc580e9b5f711ca62ed1620c25b51ff2"
+dependencies = [
+ "cairo-sys-rs",
+ "gdk-pixbuf-sys",
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "pango-sys",
+ "pkg-config",
+ "system-deps",
+]
+
[[package]]
name = "generic-array"
version = "0.14.7"
@@ -1786,16 +2266,6 @@ dependencies = [
"version_check",
]
-[[package]]
-name = "gethostname"
-version = "0.3.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bb65d4ba3173c56a500b555b532f72c42e8d1fe64962b518897f8959fae2c177"
-dependencies = [
- "libc",
- "winapi",
-]
-
[[package]]
name = "gethostname"
version = "0.4.3"
@@ -1812,11 +2282,19 @@ version = "0.2.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "190092ea657667030ac6a35e305e62fc4dd69fd98ac98631e5d3a2b1575a12b5"
dependencies = [
- "cfg-if",
- "js-sys",
+ "cfg-if 1.0.0",
"libc",
"wasi",
- "wasm-bindgen",
+]
+
+[[package]]
+name = "gif"
+version = "0.11.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3edd93c6756b4dfaf2709eafcc345ba2636565295c198a9cfbf75fa5e3e00b06"
+dependencies = [
+ "color_quant",
+ "weezl",
]
[[package]]
@@ -1829,12 +2307,35 @@ dependencies = [
"weezl",
]
+[[package]]
+name = "gif"
+version = "0.13.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3fb2d69b19215e18bb912fa30f7ce15846e301408695e44e0ef719f1da9e19f2"
+dependencies = [
+ "color_quant",
+ "weezl",
+]
+
[[package]]
name = "gimli"
version = "0.28.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "4271d37baee1b8c7e4b708028c57d816cf9d2434acb33a549475f78c181f6253"
+[[package]]
+name = "gio-sys"
+version = "0.18.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "37566df850baf5e4cb0dfb78af2e4b9898d817ed9263d1090a2df958c64737d2"
+dependencies = [
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps",
+ "winapi",
+]
+
[[package]]
name = "gl_generator"
version = "0.14.0"
@@ -1852,6 +2353,22 @@ version = "0.24.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "b5418c17512bdf42730f9032c74e1ae39afc408745ebb2acf72fbc4691c17945"
+[[package]]
+name = "glib-sys"
+version = "0.18.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "063ce2eb6a8d0ea93d2bf8ba1957e78dbab6be1c2220dd3daca57d5a9d869898"
+dependencies = [
+ "libc",
+ "system-deps",
+]
+
+[[package]]
+name = "glob"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d2fabcfbdc87f4758337ca535fb41a6d701b65693ce38287d856d1674551ec9b"
+
[[package]]
name = "glow"
version = "0.13.1"
@@ -1875,8 +2392,8 @@ dependencies = [
[[package]]
name = "glyphon"
-version = "0.4.1"
-source = "git+https://github.com/jackpot51/glyphon.git#abb70c0fda8cf1a5dfc314c1c778103d7ba951e6"
+version = "0.5.0"
+source = "git+https://github.com/pop-os/glyphon.git?tag=v0.5.0#1b0646ff8f74da92d3be704dfc2257d7f4d7eed8"
dependencies = [
"cosmic-text",
"etagere",
@@ -1884,6 +2401,17 @@ dependencies = [
"wgpu",
]
+[[package]]
+name = "gobject-sys"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0850127b514d1c4a4654ead6dedadb18198999985908e6ffe4436f53c785ce44"
+dependencies = [
+ "glib-sys",
+ "libc",
+ "system-deps",
+]
+
[[package]]
name = "gpu-alloc"
version = "0.6.0"
@@ -1905,16 +2433,15 @@ dependencies = [
[[package]]
name = "gpu-allocator"
-version = "0.23.0"
+version = "0.25.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "40fe17c8a05d60c38c0a4e5a3c802f2f1ceb66b76c67d96ffb34bef0475a7fad"
+checksum = "6f56f6318968d03c18e1bcf4857ff88c61157e9da8e47c5f29055d60e1228884"
dependencies = [
- "backtrace",
"log",
"presser",
"thiserror",
"winapi",
- "windows 0.51.1",
+ "windows 0.52.0",
]
[[package]]
@@ -1925,7 +2452,7 @@ checksum = "cc11df1ace8e7e564511f53af41f3e42ddc95b56fd07b3f4445d2a6048bc682c"
dependencies = [
"bitflags 2.4.2",
"gpu-descriptor-types",
- "hashbrown 0.14.3",
+ "hashbrown",
]
[[package]]
@@ -1943,6 +2470,24 @@ version = "0.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "1df00eed8d1f0db937f6be10e46e8072b0671accb504cf0f959c5c52c679f5b9"
+[[package]]
+name = "gtk-sys"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "771437bf1de2c1c0b496c11505bdf748e26066bbe942dfc8f614c9460f6d7722"
+dependencies = [
+ "atk-sys",
+ "cairo-sys-rs",
+ "gdk-pixbuf-sys",
+ "gdk-sys",
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "pango-sys",
+ "system-deps",
+]
+
[[package]]
name = "guillotiere"
version = "0.6.2"
@@ -1955,43 +2500,34 @@ dependencies = [
[[package]]
name = "half"
-version = "2.3.1"
+version = "2.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bc52e53916c08643f1b56ec082790d1e86a32e58dc5268f897f313fbae7b4872"
+checksum = "b5eceaaeec696539ddaf7b333340f1af35a5aa87ae3e4f3ead0532f72affab2e"
dependencies = [
- "cfg-if",
+ "cfg-if 1.0.0",
"crunchy",
]
-[[package]]
-name = "hashbrown"
-version = "0.12.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8a9ee70c43aaf417c914396645a0fa852624801b24ebb7ae78fe8272889ac888"
-dependencies = [
- "ahash 0.7.7",
-]
-
[[package]]
name = "hashbrown"
version = "0.14.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "290f1a1d9242c78d09ce40a5e87e7554ee637af1351968159f4952f028f75604"
dependencies = [
- "ahash 0.8.7",
+ "ahash",
"allocator-api2",
]
[[package]]
name = "hassle-rs"
-version = "0.10.0"
+version = "0.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1397650ee315e8891a0df210707f0fc61771b0cc518c3023896064c5407cb3b0"
+checksum = "af2a7e73e1f34c48da31fb668a907f250794837e08faa144fd24f0b8b741e890"
dependencies = [
- "bitflags 1.3.2",
- "com-rs",
+ "bitflags 2.4.2",
+ "com",
"libc",
- "libloading 0.7.4",
+ "libloading 0.8.1",
"thiserror",
"widestring",
"winapi",
@@ -2005,9 +2541,9 @@ checksum = "95505c38b4572b2d910cecb0281560f54b440a19336cbbcb27bf6ce6adc6f5a8"
[[package]]
name = "hermit-abi"
-version = "0.3.4"
+version = "0.3.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5d3d0e0f38255e7fa3cf31335b3a56f05febd18025f4db5ef7a0cfb4f8da651f"
+checksum = "379dada1584ad501b383485dd706b8afb7a70fcbc7f4da7d780638a5a6124a60"
[[package]]
name = "hex"
@@ -2015,6 +2551,17 @@ version = "0.4.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "7f24254aa9a54b5c858eaee2f5bccdb46aaf0e486a595ed5fd8f86ba55232a70"
+[[package]]
+name = "hex_color"
+version = "3.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d37f101bf4c633f7ca2e4b5e136050314503dd198e78e325ea602c327c484ef0"
+dependencies = [
+ "arrayvec 0.7.4",
+ "rand",
+ "serde",
+]
+
[[package]]
name = "hexf-parse"
version = "0.2.1"
@@ -2046,15 +2593,15 @@ dependencies = [
"serde",
"serde_derive",
"thiserror",
- "toml 0.8.8",
+ "toml 0.8.10",
"unic-langid",
]
[[package]]
name = "i18n-embed"
-version = "0.13.9"
+version = "0.14.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "92a86226a7a16632de6723449ee5fe70bac5af718bc642ee9ca2f0f6e14fa1fa"
+checksum = "94205d95764f5bb9db9ea98fa77f89653365ca748e27161f5bbea2ffd50e459c"
dependencies = [
"arc-swap",
"fluent",
@@ -2074,9 +2621,9 @@ dependencies = [
[[package]]
name = "i18n-embed-fl"
-version = "0.6.7"
+version = "0.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d26a3d3569737dfaac7fc1c4078e6af07471c3060b8e570bcd83cdd5f4685395"
+checksum = "9fc1f8715195dffc4caddcf1cf3128da15fe5d8a137606ea8856c9300047d5a2"
dependencies = [
"dashmap",
"find-crate",
@@ -2088,8 +2635,8 @@ dependencies = [
"proc-macro-error",
"proc-macro2",
"quote",
- "strsim",
- "syn 2.0.48",
+ "strsim 0.10.0",
+ "syn 2.0.51",
"unic-langid",
]
@@ -2103,13 +2650,36 @@ dependencies = [
"i18n-config",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
+]
+
+[[package]]
+name = "iana-time-zone"
+version = "0.1.60"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e7ffbb5a1b541ea2561f8c41c087286cc091e21e556a4f09a8f6cbf17b69b141"
+dependencies = [
+ "android_system_properties",
+ "core-foundation-sys 0.8.6",
+ "iana-time-zone-haiku",
+ "js-sys",
+ "wasm-bindgen",
+ "windows-core",
+]
+
+[[package]]
+name = "iana-time-zone-haiku"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f31827a206f56af32e590ba56d5d2d085f558508192593743f16b2306495269f"
+dependencies = [
+ "cc",
]
[[package]]
name = "iced"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic.git#efe4ce2f5b514e4d553ab82c0c873dca7585c028"
+source = "git+https://github.com/pop-os/libcosmic.git#a09e76e729895690caac6ac620c212a2e3745d32"
dependencies = [
"iced_accessibility",
"iced_core",
@@ -2117,14 +2687,14 @@ dependencies = [
"iced_renderer",
"iced_widget",
"iced_winit",
- "image",
+ "image 0.24.9",
"thiserror",
]
[[package]]
name = "iced_accessibility"
version = "0.1.0"
-source = "git+https://github.com/pop-os/libcosmic.git#efe4ce2f5b514e4d553ab82c0c873dca7585c028"
+source = "git+https://github.com/pop-os/libcosmic.git#a09e76e729895690caac6ac620c212a2e3745d32"
dependencies = [
"accesskit",
"accesskit_winit",
@@ -2133,23 +2703,24 @@ dependencies = [
[[package]]
name = "iced_core"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic.git#efe4ce2f5b514e4d553ab82c0c873dca7585c028"
+source = "git+https://github.com/pop-os/libcosmic.git#a09e76e729895690caac6ac620c212a2e3745d32"
dependencies = [
"bitflags 1.3.2",
- "instant",
"log",
"num-traits",
"palette",
- "raw-window-handle",
+ "raw-window-handle 0.6.0",
"serde",
+ "smol_str",
"thiserror",
+ "web-time",
"xxhash-rust",
]
[[package]]
name = "iced_futures"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic.git#efe4ce2f5b514e4d553ab82c0c873dca7585c028"
+source = "git+https://github.com/pop-os/libcosmic.git#a09e76e729895690caac6ac620c212a2e3745d32"
dependencies = [
"futures",
"iced_core",
@@ -2162,7 +2733,7 @@ dependencies = [
[[package]]
name = "iced_graphics"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic.git#efe4ce2f5b514e4d553ab82c0c873dca7585c028"
+source = "git+https://github.com/pop-os/libcosmic.git#a09e76e729895690caac6ac620c212a2e3745d32"
dependencies = [
"bitflags 1.3.2",
"bytemuck",
@@ -2170,12 +2741,13 @@ dependencies = [
"glam",
"half",
"iced_core",
- "image",
+ "iced_futures",
+ "image 0.24.9",
"kamadak-exif",
"log",
"lyon_path",
"once_cell",
- "raw-window-handle",
+ "raw-window-handle 0.6.0",
"rustc-hash",
"thiserror",
"unicode-segmentation",
@@ -2185,20 +2757,19 @@ dependencies = [
[[package]]
name = "iced_renderer"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic.git#efe4ce2f5b514e4d553ab82c0c873dca7585c028"
+source = "git+https://github.com/pop-os/libcosmic.git#a09e76e729895690caac6ac620c212a2e3745d32"
dependencies = [
"iced_graphics",
"iced_tiny_skia",
"iced_wgpu",
"log",
- "raw-window-handle",
"thiserror",
]
[[package]]
name = "iced_runtime"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic.git#efe4ce2f5b514e4d553ab82c0c873dca7585c028"
+source = "git+https://github.com/pop-os/libcosmic.git#a09e76e729895690caac6ac620c212a2e3745d32"
dependencies = [
"iced_core",
"iced_futures",
@@ -2208,7 +2779,7 @@ dependencies = [
[[package]]
name = "iced_style"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic.git#efe4ce2f5b514e4d553ab82c0c873dca7585c028"
+source = "git+https://github.com/pop-os/libcosmic.git#a09e76e729895690caac6ac620c212a2e3745d32"
dependencies = [
"iced_core",
"once_cell",
@@ -2218,25 +2789,24 @@ dependencies = [
[[package]]
name = "iced_tiny_skia"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic.git#efe4ce2f5b514e4d553ab82c0c873dca7585c028"
+source = "git+https://github.com/pop-os/libcosmic.git#a09e76e729895690caac6ac620c212a2e3745d32"
dependencies = [
"bytemuck",
"cosmic-text",
"iced_graphics",
"kurbo",
"log",
- "raw-window-handle",
"resvg",
"rustc-hash",
"softbuffer",
- "tiny-skia 0.11.3",
+ "tiny-skia",
"xxhash-rust",
]
[[package]]
name = "iced_wgpu"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic.git#efe4ce2f5b514e4d553ab82c0c873dca7585c028"
+source = "git+https://github.com/pop-os/libcosmic.git#a09e76e729895690caac6ac620c212a2e3745d32"
dependencies = [
"bitflags 1.3.2",
"bytemuck",
@@ -2248,7 +2818,6 @@ dependencies = [
"log",
"lyon",
"once_cell",
- "raw-window-handle",
"resvg",
"wgpu",
]
@@ -2256,7 +2825,7 @@ dependencies = [
[[package]]
name = "iced_widget"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic.git#efe4ce2f5b514e4d553ab82c0c873dca7585c028"
+source = "git+https://github.com/pop-os/libcosmic.git#a09e76e729895690caac6ac620c212a2e3745d32"
dependencies = [
"iced_renderer",
"iced_runtime",
@@ -2270,7 +2839,7 @@ dependencies = [
[[package]]
name = "iced_winit"
version = "0.12.0"
-source = "git+https://github.com/pop-os/libcosmic.git#efe4ce2f5b514e4d553ab82c0c873dca7585c028"
+source = "git+https://github.com/pop-os/libcosmic.git#a09e76e729895690caac6ac620c212a2e3745d32"
dependencies = [
"iced_graphics",
"iced_runtime",
@@ -2281,7 +2850,28 @@ dependencies = [
"web-sys",
"winapi",
"window_clipboard",
- "winit",
+ "winit 0.29.10",
+]
+
+[[package]]
+name = "icrate"
+version = "0.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "99d3aaff8a54577104bafdf686ff18565c3b6903ca5782a2026ef06e2c7aa319"
+dependencies = [
+ "block2 0.3.0",
+ "dispatch",
+ "objc2 0.4.1",
+]
+
+[[package]]
+name = "icrate"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e286f4b975ac6c054971a0600a9b76438b332edace54bff79c71c9d3adfc9772"
+dependencies = [
+ "block2 0.4.0",
+ "objc2 0.5.0",
]
[[package]]
@@ -2302,20 +2892,39 @@ dependencies = [
[[package]]
name = "image"
-version = "0.24.8"
+version = "0.23.14"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "034bbe799d1909622a74d1193aa50147769440040ff36cb2baa947609b0a4e23"
+checksum = "24ffcb7e7244a9bf19d35bf2883b9c080c4ced3c07a9895572178cdb8f13f6a1"
+dependencies = [
+ "bytemuck",
+ "byteorder",
+ "color_quant",
+ "gif 0.11.4",
+ "jpeg-decoder 0.1.22",
+ "num-iter",
+ "num-rational 0.3.2",
+ "num-traits",
+ "png 0.16.8",
+ "scoped_threadpool",
+ "tiff 0.6.1",
+]
+
+[[package]]
+name = "image"
+version = "0.24.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5690139d2f55868e080017335e4b94cb7414274c74f1669c84fb5feba2c9f69d"
dependencies = [
"bytemuck",
"byteorder",
"color_quant",
"exr",
- "gif",
- "jpeg-decoder",
+ "gif 0.13.1",
+ "jpeg-decoder 0.3.1",
"num-traits",
- "png",
+ "png 0.17.13",
"qoi",
- "tiff",
+ "tiff 0.9.1",
]
[[package]]
@@ -2326,12 +2935,12 @@ checksum = "029d73f573d8e8d63e6d5020011d3255b28c3ba85d6cf870a07184ed23de9284"
[[package]]
name = "indexmap"
-version = "2.1.0"
+version = "2.2.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d530e1a18b1cb4c484e6e34556a0d948706958449fca0cab753d649f2bce3d1f"
+checksum = "233cf39063f058ea2caae4091bf4a3ef70a653afbc026f5c4a4135d114e3c177"
dependencies = [
"equivalent",
- "hashbrown 0.14.3",
+ "hashbrown",
]
[[package]]
@@ -2360,10 +2969,7 @@ version = "0.1.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "7a5bbe824c507c5da5956355e86a746d82e0e1464f65d862cc5e71da70e94b2c"
dependencies = [
- "cfg-if",
- "js-sys",
- "wasm-bindgen",
- "web-sys",
+ "cfg-if 1.0.0",
]
[[package]]
@@ -2397,22 +3003,57 @@ dependencies = [
]
[[package]]
-name = "is-terminal"
-version = "0.4.10"
+name = "is-docker"
+version = "0.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0bad00257d07be169d870ab665980b06cdb366d792ad690bf2e76876dc503455"
+checksum = "928bae27f42bc99b60d9ac7334e3a21d10ad8f1835a4e12ec3ec0464765ed1b3"
+dependencies = [
+ "once_cell",
+]
+
+[[package]]
+name = "is-terminal"
+version = "0.4.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f23ff5ef2b80d608d61efee834934d862cd92461afc0560dedf493e4c033738b"
dependencies = [
"hermit-abi",
- "rustix 0.38.28",
+ "libc",
"windows-sys 0.52.0",
]
+[[package]]
+name = "is-wsl"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "173609498df190136aa7dea1a91db051746d339e18476eed5ca40521f02d7aa5"
+dependencies = [
+ "is-docker",
+ "once_cell",
+]
+
[[package]]
name = "itoa"
version = "1.0.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "b1a46d1a171d865aa5f83f92695765caa047a9b4cbae2cbf37dbd613a793fd4c"
+[[package]]
+name = "jni"
+version = "0.21.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1a87aa2bb7d2af34197c04845522473242e1aa17c12f4935d5856491a7fb8c97"
+dependencies = [
+ "cesu8",
+ "cfg-if 1.0.0",
+ "combine",
+ "jni-sys",
+ "log",
+ "thiserror",
+ "walkdir",
+ "windows-sys 0.45.0",
+]
+
[[package]]
name = "jni-sys"
version = "0.3.0"
@@ -2420,12 +3061,12 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "8eaf4bc02d17cbdd7ff4c7438cafcdf7fb9a4613313ad11b4f8fefe7d3fa0130"
[[package]]
-name = "jobserver"
-version = "0.1.27"
+name = "jpeg-decoder"
+version = "0.1.22"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8c37f63953c4c63420ed5fd3d6d398c719489b9f872b9fa683262f8edd363c7d"
+checksum = "229d53d58899083193af11e15917b5640cd40b29ff475a1fe4ef725deb02d0f2"
dependencies = [
- "libc",
+ "rayon",
]
[[package]]
@@ -2439,9 +3080,9 @@ dependencies = [
[[package]]
name = "js-sys"
-version = "0.3.67"
+version = "0.3.68"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9a1d36f1235bc969acba30b7f5990b864423a6068a10f7c90ae8f0112e3a59d1"
+checksum = "406cda4b368d531c842222cf9d2600a9a4acce8d29423695379c6868a143a9ee"
dependencies = [
"wasm-bindgen",
]
@@ -2472,6 +3113,15 @@ version = "3.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "e2db585e1d738fc771bf08a151420d3ed193d9d895a36df7f6f8a9456b911ddc"
+[[package]]
+name = "known-folders"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4397c789f2709d23cfcb703b316e0766a8d4b17db2d47b0ab096ef6047cae1d8"
+dependencies = [
+ "windows-sys 0.52.0",
+]
+
[[package]]
name = "kqueue"
version = "1.0.8"
@@ -2498,7 +3148,7 @@ version = "0.9.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "bd85a5776cd9500c2e2059c8c76c3b01528566b7fcbaf8098b55a33fc298849b"
dependencies = [
- "arrayvec",
+ "arrayvec 0.7.4",
]
[[package]]
@@ -2513,6 +3163,28 @@ version = "0.5.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "03087c2bad5e1034e8cace5926dec053fb3790248370865f5117a7d0213354c8"
+[[package]]
+name = "lexical-core"
+version = "0.7.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6607c62aa161d23d17a9072cc5da0be67cdfc89d3afb1e8d9c842bebc2525ffe"
+dependencies = [
+ "arrayvec 0.5.2",
+ "bitflags 1.3.2",
+ "cfg-if 1.0.0",
+ "ryu",
+ "static_assertions",
+]
+
+[[package]]
+name = "lexical-sort"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c09e4591611e231daf4d4c685a66cb0410cc1e502027a20ae55f2bb9e997207a"
+dependencies = [
+ "any_ascii",
+]
+
[[package]]
name = "libc"
version = "0.2.151"
@@ -2521,7 +3193,7 @@ source = "git+https://gitlab.redox-os.org/redox-os/liblibc.git?branch=redox_0.2.
[[package]]
name = "libcosmic"
version = "0.1.0"
-source = "git+https://github.com/pop-os/libcosmic.git#efe4ce2f5b514e4d553ab82c0c873dca7585c028"
+source = "git+https://github.com/pop-os/libcosmic.git#a09e76e729895690caac6ac620c212a2e3745d32"
dependencies = [
"apply",
"ashpd",
@@ -2543,6 +3215,7 @@ dependencies = [
"iced_winit",
"lazy_static",
"palette",
+ "rfd",
"slotmap",
"taffy",
"thiserror",
@@ -2553,13 +3226,23 @@ dependencies = [
"zbus",
]
+[[package]]
+name = "libloading"
+version = "0.6.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "351a32417a12d5f7e82c368a66781e307834dae04c6ce0cd4456d52989229883"
+dependencies = [
+ "cfg-if 1.0.0",
+ "winapi",
+]
+
[[package]]
name = "libloading"
version = "0.7.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "b67380fd3b2fbe7527a606e18729d21c6f3951633d0500574c4dc22d2d638b9f"
dependencies = [
- "cfg-if",
+ "cfg-if 1.0.0",
"winapi",
]
@@ -2569,7 +3252,7 @@ version = "0.8.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "c571b676ddfc9a8c12f1f3d3085a7b163966a8fd8098a90640953ce5f6170161"
dependencies = [
- "cfg-if",
+ "cfg-if 1.0.0",
"windows-sys 0.48.0",
]
@@ -2613,6 +3296,12 @@ version = "0.4.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "01cda141df6706de531b6c46c3a33ecca755538219bd484262fa09410c13539c"
+[[package]]
+name = "linux-raw-sys"
+version = "0.6.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f0b5399f6804fbab912acbd8878ed3532d506b7c951b8f9f164ef90fef39e3f4"
+
[[package]]
name = "locale_config"
version = "0.3.0"
@@ -2644,11 +3333,11 @@ checksum = "b5e6163cb8c49088c2c36f57875e58ccd8c87c7427f7fbd50ea6710b2f3f2e8f"
[[package]]
name = "lru"
-version = "0.12.1"
+version = "0.12.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2994eeba8ed550fd9b47a0b38f0242bc3344e496483c6180b69139cc2fa5d1d7"
+checksum = "d3262e75e648fce39813cb56ac41f3c3e3f65217ebf3844d818d1f9398cfb0dc"
dependencies = [
- "hashbrown 0.14.3",
+ "hashbrown",
]
[[package]]
@@ -2677,7 +3366,7 @@ version = "1.0.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "edecfb8d234a2b0be031ab02ebcdd9f3b9ee418fb35e265f7a540a48d197bff9"
dependencies = [
- "arrayvec",
+ "arrayvec 0.7.4",
"euclid",
"num-traits",
]
@@ -2720,31 +3409,22 @@ checksum = "523dc4f511e55ab87b694dc30d0f820d60906ef06413f93d4d7a1385599cc149"
[[package]]
name = "memmap2"
-version = "0.5.10"
+version = "0.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "83faa42c0a078c393f6b29d5db232d8be22776a891f8f56e5284faee4a20b327"
+checksum = "d9b70ca2a6103ac8b665dc150b142ef0e4e89df640c9e6cf295d189c3caebe5a"
dependencies = [
"libc",
]
[[package]]
name = "memmap2"
-version = "0.9.3"
+version = "0.9.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "45fd3a57831bf88bc63f8cebc0cf956116276e97fef3966103e96416209f7c92"
+checksum = "fe751422e4a8caa417e13c3ea66452215d7d63e19e604f4980461212f3ae1322"
dependencies = [
"libc",
]
-[[package]]
-name = "memoffset"
-version = "0.6.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5aa361d4faea93603064a027415f07bd8e1d5c88c9fbf68bf56a285428fd79ce"
-dependencies = [
- "autocfg",
-]
-
[[package]]
name = "memoffset"
version = "0.7.1"
@@ -2779,15 +3459,63 @@ dependencies = [
]
[[package]]
-name = "miniz_oxide"
-version = "0.7.1"
+name = "mime"
+version = "0.3.17"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e7810e0be55b428ada41041c41f32c9f1a42817901b4ccf45fa3d4b6561e74c7"
+checksum = "6877bb514081ee2a7ff5ef9de3281f14a4dd4bceac4c09388074a6b5df8a139a"
+
+[[package]]
+name = "mime_guess"
+version = "2.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4192263c238a5f0d0c6bfd21f336a313a4ce1c450542449ca191bb657b4642ef"
+dependencies = [
+ "mime",
+ "unicase",
+]
+
+[[package]]
+name = "miniz_oxide"
+version = "0.3.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "791daaae1ed6889560f8c4359194f56648355540573244a5448a83ba1ecc7435"
+dependencies = [
+ "adler32",
+]
+
+[[package]]
+name = "miniz_oxide"
+version = "0.4.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a92518e98c078586bc6c934028adcca4c92a53d6a958196de835170a01d84e4b"
+dependencies = [
+ "adler",
+ "autocfg",
+]
+
+[[package]]
+name = "miniz_oxide"
+version = "0.7.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9d811f3e15f28568be3407c8e7fdb6514c1cda3cb30683f15b6a1a1dc4ea14a7"
dependencies = [
"adler",
"simd-adler32",
]
+[[package]]
+name = "mio"
+version = "0.7.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8067b404fe97c70829f082dec8bcf4f71225d7eaea1d8645349cb76fa06205cc"
+dependencies = [
+ "libc",
+ "log",
+ "miow 0.3.7",
+ "ntapi",
+ "winapi",
+]
+
[[package]]
name = "mio"
version = "0.8.10"
@@ -2800,6 +3528,27 @@ dependencies = [
"windows-sys 0.48.0",
]
+[[package]]
+name = "mio-misc"
+version = "1.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b47412f3a52115b936ff2a229b803498c7b4d332adeb87c2f1498c9da54c398c"
+dependencies = [
+ "crossbeam",
+ "crossbeam-queue",
+ "log",
+ "mio 0.7.14",
+]
+
+[[package]]
+name = "miow"
+version = "0.3.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b9f1c5b025cda876f66ef43a113f91ebc9f4ccef34843000e0adf6ebbab84e21"
+dependencies = [
+ "winapi",
+]
+
[[package]]
name = "miow"
version = "0.6.0"
@@ -2817,10 +3566,10 @@ checksum = "16cf681a23b4d0a43fc35024c176437f9dcd818db34e0f42ab456a0ee5ad497b"
[[package]]
name = "naga"
-version = "0.14.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ae585df4b6514cf8842ac0f1ab4992edc975892704835b549cf818dc0191249e"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
dependencies = [
+ "arrayvec 0.7.4",
"bit-set",
"bitflags 2.4.2",
"codespan-reporting",
@@ -2836,25 +3585,29 @@ dependencies = [
]
[[package]]
-name = "nanorand"
-version = "0.7.0"
+name = "ndk"
+version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6a51313c5820b0b02bd422f4b44776fbf47961755c74ce64afc73bfad10226c3"
+checksum = "8794322172319b972f528bf90c6b467be0079f1fa82780ffb431088e741a73ab"
dependencies = [
- "getrandom",
+ "jni-sys",
+ "ndk-sys 0.2.2",
+ "num_enum 0.5.11",
+ "thiserror",
]
[[package]]
name = "ndk"
-version = "0.7.0"
+version = "0.8.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "451422b7e4718271c8b5b3aadf5adedba43dc76312454b387e98fae0fc951aa0"
+checksum = "2076a31b7010b17a38c01907c45b945e8f11495ee4dd588309718901b1f7a5b7"
dependencies = [
- "bitflags 1.3.2",
+ "bitflags 2.4.2",
"jni-sys",
- "ndk-sys",
- "num_enum 0.5.11",
- "raw-window-handle",
+ "log",
+ "ndk-sys 0.5.0+25.2.9519653",
+ "num_enum 0.7.2",
+ "raw-window-handle 0.6.0",
"thiserror",
]
@@ -2865,37 +3618,69 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "27b02d87554356db9e9a873add8782d4ea6e3e58ea071a9adb9a2e8ddb884a8b"
[[package]]
-name = "ndk-sys"
-version = "0.4.1+23.1.7779620"
+name = "ndk-glue"
+version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3cf2aae958bd232cac5069850591667ad422d263686d75b52a065f9badeee5a3"
+checksum = "c5caf0c24d51ac1c905c27d4eda4fa0635bbe0de596b8f79235e0b17a4d29385"
+dependencies = [
+ "lazy_static",
+ "libc",
+ "log",
+ "ndk 0.3.0",
+ "ndk-macro",
+ "ndk-sys 0.2.2",
+]
+
+[[package]]
+name = "ndk-macro"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "05d1c6307dc424d0f65b9b06e94f88248e6305726b14729fd67a5e47b2dc481d"
+dependencies = [
+ "darling 0.10.2",
+ "proc-macro-crate 0.1.5",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "ndk-sys"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e1bcdd74c20ad5d95aacd60ef9ba40fdf77f767051040541df557b7a9b2a2121"
+
+[[package]]
+name = "ndk-sys"
+version = "0.5.0+25.2.9519653"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8c196769dd60fd4f363e11d948139556a344e79d451aeb2fa2fd040738ef7691"
dependencies = [
"jni-sys",
]
[[package]]
name = "nix"
-version = "0.24.3"
+version = "0.18.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fa52e972a9a719cecb6864fb88568781eb706bac2cd1d4f04a648542dbf78069"
+checksum = "83450fe6a6142ddd95fb064b746083fc4ef1705fe81f64a64e1d4b39f54a1055"
dependencies = [
"bitflags 1.3.2",
- "cfg-if",
+ "cc",
+ "cfg-if 0.1.10",
"libc",
- "memoffset 0.6.5",
]
[[package]]
name = "nix"
-version = "0.25.1"
+version = "0.20.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f346ff70e7dbfd675fe90590b92d59ef2de15a8779ae305ebcbfd3f0caf59be4"
+checksum = "fa9b4819da1bc61c0ea48b63b7bc8604064dd43013e7cc325df098d49cd7c18a"
dependencies = [
- "autocfg",
"bitflags 1.3.2",
- "cfg-if",
+ "cc",
+ "cfg-if 1.0.0",
"libc",
- "memoffset 0.6.5",
]
[[package]]
@@ -2905,20 +3690,20 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "598beaf3cc6fdd9a5dfb1630c2800c7acd31df7aaf0f565796fba2b53ca1af1b"
dependencies = [
"bitflags 1.3.2",
- "cfg-if",
+ "cfg-if 1.0.0",
"libc",
"memoffset 0.7.1",
]
[[package]]
-name = "nix"
-version = "0.27.1"
+name = "nom"
+version = "5.1.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2eb04e9c688eff1c89d72b407f168cf79bb9e867a9d3323ed6c01519eb9cc053"
+checksum = "08959a387a676302eebf4ddbcbc611da04285579f76f88ee0506c63b1a61dd4b"
dependencies = [
- "bitflags 2.4.2",
- "cfg-if",
- "libc",
+ "lexical-core",
+ "memchr",
+ "version_check",
]
[[package]]
@@ -2935,11 +3720,20 @@ dependencies = [
"kqueue",
"libc",
"log",
- "mio",
+ "mio 0.8.10",
"walkdir",
"windows-sys 0.48.0",
]
+[[package]]
+name = "ntapi"
+version = "0.3.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c28774a7fd2fbb4f0babd8237ce554b73af68021b5f695a3cebd6c59bac0980f"
+dependencies = [
+ "winapi",
+]
+
[[package]]
name = "num"
version = "0.4.1"
@@ -2950,7 +3744,7 @@ dependencies = [
"num-complex",
"num-integer",
"num-iter",
- "num-rational",
+ "num-rational 0.4.1",
"num-traits",
]
@@ -2967,28 +3761,44 @@ dependencies = [
[[package]]
name = "num-complex"
-version = "0.4.4"
+version = "0.4.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ba157ca0885411de85d6ca030ba7e2a83a28636056c7c699b07c8b6f7383214"
+checksum = "23c6602fda94a57c990fe0df199a035d83576b496aa29f4e634a8ac6004e68a6"
dependencies = [
"num-traits",
]
[[package]]
-name = "num-integer"
-version = "0.1.45"
+name = "num-conv"
+version = "0.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "225d3389fb3509a24c93f5c29eb6bde2586b98d9f016636dff58d7c6f7569cd9"
+checksum = "51d515d32fb182ee37cda2ccdcb92950d6a3c2893aa280e540671c2cd0f3b1d9"
+
+[[package]]
+name = "num-integer"
+version = "0.1.46"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7969661fd2958a5cb096e56c8e1ad0444ac2bbcd0061bd28660485a44879858f"
dependencies = [
- "autocfg",
"num-traits",
]
[[package]]
name = "num-iter"
-version = "0.1.43"
+version = "0.1.44"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7d03e6c028c5dc5cac6e2dec0efda81fc887605bb3d884578bb6d6bf7514e252"
+checksum = "d869c01cc0c455284163fd0092f1f93835385ccab5a98a0dcc497b2f8bf055a9"
+dependencies = [
+ "autocfg",
+ "num-integer",
+ "num-traits",
+]
+
+[[package]]
+name = "num-rational"
+version = "0.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "12ac428b1cb17fce6f731001d307d351ec70a6d202fc2e60f7d4c5e42d8f4f07"
dependencies = [
"autocfg",
"num-integer",
@@ -3009,9 +3819,9 @@ dependencies = [
[[package]]
name = "num-traits"
-version = "0.2.17"
+version = "0.2.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "39e3200413f237f41ab11ad6d161bc7239c84dcb631773ccd7de3dfe4b5c267c"
+checksum = "da0df0e5185db44f69b44f26786fe401b6c293d1907744beaa7fa62b2e5a517a"
dependencies = [
"autocfg",
"libm",
@@ -3038,11 +3848,11 @@ dependencies = [
[[package]]
name = "num_enum"
-version = "0.6.1"
+version = "0.7.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7a015b430d3c108a207fd776d2e2196aaf8b1cf8cf93253e3a097ff3085076a1"
+checksum = "02339744ee7253741199f897151b38e72257d13802d4ee837285cc2990a90845"
dependencies = [
- "num_enum_derive 0.6.1",
+ "num_enum_derive 0.7.2",
]
[[package]]
@@ -3051,7 +3861,7 @@ version = "0.5.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "dcbff9bc912032c62bf65ef1d5aea88983b420f4f839db1e9b0c281a25c9c799"
dependencies = [
- "proc-macro-crate",
+ "proc-macro-crate 1.3.1",
"proc-macro2",
"quote",
"syn 1.0.109",
@@ -3059,14 +3869,23 @@ dependencies = [
[[package]]
name = "num_enum_derive"
-version = "0.6.1"
+version = "0.7.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "96667db765a921f7b295ffee8b60472b686a51d4f21c2ee4ffdb94c7013b65a6"
+checksum = "681030a937600a36906c185595136d26abfebb4aa9c65701cefcaf8578bb982b"
dependencies = [
- "proc-macro-crate",
+ "proc-macro-crate 3.1.0",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
+]
+
+[[package]]
+name = "num_threads"
+version = "0.1.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5c7398b9c8b70908f6371f47ed36737907c87c52af34c268fed0bf0ceb92ead9"
+dependencies = [
+ "libc",
]
[[package]]
@@ -3092,29 +3911,41 @@ dependencies = [
[[package]]
name = "objc-sys"
-version = "0.2.0-beta.2"
+version = "0.3.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "df3b9834c1e95694a05a828b59f55fa2afec6288359cda67146126b3f90a55d7"
+checksum = "c7c71324e4180d0899963fc83d9d241ac39e699609fc1025a850aadac8257459"
[[package]]
name = "objc2"
-version = "0.3.0-beta.3.patch-leaks.3"
+version = "0.4.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7e01640f9f2cb1220bbe80325e179e532cb3379ebcd1bf2279d703c19fe3a468"
+checksum = "559c5a40fdd30eb5e344fbceacf7595a81e242529fb4e21cf5f43fb4f11ff98d"
dependencies = [
- "block2",
"objc-sys",
- "objc2-encode",
+ "objc2-encode 3.0.0",
+]
+
+[[package]]
+name = "objc2"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9a9c7f0d511a4ce26b078183179dca908171cfc69f88986fe36c5138e1834476"
+dependencies = [
+ "objc-sys",
+ "objc2-encode 4.0.0",
]
[[package]]
name = "objc2-encode"
-version = "2.0.0-pre.2"
+version = "3.0.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "abfcac41015b00a120608fdaa6938c44cb983fee294351cc4bac7638b4e50512"
-dependencies = [
- "objc-sys",
-]
+checksum = "d079845b37af429bfe5dfa76e6d087d788031045b25cfc6fd898486fd9847666"
+
+[[package]]
+name = "objc2-encode"
+version = "4.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2ff06a6505cde0766484f38d8479ac8e6d31c66fbc2d5492f65ca8c091456379"
[[package]]
name = "objc_exception"
@@ -3149,6 +3980,17 @@ version = "1.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "3fdb12b2476b595f9358c5161aa467c2438859caa136dec86c26fdd2efe17b92"
+[[package]]
+name = "open"
+version = "5.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eedff767bc49d336bff300224f73307ae36963c843e38dc9312a22171b012cbc"
+dependencies = [
+ "is-wsl",
+ "libc",
+ "pathdiff",
+]
+
[[package]]
name = "option-ext"
version = "0.2.0"
@@ -3166,12 +4008,12 @@ dependencies = [
[[package]]
name = "ordered-multimap"
-version = "0.4.3"
+version = "0.7.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ccd746e37177e1711c20dd619a1620f34f5c8b569c53590a72dedd5344d8924a"
+checksum = "a4d6a8c22fc714f0c2373e6091bf6f5e9b37b1bc0b1184874b7e0a4e303d318f"
dependencies = [
"dlv-list",
- "hashbrown 0.12.3",
+ "hashbrown",
]
[[package]]
@@ -3205,7 +4047,16 @@ dependencies = [
"proc-macro-error",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
+]
+
+[[package]]
+name = "owned_ttf_parser"
+version = "0.15.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "05e6affeb1632d6ff6a23d2cd40ffed138e82f1532571a26f527c8a284bb2fbb"
+dependencies = [
+ "ttf-parser 0.15.2",
]
[[package]]
@@ -3214,14 +4065,14 @@ version = "0.20.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "d4586edfe4c648c71797a74c84bacb32b52b212eff5dfe2bb9f2c599844023e7"
dependencies = [
- "ttf-parser",
+ "ttf-parser 0.20.0",
]
[[package]]
name = "palette"
-version = "0.7.3"
+version = "0.7.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b2e2f34147767aa758aa649415b50a69eeb46a67f9dc7db8011eeb3d84b351dc"
+checksum = "ebfc23a4b76642983d57e4ad00bb4504eb30a8ce3c70f4aee1f725610e36d97a"
dependencies = [
"approx",
"fast-srgb8",
@@ -3232,13 +4083,25 @@ dependencies = [
[[package]]
name = "palette_derive"
-version = "0.7.3"
+version = "0.7.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b7db010ec5ff3d4385e4f133916faacd9dad0f6a09394c92d825b3aed310fa0a"
+checksum = "e8890702dbec0bad9116041ae586f84805b13eecd1d8b1df27c29998a9969d6d"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
+]
+
+[[package]]
+name = "pango-sys"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "436737e391a843e5933d6d9aa102cb126d501e815b83601365a948a518555dc5"
+dependencies = [
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps",
]
[[package]]
@@ -3274,7 +4137,7 @@ version = "0.8.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "60a2cfe6f0ad2bfc16aefa463b497d5c7a5ecd44a23efa72aa342d90177356dc"
dependencies = [
- "cfg-if",
+ "cfg-if 1.0.0",
"instant",
"libc",
"redox_syscall 0.2.16",
@@ -3288,7 +4151,7 @@ version = "0.9.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "4c42a9226546d68acdd9c0a280d17ce19bfe27a46bf68784e4066115788d008e"
dependencies = [
- "cfg-if",
+ "cfg-if 1.0.0",
"libc",
"redox_syscall 0.4.1",
"smallvec",
@@ -3301,6 +4164,12 @@ version = "1.0.14"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "de3145af08024dea9fa9914f381a17b8fc6034dfb00f3a84013f7ff43f29ed4c"
+[[package]]
+name = "pathdiff"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8835116a5c179084a830efb3adc117ab007512b535bc1a21c991d3b32a6b44dd"
+
[[package]]
name = "percent-encoding"
version = "2.3.1"
@@ -3337,7 +4206,7 @@ dependencies = [
"phf_shared",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -3355,26 +4224,6 @@ version = "0.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "5be167a7af36ee22fe3115051bc51f6e6c7054c9348e28deb4f49bd6f705a315"
-[[package]]
-name = "pin-project"
-version = "1.1.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fda4ed1c6c173e3fc7a83629421152e01d7b1f9b7f65fb301e490e8cfc656422"
-dependencies = [
- "pin-project-internal",
-]
-
-[[package]]
-name = "pin-project-internal"
-version = "1.1.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4359fd9c9171ec6e8c62926d6faaf553a8dc3f64e1507e76da7911b4f6a04405"
-dependencies = [
- "proc-macro2",
- "quote",
- "syn 2.0.48",
-]
-
[[package]]
name = "pin-project-lite"
version = "0.2.13"
@@ -3400,21 +4249,33 @@ dependencies = [
[[package]]
name = "pkg-config"
-version = "0.3.29"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2900ede94e305130c13ddd391e0ab7cbaeb783945ae07a279c268cb05109c6cb"
+checksum = "d231b230927b5e4ad203db57bbcbee2802f6bce620b1e4a9024a07d94e2907ec"
[[package]]
name = "png"
-version = "0.17.11"
+version = "0.16.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1f6c3c3e617595665b8ea2ff95a86066be38fb121ff920a9c0eb282abcd1da5a"
+checksum = "3c3287920cb847dee3de33d301c463fba14dda99db24214ddf93f83d3021f4c6"
+dependencies = [
+ "bitflags 1.3.2",
+ "crc32fast",
+ "deflate",
+ "miniz_oxide 0.3.7",
+]
+
+[[package]]
+name = "png"
+version = "0.17.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "06e4b0d3d1312775e782c86c91a111aa1f910cbb65e1337f9975b5f9a554b5e1"
dependencies = [
"bitflags 1.3.2",
"crc32fast",
"fdeflate",
"flate2",
- "miniz_oxide",
+ "miniz_oxide 0.7.2",
]
[[package]]
@@ -3425,7 +4286,7 @@ checksum = "4b2d323e8ca7996b3e23126511a523f7e62924d93ecd5ae73b333815b0eb3dce"
dependencies = [
"autocfg",
"bitflags 1.3.2",
- "cfg-if",
+ "cfg-if 1.0.0",
"concurrent-queue",
"libc",
"log",
@@ -3435,11 +4296,11 @@ dependencies = [
[[package]]
name = "polling"
-version = "3.3.2"
+version = "3.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "545c980a3880efd47b2e262f6a4bb6daad6555cf3367aa9c4e52895f69537a41"
+checksum = "30054e72317ab98eddd8561db0f6524df3367636884b7b21b703e4b280a84a14"
dependencies = [
- "cfg-if",
+ "cfg-if 1.0.0",
"concurrent-queue",
"pin-project-lite",
"rustix 0.38.28",
@@ -3447,6 +4308,12 @@ dependencies = [
"windows-sys 0.52.0",
]
+[[package]]
+name = "powerfmt"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "439ee305def115ba05938db6eb1644ff94165c5ab5e9420d1c1bcedbba909391"
+
[[package]]
name = "ppv-lite86"
version = "0.2.17"
@@ -3459,6 +4326,15 @@ version = "0.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "e8cf8e6a8aa66ce33f63993ffc4ea4271eb5b0530a9002db8455ea6050c77bfa"
+[[package]]
+name = "proc-macro-crate"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1d6ea3c4595b96363c13943497db34af4460fb474a95c43f4446ad341b8c9785"
+dependencies = [
+ "toml 0.5.11",
+]
+
[[package]]
name = "proc-macro-crate"
version = "1.3.1"
@@ -3469,6 +4345,15 @@ dependencies = [
"toml_edit 0.19.15",
]
+[[package]]
+name = "proc-macro-crate"
+version = "3.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6d37c51ca738a55da99dc0c4a34860fd675453b8b36209178c2249bb13651284"
+dependencies = [
+ "toml_edit 0.21.1",
+]
+
[[package]]
name = "proc-macro-error"
version = "1.0.4"
@@ -3495,18 +4380,24 @@ dependencies = [
[[package]]
name = "proc-macro2"
-version = "1.0.76"
+version = "1.0.78"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "95fc56cda0b5c3325f5fbbd7ff9fda9e02bb00bb3dac51252d2f1bfa1cb8cc8c"
+checksum = "e2422ad645d89c99f8f3e6b88a9fdeca7fabeac836b1002371c4367c8f984aae"
dependencies = [
"unicode-ident",
]
[[package]]
name = "profiling"
-version = "1.0.13"
+version = "1.0.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d135ede8821cf6376eb7a64148901e1690b788c11ae94dc297ae917dbc91dc0e"
+checksum = "43d84d1d7a6ac92673717f9f6d1518374ef257669c24ebc5ac25d5033828be58"
+
+[[package]]
+name = "pure-rust-locales"
+version = "0.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1190fd18ae6ce9e137184f207593877e70f39b015040156b1e05081cdfe3733a"
[[package]]
name = "qoi"
@@ -3519,9 +4410,9 @@ dependencies = [
[[package]]
name = "quick-xml"
-version = "0.30.0"
+version = "0.31.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "eff6510e86862b57b210fd8cbe8ed3f0d7d600b9c2863cd4549a2e033c66e956"
+checksum = "1004a344b30a54e2ee58d66a71b32d2db2feb0a31f9a2d302bf0536f15de2a33"
dependencies = [
"memchr",
]
@@ -3573,9 +4464,28 @@ checksum = "9c8a99fddc9f0ba0a85884b8d14e3592853e787d581ca1816c91349b10e4eeab"
[[package]]
name = "rangemap"
-version = "1.4.0"
+version = "1.5.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "977b1e897f9d764566891689e642653e5ed90c6895106acd005eb4c1d0203991"
+checksum = "f60fcc7d6849342eff22c4350c8b9a989ee8ceabc4b481253e8946b9fe83d684"
+
+[[package]]
+name = "raw-window-handle"
+version = "0.3.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e28f55143d0548dad60bb4fbdc835a3d7ac6acc3324506450c5fdd6e42903a76"
+dependencies = [
+ "libc",
+ "raw-window-handle 0.4.3",
+]
+
+[[package]]
+name = "raw-window-handle"
+version = "0.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b800beb9b6e7d2df1fe337c9e3d04e3af22a124460fb4c30fcc22c9117cefb41"
+dependencies = [
+ "cty",
+]
[[package]]
name = "raw-window-handle"
@@ -3583,6 +4493,12 @@ version = "0.5.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "f2ff9a1f06a88b01621b7ae906ef0211290d1c8a168a15542486a8f61c0833b9"
+[[package]]
+name = "raw-window-handle"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "42a9830a0e1b9fb145ebb365b8bc4ccd75f290f98c0247deafbbe2c75cefb544"
+
[[package]]
name = "rayon"
version = "1.8.1"
@@ -3609,6 +4525,15 @@ version = "0.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "3b42e27ef78c35d3998403c1d26f3efd9e135d3e5121b0a4845cc5cc27547f4f"
+[[package]]
+name = "read-fonts"
+version = "0.15.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "17ea23eedb4d938031b6d4343222444608727a6aa68ec355e13588d9947ffe92"
+dependencies = [
+ "font-types",
+]
+
[[package]]
name = "redox_syscall"
version = "0.2.16"
@@ -3649,9 +4574,9 @@ dependencies = [
[[package]]
name = "regex"
-version = "1.10.2"
+version = "1.10.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "380b951a9c5e80ddfd6136919eef32310721aa4aacd4889a8d39124b026ab343"
+checksum = "b62dbe01f0b06f9d8dc7d49e05a0785f153b00b2c227856282f671e0318c9b15"
dependencies = [
"aho-corasick",
"memchr",
@@ -3661,9 +4586,9 @@ dependencies = [
[[package]]
name = "regex-automata"
-version = "0.4.3"
+version = "0.4.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5f804c7828047e88b2d32e2d7fe5a105da8ee3264f01902f796c8e067dc2483f"
+checksum = "5bb987efffd3c6d0d8f5f89510bb458559eab11e4f869acb20bf845e016259cd"
dependencies = [
"aho-corasick",
"memchr",
@@ -3688,17 +4613,41 @@ version = "0.37.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "cadccb3d99a9efb8e5e00c16fbb732cbe400db2ec7fc004697ee7d97d86cf1f4"
dependencies = [
- "gif",
- "jpeg-decoder",
+ "gif 0.12.0",
+ "jpeg-decoder 0.3.1",
"log",
"pico-args",
- "png",
+ "png 0.17.13",
"rgb",
"svgtypes",
- "tiny-skia 0.11.3",
+ "tiny-skia",
"usvg",
]
+[[package]]
+name = "rfd"
+version = "0.13.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c0d8ab342bcc5436e04d3a4c1e09e17d74958bfaddf8d5fad6f85607df0f994f"
+dependencies = [
+ "ashpd",
+ "block",
+ "dispatch",
+ "glib-sys",
+ "gobject-sys",
+ "gtk-sys",
+ "js-sys",
+ "log",
+ "objc",
+ "objc-foundation",
+ "objc_id",
+ "raw-window-handle 0.5.2",
+ "wasm-bindgen",
+ "wasm-bindgen-futures",
+ "web-sys",
+ "windows-sys 0.48.0",
+]
+
[[package]]
name = "rgb"
version = "0.8.37"
@@ -3720,15 +4669,6 @@ dependencies = [
"serde_derive",
]
-[[package]]
-name = "roxmltree"
-version = "0.18.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "862340e351ce1b271a378ec53f304a5558f7db87f3769dc655a8f6ecbb68b302"
-dependencies = [
- "xmlparser",
-]
-
[[package]]
name = "roxmltree"
version = "0.19.0"
@@ -3737,9 +4677,9 @@ checksum = "3cd14fd5e3b777a7422cca79358c57a8f6e3a703d9ac187448d0daf220c2407f"
[[package]]
name = "rust-embed"
-version = "6.8.1"
+version = "8.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a36224c3276f8c4ebc8c20f158eca7ca4359c8db89991c4925132aaaf6702661"
+checksum = "fb78f46d0066053d16d4ca7b898e9343bc3530f71c61d5ad84cd404ada068745"
dependencies = [
"rust-embed-impl",
"rust-embed-utils",
@@ -3748,22 +4688,22 @@ dependencies = [
[[package]]
name = "rust-embed-impl"
-version = "6.8.1"
+version = "8.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "49b94b81e5b2c284684141a2fb9e2a31be90638caf040bf9afbc5a0416afe1ac"
+checksum = "b91ac2a3c6c0520a3fb3dd89321177c3c692937c4eb21893378219da10c44fc8"
dependencies = [
"proc-macro2",
"quote",
"rust-embed-utils",
- "syn 2.0.48",
+ "syn 2.0.51",
"walkdir",
]
[[package]]
name = "rust-embed-utils"
-version = "7.8.1"
+version = "8.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9d38ff6bf570dc3bb7100fce9f7b60c33fa71d80e88da3f2580df4ff2bdded74"
+checksum = "86f69089032567ffff4eada41c573fc43ff466c7db7c5688b2e7969584345581"
dependencies = [
"sha2",
"walkdir",
@@ -3771,11 +4711,11 @@ dependencies = [
[[package]]
name = "rust-ini"
-version = "0.18.0"
+version = "0.20.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f6d5f2436026b4f6e79dc829837d467cc7e9a55ee40e750d716713540715a2df"
+checksum = "3e0698206bcb8882bf2a9ecb4c1e7785db57ff052297085a6efd4fe42302068a"
dependencies = [
- "cfg-if",
+ "cfg-if 1.0.0",
"ordered-multimap",
]
@@ -3830,6 +4770,22 @@ dependencies = [
"rustix 0.38.28",
]
+[[package]]
+name = "rusttype"
+version = "0.9.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3ff8374aa04134254b7995b63ad3dc41c7f7236f69528b28553da7d72efaa967"
+dependencies = [
+ "ab_glyph_rasterizer",
+ "owned_ttf_parser 0.15.2",
+]
+
+[[package]]
+name = "rustversion"
+version = "1.0.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7ffc183a10b4478d04cbbbfc96d0873219d962dd5accaff2ffbd4ceb7df837f4"
+
[[package]]
name = "rustybuzz"
version = "0.12.1"
@@ -3840,13 +4796,19 @@ dependencies = [
"bytemuck",
"libm",
"smallvec",
- "ttf-parser",
+ "ttf-parser 0.20.0",
"unicode-bidi-mirroring",
"unicode-ccc",
"unicode-properties",
"unicode-script",
]
+[[package]]
+name = "ryu"
+version = "1.0.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e86697c916019a8588c99b5fac3cead74ec0b4b819707a682fd4d23fa0ce1ba1"
+
[[package]]
name = "same-file"
version = "1.0.6"
@@ -3862,6 +4824,12 @@ version = "1.0.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "e1cf6437eb19a8f4a6cc0f7dca544973b0b78843adbfeb3683d1a94a0024a294"
+[[package]]
+name = "scoped_threadpool"
+version = "0.1.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1d51f5df5af43ab3f1360b429fa5e0152ac5ce8c0bd6485cae490332e96846a8"
+
[[package]]
name = "scopeguard"
version = "1.2.0"
@@ -3870,14 +4838,15 @@ checksum = "94143f37725109f92c262ed2cf5e59bce7498c01bcc1502d7b9afe439a4e9f49"
[[package]]
name = "sctk-adwaita"
-version = "0.5.4"
-source = "git+https://github.com/pop-os/sctk-adwaita?branch=wayland-resize#da85380dfb8f0c13aed51c5bddaad0ba3654cb1f"
+version = "0.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "82b2eaf3a5b264a521b988b2e73042e742df700c4f962cde845d1541adb46550"
dependencies = [
"ab_glyph",
"log",
- "memmap2 0.5.10",
- "smithay-client-toolkit 0.16.1",
- "tiny-skia 0.8.4",
+ "memmap2 0.9.4",
+ "smithay-client-toolkit 0.18.1",
+ "tiny-skia",
]
[[package]]
@@ -3897,22 +4866,22 @@ checksum = "58bf37232d3bb9a2c4e641ca2a11d83b5062066f88df7fed36c28772046d65ba"
[[package]]
name = "serde"
-version = "1.0.195"
+version = "1.0.197"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "63261df402c67811e9ac6def069e4786148c4563f4b50fd4bf30aa370d626b02"
+checksum = "3fb1c873e1b9b056a4dc4c0c198b24c3ffa059243875552b2bd0933b1aee4ce2"
dependencies = [
"serde_derive",
]
[[package]]
name = "serde_derive"
-version = "1.0.195"
+version = "1.0.197"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "46fe8f8603d81ba86327b23a2e9cdf49e1255fb94a4c5f297f6ee0547178ea2c"
+checksum = "7eb0b34b42edc17f6b7cac84a52a1c5f0e1bb2227e997ca9011ea3dd34e8610b"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -3923,7 +4892,7 @@ checksum = "0b2e6b945e9d3df726b65d6ee24060aff8e3533d431f677a9695db04eff9dfdb"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -3941,7 +4910,7 @@ version = "0.10.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "e3bf829a2d51ab4a5ddf1352d8470c140cadc8301b2ae1789db023f01cedd6ba"
dependencies = [
- "cfg-if",
+ "cfg-if 1.0.0",
"cpufeatures",
"digest",
]
@@ -3952,11 +4921,17 @@ version = "0.10.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "793db75ad2bcafc3ffa7c68b215fee268f537982cd901d132f89c6343f3a3dc8"
dependencies = [
- "cfg-if",
+ "cfg-if 1.0.0",
"cpufeatures",
"digest",
]
+[[package]]
+name = "shlex"
+version = "1.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0fda2ff0d084019ba4d7c6f371c95d8fd75ce3524c3cb8fb653a3023f6323e64"
+
[[package]]
name = "signal-hook"
version = "0.3.17"
@@ -4023,27 +4998,28 @@ checksum = "e6ecd384b10a64542d77071bd64bd7b231f4ed5940fba55e98c3de13824cf3d7"
[[package]]
name = "smithay-client-toolkit"
-version = "0.16.1"
-source = "git+https://github.com/pop-os/client-toolkit?branch=wayland-resize#515820fc86cf8cb3ac8d087dc6c87852767627ca"
+version = "0.12.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4750c76fd5d3ac95fa3ed80fe667d6a3d8590a960e5b575b98eea93339a80b80"
dependencies = [
+ "andrew",
"bitflags 1.3.2",
- "calloop 0.10.6",
- "dlib",
+ "calloop 0.6.5",
+ "dlib 0.4.2",
"lazy_static",
"log",
- "memmap2 0.5.10",
- "nix 0.24.3",
- "pkg-config",
- "wayland-client 0.29.5",
- "wayland-cursor 0.29.5",
- "wayland-protocols 0.29.5",
+ "memmap2 0.1.0",
+ "nix 0.18.0",
+ "wayland-client 0.28.6",
+ "wayland-cursor 0.28.6",
+ "wayland-protocols 0.28.6",
]
[[package]]
name = "smithay-client-toolkit"
-version = "0.18.0"
+version = "0.18.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "60e3d9941fa3bacf7c2bf4b065304faa14164151254cd16ce1b1bc8fc381600f"
+checksum = "922fd3eeab3bd820d76537ce8f582b1cf951eceb5475c28500c7457d9d17f53a"
dependencies = [
"bitflags 2.4.2",
"calloop 0.12.4",
@@ -4051,30 +5027,39 @@ dependencies = [
"cursor-icon",
"libc",
"log",
- "memmap2 0.9.3",
+ "memmap2 0.9.4",
"rustix 0.38.28",
"thiserror",
"wayland-backend",
- "wayland-client 0.31.1",
+ "wayland-client 0.31.2",
"wayland-csd-frame",
- "wayland-cursor 0.31.0",
- "wayland-protocols 0.31.0",
+ "wayland-cursor 0.31.1",
+ "wayland-protocols 0.31.2",
"wayland-protocols-wlr",
- "wayland-scanner 0.31.0",
+ "wayland-scanner 0.31.1",
"xkeysym",
]
[[package]]
name = "smithay-clipboard"
-version = "0.7.0"
+version = "0.7.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0bb62b280ce5a5cba847669933a0948d00904cf83845c944eae96a4738cea1a6"
+checksum = "c091e7354ea8059d6ad99eace06dd13ddeedbb0ac72d40a9a6e7ff790525882d"
dependencies = [
"libc",
- "smithay-client-toolkit 0.18.0",
+ "smithay-client-toolkit 0.18.1",
"wayland-backend",
]
+[[package]]
+name = "smol_str"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e6845563ada680337a52d43bb0b29f396f2d911616f6573012645b9e3d048a49"
+dependencies = [
+ "serde",
+]
+
[[package]]
name = "socket2"
version = "0.4.10"
@@ -4087,42 +5072,42 @@ dependencies = [
[[package]]
name = "socket2"
-version = "0.5.5"
+version = "0.5.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7b5fac59a5cb5dd637972e5fca70daf0523c9067fcdc4842f053dae04a18f8e9"
+checksum = "05ffd9c0a93b7543e062e759284fcf5f5e3b098501104bfbdde4d404db792871"
dependencies = [
"libc",
- "windows-sys 0.48.0",
+ "windows-sys 0.52.0",
]
[[package]]
name = "softbuffer"
-version = "0.3.3"
-source = "git+https://github.com/pop-os/softbuffer?tag=v0.3-cosmic#6f0371ccece51d124c6c5d37082189df0dc5f9ba"
+version = "0.4.1"
+source = "git+https://github.com/pop-os/softbuffer?tag=cosmic-4.0#6e75b1ad7e98397d37cb187886d05969bc480995"
dependencies = [
"as-raw-xcb-connection",
"bytemuck",
- "cfg_aliases",
- "cocoa",
+ "cfg_aliases 0.2.0",
+ "cocoa 0.25.0",
"core-graphics 0.23.1",
"drm",
"fastrand 2.0.1",
"foreign-types 0.5.0",
"js-sys",
"log",
- "memmap2 0.9.3",
+ "memmap2 0.9.4",
"objc",
- "raw-window-handle",
+ "raw-window-handle 0.6.0",
"redox_syscall 0.4.1",
"rustix 0.38.28",
"tiny-xlib",
"wasm-bindgen",
"wayland-backend",
- "wayland-client 0.31.1",
+ "wayland-client 0.31.2",
"wayland-sys 0.31.1",
"web-sys",
- "windows-sys 0.48.0",
- "x11rb 0.12.0",
+ "windows-sys 0.52.0",
+ "x11rb",
]
[[package]]
@@ -4136,12 +5121,11 @@ dependencies = [
[[package]]
name = "spirv"
-version = "0.2.0+1.5.4"
+version = "0.3.0+sdk-1.3.268.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "246bfa38fe3db3f1dfc8ca5a2cdeb7348c78be2112740cc0ec8ef18b6d94f830"
+checksum = "eda41003dc44290527a59b13432d4a0379379fa074b70174882adfbdfd917844"
dependencies = [
- "bitflags 1.3.2",
- "num-traits",
+ "bitflags 2.4.2",
]
[[package]]
@@ -4150,12 +5134,6 @@ version = "1.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "a2eb9349b6444b326872e140eb1cf5e7c522154d69e7a0ffb0fb81c06b37543f"
-[[package]]
-name = "str-buf"
-version = "1.0.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9e08d8363704e6c71fc928674353e6b7c23dcea9d82d7012c8faf2a3a025f8d0"
-
[[package]]
name = "strict-num"
version = "0.1.1"
@@ -4165,6 +5143,12 @@ dependencies = [
"float-cmp",
]
+[[package]]
+name = "strsim"
+version = "0.9.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6446ced80d6c486436db5c078dde11a9f73d42b57fb273121e160b84f63d894c"
+
[[package]]
name = "strsim"
version = "0.10.0"
@@ -4189,10 +5173,11 @@ dependencies = [
[[package]]
name = "swash"
-version = "0.1.8"
+version = "0.1.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3b7c73c813353c347272919aa1af2885068b05e625e5532b43049e4f641ae77f"
+checksum = "d06ff4664af8923625604261c645f5c4cc610cc83c84bec74b50d76237089de7"
dependencies = [
+ "read-fonts",
"yazi",
"zeno",
]
@@ -4210,9 +5195,9 @@ dependencies = [
[[package]]
name = "syn"
-version = "2.0.48"
+version = "2.0.51"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0f3531638e407dfc0814761abb7c00a5b54992b849452a0646b7f65c9f770f3f"
+checksum = "6ab617d94515e94ae53b8406c628598680aa0c9587474ecbe58188f7b345d66c"
dependencies = [
"proc-macro2",
"quote",
@@ -4228,24 +5213,58 @@ dependencies = [
"libc",
]
+[[package]]
+name = "system-deps"
+version = "6.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2a2d580ff6a20c55dfb86be5f9c238f67835d0e81cbdea8bf5680e0897320331"
+dependencies = [
+ "cfg-expr",
+ "heck",
+ "pkg-config",
+ "toml 0.8.10",
+ "version-compare",
+]
+
+[[package]]
+name = "systemicons"
+version = "0.7.0"
+source = "git+https://github.com/jackpot51/systemicons#501887629ebf3f9b9d3384383da62d352af3fbd7"
+dependencies = [
+ "cocoa 0.24.1",
+ "freedesktop-icons",
+ "image 0.23.14",
+ "lazy_static",
+ "objc",
+ "winapi",
+ "winit 0.25.0",
+ "xdg-mime",
+]
+
[[package]]
name = "taffy"
version = "0.3.11"
source = "git+https://github.com/DioxusLabs/taffy?rev=7781c70#7781c70241f7f572130c13106f2a869a9cf80885"
dependencies = [
- "arrayvec",
+ "arrayvec 0.7.4",
"grid",
"num-traits",
"slotmap",
]
+[[package]]
+name = "target-lexicon"
+version = "0.12.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e1fc403891a21bcfb7c37834ba66a547a8f402146eba7265b5a6d88059c9ff2f"
+
[[package]]
name = "tempfile"
version = "3.9.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "01ce4141aa927a6d1bd34a041795abd0db1cccba5d5f24b009f694bdf3a1f3fa"
dependencies = [
- "cfg-if",
+ "cfg-if 1.0.0",
"fastrand 2.0.1",
"redox_syscall 0.4.1",
"rustix 0.38.28",
@@ -4263,22 +5282,33 @@ dependencies = [
[[package]]
name = "thiserror"
-version = "1.0.56"
+version = "1.0.57"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d54378c645627613241d077a3a79db965db602882668f9136ac42af9ecb730ad"
+checksum = "1e45bcbe8ed29775f228095caf2cd67af7a4ccf756ebff23a306bf3e8b47b24b"
dependencies = [
"thiserror-impl",
]
[[package]]
name = "thiserror-impl"
-version = "1.0.56"
+version = "1.0.57"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fa0faa943b50f3db30a20aa7e265dbc66076993efed8463e8de414e5d06d3471"
+checksum = "a953cb265bef375dae3de6663da4d3804eee9682ea80d8e2542529b73c531c81"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
+]
+
+[[package]]
+name = "tiff"
+version = "0.6.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9a53f4706d65497df0c4349241deddf35f84cee19c87ed86ea8ca590f4464437"
+dependencies = [
+ "jpeg-decoder 0.1.22",
+ "miniz_oxide 0.4.4",
+ "weezl",
]
[[package]]
@@ -4288,55 +5318,72 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "ba1310fcea54c6a9a4fd1aad794ecc02c31682f6bfbecdf460bf19533eed1e3e"
dependencies = [
"flate2",
- "jpeg-decoder",
+ "jpeg-decoder 0.3.1",
"weezl",
]
[[package]]
-name = "tiny-skia"
-version = "0.8.4"
+name = "time"
+version = "0.3.34"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "df8493a203431061e901613751931f047d1971337153f96d0e5e363d6dbf6a67"
+checksum = "c8248b6521bb14bc45b4067159b9b6ad792e2d6d754d6c41fb50e29fefe38749"
dependencies = [
- "arrayref",
- "arrayvec",
- "bytemuck",
- "cfg-if",
- "png",
- "tiny-skia-path 0.8.4",
+ "deranged",
+ "itoa",
+ "libc",
+ "num-conv",
+ "num_threads",
+ "powerfmt",
+ "serde",
+ "time-core",
+ "time-macros",
+]
+
+[[package]]
+name = "time-core"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ef927ca75afb808a4d64dd374f00a2adf8d0fcff8e7b184af886c3c87ec4a3f3"
+
+[[package]]
+name = "time-macros"
+version = "0.2.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7ba3a3ef41e6672a2f0f001392bb5dcd3ff0a9992d618ca761a11c3121547774"
+dependencies = [
+ "num-conv",
+ "time-core",
+]
+
+[[package]]
+name = "tiny-keccak"
+version = "2.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2c9d3793400a45f954c52e73d068316d76b6f4e36977e3fcebb13a2721e80237"
+dependencies = [
+ "crunchy",
]
[[package]]
name = "tiny-skia"
-version = "0.11.3"
+version = "0.11.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b6a067b809476893fce6a254cf285850ff69c847e6cfbade6a20b655b6c7e80d"
+checksum = "83d13394d44dae3207b52a326c0c85a8bf87f1541f23b0d143811088497b09ab"
dependencies = [
"arrayref",
- "arrayvec",
+ "arrayvec 0.7.4",
"bytemuck",
- "cfg-if",
+ "cfg-if 1.0.0",
"log",
- "png",
- "tiny-skia-path 0.11.3",
+ "png 0.17.13",
+ "tiny-skia-path",
]
[[package]]
name = "tiny-skia-path"
-version = "0.8.4"
+version = "0.11.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "adbfb5d3f3dd57a0e11d12f4f13d4ebbbc1b5c15b7ab0a156d030b21da5f677c"
-dependencies = [
- "arrayref",
- "bytemuck",
- "strict-num",
-]
-
-[[package]]
-name = "tiny-skia-path"
-version = "0.11.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5de35e8a90052baaaf61f171680ac2f8e925a1e43ea9d2e3a00514772250e541"
+checksum = "9c9e7fc0c2e86a30b117d0462aa261b72b7a99b7ebd7deb3a14ceda95c5bdc93"
dependencies = [
"arrayref",
"bytemuck",
@@ -4381,18 +5428,18 @@ checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20"
[[package]]
name = "tokio"
-version = "1.35.1"
+version = "1.36.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c89b4efa943be685f629b149f53829423f8f5531ea21249408e8e2f8671ec104"
+checksum = "61285f6515fa018fb2d1e46eb21223fff441ee8db5d0f1435e8ab4f5cdb80931"
dependencies = [
"backtrace",
"bytes",
"libc",
- "mio",
+ "mio 0.8.10",
"num_cpus",
"pin-project-lite",
"signal-hook-registry",
- "socket2 0.5.5",
+ "socket2 0.5.6",
"tracing",
"windows-sys 0.48.0",
]
@@ -4408,14 +5455,14 @@ dependencies = [
[[package]]
name = "toml"
-version = "0.8.8"
+version = "0.8.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a1a195ec8c9da26928f773888e0742ca3ca1040c6cd859c919c9f59c1954ab35"
+checksum = "9a9aad4a3066010876e8dcf5a8a06e70a558751117a145c6ce2b82c2e2054290"
dependencies = [
"serde",
"serde_spanned",
"toml_datetime",
- "toml_edit 0.21.0",
+ "toml_edit 0.22.6",
]
[[package]]
@@ -4435,20 +5482,31 @@ checksum = "1b5bb770da30e5cbfde35a2d7b9b8a2c4b8ef89548a7a6aeab5c9a576e3e7421"
dependencies = [
"indexmap",
"toml_datetime",
- "winnow",
+ "winnow 0.5.40",
]
[[package]]
name = "toml_edit"
-version = "0.21.0"
+version = "0.21.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d34d383cd00a163b4a5b85053df514d45bc330f6de7737edfe0a93311d1eaa03"
+checksum = "6a8534fd7f78b5405e860340ad6575217ce99f38d4d5c8f2442cb5ecb50090e1"
+dependencies = [
+ "indexmap",
+ "toml_datetime",
+ "winnow 0.5.40",
+]
+
+[[package]]
+name = "toml_edit"
+version = "0.22.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2c1b5fd4128cc8d3e0cb74d4ed9a9cc7c7284becd4df68f5f940e1ad123606f6"
dependencies = [
"indexmap",
"serde",
"serde_spanned",
"toml_datetime",
- "winnow",
+ "winnow 0.6.2",
]
[[package]]
@@ -4470,7 +5528,7 @@ checksum = "34704c8d6ebcbc939824180af020566b01a7c01f80641264eba0999f6c2b6be7"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -4482,6 +5540,28 @@ dependencies = [
"once_cell",
]
+[[package]]
+name = "trash"
+version = "3.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c658458d46d9d5a153a3b5cdd88d8579ad50d4fb85d53961e4526c8fc7c55a57"
+dependencies = [
+ "chrono",
+ "libc",
+ "log",
+ "objc",
+ "once_cell",
+ "scopeguard",
+ "url",
+ "windows 0.44.0",
+]
+
+[[package]]
+name = "ttf-parser"
+version = "0.15.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7b3e06c9b9d80ed6b745c7159c40b311ad2916abb34a49e9be2653b90db0d8dd"
+
[[package]]
name = "ttf-parser"
version = "0.20.0"
@@ -4533,6 +5613,15 @@ dependencies = [
"tinystr",
]
+[[package]]
+name = "unicase"
+version = "2.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f7d2d4dafb69621809a81864c9c1b864479e1235c0dd4e199924b9742439ed89"
+dependencies = [
+ "version_check",
+]
+
[[package]]
name = "unicode-bidi"
version = "0.3.15"
@@ -4565,9 +5654,9 @@ checksum = "3b09c83c3c29d37506a3e260c08c03743a6bb66a9cd432c6934ab501a190571f"
[[package]]
name = "unicode-normalization"
-version = "0.1.22"
+version = "0.1.23"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5c5713f0fc4b5db668a2ac63cdb7bb4469d8c9fed047b1d0292cc7b0ce2ba921"
+checksum = "a56d1686db2308d901306f92a263857ef59ea39678a5458e7cb17f01415101f5"
dependencies = [
"tinyvec",
]
@@ -4580,15 +5669,15 @@ checksum = "e4259d9d4425d9f0661581b804cb85fe66a4c631cadd8f490d1c13a35d5d9291"
[[package]]
name = "unicode-script"
-version = "0.5.5"
+version = "0.5.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7d817255e1bed6dfd4ca47258685d14d2bdcfbc64fdc9e3819bd5848057b8ecc"
+checksum = "ad8d71f5726e5f285a935e9fe8edfd53f0491eb6e9a5774097fdabee7cd8c9cd"
[[package]]
name = "unicode-segmentation"
-version = "1.10.1"
+version = "1.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1dd624098567895118886609431a7c3b8f516e41d30e0643f03d94592a147e36"
+checksum = "d4c87d22b6e3f4a18d4d40ef354e97c90fcb14dd91d7dc0aa9d8a1172ebf7202"
[[package]]
name = "unicode-vo"
@@ -4646,7 +5735,7 @@ dependencies = [
"imagesize",
"kurbo",
"log",
- "roxmltree 0.19.0",
+ "roxmltree",
"simplecss",
"siphasher",
"svgtypes",
@@ -4678,7 +5767,7 @@ dependencies = [
"rctree",
"strict-num",
"svgtypes",
- "tiny-skia-path 0.11.3",
+ "tiny-skia-path",
]
[[package]]
@@ -4688,10 +5777,22 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "711b9620af191e0cdc7468a8d14e709c3dcdb115b36f838e601583af800a370a"
[[package]]
-name = "vec_map"
-version = "0.8.2"
+name = "vergen"
+version = "8.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f1bddf1187be692e79c5ffeab891132dfb0f236ed36a43c7ed39f1165ee20191"
+checksum = "e27d6bdd219887a9eadd19e1c34f32e47fa332301184935c6d9bca26f3cca525"
+dependencies = [
+ "anyhow",
+ "cfg-if 1.0.0",
+ "rustversion",
+ "time",
+]
+
+[[package]]
+name = "version-compare"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "579a42fc0b8e0c63b76519a339be31bed574929511fa53c1a3acae26eb258f29"
[[package]]
name = "version_check"
@@ -4747,36 +5848,36 @@ checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
[[package]]
name = "wasm-bindgen"
-version = "0.2.90"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b1223296a201415c7fad14792dbefaace9bd52b62d33453ade1c5b5f07555406"
+checksum = "c1e124130aee3fb58c5bdd6b639a0509486b0338acaaae0c84a5124b0f588b7f"
dependencies = [
- "cfg-if",
+ "cfg-if 1.0.0",
"wasm-bindgen-macro",
]
[[package]]
name = "wasm-bindgen-backend"
-version = "0.2.90"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fcdc935b63408d58a32f8cc9738a0bffd8f05cc7c002086c6ef20b7312ad9dcd"
+checksum = "c9e7e1900c352b609c8488ad12639a311045f40a35491fb69ba8c12f758af70b"
dependencies = [
"bumpalo",
"log",
"once_cell",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-futures"
-version = "0.4.40"
+version = "0.4.41"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bde2032aeb86bdfaecc8b261eef3cba735cc426c1f3a3416d1e0791be95fc461"
+checksum = "877b9c3f61ceea0e56331985743b13f3d25c406a7098d45180fb5f09bc19ed97"
dependencies = [
- "cfg-if",
+ "cfg-if 1.0.0",
"js-sys",
"wasm-bindgen",
"web-sys",
@@ -4784,9 +5885,9 @@ dependencies = [
[[package]]
name = "wasm-bindgen-macro"
-version = "0.2.90"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3e4c238561b2d428924c49815533a8b9121c664599558a5d9ec51f8a1740a999"
+checksum = "b30af9e2d358182b5c7449424f017eba305ed32a7010509ede96cdc4696c46ed"
dependencies = [
"quote",
"wasm-bindgen-macro-support",
@@ -4794,22 +5895,22 @@ dependencies = [
[[package]]
name = "wasm-bindgen-macro-support"
-version = "0.2.90"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bae1abb6806dc1ad9e560ed242107c0f6c84335f1749dd4e8ddb012ebd5e25a7"
+checksum = "642f325be6301eb8107a83d12a8ac6c1e1c54345a7ef1a9261962dfefda09e66"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
"wasm-bindgen-backend",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-shared"
-version = "0.2.90"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4d91413b1c31d7539ba5ef2451af3f0b833a005eb27a631cec32bc0635a8602b"
+checksum = "4f186bd2dcf04330886ce82d6f33dd75a7bfcf69ecf5763b89fcde53b6ac9838"
[[package]]
name = "wasm-timer"
@@ -4828,13 +5929,13 @@ dependencies = [
[[package]]
name = "wayland-backend"
-version = "0.3.2"
+version = "0.3.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "19152ddd73f45f024ed4534d9ca2594e0ef252c1847695255dae47f34df9fbe4"
+checksum = "9d50fa61ce90d76474c87f5fc002828d81b32677340112b4ef08079a9d459a40"
dependencies = [
"cc",
"downcast-rs",
- "nix 0.26.4",
+ "rustix 0.38.28",
"scoped-tls",
"smallvec",
"wayland-sys 0.31.1",
@@ -4842,42 +5943,42 @@ dependencies = [
[[package]]
name = "wayland-client"
-version = "0.29.5"
+version = "0.28.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3f3b068c05a039c9f755f881dc50f01732214f5685e379829759088967c46715"
+checksum = "e3ab332350e502f159382201394a78e3cc12d0f04db863429260164ea40e0355"
dependencies = [
"bitflags 1.3.2",
"downcast-rs",
"libc",
- "nix 0.24.3",
+ "nix 0.20.0",
"scoped-tls",
"wayland-commons",
- "wayland-scanner 0.29.5",
- "wayland-sys 0.29.5",
+ "wayland-scanner 0.28.6",
+ "wayland-sys 0.28.6",
]
[[package]]
name = "wayland-client"
-version = "0.31.1"
+version = "0.31.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ca7d52347346f5473bf2f56705f360e8440873052e575e55890c4fa57843ed3"
+checksum = "82fb96ee935c2cea6668ccb470fb7771f6215d1691746c2d896b447a00ad3f1f"
dependencies = [
"bitflags 2.4.2",
- "nix 0.26.4",
+ "rustix 0.38.28",
"wayland-backend",
- "wayland-scanner 0.31.0",
+ "wayland-scanner 0.31.1",
]
[[package]]
name = "wayland-commons"
-version = "0.29.5"
+version = "0.28.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8691f134d584a33a6606d9d717b95c4fa20065605f798a3f350d78dced02a902"
+checksum = "a21817947c7011bbd0a27e11b17b337bfd022e8544b071a2641232047966fbda"
dependencies = [
- "nix 0.24.3",
+ "nix 0.20.0",
"once_cell",
"smallvec",
- "wayland-sys 0.29.5",
+ "wayland-sys 0.28.6",
]
[[package]]
@@ -4893,48 +5994,61 @@ dependencies = [
[[package]]
name = "wayland-cursor"
-version = "0.29.5"
+version = "0.28.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6865c6b66f13d6257bef1cd40cbfe8ef2f150fb8ebbdb1e8e873455931377661"
+checksum = "be610084edd1586d45e7bdd275fe345c7c1873598caa464c4fb835dee70fa65a"
dependencies = [
- "nix 0.24.3",
- "wayland-client 0.29.5",
+ "nix 0.20.0",
+ "wayland-client 0.28.6",
"xcursor",
]
[[package]]
name = "wayland-cursor"
-version = "0.31.0"
+version = "0.31.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a44aa20ae986659d6c77d64d808a046996a932aa763913864dc40c359ef7ad5b"
+checksum = "71ce5fa868dd13d11a0d04c5e2e65726d0897be8de247c0c5a65886e283231ba"
dependencies = [
- "nix 0.26.4",
- "wayland-client 0.31.1",
+ "rustix 0.38.28",
+ "wayland-client 0.31.2",
"xcursor",
]
[[package]]
name = "wayland-protocols"
-version = "0.29.5"
+version = "0.28.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b950621f9354b322ee817a23474e479b34be96c2e909c14f7bc0100e9a970bc6"
+checksum = "286620ea4d803bacf61fa087a4242ee316693099ee5a140796aaba02b29f861f"
dependencies = [
"bitflags 1.3.2",
- "wayland-client 0.29.5",
+ "wayland-client 0.28.6",
"wayland-commons",
- "wayland-scanner 0.29.5",
+ "wayland-scanner 0.28.6",
]
[[package]]
name = "wayland-protocols"
-version = "0.31.0"
+version = "0.31.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e253d7107ba913923dc253967f35e8561a3c65f914543e46843c88ddd729e21c"
+checksum = "8f81f365b8b4a97f422ac0e8737c438024b5951734506b0e1d775c73030561f4"
dependencies = [
"bitflags 2.4.2",
"wayland-backend",
- "wayland-client 0.31.1",
- "wayland-scanner 0.31.0",
+ "wayland-client 0.31.2",
+ "wayland-scanner 0.31.1",
+]
+
+[[package]]
+name = "wayland-protocols-plasma"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "23803551115ff9ea9bce586860c5c5a971e360825a0309264102a9495a5ff479"
+dependencies = [
+ "bitflags 2.4.2",
+ "wayland-backend",
+ "wayland-client 0.31.2",
+ "wayland-protocols 0.31.2",
+ "wayland-scanner 0.31.1",
]
[[package]]
@@ -4945,16 +6059,16 @@ checksum = "ad1f61b76b6c2d8742e10f9ba5c3737f6530b4c243132c2a2ccc8aa96fe25cd6"
dependencies = [
"bitflags 2.4.2",
"wayland-backend",
- "wayland-client 0.31.1",
- "wayland-protocols 0.31.0",
- "wayland-scanner 0.31.0",
+ "wayland-client 0.31.2",
+ "wayland-protocols 0.31.2",
+ "wayland-scanner 0.31.1",
]
[[package]]
name = "wayland-scanner"
-version = "0.29.5"
+version = "0.28.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8f4303d8fa22ab852f789e75a967f0a2cdc430a607751c0499bada3e451cbd53"
+checksum = "ce923eb2deb61de332d1f356ec7b6bf37094dc5573952e1c8936db03b54c03f1"
dependencies = [
"proc-macro2",
"quote",
@@ -4963,9 +6077,9 @@ dependencies = [
[[package]]
name = "wayland-scanner"
-version = "0.31.0"
+version = "0.31.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fb8e28403665c9f9513202b7e1ed71ec56fde5c107816843fb14057910b2c09c"
+checksum = "63b3a62929287001986fb58c789dce9b67604a397c15c611ad9f747300b6c283"
dependencies = [
"proc-macro2",
"quick-xml",
@@ -4974,11 +6088,11 @@ dependencies = [
[[package]]
name = "wayland-sys"
-version = "0.29.5"
+version = "0.28.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "be12ce1a3c39ec7dba25594b97b42cb3195d54953ddb9d3d95a7c3902bc6e9d4"
+checksum = "d841fca9aed7febf9bed2e9796c49bf58d4152ceda8ac949ebe00868d8f0feb8"
dependencies = [
- "dlib",
+ "dlib 0.5.2",
"lazy_static",
"pkg-config",
]
@@ -4989,7 +6103,7 @@ version = "0.31.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "15a0c8eaff5216d07f226cb7a549159267f3467b289d9a2e52fd3ef5aae2b7af"
dependencies = [
- "dlib",
+ "dlib 0.5.2",
"log",
"once_cell",
"pkg-config",
@@ -4997,9 +6111,19 @@ dependencies = [
[[package]]
name = "web-sys"
-version = "0.3.64"
+version = "0.3.68"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9b85cbef8c220a6abc02aefd892dfc0fc23afb1c6a426316ec33253a3877249b"
+checksum = "96565907687f7aceb35bc5fc03770a8a0471d82e479f25832f54a0e3f4b28446"
+dependencies = [
+ "js-sys",
+ "wasm-bindgen",
+]
+
+[[package]]
+name = "web-time"
+version = "0.2.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "aa30049b1c872b72c89866d458eae9f20380ab280ffd1b1e18df2d3e2d98cfe0"
dependencies = [
"js-sys",
"wasm-bindgen",
@@ -5007,25 +6131,24 @@ dependencies = [
[[package]]
name = "weezl"
-version = "0.1.7"
+version = "0.1.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9193164d4de03a926d909d3bc7c30543cecb35400c02114792c2cae20d5e2dbb"
+checksum = "53a85b86a771b1c87058196170769dd264f66c0782acf1ae6cc51bfd64b39082"
[[package]]
name = "wgpu"
-version = "0.18.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "30e7d227c9f961f2061c26f4cb0fbd4df0ef37e056edd0931783599d6c94ef24"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
dependencies = [
- "arrayvec",
- "cfg-if",
- "flume 0.11.0",
+ "arrayvec 0.7.4",
+ "cfg-if 1.0.0",
+ "cfg_aliases 0.1.1",
"js-sys",
"log",
"naga",
"parking_lot 0.12.1",
"profiling",
- "raw-window-handle",
+ "raw-window-handle 0.6.0",
"smallvec",
"static_assertions",
"wasm-bindgen",
@@ -5038,19 +6161,21 @@ dependencies = [
[[package]]
name = "wgpu-core"
-version = "0.18.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ef91c1d62d1e9e81c79e600131a258edf75c9531cbdbde09c44a011a47312726"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
dependencies = [
- "arrayvec",
+ "arrayvec 0.7.4",
"bit-vec",
"bitflags 2.4.2",
+ "cfg_aliases 0.1.1",
"codespan-reporting",
+ "indexmap",
"log",
"naga",
+ "once_cell",
"parking_lot 0.12.1",
"profiling",
- "raw-window-handle",
+ "raw-window-handle 0.6.0",
"rustc-hash",
"smallvec",
"thiserror",
@@ -5061,16 +6186,16 @@ dependencies = [
[[package]]
name = "wgpu-hal"
-version = "0.18.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b84ecc802da3eb67b4cf3dd9ea6fe45bbb47ef13e6c49c5c3240868a9cc6cdd9"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
dependencies = [
"android_system_properties",
- "arrayvec",
+ "arrayvec 0.7.4",
"ash",
"bit-set",
"bitflags 2.4.2",
"block",
+ "cfg_aliases 0.1.1",
"core-graphics-types",
"d3d12",
"glow",
@@ -5091,7 +6216,7 @@ dependencies = [
"parking_lot 0.12.1",
"profiling",
"range-alloc",
- "raw-window-handle",
+ "raw-window-handle 0.6.0",
"renderdoc-sys",
"rustc-hash",
"smallvec",
@@ -5104,9 +6229,8 @@ dependencies = [
[[package]]
name = "wgpu-types"
-version = "0.18.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0d5ed5f0edf0de351fe311c53304986315ce866f394a2e6df0c4b3c70774bcdd"
+version = "0.19.0"
+source = "git+https://github.com/gfx-rs/wgpu?rev=20fda69#20fda698341efbdc870b8027d6d49f5bf3f36109"
dependencies = [
"bitflags 2.4.2",
"js-sys",
@@ -5144,15 +6268,6 @@ dependencies = [
"winapi",
]
-[[package]]
-name = "winapi-wsapoll"
-version = "0.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "44c17110f57155602a80dca10be03852116403c9ff3cd25b079d666f2aa3df6e"
-dependencies = [
- "winapi",
-]
-
[[package]]
name = "winapi-x86_64-pc-windows-gnu"
version = "0.4.0"
@@ -5161,15 +6276,15 @@ checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
[[package]]
name = "window_clipboard"
-version = "0.3.0"
+version = "0.4.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "63287c9c4396ccf5346d035a9b0fcaead9e18377637f5eaa78b7ac65c873ff7d"
+checksum = "f6d692d46038c433f9daee7ad8757e002a4248c20b0a3fbc991d99521d3bcb6d"
dependencies = [
"clipboard-win",
"clipboard_macos",
"clipboard_wayland",
"clipboard_x11",
- "raw-window-handle",
+ "raw-window-handle 0.6.0",
"thiserror",
]
@@ -5179,35 +6294,44 @@ version = "0.44.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "9e745dab35a0c4c77aa3ce42d595e13d2003d6902d6b08c9ef5fc326d08da12b"
dependencies = [
- "windows-implement",
- "windows-interface",
"windows-targets 0.42.2",
]
[[package]]
name = "windows"
-version = "0.51.1"
+version = "0.48.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ca229916c5ee38c2f2bc1e9d8f04df975b4bd93f9955dc69fabb5d91270045c9"
+checksum = "e686886bc078bc1b0b600cac0147aadb815089b6e4da64016cbd754b6342700f"
+dependencies = [
+ "windows-implement",
+ "windows-interface",
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "windows"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e48a53791691ab099e5e2ad123536d0fff50652600abaf43bbf952894110d0be"
dependencies = [
"windows-core",
- "windows-targets 0.48.5",
+ "windows-targets 0.52.3",
]
[[package]]
name = "windows-core"
-version = "0.51.1"
+version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f1f8cf84f35d2db49a46868f947758c7a1138116f7fac3bc844f43ade1292e64"
+checksum = "33ab640c8d7e35bf8ba19b884ba838ceb4fba93a4e8c65a9059d08afcfc683d9"
dependencies = [
- "windows-targets 0.48.5",
+ "windows-targets 0.52.3",
]
[[package]]
name = "windows-implement"
-version = "0.44.0"
+version = "0.48.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6ce87ca8e3417b02dc2a8a22769306658670ec92d78f1bd420d6310a67c245c6"
+checksum = "5e2ee588991b9e7e6c8338edf3333fbe4da35dc72092643958ebb43f0ab2c49c"
dependencies = [
"proc-macro2",
"quote",
@@ -5216,9 +6340,9 @@ dependencies = [
[[package]]
name = "windows-interface"
-version = "0.44.0"
+version = "0.48.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "853f69a591ecd4f810d29f17e902d40e349fb05b0b11fff63b08b826bfe39c7f"
+checksum = "e6fb8df20c9bcaa8ad6ab513f7b40104840c8867d5751126e4df3b08388d0cc7"
dependencies = [
"proc-macro2",
"quote",
@@ -5249,7 +6373,7 @@ version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "282be5f36a8ce781fad8c8ae18fa3f9beff57ec1b52cb3de0789201425d9a33d"
dependencies = [
- "windows-targets 0.52.0",
+ "windows-targets 0.52.3",
]
[[package]]
@@ -5284,17 +6408,17 @@ dependencies = [
[[package]]
name = "windows-targets"
-version = "0.52.0"
+version = "0.52.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8a18201040b24831fbb9e4eb208f8892e1f50a37feb53cc7ff887feb8f50e7cd"
+checksum = "d380ba1dc7187569a8a9e91ed34b8ccfc33123bbacb8c0aed2d1ad7f3ef2dc5f"
dependencies = [
- "windows_aarch64_gnullvm 0.52.0",
- "windows_aarch64_msvc 0.52.0",
- "windows_i686_gnu 0.52.0",
- "windows_i686_msvc 0.52.0",
- "windows_x86_64_gnu 0.52.0",
- "windows_x86_64_gnullvm 0.52.0",
- "windows_x86_64_msvc 0.52.0",
+ "windows_aarch64_gnullvm 0.52.3",
+ "windows_aarch64_msvc 0.52.3",
+ "windows_i686_gnu 0.52.3",
+ "windows_i686_msvc 0.52.3",
+ "windows_x86_64_gnu 0.52.3",
+ "windows_x86_64_gnullvm 0.52.3",
+ "windows_x86_64_msvc 0.52.3",
]
[[package]]
@@ -5311,9 +6435,9 @@ checksum = "2b38e32f0abccf9987a4e3079dfb67dcd799fb61361e53e2882c3cbaf0d905d8"
[[package]]
name = "windows_aarch64_gnullvm"
-version = "0.52.0"
+version = "0.52.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cb7764e35d4db8a7921e09562a0304bf2f93e0a51bfccee0bd0bb0b666b015ea"
+checksum = "68e5dcfb9413f53afd9c8f86e56a7b4d86d9a2fa26090ea2dc9e40fba56c6ec6"
[[package]]
name = "windows_aarch64_msvc"
@@ -5329,9 +6453,9 @@ checksum = "dc35310971f3b2dbbf3f0690a219f40e2d9afcf64f9ab7cc1be722937c26b4bc"
[[package]]
name = "windows_aarch64_msvc"
-version = "0.52.0"
+version = "0.52.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bbaa0368d4f1d2aaefc55b6fcfee13f41544ddf36801e793edbbfd7d7df075ef"
+checksum = "8dab469ebbc45798319e69eebf92308e541ce46760b49b18c6b3fe5e8965b30f"
[[package]]
name = "windows_i686_gnu"
@@ -5347,9 +6471,9 @@ checksum = "a75915e7def60c94dcef72200b9a8e58e5091744960da64ec734a6c6e9b3743e"
[[package]]
name = "windows_i686_gnu"
-version = "0.52.0"
+version = "0.52.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a28637cb1fa3560a16915793afb20081aba2c92ee8af57b4d5f28e4b3e7df313"
+checksum = "2a4e9b6a7cac734a8b4138a4e1044eac3404d8326b6c0f939276560687a033fb"
[[package]]
name = "windows_i686_msvc"
@@ -5365,9 +6489,9 @@ checksum = "8f55c233f70c4b27f66c523580f78f1004e8b5a8b659e05a4eb49d4166cca406"
[[package]]
name = "windows_i686_msvc"
-version = "0.52.0"
+version = "0.52.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ffe5e8e31046ce6230cc7215707b816e339ff4d4d67c65dffa206fd0f7aa7b9a"
+checksum = "28b0ec9c422ca95ff34a78755cfa6ad4a51371da2a5ace67500cf7ca5f232c58"
[[package]]
name = "windows_x86_64_gnu"
@@ -5383,9 +6507,9 @@ checksum = "53d40abd2583d23e4718fddf1ebec84dbff8381c07cae67ff7768bbf19c6718e"
[[package]]
name = "windows_x86_64_gnu"
-version = "0.52.0"
+version = "0.52.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3d6fa32db2bc4a2f5abeacf2b69f7992cd09dca97498da74a151a3132c26befd"
+checksum = "704131571ba93e89d7cd43482277d6632589b18ecf4468f591fbae0a8b101614"
[[package]]
name = "windows_x86_64_gnullvm"
@@ -5401,9 +6525,9 @@ checksum = "0b7b52767868a23d5bab768e390dc5f5c55825b6d30b86c844ff2dc7414044cc"
[[package]]
name = "windows_x86_64_gnullvm"
-version = "0.52.0"
+version = "0.52.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1a657e1e9d3f514745a572a6846d3c7aa7dbe1658c056ed9c3344c4109a6949e"
+checksum = "42079295511643151e98d61c38c0acc444e52dd42ab456f7ccfd5152e8ecf21c"
[[package]]
name = "windows_x86_64_msvc"
@@ -5419,49 +6543,103 @@ checksum = "ed94fce61571a4006852b7389a063ab983c02eb1bb37b47f8272ce92d06d9538"
[[package]]
name = "windows_x86_64_msvc"
-version = "0.52.0"
+version = "0.52.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dff9641d1cd4be8d1a070daf9e3773c5f67e78b4d9d42263020c057706765c04"
+checksum = "0770833d60a970638e989b3fa9fd2bb1aaadcf88963d1659fd7d9990196ed2d6"
[[package]]
name = "winit"
-version = "0.28.6"
-source = "git+https://github.com/pop-os/winit.git?branch=master#c6ad672264b2e320cd15a531f67e133d9ecd39bf"
+version = "0.25.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "79610794594d5e86be473ef7763f604f2159cbac8c94debd00df8fb41e86c2f8"
dependencies = [
- "android-activity",
"bitflags 1.3.2",
- "cfg_aliases",
- "core-foundation",
+ "cocoa 0.24.1",
+ "core-foundation 0.9.4",
"core-graphics 0.22.3",
+ "core-video-sys",
"dispatch",
"instant",
+ "lazy_static",
"libc",
"log",
- "mio",
- "ndk",
- "objc2",
- "once_cell",
- "orbclient",
+ "mio 0.7.14",
+ "mio-misc",
+ "ndk 0.3.0",
+ "ndk-glue",
+ "ndk-sys 0.2.2",
+ "objc",
+ "parking_lot 0.11.2",
"percent-encoding",
- "raw-window-handle",
- "redox_syscall 0.3.5",
- "sctk-adwaita",
- "smithay-client-toolkit 0.16.1",
- "wasm-bindgen",
- "wayland-client 0.29.5",
- "wayland-commons",
- "wayland-protocols 0.29.5",
- "wayland-scanner 0.29.5",
- "web-sys",
- "windows-sys 0.45.0",
+ "raw-window-handle 0.3.4",
+ "scopeguard",
+ "smithay-client-toolkit 0.12.3",
+ "wayland-client 0.28.6",
+ "winapi",
"x11-dl",
]
+[[package]]
+name = "winit"
+version = "0.29.10"
+source = "git+https://github.com/pop-os/winit.git?branch=winit-0.29#bdc66109acc85c912264c9e4b864520345bdb45f"
+dependencies = [
+ "ahash",
+ "android-activity",
+ "atomic-waker",
+ "bitflags 2.4.2",
+ "bytemuck",
+ "calloop 0.12.4",
+ "cfg_aliases 0.1.1",
+ "core-foundation 0.9.4",
+ "core-graphics 0.23.1",
+ "cursor-icon",
+ "icrate 0.0.4",
+ "js-sys",
+ "libc",
+ "log",
+ "memmap2 0.9.4",
+ "ndk 0.8.0",
+ "ndk-sys 0.5.0+25.2.9519653",
+ "objc2 0.4.1",
+ "once_cell",
+ "orbclient",
+ "percent-encoding",
+ "raw-window-handle 0.6.0",
+ "redox_syscall 0.3.5",
+ "rustix 0.38.28",
+ "sctk-adwaita",
+ "smithay-client-toolkit 0.18.1",
+ "smol_str",
+ "unicode-segmentation",
+ "wasm-bindgen",
+ "wasm-bindgen-futures",
+ "wayland-backend",
+ "wayland-client 0.31.2",
+ "wayland-protocols 0.31.2",
+ "wayland-protocols-plasma",
+ "web-sys",
+ "web-time",
+ "windows-sys 0.48.0",
+ "x11-dl",
+ "x11rb",
+ "xkbcommon-dl",
+]
+
[[package]]
name = "winnow"
-version = "0.5.34"
+version = "0.5.40"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b7cf47b659b318dccbd69cc4797a39ae128f533dce7902a1096044d1967b9c16"
+checksum = "f593a95398737aeed53e489c785df13f3618e41dbcd6718c6addbf1395aa6876"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "winnow"
+version = "0.6.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7a4191c47f15cc3ec71fcb4913cb83d58def65dd3787610213c649283b5ce178"
dependencies = [
"memchr",
]
@@ -5477,41 +6655,19 @@ dependencies = [
"pkg-config",
]
-[[package]]
-name = "x11rb"
-version = "0.12.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b1641b26d4dec61337c35a1b1aaf9e3cba8f46f0b43636c609ab0291a648040a"
-dependencies = [
- "as-raw-xcb-connection",
- "gethostname 0.3.0",
- "libc",
- "libloading 0.7.4",
- "nix 0.26.4",
- "once_cell",
- "winapi",
- "winapi-wsapoll",
- "x11rb-protocol 0.12.0",
-]
-
[[package]]
name = "x11rb"
version = "0.13.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "f8f25ead8c7e4cba123243a6367da5d3990e0d3affa708ea19dce96356bd9f1a"
dependencies = [
- "gethostname 0.4.3",
+ "as-raw-xcb-connection",
+ "gethostname",
+ "libc",
+ "libloading 0.8.1",
+ "once_cell",
"rustix 0.38.28",
- "x11rb-protocol 0.13.0",
-]
-
-[[package]]
-name = "x11rb-protocol"
-version = "0.12.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "82d6c3f9a0fb6701fab8f6cea9b0c0bd5d6876f1f89f7fada07e558077c344bc"
-dependencies = [
- "nix 0.26.4",
+ "x11rb-protocol",
]
[[package]]
@@ -5534,14 +6690,40 @@ checksum = "213b7324336b53d2414b2db8537e56544d981803139155afa84f76eeebb7a546"
[[package]]
name = "xdg-home"
-version = "1.0.0"
+version = "1.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2769203cd13a0c6015d515be729c526d041e9cf2c0cc478d57faee85f40c6dcd"
+checksum = "21e5a325c3cb8398ad6cf859c1135b25dd29e186679cf2da7581d9679f63b38e"
dependencies = [
- "nix 0.26.4",
+ "libc",
"winapi",
]
+[[package]]
+name = "xdg-mime"
+version = "0.3.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "87bf7b69bb50588d70a36e467be29d3df3e8c32580276d62eded9738c1a797aa"
+dependencies = [
+ "dirs-next",
+ "glob",
+ "mime",
+ "nom",
+ "unicase",
+]
+
+[[package]]
+name = "xkbcommon-dl"
+version = "0.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d039de8032a9a8856a6be89cea3e5d12fdd82306ab7c94d74e6deab2460651c5"
+dependencies = [
+ "bitflags 2.4.2",
+ "dlib 0.5.2",
+ "log",
+ "once_cell",
+ "xkeysym",
+]
+
[[package]]
name = "xkeysym"
version = "0.2.0"
@@ -5554,12 +6736,6 @@ version = "0.8.19"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "0fcb9cbac069e033553e8bb871be2fbdffcab578eb25bd0f7c508cedc6dcd75a"
-[[package]]
-name = "xmlparser"
-version = "0.13.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "66fee0b777b0f5ac1c69bb06d361268faafa61cd4682ae064a171c16c433e9e4"
-
[[package]]
name = "xmlwriter"
version = "0.1.0"
@@ -5568,9 +6744,9 @@ checksum = "ec7a2a501ed189703dba8b08142f057e887dfc4b2cc4db2d343ac6376ba3e0b9"
[[package]]
name = "xxhash-rust"
-version = "0.8.8"
+version = "0.8.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "53be06678ed9e83edb1745eb72efc0bbcd7b5c3c35711a860906aed827a13d61"
+checksum = "927da81e25be1e1a2901d59b81b37dd2efd1fc9c9345a55007f09bf5a2d3ee03"
[[package]]
name = "yazi"
@@ -5580,9 +6756,9 @@ checksum = "c94451ac9513335b5e23d7a8a2b61a7102398b8cca5160829d313e84c9d98be1"
[[package]]
name = "zbus"
-version = "3.14.1"
+version = "3.15.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "31de390a2d872e4cd04edd71b425e29853f786dc99317ed72d73d6fcf5ebb948"
+checksum = "675d170b632a6ad49804c8cf2105d7c31eddd3312555cffd4b740e08e97c25e6"
dependencies = [
"async-broadcast",
"async-executor",
@@ -5622,11 +6798,11 @@ dependencies = [
[[package]]
name = "zbus_macros"
-version = "3.14.1"
+version = "3.15.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "41d1794a946878c0e807f55a397187c11fc7a038ba5d868e7db4f3bd7760bc9d"
+checksum = "7131497b0f887e8061b430c530240063d33bf9455fa34438f388a245da69e0a5"
dependencies = [
- "proc-macro-crate",
+ "proc-macro-crate 1.3.1",
"proc-macro2",
"quote",
"regex",
@@ -5668,7 +6844,7 @@ checksum = "9ce1b18ccd8e73a9321186f97e46f9f04b778851177567b1975109d26a08d2a6"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.51",
]
[[package]]
@@ -5682,9 +6858,9 @@ dependencies = [
[[package]]
name = "zvariant"
-version = "3.15.0"
+version = "3.15.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "44b291bee0d960c53170780af148dca5fa260a63cdd24f1962fa82e03e53338c"
+checksum = "4eef2be88ba09b358d3b58aca6e41cd853631d44787f319a1383ca83424fb2db"
dependencies = [
"byteorder",
"enumflags2",
@@ -5697,11 +6873,11 @@ dependencies = [
[[package]]
name = "zvariant_derive"
-version = "3.15.0"
+version = "3.15.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "934d7a7dfc310d6ee06c87ffe88ef4eca7d3e37bb251dece2ef93da8f17d8ecd"
+checksum = "37c24dc0bed72f5f90d1f8bb5b07228cbf63b3c6e9f82d82559d4bae666e7ed9"
dependencies = [
- "proc-macro-crate",
+ "proc-macro-crate 1.3.1",
"proc-macro2",
"quote",
"syn 1.0.109",
diff --git a/pkgs/by-name/co/cosmic-term/package.nix b/pkgs/by-name/co/cosmic-term/package.nix
index a8aba6bff62a..bbd3591e381a 100644
--- a/pkgs/by-name/co/cosmic-term/package.nix
+++ b/pkgs/by-name/co/cosmic-term/package.nix
@@ -19,31 +19,37 @@
rustPlatform.buildRustPackage rec {
pname = "cosmic-term";
- version = "0-unstable-2024-01-19";
+ version = "unstable-2024-02-28";
src = fetchFromGitHub {
owner = "pop-os";
repo = pname;
- rev = "6d519018a070e25db0cd099a2752a7add4d6b138";
- hash = "sha256-gfvBLrhq6Bz6cQdgiLH5o8vyptOT+q3xwUYoDG6eGTY=";
+ rev = "36477e06dc6d05bd01dc08b3f20e0a6e388d6c7e";
+ hash = "sha256-VkRVfV4sC+5+/8g1FOlBjJCeR/KGb5gP0SWy5bmFo+Y=";
};
cargoLock = {
lockFile = ./Cargo.lock;
outputHashes = {
- "accesskit-0.11.0" = "sha256-xVhe6adUb8VmwIKKjHxwCwOo5Y1p3Or3ylcJJdLDrrE=";
+ "accesskit-0.12.2" = "sha256-ksaYMGT/oug7isQY8/1WD97XDUsX2ShBdabUzxWffYw=";
"atomicwrites-0.4.2" = "sha256-QZSuGPrJXh+svMeFWqAXoqZQxLq/WfIiamqvjJNVhxA=";
- "cosmic-config-0.1.0" = "sha256-uo4So9I/jD3LPfigyKwESUdZiK1wqm7rg9wYwyv4uKc=";
- "cosmic-text-0.10.0" = "sha256-S0GkKUiUsSkL1CZHXhtpQy7Mf5+6fqNuu33RRtxG3mE=";
- "glyphon-0.4.1" = "sha256-mwJXi63LTBIVFrFcywr/NeOJKfMjQaQkNl3CSdEgrZc=";
+ "cosmic-config-0.1.0" = "sha256-Zyi95zcBAohM1WBropLzJczSIfNNNBK2odB4AmW4h5I=";
+ "cosmic-files-0.1.0" = "sha256-64An0MPgnFgyVlWmtBGBs+IV2z+4vmEY2uRPetZM4/M=";
+ "cosmic-text-0.11.2" = "sha256-Y9i5stMYpx+iqn4y5DJm1O1+3UIGp0/fSsnNq3Zloug=";
+ "d3d12-0.19.0" = "sha256-usrxQXWLGJDjmIdw1LBXtBvX+CchZDvE8fHC0LjvhD4=";
+ "glyphon-0.5.0" = "sha256-j1HrbEpUBqazWqNfJhpyjWuxYAxkvbXzRKeSouUoPWg=";
"libc-0.2.151" = "sha256-VcNTcLOnVXMlX86yeY0VDfIfKOZyyx/DO1Hbe30BsaI=";
- "sctk-adwaita-0.5.4" = "sha256-yK0F2w/0nxyKrSiHZbx7+aPNY2vlFs7s8nu/COp2KqQ=";
- "smithay-client-toolkit-0.16.1" = "sha256-z7EZThbh7YmKzAACv181zaEZmWxTrMkFRzP0nfsHK6c=";
- "softbuffer-0.3.3" = "sha256-eKYFVr6C1+X6ulidHIu9SP591rJxStxwL9uMiqnXx4k=";
+ "softbuffer-0.4.1" = "sha256-a0bUFz6O8CWRweNt/OxTvflnPYwO5nm6vsyc/WcXyNg=";
+ "systemicons-0.7.0" = "sha256-zzAI+6mnpQOh+3mX7/sJ+w4a7uX27RduQ99PNxLNF78=";
"taffy-0.3.11" = "sha256-SCx9GEIJjWdoNVyq+RZAGn0N71qraKZxf9ZWhvyzLaI=";
- "winit-0.28.6" = "sha256-FhW6d2XnXCGJUMoT9EMQew9/OPXiehy/JraeCiVd76M=";
+ "winit-0.29.10" = "sha256-ScTII2AzK3SC8MVeASZ9jhVWsEaGrSQ2BnApTxgfxK4=";
};
};
+ # COSMIC applications now uses vergen for the About page
+ # Update the COMMIT_DATE to match when the commit was made
+ env.VERGEN_GIT_COMMIT_DATE = "2024-02-28";
+ env.VERGEN_GIT_SHA = src.rev;
+
postPatch = ''
substituteInPlace justfile --replace '#!/usr/bin/env' "#!$(command -v env)"
'';
diff --git a/pkgs/by-name/co/cosmic-workspaces-epoch/package.nix b/pkgs/by-name/co/cosmic-workspaces-epoch/package.nix
index 5ec477b821d3..24d5f056daf0 100644
--- a/pkgs/by-name/co/cosmic-workspaces-epoch/package.nix
+++ b/pkgs/by-name/co/cosmic-workspaces-epoch/package.nix
@@ -53,6 +53,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
homepage = "https://github.com/pop-os/cosmic-workspaces-epoch";
description = "Workspaces Epoch for the COSMIC Desktop Environment";
+ mainProgram = "cosmic-workspaces";
license = licenses.gpl3Only;
maintainers = with maintainers; [ nyanbinary ];
platforms = platforms.linux;
diff --git a/pkgs/by-name/cp/cpu-x/package.nix b/pkgs/by-name/cp/cpu-x/package.nix
index 8171cfc78f2b..d8db8212ba76 100644
--- a/pkgs/by-name/cp/cpu-x/package.nix
+++ b/pkgs/by-name/cp/cpu-x/package.nix
@@ -45,6 +45,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Free software that gathers information on CPU, motherboard and more";
+ mainProgram = "cpu-x";
homepage = "https://thetumultuousunicornofdarkness.github.io/CPU-X";
license = licenses.gpl3Plus;
platforms = [ "x86_64-linux" ];
diff --git a/pkgs/by-name/cr/crc/package.nix b/pkgs/by-name/cr/crc/package.nix
index 85af94e57bcd..48364a9d73ba 100644
--- a/pkgs/by-name/cr/crc/package.nix
+++ b/pkgs/by-name/cr/crc/package.nix
@@ -7,16 +7,16 @@
}:
let
- openShiftVersion = "4.14.8";
+ openShiftVersion = "4.14.12";
okdVersion = "4.14.0-0.okd-scos-2024-01-10-151818";
- microshiftVersion = "4.14.8";
+ microshiftVersion = "4.14.12";
podmanVersion = "4.4.4";
writeKey = "$(MODULEPATH)/pkg/crc/segment.WriteKey=cvpHsNcmGCJqVzf6YxrSnVlwFSAZaYtp";
- gitCommit = "54a6f9a15155edb2bdb70128c7c535fc69841031";
- gitHash = "sha256-tjrlh31J3fDiYm2+PUnVVRIxxQvJKQVLcYEnMekD4Us=";
+ gitCommit = "c43b172866bc039a2a23d6c88aeb398635dc16ef";
+ gitHash = "sha256-DVsXxgywPrrdxfmXh3JR8YpFkv1/Y2LvDZ9/2nVbclc=";
in
buildGoModule rec {
- version = "2.32.0";
+ version = "2.33.0";
pname = "crc";
src = fetchFromGitHub {
diff --git a/pkgs/tools/text/cringify/default.nix b/pkgs/by-name/cr/cringify/package.nix
similarity index 53%
rename from pkgs/tools/text/cringify/default.nix
rename to pkgs/by-name/cr/cringify/package.nix
index 6230f6575c3f..f9e4bc2f9050 100644
--- a/pkgs/tools/text/cringify/default.nix
+++ b/pkgs/by-name/cr/cringify/package.nix
@@ -1,29 +1,36 @@
{ lib
, rustPlatform
, fetchFromGitHub
+, python3
+, testers
+, cringify
}:
rustPlatform.buildRustPackage rec {
pname = "cringify";
- version = "0.1.1";
+ version = "0.2.0";
src = fetchFromGitHub {
owner = "sansyrox";
repo = "cringify";
- rev = "dd753818f8dd4b343be9370d2c29a6be070ad791";
- hash = "sha256-6hSgOk9DzDfGtZX1vt6AQsKSLdPdqy2Mz3UtK6d2AuA=";
+ rev = "857c2620ac9f1f53139d3a599e55679a75e77053";
+ hash = "sha256-U0tKYFRZToMALSeItn9yia7Dl7omETDTkuRlWJ8EZEo=";
};
- cargoHash = "sha256-w6lqPyUCaXZBQ1EmMyj0sVnEHugMD6JugIIK0rEa19Y=";
+ cargoHash = "sha256-OQXGn6m6VdSlxaCPRonjvEo/GOpsEiZkqL12UdoLu0Q=";
postPatch = ''
- # Upstream forgot to update the version value
- substituteInPlace src/main.rs --replace '0.1.0' ${version}
+ # Upstream doesn't set the version string itself
+ substituteInPlace src/main.rs --replace '0.0.1' ${version}
'';
+ nativeBuildInputs = [ python3 ];
+
# No tests are present in the repository
doCheck = false;
+ passthru.tests.version = testers.testVersion { package = cringify; };
+
meta = {
description = "Annoy your friends with the cringified text";
homepage = "https://github.com/sansyrox/cringify";
diff --git a/pkgs/by-name/cr/crossplane-cli/package.nix b/pkgs/by-name/cr/crossplane-cli/package.nix
index ef1d14299b5b..db921e806f29 100644
--- a/pkgs/by-name/cr/crossplane-cli/package.nix
+++ b/pkgs/by-name/cr/crossplane-cli/package.nix
@@ -8,16 +8,16 @@
buildGoModule rec {
pname = "crossplane-cli";
- version = "1.14.5";
+ version = "1.15.1";
src = fetchFromGitHub {
owner = "crossplane";
repo = "crossplane";
rev = "v${version}";
- hash = "sha256-P7zfkrE+r/pQEEu0GK7v+bJ4ONeejZLXq2sYmU/V110=";
+ hash = "sha256-MuPJjVM8Nxm9PLTE3+7KGwmvXJTLfNj5RCh+/kHR0GM=";
};
- vendorHash = "sha256-vkXvnEstD/czBDxmI96TIQB/L4jxhMwIS1XpHqVtxqY=";
+ vendorHash = "sha256-+e3NuSCvUgZANDB9LsvlQn3h9+L1NeQeURKDZd21reo=";
ldflags = [
"-s"
diff --git a/pkgs/by-name/cr/crosswords/package.nix b/pkgs/by-name/cr/crosswords/package.nix
new file mode 100644
index 000000000000..997152d27c5f
--- /dev/null
+++ b/pkgs/by-name/cr/crosswords/package.nix
@@ -0,0 +1,50 @@
+{ lib
+, stdenv
+, fetchFromGitLab
+, meson
+, ninja
+, pkg-config
+, wrapGAppsHook4
+, desktop-file-utils
+, libadwaita
+, isocodes
+, json-glib
+, libipuz
+}:
+
+stdenv.mkDerivation rec {
+ pname = "crosswords";
+ version = "0.3.12";
+
+ src = fetchFromGitLab {
+ domain = "gitlab.gnome.org";
+ owner = "jrb";
+ repo = "crosswords";
+ rev = version;
+ hash = "sha256-3RL2LJdIHmDAjXaxqsE0n5UQMsuBJWEMoyAEoSBemR0=";
+ };
+
+ nativeBuildInputs = [
+ meson
+ ninja
+ pkg-config
+ wrapGAppsHook4
+ desktop-file-utils
+ ];
+
+ buildInputs = [
+ libadwaita
+ isocodes
+ json-glib
+ libipuz
+ ];
+
+ meta = with lib; {
+ description = "A Crossword player and editor for GNOME";
+ homepage = "https://gitlab.gnome.org/jrb/crosswords";
+ license = licenses.gpl3Plus;
+ mainProgram = "crosswords";
+ maintainers = with maintainers; [ aleksana ];
+ platforms = platforms.unix;
+ };
+}
diff --git a/pkgs/by-name/cr/crunchy-cli/Cargo.lock b/pkgs/by-name/cr/crunchy-cli/Cargo.lock
new file mode 100644
index 000000000000..58e768c9e4cc
--- /dev/null
+++ b/pkgs/by-name/cr/crunchy-cli/Cargo.lock
@@ -0,0 +1,2354 @@
+# This file is automatically @generated by Cargo.
+# It is not intended for manual editing.
+version = 3
+
+[[package]]
+name = "addr2line"
+version = "0.21.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8a30b2e23b9e17a9f90641c7ab1549cd9b44f296d3ccbf309d2863cfe398a0cb"
+dependencies = [
+ "gimli",
+]
+
+[[package]]
+name = "adler"
+version = "1.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f26201604c87b1e01bd3d98f8d5d9a8fcbb815e8cedb41ffccbeb4bf593a35fe"
+
+[[package]]
+name = "aes"
+version = "0.8.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ac1f845298e95f983ff1944b728ae08b8cebab80d684f0a832ed0fc74dfa27e2"
+dependencies = [
+ "cfg-if",
+ "cipher",
+ "cpufeatures",
+]
+
+[[package]]
+name = "aho-corasick"
+version = "1.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b2969dcb958b36655471fc61f7e416fa76033bdd4bfed0678d8fee1e2d07a1f0"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "android-tzdata"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e999941b234f3131b00bc13c22d06e8c5ff726d1b6318ac7eb276997bbb4fef0"
+
+[[package]]
+name = "android_system_properties"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "819e7219dbd41043ac279b19830f2efc897156490d7fd6ea916720117ee66311"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "anstream"
+version = "0.6.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4cd2405b3ac1faab2990b74d728624cd9fd115651fcecc7c2d8daf01376275ba"
+dependencies = [
+ "anstyle",
+ "anstyle-parse",
+ "anstyle-query",
+ "anstyle-wincon",
+ "colorchoice",
+ "utf8parse",
+]
+
+[[package]]
+name = "anstyle"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7079075b41f533b8c61d2a4d073c4676e1f8b249ff94a393b0595db304e0dd87"
+
+[[package]]
+name = "anstyle-parse"
+version = "0.2.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c75ac65da39e5fe5ab759307499ddad880d724eed2f6ce5b5e8a26f4f387928c"
+dependencies = [
+ "utf8parse",
+]
+
+[[package]]
+name = "anstyle-query"
+version = "1.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e28923312444cdd728e4738b3f9c9cac739500909bb3d3c94b43551b16517648"
+dependencies = [
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "anstyle-wincon"
+version = "3.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1cd54b81ec8d6180e24654d0b371ad22fc3dd083b6ff8ba325b72e00c87660a7"
+dependencies = [
+ "anstyle",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "anyhow"
+version = "1.0.79"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "080e9890a082662b09c1ad45f567faeeb47f22b5fb23895fbe1e651e718e25ca"
+
+[[package]]
+name = "async-speed-limit"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "97d287ccbfb44ae20287d2f9c72ad9e560d50810883870697db5b320c541f183"
+dependencies = [
+ "futures-core",
+ "futures-io",
+ "futures-timer",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "async-trait"
+version = "0.1.77"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c980ee35e870bd1a4d2c8294d4c04d0499e67bca1e4b5cefcc693c2fa00caea9"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "autocfg"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d468802bab17cbc0cc575e9b053f41e72aa36bfa6b7f55e3529ffa43161b97fa"
+
+[[package]]
+name = "backtrace"
+version = "0.3.69"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2089b7e3f35b9dd2d0ed921ead4f6d318c27680d4a5bd167b3ee120edb105837"
+dependencies = [
+ "addr2line",
+ "cc",
+ "cfg-if",
+ "libc",
+ "miniz_oxide",
+ "object",
+ "rustc-demangle",
+]
+
+[[package]]
+name = "base64"
+version = "0.21.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9d297deb1925b89f2ccc13d7635fa0714f12c87adce1c75356b39ca9b7178567"
+
+[[package]]
+name = "base64-serde"
+version = "0.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ba368df5de76a5bea49aaf0cf1b39ccfbbef176924d1ba5db3e4135216cbe3c7"
+dependencies = [
+ "base64",
+ "serde",
+]
+
+[[package]]
+name = "bitflags"
+version = "1.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a"
+
+[[package]]
+name = "bitflags"
+version = "2.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "327762f6e5a765692301e5bb513e0d9fef63be86bbc14528052b1cd3e6f03e07"
+
+[[package]]
+name = "block-padding"
+version = "0.3.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a8894febbff9f758034a5b8e12d87918f56dfc64a8e1fe757d65e29041538d93"
+dependencies = [
+ "generic-array",
+]
+
+[[package]]
+name = "bumpalo"
+version = "3.14.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f30e7476521f6f8af1a1c4c0b8cc94f0bee37d91763d0ca2665f299b6cd8aec"
+
+[[package]]
+name = "bytes"
+version = "1.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a2bd12c1caf447e69cd4528f47f94d203fd2582878ecb9e9465484c4148a8223"
+
+[[package]]
+name = "cbc"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "26b52a9543ae338f279b96b0b9fed9c8093744685043739079ce85cd58f289a6"
+dependencies = [
+ "cipher",
+]
+
+[[package]]
+name = "cc"
+version = "1.0.83"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f1174fb0b6ec23863f8b971027804a42614e347eafb0a95bf0b12cdae21fc4d0"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "cfg-if"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd"
+
+[[package]]
+name = "chrono"
+version = "0.4.31"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f2c685bad3eb3d45a01354cedb7d5faa66194d1d58ba6e267a8de788f79db38"
+dependencies = [
+ "android-tzdata",
+ "iana-time-zone",
+ "js-sys",
+ "num-traits",
+ "serde",
+ "wasm-bindgen",
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "cipher"
+version = "0.4.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "773f3b9af64447d2ce9850330c473515014aa235e6a783b02db81ff39e4a3dad"
+dependencies = [
+ "crypto-common",
+ "inout",
+]
+
+[[package]]
+name = "clap"
+version = "4.4.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "58e54881c004cec7895b0068a0a954cd5d62da01aef83fa35b1e594497bf5445"
+dependencies = [
+ "clap_builder",
+ "clap_derive",
+]
+
+[[package]]
+name = "clap_builder"
+version = "4.4.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "59cb82d7f531603d2fd1f507441cdd35184fa81beff7bd489570de7f773460bb"
+dependencies = [
+ "anstream",
+ "anstyle",
+ "clap_lex",
+ "strsim",
+]
+
+[[package]]
+name = "clap_complete"
+version = "4.4.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "97aeaa95557bd02f23fbb662f981670c3d20c5a26e69f7354b28f57092437fcd"
+dependencies = [
+ "clap",
+]
+
+[[package]]
+name = "clap_derive"
+version = "4.4.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cf9804afaaf59a91e75b022a30fb7229a7901f60c755489cc61c9b423b836442"
+dependencies = [
+ "heck",
+ "proc-macro2",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "clap_lex"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "702fc72eb24e5a1e48ce58027a675bc24edd52096d5397d4aea7c6dd9eca0bd1"
+
+[[package]]
+name = "clap_mangen"
+version = "0.2.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4a7c2b01e5e779c19f46a94bbd398f33ae63b0f78c07108351fb4536845bb7fd"
+dependencies = [
+ "clap",
+ "roff",
+]
+
+[[package]]
+name = "colorchoice"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "acbf1af155f9b9ef647e42cdc158db4b64a1b61f743629225fde6f3e0be2a7c7"
+
+[[package]]
+name = "console"
+version = "0.15.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0e1f83fc076bd6dd27517eacdf25fef6c4dfe5f1d7448bafaaf3a26f13b5e4eb"
+dependencies = [
+ "encode_unicode",
+ "lazy_static",
+ "libc",
+ "unicode-width",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "cookie"
+version = "0.16.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e859cd57d0710d9e06c381b550c06e76992472a8c6d527aecd2fc673dcc231fb"
+dependencies = [
+ "percent-encoding",
+ "time",
+ "version_check",
+]
+
+[[package]]
+name = "cookie_store"
+version = "0.16.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d606d0fba62e13cf04db20536c05cb7f13673c161cb47a47a82b9b9e7d3f1daa"
+dependencies = [
+ "cookie",
+ "idna 0.2.3",
+ "log",
+ "publicsuffix",
+ "serde",
+ "serde_derive",
+ "serde_json",
+ "time",
+ "url",
+]
+
+[[package]]
+name = "core-foundation"
+version = "0.9.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "91e195e091a93c46f7102ec7818a2aa394e1e1771c3ab4825963fa03e45afb8f"
+dependencies = [
+ "core-foundation-sys",
+ "libc",
+]
+
+[[package]]
+name = "core-foundation-sys"
+version = "0.8.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "06ea2b9bc92be3c2baa9334a323ebca2d6f074ff852cd1d7b11064035cd3868f"
+
+[[package]]
+name = "cpufeatures"
+version = "0.2.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "53fe5e26ff1b7aef8bca9c6080520cfb8d9333c7568e1829cef191a9723e5504"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "crunchy-cli"
+version = "3.2.5"
+dependencies = [
+ "chrono",
+ "clap",
+ "clap_complete",
+ "clap_mangen",
+ "crunchy-cli-core",
+ "native-tls",
+ "tokio",
+]
+
+[[package]]
+name = "crunchy-cli-core"
+version = "3.2.5"
+dependencies = [
+ "anyhow",
+ "async-speed-limit",
+ "chrono",
+ "clap",
+ "crunchyroll-rs",
+ "ctrlc",
+ "derive_setters",
+ "dialoguer",
+ "dirs",
+ "fs2",
+ "futures-util",
+ "http",
+ "indicatif",
+ "lazy_static",
+ "log",
+ "nix",
+ "num_cpus",
+ "regex",
+ "reqwest",
+ "rustls-native-certs",
+ "serde",
+ "serde_json",
+ "serde_plain",
+ "shlex",
+ "sys-locale",
+ "tempfile",
+ "tokio",
+ "tokio-util",
+ "tower-service",
+]
+
+[[package]]
+name = "crunchyroll-rs"
+version = "0.8.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "828ff3c0f11de8f8afda7dc3bd24e206e1b13cee6abfd87856123305864681d2"
+dependencies = [
+ "aes",
+ "async-trait",
+ "cbc",
+ "chrono",
+ "crunchyroll-rs-internal",
+ "dash-mpd",
+ "futures-util",
+ "lazy_static",
+ "m3u8-rs",
+ "regex",
+ "reqwest",
+ "rustls",
+ "serde",
+ "serde_json",
+ "serde_urlencoded",
+ "smart-default",
+ "tokio",
+ "tower-service",
+ "webpki-roots 0.26.0",
+]
+
+[[package]]
+name = "crunchyroll-rs-internal"
+version = "0.8.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c7051a39e25a19ef0aa753e7da179787a3db0fb8a01977a7e22cd288f7ff0e27"
+dependencies = [
+ "darling",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "crypto-common"
+version = "0.1.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1bfb12502f3fc46cca1bb51ac28df9d618d813cdc3d2f25b9fe775a34af26bb3"
+dependencies = [
+ "generic-array",
+ "typenum",
+]
+
+[[package]]
+name = "ctrlc"
+version = "3.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b467862cc8610ca6fc9a1532d7777cee0804e678ab45410897b9396495994a0b"
+dependencies = [
+ "nix",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "darling"
+version = "0.20.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0209d94da627ab5605dcccf08bb18afa5009cfbef48d8a8b7d7bdbc79be25c5e"
+dependencies = [
+ "darling_core",
+ "darling_macro",
+]
+
+[[package]]
+name = "darling_core"
+version = "0.20.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "177e3443818124b357d8e76f53be906d60937f0d3a90773a664fa63fa253e621"
+dependencies = [
+ "fnv",
+ "ident_case",
+ "proc-macro2",
+ "quote",
+ "strsim",
+ "syn",
+]
+
+[[package]]
+name = "darling_macro"
+version = "0.20.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "836a9bbc7ad63342d6d6e7b815ccab164bc77a2d95d84bc3117a8c0d5c98e2d5"
+dependencies = [
+ "darling_core",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "dash-mpd"
+version = "0.14.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7cf94350e05e27c941b8cfc06bffeec3afcac11f42df289378ddf43e192d2e15"
+dependencies = [
+ "base64",
+ "base64-serde",
+ "chrono",
+ "fs-err",
+ "iso8601",
+ "lazy_static",
+ "num-traits",
+ "quick-xml",
+ "regex",
+ "serde",
+ "serde_path_to_error",
+ "serde_with",
+ "thiserror",
+ "tokio",
+ "tracing",
+ "url",
+ "xattr",
+]
+
+[[package]]
+name = "deranged"
+version = "0.3.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b42b6fa04a440b495c8b04d0e71b707c585f83cb9cb28cf8cd0d976c315e31b4"
+dependencies = [
+ "powerfmt",
+ "serde",
+]
+
+[[package]]
+name = "derive_setters"
+version = "0.1.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4e8ef033054e131169b8f0f9a7af8f5533a9436fadf3c500ed547f730f07090d"
+dependencies = [
+ "darling",
+ "proc-macro2",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "dialoguer"
+version = "0.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "658bce805d770f407bc62102fca7c2c64ceef2fbcb2b8bd19d2765ce093980de"
+dependencies = [
+ "console",
+ "shell-words",
+ "thiserror",
+]
+
+[[package]]
+name = "dirs"
+version = "5.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "44c45a9d03d6676652bcb5e724c7e988de1acad23a711b5217ab9cbecbec2225"
+dependencies = [
+ "dirs-sys",
+]
+
+[[package]]
+name = "dirs-sys"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "520f05a5cbd335fae5a99ff7a6ab8627577660ee5cfd6a94a6a929b52ff0321c"
+dependencies = [
+ "libc",
+ "option-ext",
+ "redox_users",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "either"
+version = "1.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a26ae43d7bcc3b814de94796a5e736d4029efb0ee900c12e2d54c993ad1a1e07"
+
+[[package]]
+name = "encode_unicode"
+version = "0.3.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a357d28ed41a50f9c765dbfe56cbc04a64e53e5fc58ba79fbc34c10ef3df831f"
+
+[[package]]
+name = "encoding_rs"
+version = "0.8.33"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7268b386296a025e474d5140678f75d6de9493ae55a5d709eeb9dd08149945e1"
+dependencies = [
+ "cfg-if",
+]
+
+[[package]]
+name = "equivalent"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5443807d6dff69373d433ab9ef5378ad8df50ca6298caf15de6e52e24aaf54d5"
+
+[[package]]
+name = "errno"
+version = "0.3.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a258e46cdc063eb8519c00b9fc845fc47bcfca4130e2f08e88665ceda8474245"
+dependencies = [
+ "libc",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "fastrand"
+version = "2.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "25cbce373ec4653f1a01a31e8a5e5ec0c622dc27ff9c4e6606eefef5cbbed4a5"
+
+[[package]]
+name = "fnv"
+version = "1.0.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3f9eec918d3f24069decb9af1554cad7c880e2da24a9afd88aca000531ab82c1"
+
+[[package]]
+name = "foreign-types"
+version = "0.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f6f339eb8adc052cd2ca78910fda869aefa38d22d5cb648e6485e4d3fc06f3b1"
+dependencies = [
+ "foreign-types-shared",
+]
+
+[[package]]
+name = "foreign-types-shared"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "00b0228411908ca8685dba7fc2cdd70ec9990a6e753e89b6ac91a84c40fbaf4b"
+
+[[package]]
+name = "form_urlencoded"
+version = "1.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e13624c2627564efccf4934284bdd98cbaa14e79b0b5a141218e507b3a823456"
+dependencies = [
+ "percent-encoding",
+]
+
+[[package]]
+name = "fs-err"
+version = "2.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "88a41f105fe1d5b6b34b2055e3dc59bb79b46b48b2040b9e6c7b4b5de097aa41"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "fs2"
+version = "0.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9564fc758e15025b46aa6643b1b77d047d1a56a1aea6e01002ac0c7026876213"
+dependencies = [
+ "libc",
+ "winapi",
+]
+
+[[package]]
+name = "futures-channel"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eac8f7d7865dcb88bd4373ab671c8cf4508703796caa2b1985a9ca867b3fcb78"
+dependencies = [
+ "futures-core",
+]
+
+[[package]]
+name = "futures-core"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dfc6580bb841c5a68e9ef15c77ccc837b40a7504914d52e47b8b0e9bbda25a1d"
+
+[[package]]
+name = "futures-io"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a44623e20b9681a318efdd71c299b6b222ed6f231972bfe2f224ebad6311f0c1"
+
+[[package]]
+name = "futures-macro"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "87750cf4b7a4c0625b1529e4c543c2182106e4dedc60a2a6455e00d212c489ac"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "futures-sink"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9fb8e00e87438d937621c1c6269e53f536c14d3fbd6a042bb24879e57d474fb5"
+
+[[package]]
+name = "futures-task"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "38d84fa142264698cdce1a9f9172cf383a0c82de1bddcf3092901442c4097004"
+
+[[package]]
+name = "futures-timer"
+version = "3.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e64b03909df88034c26dc1547e8970b91f98bdb65165d6a4e9110d94263dbb2c"
+
+[[package]]
+name = "futures-util"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3d6401deb83407ab3da39eba7e33987a73c3df0c82b4bb5813ee871c19c41d48"
+dependencies = [
+ "futures-core",
+ "futures-io",
+ "futures-macro",
+ "futures-sink",
+ "futures-task",
+ "memchr",
+ "pin-project-lite",
+ "pin-utils",
+ "slab",
+]
+
+[[package]]
+name = "generic-array"
+version = "0.14.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "85649ca51fd72272d7821adaf274ad91c288277713d9c18820d8499a7ff69e9a"
+dependencies = [
+ "typenum",
+ "version_check",
+]
+
+[[package]]
+name = "getrandom"
+version = "0.2.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "190092ea657667030ac6a35e305e62fc4dd69fd98ac98631e5d3a2b1575a12b5"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "wasi",
+]
+
+[[package]]
+name = "gimli"
+version = "0.28.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4271d37baee1b8c7e4b708028c57d816cf9d2434acb33a549475f78c181f6253"
+
+[[package]]
+name = "h2"
+version = "0.3.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b553656127a00601c8ae5590fcfdc118e4083a7924b6cf4ffc1ea4b99dc429d7"
+dependencies = [
+ "bytes",
+ "fnv",
+ "futures-core",
+ "futures-sink",
+ "futures-util",
+ "http",
+ "indexmap 2.1.0",
+ "slab",
+ "tokio",
+ "tokio-util",
+ "tracing",
+]
+
+[[package]]
+name = "hashbrown"
+version = "0.12.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8a9ee70c43aaf417c914396645a0fa852624801b24ebb7ae78fe8272889ac888"
+
+[[package]]
+name = "hashbrown"
+version = "0.14.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "290f1a1d9242c78d09ce40a5e87e7554ee637af1351968159f4952f028f75604"
+
+[[package]]
+name = "heck"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "95505c38b4572b2d910cecb0281560f54b440a19336cbbcb27bf6ce6adc6f5a8"
+
+[[package]]
+name = "hermit-abi"
+version = "0.3.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d77f7ec81a6d05a3abb01ab6eb7590f6083d08449fe5a1c8b1e620283546ccb7"
+
+[[package]]
+name = "hex"
+version = "0.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f24254aa9a54b5c858eaee2f5bccdb46aaf0e486a595ed5fd8f86ba55232a70"
+
+[[package]]
+name = "http"
+version = "0.2.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8947b1a6fad4393052c7ba1f4cd97bed3e953a95c79c92ad9b051a04611d9fbb"
+dependencies = [
+ "bytes",
+ "fnv",
+ "itoa",
+]
+
+[[package]]
+name = "http-body"
+version = "0.4.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7ceab25649e9960c0311ea418d17bee82c0dcec1bd053b5f9a66e265a693bed2"
+dependencies = [
+ "bytes",
+ "http",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "httparse"
+version = "1.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d897f394bad6a705d5f4104762e116a75639e470d80901eed05a860a95cb1904"
+
+[[package]]
+name = "httpdate"
+version = "1.0.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "df3b46402a9d5adb4c86a0cf463f42e19994e3ee891101b1841f30a545cb49a9"
+
+[[package]]
+name = "hyper"
+version = "0.14.28"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bf96e135eb83a2a8ddf766e426a841d8ddd7449d5f00d34ea02b41d2f19eef80"
+dependencies = [
+ "bytes",
+ "futures-channel",
+ "futures-core",
+ "futures-util",
+ "h2",
+ "http",
+ "http-body",
+ "httparse",
+ "httpdate",
+ "itoa",
+ "pin-project-lite",
+ "socket2",
+ "tokio",
+ "tower-service",
+ "tracing",
+ "want",
+]
+
+[[package]]
+name = "hyper-rustls"
+version = "0.24.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ec3efd23720e2049821a693cbc7e65ea87c72f1c58ff2f9522ff332b1491e590"
+dependencies = [
+ "futures-util",
+ "http",
+ "hyper",
+ "rustls",
+ "tokio",
+ "tokio-rustls",
+]
+
+[[package]]
+name = "hyper-tls"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d6183ddfa99b85da61a140bea0efc93fdf56ceaa041b37d553518030827f9905"
+dependencies = [
+ "bytes",
+ "hyper",
+ "native-tls",
+ "tokio",
+ "tokio-native-tls",
+]
+
+[[package]]
+name = "iana-time-zone"
+version = "0.1.59"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b6a67363e2aa4443928ce15e57ebae94fd8949958fd1223c4cfc0cd473ad7539"
+dependencies = [
+ "android_system_properties",
+ "core-foundation-sys",
+ "iana-time-zone-haiku",
+ "js-sys",
+ "wasm-bindgen",
+ "windows-core",
+]
+
+[[package]]
+name = "iana-time-zone-haiku"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f31827a206f56af32e590ba56d5d2d085f558508192593743f16b2306495269f"
+dependencies = [
+ "cc",
+]
+
+[[package]]
+name = "ident_case"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b9e0384b61958566e926dc50660321d12159025e767c18e043daf26b70104c39"
+
+[[package]]
+name = "idna"
+version = "0.2.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "418a0a6fab821475f634efe3ccc45c013f742efe03d853e8d3355d5cb850ecf8"
+dependencies = [
+ "matches",
+ "unicode-bidi",
+ "unicode-normalization",
+]
+
+[[package]]
+name = "idna"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e14ddfc70884202db2244c223200c204c2bda1bc6e0998d11b5e024d657209e6"
+dependencies = [
+ "unicode-bidi",
+ "unicode-normalization",
+]
+
+[[package]]
+name = "idna"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "634d9b1461af396cad843f47fdba5597a4f9e6ddd4bfb6ff5d85028c25cb12f6"
+dependencies = [
+ "unicode-bidi",
+ "unicode-normalization",
+]
+
+[[package]]
+name = "indexmap"
+version = "1.9.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bd070e393353796e801d209ad339e89596eb4c8d430d18ede6a1cced8fafbd99"
+dependencies = [
+ "autocfg",
+ "hashbrown 0.12.3",
+ "serde",
+]
+
+[[package]]
+name = "indexmap"
+version = "2.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d530e1a18b1cb4c484e6e34556a0d948706958449fca0cab753d649f2bce3d1f"
+dependencies = [
+ "equivalent",
+ "hashbrown 0.14.3",
+ "serde",
+]
+
+[[package]]
+name = "indicatif"
+version = "0.17.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fb28741c9db9a713d93deb3bb9515c20788cef5815265bee4980e87bde7e0f25"
+dependencies = [
+ "console",
+ "instant",
+ "number_prefix",
+ "portable-atomic",
+ "unicode-width",
+]
+
+[[package]]
+name = "inout"
+version = "0.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a0c10553d664a4d0bcff9f4215d0aac67a639cc68ef660840afe309b807bc9f5"
+dependencies = [
+ "block-padding",
+ "generic-array",
+]
+
+[[package]]
+name = "instant"
+version = "0.1.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7a5bbe824c507c5da5956355e86a746d82e0e1464f65d862cc5e71da70e94b2c"
+dependencies = [
+ "cfg-if",
+]
+
+[[package]]
+name = "ipnet"
+version = "2.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f518f335dce6725a761382244631d86cf0ccb2863413590b31338feb467f9c3"
+
+[[package]]
+name = "iso8601"
+version = "0.6.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "924e5d73ea28f59011fec52a0d12185d496a9b075d360657aed2a5707f701153"
+dependencies = [
+ "nom",
+]
+
+[[package]]
+name = "itoa"
+version = "1.0.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b1a46d1a171d865aa5f83f92695765caa047a9b4cbae2cbf37dbd613a793fd4c"
+
+[[package]]
+name = "js-sys"
+version = "0.3.67"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9a1d36f1235bc969acba30b7f5990b864423a6068a10f7c90ae8f0112e3a59d1"
+dependencies = [
+ "wasm-bindgen",
+]
+
+[[package]]
+name = "lazy_static"
+version = "1.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e2abad23fbc42b3700f2f279844dc832adb2b2eb069b2df918f455c4e18cc646"
+
+[[package]]
+name = "libc"
+version = "0.2.152"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "13e3bf6590cbc649f4d1a3eefc9d5d6eb746f5200ffb04e5e142700b8faa56e7"
+
+[[package]]
+name = "libredox"
+version = "0.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "85c833ca1e66078851dba29046874e38f08b2c883700aa29a03ddd3b23814ee8"
+dependencies = [
+ "bitflags 2.4.1",
+ "libc",
+ "redox_syscall",
+]
+
+[[package]]
+name = "linux-raw-sys"
+version = "0.4.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c4cd1a83af159aa67994778be9070f0ae1bd732942279cabb14f86f986a21456"
+
+[[package]]
+name = "log"
+version = "0.4.20"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b5e6163cb8c49088c2c36f57875e58ccd8c87c7427f7fbd50ea6710b2f3f2e8f"
+
+[[package]]
+name = "m3u8-rs"
+version = "5.0.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0c1d7ba86f7ea62f17f4310c55e93244619ddc7dadfc7e565de1967e4e41e6e7"
+dependencies = [
+ "chrono",
+ "nom",
+]
+
+[[package]]
+name = "matches"
+version = "0.1.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2532096657941c2fea9c289d370a250971c689d4f143798ff67113ec042024a5"
+
+[[package]]
+name = "memchr"
+version = "2.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "523dc4f511e55ab87b694dc30d0f820d60906ef06413f93d4d7a1385599cc149"
+
+[[package]]
+name = "mime"
+version = "0.3.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6877bb514081ee2a7ff5ef9de3281f14a4dd4bceac4c09388074a6b5df8a139a"
+
+[[package]]
+name = "minimal-lexical"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "68354c5c6bd36d73ff3feceb05efa59b6acb7626617f4962be322a825e61f79a"
+
+[[package]]
+name = "miniz_oxide"
+version = "0.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e7810e0be55b428ada41041c41f32c9f1a42817901b4ccf45fa3d4b6561e74c7"
+dependencies = [
+ "adler",
+]
+
+[[package]]
+name = "mio"
+version = "0.8.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f3d0b296e374a4e6f3c7b0a1f5a51d748a0d34c85e7dc48fc3fa9a87657fe09"
+dependencies = [
+ "libc",
+ "wasi",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "native-tls"
+version = "0.2.11"
+source = "git+https://github.com/crunchy-labs/rust-not-so-native-tls.git?rev=fdba246#fdba246a79986607cbdf573733445498bb6da2a9"
+dependencies = [
+ "libc",
+ "log",
+ "openssl",
+ "openssl-probe",
+ "openssl-sys",
+ "schannel",
+ "security-framework",
+ "security-framework-sys",
+ "tempfile",
+]
+
+[[package]]
+name = "nix"
+version = "0.27.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2eb04e9c688eff1c89d72b407f168cf79bb9e867a9d3323ed6c01519eb9cc053"
+dependencies = [
+ "bitflags 2.4.1",
+ "cfg-if",
+ "libc",
+]
+
+[[package]]
+name = "nom"
+version = "7.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d273983c5a657a70a3e8f2a01329822f3b8c8172b73826411a55751e404a0a4a"
+dependencies = [
+ "memchr",
+ "minimal-lexical",
+]
+
+[[package]]
+name = "num-traits"
+version = "0.2.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "39e3200413f237f41ab11ad6d161bc7239c84dcb631773ccd7de3dfe4b5c267c"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "num_cpus"
+version = "1.16.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4161fcb6d602d4d2081af7c3a45852d875a03dd337a6bfdd6e06407b61342a43"
+dependencies = [
+ "hermit-abi",
+ "libc",
+]
+
+[[package]]
+name = "number_prefix"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "830b246a0e5f20af87141b25c173cd1b609bd7779a4617d6ec582abaf90870f3"
+
+[[package]]
+name = "object"
+version = "0.32.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a6a622008b6e321afc04970976f62ee297fdbaa6f95318ca343e3eebb9648441"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "once_cell"
+version = "1.19.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3fdb12b2476b595f9358c5161aa467c2438859caa136dec86c26fdd2efe17b92"
+
+[[package]]
+name = "openssl"
+version = "0.10.62"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8cde4d2d9200ad5909f8dac647e29482e07c3a35de8a13fce7c9c7747ad9f671"
+dependencies = [
+ "bitflags 2.4.1",
+ "cfg-if",
+ "foreign-types",
+ "libc",
+ "once_cell",
+ "openssl-macros",
+ "openssl-sys",
+]
+
+[[package]]
+name = "openssl-macros"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a948666b637a0f465e8564c73e89d4dde00d72d4d473cc972f390fc3dcee7d9c"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "openssl-probe"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ff011a302c396a5197692431fc1948019154afc178baf7d8e37367442a4601cf"
+
+[[package]]
+name = "openssl-src"
+version = "300.2.1+3.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3fe476c29791a5ca0d1273c697e96085bbabbbea2ef7afd5617e78a4b40332d3"
+dependencies = [
+ "cc",
+]
+
+[[package]]
+name = "openssl-sys"
+version = "0.9.98"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c1665caf8ab2dc9aef43d1c0023bd904633a6a05cb30b0ad59bec2ae986e57a7"
+dependencies = [
+ "cc",
+ "libc",
+ "openssl-src",
+ "pkg-config",
+ "vcpkg",
+]
+
+[[package]]
+name = "option-ext"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "04744f49eae99ab78e0d5c0b603ab218f515ea8cfe5a456d7629ad883a3b6e7d"
+
+[[package]]
+name = "percent-encoding"
+version = "2.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e3148f5046208a5d56bcfc03053e3ca6334e51da8dfb19b6cdc8b306fae3283e"
+
+[[package]]
+name = "pin-project-lite"
+version = "0.2.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8afb450f006bf6385ca15ef45d71d2288452bc3683ce2e2cacc0d18e4be60b58"
+
+[[package]]
+name = "pin-utils"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8b870d8c151b6f2fb93e84a13146138f05d02ed11c7e7c54f8826aaaf7c9f184"
+
+[[package]]
+name = "pkg-config"
+version = "0.3.28"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "69d3587f8a9e599cc7ec2c00e331f71c4e69a5f9a4b8a6efd5b07466b9736f9a"
+
+[[package]]
+name = "portable-atomic"
+version = "1.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7170ef9988bc169ba16dd36a7fa041e5c4cbeb6a35b76d4c03daded371eae7c0"
+
+[[package]]
+name = "powerfmt"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "439ee305def115ba05938db6eb1644ff94165c5ab5e9420d1c1bcedbba909391"
+
+[[package]]
+name = "proc-macro2"
+version = "1.0.76"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "95fc56cda0b5c3325f5fbbd7ff9fda9e02bb00bb3dac51252d2f1bfa1cb8cc8c"
+dependencies = [
+ "unicode-ident",
+]
+
+[[package]]
+name = "psl-types"
+version = "2.0.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "33cb294fe86a74cbcf50d4445b37da762029549ebeea341421c7c70370f86cac"
+
+[[package]]
+name = "publicsuffix"
+version = "2.2.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "96a8c1bda5ae1af7f99a2962e49df150414a43d62404644d98dd5c3a93d07457"
+dependencies = [
+ "idna 0.3.0",
+ "psl-types",
+]
+
+[[package]]
+name = "quick-xml"
+version = "0.31.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1004a344b30a54e2ee58d66a71b32d2db2feb0a31f9a2d302bf0536f15de2a33"
+dependencies = [
+ "memchr",
+ "serde",
+]
+
+[[package]]
+name = "quote"
+version = "1.0.35"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "291ec9ab5efd934aaf503a6466c5d5251535d108ee747472c3977cc5acc868ef"
+dependencies = [
+ "proc-macro2",
+]
+
+[[package]]
+name = "redox_syscall"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4722d768eff46b75989dd134e5c353f0d6296e5aaa3132e776cbdb56be7731aa"
+dependencies = [
+ "bitflags 1.3.2",
+]
+
+[[package]]
+name = "redox_users"
+version = "0.4.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a18479200779601e498ada4e8c1e1f50e3ee19deb0259c25825a98b5603b2cb4"
+dependencies = [
+ "getrandom",
+ "libredox",
+ "thiserror",
+]
+
+[[package]]
+name = "regex"
+version = "1.10.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "380b951a9c5e80ddfd6136919eef32310721aa4aacd4889a8d39124b026ab343"
+dependencies = [
+ "aho-corasick",
+ "memchr",
+ "regex-automata",
+ "regex-syntax",
+]
+
+[[package]]
+name = "regex-automata"
+version = "0.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5f804c7828047e88b2d32e2d7fe5a105da8ee3264f01902f796c8e067dc2483f"
+dependencies = [
+ "aho-corasick",
+ "memchr",
+ "regex-syntax",
+]
+
+[[package]]
+name = "regex-syntax"
+version = "0.8.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c08c74e62047bb2de4ff487b251e4a92e24f48745648451635cec7d591162d9f"
+
+[[package]]
+name = "reqwest"
+version = "0.11.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "37b1ae8d9ac08420c66222fb9096fc5de435c3c48542bc5336c51892cffafb41"
+dependencies = [
+ "base64",
+ "bytes",
+ "cookie",
+ "cookie_store",
+ "encoding_rs",
+ "futures-core",
+ "futures-util",
+ "h2",
+ "http",
+ "http-body",
+ "hyper",
+ "hyper-rustls",
+ "hyper-tls",
+ "ipnet",
+ "js-sys",
+ "log",
+ "mime",
+ "native-tls",
+ "once_cell",
+ "percent-encoding",
+ "pin-project-lite",
+ "rustls",
+ "rustls-pemfile",
+ "serde",
+ "serde_json",
+ "serde_urlencoded",
+ "system-configuration",
+ "tokio",
+ "tokio-native-tls",
+ "tokio-rustls",
+ "tokio-socks",
+ "tokio-util",
+ "tower-service",
+ "url",
+ "wasm-bindgen",
+ "wasm-bindgen-futures",
+ "wasm-streams",
+ "web-sys",
+ "webpki-roots 0.25.3",
+ "winreg",
+]
+
+[[package]]
+name = "ring"
+version = "0.17.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "688c63d65483050968b2a8937f7995f443e27041a0f7700aa59b0822aedebb74"
+dependencies = [
+ "cc",
+ "getrandom",
+ "libc",
+ "spin",
+ "untrusted",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "roff"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b833d8d034ea094b1ea68aa6d5c740e0d04bad9d16568d08ba6f76823a114316"
+
+[[package]]
+name = "rustc-demangle"
+version = "0.1.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d626bb9dae77e28219937af045c257c28bfd3f69333c512553507f5f9798cb76"
+
+[[package]]
+name = "rustix"
+version = "0.38.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "322394588aaf33c24007e8bb3238ee3e4c5c09c084ab32bc73890b99ff326bca"
+dependencies = [
+ "bitflags 2.4.1",
+ "errno",
+ "libc",
+ "linux-raw-sys",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "rustls"
+version = "0.21.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f9d5a6813c0759e4609cd494e8e725babae6a2ca7b62a5536a13daaec6fcb7ba"
+dependencies = [
+ "log",
+ "ring",
+ "rustls-webpki",
+ "sct",
+]
+
+[[package]]
+name = "rustls-native-certs"
+version = "0.6.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a9aace74cb666635c918e9c12bc0d348266037aa8eb599b5cba565709a8dff00"
+dependencies = [
+ "openssl-probe",
+ "rustls-pemfile",
+ "schannel",
+ "security-framework",
+]
+
+[[package]]
+name = "rustls-pemfile"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1c74cae0a4cf6ccbbf5f359f08efdf8ee7e1dc532573bf0db71968cb56b1448c"
+dependencies = [
+ "base64",
+]
+
+[[package]]
+name = "rustls-pki-types"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9e9d979b3ce68192e42760c7810125eb6cf2ea10efae545a156063e61f314e2a"
+
+[[package]]
+name = "rustls-webpki"
+version = "0.101.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8b6275d1ee7a1cd780b64aca7726599a1dbc893b1e64144529e55c3c2f745765"
+dependencies = [
+ "ring",
+ "untrusted",
+]
+
+[[package]]
+name = "ryu"
+version = "1.0.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f98d2aa92eebf49b69786be48e4477826b256916e84a57ff2a4f21923b48eb4c"
+
+[[package]]
+name = "schannel"
+version = "0.1.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fbc91545643bcf3a0bbb6569265615222618bdf33ce4ffbbd13c4bbd4c093534"
+dependencies = [
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "sct"
+version = "0.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "da046153aa2352493d6cb7da4b6e5c0c057d8a1d0a9aa8560baffdd945acd414"
+dependencies = [
+ "ring",
+ "untrusted",
+]
+
+[[package]]
+name = "security-framework"
+version = "2.9.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "05b64fb303737d99b81884b2c63433e9ae28abebe5eb5045dcdd175dc2ecf4de"
+dependencies = [
+ "bitflags 1.3.2",
+ "core-foundation",
+ "core-foundation-sys",
+ "libc",
+ "security-framework-sys",
+]
+
+[[package]]
+name = "security-framework-sys"
+version = "2.9.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e932934257d3b408ed8f30db49d85ea163bfe74961f017f405b025af298f0c7a"
+dependencies = [
+ "core-foundation-sys",
+ "libc",
+]
+
+[[package]]
+name = "serde"
+version = "1.0.195"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "63261df402c67811e9ac6def069e4786148c4563f4b50fd4bf30aa370d626b02"
+dependencies = [
+ "serde_derive",
+]
+
+[[package]]
+name = "serde_derive"
+version = "1.0.195"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "46fe8f8603d81ba86327b23a2e9cdf49e1255fb94a4c5f297f6ee0547178ea2c"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "serde_json"
+version = "1.0.111"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "176e46fa42316f18edd598015a5166857fc835ec732f5215eac6b7bdbf0a84f4"
+dependencies = [
+ "itoa",
+ "ryu",
+ "serde",
+]
+
+[[package]]
+name = "serde_path_to_error"
+version = "0.1.15"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ebd154a240de39fdebcf5775d2675c204d7c13cf39a4c697be6493c8e734337c"
+dependencies = [
+ "itoa",
+ "serde",
+]
+
+[[package]]
+name = "serde_plain"
+version = "1.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9ce1fc6db65a611022b23a0dec6975d63fb80a302cb3388835ff02c097258d50"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "serde_urlencoded"
+version = "0.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d3491c14715ca2294c4d6a88f15e84739788c1d030eed8c110436aafdaa2f3fd"
+dependencies = [
+ "form_urlencoded",
+ "itoa",
+ "ryu",
+ "serde",
+]
+
+[[package]]
+name = "serde_with"
+version = "3.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "64cd236ccc1b7a29e7e2739f27c0b2dd199804abc4290e32f59f3b68d6405c23"
+dependencies = [
+ "base64",
+ "chrono",
+ "hex",
+ "indexmap 1.9.3",
+ "indexmap 2.1.0",
+ "serde",
+ "serde_json",
+ "serde_with_macros",
+ "time",
+]
+
+[[package]]
+name = "serde_with_macros"
+version = "3.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "93634eb5f75a2323b16de4748022ac4297f9e76b6dced2be287a099f41b5e788"
+dependencies = [
+ "darling",
+ "proc-macro2",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "shell-words"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "24188a676b6ae68c3b2cb3a01be17fbf7240ce009799bb56d5b1409051e78fde"
+
+[[package]]
+name = "shlex"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a7cee0529a6d40f580e7a5e6c495c8fbfe21b7b52795ed4bb5e62cdf92bc6380"
+
+[[package]]
+name = "slab"
+version = "0.4.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f92a496fb766b417c996b9c5e57daf2f7ad3b0bebe1ccfca4856390e3d3bb67"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "smart-default"
+version = "0.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0eb01866308440fc64d6c44d9e86c5cc17adfe33c4d6eed55da9145044d0ffc1"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "socket2"
+version = "0.5.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7b5fac59a5cb5dd637972e5fca70daf0523c9067fcdc4842f053dae04a18f8e9"
+dependencies = [
+ "libc",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "spin"
+version = "0.9.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6980e8d7511241f8acf4aebddbb1ff938df5eebe98691418c4468d0b72a96a67"
+
+[[package]]
+name = "strsim"
+version = "0.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "73473c0e59e6d5812c5dfe2a064a6444949f089e20eec9a2e5506596494e4623"
+
+[[package]]
+name = "syn"
+version = "2.0.48"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0f3531638e407dfc0814761abb7c00a5b54992b849452a0646b7f65c9f770f3f"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "unicode-ident",
+]
+
+[[package]]
+name = "sys-locale"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e801cf239ecd6ccd71f03d270d67dd53d13e90aab208bf4b8fe4ad957ea949b0"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "system-configuration"
+version = "0.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ba3a3adc5c275d719af8cb4272ea1c4a6d668a777f37e115f6d11ddbc1c8e0e7"
+dependencies = [
+ "bitflags 1.3.2",
+ "core-foundation",
+ "system-configuration-sys",
+]
+
+[[package]]
+name = "system-configuration-sys"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a75fb188eb626b924683e3b95e3a48e63551fcfb51949de2f06a9d91dbee93c9"
+dependencies = [
+ "core-foundation-sys",
+ "libc",
+]
+
+[[package]]
+name = "tempfile"
+version = "3.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "01ce4141aa927a6d1bd34a041795abd0db1cccba5d5f24b009f694bdf3a1f3fa"
+dependencies = [
+ "cfg-if",
+ "fastrand",
+ "redox_syscall",
+ "rustix",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "thiserror"
+version = "1.0.56"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d54378c645627613241d077a3a79db965db602882668f9136ac42af9ecb730ad"
+dependencies = [
+ "thiserror-impl",
+]
+
+[[package]]
+name = "thiserror-impl"
+version = "1.0.56"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fa0faa943b50f3db30a20aa7e265dbc66076993efed8463e8de414e5d06d3471"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "time"
+version = "0.3.31"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f657ba42c3f86e7680e53c8cd3af8abbe56b5491790b46e22e19c0d57463583e"
+dependencies = [
+ "deranged",
+ "itoa",
+ "powerfmt",
+ "serde",
+ "time-core",
+ "time-macros",
+]
+
+[[package]]
+name = "time-core"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ef927ca75afb808a4d64dd374f00a2adf8d0fcff8e7b184af886c3c87ec4a3f3"
+
+[[package]]
+name = "time-macros"
+version = "0.2.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "26197e33420244aeb70c3e8c78376ca46571bc4e701e4791c2cd9f57dcb3a43f"
+dependencies = [
+ "time-core",
+]
+
+[[package]]
+name = "tinyvec"
+version = "1.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "87cc5ceb3875bb20c2890005a4e226a4651264a5c75edb2421b52861a0a0cb50"
+dependencies = [
+ "tinyvec_macros",
+]
+
+[[package]]
+name = "tinyvec_macros"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20"
+
+[[package]]
+name = "tokio"
+version = "1.35.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c89b4efa943be685f629b149f53829423f8f5531ea21249408e8e2f8671ec104"
+dependencies = [
+ "backtrace",
+ "bytes",
+ "libc",
+ "mio",
+ "num_cpus",
+ "pin-project-lite",
+ "socket2",
+ "tokio-macros",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "tokio-macros"
+version = "2.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5b8a1e28f2deaa14e508979454cb3a223b10b938b45af148bc0986de36f1923b"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "tokio-native-tls"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bbae76ab933c85776efabc971569dd6119c580d8f5d448769dec1764bf796ef2"
+dependencies = [
+ "native-tls",
+ "tokio",
+]
+
+[[package]]
+name = "tokio-rustls"
+version = "0.24.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c28327cf380ac148141087fbfb9de9d7bd4e84ab5d2c28fbc911d753de8a7081"
+dependencies = [
+ "rustls",
+ "tokio",
+]
+
+[[package]]
+name = "tokio-socks"
+version = "0.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "51165dfa029d2a65969413a6cc96f354b86b464498702f174a4efa13608fd8c0"
+dependencies = [
+ "either",
+ "futures-util",
+ "thiserror",
+ "tokio",
+]
+
+[[package]]
+name = "tokio-util"
+version = "0.7.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5419f34732d9eb6ee4c3578b7989078579b7f039cbbb9ca2c4da015749371e15"
+dependencies = [
+ "bytes",
+ "futures-core",
+ "futures-sink",
+ "pin-project-lite",
+ "tokio",
+ "tracing",
+]
+
+[[package]]
+name = "tower-service"
+version = "0.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b6bc1c9ce2b5135ac7f93c72918fc37feb872bdc6a5533a8b85eb4b86bfdae52"
+
+[[package]]
+name = "tracing"
+version = "0.1.40"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c3523ab5a71916ccf420eebdf5521fcef02141234bbc0b8a49f2fdc4544364ef"
+dependencies = [
+ "pin-project-lite",
+ "tracing-attributes",
+ "tracing-core",
+]
+
+[[package]]
+name = "tracing-attributes"
+version = "0.1.27"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "34704c8d6ebcbc939824180af020566b01a7c01f80641264eba0999f6c2b6be7"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "tracing-core"
+version = "0.1.32"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c06d3da6113f116aaee68e4d601191614c9053067f9ab7f6edbcb161237daa54"
+dependencies = [
+ "once_cell",
+]
+
+[[package]]
+name = "try-lock"
+version = "0.2.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e421abadd41a4225275504ea4d6566923418b7f05506fbc9c0fe86ba7396114b"
+
+[[package]]
+name = "typenum"
+version = "1.17.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "42ff0bf0c66b8238c6f3b578df37d0b7848e55df8577b3f74f92a69acceeb825"
+
+[[package]]
+name = "unicode-bidi"
+version = "0.3.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6f2528f27a9eb2b21e69c95319b30bd0efd85d09c379741b0f78ea1d86be2416"
+
+[[package]]
+name = "unicode-ident"
+version = "1.0.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3354b9ac3fae1ff6755cb6db53683adb661634f67557942dea4facebec0fee4b"
+
+[[package]]
+name = "unicode-normalization"
+version = "0.1.22"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5c5713f0fc4b5db668a2ac63cdb7bb4469d8c9fed047b1d0292cc7b0ce2ba921"
+dependencies = [
+ "tinyvec",
+]
+
+[[package]]
+name = "unicode-width"
+version = "0.1.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e51733f11c9c4f72aa0c160008246859e340b00807569a0da0e7a1079b27ba85"
+
+[[package]]
+name = "untrusted"
+version = "0.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8ecb6da28b8a351d773b68d5825ac39017e680750f980f3a1a85cd8dd28a47c1"
+
+[[package]]
+name = "url"
+version = "2.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "31e6302e3bb753d46e83516cae55ae196fc0c309407cf11ab35cc51a4c2a4633"
+dependencies = [
+ "form_urlencoded",
+ "idna 0.5.0",
+ "percent-encoding",
+]
+
+[[package]]
+name = "utf8parse"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "711b9620af191e0cdc7468a8d14e709c3dcdb115b36f838e601583af800a370a"
+
+[[package]]
+name = "vcpkg"
+version = "0.2.15"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "accd4ea62f7bb7a82fe23066fb0957d48ef677f6eeb8215f372f52e48bb32426"
+
+[[package]]
+name = "version_check"
+version = "0.9.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "49874b5167b65d7193b8aba1567f5c7d93d001cafc34600cee003eda787e483f"
+
+[[package]]
+name = "want"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bfa7760aed19e106de2c7c0b581b509f2f25d3dacaf737cb82ac61bc6d760b0e"
+dependencies = [
+ "try-lock",
+]
+
+[[package]]
+name = "wasi"
+version = "0.11.0+wasi-snapshot-preview1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
+
+[[package]]
+name = "wasm-bindgen"
+version = "0.2.90"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b1223296a201415c7fad14792dbefaace9bd52b62d33453ade1c5b5f07555406"
+dependencies = [
+ "cfg-if",
+ "wasm-bindgen-macro",
+]
+
+[[package]]
+name = "wasm-bindgen-backend"
+version = "0.2.90"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fcdc935b63408d58a32f8cc9738a0bffd8f05cc7c002086c6ef20b7312ad9dcd"
+dependencies = [
+ "bumpalo",
+ "log",
+ "once_cell",
+ "proc-macro2",
+ "quote",
+ "syn",
+ "wasm-bindgen-shared",
+]
+
+[[package]]
+name = "wasm-bindgen-futures"
+version = "0.4.40"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bde2032aeb86bdfaecc8b261eef3cba735cc426c1f3a3416d1e0791be95fc461"
+dependencies = [
+ "cfg-if",
+ "js-sys",
+ "wasm-bindgen",
+ "web-sys",
+]
+
+[[package]]
+name = "wasm-bindgen-macro"
+version = "0.2.90"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3e4c238561b2d428924c49815533a8b9121c664599558a5d9ec51f8a1740a999"
+dependencies = [
+ "quote",
+ "wasm-bindgen-macro-support",
+]
+
+[[package]]
+name = "wasm-bindgen-macro-support"
+version = "0.2.90"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bae1abb6806dc1ad9e560ed242107c0f6c84335f1749dd4e8ddb012ebd5e25a7"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn",
+ "wasm-bindgen-backend",
+ "wasm-bindgen-shared",
+]
+
+[[package]]
+name = "wasm-bindgen-shared"
+version = "0.2.90"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4d91413b1c31d7539ba5ef2451af3f0b833a005eb27a631cec32bc0635a8602b"
+
+[[package]]
+name = "wasm-streams"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b4609d447824375f43e1ffbc051b50ad8f4b3ae8219680c94452ea05eb240ac7"
+dependencies = [
+ "futures-util",
+ "js-sys",
+ "wasm-bindgen",
+ "wasm-bindgen-futures",
+ "web-sys",
+]
+
+[[package]]
+name = "web-sys"
+version = "0.3.67"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "58cd2333b6e0be7a39605f0e255892fd7418a682d8da8fe042fe25128794d2ed"
+dependencies = [
+ "js-sys",
+ "wasm-bindgen",
+]
+
+[[package]]
+name = "webpki-roots"
+version = "0.25.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1778a42e8b3b90bff8d0f5032bf22250792889a5cdc752aa0020c84abe3aaf10"
+
+[[package]]
+name = "webpki-roots"
+version = "0.26.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0de2cfda980f21be5a7ed2eadb3e6fe074d56022bea2cdeb1a62eb220fc04188"
+dependencies = [
+ "rustls-pki-types",
+]
+
+[[package]]
+name = "winapi"
+version = "0.3.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5c839a674fcd7a98952e593242ea400abe93992746761e38641405d28b00f419"
+dependencies = [
+ "winapi-i686-pc-windows-gnu",
+ "winapi-x86_64-pc-windows-gnu",
+]
+
+[[package]]
+name = "winapi-i686-pc-windows-gnu"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ac3b87c63620426dd9b991e5ce0329eff545bccbbb34f3be09ff6fb6ab51b7b6"
+
+[[package]]
+name = "winapi-x86_64-pc-windows-gnu"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
+
+[[package]]
+name = "windows-core"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "33ab640c8d7e35bf8ba19b884ba838ceb4fba93a4e8c65a9059d08afcfc683d9"
+dependencies = [
+ "windows-targets 0.52.0",
+]
+
+[[package]]
+name = "windows-sys"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "677d2418bec65e3338edb076e806bc1ec15693c5d0104683f2efe857f61056a9"
+dependencies = [
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "windows-sys"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "282be5f36a8ce781fad8c8ae18fa3f9beff57ec1b52cb3de0789201425d9a33d"
+dependencies = [
+ "windows-targets 0.52.0",
+]
+
+[[package]]
+name = "windows-targets"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9a2fa6e2155d7247be68c096456083145c183cbbbc2764150dda45a87197940c"
+dependencies = [
+ "windows_aarch64_gnullvm 0.48.5",
+ "windows_aarch64_msvc 0.48.5",
+ "windows_i686_gnu 0.48.5",
+ "windows_i686_msvc 0.48.5",
+ "windows_x86_64_gnu 0.48.5",
+ "windows_x86_64_gnullvm 0.48.5",
+ "windows_x86_64_msvc 0.48.5",
+]
+
+[[package]]
+name = "windows-targets"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8a18201040b24831fbb9e4eb208f8892e1f50a37feb53cc7ff887feb8f50e7cd"
+dependencies = [
+ "windows_aarch64_gnullvm 0.52.0",
+ "windows_aarch64_msvc 0.52.0",
+ "windows_i686_gnu 0.52.0",
+ "windows_i686_msvc 0.52.0",
+ "windows_x86_64_gnu 0.52.0",
+ "windows_x86_64_gnullvm 0.52.0",
+ "windows_x86_64_msvc 0.52.0",
+]
+
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2b38e32f0abccf9987a4e3079dfb67dcd799fb61361e53e2882c3cbaf0d905d8"
+
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cb7764e35d4db8a7921e09562a0304bf2f93e0a51bfccee0bd0bb0b666b015ea"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dc35310971f3b2dbbf3f0690a219f40e2d9afcf64f9ab7cc1be722937c26b4bc"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bbaa0368d4f1d2aaefc55b6fcfee13f41544ddf36801e793edbbfd7d7df075ef"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a75915e7def60c94dcef72200b9a8e58e5091744960da64ec734a6c6e9b3743e"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a28637cb1fa3560a16915793afb20081aba2c92ee8af57b4d5f28e4b3e7df313"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f55c233f70c4b27f66c523580f78f1004e8b5a8b659e05a4eb49d4166cca406"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ffe5e8e31046ce6230cc7215707b816e339ff4d4d67c65dffa206fd0f7aa7b9a"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "53d40abd2583d23e4718fddf1ebec84dbff8381c07cae67ff7768bbf19c6718e"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3d6fa32db2bc4a2f5abeacf2b69f7992cd09dca97498da74a151a3132c26befd"
+
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0b7b52767868a23d5bab768e390dc5f5c55825b6d30b86c844ff2dc7414044cc"
+
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1a657e1e9d3f514745a572a6846d3c7aa7dbe1658c056ed9c3344c4109a6949e"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ed94fce61571a4006852b7389a063ab983c02eb1bb37b47f8272ce92d06d9538"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dff9641d1cd4be8d1a070daf9e3773c5f67e78b4d9d42263020c057706765c04"
+
+[[package]]
+name = "winreg"
+version = "0.50.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "524e57b2c537c0f9b1e69f1965311ec12182b4122e45035b1508cd24d2adadb1"
+dependencies = [
+ "cfg-if",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "xattr"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "914566e6413e7fa959cc394fb30e563ba80f3541fbd40816d4c05a0fc3f2a0f1"
+dependencies = [
+ "libc",
+ "linux-raw-sys",
+ "rustix",
+]
diff --git a/pkgs/by-name/cr/crunchy-cli/package.nix b/pkgs/by-name/cr/crunchy-cli/package.nix
new file mode 100644
index 000000000000..5afefe4a37fc
--- /dev/null
+++ b/pkgs/by-name/cr/crunchy-cli/package.nix
@@ -0,0 +1,49 @@
+{ lib
+, stdenv
+, darwin
+, fetchFromGitHub
+, openssl
+, pkg-config
+, rustPlatform
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "crunchy-cli";
+ version = "3.2.5";
+
+ src = fetchFromGitHub {
+ owner = "crunchy-labs";
+ repo = "crunchy-cli";
+ rev = "v${version}";
+ hash = "sha256-hzmTwUd+bQwr+5UtXKMalJZUDxOC5nhXNTXbYZN8xtA=";
+ };
+
+ cargoLock = {
+ lockFile = ./Cargo.lock;
+ outputHashes = {
+ "native-tls-0.2.11" = "sha256-+NeXsxuThKNOzVLBItKcuTAM/0zR/BzJGMKkuq99gBM=";
+ };
+ };
+
+ nativeBuildInputs = lib.optionals stdenv.isLinux [
+ pkg-config
+ ];
+
+ buildInputs = lib.optionals stdenv.isLinux [
+ openssl
+ ] ++ lib.optionals stdenv.isDarwin [
+ darwin.apple_sdk.frameworks.SystemConfiguration
+ ];
+
+ env = {
+ OPENSSL_NO_VENDOR = true;
+ };
+
+ meta = with lib; {
+ description = "Command-line downloader for Crunchyroll";
+ homepage = "https://github.com/crunchy-labs/crunchy-cli";
+ license = licenses.mit;
+ maintainers = with maintainers; [ stepbrobd ];
+ mainProgram = "crunchy-cli";
+ };
+}
diff --git a/pkgs/by-name/cs/csvkit/package.nix b/pkgs/by-name/cs/csvkit/package.nix
index bdd66083fd08..2c30a921c0b1 100644
--- a/pkgs/by-name/cs/csvkit/package.nix
+++ b/pkgs/by-name/cs/csvkit/package.nix
@@ -5,7 +5,7 @@
let
pname = "csvkit";
- version = "1.3.0";
+ version = "1.4.0";
pythonEnv = python3;
in
pythonEnv.pkgs.buildPythonApplication {
@@ -14,7 +14,7 @@ pythonEnv.pkgs.buildPythonApplication {
src = fetchPypi {
inherit pname version;
- hash = "sha256-uC5q4tK7QWUXEA7Lro1dhWoN/65CtxIIiBSityAeGvg=";
+ hash = "sha256-LP7EM2egXMXl35nJCZC5WmNtjPmEukbOePzuj/ynr/g=";
};
propagatedBuildInputs = with pythonEnv.pkgs; [
diff --git a/pkgs/by-name/cs/csvlens/package.nix b/pkgs/by-name/cs/csvlens/package.nix
index 81d56c70facc..7781896d8d76 100644
--- a/pkgs/by-name/cs/csvlens/package.nix
+++ b/pkgs/by-name/cs/csvlens/package.nix
@@ -5,16 +5,16 @@
rustPlatform.buildRustPackage rec {
pname = "csvlens";
- version = "0.6.0";
+ version = "0.7.0";
src = fetchFromGitHub {
owner = "YS-L";
repo = "csvlens";
rev = "refs/tags/v${version}";
- hash = "sha256-KileDwgVnrbJ6sCv6d4PjnyYqrEmZK6JESYa7+rBneo=";
+ hash = "sha256-b8SuXx1uN9lBrCoEDLeudZwylHu+f2i/PQkfHA56YlE=";
};
- cargoHash = "sha256-RtnfyhWfctByh8QqOMAu32xKSigP+lCIUIDfzj7kOkE=";
+ cargoHash = "sha256-SPUEK+8rLXBR8cdxN3qUajvN6PxbAZX2i7vYcyMzqyw=";
meta = with lib; {
description = "Command line csv viewer";
diff --git a/pkgs/development/tools/cucumber/Gemfile b/pkgs/by-name/cu/cucumber/Gemfile
similarity index 100%
rename from pkgs/development/tools/cucumber/Gemfile
rename to pkgs/by-name/cu/cucumber/Gemfile
diff --git a/pkgs/by-name/cu/cucumber/Gemfile.lock b/pkgs/by-name/cu/cucumber/Gemfile.lock
new file mode 100644
index 000000000000..cc2f3d54144d
--- /dev/null
+++ b/pkgs/by-name/cu/cucumber/Gemfile.lock
@@ -0,0 +1,45 @@
+GEM
+ remote: https://rubygems.org/
+ specs:
+ bigdecimal (3.1.7)
+ builder (3.2.4)
+ cucumber (9.2.0)
+ builder (~> 3.2)
+ cucumber-ci-environment (> 9, < 11)
+ cucumber-core (> 13, < 14)
+ cucumber-cucumber-expressions (~> 17.0)
+ cucumber-gherkin (> 24, < 28)
+ cucumber-html-formatter (> 20.3, < 22)
+ cucumber-messages (> 19, < 25)
+ diff-lcs (~> 1.5)
+ mini_mime (~> 1.1)
+ multi_test (~> 1.1)
+ sys-uname (~> 1.2)
+ cucumber-ci-environment (10.0.1)
+ cucumber-core (13.0.1)
+ cucumber-gherkin (>= 27, < 28)
+ cucumber-messages (>= 20, < 23)
+ cucumber-tag-expressions (> 5, < 7)
+ cucumber-cucumber-expressions (17.0.2)
+ bigdecimal
+ cucumber-gherkin (27.0.0)
+ cucumber-messages (>= 19.1.4, < 23)
+ cucumber-html-formatter (21.3.0)
+ cucumber-messages (> 19, < 25)
+ cucumber-messages (22.0.0)
+ cucumber-tag-expressions (6.1.0)
+ diff-lcs (1.5.1)
+ ffi (1.16.3)
+ mini_mime (1.1.5)
+ multi_test (1.1.0)
+ sys-uname (1.2.3)
+ ffi (~> 1.1)
+
+PLATFORMS
+ ruby
+
+DEPENDENCIES
+ cucumber
+
+BUNDLED WITH
+ 2.5.6
diff --git a/pkgs/development/tools/cucumber/gemset.nix b/pkgs/by-name/cu/cucumber/gemset.nix
similarity index 75%
rename from pkgs/development/tools/cucumber/gemset.nix
rename to pkgs/by-name/cu/cucumber/gemset.nix
index 1f8f35f1098c..c716c0165c9c 100644
--- a/pkgs/development/tools/cucumber/gemset.nix
+++ b/pkgs/by-name/cu/cucumber/gemset.nix
@@ -1,4 +1,14 @@
{
+ bigdecimal = {
+ groups = ["default"];
+ platforms = [];
+ source = {
+ remotes = ["https://rubygems.org"];
+ sha256 = "0cq1c29zbkcxgdihqisirhcw76xc768z2zpd5vbccpq0l1lv76g7";
+ type = "gem";
+ };
+ version = "3.1.7";
+ };
builder = {
groups = ["default"];
platforms = [];
@@ -15,20 +25,20 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0gmbbj4s4cv9aifks29q9w9yjcrvihcz1i8sijplwbps7334skv1";
+ sha256 = "19qsfgahkah4k0pajxc04mjn8pig7g4n9nkcarg1nzs2612c29s8";
type = "gem";
};
- version = "9.1.0";
+ version = "9.2.0";
};
cucumber-ci-environment = {
groups = ["default"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0a11b6w6khjb7rw7ksxdw4bprmg9gfc8xdrsbgv8767ri891s4lq";
+ sha256 = "0cc6w7dqlmnp59ymi7pyspm3w4m7fn37x6b18pziv62wr373yvmv";
type = "gem";
};
- version = "9.2.0";
+ version = "10.0.1";
};
cucumber-core = {
dependencies = ["cucumber-gherkin" "cucumber-messages" "cucumber-tag-expressions"];
@@ -36,20 +46,21 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1ylxpganbvlzcd4picmgbs060cf0nlpkjc7lqxndyr6xaz2g99y2";
+ sha256 = "1jf5ngxfc1q2y7l2nci3p91gp253aqdhkhazkz0yxq72n6zrszvm";
type = "gem";
};
- version = "12.0.0";
+ version = "13.0.1";
};
cucumber-cucumber-expressions = {
+ dependencies = ["bigdecimal"];
groups = ["default"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0xyvg7l2y9b9gh682z47zcf1na179n8j7bwfyahp79w8s047660b";
+ sha256 = "0wsczwaqws1hbkirjhl0lh5s5xhc7cpmj2f790lkx10nr85rbpxi";
type = "gem";
};
- version = "17.0.1";
+ version = "17.0.2";
};
cucumber-gherkin = {
dependencies = ["cucumber-messages"];
@@ -57,10 +68,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0in9cn9pza3vylc1mlpc3ivri493ikq7f9pnsjkfr6ahagacnh4i";
+ sha256 = "063p0slf6fvigdn3jynp5pjf9b05byyyi0jhsyapy46hq4984sif";
type = "gem";
};
- version = "26.2.0";
+ version = "27.0.0";
};
cucumber-html-formatter = {
dependencies = ["cucumber-messages"];
@@ -68,10 +79,10 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "1al5cafzbiqd7fhwyvs0xcpjszav0q5816x9r02v3hzri10wvp5s";
+ sha256 = "0wznhl3b8b47zff0yx69828bx33n0vc60kh6110ml0xni7lx8xw1";
type = "gem";
};
- version = "20.4.0";
+ version = "21.3.0";
};
cucumber-messages = {
groups = ["default"];
@@ -88,20 +99,20 @@
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0rmbw044fdy2756ypnqray8abfxqvwrn1jhsdafdbjwihvvsk62f";
+ sha256 = "1g0fl6v1677q71nkaib2g3p03jdzrwgfanpi96srb1743qd54bk1";
type = "gem";
};
- version = "5.0.6";
+ version = "6.1.0";
};
diff-lcs = {
groups = ["default"];
platforms = [];
source = {
remotes = ["https://rubygems.org"];
- sha256 = "0rwvjahnp7cpmracd8x732rjgnilqv2sx7d1gfrysslc3h039fa9";
+ sha256 = "1znxccz83m4xgpd239nyqxlifdb7m8rlfayk6s259186nkgj6ci7";
type = "gem";
};
- version = "1.5.0";
+ version = "1.5.1";
};
ffi = {
groups = ["default"];
diff --git a/pkgs/development/tools/cucumber/default.nix b/pkgs/by-name/cu/cucumber/package.nix
similarity index 100%
rename from pkgs/development/tools/cucumber/default.nix
rename to pkgs/by-name/cu/cucumber/package.nix
diff --git a/pkgs/by-name/cu/cups-printers/package.nix b/pkgs/by-name/cu/cups-printers/package.nix
new file mode 100644
index 000000000000..9b5e336316e0
--- /dev/null
+++ b/pkgs/by-name/cu/cups-printers/package.nix
@@ -0,0 +1,48 @@
+{ lib
+, fetchFromGitHub
+, python3
+}:
+
+python3.pkgs.buildPythonApplication rec {
+ pname = "cups-printers";
+ version = "1.0.0";
+ pyproject = true;
+
+ src = fetchFromGitHub {
+ owner = "audiusGmbH";
+ repo = "cups-printers";
+ rev = "refs/tags/${version}";
+ hash = "sha256-HTR9t9ElQmCzJfdWyu+JQ8xBfDNpXl8XtNsJxGSfBXk=";
+ };
+
+ pythonRelaxDeps = [
+ "validators"
+ ];
+
+ nativeBuildInputs = with python3.pkgs; [
+ poetry-core
+ pythonRelaxDepsHook
+ ];
+
+ propagatedBuildInputs = with python3.pkgs; [
+ pycups
+ typer
+ validators
+ ] ++ typer.optional-dependencies.all;
+
+ # Project has no tests
+ doCheck = false;
+
+ pythonImportsCheck = [
+ "cups_printers"
+ ];
+
+ meta = with lib; {
+ description = "Tool for interacting with a CUPS server";
+ homepage = "https://github.com/audiusGmbH/cups-printers";
+ changelog = "https://github.com/audiusGmbH/cups-printers/blob/${version}/CHANGELOG.md";
+ license = licenses.mit;
+ maintainers = with maintainers; [ fab ];
+ mainProgram = "cups-printers";
+ };
+}
diff --git a/pkgs/by-name/cu/cursewords/package.nix b/pkgs/by-name/cu/cursewords/package.nix
new file mode 100644
index 000000000000..44c49cbb874b
--- /dev/null
+++ b/pkgs/by-name/cu/cursewords/package.nix
@@ -0,0 +1,35 @@
+{ lib
+, python3Packages
+, fetchFromGitHub
+}:
+python3Packages.buildPythonApplication rec {
+ pname = "cursewords";
+ version = "1.1";
+
+ src = fetchFromGitHub {
+ owner = "thisisparker";
+ repo = "cursewords";
+ rev = "v${version}";
+ hash = "sha256-Ssr15kSdWmyMFFG5uCregrpGQ3rI2cMXqY9+/a3gs84=";
+ };
+
+ nativeBuildInputs = [
+ python3Packages.setuptools
+ python3Packages.wheel
+ ];
+
+ doCheck = false; # no tests
+
+ propagatedBuildInputs = [
+ python3Packages.blessed
+ ];
+
+ meta = with lib; {
+ homepage = "https://github.com/thisisparker/cursewords";
+ description = "Graphical command line program for solving crossword puzzles in the terminal";
+ mainProgram = "cursewords";
+ license = licenses.agpl3Only;
+ maintainers = with maintainers; [ danderson ];
+ platforms = platforms.all;
+ };
+}
diff --git a/pkgs/by-name/cy/cyanrip/package.nix b/pkgs/by-name/cy/cyanrip/package.nix
index 9626224b4f99..e1f1ae1648af 100644
--- a/pkgs/by-name/cy/cyanrip/package.nix
+++ b/pkgs/by-name/cy/cyanrip/package.nix
@@ -38,6 +38,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
homepage = "https://github.com/cyanreg/cyanrip";
description = "Bule-ish CD ripper";
+ mainProgram = "cyanrip";
license = licenses.lgpl21Plus;
platforms = platforms.all;
maintainers = [ maintainers.zane ];
diff --git a/pkgs/by-name/cz/czkawka/package.nix b/pkgs/by-name/cz/czkawka/package.nix
index f1f3fce9189a..c700c9dd8fdf 100644
--- a/pkgs/by-name/cz/czkawka/package.nix
+++ b/pkgs/by-name/cz/czkawka/package.nix
@@ -19,15 +19,15 @@
let
pname = "czkawka";
- version = "6.1.0";
+ version = "7.0.0";
src = fetchFromGitHub {
owner = "qarmin";
repo = "czkawka";
rev = version;
- hash = "sha256-uKmiBNwuu3Eduf0v3p2VYYNf6mgxJTBUsYs+tKZQZys=";
+ hash = "sha256-SOWtLmehh1F8SoDQ+9d7Fyosgzya5ZztCv8IcJZ4J94=";
};
- cargoHash = "sha256-iBO99kpITVl7ySlXPkEg2YecS1lonVx9CbKt9WI180s=";
+ cargoHash = "sha256-GOX7V6NLEMP06nMeRZINwcWCaHwK6T3nkRKl4e25DPg=";
in
rustPlatform.buildRustPackage {
inherit pname version src cargoHash;
diff --git a/pkgs/by-name/da/dark-mode-notify/package.nix b/pkgs/by-name/da/dark-mode-notify/package.nix
index 777400e8057c..51ecb1d38397 100644
--- a/pkgs/by-name/da/dark-mode-notify/package.nix
+++ b/pkgs/by-name/da/dark-mode-notify/package.nix
@@ -3,10 +3,13 @@
, stdenv
, swift
, swiftpm
+, swiftPackages
, darwin
}:
-stdenv.mkDerivation (final: {
+# Use the same stdenv, including clang, as Swift itself
+# Fixes build issues, see https://github.com/NixOS/nixpkgs/pull/296082 and https://github.com/NixOS/nixpkgs/issues/295322
+swiftPackages.stdenv.mkDerivation (final: {
pname = "dark-mode-notify";
version = "unstable-2022-07-18";
diff --git a/pkgs/tools/security/das/default.nix b/pkgs/by-name/da/das/package.nix
similarity index 77%
rename from pkgs/tools/security/das/default.nix
rename to pkgs/by-name/da/das/package.nix
index 2f7cd2fa98f7..3ed340e72edb 100644
--- a/pkgs/tools/security/das/default.nix
+++ b/pkgs/by-name/da/das/package.nix
@@ -5,24 +5,24 @@
python3.pkgs.buildPythonApplication rec {
pname = "das";
- version = "0.3.8";
- format = "pyproject";
+ version = "1.0.3";
+ pyproject = true;
src = fetchFromGitHub {
owner = "snovvcrash";
repo = "DivideAndScan";
rev = "refs/tags/v${version}";
- hash = "sha256-a9gnEBTvZshw42M/GrpCgjZh6FOzL45aZqGRyeHO0ec=";
+ hash = "sha256-WZmWpcBqxsNH96nVWwoepFhsvdxZpYKmAjNd7ghIJMA=";
};
postPatch = ''
substituteInPlace pyproject.toml \
--replace 'networkx = "^2.8.4"' 'networkx = "*"' \
- --replace 'pandas = "^1.4.2"' 'pandas = "*"'
+ --replace 'netaddr = "^0.8.0"' 'netaddr = "*"'
'';
- nativeBuildInputs = with python3.pkgs; [
- poetry-core
+ nativeBuildInputs = [
+ python3.pkgs.poetry-core
];
propagatedBuildInputs = with python3.pkgs; [
@@ -47,5 +47,6 @@ python3.pkgs.buildPythonApplication rec {
homepage = "https://github.com/snovvcrash/DivideAndScan";
license = licenses.bsd2;
maintainers = with maintainers; [ fab ];
+ mainProgram = "das";
};
}
diff --git a/pkgs/by-name/dc/dc3dd/package.nix b/pkgs/by-name/dc/dc3dd/package.nix
index 2744168adfc7..511068fdc219 100644
--- a/pkgs/by-name/dc/dc3dd/package.nix
+++ b/pkgs/by-name/dc/dc3dd/package.nix
@@ -23,10 +23,9 @@ stdenv.mkDerivation (finalAttrs: {
makeFlags = [
"PREFIX=$out"
+ "CC=${stdenv.cc.targetPrefix}cc"
];
- configureFlags = lib.optionals (stdenv.hostPlatform.isAarch32 || stdenv.hostPlatform.isAarch64) [ "--build=arm" ];
-
enableParallelBuilding = true;
meta = with lib; {
@@ -34,8 +33,7 @@ stdenv.mkDerivation (finalAttrs: {
mainProgram = "dc3dd";
homepage = "https://sourceforge.net/projects/dc3dd/";
maintainers = with maintainers; [ d3vil0p3r ];
- platforms = platforms.unix;
+ platforms = platforms.linux;
license = licenses.gpl3Plus; # Refer to https://sourceforge.net/p/dc3dd/code/HEAD/tree/COPYING
- broken = stdenv.isDarwin;
};
})
diff --git a/pkgs/by-name/dd/ddns-updater/package.nix b/pkgs/by-name/dd/ddns-updater/package.nix
new file mode 100644
index 000000000000..b68479082f65
--- /dev/null
+++ b/pkgs/by-name/dd/ddns-updater/package.nix
@@ -0,0 +1,37 @@
+{
+ lib,
+ buildGoModule,
+ fetchFromGitHub,
+}:
+buildGoModule rec {
+ pname = "ddns-updater";
+ version = "2.6.0";
+
+ src = fetchFromGitHub {
+ owner = "qdm12";
+ repo = "ddns-updater";
+ rev = "v${version}";
+ hash = "sha256-NU6KXVjggsXVCKImGqbB1AXcph+ycRfkk5S4JNq0cHg=";
+ };
+
+ vendorHash = "sha256-Ibrv0m3Tz/5JbkHYmiJ9Ijo37fjHc7TP100K7ZTwO8I=";
+
+ ldflags = [
+ "-s"
+ "-w"
+ ];
+
+ subPackages = [ "cmd/updater" ];
+
+ postInstall = ''
+ mv $out/bin/updater $out/bin/ddns-updater
+ '';
+
+ meta = with lib; {
+ description = "Container to update DNS records periodically with WebUI for many DNS providers";
+ homepage = "https://github.com/qdm12/ddns-updater";
+ license = licenses.mit;
+ maintainers = with maintainers; [ delliott ];
+ mainProgram = "ddns-updater";
+ };
+}
diff --git a/pkgs/by-name/dd/ddsmt/package.nix b/pkgs/by-name/dd/ddsmt/package.nix
new file mode 100644
index 000000000000..417824673142
--- /dev/null
+++ b/pkgs/by-name/dd/ddsmt/package.nix
@@ -0,0 +1,35 @@
+{ lib
+, python3Packages
+, fetchPypi
+}:
+
+let
+ version = "2.0.3";
+in
+python3Packages.buildPythonApplication {
+ pname = "ddsmt";
+ inherit version;
+ pyproject = true;
+
+ src = fetchPypi {
+ inherit version;
+ pname = "ddSMT";
+ hash = "sha256-nmhEG4sUmgpgRUduVTtwDLGPJVKx+dEaPb+KjFRwV2Q=";
+ };
+
+ nativeBuildInputs = with python3Packages; [
+ setuptools
+ ];
+
+ propagatedBuildInputs = with python3Packages; [
+ gprof2dot
+ progressbar
+ ];
+
+ meta = {
+ description = "A delta debugger for SMT benchmarks in SMT-LIB v2";
+ homepage = "https://ddsmt.readthedocs.io/";
+ license = with lib.licenses; [ gpl3Plus ];
+ maintainers = with lib.maintainers; [ AndersonTorres ];
+ };
+}
diff --git a/pkgs/by-name/de/debianutils/package.nix b/pkgs/by-name/de/debianutils/package.nix
index 9d7952c8d379..0f39abac3269 100644
--- a/pkgs/by-name/de/debianutils/package.nix
+++ b/pkgs/by-name/de/debianutils/package.nix
@@ -8,14 +8,14 @@
stdenv.mkDerivation (finalAttrs: {
pname = "debianutils";
- version = "5.16";
+ version = "5.17";
src = fetchFromGitLab {
domain = "salsa.debian.org";
owner = "debian";
repo = "debianutils";
rev = "debian/${finalAttrs.version}";
- hash = "sha256-v0sEk0xnFjWsBoDBge57kbANn8afP2EAImgwFihq7bI=";
+ hash = "sha256-lm5pjofLm5RRntvtV+8GVyWZqMhmPs2iGHyvvKDQCvg=";
};
nativeBuildInputs = [
diff --git a/pkgs/by-name/de/decent-sampler/package.nix b/pkgs/by-name/de/decent-sampler/package.nix
index 449a589c9086..fdc728667220 100644
--- a/pkgs/by-name/de/decent-sampler/package.nix
+++ b/pkgs/by-name/de/decent-sampler/package.nix
@@ -1,6 +1,9 @@
{ lib
, stdenv
, fetchzip
+, fetchurl
+, makeDesktopItem
+, copyDesktopItems
, buildFHSEnv
, alsa-lib
, freetype
@@ -10,22 +13,43 @@
let
pname = "decent-sampler";
- version = "1.9.4";
+ version = "1.10.0";
+
+ icon = fetchurl {
+ url = "https://archive.org/download/ds-256/DS256.png";
+ hash = "sha256-SV8zY5QJ6uRSrLuGTmT1zwGoIIXCV9GD2ZNiqK+i1Bc=";
+ };
decent-sampler = stdenv.mkDerivation {
inherit pname version;
src = fetchzip {
- # dropbox link: https://www.dropbox.com/sh/dwyry6xpy5uut07/AABBJ84bjTTSQWzXGG5TOQpfa\
-
+ # dropbox links: https://www.dropbox.com/sh/dwyry6xpy5uut07/AABBJ84bjTTSQWzXGG5TOQpfa\
url = "https://archive.org/download/decent-sampler-linux-static-download-mirror/Decent_Sampler-${version}-Linux-Static-x86_64.tar.gz";
- hash = "sha256-lTp/mukCwLNyeTcBT68eqa7aD0o11Bylbd93A5VCILU=";
+ hash = "sha256-KYCf/F2/ziuXDHim4FPZQBARiSywvQDJBzKbHua+3SM=";
};
+ nativeBuildInputs = [ copyDesktopItems ];
+
+ desktopItems = [
+ (makeDesktopItem {
+ type = "Application";
+ name = "decent-sampler";
+ desktopName = "Decent Sampler";
+ comment = "DecentSampler player";
+ icon = "decent-sampler";
+ exec = "decent-sampler";
+ categories = [ "Audio" "AudioVideo" ];
+ })
+ ];
+
installPhase = ''
runHook preInstall
install -Dm755 DecentSampler $out/bin/decent-sampler
+ install -Dm755 DecentSampler.so -t $out/lib/vst
+ install -d "$out/lib/vst3" && cp -r "DecentSampler.vst3" $out/lib/vst3
+ install -Dm444 ${icon} $out/share/pixmaps/decent-sampler.png
runHook postInstall
'';
@@ -34,7 +58,7 @@ let
in
buildFHSEnv {
- inherit pname version;
+ inherit (decent-sampler) pname version;
targetPkgs = pkgs: [
alsa-lib
@@ -46,6 +70,11 @@ buildFHSEnv {
runScript = "decent-sampler";
+ extraInstallCommands = ''
+ cp -r ${decent-sampler}/lib $out/lib
+ cp -r ${decent-sampler}/share $out/share
+ '';
+
meta = with lib; {
description = "An audio sample player";
longDescription = ''
diff --git a/pkgs/by-name/de/decker/package.nix b/pkgs/by-name/de/decker/package.nix
index 9e9be57ec204..e5b0d2f88673 100644
--- a/pkgs/by-name/de/decker/package.nix
+++ b/pkgs/by-name/de/decker/package.nix
@@ -9,13 +9,13 @@
stdenv.mkDerivation rec {
pname = "decker";
- version = "1.32";
+ version = "1.39";
src = fetchFromGitHub {
owner = "JohnEarnest";
repo = "Decker";
rev = "v${version}";
- hash = "sha256-ch/Lit9qA6XEkPJdcQ03+r0asOKMwy0jRJMHG9VMEig=";
+ hash = "sha256-77x+LT+oTDtK4jszL3A9MAv9Hakovz47yFaiu8kFtTg=";
};
buildInputs = [
diff --git a/pkgs/by-name/de/delfin/package.nix b/pkgs/by-name/de/delfin/package.nix
index b494f6890d8c..cdb73e2d8c79 100644
--- a/pkgs/by-name/de/delfin/package.nix
+++ b/pkgs/by-name/de/delfin/package.nix
@@ -21,29 +21,22 @@
stdenv.mkDerivation rec {
pname = "delfin";
- version = "0.3.0";
+ version = "0.4.0";
src = fetchFromGitea {
domain = "codeberg.org";
owner = "avery42";
repo = "delfin";
rev = "v${version}";
- hash = "sha256-1Q3Aywf80CCXxorWSymwxJwMU1I4k7juDoWG5J18AXY=";
+ hash = "sha256-QwxdNPLL7PBokq5WaPylD4bBmXmJWyEQsWKN7DM2utk=";
};
cargoDeps = rustPlatform.fetchCargoTarball {
inherit src;
name = "${pname}-${version}";
- hash = "sha256-/RZD4b7hrbC1Z5MtHDdib5TFEmxAh9odjNPo4m+FqK4=";
+ hash = "sha256-ElB9TbfmYn/A1Y3+oQ752zHqkC+f2RJPxfGXH0m5C/E=";
};
- # upstream pinned the linker to clang/mold through 0.3.0, unnecessarily.
- # remove this patch for version > 0.3.0.
- # see:
- postPatch = ''
- rm .cargo/config.toml
- '';
-
nativeBuildInputs = [
appstream
desktop-file-utils
diff --git a/pkgs/by-name/de/dep-scan/package.nix b/pkgs/by-name/de/dep-scan/package.nix
index 48ba5c4c51ae..67f88409d99e 100644
--- a/pkgs/by-name/de/dep-scan/package.nix
+++ b/pkgs/by-name/de/dep-scan/package.nix
@@ -5,14 +5,14 @@
python3.pkgs.buildPythonApplication rec {
pname = "dep-scan";
- version = "5.0.2";
+ version = "5.2.12";
pyproject = true;
src = fetchFromGitHub {
owner = "owasp-dep-scan";
repo = "dep-scan";
rev = "refs/tags/v${version}";
- hash = "sha256-qiJyGBGxznNF4LNG9fbmjG7wX0odhrUO2LxOWABtLQA=";
+ hash = "sha256-UoppQAokiWBcgTcSmwfoqrDKt/QHYd2NBR3CpNOqI4k=";
};
postPatch = ''
@@ -26,9 +26,11 @@ python3.pkgs.buildPythonApplication rec {
propagatedBuildInputs = with python3.pkgs; [
appthreat-vulnerability-db
+ cvss
defusedxml
jinja2
oras
+ packageurl-python
pdfkit
pygithub
pyyaml
diff --git a/pkgs/by-name/de/dependabot-cli/package.nix b/pkgs/by-name/de/dependabot-cli/package.nix
index 3cd9b537d515..b5b8eadad45b 100644
--- a/pkgs/by-name/de/dependabot-cli/package.nix
+++ b/pkgs/by-name/de/dependabot-cli/package.nix
@@ -55,6 +55,7 @@ buildGoModule {
meta = with lib; {
changelog = "https://github.com/dependabot/cli/releases/tag/v${version}";
description = "A tool for testing and debugging Dependabot update jobs";
+ mainProgram = "dependabot";
homepage = "https://github.com/dependabot/cli";
license = licenses.mit;
maintainers = with maintainers; [ l0b0 ];
diff --git a/pkgs/by-name/de/deskreen/package.nix b/pkgs/by-name/de/deskreen/package.nix
index 746f813f2ea0..98f735703e1b 100644
--- a/pkgs/by-name/de/deskreen/package.nix
+++ b/pkgs/by-name/de/deskreen/package.nix
@@ -36,7 +36,7 @@ stdenvNoCC.mkDerivation (finalAttrs: {
meta = {
description = "Turn any device into a secondary screen for your computer";
homepage = "https://deskreen.com";
- license = lib.licenses.agpl3;
+ license = lib.licenses.agpl3Only;
mainProgram = "deskreen";
maintainers = with lib.maintainers; [ leo248 drupol ];
platforms = lib.platforms.linux;
diff --git a/pkgs/by-name/de/devenv/Cargo.lock b/pkgs/by-name/de/devenv/Cargo.lock
new file mode 100644
index 000000000000..c7c39688f5c7
--- /dev/null
+++ b/pkgs/by-name/de/devenv/Cargo.lock
@@ -0,0 +1,2126 @@
+# This file is automatically @generated by Cargo.
+# It is not intended for manual editing.
+version = 3
+
+[[package]]
+name = "addr2line"
+version = "0.21.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8a30b2e23b9e17a9f90641c7ab1549cd9b44f296d3ccbf309d2863cfe398a0cb"
+dependencies = [
+ "gimli",
+]
+
+[[package]]
+name = "adler"
+version = "1.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f26201604c87b1e01bd3d98f8d5d9a8fcbb815e8cedb41ffccbeb4bf593a35fe"
+
+[[package]]
+name = "aho-corasick"
+version = "1.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b2969dcb958b36655471fc61f7e416fa76033bdd4bfed0678d8fee1e2d07a1f0"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "ansiterm"
+version = "0.12.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4ab587f5395da16dd2e6939adf53dede583221b320cadfb94e02b5b7b9bf24cc"
+dependencies = [
+ "winapi",
+]
+
+[[package]]
+name = "anstream"
+version = "0.6.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d96bd03f33fe50a863e394ee9718a706f988b9079b20c3784fb726e7678b62fb"
+dependencies = [
+ "anstyle",
+ "anstyle-parse",
+ "anstyle-query",
+ "anstyle-wincon",
+ "colorchoice",
+ "utf8parse",
+]
+
+[[package]]
+name = "anstyle"
+version = "1.0.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8901269c6307e8d93993578286ac0edf7f195079ffff5ebdeea6a59ffb7e36bc"
+
+[[package]]
+name = "anstyle-parse"
+version = "0.2.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c75ac65da39e5fe5ab759307499ddad880d724eed2f6ce5b5e8a26f4f387928c"
+dependencies = [
+ "utf8parse",
+]
+
+[[package]]
+name = "anstyle-query"
+version = "1.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e28923312444cdd728e4738b3f9c9cac739500909bb3d3c94b43551b16517648"
+dependencies = [
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "anstyle-wincon"
+version = "3.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1cd54b81ec8d6180e24654d0b371ad22fc3dd083b6ff8ba325b72e00c87660a7"
+dependencies = [
+ "anstyle",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "atty"
+version = "0.2.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d9b39be18770d11421cdb1b9947a45dd3f37e93092cbf377614828a319d5fee8"
+dependencies = [
+ "hermit-abi",
+ "libc",
+ "winapi",
+]
+
+[[package]]
+name = "autocfg"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d468802bab17cbc0cc575e9b053f41e72aa36bfa6b7f55e3529ffa43161b97fa"
+
+[[package]]
+name = "backtrace"
+version = "0.3.69"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2089b7e3f35b9dd2d0ed921ead4f6d318c27680d4a5bd167b3ee120edb105837"
+dependencies = [
+ "addr2line",
+ "cc",
+ "cfg-if",
+ "libc",
+ "miniz_oxide",
+ "object",
+ "rustc-demangle",
+]
+
+[[package]]
+name = "backtrace-ext"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "537beee3be4a18fb023b570f80e3ae28003db9167a751266b259926e25539d50"
+dependencies = [
+ "backtrace",
+]
+
+[[package]]
+name = "base64"
+version = "0.21.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9d297deb1925b89f2ccc13d7635fa0714f12c87adce1c75356b39ca9b7178567"
+
+[[package]]
+name = "bitflags"
+version = "1.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a"
+
+[[package]]
+name = "bitflags"
+version = "2.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ed570934406eb16438a4e976b1b4500774099c13b8cb96eec99f620f05090ddf"
+
+[[package]]
+name = "bumpalo"
+version = "3.15.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8ea184aa71bb362a1157c896979544cc23974e08fd265f29ea96b59f0b4a555b"
+
+[[package]]
+name = "bytes"
+version = "1.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a2bd12c1caf447e69cd4528f47f94d203fd2582878ecb9e9465484c4148a8223"
+
+[[package]]
+name = "castaway"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8a17ed5635fc8536268e5d4de1e22e81ac34419e5f052d4d51f4e01dcc263fcc"
+dependencies = [
+ "rustversion",
+]
+
+[[package]]
+name = "cc"
+version = "1.0.88"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "02f341c093d19155a6e41631ce5971aac4e9a868262212153124c15fa22d1cdc"
+
+[[package]]
+name = "cfg-if"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd"
+
+[[package]]
+name = "cfg_aliases"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fd16c4719339c4530435d38e511904438d07cce7950afa3718a84ac36c10e89e"
+
+[[package]]
+name = "clap"
+version = "3.2.25"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4ea181bf566f71cb9a5d17a59e1871af638180a18fb0035c92ae62b705207123"
+dependencies = [
+ "atty",
+ "bitflags 1.3.2",
+ "clap_derive 3.2.25",
+ "clap_lex 0.2.4",
+ "indexmap 1.9.3",
+ "once_cell",
+ "strsim 0.10.0",
+ "termcolor",
+ "textwrap",
+]
+
+[[package]]
+name = "clap"
+version = "4.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c918d541ef2913577a0f9566e9ce27cb35b6df072075769e0b26cb5a554520da"
+dependencies = [
+ "clap_builder",
+ "clap_derive 4.5.0",
+]
+
+[[package]]
+name = "clap_builder"
+version = "4.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9f3e7391dad68afb0c2ede1bf619f579a3dc9c2ec67f089baa397123a2f3d1eb"
+dependencies = [
+ "anstream",
+ "anstyle",
+ "clap_lex 0.7.0",
+ "strsim 0.11.0",
+]
+
+[[package]]
+name = "clap_derive"
+version = "3.2.25"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ae6371b8bdc8b7d3959e9cf7b22d4435ef3e79e138688421ec654acf8c81b008"
+dependencies = [
+ "heck",
+ "proc-macro-error",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "clap_derive"
+version = "4.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "307bc0538d5f0f83b8248db3087aa92fe504e4691294d0c96c0eabc33f47ba47"
+dependencies = [
+ "heck",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.51",
+]
+
+[[package]]
+name = "clap_lex"
+version = "0.2.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2850f2f5a82cbf437dd5af4d49848fbdfc27c157c3d010345776f952765261c5"
+dependencies = [
+ "os_str_bytes",
+]
+
+[[package]]
+name = "clap_lex"
+version = "0.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "98cc8fbded0c607b7ba9dd60cd98df59af97e84d24e49c8557331cfc26d301ce"
+
+[[package]]
+name = "cli-table"
+version = "0.4.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "adfbb116d9e2c4be7011360d0c0bee565712c11e969c9609b25b619366dc379d"
+dependencies = [
+ "cli-table-derive",
+ "csv",
+ "termcolor",
+ "unicode-width",
+]
+
+[[package]]
+name = "cli-table-derive"
+version = "0.4.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2af3bfb9da627b0a6c467624fb7963921433774ed435493b5c08a3053e829ad4"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "colorchoice"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "acbf1af155f9b9ef647e42cdc158db4b64a1b61f743629225fde6f3e0be2a7c7"
+
+[[package]]
+name = "compact_str"
+version = "0.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f86b9c4c00838774a6d902ef931eff7470720c51d90c2e32cfe15dc304737b3f"
+dependencies = [
+ "castaway",
+ "cfg-if",
+ "itoa",
+ "ryu",
+ "static_assertions",
+]
+
+[[package]]
+name = "convert_case"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ec182b0ca2f35d8fc196cf3404988fd8b8c739a4d270ff118a398feb0cbec1ca"
+dependencies = [
+ "unicode-segmentation",
+]
+
+[[package]]
+name = "core-foundation"
+version = "0.9.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "91e195e091a93c46f7102ec7818a2aa394e1e1771c3ab4825963fa03e45afb8f"
+dependencies = [
+ "core-foundation-sys",
+ "libc",
+]
+
+[[package]]
+name = "core-foundation-sys"
+version = "0.8.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "06ea2b9bc92be3c2baa9334a323ebca2d6f074ff852cd1d7b11064035cd3868f"
+
+[[package]]
+name = "csv"
+version = "1.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ac574ff4d437a7b5ad237ef331c17ccca63c46479e5b5453eb8e10bb99a759fe"
+dependencies = [
+ "csv-core",
+ "itoa",
+ "ryu",
+ "serde",
+]
+
+[[package]]
+name = "csv-core"
+version = "0.1.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5efa2b3d7902f4b634a20cae3c9c4e6209dc4779feb6863329607560143efa70"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "darling"
+version = "0.20.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "54e36fcd13ed84ffdfda6f5be89b31287cbb80c439841fe69e04841435464391"
+dependencies = [
+ "darling_core",
+ "darling_macro",
+]
+
+[[package]]
+name = "darling_core"
+version = "0.20.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9c2cf1c23a687a1feeb728783b993c4e1ad83d99f351801977dd809b48d0a70f"
+dependencies = [
+ "fnv",
+ "ident_case",
+ "proc-macro2",
+ "quote",
+ "strsim 0.10.0",
+ "syn 2.0.51",
+]
+
+[[package]]
+name = "darling_macro"
+version = "0.20.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a668eda54683121533a393014d8692171709ff57a7d61f187b6e782719f8933f"
+dependencies = [
+ "darling_core",
+ "quote",
+ "syn 2.0.51",
+]
+
+[[package]]
+name = "devenv"
+version = "1.0.1"
+dependencies = [
+ "ansiterm",
+ "clap 4.5.1",
+ "cli-table",
+ "dotlock",
+ "fs2",
+ "include_dir",
+ "indoc",
+ "miette",
+ "nix",
+ "regex",
+ "reqwest",
+ "schematic",
+ "serde",
+ "serde_json",
+ "serde_yaml",
+ "tempdir",
+ "tracing",
+ "which",
+ "whoami",
+ "xdg",
+]
+
+[[package]]
+name = "devenv-run-tests"
+version = "0.1.0"
+dependencies = [
+ "clap 3.2.25",
+]
+
+[[package]]
+name = "dirs"
+version = "5.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "44c45a9d03d6676652bcb5e724c7e988de1acad23a711b5217ab9cbecbec2225"
+dependencies = [
+ "dirs-sys",
+]
+
+[[package]]
+name = "dirs-sys"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "520f05a5cbd335fae5a99ff7a6ab8627577660ee5cfd6a94a6a929b52ff0321c"
+dependencies = [
+ "libc",
+ "option-ext",
+ "redox_users",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "dotlock"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "30c541575b952e53113caddb5be24869705052591b534ea11a81a3d4743416a3"
+dependencies = [
+ "tempfile",
+]
+
+[[package]]
+name = "dyn-clone"
+version = "1.0.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0d6ef0072f8a535281e4876be788938b528e9a1d43900b82c2569af7da799125"
+
+[[package]]
+name = "either"
+version = "1.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "11157ac094ffbdde99aa67b23417ebdd801842852b500e395a45a9c0aac03e4a"
+
+[[package]]
+name = "encoding_rs"
+version = "0.8.33"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7268b386296a025e474d5140678f75d6de9493ae55a5d709eeb9dd08149945e1"
+dependencies = [
+ "cfg-if",
+]
+
+[[package]]
+name = "equivalent"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5443807d6dff69373d433ab9ef5378ad8df50ca6298caf15de6e52e24aaf54d5"
+
+[[package]]
+name = "errno"
+version = "0.3.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a258e46cdc063eb8519c00b9fc845fc47bcfca4130e2f08e88665ceda8474245"
+dependencies = [
+ "libc",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "fastrand"
+version = "2.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "25cbce373ec4653f1a01a31e8a5e5ec0c622dc27ff9c4e6606eefef5cbbed4a5"
+
+[[package]]
+name = "fnv"
+version = "1.0.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3f9eec918d3f24069decb9af1554cad7c880e2da24a9afd88aca000531ab82c1"
+
+[[package]]
+name = "foreign-types"
+version = "0.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f6f339eb8adc052cd2ca78910fda869aefa38d22d5cb648e6485e4d3fc06f3b1"
+dependencies = [
+ "foreign-types-shared",
+]
+
+[[package]]
+name = "foreign-types-shared"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "00b0228411908ca8685dba7fc2cdd70ec9990a6e753e89b6ac91a84c40fbaf4b"
+
+[[package]]
+name = "form_urlencoded"
+version = "1.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e13624c2627564efccf4934284bdd98cbaa14e79b0b5a141218e507b3a823456"
+dependencies = [
+ "percent-encoding",
+]
+
+[[package]]
+name = "fs2"
+version = "0.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9564fc758e15025b46aa6643b1b77d047d1a56a1aea6e01002ac0c7026876213"
+dependencies = [
+ "libc",
+ "winapi",
+]
+
+[[package]]
+name = "fuchsia-cprng"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a06f77d526c1a601b7c4cdd98f54b5eaabffc14d5f2f0296febdc7f357c6d3ba"
+
+[[package]]
+name = "futures-channel"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eac8f7d7865dcb88bd4373ab671c8cf4508703796caa2b1985a9ca867b3fcb78"
+dependencies = [
+ "futures-core",
+]
+
+[[package]]
+name = "futures-core"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dfc6580bb841c5a68e9ef15c77ccc837b40a7504914d52e47b8b0e9bbda25a1d"
+
+[[package]]
+name = "futures-io"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a44623e20b9681a318efdd71c299b6b222ed6f231972bfe2f224ebad6311f0c1"
+
+[[package]]
+name = "futures-sink"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9fb8e00e87438d937621c1c6269e53f536c14d3fbd6a042bb24879e57d474fb5"
+
+[[package]]
+name = "futures-task"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "38d84fa142264698cdce1a9f9172cf383a0c82de1bddcf3092901442c4097004"
+
+[[package]]
+name = "futures-util"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3d6401deb83407ab3da39eba7e33987a73c3df0c82b4bb5813ee871c19c41d48"
+dependencies = [
+ "futures-core",
+ "futures-io",
+ "futures-task",
+ "memchr",
+ "pin-project-lite",
+ "pin-utils",
+ "slab",
+]
+
+[[package]]
+name = "garde"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d5fa8fb3ffe035745c6194540b2064b2fe275f32367fbb4eb026024b7921e2e5"
+dependencies = [
+ "compact_str",
+ "garde_derive",
+ "once_cell",
+ "regex",
+ "smallvec",
+]
+
+[[package]]
+name = "garde_derive"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9cf62650515830c41553b72bd49ec20fb120226f9277c7f2847f071cf998325b"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "regex",
+ "syn 2.0.51",
+]
+
+[[package]]
+name = "getrandom"
+version = "0.2.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "190092ea657667030ac6a35e305e62fc4dd69fd98ac98631e5d3a2b1575a12b5"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "wasi",
+]
+
+[[package]]
+name = "gimli"
+version = "0.28.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4271d37baee1b8c7e4b708028c57d816cf9d2434acb33a549475f78c181f6253"
+
+[[package]]
+name = "h2"
+version = "0.3.24"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bb2c4422095b67ee78da96fbb51a4cc413b3b25883c7717ff7ca1ab31022c9c9"
+dependencies = [
+ "bytes",
+ "fnv",
+ "futures-core",
+ "futures-sink",
+ "futures-util",
+ "http",
+ "indexmap 2.2.3",
+ "slab",
+ "tokio",
+ "tokio-util",
+ "tracing",
+]
+
+[[package]]
+name = "hashbrown"
+version = "0.12.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8a9ee70c43aaf417c914396645a0fa852624801b24ebb7ae78fe8272889ac888"
+
+[[package]]
+name = "hashbrown"
+version = "0.14.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "290f1a1d9242c78d09ce40a5e87e7554ee637af1351968159f4952f028f75604"
+
+[[package]]
+name = "heck"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "95505c38b4572b2d910cecb0281560f54b440a19336cbbcb27bf6ce6adc6f5a8"
+
+[[package]]
+name = "hermit-abi"
+version = "0.1.19"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "62b467343b94ba476dcb2500d242dadbb39557df889310ac77c5d99100aaac33"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "home"
+version = "0.5.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e3d1354bf6b7235cb4a0576c2619fd4ed18183f689b12b006a0ee7329eeff9a5"
+dependencies = [
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "http"
+version = "0.2.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8947b1a6fad4393052c7ba1f4cd97bed3e953a95c79c92ad9b051a04611d9fbb"
+dependencies = [
+ "bytes",
+ "fnv",
+ "itoa",
+]
+
+[[package]]
+name = "http-body"
+version = "0.4.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7ceab25649e9960c0311ea418d17bee82c0dcec1bd053b5f9a66e265a693bed2"
+dependencies = [
+ "bytes",
+ "http",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "httparse"
+version = "1.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d897f394bad6a705d5f4104762e116a75639e470d80901eed05a860a95cb1904"
+
+[[package]]
+name = "httpdate"
+version = "1.0.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "df3b46402a9d5adb4c86a0cf463f42e19994e3ee891101b1841f30a545cb49a9"
+
+[[package]]
+name = "hyper"
+version = "0.14.28"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bf96e135eb83a2a8ddf766e426a841d8ddd7449d5f00d34ea02b41d2f19eef80"
+dependencies = [
+ "bytes",
+ "futures-channel",
+ "futures-core",
+ "futures-util",
+ "h2",
+ "http",
+ "http-body",
+ "httparse",
+ "httpdate",
+ "itoa",
+ "pin-project-lite",
+ "socket2",
+ "tokio",
+ "tower-service",
+ "tracing",
+ "want",
+]
+
+[[package]]
+name = "hyper-tls"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d6183ddfa99b85da61a140bea0efc93fdf56ceaa041b37d553518030827f9905"
+dependencies = [
+ "bytes",
+ "hyper",
+ "native-tls",
+ "tokio",
+ "tokio-native-tls",
+]
+
+[[package]]
+name = "ident_case"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b9e0384b61958566e926dc50660321d12159025e767c18e043daf26b70104c39"
+
+[[package]]
+name = "idna"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "634d9b1461af396cad843f47fdba5597a4f9e6ddd4bfb6ff5d85028c25cb12f6"
+dependencies = [
+ "unicode-bidi",
+ "unicode-normalization",
+]
+
+[[package]]
+name = "include_dir"
+version = "0.7.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "18762faeff7122e89e0857b02f7ce6fcc0d101d5e9ad2ad7846cc01d61b7f19e"
+dependencies = [
+ "include_dir_macros",
+]
+
+[[package]]
+name = "include_dir_macros"
+version = "0.7.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b139284b5cf57ecfa712bcc66950bb635b31aff41c188e8a4cfc758eca374a3f"
+dependencies = [
+ "proc-macro2",
+ "quote",
+]
+
+[[package]]
+name = "indexmap"
+version = "1.9.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bd070e393353796e801d209ad339e89596eb4c8d430d18ede6a1cced8fafbd99"
+dependencies = [
+ "autocfg",
+ "hashbrown 0.12.3",
+]
+
+[[package]]
+name = "indexmap"
+version = "2.2.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "233cf39063f058ea2caae4091bf4a3ef70a653afbc026f5c4a4135d114e3c177"
+dependencies = [
+ "equivalent",
+ "hashbrown 0.14.3",
+ "serde",
+]
+
+[[package]]
+name = "indoc"
+version = "2.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1e186cfbae8084e513daff4240b4797e342f988cecda4fb6c939150f96315fd8"
+
+[[package]]
+name = "ipnet"
+version = "2.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f518f335dce6725a761382244631d86cf0ccb2863413590b31338feb467f9c3"
+
+[[package]]
+name = "is_ci"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7655c9839580ee829dfacba1d1278c2b7883e50a277ff7541299489d6bdfdc45"
+
+[[package]]
+name = "itoa"
+version = "1.0.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b1a46d1a171d865aa5f83f92695765caa047a9b4cbae2cbf37dbd613a793fd4c"
+
+[[package]]
+name = "js-sys"
+version = "0.3.68"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "406cda4b368d531c842222cf9d2600a9a4acce8d29423695379c6868a143a9ee"
+dependencies = [
+ "wasm-bindgen",
+]
+
+[[package]]
+name = "lazy_static"
+version = "1.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e2abad23fbc42b3700f2f279844dc832adb2b2eb069b2df918f455c4e18cc646"
+
+[[package]]
+name = "libc"
+version = "0.2.153"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9c198f91728a82281a64e1f4f9eeb25d82cb32a5de251c6bd1b5154d63a8e7bd"
+
+[[package]]
+name = "libredox"
+version = "0.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "85c833ca1e66078851dba29046874e38f08b2c883700aa29a03ddd3b23814ee8"
+dependencies = [
+ "bitflags 2.4.2",
+ "libc",
+ "redox_syscall",
+]
+
+[[package]]
+name = "linux-raw-sys"
+version = "0.4.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "01cda141df6706de531b6c46c3a33ecca755538219bd484262fa09410c13539c"
+
+[[package]]
+name = "log"
+version = "0.4.20"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b5e6163cb8c49088c2c36f57875e58ccd8c87c7427f7fbd50ea6710b2f3f2e8f"
+
+[[package]]
+name = "markdown"
+version = "1.0.0-alpha.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5b0f0025e8c0d89b84d6dc63e859475e40e8e82ab1a08be0a93ad5731513a508"
+dependencies = [
+ "unicode-id",
+]
+
+[[package]]
+name = "memchr"
+version = "2.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "523dc4f511e55ab87b694dc30d0f820d60906ef06413f93d4d7a1385599cc149"
+
+[[package]]
+name = "miette"
+version = "7.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "baed61d13cc3723ee6dbed730a82bfacedc60a85d81da2d77e9c3e8ebc0b504a"
+dependencies = [
+ "backtrace",
+ "backtrace-ext",
+ "miette-derive",
+ "owo-colors",
+ "supports-color",
+ "supports-hyperlinks",
+ "supports-unicode",
+ "terminal_size",
+ "textwrap",
+ "thiserror",
+ "unicode-width",
+]
+
+[[package]]
+name = "miette-derive"
+version = "7.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f301c3f54f98abc6c212ee722f5e5c62e472a334415840669e356f04850051ec"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.51",
+]
+
+[[package]]
+name = "mime"
+version = "0.3.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6877bb514081ee2a7ff5ef9de3281f14a4dd4bceac4c09388074a6b5df8a139a"
+
+[[package]]
+name = "miniz_oxide"
+version = "0.7.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9d811f3e15f28568be3407c8e7fdb6514c1cda3cb30683f15b6a1a1dc4ea14a7"
+dependencies = [
+ "adler",
+]
+
+[[package]]
+name = "mio"
+version = "0.8.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f3d0b296e374a4e6f3c7b0a1f5a51d748a0d34c85e7dc48fc3fa9a87657fe09"
+dependencies = [
+ "libc",
+ "wasi",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "native-tls"
+version = "0.2.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "07226173c32f2926027b63cce4bcd8076c3552846cbe7925f3aaffeac0a3b92e"
+dependencies = [
+ "lazy_static",
+ "libc",
+ "log",
+ "openssl",
+ "openssl-probe",
+ "openssl-sys",
+ "schannel",
+ "security-framework",
+ "security-framework-sys",
+ "tempfile",
+]
+
+[[package]]
+name = "nix"
+version = "0.28.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ab2156c4fce2f8df6c499cc1c763e4394b7482525bf2a9701c9d79d215f519e4"
+dependencies = [
+ "bitflags 2.4.2",
+ "cfg-if",
+ "cfg_aliases",
+ "libc",
+]
+
+[[package]]
+name = "object"
+version = "0.32.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a6a622008b6e321afc04970976f62ee297fdbaa6f95318ca343e3eebb9648441"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "once_cell"
+version = "1.19.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3fdb12b2476b595f9358c5161aa467c2438859caa136dec86c26fdd2efe17b92"
+
+[[package]]
+name = "openssl"
+version = "0.10.64"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "95a0481286a310808298130d22dd1fef0fa571e05a8f44ec801801e84b216b1f"
+dependencies = [
+ "bitflags 2.4.2",
+ "cfg-if",
+ "foreign-types",
+ "libc",
+ "once_cell",
+ "openssl-macros",
+ "openssl-sys",
+]
+
+[[package]]
+name = "openssl-macros"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a948666b637a0f465e8564c73e89d4dde00d72d4d473cc972f390fc3dcee7d9c"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.51",
+]
+
+[[package]]
+name = "openssl-probe"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ff011a302c396a5197692431fc1948019154afc178baf7d8e37367442a4601cf"
+
+[[package]]
+name = "openssl-sys"
+version = "0.9.101"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dda2b0f344e78efc2facf7d195d098df0dd72151b26ab98da807afc26c198dff"
+dependencies = [
+ "cc",
+ "libc",
+ "pkg-config",
+ "vcpkg",
+]
+
+[[package]]
+name = "option-ext"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "04744f49eae99ab78e0d5c0b603ab218f515ea8cfe5a456d7629ad883a3b6e7d"
+
+[[package]]
+name = "os_str_bytes"
+version = "6.6.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e2355d85b9a3786f481747ced0e0ff2ba35213a1f9bd406ed906554d7af805a1"
+
+[[package]]
+name = "owo-colors"
+version = "4.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "caff54706df99d2a78a5a4e3455ff45448d81ef1bb63c22cd14052ca0e993a3f"
+
+[[package]]
+name = "percent-encoding"
+version = "2.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e3148f5046208a5d56bcfc03053e3ca6334e51da8dfb19b6cdc8b306fae3283e"
+
+[[package]]
+name = "pin-project-lite"
+version = "0.2.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8afb450f006bf6385ca15ef45d71d2288452bc3683ce2e2cacc0d18e4be60b58"
+
+[[package]]
+name = "pin-utils"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8b870d8c151b6f2fb93e84a13146138f05d02ed11c7e7c54f8826aaaf7c9f184"
+
+[[package]]
+name = "pkg-config"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d231b230927b5e4ad203db57bbcbee2802f6bce620b1e4a9024a07d94e2907ec"
+
+[[package]]
+name = "proc-macro-error"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "da25490ff9892aab3fcf7c36f08cfb902dd3e71ca0f9f9517bea02a73a5ce38c"
+dependencies = [
+ "proc-macro-error-attr",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+ "version_check",
+]
+
+[[package]]
+name = "proc-macro-error-attr"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a1be40180e52ecc98ad80b184934baf3d0d29f979574e439af5a55274b35f869"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "version_check",
+]
+
+[[package]]
+name = "proc-macro2"
+version = "1.0.78"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e2422ad645d89c99f8f3e6b88a9fdeca7fabeac836b1002371c4367c8f984aae"
+dependencies = [
+ "unicode-ident",
+]
+
+[[package]]
+name = "quote"
+version = "1.0.35"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "291ec9ab5efd934aaf503a6466c5d5251535d108ee747472c3977cc5acc868ef"
+dependencies = [
+ "proc-macro2",
+]
+
+[[package]]
+name = "rand"
+version = "0.4.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "552840b97013b1a26992c11eac34bdd778e464601a4c2054b5f0bff7c6761293"
+dependencies = [
+ "fuchsia-cprng",
+ "libc",
+ "rand_core 0.3.1",
+ "rdrand",
+ "winapi",
+]
+
+[[package]]
+name = "rand_core"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7a6fdeb83b075e8266dcc8762c22776f6877a63111121f5f8c7411e5be7eed4b"
+dependencies = [
+ "rand_core 0.4.2",
+]
+
+[[package]]
+name = "rand_core"
+version = "0.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9c33a3c44ca05fa6f1807d8e6743f3824e8509beca625669633be0acbdf509dc"
+
+[[package]]
+name = "rdrand"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "678054eb77286b51581ba43620cc911abf02758c91f93f479767aed0f90458b2"
+dependencies = [
+ "rand_core 0.3.1",
+]
+
+[[package]]
+name = "redox_syscall"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4722d768eff46b75989dd134e5c353f0d6296e5aaa3132e776cbdb56be7731aa"
+dependencies = [
+ "bitflags 1.3.2",
+]
+
+[[package]]
+name = "redox_users"
+version = "0.4.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a18479200779601e498ada4e8c1e1f50e3ee19deb0259c25825a98b5603b2cb4"
+dependencies = [
+ "getrandom",
+ "libredox",
+ "thiserror",
+]
+
+[[package]]
+name = "regex"
+version = "1.10.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b62dbe01f0b06f9d8dc7d49e05a0785f153b00b2c227856282f671e0318c9b15"
+dependencies = [
+ "aho-corasick",
+ "memchr",
+ "regex-automata",
+ "regex-syntax",
+]
+
+[[package]]
+name = "regex-automata"
+version = "0.4.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5bb987efffd3c6d0d8f5f89510bb458559eab11e4f869acb20bf845e016259cd"
+dependencies = [
+ "aho-corasick",
+ "memchr",
+ "regex-syntax",
+]
+
+[[package]]
+name = "regex-syntax"
+version = "0.8.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c08c74e62047bb2de4ff487b251e4a92e24f48745648451635cec7d591162d9f"
+
+[[package]]
+name = "remove_dir_all"
+version = "0.5.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3acd125665422973a33ac9d3dd2df85edad0f4ae9b00dafb1a05e43a9f5ef8e7"
+dependencies = [
+ "winapi",
+]
+
+[[package]]
+name = "reqwest"
+version = "0.11.26"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "78bf93c4af7a8bb7d879d51cebe797356ff10ae8516ace542b5182d9dcac10b2"
+dependencies = [
+ "base64",
+ "bytes",
+ "encoding_rs",
+ "futures-core",
+ "futures-util",
+ "h2",
+ "http",
+ "http-body",
+ "hyper",
+ "hyper-tls",
+ "ipnet",
+ "js-sys",
+ "log",
+ "mime",
+ "native-tls",
+ "once_cell",
+ "percent-encoding",
+ "pin-project-lite",
+ "rustls-pemfile",
+ "serde",
+ "serde_json",
+ "serde_urlencoded",
+ "sync_wrapper",
+ "system-configuration",
+ "tokio",
+ "tokio-native-tls",
+ "tower-service",
+ "url",
+ "wasm-bindgen",
+ "wasm-bindgen-futures",
+ "web-sys",
+ "winreg",
+]
+
+[[package]]
+name = "rustc-demangle"
+version = "0.1.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d626bb9dae77e28219937af045c257c28bfd3f69333c512553507f5f9798cb76"
+
+[[package]]
+name = "rustix"
+version = "0.38.31"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6ea3e1a662af26cd7a3ba09c0297a31af215563ecf42817c98df621387f4e949"
+dependencies = [
+ "bitflags 2.4.2",
+ "errno",
+ "libc",
+ "linux-raw-sys",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "rustls-pemfile"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1c74cae0a4cf6ccbbf5f359f08efdf8ee7e1dc532573bf0db71968cb56b1448c"
+dependencies = [
+ "base64",
+]
+
+[[package]]
+name = "rustversion"
+version = "1.0.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7ffc183a10b4478d04cbbbfc96d0873219d962dd5accaff2ffbd4ceb7df837f4"
+
+[[package]]
+name = "ryu"
+version = "1.0.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e86697c916019a8588c99b5fac3cead74ec0b4b819707a682fd4d23fa0ce1ba1"
+
+[[package]]
+name = "schannel"
+version = "0.1.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fbc91545643bcf3a0bbb6569265615222618bdf33ce4ffbbd13c4bbd4c093534"
+dependencies = [
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "schemars"
+version = "0.8.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "45a28f4c49489add4ce10783f7911893516f15afe45d015608d41faca6bc4d29"
+dependencies = [
+ "dyn-clone",
+ "serde",
+ "serde_json",
+]
+
+[[package]]
+name = "schematic"
+version = "0.14.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "709e1f0b0a3db267a98da09c89a7818e307cc8daea841e1079eb5f5a02591d54"
+dependencies = [
+ "garde",
+ "indexmap 2.2.3",
+ "markdown",
+ "miette",
+ "reqwest",
+ "schemars",
+ "schematic_macros",
+ "schematic_types",
+ "serde",
+ "serde_json",
+ "serde_path_to_error",
+ "serde_yaml",
+ "starbase_styles",
+ "thiserror",
+ "tracing",
+]
+
+[[package]]
+name = "schematic_macros"
+version = "0.14.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "31af7347544d97d00870a5865d2077dac07c069a5290c26215ddd1138b302590"
+dependencies = [
+ "convert_case",
+ "darling",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.51",
+]
+
+[[package]]
+name = "schematic_types"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f3adfbe1c90a6a9643433e490ef1605c6a99f93be37e4c83fe5149fca9698c6"
+
+[[package]]
+name = "security-framework"
+version = "2.9.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "05b64fb303737d99b81884b2c63433e9ae28abebe5eb5045dcdd175dc2ecf4de"
+dependencies = [
+ "bitflags 1.3.2",
+ "core-foundation",
+ "core-foundation-sys",
+ "libc",
+ "security-framework-sys",
+]
+
+[[package]]
+name = "security-framework-sys"
+version = "2.9.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e932934257d3b408ed8f30db49d85ea163bfe74961f017f405b025af298f0c7a"
+dependencies = [
+ "core-foundation-sys",
+ "libc",
+]
+
+[[package]]
+name = "serde"
+version = "1.0.197"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3fb1c873e1b9b056a4dc4c0c198b24c3ffa059243875552b2bd0933b1aee4ce2"
+dependencies = [
+ "serde_derive",
+]
+
+[[package]]
+name = "serde_derive"
+version = "1.0.197"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7eb0b34b42edc17f6b7cac84a52a1c5f0e1bb2227e997ca9011ea3dd34e8610b"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.51",
+]
+
+[[package]]
+name = "serde_json"
+version = "1.0.114"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c5f09b1bd632ef549eaa9f60a1f8de742bdbc698e6cee2095fc84dde5f549ae0"
+dependencies = [
+ "indexmap 2.2.3",
+ "itoa",
+ "ryu",
+ "serde",
+]
+
+[[package]]
+name = "serde_path_to_error"
+version = "0.1.15"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ebd154a240de39fdebcf5775d2675c204d7c13cf39a4c697be6493c8e734337c"
+dependencies = [
+ "itoa",
+ "serde",
+]
+
+[[package]]
+name = "serde_urlencoded"
+version = "0.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d3491c14715ca2294c4d6a88f15e84739788c1d030eed8c110436aafdaa2f3fd"
+dependencies = [
+ "form_urlencoded",
+ "itoa",
+ "ryu",
+ "serde",
+]
+
+[[package]]
+name = "serde_yaml"
+version = "0.9.32"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8fd075d994154d4a774f95b51fb96bdc2832b0ea48425c92546073816cda1f2f"
+dependencies = [
+ "indexmap 2.2.3",
+ "itoa",
+ "ryu",
+ "serde",
+ "unsafe-libyaml",
+]
+
+[[package]]
+name = "slab"
+version = "0.4.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f92a496fb766b417c996b9c5e57daf2f7ad3b0bebe1ccfca4856390e3d3bb67"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "smallvec"
+version = "1.13.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e6ecd384b10a64542d77071bd64bd7b231f4ed5940fba55e98c3de13824cf3d7"
+
+[[package]]
+name = "smawk"
+version = "0.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b7c388c1b5e93756d0c740965c41e8822f866621d41acbdf6336a6a168f8840c"
+
+[[package]]
+name = "socket2"
+version = "0.5.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "05ffd9c0a93b7543e062e759284fcf5f5e3b098501104bfbdde4d404db792871"
+dependencies = [
+ "libc",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "starbase_styles"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0e06fa37c027e48ef341787d8c3d26cfbe8507aa4e2e8c61fcba82fe931bb598"
+dependencies = [
+ "dirs",
+ "owo-colors",
+ "supports-color",
+]
+
+[[package]]
+name = "static_assertions"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a2eb9349b6444b326872e140eb1cf5e7c522154d69e7a0ffb0fb81c06b37543f"
+
+[[package]]
+name = "strsim"
+version = "0.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "73473c0e59e6d5812c5dfe2a064a6444949f089e20eec9a2e5506596494e4623"
+
+[[package]]
+name = "strsim"
+version = "0.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5ee073c9e4cd00e28217186dbe12796d692868f432bf2e97ee73bed0c56dfa01"
+
+[[package]]
+name = "supports-color"
+version = "3.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9829b314621dfc575df4e409e79f9d6a66a3bd707ab73f23cb4aa3a854ac854f"
+dependencies = [
+ "is_ci",
+]
+
+[[package]]
+name = "supports-hyperlinks"
+version = "3.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2c0a1e5168041f5f3ff68ff7d95dcb9c8749df29f6e7e89ada40dd4c9de404ee"
+
+[[package]]
+name = "supports-unicode"
+version = "3.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b7401a30af6cb5818bb64852270bb722533397edcfc7344954a38f420819ece2"
+
+[[package]]
+name = "syn"
+version = "1.0.109"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "72b64191b275b66ffe2469e8af2c1cfe3bafa67b529ead792a6d0160888b4237"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "unicode-ident",
+]
+
+[[package]]
+name = "syn"
+version = "2.0.51"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6ab617d94515e94ae53b8406c628598680aa0c9587474ecbe58188f7b345d66c"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "unicode-ident",
+]
+
+[[package]]
+name = "sync_wrapper"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2047c6ded9c721764247e62cd3b03c09ffc529b2ba5b10ec482ae507a4a70160"
+
+[[package]]
+name = "system-configuration"
+version = "0.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ba3a3adc5c275d719af8cb4272ea1c4a6d668a777f37e115f6d11ddbc1c8e0e7"
+dependencies = [
+ "bitflags 1.3.2",
+ "core-foundation",
+ "system-configuration-sys",
+]
+
+[[package]]
+name = "system-configuration-sys"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a75fb188eb626b924683e3b95e3a48e63551fcfb51949de2f06a9d91dbee93c9"
+dependencies = [
+ "core-foundation-sys",
+ "libc",
+]
+
+[[package]]
+name = "tempdir"
+version = "0.3.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "15f2b5fb00ccdf689e0149d1b1b3c03fead81c2b37735d812fa8bddbbf41b6d8"
+dependencies = [
+ "rand",
+ "remove_dir_all",
+]
+
+[[package]]
+name = "tempfile"
+version = "3.10.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "85b77fafb263dd9d05cbeac119526425676db3784113aa9295c88498cbf8bff1"
+dependencies = [
+ "cfg-if",
+ "fastrand",
+ "rustix",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "termcolor"
+version = "1.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "06794f8f6c5c898b3275aebefa6b8a1cb24cd2c6c79397ab15774837a0bc5755"
+dependencies = [
+ "winapi-util",
+]
+
+[[package]]
+name = "terminal_size"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "21bebf2b7c9e0a515f6e0f8c51dc0f8e4696391e6f1ff30379559f8365fb0df7"
+dependencies = [
+ "rustix",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "textwrap"
+version = "0.16.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "23d434d3f8967a09480fb04132ebe0a3e088c173e6d0ee7897abbdf4eab0f8b9"
+dependencies = [
+ "smawk",
+ "unicode-linebreak",
+ "unicode-width",
+]
+
+[[package]]
+name = "thiserror"
+version = "1.0.57"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1e45bcbe8ed29775f228095caf2cd67af7a4ccf756ebff23a306bf3e8b47b24b"
+dependencies = [
+ "thiserror-impl",
+]
+
+[[package]]
+name = "thiserror-impl"
+version = "1.0.57"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a953cb265bef375dae3de6663da4d3804eee9682ea80d8e2542529b73c531c81"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.51",
+]
+
+[[package]]
+name = "tinyvec"
+version = "1.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "87cc5ceb3875bb20c2890005a4e226a4651264a5c75edb2421b52861a0a0cb50"
+dependencies = [
+ "tinyvec_macros",
+]
+
+[[package]]
+name = "tinyvec_macros"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20"
+
+[[package]]
+name = "tokio"
+version = "1.36.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "61285f6515fa018fb2d1e46eb21223fff441ee8db5d0f1435e8ab4f5cdb80931"
+dependencies = [
+ "backtrace",
+ "bytes",
+ "libc",
+ "mio",
+ "pin-project-lite",
+ "socket2",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "tokio-native-tls"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bbae76ab933c85776efabc971569dd6119c580d8f5d448769dec1764bf796ef2"
+dependencies = [
+ "native-tls",
+ "tokio",
+]
+
+[[package]]
+name = "tokio-util"
+version = "0.7.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5419f34732d9eb6ee4c3578b7989078579b7f039cbbb9ca2c4da015749371e15"
+dependencies = [
+ "bytes",
+ "futures-core",
+ "futures-sink",
+ "pin-project-lite",
+ "tokio",
+ "tracing",
+]
+
+[[package]]
+name = "tower-service"
+version = "0.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b6bc1c9ce2b5135ac7f93c72918fc37feb872bdc6a5533a8b85eb4b86bfdae52"
+
+[[package]]
+name = "tracing"
+version = "0.1.40"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c3523ab5a71916ccf420eebdf5521fcef02141234bbc0b8a49f2fdc4544364ef"
+dependencies = [
+ "pin-project-lite",
+ "tracing-attributes",
+ "tracing-core",
+]
+
+[[package]]
+name = "tracing-attributes"
+version = "0.1.27"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "34704c8d6ebcbc939824180af020566b01a7c01f80641264eba0999f6c2b6be7"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.51",
+]
+
+[[package]]
+name = "tracing-core"
+version = "0.1.32"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c06d3da6113f116aaee68e4d601191614c9053067f9ab7f6edbcb161237daa54"
+dependencies = [
+ "once_cell",
+]
+
+[[package]]
+name = "try-lock"
+version = "0.2.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e421abadd41a4225275504ea4d6566923418b7f05506fbc9c0fe86ba7396114b"
+
+[[package]]
+name = "unicode-bidi"
+version = "0.3.15"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "08f95100a766bf4f8f28f90d77e0a5461bbdb219042e7679bebe79004fed8d75"
+
+[[package]]
+name = "unicode-id"
+version = "0.3.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b1b6def86329695390197b82c1e244a54a131ceb66c996f2088a3876e2ae083f"
+
+[[package]]
+name = "unicode-ident"
+version = "1.0.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3354b9ac3fae1ff6755cb6db53683adb661634f67557942dea4facebec0fee4b"
+
+[[package]]
+name = "unicode-linebreak"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3b09c83c3c29d37506a3e260c08c03743a6bb66a9cd432c6934ab501a190571f"
+
+[[package]]
+name = "unicode-normalization"
+version = "0.1.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a56d1686db2308d901306f92a263857ef59ea39678a5458e7cb17f01415101f5"
+dependencies = [
+ "tinyvec",
+]
+
+[[package]]
+name = "unicode-segmentation"
+version = "1.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d4c87d22b6e3f4a18d4d40ef354e97c90fcb14dd91d7dc0aa9d8a1172ebf7202"
+
+[[package]]
+name = "unicode-width"
+version = "0.1.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e51733f11c9c4f72aa0c160008246859e340b00807569a0da0e7a1079b27ba85"
+
+[[package]]
+name = "unsafe-libyaml"
+version = "0.2.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ab4c90930b95a82d00dc9e9ac071b4991924390d46cbd0dfe566148667605e4b"
+
+[[package]]
+name = "url"
+version = "2.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "31e6302e3bb753d46e83516cae55ae196fc0c309407cf11ab35cc51a4c2a4633"
+dependencies = [
+ "form_urlencoded",
+ "idna",
+ "percent-encoding",
+]
+
+[[package]]
+name = "utf8parse"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "711b9620af191e0cdc7468a8d14e709c3dcdb115b36f838e601583af800a370a"
+
+[[package]]
+name = "vcpkg"
+version = "0.2.15"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "accd4ea62f7bb7a82fe23066fb0957d48ef677f6eeb8215f372f52e48bb32426"
+
+[[package]]
+name = "version_check"
+version = "0.9.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "49874b5167b65d7193b8aba1567f5c7d93d001cafc34600cee003eda787e483f"
+
+[[package]]
+name = "want"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bfa7760aed19e106de2c7c0b581b509f2f25d3dacaf737cb82ac61bc6d760b0e"
+dependencies = [
+ "try-lock",
+]
+
+[[package]]
+name = "wasi"
+version = "0.11.0+wasi-snapshot-preview1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
+
+[[package]]
+name = "wasite"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b8dad83b4f25e74f184f64c43b150b91efe7647395b42289f38e50566d82855b"
+
+[[package]]
+name = "wasm-bindgen"
+version = "0.2.91"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c1e124130aee3fb58c5bdd6b639a0509486b0338acaaae0c84a5124b0f588b7f"
+dependencies = [
+ "cfg-if",
+ "wasm-bindgen-macro",
+]
+
+[[package]]
+name = "wasm-bindgen-backend"
+version = "0.2.91"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c9e7e1900c352b609c8488ad12639a311045f40a35491fb69ba8c12f758af70b"
+dependencies = [
+ "bumpalo",
+ "log",
+ "once_cell",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.51",
+ "wasm-bindgen-shared",
+]
+
+[[package]]
+name = "wasm-bindgen-futures"
+version = "0.4.41"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "877b9c3f61ceea0e56331985743b13f3d25c406a7098d45180fb5f09bc19ed97"
+dependencies = [
+ "cfg-if",
+ "js-sys",
+ "wasm-bindgen",
+ "web-sys",
+]
+
+[[package]]
+name = "wasm-bindgen-macro"
+version = "0.2.91"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b30af9e2d358182b5c7449424f017eba305ed32a7010509ede96cdc4696c46ed"
+dependencies = [
+ "quote",
+ "wasm-bindgen-macro-support",
+]
+
+[[package]]
+name = "wasm-bindgen-macro-support"
+version = "0.2.91"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "642f325be6301eb8107a83d12a8ac6c1e1c54345a7ef1a9261962dfefda09e66"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.51",
+ "wasm-bindgen-backend",
+ "wasm-bindgen-shared",
+]
+
+[[package]]
+name = "wasm-bindgen-shared"
+version = "0.2.91"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4f186bd2dcf04330886ce82d6f33dd75a7bfcf69ecf5763b89fcde53b6ac9838"
+
+[[package]]
+name = "web-sys"
+version = "0.3.68"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "96565907687f7aceb35bc5fc03770a8a0471d82e479f25832f54a0e3f4b28446"
+dependencies = [
+ "js-sys",
+ "wasm-bindgen",
+]
+
+[[package]]
+name = "which"
+version = "6.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7fa5e0c10bf77f44aac573e498d1a82d5fbd5e91f6fc0a99e7be4b38e85e101c"
+dependencies = [
+ "either",
+ "home",
+ "once_cell",
+ "rustix",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "whoami"
+version = "1.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a44ab49fad634e88f55bf8f9bb3abd2f27d7204172a112c7c9987e01c1c94ea9"
+dependencies = [
+ "redox_syscall",
+ "wasite",
+ "web-sys",
+]
+
+[[package]]
+name = "winapi"
+version = "0.3.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5c839a674fcd7a98952e593242ea400abe93992746761e38641405d28b00f419"
+dependencies = [
+ "winapi-i686-pc-windows-gnu",
+ "winapi-x86_64-pc-windows-gnu",
+]
+
+[[package]]
+name = "winapi-i686-pc-windows-gnu"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ac3b87c63620426dd9b991e5ce0329eff545bccbbb34f3be09ff6fb6ab51b7b6"
+
+[[package]]
+name = "winapi-util"
+version = "0.1.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f29e6f9198ba0d26b4c9f07dbe6f9ed633e1f3d5b8b414090084349e46a52596"
+dependencies = [
+ "winapi",
+]
+
+[[package]]
+name = "winapi-x86_64-pc-windows-gnu"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
+
+[[package]]
+name = "windows-sys"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "677d2418bec65e3338edb076e806bc1ec15693c5d0104683f2efe857f61056a9"
+dependencies = [
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "windows-sys"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "282be5f36a8ce781fad8c8ae18fa3f9beff57ec1b52cb3de0789201425d9a33d"
+dependencies = [
+ "windows-targets 0.52.3",
+]
+
+[[package]]
+name = "windows-targets"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9a2fa6e2155d7247be68c096456083145c183cbbbc2764150dda45a87197940c"
+dependencies = [
+ "windows_aarch64_gnullvm 0.48.5",
+ "windows_aarch64_msvc 0.48.5",
+ "windows_i686_gnu 0.48.5",
+ "windows_i686_msvc 0.48.5",
+ "windows_x86_64_gnu 0.48.5",
+ "windows_x86_64_gnullvm 0.48.5",
+ "windows_x86_64_msvc 0.48.5",
+]
+
+[[package]]
+name = "windows-targets"
+version = "0.52.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d380ba1dc7187569a8a9e91ed34b8ccfc33123bbacb8c0aed2d1ad7f3ef2dc5f"
+dependencies = [
+ "windows_aarch64_gnullvm 0.52.3",
+ "windows_aarch64_msvc 0.52.3",
+ "windows_i686_gnu 0.52.3",
+ "windows_i686_msvc 0.52.3",
+ "windows_x86_64_gnu 0.52.3",
+ "windows_x86_64_gnullvm 0.52.3",
+ "windows_x86_64_msvc 0.52.3",
+]
+
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2b38e32f0abccf9987a4e3079dfb67dcd799fb61361e53e2882c3cbaf0d905d8"
+
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.52.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "68e5dcfb9413f53afd9c8f86e56a7b4d86d9a2fa26090ea2dc9e40fba56c6ec6"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dc35310971f3b2dbbf3f0690a219f40e2d9afcf64f9ab7cc1be722937c26b4bc"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.52.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8dab469ebbc45798319e69eebf92308e541ce46760b49b18c6b3fe5e8965b30f"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a75915e7def60c94dcef72200b9a8e58e5091744960da64ec734a6c6e9b3743e"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.52.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2a4e9b6a7cac734a8b4138a4e1044eac3404d8326b6c0f939276560687a033fb"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f55c233f70c4b27f66c523580f78f1004e8b5a8b659e05a4eb49d4166cca406"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.52.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "28b0ec9c422ca95ff34a78755cfa6ad4a51371da2a5ace67500cf7ca5f232c58"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "53d40abd2583d23e4718fddf1ebec84dbff8381c07cae67ff7768bbf19c6718e"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.52.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "704131571ba93e89d7cd43482277d6632589b18ecf4468f591fbae0a8b101614"
+
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0b7b52767868a23d5bab768e390dc5f5c55825b6d30b86c844ff2dc7414044cc"
+
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.52.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "42079295511643151e98d61c38c0acc444e52dd42ab456f7ccfd5152e8ecf21c"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ed94fce61571a4006852b7389a063ab983c02eb1bb37b47f8272ce92d06d9538"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.52.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0770833d60a970638e989b3fa9fd2bb1aaadcf88963d1659fd7d9990196ed2d6"
+
+[[package]]
+name = "winreg"
+version = "0.50.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "524e57b2c537c0f9b1e69f1965311ec12182b4122e45035b1508cd24d2adadb1"
+dependencies = [
+ "cfg-if",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "xdg"
+version = "2.5.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "213b7324336b53d2414b2db8537e56544d981803139155afa84f76eeebb7a546"
diff --git a/pkgs/by-name/de/devenv/package.nix b/pkgs/by-name/de/devenv/package.nix
new file mode 100644
index 000000000000..17755df44b31
--- /dev/null
+++ b/pkgs/by-name/de/devenv/package.nix
@@ -0,0 +1,62 @@
+{ stdenv
+, lib
+, openssl
+, darwin
+, libgit2
+, makeWrapper
+, nix
+, pkg-config
+, rustPlatform
+, cachix
+, fetchFromGitHub
+}:
+
+let
+ devenv_nix = nix.overrideAttrs (old: {
+ version = "2.21-devenv";
+ src = fetchFromGitHub {
+ owner = "domenkozar";
+ repo = "nix";
+ rev = "c5bbf14ecbd692eeabf4184cc8d50f79c2446549";
+ hash = "sha256-zvCqeUO2GLOm7jnU23G4EzTZR7eylcJN+HJ5svjmubI=";
+ };
+ buildInputs = old.buildInputs ++ [ libgit2 ];
+ doCheck = false;
+ doInstallCheck = false;
+ });
+
+ version = "1.0.1";
+in rustPlatform.buildRustPackage {
+ pname = "devenv";
+ inherit version;
+
+ src = fetchFromGitHub {
+ owner = "cachix";
+ repo = "devenv";
+ rev = "v${version}";
+ hash = "sha256-9LnGe0KWqXj18IV+A1panzXQuTamrH/QcasaqnuqiE0=";
+ };
+
+ cargoLock = {
+ lockFile = ./Cargo.lock;
+ };
+
+ nativeBuildInputs = [ makeWrapper pkg-config ];
+
+ buildInputs = [ openssl ] ++ lib.optionals stdenv.isDarwin [
+ darwin.apple_sdk.frameworks.SystemConfiguration
+ ];
+
+ postInstall = ''
+ wrapProgram $out/bin/devenv --set DEVENV_NIX ${devenv_nix} --prefix PATH ":" "$out/bin:${cachix}/bin"
+ '';
+
+ meta = {
+ changelog = "https://github.com/cachix/devenv/releases/tag/v${version}";
+ description = "Fast, Declarative, Reproducible, and Composable Developer Environments";
+ homepage = "https://github.com/cachix/devenv";
+ license = lib.licenses.asl20;
+ mainProgram = "devenv";
+ maintainers = with lib.maintainers; [ domenkozar drupol ];
+ };
+}
diff --git a/pkgs/applications/graphics/digikam/default.nix b/pkgs/by-name/di/digikam/package.nix
similarity index 68%
rename from pkgs/applications/graphics/digikam/default.nix
rename to pkgs/by-name/di/digikam/package.nix
index 8bc94f9c2b23..01659a65173e 100644
--- a/pkgs/applications/graphics/digikam/default.nix
+++ b/pkgs/by-name/di/digikam/package.nix
@@ -1,25 +1,9 @@
-{ mkDerivation, config, lib, fetchpatch, fetchurl, cmake, doxygen, extra-cmake-modules, wrapGAppsHook
+{ stdenv, config, lib, fetchurl, cmake, doxygen, extra-cmake-modules, wrapGAppsHook
# For `digitaglinktree`
, perl, sqlite
-, qtbase
-, qtxmlpatterns
-, qtsvg
-, qtwebengine
-, qtnetworkauth
-
-, akonadi-contacts
-, kcalendarcore
-, kconfigwidgets
-, kcoreaddons
-, kdoctools
-, kfilemetadata
-, knotifications
-, knotifyconfig
-, ktextwidgets
-, kwidgetsaddons
-, kxmlgui
+, libsForQt5
, bison
, boost
@@ -32,17 +16,13 @@
, lcms2
, lensfun
, libgphoto2
-, libkipi
-, libksane
, liblqr1
-, libqtav
, libusb1
-, marble
+, libheif
, libGL
, libGLU
, opencv
, pcre
-, threadweaver
, x265
, jasper
@@ -51,35 +31,29 @@
, hugin
, gnumake
-, breeze-icons
-, oxygen
-
, cudaSupport ? config.cudaSupport
, cudaPackages ? {}
}:
-mkDerivation rec {
+stdenv.mkDerivation rec {
pname = "digikam";
- version = "8.1.0";
+ version = "8.2.0";
src = fetchurl {
url = "mirror://kde/stable/${pname}/${version}/digiKam-${version}.tar.xz";
- hash = "sha256-BQPANORF/0JPGKZxXAp6eb5KXgyCs+vEYaIc7DdFpbM=";
+ hash = "sha256-L3/LVZsSPtnsrlpa729FYO7l9JIG2dF0beyatsj7OL8=";
};
- # Fix build against exiv2 0.28.1
- patches = [
- (fetchpatch {
- url = "https://invent.kde.org/graphics/digikam/-/commit/f5ea91a7f6c1926815ec68f3e0176d6c15b83051.patch";
- hash = "sha256-5g2NaKKNKVfgW3dTO/IP/H/nZ0YAIOmdPAumy3NEaNg=";
- })
- ];
+ strictDeps = true;
+
+ depsBuildBuild = [ cmake ];
nativeBuildInputs = [
cmake
doxygen
extra-cmake-modules
- kdoctools
+ libsForQt5.kdoctools
+ libsForQt5.wrapQtAppsHook
wrapGAppsHook
] ++ lib.optionals cudaSupport (with cudaPackages; [
cuda_nvcc
@@ -97,10 +71,8 @@ mkDerivation rec {
lcms2
lensfun
libgphoto2
- libkipi
- libksane
+ libheif
liblqr1
- libqtav
libusb1
libGL
libGLU
@@ -108,6 +80,10 @@ mkDerivation rec {
pcre
x265
jasper
+ ] ++ (with libsForQt5; [
+ libkipi
+ libksane
+ libqtav
qtbase
qtxmlpatterns
@@ -130,7 +106,7 @@ mkDerivation rec {
marble
oxygen
threadweaver
- ] ++ lib.optionals cudaSupport (with cudaPackages; [
+ ]) ++ lib.optionals cudaSupport (with cudaPackages; [
cuda_cudart
]);
@@ -140,7 +116,7 @@ mkDerivation rec {
"-DENABLE_MEDIAPLAYER=1"
"-DENABLE_QWEBENGINE=on"
"-DENABLE_APPSTYLES=on"
- "-DCMAKE_CXX_FLAGS=-I${libksane}/include/KF5" # fix `#include `
+ "-DCMAKE_CXX_FLAGS=-I${libsForQt5.libksane}/include/KF5" # fix `#include `
];
dontWrapGApps = true;
@@ -148,7 +124,7 @@ mkDerivation rec {
preFixup = ''
qtWrapperArgs+=("''${gappsWrapperArgs[@]}")
qtWrapperArgs+=(--prefix PATH : ${lib.makeBinPath [ gnumake hugin enblend-enfuse ]})
- qtWrapperArgs+=(--suffix DK_PLUGIN_PATH : ${placeholder "out"}/${qtbase.qtPluginPrefix}/${pname})
+ qtWrapperArgs+=(--suffix DK_PLUGIN_PATH : ${placeholder "out"}/${libsForQt5.qtbase.qtPluginPrefix}/${pname})
substituteInPlace $out/bin/digitaglinktree \
--replace "/usr/bin/perl" "${perl}/bin/perl" \
--replace "/usr/bin/sqlite3" "${sqlite}/bin/sqlite3"
diff --git a/pkgs/by-name/di/dim/Cargo.lock b/pkgs/by-name/di/dim/Cargo.lock
new file mode 100644
index 000000000000..adb78aa7a929
--- /dev/null
+++ b/pkgs/by-name/di/dim/Cargo.lock
@@ -0,0 +1,4179 @@
+# This file is automatically @generated by Cargo.
+# It is not intended for manual editing.
+version = 3
+
+[[package]]
+name = "addr2line"
+version = "0.21.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8a30b2e23b9e17a9f90641c7ab1549cd9b44f296d3ccbf309d2863cfe398a0cb"
+dependencies = [
+ "gimli",
+]
+
+[[package]]
+name = "adler"
+version = "1.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f26201604c87b1e01bd3d98f8d5d9a8fcbb815e8cedb41ffccbeb4bf593a35fe"
+
+[[package]]
+name = "aead"
+version = "0.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0b613b8e1e3cf911a086f53f03bf286f52fd7a7258e4fa606f0ef220d39d8877"
+dependencies = [
+ "generic-array",
+]
+
+[[package]]
+name = "aes"
+version = "0.7.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9e8b47f52ea9bae42228d07ec09eb676433d7c4ed1ebdf0f1d1c29ed446f1ab8"
+dependencies = [
+ "cfg-if",
+ "cipher",
+ "cpufeatures",
+ "opaque-debug",
+]
+
+[[package]]
+name = "aes-gcm"
+version = "0.9.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "df5f85a83a7d8b0442b6aa7b504b8212c1733da07b98aae43d4bc21b2cb3cdf6"
+dependencies = [
+ "aead",
+ "aes",
+ "cipher",
+ "ctr",
+ "ghash",
+ "subtle",
+]
+
+[[package]]
+name = "ahash"
+version = "0.7.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5a824f2aa7e75a0c98c5a504fceb80649e9c35265d44525b5f94de4771a395cd"
+dependencies = [
+ "getrandom",
+ "once_cell",
+ "version_check",
+]
+
+[[package]]
+name = "aho-corasick"
+version = "1.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b2969dcb958b36655471fc61f7e416fa76033bdd4bfed0678d8fee1e2d07a1f0"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "alloc-no-stdlib"
+version = "2.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cc7bb162ec39d46ab1ca8c77bf72e890535becd1751bb45f64c597edb4c8c6b3"
+
+[[package]]
+name = "alloc-stdlib"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "94fb8275041c72129eb51b7d0322c29b8387a0386127718b096429201a5d6ece"
+dependencies = [
+ "alloc-no-stdlib",
+]
+
+[[package]]
+name = "android-tzdata"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e999941b234f3131b00bc13c22d06e8c5ff726d1b6318ac7eb276997bbb4fef0"
+
+[[package]]
+name = "android_system_properties"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "819e7219dbd41043ac279b19830f2efc897156490d7fd6ea916720117ee66311"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "anitomy"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a5dbd2cfb14d3a442954182c1e8804a859e65143473ddfc7521e8766a4c44a9d"
+dependencies = [
+ "anitomy-sys",
+]
+
+[[package]]
+name = "anitomy-sys"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b1ade7dff1b0d6e91f90986f26538baa14f8143d9c5d79cae3f914070a2e30ea"
+dependencies = [
+ "cc",
+]
+
+[[package]]
+name = "anstream"
+version = "0.6.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2ab91ebe16eb252986481c5b62f6098f3b698a45e34b5b98200cf20dd2484a44"
+dependencies = [
+ "anstyle",
+ "anstyle-parse",
+ "anstyle-query",
+ "anstyle-wincon",
+ "colorchoice",
+ "utf8parse",
+]
+
+[[package]]
+name = "anstyle"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7079075b41f533b8c61d2a4d073c4676e1f8b249ff94a393b0595db304e0dd87"
+
+[[package]]
+name = "anstyle-parse"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "317b9a89c1868f5ea6ff1d9539a69f45dffc21ce321ac1fd1160dfa48c8e2140"
+dependencies = [
+ "utf8parse",
+]
+
+[[package]]
+name = "anstyle-query"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5ca11d4be1bab0c8bc8734a9aa7bf4ee8316d462a08c6ac5052f888fef5b494b"
+dependencies = [
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "anstyle-wincon"
+version = "3.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f0699d10d2f4d628a98ee7b57b289abbc98ff3bad977cb3152709d4bf2330628"
+dependencies = [
+ "anstyle",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "async-compression"
+version = "0.4.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f658e2baef915ba0f26f1f7c42bfb8e12f532a01f449a090ded75ae7a07e9ba2"
+dependencies = [
+ "brotli",
+ "flate2",
+ "futures-core",
+ "memchr",
+ "pin-project-lite",
+ "tokio",
+ "zstd",
+ "zstd-safe",
+]
+
+[[package]]
+name = "async-trait"
+version = "0.1.74"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a66537f1bb974b254c98ed142ff995236e81b9d0fe4db0575f46612cb15eb0f9"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.39",
+]
+
+[[package]]
+name = "atoi"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "616896e05fc0e2649463a93a15183c6a16bf03413a7af88ef1285ddedfa9cda5"
+dependencies = [
+ "num-traits",
+]
+
+[[package]]
+name = "atty"
+version = "0.2.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d9b39be18770d11421cdb1b9947a45dd3f37e93092cbf377614828a319d5fee8"
+dependencies = [
+ "hermit-abi 0.1.19",
+ "libc",
+ "winapi",
+]
+
+[[package]]
+name = "autocfg"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d468802bab17cbc0cc575e9b053f41e72aa36bfa6b7f55e3529ffa43161b97fa"
+
+[[package]]
+name = "axum"
+version = "0.6.20"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3b829e4e32b91e643de6eafe82b1d90675f5874230191a4ffbc1b336dec4d6bf"
+dependencies = [
+ "async-trait",
+ "axum-core 0.3.4",
+ "axum-macros",
+ "base64 0.21.5",
+ "bitflags 1.3.2",
+ "bytes 1.5.0",
+ "futures-util",
+ "http 0.2.9",
+ "http-body 0.4.5",
+ "hyper",
+ "itoa",
+ "matchit",
+ "memchr",
+ "mime",
+ "multer",
+ "percent-encoding",
+ "pin-project-lite",
+ "rustversion",
+ "serde",
+ "serde_json",
+ "serde_path_to_error",
+ "serde_urlencoded",
+ "sha1",
+ "sync_wrapper",
+ "tokio",
+ "tokio-tungstenite",
+ "tower",
+ "tower-layer",
+ "tower-service",
+]
+
+[[package]]
+name = "axum"
+version = "0.7.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "202651474fe73c62d9e0a56c6133f7a0ff1dc1c8cf7a5b03381af2a26553ac9d"
+dependencies = [
+ "async-trait",
+ "axum-core 0.4.1",
+ "bytes 1.5.0",
+ "futures-util",
+ "http 1.0.0",
+ "http-body 1.0.0",
+ "http-body-util",
+ "itoa",
+ "matchit",
+ "memchr",
+ "mime",
+ "percent-encoding",
+ "pin-project-lite",
+ "rustversion",
+ "serde",
+ "sync_wrapper",
+ "tower",
+ "tower-layer",
+ "tower-service",
+]
+
+[[package]]
+name = "axum-core"
+version = "0.3.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "759fa577a247914fd3f7f76d62972792636412fbfd634cd452f6a385a74d2d2c"
+dependencies = [
+ "async-trait",
+ "bytes 1.5.0",
+ "futures-util",
+ "http 0.2.9",
+ "http-body 0.4.5",
+ "mime",
+ "rustversion",
+ "tower-layer",
+ "tower-service",
+]
+
+[[package]]
+name = "axum-core"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "77cb22c689c44d4c07b0ab44ebc25d69d8ae601a2f28fb8d672d344178fa17aa"
+dependencies = [
+ "async-trait",
+ "bytes 1.5.0",
+ "futures-util",
+ "http 1.0.0",
+ "http-body 1.0.0",
+ "http-body-util",
+ "mime",
+ "pin-project-lite",
+ "rustversion",
+ "sync_wrapper",
+ "tower-layer",
+ "tower-service",
+]
+
+[[package]]
+name = "axum-extra"
+version = "0.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "523ae92256049a3b02d3bb4df80152386cd97ddba0c8c5077619bdc8c4b1859b"
+dependencies = [
+ "axum 0.7.2",
+ "axum-core 0.4.1",
+ "bytes 1.5.0",
+ "cookie",
+ "futures-util",
+ "http 1.0.0",
+ "http-body 1.0.0",
+ "http-body-util",
+ "mime",
+ "pin-project-lite",
+ "serde",
+ "tower",
+ "tower-layer",
+ "tower-service",
+]
+
+[[package]]
+name = "axum-macros"
+version = "0.3.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cdca6a10ecad987bda04e95606ef85a5417dcaac1a78455242d72e031e2b6b62"
+dependencies = [
+ "heck",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.39",
+]
+
+[[package]]
+name = "backtrace"
+version = "0.3.69"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2089b7e3f35b9dd2d0ed921ead4f6d318c27680d4a5bd167b3ee120edb105837"
+dependencies = [
+ "addr2line",
+ "cc",
+ "cfg-if",
+ "libc",
+ "miniz_oxide",
+ "object",
+ "rustc-demangle",
+]
+
+[[package]]
+name = "barrage"
+version = "0.2.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "be5951c75bdabb58753d140dd5802f12ff3a483cb2e16fb5276e111b94b19e87"
+dependencies = [
+ "concurrent-queue",
+ "event-listener",
+ "spin 0.9.8",
+]
+
+[[package]]
+name = "base64"
+version = "0.13.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9e1b586273c5702936fe7b7d6896644d8be71e6314cfe09d3167c95f712589e8"
+
+[[package]]
+name = "base64"
+version = "0.21.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "35636a1494ede3b646cc98f74f8e62c773a38a659ebc777a2cf26b9b74171df9"
+
+[[package]]
+name = "bit_field"
+version = "0.10.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dc827186963e592360843fb5ba4b973e145841266c1357f7180c43526f2e5b61"
+
+[[package]]
+name = "bitflags"
+version = "1.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a"
+
+[[package]]
+name = "bitflags"
+version = "2.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "327762f6e5a765692301e5bb513e0d9fef63be86bbc14528052b1cd3e6f03e07"
+
+[[package]]
+name = "block-buffer"
+version = "0.10.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3078c7629b62d3f0439517fa394996acacc5cbc91c5a20d8c658e77abd503a71"
+dependencies = [
+ "generic-array",
+]
+
+[[package]]
+name = "brotli"
+version = "3.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "516074a47ef4bce09577a3b379392300159ce5b1ba2e501ff1c819950066100f"
+dependencies = [
+ "alloc-no-stdlib",
+ "alloc-stdlib",
+ "brotli-decompressor",
+]
+
+[[package]]
+name = "brotli-decompressor"
+version = "2.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4e2e4afe60d7dd600fdd3de8d0f08c2b7ec039712e3b6137ff98b7004e82de4f"
+dependencies = [
+ "alloc-no-stdlib",
+ "alloc-stdlib",
+]
+
+[[package]]
+name = "bstr"
+version = "1.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c79ad7fb2dd38f3dabd76b09c6a5a20c038fc0213ef1e9afd30eb777f120f019"
+dependencies = [
+ "memchr",
+ "serde",
+]
+
+[[package]]
+name = "bumpalo"
+version = "3.14.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f30e7476521f6f8af1a1c4c0b8cc94f0bee37d91763d0ca2665f299b6cd8aec"
+
+[[package]]
+name = "bytemuck"
+version = "1.14.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "374d28ec25809ee0e23827c2ab573d729e293f281dfe393500e7ad618baa61c6"
+
+[[package]]
+name = "byteorder"
+version = "1.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1fd0f2584146f6f2ef48085050886acf353beff7305ebd1ae69500e27c67f64b"
+
+[[package]]
+name = "bytes"
+version = "0.5.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0e4cec68f03f32e44924783795810fa50a7035d8c8ebe78580ad7e6c703fba38"
+
+[[package]]
+name = "bytes"
+version = "1.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a2bd12c1caf447e69cd4528f47f94d203fd2582878ecb9e9465484c4148a8223"
+
+[[package]]
+name = "bzip2"
+version = "0.4.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bdb116a6ef3f6c3698828873ad02c3014b3c85cadb88496095628e3ef1e347f8"
+dependencies = [
+ "bzip2-sys",
+ "libc",
+]
+
+[[package]]
+name = "bzip2-sys"
+version = "0.1.11+1.0.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "736a955f3fa7875102d57c82b8cac37ec45224a07fd32d58f9f7a186b6cd4cdc"
+dependencies = [
+ "cc",
+ "libc",
+ "pkg-config",
+]
+
+[[package]]
+name = "cache-padded"
+version = "1.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "981520c98f422fcc584dc1a95c334e6953900b9106bc47a9839b81790009eb21"
+
+[[package]]
+name = "cast"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "37b2a672a2cb129a2e41c10b1224bb368f9f37a2b16b612598138befd7b37eb5"
+
+[[package]]
+name = "catty"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dcf0adb3cc1c06945672f8dcc827e42497ac6d0aff49f459ec918132b82a5cbc"
+dependencies = [
+ "spin 0.9.8",
+]
+
+[[package]]
+name = "cc"
+version = "1.0.83"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f1174fb0b6ec23863f8b971027804a42614e347eafb0a95bf0b12cdae21fc4d0"
+dependencies = [
+ "jobserver",
+ "libc",
+]
+
+[[package]]
+name = "cfg-if"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd"
+
+[[package]]
+name = "chrono"
+version = "0.4.31"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f2c685bad3eb3d45a01354cedb7d5faa66194d1d58ba6e267a8de788f79db38"
+dependencies = [
+ "android-tzdata",
+ "iana-time-zone",
+ "js-sys",
+ "num-traits",
+ "serde",
+ "wasm-bindgen",
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "cipher"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7ee52072ec15386f770805afd189a01c8841be8696bed250fa2f13c4c0d6dfb7"
+dependencies = [
+ "generic-array",
+]
+
+[[package]]
+name = "clap"
+version = "2.34.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a0610544180c38b88101fecf2dd634b174a62eef6946f84dfc6a7127512b381c"
+dependencies = [
+ "bitflags 1.3.2",
+ "textwrap",
+ "unicode-width",
+]
+
+[[package]]
+name = "clap"
+version = "4.4.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ac495e00dcec98c83465d5ad66c5c4fabd652fd6686e7c6269b117e729a6f17b"
+dependencies = [
+ "clap_builder",
+ "clap_derive",
+]
+
+[[package]]
+name = "clap_builder"
+version = "4.4.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c77ed9a32a62e6ca27175d00d29d05ca32e396ea1eb5fb01d8256b669cec7663"
+dependencies = [
+ "anstream",
+ "anstyle",
+ "clap_lex",
+ "strsim",
+]
+
+[[package]]
+name = "clap_derive"
+version = "4.4.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cf9804afaaf59a91e75b022a30fb7229a7901f60c755489cc61c9b423b836442"
+dependencies = [
+ "heck",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.39",
+]
+
+[[package]]
+name = "clap_lex"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "702fc72eb24e5a1e48ce58027a675bc24edd52096d5397d4aea7c6dd9eca0bd1"
+
+[[package]]
+name = "color_quant"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3d7b894f5411737b7867f4827955924d7c254fc9f4d91a6aad6b097804b1018b"
+
+[[package]]
+name = "colorchoice"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "acbf1af155f9b9ef647e42cdc158db4b64a1b61f743629225fde6f3e0be2a7c7"
+
+[[package]]
+name = "concurrent-queue"
+version = "1.2.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "af4780a44ab5696ea9e28294517f1fffb421a83a25af521333c838635509db9c"
+dependencies = [
+ "cache-padded",
+]
+
+[[package]]
+name = "cookie"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3cd91cf61412820176e137621345ee43b3f4423e589e7ae4e50d601d93e35ef8"
+dependencies = [
+ "percent-encoding",
+ "time 0.3.30",
+ "version_check",
+]
+
+[[package]]
+name = "core-foundation"
+version = "0.9.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "194a7a9e6de53fa55116934067c844d9d749312f75c6f6d0980e8c252f8c2146"
+dependencies = [
+ "core-foundation-sys",
+ "libc",
+]
+
+[[package]]
+name = "core-foundation-sys"
+version = "0.8.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e496a50fda8aacccc86d7529e2c1e0892dbd0f898a6b5645b5561b89c3210efa"
+
+[[package]]
+name = "cpufeatures"
+version = "0.2.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ce420fe07aecd3e67c5f910618fe65e94158f6dcc0adf44e00d69ce2bdfe0fd0"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "crc"
+version = "2.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "49fc9a695bca7f35f5f4c15cddc84415f66a74ea78eef08e90c5024f2b540e23"
+dependencies = [
+ "crc-catalog",
+]
+
+[[package]]
+name = "crc-catalog"
+version = "1.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ccaeedb56da03b09f598226e25e80088cb4cd25f316e6e4df7d695f0feeb1403"
+
+[[package]]
+name = "crc32fast"
+version = "1.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b540bd8bc810d3885c6ea91e2018302f68baba2129ab3e88f32389ee9370880d"
+dependencies = [
+ "cfg-if",
+]
+
+[[package]]
+name = "criterion"
+version = "0.3.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b01d6de93b2b6c65e17c634a26653a29d107b3c98c607c765bf38d041531cd8f"
+dependencies = [
+ "atty",
+ "cast",
+ "clap 2.34.0",
+ "criterion-plot",
+ "csv",
+ "futures",
+ "itertools",
+ "lazy_static",
+ "num-traits",
+ "oorandom",
+ "plotters",
+ "rayon",
+ "regex",
+ "serde",
+ "serde_cbor",
+ "serde_derive",
+ "serde_json",
+ "tinytemplate",
+ "tokio",
+ "walkdir",
+]
+
+[[package]]
+name = "criterion-plot"
+version = "0.4.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2673cc8207403546f45f5fd319a974b1e6983ad1a3ee7e6041650013be041876"
+dependencies = [
+ "cast",
+ "itertools",
+]
+
+[[package]]
+name = "crossbeam-channel"
+version = "0.5.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a33c2bf77f2df06183c3aa30d1e96c0695a313d4f9c453cc3762a6db39f99200"
+dependencies = [
+ "cfg-if",
+ "crossbeam-utils",
+]
+
+[[package]]
+name = "crossbeam-deque"
+version = "0.8.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ce6fd6f855243022dcecf8702fef0c297d4338e226845fe067f6341ad9fa0cef"
+dependencies = [
+ "cfg-if",
+ "crossbeam-epoch",
+ "crossbeam-utils",
+]
+
+[[package]]
+name = "crossbeam-epoch"
+version = "0.9.15"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ae211234986c545741a7dc064309f67ee1e5ad243d0e48335adc0484d960bcc7"
+dependencies = [
+ "autocfg",
+ "cfg-if",
+ "crossbeam-utils",
+ "memoffset 0.9.0",
+ "scopeguard",
+]
+
+[[package]]
+name = "crossbeam-queue"
+version = "0.3.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d1cfb3ea8a53f37c40dea2c7bedcbd88bdfae54f5e2175d6ecaff1c988353add"
+dependencies = [
+ "cfg-if",
+ "crossbeam-utils",
+]
+
+[[package]]
+name = "crossbeam-utils"
+version = "0.8.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5a22b2d63d4d1dc0b7f1b6b2747dd0088008a9be28b6ddf0b1e7d335e3037294"
+dependencies = [
+ "cfg-if",
+]
+
+[[package]]
+name = "crunchy"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7a81dae078cea95a014a339291cec439d2f232ebe854a9d672b796c6afafa9b7"
+
+[[package]]
+name = "crypto-common"
+version = "0.1.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1bfb12502f3fc46cca1bb51ac28df9d618d813cdc3d2f25b9fe775a34af26bb3"
+dependencies = [
+ "generic-array",
+ "typenum",
+]
+
+[[package]]
+name = "csv"
+version = "1.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ac574ff4d437a7b5ad237ef331c17ccca63c46479e5b5453eb8e10bb99a759fe"
+dependencies = [
+ "csv-core",
+ "itoa",
+ "ryu",
+ "serde",
+]
+
+[[package]]
+name = "csv-core"
+version = "0.1.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5efa2b3d7902f4b634a20cae3c9c4e6209dc4779feb6863329607560143efa70"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "ctr"
+version = "0.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "049bb91fb4aaf0e3c7efa6cd5ef877dbbbd15b39dad06d9948de4ec8a75761ea"
+dependencies = [
+ "cipher",
+]
+
+[[package]]
+name = "darwin-libproc"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9fb90051930c9a0f09e585762152048e23ac74d20c10590ef7cf01c0343c3046"
+dependencies = [
+ "darwin-libproc-sys",
+ "libc",
+ "memchr",
+]
+
+[[package]]
+name = "darwin-libproc-sys"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "57cebb5bde66eecdd30ddc4b9cd208238b15db4982ccc72db59d699ea10867c1"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "dashmap"
+version = "5.5.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "978747c1d849a7d2ee5e8adc0159961c48fb7e5db2f06af6723b80123bb53856"
+dependencies = [
+ "cfg-if",
+ "hashbrown 0.14.2",
+ "lock_api",
+ "once_cell",
+ "parking_lot_core 0.9.9",
+]
+
+[[package]]
+name = "data-encoding"
+version = "2.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c2e66c9d817f1720209181c316d28635c050fa304f9c79e47a520882661b7308"
+
+[[package]]
+name = "deranged"
+version = "0.3.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0f32d04922c60427da6f9fef14d042d9edddef64cb9d4ce0d64d0685fbeb1fd3"
+dependencies = [
+ "powerfmt",
+]
+
+[[package]]
+name = "dia-i18n"
+version = "0.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "292babb903a8ffc5e23d17085137a0f33c00a07dfbc6117619c7e6dc7f4111ae"
+
+[[package]]
+name = "digest"
+version = "0.10.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9ed9a281f7bc9b7576e61468ba615a66a5c8cfdff42420a70aa82701a3b1e292"
+dependencies = [
+ "block-buffer",
+ "crypto-common",
+]
+
+[[package]]
+name = "dim"
+version = "0.1.0"
+dependencies = [
+ "clap 4.4.7",
+ "dim-auth",
+ "dim-core",
+ "dim-database",
+ "dim-events",
+ "dim-extern-api",
+ "dim-utils",
+ "dim-web",
+ "fdlimit",
+ "nightfall",
+ "tokio",
+ "tracing",
+ "xtra",
+]
+
+[[package]]
+name = "dim-auth"
+version = "0.4.0-dev"
+dependencies = [
+ "aes-gcm",
+ "base64 0.13.1",
+ "displaydoc",
+ "once_cell",
+ "rand",
+ "serde",
+ "thiserror",
+]
+
+[[package]]
+name = "dim-core"
+version = "0.4.0-dev"
+dependencies = [
+ "async-trait",
+ "axum 0.6.20",
+ "cfg-if",
+ "chrono",
+ "criterion",
+ "dia-i18n",
+ "dim-auth",
+ "dim-database",
+ "dim-events",
+ "dim-extern-api",
+ "dim-utils",
+ "displaydoc",
+ "futures",
+ "fuzzy-matcher",
+ "http 0.2.9",
+ "hyper",
+ "ignore",
+ "image",
+ "itertools",
+ "lazy_static",
+ "libsqlite3-sys",
+ "nightfall",
+ "nix 0.23.2",
+ "notify",
+ "once_cell",
+ "parking_lot 0.12.1",
+ "percent-encoding",
+ "rand",
+ "reqwest",
+ "rusqlite",
+ "rust-embed",
+ "serde",
+ "serde_derive",
+ "serde_json",
+ "serial_test",
+ "sqlx",
+ "tempfile",
+ "thiserror",
+ "tokio",
+ "tokio-stream",
+ "tokio-tungstenite",
+ "toml",
+ "tracing",
+ "tracing-appender",
+ "tracing-subscriber",
+ "url",
+ "uuid 1.5.0",
+ "xmlwriter",
+ "xtra",
+ "zip",
+]
+
+[[package]]
+name = "dim-database"
+version = "0.4.0-dev"
+dependencies = [
+ "base64 0.13.1",
+ "cfg-if",
+ "dim-auth",
+ "displaydoc",
+ "itertools",
+ "lazy_static",
+ "once_cell",
+ "ring 0.16.20",
+ "serde",
+ "serde_derive",
+ "serde_json",
+ "sqlx",
+ "thiserror",
+ "tokio",
+ "tracing",
+ "uuid 0.8.2",
+]
+
+[[package]]
+name = "dim-events"
+version = "0.4.0-dev"
+dependencies = [
+ "serde",
+ "serde_json",
+]
+
+[[package]]
+name = "dim-extern-api"
+version = "0.4.0-dev"
+dependencies = [
+ "anitomy",
+ "async-trait",
+ "chrono",
+ "dashmap",
+ "displaydoc",
+ "governor",
+ "rand",
+ "reqwest",
+ "retry-block",
+ "serde",
+ "serde_json",
+ "thiserror",
+ "tokio",
+ "torrent-name-parser",
+ "tracing",
+]
+
+[[package]]
+name = "dim-utils"
+version = "0.1.0"
+dependencies = [
+ "dia-i18n",
+]
+
+[[package]]
+name = "dim-web"
+version = "0.1.0"
+dependencies = [
+ "axum 0.6.20",
+ "axum-extra",
+ "cfg-if",
+ "chrono",
+ "dim-core",
+ "dim-database",
+ "dim-events",
+ "dim-extern-api",
+ "dim-utils",
+ "displaydoc",
+ "dominant_color",
+ "futures",
+ "fuzzy-matcher",
+ "http 0.2.9",
+ "hyper",
+ "image",
+ "nightfall",
+ "once_cell",
+ "percent-encoding",
+ "rust-embed",
+ "serde",
+ "serde_derive",
+ "serde_json",
+ "sqlx",
+ "thiserror",
+ "tokio",
+ "tokio-stream",
+ "tower",
+ "tower-http",
+ "tracing",
+ "tracing-appender",
+ "tracing-subscriber",
+ "uuid 1.5.0",
+]
+
+[[package]]
+name = "displaydoc"
+version = "0.2.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "487585f4d0c6655fe74905e2504d8ad6908e4db67f744eb140876906c2f3175d"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.39",
+]
+
+[[package]]
+name = "dominant_color"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2494b5d589b485e8b75ef5e81b4dc271fb0d5814132c308d5d11f0de9b300d96"
+
+[[package]]
+name = "dotenv"
+version = "0.15.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "77c90badedccf4105eca100756a0b1289e191f6fcbdadd3cee1d2f614f97da8f"
+
+[[package]]
+name = "either"
+version = "1.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a26ae43d7bcc3b814de94796a5e736d4029efb0ee900c12e2d54c993ad1a1e07"
+
+[[package]]
+name = "encoding_rs"
+version = "0.8.33"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7268b386296a025e474d5140678f75d6de9493ae55a5d709eeb9dd08149945e1"
+dependencies = [
+ "cfg-if",
+]
+
+[[package]]
+name = "err-derive"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c34a887c8df3ed90498c1c437ce21f211c8e27672921a8ffa293cb8d6d4caa9e"
+dependencies = [
+ "proc-macro-error",
+ "proc-macro2",
+ "quote",
+ "rustversion",
+ "syn 1.0.109",
+ "synstructure",
+]
+
+[[package]]
+name = "errno"
+version = "0.3.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7c18ee0ed65a5f1f81cac6b1d213b69c35fa47d4252ad41f1486dbd8226fe36e"
+dependencies = [
+ "libc",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "event-listener"
+version = "2.5.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0206175f82b8d6bf6652ff7d71a1e27fd2e4efde587fd368662814d6ec1d9ce0"
+
+[[package]]
+name = "exr"
+version = "1.71.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "832a761f35ab3e6664babfbdc6cef35a4860e816ec3916dcfd0882954e98a8a8"
+dependencies = [
+ "bit_field",
+ "flume 0.11.0",
+ "half 2.2.1",
+ "lebe",
+ "miniz_oxide",
+ "rayon-core",
+ "smallvec",
+ "zune-inflate",
+]
+
+[[package]]
+name = "fallible-iterator"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4443176a9f2c162692bd3d352d745ef9413eec5782a80d8fd6f8a1ac692a07f7"
+
+[[package]]
+name = "fallible-streaming-iterator"
+version = "0.1.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7360491ce676a36bf9bb3c56c1aa791658183a54d2744120f27285738d90465a"
+
+[[package]]
+name = "fastrand"
+version = "2.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "25cbce373ec4653f1a01a31e8a5e5ec0c622dc27ff9c4e6606eefef5cbbed4a5"
+
+[[package]]
+name = "fdeflate"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "64d6dafc854908ff5da46ff3f8f473c6984119a2876a383a860246dd7841a868"
+dependencies = [
+ "simd-adler32",
+]
+
+[[package]]
+name = "fdlimit"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2c4c9e43643f5a3be4ca5b67d26b98031ff9db6806c3440ae32e02e3ceac3f1b"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "filetime"
+version = "0.2.22"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d4029edd3e734da6fe05b6cd7bd2960760a616bd2ddd0d59a0124746d6272af0"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "redox_syscall 0.3.5",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "finl_unicode"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8fcfdc7a0362c9f4444381a9e697c79d435fe65b52a37466fc2c1184cee9edc6"
+
+[[package]]
+name = "flate2"
+version = "1.0.28"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "46303f565772937ffe1d394a4fac6f411c6013172fadde9dcdb1e147a086940e"
+dependencies = [
+ "crc32fast",
+ "miniz_oxide",
+]
+
+[[package]]
+name = "flume"
+version = "0.10.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1657b4441c3403d9f7b3409e47575237dac27b1b5726df654a6ecbf92f0f7577"
+dependencies = [
+ "futures-core",
+ "futures-sink",
+ "pin-project",
+ "spin 0.9.8",
+]
+
+[[package]]
+name = "flume"
+version = "0.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "55ac459de2512911e4b674ce33cf20befaba382d05b62b008afc1c8b57cbf181"
+dependencies = [
+ "spin 0.9.8",
+]
+
+[[package]]
+name = "fnv"
+version = "1.0.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3f9eec918d3f24069decb9af1554cad7c880e2da24a9afd88aca000531ab82c1"
+
+[[package]]
+name = "form_urlencoded"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a62bc1cf6f830c2ec14a513a9fb124d0a213a629668a4186f329db21fe045652"
+dependencies = [
+ "percent-encoding",
+]
+
+[[package]]
+name = "fsevent-sys"
+version = "4.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "76ee7a02da4d231650c7cea31349b889be2f45ddb3ef3032d2ec8185f6313fd2"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "futures"
+version = "0.3.29"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "da0290714b38af9b4a7b094b8a37086d1b4e61f2df9122c3cad2577669145335"
+dependencies = [
+ "futures-channel",
+ "futures-core",
+ "futures-executor",
+ "futures-io",
+ "futures-sink",
+ "futures-task",
+ "futures-util",
+]
+
+[[package]]
+name = "futures-channel"
+version = "0.3.29"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ff4dd66668b557604244583e3e1e1eada8c5c2e96a6d0d6653ede395b78bbacb"
+dependencies = [
+ "futures-core",
+ "futures-sink",
+]
+
+[[package]]
+name = "futures-core"
+version = "0.3.29"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eb1d22c66e66d9d72e1758f0bd7d4fd0bee04cad842ee34587d68c07e45d088c"
+
+[[package]]
+name = "futures-executor"
+version = "0.3.29"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0f4fb8693db0cf099eadcca0efe2a5a22e4550f98ed16aba6c48700da29597bc"
+dependencies = [
+ "futures-core",
+ "futures-task",
+ "futures-util",
+]
+
+[[package]]
+name = "futures-intrusive"
+version = "0.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a604f7a68fbf8103337523b1fadc8ade7361ee3f112f7c680ad179651616aed5"
+dependencies = [
+ "futures-core",
+ "lock_api",
+ "parking_lot 0.11.2",
+]
+
+[[package]]
+name = "futures-io"
+version = "0.3.29"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8bf34a163b5c4c52d0478a4d757da8fb65cabef42ba90515efee0f6f9fa45aaa"
+
+[[package]]
+name = "futures-macro"
+version = "0.3.29"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "53b153fd91e4b0147f4aced87be237c98248656bb01050b96bf3ee89220a8ddb"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.39",
+]
+
+[[package]]
+name = "futures-sink"
+version = "0.3.29"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e36d3378ee38c2a36ad710c5d30c2911d752cb941c00c72dbabfb786a7970817"
+
+[[package]]
+name = "futures-task"
+version = "0.3.29"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "efd193069b0ddadc69c46389b740bbccdd97203899b48d09c5f7969591d6bae2"
+
+[[package]]
+name = "futures-timer"
+version = "3.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e64b03909df88034c26dc1547e8970b91f98bdb65165d6a4e9110d94263dbb2c"
+
+[[package]]
+name = "futures-util"
+version = "0.3.29"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a19526d624e703a3179b3d322efec918b6246ea0fa51d41124525f00f1cc8104"
+dependencies = [
+ "futures-channel",
+ "futures-core",
+ "futures-io",
+ "futures-macro",
+ "futures-sink",
+ "futures-task",
+ "memchr",
+ "pin-project-lite",
+ "pin-utils",
+ "slab",
+]
+
+[[package]]
+name = "fuzzy-matcher"
+version = "0.3.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "54614a3312934d066701a80f20f15fa3b56d67ac7722b39eea5b4c9dd1d66c94"
+dependencies = [
+ "thread_local",
+]
+
+[[package]]
+name = "generic-array"
+version = "0.14.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "85649ca51fd72272d7821adaf274ad91c288277713d9c18820d8499a7ff69e9a"
+dependencies = [
+ "typenum",
+ "version_check",
+]
+
+[[package]]
+name = "getrandom"
+version = "0.2.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fe9006bed769170c11f845cf00c7c1e9092aeb3f268e007c3e760ac68008070f"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "wasi 0.11.0+wasi-snapshot-preview1",
+]
+
+[[package]]
+name = "ghash"
+version = "0.4.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1583cc1656d7839fd3732b80cf4f38850336cdb9b8ded1cd399ca62958de3c99"
+dependencies = [
+ "opaque-debug",
+ "polyval",
+]
+
+[[package]]
+name = "gif"
+version = "0.12.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "80792593675e051cf94a4b111980da2ba60d4a83e43e0048c5693baab3977045"
+dependencies = [
+ "color_quant",
+ "weezl",
+]
+
+[[package]]
+name = "gimli"
+version = "0.28.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6fb8d784f27acf97159b40fc4db5ecd8aa23b9ad5ef69cdd136d3bc80665f0c0"
+
+[[package]]
+name = "globset"
+version = "0.4.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "759c97c1e17c55525b57192c06a267cda0ac5210b222d6b82189a2338fa1c13d"
+dependencies = [
+ "aho-corasick",
+ "bstr",
+ "fnv",
+ "log",
+ "regex",
+]
+
+[[package]]
+name = "governor"
+version = "0.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c390a940a5d157878dd057c78680a33ce3415bcd05b4799509ea44210914b4d5"
+dependencies = [
+ "cfg-if",
+ "dashmap",
+ "futures",
+ "futures-timer",
+ "no-std-compat",
+ "nonzero_ext",
+ "parking_lot 0.12.1",
+ "quanta",
+ "rand",
+ "smallvec",
+]
+
+[[package]]
+name = "h2"
+version = "0.3.21"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "91fc23aa11be92976ef4729127f1a74adf36d8436f7816b185d18df956790833"
+dependencies = [
+ "bytes 1.5.0",
+ "fnv",
+ "futures-core",
+ "futures-sink",
+ "futures-util",
+ "http 0.2.9",
+ "indexmap",
+ "slab",
+ "tokio",
+ "tokio-util",
+ "tracing",
+]
+
+[[package]]
+name = "half"
+version = "1.8.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eabb4a44450da02c90444cf74558da904edde8fb4e9035a9a6a4e15445af0bd7"
+
+[[package]]
+name = "half"
+version = "2.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "02b4af3693f1b705df946e9fe5631932443781d0aabb423b62fcd4d73f6d2fd0"
+dependencies = [
+ "crunchy",
+]
+
+[[package]]
+name = "hashbrown"
+version = "0.11.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ab5ef0d4909ef3724cc8cce6ccc8572c5c817592e9285f5464f8e86f8bd3726e"
+dependencies = [
+ "ahash",
+]
+
+[[package]]
+name = "hashbrown"
+version = "0.12.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8a9ee70c43aaf417c914396645a0fa852624801b24ebb7ae78fe8272889ac888"
+
+[[package]]
+name = "hashbrown"
+version = "0.14.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f93e7192158dbcda357bdec5fb5788eebf8bbac027f3f33e719d29135ae84156"
+
+[[package]]
+name = "hashlink"
+version = "0.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7249a3129cbc1ffccd74857f81464a323a152173cdb134e0fd81bc803b29facf"
+dependencies = [
+ "hashbrown 0.11.2",
+]
+
+[[package]]
+name = "heck"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "95505c38b4572b2d910cecb0281560f54b440a19336cbbcb27bf6ce6adc6f5a8"
+dependencies = [
+ "unicode-segmentation",
+]
+
+[[package]]
+name = "hermit-abi"
+version = "0.1.19"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "62b467343b94ba476dcb2500d242dadbb39557df889310ac77c5d99100aaac33"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "hermit-abi"
+version = "0.3.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d77f7ec81a6d05a3abb01ab6eb7590f6083d08449fe5a1c8b1e620283546ccb7"
+
+[[package]]
+name = "hex"
+version = "0.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f24254aa9a54b5c858eaee2f5bccdb46aaf0e486a595ed5fd8f86ba55232a70"
+
+[[package]]
+name = "http"
+version = "0.2.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bd6effc99afb63425aff9b05836f029929e345a6148a14b7ecd5ab67af944482"
+dependencies = [
+ "bytes 1.5.0",
+ "fnv",
+ "itoa",
+]
+
+[[package]]
+name = "http"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b32afd38673a8016f7c9ae69e5af41a58f81b1d31689040f2f1959594ce194ea"
+dependencies = [
+ "bytes 1.5.0",
+ "fnv",
+ "itoa",
+]
+
+[[package]]
+name = "http-body"
+version = "0.4.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d5f38f16d184e36f2408a55281cd658ecbd3ca05cce6d6510a176eca393e26d1"
+dependencies = [
+ "bytes 1.5.0",
+ "http 0.2.9",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "http-body"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1cac85db508abc24a2e48553ba12a996e87244a0395ce011e62b37158745d643"
+dependencies = [
+ "bytes 1.5.0",
+ "http 1.0.0",
+]
+
+[[package]]
+name = "http-body-util"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "41cb79eb393015dadd30fc252023adb0b2400a0caee0fa2a077e6e21a551e840"
+dependencies = [
+ "bytes 1.5.0",
+ "futures-util",
+ "http 1.0.0",
+ "http-body 1.0.0",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "http-range-header"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "add0ab9360ddbd88cfeb3bd9574a1d85cfdfa14db10b3e21d3700dbc4328758f"
+
+[[package]]
+name = "httparse"
+version = "1.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d897f394bad6a705d5f4104762e116a75639e470d80901eed05a860a95cb1904"
+
+[[package]]
+name = "httpdate"
+version = "1.0.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "df3b46402a9d5adb4c86a0cf463f42e19994e3ee891101b1841f30a545cb49a9"
+
+[[package]]
+name = "hyper"
+version = "0.14.27"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ffb1cfd654a8219eaef89881fdb3bb3b1cdc5fa75ded05d6933b2b382e395468"
+dependencies = [
+ "bytes 1.5.0",
+ "futures-channel",
+ "futures-core",
+ "futures-util",
+ "h2",
+ "http 0.2.9",
+ "http-body 0.4.5",
+ "httparse",
+ "httpdate",
+ "itoa",
+ "pin-project-lite",
+ "socket2 0.4.10",
+ "tokio",
+ "tower-service",
+ "tracing",
+ "want",
+]
+
+[[package]]
+name = "hyper-rustls"
+version = "0.24.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ec3efd23720e2049821a693cbc7e65ea87c72f1c58ff2f9522ff332b1491e590"
+dependencies = [
+ "futures-util",
+ "http 0.2.9",
+ "hyper",
+ "rustls 0.21.8",
+ "tokio",
+ "tokio-rustls 0.24.1",
+]
+
+[[package]]
+name = "iana-time-zone"
+version = "0.1.58"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8326b86b6cff230b97d0d312a6c40a60726df3332e721f72a1b035f451663b20"
+dependencies = [
+ "android_system_properties",
+ "core-foundation-sys",
+ "iana-time-zone-haiku",
+ "js-sys",
+ "wasm-bindgen",
+ "windows-core",
+]
+
+[[package]]
+name = "iana-time-zone-haiku"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f31827a206f56af32e590ba56d5d2d085f558508192593743f16b2306495269f"
+dependencies = [
+ "cc",
+]
+
+[[package]]
+name = "idna"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7d20d6b07bfbc108882d88ed8e37d39636dcc260e15e30c45e6ba089610b917c"
+dependencies = [
+ "unicode-bidi",
+ "unicode-normalization",
+]
+
+[[package]]
+name = "ignore"
+version = "0.4.20"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dbe7873dab538a9a44ad79ede1faf5f30d49f9a5c883ddbab48bce81b64b7492"
+dependencies = [
+ "globset",
+ "lazy_static",
+ "log",
+ "memchr",
+ "regex",
+ "same-file",
+ "thread_local",
+ "walkdir",
+ "winapi-util",
+]
+
+[[package]]
+name = "image"
+version = "0.24.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6f3dfdbdd72063086ff443e297b61695500514b1e41095b6fb9a5ab48a70a711"
+dependencies = [
+ "bytemuck",
+ "byteorder",
+ "color_quant",
+ "exr",
+ "gif",
+ "jpeg-decoder",
+ "num-rational",
+ "num-traits",
+ "png",
+ "qoi",
+ "tiff",
+]
+
+[[package]]
+name = "indexmap"
+version = "1.9.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bd070e393353796e801d209ad339e89596eb4c8d430d18ede6a1cced8fafbd99"
+dependencies = [
+ "autocfg",
+ "hashbrown 0.12.3",
+]
+
+[[package]]
+name = "inotify"
+version = "0.9.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f8069d3ec154eb856955c1c0fbffefbf5f3c40a104ec912d4797314c1801abff"
+dependencies = [
+ "bitflags 1.3.2",
+ "inotify-sys",
+ "libc",
+]
+
+[[package]]
+name = "inotify-sys"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e05c02b5e89bff3b946cedeca278abc628fe811e604f027c45a8aa3cf793d0eb"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "instant"
+version = "0.1.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7a5bbe824c507c5da5956355e86a746d82e0e1464f65d862cc5e71da70e94b2c"
+dependencies = [
+ "cfg-if",
+]
+
+[[package]]
+name = "ipnet"
+version = "2.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f518f335dce6725a761382244631d86cf0ccb2863413590b31338feb467f9c3"
+
+[[package]]
+name = "iri-string"
+version = "0.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "21859b667d66a4c1dacd9df0863b3efb65785474255face87f5bca39dd8407c0"
+dependencies = [
+ "memchr",
+ "serde",
+]
+
+[[package]]
+name = "itertools"
+version = "0.10.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b0fd2260e829bddf4cb6ea802289de2f86d6a7a690192fbe91b3f46e0f2c8473"
+dependencies = [
+ "either",
+]
+
+[[package]]
+name = "itoa"
+version = "1.0.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "af150ab688ff2122fcef229be89cb50dd66af9e01a4ff320cc137eecc9bacc38"
+
+[[package]]
+name = "jobserver"
+version = "0.1.27"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8c37f63953c4c63420ed5fd3d6d398c719489b9f872b9fa683262f8edd363c7d"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "jpeg-decoder"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bc0000e42512c92e31c2252315bda326620a4e034105e900c98ec492fa077b3e"
+dependencies = [
+ "rayon",
+]
+
+[[package]]
+name = "js-sys"
+version = "0.3.65"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "54c0c35952f67de54bb584e9fd912b3023117cbafc0a77d8f3dee1fb5f572fe8"
+dependencies = [
+ "wasm-bindgen",
+]
+
+[[package]]
+name = "kqueue"
+version = "1.0.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7447f1ca1b7b563588a205fe93dea8df60fd981423a768bc1c0ded35ed147d0c"
+dependencies = [
+ "kqueue-sys",
+ "libc",
+]
+
+[[package]]
+name = "kqueue-sys"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ed9625ffda8729b85e45cf04090035ac368927b8cebc34898e7c120f52e4838b"
+dependencies = [
+ "bitflags 1.3.2",
+ "libc",
+]
+
+[[package]]
+name = "lazy_static"
+version = "1.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e2abad23fbc42b3700f2f279844dc832adb2b2eb069b2df918f455c4e18cc646"
+
+[[package]]
+name = "lebe"
+version = "0.5.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "03087c2bad5e1034e8cace5926dec053fb3790248370865f5117a7d0213354c8"
+
+[[package]]
+name = "libc"
+version = "0.2.150"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "89d92a4743f9a61002fae18374ed11e7973f530cb3a3255fb354818118b2203c"
+
+[[package]]
+name = "libsqlite3-sys"
+version = "0.24.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "898745e570c7d0453cc1fbc4a701eb6c662ed54e8fec8b7d14be137ebeeb9d14"
+dependencies = [
+ "cc",
+ "pkg-config",
+ "vcpkg",
+]
+
+[[package]]
+name = "libva-sys"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "49e4c5aa2cb99e640a357c42cf83dcb978ed08f99a3ca09eac534091aee2e9ba"
+dependencies = [
+ "cc",
+ "cfg-if",
+ "libc",
+ "pkg-config",
+]
+
+[[package]]
+name = "linux-raw-sys"
+version = "0.4.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "969488b55f8ac402214f3f5fd243ebb7206cf82de60d3172994707a4bcc2b829"
+
+[[package]]
+name = "lock_api"
+version = "0.4.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3c168f8615b12bc01f9c17e2eb0cc07dcae1940121185446edc3744920e8ef45"
+dependencies = [
+ "autocfg",
+ "scopeguard",
+]
+
+[[package]]
+name = "log"
+version = "0.4.20"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b5e6163cb8c49088c2c36f57875e58ccd8c87c7427f7fbd50ea6710b2f3f2e8f"
+
+[[package]]
+name = "mach"
+version = "0.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b823e83b2affd8f40a9ee8c29dbc56404c1e34cd2710921f2801e2cf29527afa"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "matchers"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8263075bb86c5a1b1427b5ae862e8889656f126e9f77c484496e8b47cf5c5558"
+dependencies = [
+ "regex-automata 0.1.10",
+]
+
+[[package]]
+name = "matchit"
+version = "0.7.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0e7465ac9959cc2b1404e8e2367b43684a6d13790fe23056cc8c6c5a6b7bcb94"
+
+[[package]]
+name = "memchr"
+version = "2.6.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f665ee40bc4a3c5590afb1e9677db74a508659dfd71e126420da8274909a0167"
+
+[[package]]
+name = "memoffset"
+version = "0.6.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5aa361d4faea93603064a027415f07bd8e1d5c88c9fbf68bf56a285428fd79ce"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "memoffset"
+version = "0.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5a634b1c61a95585bd15607c6ab0c4e5b226e695ff2800ba0cdccddf208c406c"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "mime"
+version = "0.3.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6877bb514081ee2a7ff5ef9de3281f14a4dd4bceac4c09388074a6b5df8a139a"
+
+[[package]]
+name = "mime_guess"
+version = "2.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4192263c238a5f0d0c6bfd21f336a313a4ce1c450542449ca191bb657b4642ef"
+dependencies = [
+ "mime",
+ "unicase",
+]
+
+[[package]]
+name = "minimal-lexical"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "68354c5c6bd36d73ff3feceb05efa59b6acb7626617f4962be322a825e61f79a"
+
+[[package]]
+name = "miniz_oxide"
+version = "0.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e7810e0be55b428ada41041c41f32c9f1a42817901b4ccf45fa3d4b6561e74c7"
+dependencies = [
+ "adler",
+ "simd-adler32",
+]
+
+[[package]]
+name = "mio"
+version = "0.8.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3dce281c5e46beae905d4de1870d8b1509a9142b62eedf18b443b011ca8343d0"
+dependencies = [
+ "libc",
+ "log",
+ "wasi 0.11.0+wasi-snapshot-preview1",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "mp4"
+version = "0.8.2"
+source = "git+https://github.com/vgarleanu/mp4-rust#d4f85ce3a438bc80d93df467c3f3320c5b3352e7"
+dependencies = [
+ "byteorder",
+ "bytes 0.5.6",
+ "num-rational",
+ "serde",
+ "serde_json",
+ "thiserror",
+]
+
+[[package]]
+name = "multer"
+version = "2.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "01acbdc23469fd8fe07ab135923371d5f5a422fbf9c522158677c8eb15bc51c2"
+dependencies = [
+ "bytes 1.5.0",
+ "encoding_rs",
+ "futures-util",
+ "http 0.2.9",
+ "httparse",
+ "log",
+ "memchr",
+ "mime",
+ "spin 0.9.8",
+ "version_check",
+]
+
+[[package]]
+name = "nightfall"
+version = "0.3.12-rc4"
+source = "git+https://github.com/Dusk-Labs/nightfall?tag=0.3.12-rc4#147ea96146b4cae6f666741020cef0622a90d46c"
+dependencies = [
+ "async-trait",
+ "cfg-if",
+ "err-derive",
+ "lazy_static",
+ "mp4",
+ "nix 0.20.0",
+ "ntapi",
+ "once_cell",
+ "psutil",
+ "rusty_vainfo",
+ "serde",
+ "serde_derive",
+ "serde_json",
+ "tokio",
+ "tokio-stream",
+ "tracing",
+ "uuid 0.8.2",
+ "winapi",
+ "xtra",
+ "xtra_proc",
+]
+
+[[package]]
+name = "nix"
+version = "0.20.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fa9b4819da1bc61c0ea48b63b7bc8604064dd43013e7cc325df098d49cd7c18a"
+dependencies = [
+ "bitflags 1.3.2",
+ "cc",
+ "cfg-if",
+ "libc",
+]
+
+[[package]]
+name = "nix"
+version = "0.23.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f3790c00a0150112de0f4cd161e3d7fc4b2d8a5542ffc35f099a2562aecb35c"
+dependencies = [
+ "bitflags 1.3.2",
+ "cc",
+ "cfg-if",
+ "libc",
+ "memoffset 0.6.5",
+]
+
+[[package]]
+name = "no-std-compat"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b93853da6d84c2e3c7d730d6473e8817692dd89be387eb01b94d7f108ecb5b8c"
+
+[[package]]
+name = "nom"
+version = "7.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d273983c5a657a70a3e8f2a01329822f3b8c8172b73826411a55751e404a0a4a"
+dependencies = [
+ "memchr",
+ "minimal-lexical",
+]
+
+[[package]]
+name = "nonzero_ext"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "38bf9645c8b145698bb0b18a4637dcacbc421ea49bef2317e4fd8065a387cf21"
+
+[[package]]
+name = "notify"
+version = "5.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "729f63e1ca555a43fe3efa4f3efdf4801c479da85b432242a7b726f353c88486"
+dependencies = [
+ "bitflags 1.3.2",
+ "crossbeam-channel",
+ "filetime",
+ "fsevent-sys",
+ "inotify",
+ "kqueue",
+ "libc",
+ "mio",
+ "walkdir",
+ "windows-sys 0.45.0",
+]
+
+[[package]]
+name = "ntapi"
+version = "0.3.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c28774a7fd2fbb4f0babd8237ce554b73af68021b5f695a3cebd6c59bac0980f"
+dependencies = [
+ "winapi",
+]
+
+[[package]]
+name = "nu-ansi-term"
+version = "0.46.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "77a8165726e8236064dbb45459242600304b42a5ea24ee2948e18e023bf7ba84"
+dependencies = [
+ "overload",
+ "winapi",
+]
+
+[[package]]
+name = "num-integer"
+version = "0.1.45"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "225d3389fb3509a24c93f5c29eb6bde2586b98d9f016636dff58d7c6f7569cd9"
+dependencies = [
+ "autocfg",
+ "num-traits",
+]
+
+[[package]]
+name = "num-rational"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0638a1c9d0a3c0914158145bc76cff373a75a627e6ecbfb71cbe6f453a5a19b0"
+dependencies = [
+ "autocfg",
+ "num-integer",
+ "num-traits",
+ "serde",
+]
+
+[[package]]
+name = "num-traits"
+version = "0.2.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "39e3200413f237f41ab11ad6d161bc7239c84dcb631773ccd7de3dfe4b5c267c"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "num_cpus"
+version = "1.16.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4161fcb6d602d4d2081af7c3a45852d875a03dd337a6bfdd6e06407b61342a43"
+dependencies = [
+ "hermit-abi 0.3.3",
+ "libc",
+]
+
+[[package]]
+name = "object"
+version = "0.32.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9cf5f9dd3933bd50a9e1f149ec995f39ae2c496d31fd772c1fd45ebc27e902b0"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "once_cell"
+version = "1.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dd8b5dd2ae5ed71462c540258bedcb51965123ad7e7ccf4b9a8cafaa4a63576d"
+
+[[package]]
+name = "oorandom"
+version = "11.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0ab1bc2a289d34bd04a330323ac98a1b4bc82c9d9fcb1e66b63caa84da26b575"
+
+[[package]]
+name = "opaque-debug"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "624a8340c38c1b80fd549087862da4ba43e08858af025b236e509b6649fc13d5"
+
+[[package]]
+name = "overload"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b15813163c1d831bf4a13c3610c05c0d03b39feb07f7e09fa234dac9b15aaf39"
+
+[[package]]
+name = "parking_lot"
+version = "0.11.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7d17b78036a60663b797adeaee46f5c9dfebb86948d1255007a1d6be0271ff99"
+dependencies = [
+ "instant",
+ "lock_api",
+ "parking_lot_core 0.8.6",
+]
+
+[[package]]
+name = "parking_lot"
+version = "0.12.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3742b2c103b9f06bc9fff0a37ff4912935851bee6d36f3c02bcc755bcfec228f"
+dependencies = [
+ "lock_api",
+ "parking_lot_core 0.9.9",
+]
+
+[[package]]
+name = "parking_lot_core"
+version = "0.8.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "60a2cfe6f0ad2bfc16aefa463b497d5c7a5ecd44a23efa72aa342d90177356dc"
+dependencies = [
+ "cfg-if",
+ "instant",
+ "libc",
+ "redox_syscall 0.2.16",
+ "smallvec",
+ "winapi",
+]
+
+[[package]]
+name = "parking_lot_core"
+version = "0.9.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4c42a9226546d68acdd9c0a280d17ce19bfe27a46bf68784e4066115788d008e"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "redox_syscall 0.4.1",
+ "smallvec",
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "paste"
+version = "1.0.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "de3145af08024dea9fa9914f381a17b8fc6034dfb00f3a84013f7ff43f29ed4c"
+
+[[package]]
+name = "percent-encoding"
+version = "2.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9b2a4787296e9989611394c33f193f676704af1686e70b8f8033ab5ba9a35a94"
+
+[[package]]
+name = "pin-project"
+version = "1.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fda4ed1c6c173e3fc7a83629421152e01d7b1f9b7f65fb301e490e8cfc656422"
+dependencies = [
+ "pin-project-internal",
+]
+
+[[package]]
+name = "pin-project-internal"
+version = "1.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4359fd9c9171ec6e8c62926d6faaf553a8dc3f64e1507e76da7911b4f6a04405"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.39",
+]
+
+[[package]]
+name = "pin-project-lite"
+version = "0.2.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8afb450f006bf6385ca15ef45d71d2288452bc3683ce2e2cacc0d18e4be60b58"
+
+[[package]]
+name = "pin-utils"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8b870d8c151b6f2fb93e84a13146138f05d02ed11c7e7c54f8826aaaf7c9f184"
+
+[[package]]
+name = "pkg-config"
+version = "0.3.27"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "26072860ba924cbfa98ea39c8c19b4dd6a4a25423dbdf219c1eca91aa0cf6964"
+
+[[package]]
+name = "plotters"
+version = "0.3.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d2c224ba00d7cadd4d5c660deaf2098e5e80e07846537c51f9cfa4be50c1fd45"
+dependencies = [
+ "num-traits",
+ "plotters-backend",
+ "plotters-svg",
+ "wasm-bindgen",
+ "web-sys",
+]
+
+[[package]]
+name = "plotters-backend"
+version = "0.3.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9e76628b4d3a7581389a35d5b6e2139607ad7c75b17aed325f210aa91f4a9609"
+
+[[package]]
+name = "plotters-svg"
+version = "0.3.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "38f6d39893cca0701371e3c27294f09797214b86f1fb951b89ade8ec04e2abab"
+dependencies = [
+ "plotters-backend",
+]
+
+[[package]]
+name = "png"
+version = "0.17.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dd75bf2d8dd3702b9707cdbc56a5b9ef42cec752eb8b3bafc01234558442aa64"
+dependencies = [
+ "bitflags 1.3.2",
+ "crc32fast",
+ "fdeflate",
+ "flate2",
+ "miniz_oxide",
+]
+
+[[package]]
+name = "pollster"
+version = "0.2.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5da3b0203fd7ee5720aa0b5e790b591aa5d3f41c3ed2c34a3a393382198af2f7"
+
+[[package]]
+name = "polyval"
+version = "0.5.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8419d2b623c7c0896ff2d5d96e2cb4ede590fed28fcc34934f4c33c036e620a1"
+dependencies = [
+ "cfg-if",
+ "cpufeatures",
+ "opaque-debug",
+ "universal-hash",
+]
+
+[[package]]
+name = "powerfmt"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "439ee305def115ba05938db6eb1644ff94165c5ab5e9420d1c1bcedbba909391"
+
+[[package]]
+name = "ppv-lite86"
+version = "0.2.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5b40af805b3121feab8a3c29f04d8ad262fa8e0561883e7653e024ae4479e6de"
+
+[[package]]
+name = "proc-macro-error"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "da25490ff9892aab3fcf7c36f08cfb902dd3e71ca0f9f9517bea02a73a5ce38c"
+dependencies = [
+ "proc-macro-error-attr",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+ "version_check",
+]
+
+[[package]]
+name = "proc-macro-error-attr"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a1be40180e52ecc98ad80b184934baf3d0d29f979574e439af5a55274b35f869"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "version_check",
+]
+
+[[package]]
+name = "proc-macro2"
+version = "1.0.69"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "134c189feb4956b20f6f547d2cf727d4c0fe06722b20a0eec87ed445a97f92da"
+dependencies = [
+ "unicode-ident",
+]
+
+[[package]]
+name = "psutil"
+version = "3.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f866af2b0f8e4b0d2d00aad8a9c5fc48fad33466cd99a64cbb3a4c1505f1a62d"
+dependencies = [
+ "cfg-if",
+ "darwin-libproc",
+ "mach",
+ "nix 0.23.2",
+ "once_cell",
+ "thiserror",
+]
+
+[[package]]
+name = "qoi"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f6d64c71eb498fe9eae14ce4ec935c555749aef511cca85b5568910d6e48001"
+dependencies = [
+ "bytemuck",
+]
+
+[[package]]
+name = "quanta"
+version = "0.9.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "20afe714292d5e879d8b12740aa223c6a88f118af41870e8b6196e39a02238a8"
+dependencies = [
+ "crossbeam-utils",
+ "libc",
+ "mach",
+ "once_cell",
+ "raw-cpuid",
+ "wasi 0.10.2+wasi-snapshot-preview1",
+ "web-sys",
+ "winapi",
+]
+
+[[package]]
+name = "quote"
+version = "1.0.33"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5267fca4496028628a95160fc423a33e8b2e6af8a5302579e322e4b520293cae"
+dependencies = [
+ "proc-macro2",
+]
+
+[[package]]
+name = "rand"
+version = "0.8.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "34af8d1a0e25924bc5b7c43c079c942339d8f0a8b57c39049bef581b46327404"
+dependencies = [
+ "libc",
+ "rand_chacha",
+ "rand_core",
+]
+
+[[package]]
+name = "rand_chacha"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e6c10a63a0fa32252be49d21e7709d4d4baf8d231c2dbce1eaa8141b9b127d88"
+dependencies = [
+ "ppv-lite86",
+ "rand_core",
+]
+
+[[package]]
+name = "rand_core"
+version = "0.6.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ec0be4795e2f6a28069bec0b5ff3e2ac9bafc99e6a9a7dc3547996c5c816922c"
+dependencies = [
+ "getrandom",
+]
+
+[[package]]
+name = "raw-cpuid"
+version = "10.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6c297679cb867470fa8c9f67dbba74a78d78e3e98d7cf2b08d6d71540f797332"
+dependencies = [
+ "bitflags 1.3.2",
+]
+
+[[package]]
+name = "rayon"
+version = "1.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9c27db03db7734835b3f53954b534c91069375ce6ccaa2e065441e07d9b6cdb1"
+dependencies = [
+ "either",
+ "rayon-core",
+]
+
+[[package]]
+name = "rayon-core"
+version = "1.12.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5ce3fb6ad83f861aac485e76e1985cd109d9a3713802152be56c3b1f0e0658ed"
+dependencies = [
+ "crossbeam-deque",
+ "crossbeam-utils",
+]
+
+[[package]]
+name = "redox_syscall"
+version = "0.2.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fb5a58c1855b4b6819d59012155603f0b22ad30cad752600aadfcb695265519a"
+dependencies = [
+ "bitflags 1.3.2",
+]
+
+[[package]]
+name = "redox_syscall"
+version = "0.3.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "567664f262709473930a4bf9e51bf2ebf3348f2e748ccc50dea20646858f8f29"
+dependencies = [
+ "bitflags 1.3.2",
+]
+
+[[package]]
+name = "redox_syscall"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4722d768eff46b75989dd134e5c353f0d6296e5aaa3132e776cbdb56be7731aa"
+dependencies = [
+ "bitflags 1.3.2",
+]
+
+[[package]]
+name = "regex"
+version = "1.10.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "380b951a9c5e80ddfd6136919eef32310721aa4aacd4889a8d39124b026ab343"
+dependencies = [
+ "aho-corasick",
+ "memchr",
+ "regex-automata 0.4.3",
+ "regex-syntax 0.8.2",
+]
+
+[[package]]
+name = "regex-automata"
+version = "0.1.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6c230d73fb8d8c1b9c0b3135c5142a8acee3a0558fb8db5cf1cb65f8d7862132"
+dependencies = [
+ "regex-syntax 0.6.29",
+]
+
+[[package]]
+name = "regex-automata"
+version = "0.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5f804c7828047e88b2d32e2d7fe5a105da8ee3264f01902f796c8e067dc2483f"
+dependencies = [
+ "aho-corasick",
+ "memchr",
+ "regex-syntax 0.8.2",
+]
+
+[[package]]
+name = "regex-syntax"
+version = "0.6.29"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f162c6dd7b008981e4d40210aca20b4bd0f9b60ca9271061b07f78537722f2e1"
+
+[[package]]
+name = "regex-syntax"
+version = "0.8.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c08c74e62047bb2de4ff487b251e4a92e24f48745648451635cec7d591162d9f"
+
+[[package]]
+name = "reqwest"
+version = "0.11.22"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "046cd98826c46c2ac8ddecae268eb5c2e58628688a5fc7a2643704a73faba95b"
+dependencies = [
+ "async-compression",
+ "base64 0.21.5",
+ "bytes 1.5.0",
+ "encoding_rs",
+ "futures-core",
+ "futures-util",
+ "h2",
+ "http 0.2.9",
+ "http-body 0.4.5",
+ "hyper",
+ "hyper-rustls",
+ "ipnet",
+ "js-sys",
+ "log",
+ "mime",
+ "once_cell",
+ "percent-encoding",
+ "pin-project-lite",
+ "rustls 0.21.8",
+ "rustls-pemfile",
+ "serde",
+ "serde_json",
+ "serde_urlencoded",
+ "system-configuration",
+ "tokio",
+ "tokio-rustls 0.24.1",
+ "tokio-util",
+ "tower-service",
+ "url",
+ "wasm-bindgen",
+ "wasm-bindgen-futures",
+ "web-sys",
+ "webpki-roots 0.25.2",
+ "winreg",
+]
+
+[[package]]
+name = "retry-block"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "095a7c37fd304fa4fef479da6293cd8dcd8ddb7eb26a03c28cc33f949362a379"
+dependencies = [
+ "async-trait",
+ "futures-util",
+ "rand",
+ "serde",
+ "tokio",
+ "tokio-stream",
+]
+
+[[package]]
+name = "ring"
+version = "0.16.20"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3053cf52e236a3ed746dfc745aa9cacf1b791d846bdaf412f60a8d7d6e17c8fc"
+dependencies = [
+ "cc",
+ "libc",
+ "once_cell",
+ "spin 0.5.2",
+ "untrusted 0.7.1",
+ "web-sys",
+ "winapi",
+]
+
+[[package]]
+name = "ring"
+version = "0.17.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fb0205304757e5d899b9c2e448b867ffd03ae7f988002e47cd24954391394d0b"
+dependencies = [
+ "cc",
+ "getrandom",
+ "libc",
+ "spin 0.9.8",
+ "untrusted 0.9.0",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "rusqlite"
+version = "0.27.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "85127183a999f7db96d1a976a309eebbfb6ea3b0b400ddd8340190129de6eb7a"
+dependencies = [
+ "bitflags 1.3.2",
+ "fallible-iterator",
+ "fallible-streaming-iterator",
+ "hashlink",
+ "libsqlite3-sys",
+ "memchr",
+ "smallvec",
+]
+
+[[package]]
+name = "rust-embed"
+version = "5.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2fe1fe6aac5d6bb9e1ffd81002340363272a7648234ec7bdfac5ee202cb65523"
+dependencies = [
+ "rust-embed-impl",
+ "rust-embed-utils",
+ "walkdir",
+]
+
+[[package]]
+name = "rust-embed-impl"
+version = "5.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3ed91c41c42ef7bf687384439c312e75e0da9c149b0390889b94de3c7d9d9e66"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "rust-embed-utils",
+ "syn 1.0.109",
+ "walkdir",
+]
+
+[[package]]
+name = "rust-embed-utils"
+version = "5.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2a512219132473ab0a77b52077059f1c47ce4af7fbdc94503e9862a34422876d"
+dependencies = [
+ "walkdir",
+]
+
+[[package]]
+name = "rustc-demangle"
+version = "0.1.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d626bb9dae77e28219937af045c257c28bfd3f69333c512553507f5f9798cb76"
+
+[[package]]
+name = "rustix"
+version = "0.38.21"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2b426b0506e5d50a7d8dafcf2e81471400deb602392c7dd110815afb4eaf02a3"
+dependencies = [
+ "bitflags 2.4.1",
+ "errno",
+ "libc",
+ "linux-raw-sys",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "rustls"
+version = "0.19.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "35edb675feee39aec9c99fa5ff985081995a06d594114ae14cbe797ad7b7a6d7"
+dependencies = [
+ "base64 0.13.1",
+ "log",
+ "ring 0.16.20",
+ "sct 0.6.1",
+ "webpki",
+]
+
+[[package]]
+name = "rustls"
+version = "0.21.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "446e14c5cda4f3f30fe71863c34ec70f5ac79d6087097ad0bb433e1be5edf04c"
+dependencies = [
+ "log",
+ "ring 0.17.5",
+ "rustls-webpki",
+ "sct 0.7.1",
+]
+
+[[package]]
+name = "rustls-pemfile"
+version = "1.0.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2d3987094b1d07b653b7dfdc3f70ce9a1da9c51ac18c1b06b662e4f9a0e9f4b2"
+dependencies = [
+ "base64 0.21.5",
+]
+
+[[package]]
+name = "rustls-webpki"
+version = "0.101.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8b6275d1ee7a1cd780b64aca7726599a1dbc893b1e64144529e55c3c2f745765"
+dependencies = [
+ "ring 0.17.5",
+ "untrusted 0.9.0",
+]
+
+[[package]]
+name = "rustversion"
+version = "1.0.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7ffc183a10b4478d04cbbbfc96d0873219d962dd5accaff2ffbd4ceb7df837f4"
+
+[[package]]
+name = "rusty_vainfo"
+version = "0.1.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1a7e34bc5c01a524319b395c0eaab6dd5a521d6d1d796de4de714ad2ccd6caf8"
+dependencies = [
+ "libva-sys",
+]
+
+[[package]]
+name = "ryu"
+version = "1.0.15"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1ad4cc8da4ef723ed60bced201181d83791ad433213d8c24efffda1eec85d741"
+
+[[package]]
+name = "same-file"
+version = "1.0.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "93fc1dc3aaa9bfed95e02e6eadabb4baf7e3078b0bd1b4d7b6b0b68378900502"
+dependencies = [
+ "winapi-util",
+]
+
+[[package]]
+name = "scopeguard"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "94143f37725109f92c262ed2cf5e59bce7498c01bcc1502d7b9afe439a4e9f49"
+
+[[package]]
+name = "sct"
+version = "0.6.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b362b83898e0e69f38515b82ee15aa80636befe47c3b6d3d89a911e78fc228ce"
+dependencies = [
+ "ring 0.16.20",
+ "untrusted 0.7.1",
+]
+
+[[package]]
+name = "sct"
+version = "0.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "da046153aa2352493d6cb7da4b6e5c0c057d8a1d0a9aa8560baffdd945acd414"
+dependencies = [
+ "ring 0.17.5",
+ "untrusted 0.9.0",
+]
+
+[[package]]
+name = "serde"
+version = "1.0.192"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bca2a08484b285dcb282d0f67b26cadc0df8b19f8c12502c13d966bf9482f001"
+dependencies = [
+ "serde_derive",
+]
+
+[[package]]
+name = "serde_cbor"
+version = "0.11.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2bef2ebfde456fb76bbcf9f59315333decc4fda0b2b44b420243c11e0f5ec1f5"
+dependencies = [
+ "half 1.8.2",
+ "serde",
+]
+
+[[package]]
+name = "serde_derive"
+version = "1.0.192"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d6c7207fbec9faa48073f3e3074cbe553af6ea512d7c21ba46e434e70ea9fbc1"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.39",
+]
+
+[[package]]
+name = "serde_json"
+version = "1.0.108"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3d1c7e3eac408d115102c4c24ad393e0821bb3a5df4d506a80f85f7a742a526b"
+dependencies = [
+ "itoa",
+ "ryu",
+ "serde",
+]
+
+[[package]]
+name = "serde_path_to_error"
+version = "0.1.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4beec8bce849d58d06238cb50db2e1c417cfeafa4c63f692b15c82b7c80f8335"
+dependencies = [
+ "itoa",
+ "serde",
+]
+
+[[package]]
+name = "serde_urlencoded"
+version = "0.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d3491c14715ca2294c4d6a88f15e84739788c1d030eed8c110436aafdaa2f3fd"
+dependencies = [
+ "form_urlencoded",
+ "itoa",
+ "ryu",
+ "serde",
+]
+
+[[package]]
+name = "serial_test"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e5bcc41d18f7a1d50525d080fd3e953be87c4f9f1a974f3c21798ca00d54ec15"
+dependencies = [
+ "lazy_static",
+ "parking_lot 0.11.2",
+ "serial_test_derive",
+]
+
+[[package]]
+name = "serial_test_derive"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2881bccd7d60fb32dfa3d7b3136385312f8ad75e2674aab2852867a09790cae8"
+dependencies = [
+ "proc-macro-error",
+ "proc-macro2",
+ "quote",
+ "rustversion",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "sha1"
+version = "0.10.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e3bf829a2d51ab4a5ddf1352d8470c140cadc8301b2ae1789db023f01cedd6ba"
+dependencies = [
+ "cfg-if",
+ "cpufeatures",
+ "digest",
+]
+
+[[package]]
+name = "sha2"
+version = "0.10.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "793db75ad2bcafc3ffa7c68b215fee268f537982cd901d132f89c6343f3a3dc8"
+dependencies = [
+ "cfg-if",
+ "cpufeatures",
+ "digest",
+]
+
+[[package]]
+name = "sharded-slab"
+version = "0.1.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f40ca3c46823713e0d4209592e8d6e826aa57e928f09752619fc696c499637f6"
+dependencies = [
+ "lazy_static",
+]
+
+[[package]]
+name = "signal-hook-registry"
+version = "1.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d8229b473baa5980ac72ef434c4415e70c4b5e71b423043adb4ba059f89c99a1"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "simd-adler32"
+version = "0.3.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d66dc143e6b11c1eddc06d5c423cfc97062865baf299914ab64caa38182078fe"
+
+[[package]]
+name = "slab"
+version = "0.4.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f92a496fb766b417c996b9c5e57daf2f7ad3b0bebe1ccfca4856390e3d3bb67"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "smallvec"
+version = "1.11.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "942b4a808e05215192e39f4ab80813e599068285906cc91aa64f923db842bd5a"
+
+[[package]]
+name = "socket2"
+version = "0.4.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9f7916fc008ca5542385b89a3d3ce689953c143e9304a9bf8beec1de48994c0d"
+dependencies = [
+ "libc",
+ "winapi",
+]
+
+[[package]]
+name = "socket2"
+version = "0.5.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7b5fac59a5cb5dd637972e5fca70daf0523c9067fcdc4842f053dae04a18f8e9"
+dependencies = [
+ "libc",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "spin"
+version = "0.5.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6e63cff320ae2c57904679ba7cb63280a3dc4613885beafb148ee7bf9aa9042d"
+
+[[package]]
+name = "spin"
+version = "0.9.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6980e8d7511241f8acf4aebddbb1ff938df5eebe98691418c4468d0b72a96a67"
+dependencies = [
+ "lock_api",
+]
+
+[[package]]
+name = "sqlformat"
+version = "0.1.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b4b7922be017ee70900be125523f38bdd644f4f06a1b16e8fa5a8ee8c34bffd4"
+dependencies = [
+ "itertools",
+ "nom",
+ "unicode_categories",
+]
+
+[[package]]
+name = "sqlx"
+version = "0.5.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "551873805652ba0d912fec5bbb0f8b4cdd96baf8e2ebf5970e5671092966019b"
+dependencies = [
+ "sqlx-core",
+ "sqlx-macros",
+]
+
+[[package]]
+name = "sqlx-core"
+version = "0.5.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e48c61941ccf5ddcada342cd59e3e5173b007c509e1e8e990dafc830294d9dc5"
+dependencies = [
+ "ahash",
+ "atoi",
+ "bitflags 1.3.2",
+ "byteorder",
+ "bytes 1.5.0",
+ "crc",
+ "crossbeam-queue",
+ "either",
+ "event-listener",
+ "flume 0.10.14",
+ "futures-channel",
+ "futures-core",
+ "futures-executor",
+ "futures-intrusive",
+ "futures-util",
+ "hashlink",
+ "hex",
+ "indexmap",
+ "itoa",
+ "libc",
+ "libsqlite3-sys",
+ "log",
+ "memchr",
+ "once_cell",
+ "paste",
+ "percent-encoding",
+ "rustls 0.19.1",
+ "sha2",
+ "smallvec",
+ "sqlformat",
+ "sqlx-rt",
+ "stringprep",
+ "thiserror",
+ "tokio-stream",
+ "url",
+ "webpki",
+ "webpki-roots 0.21.1",
+]
+
+[[package]]
+name = "sqlx-macros"
+version = "0.5.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bc0fba2b0cae21fc00fe6046f8baa4c7fcb49e379f0f592b04696607f69ed2e1"
+dependencies = [
+ "dotenv",
+ "either",
+ "heck",
+ "once_cell",
+ "proc-macro2",
+ "quote",
+ "sha2",
+ "sqlx-core",
+ "sqlx-rt",
+ "syn 1.0.109",
+ "url",
+]
+
+[[package]]
+name = "sqlx-rt"
+version = "0.5.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4db708cd3e459078f85f39f96a00960bd841f66ee2a669e90bf36907f5a79aae"
+dependencies = [
+ "once_cell",
+ "tokio",
+ "tokio-rustls 0.22.0",
+]
+
+[[package]]
+name = "stringprep"
+version = "0.1.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bb41d74e231a107a1b4ee36bd1214b11285b77768d2e3824aedafa988fd36ee6"
+dependencies = [
+ "finl_unicode",
+ "unicode-bidi",
+ "unicode-normalization",
+]
+
+[[package]]
+name = "strsim"
+version = "0.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "73473c0e59e6d5812c5dfe2a064a6444949f089e20eec9a2e5506596494e4623"
+
+[[package]]
+name = "subtle"
+version = "2.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6bdef32e8150c2a081110b42772ffe7d7c9032b606bc226c8260fd97e0976601"
+
+[[package]]
+name = "syn"
+version = "1.0.109"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "72b64191b275b66ffe2469e8af2c1cfe3bafa67b529ead792a6d0160888b4237"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "unicode-ident",
+]
+
+[[package]]
+name = "syn"
+version = "2.0.39"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "23e78b90f2fcf45d3e842032ce32e3f2d1545ba6636271dcbf24fa306d87be7a"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "unicode-ident",
+]
+
+[[package]]
+name = "sync_wrapper"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2047c6ded9c721764247e62cd3b03c09ffc529b2ba5b10ec482ae507a4a70160"
+
+[[package]]
+name = "synstructure"
+version = "0.12.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f36bdaa60a83aca3921b5259d5400cbf5e90fc51931376a9bd4a0eb79aa7210f"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+ "unicode-xid",
+]
+
+[[package]]
+name = "system-configuration"
+version = "0.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ba3a3adc5c275d719af8cb4272ea1c4a6d668a777f37e115f6d11ddbc1c8e0e7"
+dependencies = [
+ "bitflags 1.3.2",
+ "core-foundation",
+ "system-configuration-sys",
+]
+
+[[package]]
+name = "system-configuration-sys"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a75fb188eb626b924683e3b95e3a48e63551fcfb51949de2f06a9d91dbee93c9"
+dependencies = [
+ "core-foundation-sys",
+ "libc",
+]
+
+[[package]]
+name = "tempfile"
+version = "3.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7ef1adac450ad7f4b3c28589471ade84f25f731a7a0fe30d71dfa9f60fd808e5"
+dependencies = [
+ "cfg-if",
+ "fastrand",
+ "redox_syscall 0.4.1",
+ "rustix",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "textwrap"
+version = "0.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d326610f408c7a4eb6f51c37c330e496b08506c9457c9d34287ecc38809fb060"
+dependencies = [
+ "unicode-width",
+]
+
+[[package]]
+name = "thiserror"
+version = "1.0.50"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f9a7210f5c9a7156bb50aa36aed4c95afb51df0df00713949448cf9e97d382d2"
+dependencies = [
+ "thiserror-impl",
+]
+
+[[package]]
+name = "thiserror-impl"
+version = "1.0.50"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "266b2e40bc00e5a6c09c3584011e08b06f123c00362c92b975ba9843aaaa14b8"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.39",
+]
+
+[[package]]
+name = "thread_local"
+version = "1.1.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3fdd6f064ccff2d6567adcb3873ca630700f00b5ad3f060c25b5dcfd9a4ce152"
+dependencies = [
+ "cfg-if",
+ "once_cell",
+]
+
+[[package]]
+name = "tiff"
+version = "0.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6d172b0f4d3fba17ba89811858b9d3d97f928aece846475bbda076ca46736211"
+dependencies = [
+ "flate2",
+ "jpeg-decoder",
+ "weezl",
+]
+
+[[package]]
+name = "time"
+version = "0.1.43"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ca8a50ef2360fbd1eeb0ecd46795a87a19024eb4b53c5dc916ca1fd95fe62438"
+dependencies = [
+ "libc",
+ "winapi",
+]
+
+[[package]]
+name = "time"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c4a34ab300f2dee6e562c10a046fc05e358b29f9bf92277f30c3c8d82275f6f5"
+dependencies = [
+ "deranged",
+ "itoa",
+ "powerfmt",
+ "serde",
+ "time-core",
+ "time-macros",
+]
+
+[[package]]
+name = "time-core"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ef927ca75afb808a4d64dd374f00a2adf8d0fcff8e7b184af886c3c87ec4a3f3"
+
+[[package]]
+name = "time-macros"
+version = "0.2.15"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4ad70d68dba9e1f8aceda7aa6711965dfec1cac869f311a51bd08b3a2ccbce20"
+dependencies = [
+ "time-core",
+]
+
+[[package]]
+name = "tinytemplate"
+version = "1.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "be4d6b5f19ff7664e8c98d03e2139cb510db9b0a60b55f8e8709b689d939b6bc"
+dependencies = [
+ "serde",
+ "serde_json",
+]
+
+[[package]]
+name = "tinyvec"
+version = "1.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "87cc5ceb3875bb20c2890005a4e226a4651264a5c75edb2421b52861a0a0cb50"
+dependencies = [
+ "tinyvec_macros",
+]
+
+[[package]]
+name = "tinyvec_macros"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20"
+
+[[package]]
+name = "tokio"
+version = "1.33.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4f38200e3ef7995e5ef13baec2f432a6da0aa9ac495b2c0e8f3b7eec2c92d653"
+dependencies = [
+ "backtrace",
+ "bytes 1.5.0",
+ "libc",
+ "mio",
+ "num_cpus",
+ "parking_lot 0.12.1",
+ "pin-project-lite",
+ "signal-hook-registry",
+ "socket2 0.5.5",
+ "tokio-macros",
+ "tracing",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "tokio-macros"
+version = "2.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "630bdcf245f78637c13ec01ffae6187cca34625e8c63150d424b59e55af2675e"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.39",
+]
+
+[[package]]
+name = "tokio-rustls"
+version = "0.22.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bc6844de72e57df1980054b38be3a9f4702aba4858be64dd700181a8a6d0e1b6"
+dependencies = [
+ "rustls 0.19.1",
+ "tokio",
+ "webpki",
+]
+
+[[package]]
+name = "tokio-rustls"
+version = "0.24.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c28327cf380ac148141087fbfb9de9d7bd4e84ab5d2c28fbc911d753de8a7081"
+dependencies = [
+ "rustls 0.21.8",
+ "tokio",
+]
+
+[[package]]
+name = "tokio-stream"
+version = "0.1.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "397c988d37662c7dda6d2208364a706264bf3d6138b11d436cbac0ad38832842"
+dependencies = [
+ "futures-core",
+ "pin-project-lite",
+ "tokio",
+ "tokio-util",
+]
+
+[[package]]
+name = "tokio-tungstenite"
+version = "0.20.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "212d5dcb2a1ce06d81107c3d0ffa3121fe974b73f068c8282cb1c32328113b6c"
+dependencies = [
+ "futures-util",
+ "log",
+ "tokio",
+ "tungstenite",
+]
+
+[[package]]
+name = "tokio-util"
+version = "0.7.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5419f34732d9eb6ee4c3578b7989078579b7f039cbbb9ca2c4da015749371e15"
+dependencies = [
+ "bytes 1.5.0",
+ "futures-core",
+ "futures-sink",
+ "pin-project-lite",
+ "tokio",
+ "tracing",
+]
+
+[[package]]
+name = "toml"
+version = "0.5.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f4f7f0dd8d50a853a531c426359045b1998f04219d88799810762cd4ad314234"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "torrent-name-parser"
+version = "0.12.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a2db832ca29f7c5c063a8678bc82f50d50abd6c33af3246ab34a3169e5f5ee51"
+dependencies = [
+ "lazy_static",
+ "regex",
+]
+
+[[package]]
+name = "tower"
+version = "0.4.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b8fa9be0de6cf49e536ce1851f987bd21a43b771b09473c3549a6c853db37c1c"
+dependencies = [
+ "futures-core",
+ "futures-util",
+ "pin-project",
+ "pin-project-lite",
+ "tokio",
+ "tower-layer",
+ "tower-service",
+ "tracing",
+]
+
+[[package]]
+name = "tower-http"
+version = "0.4.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "61c5bb1d698276a2443e5ecfabc1008bf15a36c12e6a7176e7bf089ea9131140"
+dependencies = [
+ "async-compression",
+ "base64 0.21.5",
+ "bitflags 2.4.1",
+ "bytes 1.5.0",
+ "futures-core",
+ "futures-util",
+ "http 0.2.9",
+ "http-body 0.4.5",
+ "http-range-header",
+ "httpdate",
+ "iri-string",
+ "mime",
+ "mime_guess",
+ "percent-encoding",
+ "pin-project-lite",
+ "tokio",
+ "tokio-util",
+ "tower",
+ "tower-layer",
+ "tower-service",
+ "tracing",
+ "uuid 1.5.0",
+]
+
+[[package]]
+name = "tower-layer"
+version = "0.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c20c8dbed6283a09604c3e69b4b7eeb54e298b8a600d4d5ecb5ad39de609f1d0"
+
+[[package]]
+name = "tower-service"
+version = "0.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b6bc1c9ce2b5135ac7f93c72918fc37feb872bdc6a5533a8b85eb4b86bfdae52"
+
+[[package]]
+name = "tracing"
+version = "0.1.40"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c3523ab5a71916ccf420eebdf5521fcef02141234bbc0b8a49f2fdc4544364ef"
+dependencies = [
+ "log",
+ "pin-project-lite",
+ "tracing-attributes",
+ "tracing-core",
+]
+
+[[package]]
+name = "tracing-appender"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "09d48f71a791638519505cefafe162606f706c25592e4bde4d97600c0195312e"
+dependencies = [
+ "crossbeam-channel",
+ "time 0.3.30",
+ "tracing-subscriber",
+]
+
+[[package]]
+name = "tracing-attributes"
+version = "0.1.27"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "34704c8d6ebcbc939824180af020566b01a7c01f80641264eba0999f6c2b6be7"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.39",
+]
+
+[[package]]
+name = "tracing-core"
+version = "0.1.32"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c06d3da6113f116aaee68e4d601191614c9053067f9ab7f6edbcb161237daa54"
+dependencies = [
+ "once_cell",
+ "valuable",
+]
+
+[[package]]
+name = "tracing-log"
+version = "0.1.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f751112709b4e791d8ce53e32c4ed2d353565a795ce84da2285393f41557bdf2"
+dependencies = [
+ "log",
+ "once_cell",
+ "tracing-core",
+]
+
+[[package]]
+name = "tracing-serde"
+version = "0.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bc6b213177105856957181934e4920de57730fc69bf42c37ee5bb664d406d9e1"
+dependencies = [
+ "serde",
+ "tracing-core",
+]
+
+[[package]]
+name = "tracing-subscriber"
+version = "0.3.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "30a651bc37f915e81f087d86e62a18eec5f79550c7faff886f7090b4ea757c77"
+dependencies = [
+ "matchers",
+ "nu-ansi-term",
+ "once_cell",
+ "regex",
+ "serde",
+ "serde_json",
+ "sharded-slab",
+ "smallvec",
+ "thread_local",
+ "tracing",
+ "tracing-core",
+ "tracing-log",
+ "tracing-serde",
+]
+
+[[package]]
+name = "try-lock"
+version = "0.2.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3528ecfd12c466c6f163363caf2d02a71161dd5e1cc6ae7b34207ea2d42d81ed"
+
+[[package]]
+name = "tungstenite"
+version = "0.20.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9e3dac10fd62eaf6617d3a904ae222845979aec67c615d1c842b4002c7666fb9"
+dependencies = [
+ "byteorder",
+ "bytes 1.5.0",
+ "data-encoding",
+ "http 0.2.9",
+ "httparse",
+ "log",
+ "rand",
+ "sha1",
+ "thiserror",
+ "url",
+ "utf-8",
+]
+
+[[package]]
+name = "typenum"
+version = "1.17.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "42ff0bf0c66b8238c6f3b578df37d0b7848e55df8577b3f74f92a69acceeb825"
+
+[[package]]
+name = "unicase"
+version = "2.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f7d2d4dafb69621809a81864c9c1b864479e1235c0dd4e199924b9742439ed89"
+dependencies = [
+ "version_check",
+]
+
+[[package]]
+name = "unicode-bidi"
+version = "0.3.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "92888ba5573ff080736b3648696b70cafad7d250551175acbaa4e0385b3e1460"
+
+[[package]]
+name = "unicode-ident"
+version = "1.0.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3354b9ac3fae1ff6755cb6db53683adb661634f67557942dea4facebec0fee4b"
+
+[[package]]
+name = "unicode-normalization"
+version = "0.1.22"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5c5713f0fc4b5db668a2ac63cdb7bb4469d8c9fed047b1d0292cc7b0ce2ba921"
+dependencies = [
+ "tinyvec",
+]
+
+[[package]]
+name = "unicode-segmentation"
+version = "1.10.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1dd624098567895118886609431a7c3b8f516e41d30e0643f03d94592a147e36"
+
+[[package]]
+name = "unicode-width"
+version = "0.1.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e51733f11c9c4f72aa0c160008246859e340b00807569a0da0e7a1079b27ba85"
+
+[[package]]
+name = "unicode-xid"
+version = "0.2.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f962df74c8c05a667b5ee8bcf162993134c104e96440b663c8daa176dc772d8c"
+
+[[package]]
+name = "unicode_categories"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "39ec24b3121d976906ece63c9daad25b85969647682eee313cb5779fdd69e14e"
+
+[[package]]
+name = "universal-hash"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9f214e8f697e925001e66ec2c6e37a4ef93f0f78c2eed7814394e10c62025b05"
+dependencies = [
+ "generic-array",
+ "subtle",
+]
+
+[[package]]
+name = "untrusted"
+version = "0.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a156c684c91ea7d62626509bce3cb4e1d9ed5c4d978f7b4352658f96a4c26b4a"
+
+[[package]]
+name = "untrusted"
+version = "0.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8ecb6da28b8a351d773b68d5825ac39017e680750f980f3a1a85cd8dd28a47c1"
+
+[[package]]
+name = "url"
+version = "2.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "143b538f18257fac9cad154828a57c6bf5157e1aa604d4816b5995bf6de87ae5"
+dependencies = [
+ "form_urlencoded",
+ "idna",
+ "percent-encoding",
+]
+
+[[package]]
+name = "utf-8"
+version = "0.7.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "09cc8ee72d2a9becf2f2febe0205bbed8fc6615b7cb429ad062dc7b7ddd036a9"
+
+[[package]]
+name = "utf8parse"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "711b9620af191e0cdc7468a8d14e709c3dcdb115b36f838e601583af800a370a"
+
+[[package]]
+name = "uuid"
+version = "0.8.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bc5cf98d8186244414c848017f0e2676b3fcb46807f6668a97dfe67359a3c4b7"
+dependencies = [
+ "getrandom",
+]
+
+[[package]]
+name = "uuid"
+version = "1.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "88ad59a7560b41a70d191093a945f0b87bc1deeda46fb237479708a1d6b6cdfc"
+dependencies = [
+ "getrandom",
+]
+
+[[package]]
+name = "valuable"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "830b7e5d4d90034032940e4ace0d9a9a057e7a45cd94e6c007832e39edb82f6d"
+
+[[package]]
+name = "vcpkg"
+version = "0.2.15"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "accd4ea62f7bb7a82fe23066fb0957d48ef677f6eeb8215f372f52e48bb32426"
+
+[[package]]
+name = "version_check"
+version = "0.9.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "49874b5167b65d7193b8aba1567f5c7d93d001cafc34600cee003eda787e483f"
+
+[[package]]
+name = "walkdir"
+version = "2.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d71d857dc86794ca4c280d616f7da00d2dbfd8cd788846559a6813e6aa4b54ee"
+dependencies = [
+ "same-file",
+ "winapi-util",
+]
+
+[[package]]
+name = "want"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bfa7760aed19e106de2c7c0b581b509f2f25d3dacaf737cb82ac61bc6d760b0e"
+dependencies = [
+ "try-lock",
+]
+
+[[package]]
+name = "wasi"
+version = "0.10.2+wasi-snapshot-preview1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fd6fbd9a79829dd1ad0cc20627bf1ed606756a7f77edff7b66b7064f9cb327c6"
+
+[[package]]
+name = "wasi"
+version = "0.11.0+wasi-snapshot-preview1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
+
+[[package]]
+name = "wasm-bindgen"
+version = "0.2.88"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7daec296f25a1bae309c0cd5c29c4b260e510e6d813c286b19eaadf409d40fce"
+dependencies = [
+ "cfg-if",
+ "wasm-bindgen-macro",
+]
+
+[[package]]
+name = "wasm-bindgen-backend"
+version = "0.2.88"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e397f4664c0e4e428e8313a469aaa58310d302159845980fd23b0f22a847f217"
+dependencies = [
+ "bumpalo",
+ "log",
+ "once_cell",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.39",
+ "wasm-bindgen-shared",
+]
+
+[[package]]
+name = "wasm-bindgen-futures"
+version = "0.4.38"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9afec9963e3d0994cac82455b2b3502b81a7f40f9a0d32181f7528d9f4b43e02"
+dependencies = [
+ "cfg-if",
+ "js-sys",
+ "wasm-bindgen",
+ "web-sys",
+]
+
+[[package]]
+name = "wasm-bindgen-macro"
+version = "0.2.88"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5961017b3b08ad5f3fe39f1e79877f8ee7c23c5e5fd5eb80de95abc41f1f16b2"
+dependencies = [
+ "quote",
+ "wasm-bindgen-macro-support",
+]
+
+[[package]]
+name = "wasm-bindgen-macro-support"
+version = "0.2.88"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c5353b8dab669f5e10f5bd76df26a9360c748f054f862ff5f3f8aae0c7fb3907"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.39",
+ "wasm-bindgen-backend",
+ "wasm-bindgen-shared",
+]
+
+[[package]]
+name = "wasm-bindgen-shared"
+version = "0.2.88"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0d046c5d029ba91a1ed14da14dca44b68bf2f124cfbaf741c54151fdb3e0750b"
+
+[[package]]
+name = "web-sys"
+version = "0.3.65"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5db499c5f66323272151db0e666cd34f78617522fb0c1604d31a27c50c206a85"
+dependencies = [
+ "js-sys",
+ "wasm-bindgen",
+]
+
+[[package]]
+name = "webpki"
+version = "0.21.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b8e38c0608262c46d4a56202ebabdeb094cef7e560ca7a226c6bf055188aa4ea"
+dependencies = [
+ "ring 0.16.20",
+ "untrusted 0.7.1",
+]
+
+[[package]]
+name = "webpki-roots"
+version = "0.21.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "aabe153544e473b775453675851ecc86863d2a81d786d741f6b76778f2a48940"
+dependencies = [
+ "webpki",
+]
+
+[[package]]
+name = "webpki-roots"
+version = "0.25.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "14247bb57be4f377dfb94c72830b8ce8fc6beac03cf4bf7b9732eadd414123fc"
+
+[[package]]
+name = "weezl"
+version = "0.1.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9193164d4de03a926d909d3bc7c30543cecb35400c02114792c2cae20d5e2dbb"
+
+[[package]]
+name = "winapi"
+version = "0.3.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5c839a674fcd7a98952e593242ea400abe93992746761e38641405d28b00f419"
+dependencies = [
+ "winapi-i686-pc-windows-gnu",
+ "winapi-x86_64-pc-windows-gnu",
+]
+
+[[package]]
+name = "winapi-i686-pc-windows-gnu"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ac3b87c63620426dd9b991e5ce0329eff545bccbbb34f3be09ff6fb6ab51b7b6"
+
+[[package]]
+name = "winapi-util"
+version = "0.1.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f29e6f9198ba0d26b4c9f07dbe6f9ed633e1f3d5b8b414090084349e46a52596"
+dependencies = [
+ "winapi",
+]
+
+[[package]]
+name = "winapi-x86_64-pc-windows-gnu"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
+
+[[package]]
+name = "windows-core"
+version = "0.51.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f1f8cf84f35d2db49a46868f947758c7a1138116f7fac3bc844f43ade1292e64"
+dependencies = [
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "windows-sys"
+version = "0.45.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "75283be5efb2831d37ea142365f009c02ec203cd29a3ebecbc093d52315b66d0"
+dependencies = [
+ "windows-targets 0.42.2",
+]
+
+[[package]]
+name = "windows-sys"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "677d2418bec65e3338edb076e806bc1ec15693c5d0104683f2efe857f61056a9"
+dependencies = [
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "windows-targets"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8e5180c00cd44c9b1c88adb3693291f1cd93605ded80c250a75d472756b4d071"
+dependencies = [
+ "windows_aarch64_gnullvm 0.42.2",
+ "windows_aarch64_msvc 0.42.2",
+ "windows_i686_gnu 0.42.2",
+ "windows_i686_msvc 0.42.2",
+ "windows_x86_64_gnu 0.42.2",
+ "windows_x86_64_gnullvm 0.42.2",
+ "windows_x86_64_msvc 0.42.2",
+]
+
+[[package]]
+name = "windows-targets"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9a2fa6e2155d7247be68c096456083145c183cbbbc2764150dda45a87197940c"
+dependencies = [
+ "windows_aarch64_gnullvm 0.48.5",
+ "windows_aarch64_msvc 0.48.5",
+ "windows_i686_gnu 0.48.5",
+ "windows_i686_msvc 0.48.5",
+ "windows_x86_64_gnu 0.48.5",
+ "windows_x86_64_gnullvm 0.48.5",
+ "windows_x86_64_msvc 0.48.5",
+]
+
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "597a5118570b68bc08d8d59125332c54f1ba9d9adeedeef5b99b02ba2b0698f8"
+
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2b38e32f0abccf9987a4e3079dfb67dcd799fb61361e53e2882c3cbaf0d905d8"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e08e8864a60f06ef0d0ff4ba04124db8b0fb3be5776a5cd47641e942e58c4d43"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dc35310971f3b2dbbf3f0690a219f40e2d9afcf64f9ab7cc1be722937c26b4bc"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c61d927d8da41da96a81f029489353e68739737d3beca43145c8afec9a31a84f"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a75915e7def60c94dcef72200b9a8e58e5091744960da64ec734a6c6e9b3743e"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "44d840b6ec649f480a41c8d80f9c65108b92d89345dd94027bfe06ac444d1060"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f55c233f70c4b27f66c523580f78f1004e8b5a8b659e05a4eb49d4166cca406"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8de912b8b8feb55c064867cf047dda097f92d51efad5b491dfb98f6bbb70cb36"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "53d40abd2583d23e4718fddf1ebec84dbff8381c07cae67ff7768bbf19c6718e"
+
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "26d41b46a36d453748aedef1486d5c7a85db22e56aff34643984ea85514e94a3"
+
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0b7b52767868a23d5bab768e390dc5f5c55825b6d30b86c844ff2dc7414044cc"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9aec5da331524158c6d1a4ac0ab1541149c0b9505fde06423b02f5ef0106b9f0"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ed94fce61571a4006852b7389a063ab983c02eb1bb37b47f8272ce92d06d9538"
+
+[[package]]
+name = "winreg"
+version = "0.50.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "524e57b2c537c0f9b1e69f1965311ec12182b4122e45035b1508cd24d2adadb1"
+dependencies = [
+ "cfg-if",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "xmlwriter"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ec7a2a501ed189703dba8b08142f057e887dfc4b2cc4db2d343ac6376ba3e0b9"
+
+[[package]]
+name = "xtra"
+version = "0.5.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bca0a1b28e7cf635b4e961c7330416bf842a89bca42f5c707ab02a065e1ee60f"
+dependencies = [
+ "async-trait",
+ "barrage",
+ "catty",
+ "flume 0.10.14",
+ "futures-core",
+ "futures-sink",
+ "futures-timer",
+ "futures-util",
+ "pollster",
+ "tokio",
+]
+
+[[package]]
+name = "xtra_proc"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0972940e244acf1715d05b50b6e937d2ca97db4247437e9d07c5eaf0d978ebb0"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "zip"
+version = "0.5.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "93ab48844d61251bb3835145c521d88aa4031d7139e8485990f60ca911fa0815"
+dependencies = [
+ "byteorder",
+ "bzip2",
+ "crc32fast",
+ "flate2",
+ "thiserror",
+ "time 0.1.43",
+]
+
+[[package]]
+name = "zstd"
+version = "0.13.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bffb3309596d527cfcba7dfc6ed6052f1d39dfbd7c867aa2e865e4a449c10110"
+dependencies = [
+ "zstd-safe",
+]
+
+[[package]]
+name = "zstd-safe"
+version = "7.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "43747c7422e2924c11144d5229878b98180ef8b06cca4ab5af37afc8a8d8ea3e"
+dependencies = [
+ "zstd-sys",
+]
+
+[[package]]
+name = "zstd-sys"
+version = "2.0.9+zstd.1.5.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9e16efa8a874a0481a574084d34cc26fdb3b99627480f785888deb6386506656"
+dependencies = [
+ "cc",
+ "pkg-config",
+]
+
+[[package]]
+name = "zune-inflate"
+version = "0.2.54"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "73ab332fe2f6680068f3582b16a24f90ad7096d5d39b974d1c0aff0125116f02"
+dependencies = [
+ "simd-adler32",
+]
diff --git a/pkgs/by-name/di/dim/package-lock.json b/pkgs/by-name/di/dim/package-lock.json
new file mode 100644
index 000000000000..06c7e633ff59
--- /dev/null
+++ b/pkgs/by-name/di/dim/package-lock.json
@@ -0,0 +1,19412 @@
+{
+ "name": "dim",
+ "lockfileVersion": 3,
+ "requires": true,
+ "packages": {
+ "": {
+ "name": "dim",
+ "license": "SEE LICENSE IN LICENSE.md",
+ "dependencies": {
+ "@reduxjs/toolkit": "^1.7.1",
+ "@types/react-collapse": "^5.0.1",
+ "@types/react-modal": "^3.13.1",
+ "dashjs": "=4.1.0",
+ "fuse.js": "^6.6.2",
+ "jassub": "^1.7.1",
+ "react": "^17.0.2",
+ "react-collapse": "^5.1.1",
+ "react-dom": "^17.0.1",
+ "react-modal": "^3.12.1",
+ "react-redux": "^7.2.2",
+ "react-router": "^5.2.0",
+ "react-router-dom": "^5.2.0",
+ "react-router-hash-link": "^2.4.0",
+ "react-scripts": "^5.0.1",
+ "redux": "^4.0.5",
+ "reselect": "^4.0.0",
+ "sass": "^1.67.0",
+ "typescript": "^4.4.4",
+ "web-vitals": "^1.0.1"
+ },
+ "devDependencies": {
+ "@babel/plugin-proposal-private-property-in-object": "^7.21.11",
+ "@testing-library/jest-dom": "^5.11.4",
+ "@testing-library/react": "^11.1.0",
+ "@testing-library/user-event": "^12.1.10",
+ "@types/jest": "^27.0.2",
+ "@types/node": "^16.11.1",
+ "@types/react": "^17.0.30",
+ "@types/react-dom": "^17.0.9",
+ "@types/react-redux": "^7.1.19",
+ "@types/react-router-dom": "^5.3.2",
+ "eslint-config-prettier": "^8.3.0",
+ "husky": "^7.0.4",
+ "lint-staged": "^12.1.5",
+ "prettier": "^2.5.1"
+ }
+ },
+ "node_modules/@aashutoshrathi/word-wrap": {
+ "version": "1.2.6",
+ "resolved": "https://registry.npmjs.org/@aashutoshrathi/word-wrap/-/word-wrap-1.2.6.tgz",
+ "integrity": "sha512-1Yjs2SvM8TflER/OD3cOjhWWOZb58A2t7wpE2S9XfBYTiIl+XFhQG2bjy4Pu1I+EAlCNUzRDYDdFwFYUKvXcIA==",
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/@adobe/css-tools": {
+ "version": "4.3.3",
+ "resolved": "https://registry.npmjs.org/@adobe/css-tools/-/css-tools-4.3.3.tgz",
+ "integrity": "sha512-rE0Pygv0sEZ4vBWHlAgJLGDU7Pm8xoO6p3wsEceb7GYAjScrOHpEo8KK/eVkAcnSM+slAEtXjA2JpdjLp4fJQQ==",
+ "dev": true
+ },
+ "node_modules/@alloc/quick-lru": {
+ "version": "5.2.0",
+ "resolved": "https://registry.npmjs.org/@alloc/quick-lru/-/quick-lru-5.2.0.tgz",
+ "integrity": "sha512-UrcABB+4bUrFABwbluTIBErXwvbsU/V7TZWfmbgJfbkwiBuziS9gxdODUyuiecfdGQ85jglMW6juS3+z5TsKLw==",
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/@ampproject/remapping": {
+ "version": "2.2.1",
+ "resolved": "https://registry.npmjs.org/@ampproject/remapping/-/remapping-2.2.1.tgz",
+ "integrity": "sha512-lFMjJTrFL3j7L9yBxwYfCq2k6qqwHyzuUl/XBnif78PWTJYyL/dfowQHWE3sp6U6ZzqWiiIZnpTMO96zhkjwtg==",
+ "dependencies": {
+ "@jridgewell/gen-mapping": "^0.3.0",
+ "@jridgewell/trace-mapping": "^0.3.9"
+ },
+ "engines": {
+ "node": ">=6.0.0"
+ }
+ },
+ "node_modules/@babel/code-frame": {
+ "version": "7.23.5",
+ "resolved": "https://registry.npmjs.org/@babel/code-frame/-/code-frame-7.23.5.tgz",
+ "integrity": "sha512-CgH3s1a96LipHCmSUmYFPwY7MNx8C3avkq7i4Wl3cfa662ldtUe4VM1TPXX70pfmrlWTb6jLqTYrZyT2ZTJBgA==",
+ "dependencies": {
+ "@babel/highlight": "^7.23.4",
+ "chalk": "^2.4.2"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ }
+ },
+ "node_modules/@babel/compat-data": {
+ "version": "7.23.5",
+ "resolved": "https://registry.npmjs.org/@babel/compat-data/-/compat-data-7.23.5.tgz",
+ "integrity": "sha512-uU27kfDRlhfKl+w1U6vp16IuvSLtjAxdArVXPa9BvLkrr7CYIsxH5adpHObeAGY/41+syctUWOZ140a2Rvkgjw==",
+ "engines": {
+ "node": ">=6.9.0"
+ }
+ },
+ "node_modules/@babel/core": {
+ "version": "7.23.9",
+ "resolved": "https://registry.npmjs.org/@babel/core/-/core-7.23.9.tgz",
+ "integrity": "sha512-5q0175NOjddqpvvzU+kDiSOAk4PfdO6FvwCWoQ6RO7rTzEe8vlo+4HVfcnAREhD4npMs0e9uZypjTwzZPCf/cw==",
+ "dependencies": {
+ "@ampproject/remapping": "^2.2.0",
+ "@babel/code-frame": "^7.23.5",
+ "@babel/generator": "^7.23.6",
+ "@babel/helper-compilation-targets": "^7.23.6",
+ "@babel/helper-module-transforms": "^7.23.3",
+ "@babel/helpers": "^7.23.9",
+ "@babel/parser": "^7.23.9",
+ "@babel/template": "^7.23.9",
+ "@babel/traverse": "^7.23.9",
+ "@babel/types": "^7.23.9",
+ "convert-source-map": "^2.0.0",
+ "debug": "^4.1.0",
+ "gensync": "^1.0.0-beta.2",
+ "json5": "^2.2.3",
+ "semver": "^6.3.1"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/babel"
+ }
+ },
+ "node_modules/@babel/eslint-parser": {
+ "version": "7.23.10",
+ "resolved": "https://registry.npmjs.org/@babel/eslint-parser/-/eslint-parser-7.23.10.tgz",
+ "integrity": "sha512-3wSYDPZVnhseRnxRJH6ZVTNknBz76AEnyC+AYYhasjP3Yy23qz0ERR7Fcd2SHmYuSFJ2kY9gaaDd3vyqU09eSw==",
+ "dependencies": {
+ "@nicolo-ribaudo/eslint-scope-5-internals": "5.1.1-v1",
+ "eslint-visitor-keys": "^2.1.0",
+ "semver": "^6.3.1"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || >=14.0.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.11.0",
+ "eslint": "^7.5.0 || ^8.0.0"
+ }
+ },
+ "node_modules/@babel/eslint-parser/node_modules/eslint-visitor-keys": {
+ "version": "2.1.0",
+ "resolved": "https://registry.npmjs.org/eslint-visitor-keys/-/eslint-visitor-keys-2.1.0.tgz",
+ "integrity": "sha512-0rSmRBzXgDzIsD6mGdJgevzgezI534Cer5L/vyMX0kHzT/jiB43jRhd9YUlMGYLQy2zprNmoT8qasCGtY+QaKw==",
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/@babel/generator": {
+ "version": "7.23.6",
+ "resolved": "https://registry.npmjs.org/@babel/generator/-/generator-7.23.6.tgz",
+ "integrity": "sha512-qrSfCYxYQB5owCmGLbl8XRpX1ytXlpueOb0N0UmQwA073KZxejgQTzAmJezxvpwQD9uGtK2shHdi55QT+MbjIw==",
+ "dependencies": {
+ "@babel/types": "^7.23.6",
+ "@jridgewell/gen-mapping": "^0.3.2",
+ "@jridgewell/trace-mapping": "^0.3.17",
+ "jsesc": "^2.5.1"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ }
+ },
+ "node_modules/@babel/helper-annotate-as-pure": {
+ "version": "7.22.5",
+ "resolved": "https://registry.npmjs.org/@babel/helper-annotate-as-pure/-/helper-annotate-as-pure-7.22.5.tgz",
+ "integrity": "sha512-LvBTxu8bQSQkcyKOU+a1btnNFQ1dMAd0R6PyW3arXes06F6QLWLIrd681bxRPIXlrMGR3XYnW9JyML7dP3qgxg==",
+ "dependencies": {
+ "@babel/types": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ }
+ },
+ "node_modules/@babel/helper-builder-binary-assignment-operator-visitor": {
+ "version": "7.22.15",
+ "resolved": "https://registry.npmjs.org/@babel/helper-builder-binary-assignment-operator-visitor/-/helper-builder-binary-assignment-operator-visitor-7.22.15.tgz",
+ "integrity": "sha512-QkBXwGgaoC2GtGZRoma6kv7Szfv06khvhFav67ZExau2RaXzy8MpHSMO2PNoP2XtmQphJQRHFfg77Bq731Yizw==",
+ "dependencies": {
+ "@babel/types": "^7.22.15"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ }
+ },
+ "node_modules/@babel/helper-compilation-targets": {
+ "version": "7.23.6",
+ "resolved": "https://registry.npmjs.org/@babel/helper-compilation-targets/-/helper-compilation-targets-7.23.6.tgz",
+ "integrity": "sha512-9JB548GZoQVmzrFgp8o7KxdgkTGm6xs9DW0o/Pim72UDjzr5ObUQ6ZzYPqA+g9OTS2bBQoctLJrky0RDCAWRgQ==",
+ "dependencies": {
+ "@babel/compat-data": "^7.23.5",
+ "@babel/helper-validator-option": "^7.23.5",
+ "browserslist": "^4.22.2",
+ "lru-cache": "^5.1.1",
+ "semver": "^6.3.1"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ }
+ },
+ "node_modules/@babel/helper-create-class-features-plugin": {
+ "version": "7.23.10",
+ "resolved": "https://registry.npmjs.org/@babel/helper-create-class-features-plugin/-/helper-create-class-features-plugin-7.23.10.tgz",
+ "integrity": "sha512-2XpP2XhkXzgxecPNEEK8Vz8Asj9aRxt08oKOqtiZoqV2UGZ5T+EkyP9sXQ9nwMxBIG34a7jmasVqoMop7VdPUw==",
+ "dependencies": {
+ "@babel/helper-annotate-as-pure": "^7.22.5",
+ "@babel/helper-environment-visitor": "^7.22.20",
+ "@babel/helper-function-name": "^7.23.0",
+ "@babel/helper-member-expression-to-functions": "^7.23.0",
+ "@babel/helper-optimise-call-expression": "^7.22.5",
+ "@babel/helper-replace-supers": "^7.22.20",
+ "@babel/helper-skip-transparent-expression-wrappers": "^7.22.5",
+ "@babel/helper-split-export-declaration": "^7.22.6",
+ "semver": "^6.3.1"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0"
+ }
+ },
+ "node_modules/@babel/helper-create-regexp-features-plugin": {
+ "version": "7.22.15",
+ "resolved": "https://registry.npmjs.org/@babel/helper-create-regexp-features-plugin/-/helper-create-regexp-features-plugin-7.22.15.tgz",
+ "integrity": "sha512-29FkPLFjn4TPEa3RE7GpW+qbE8tlsu3jntNYNfcGsc49LphF1PQIiD+vMZ1z1xVOKt+93khA9tc2JBs3kBjA7w==",
+ "dependencies": {
+ "@babel/helper-annotate-as-pure": "^7.22.5",
+ "regexpu-core": "^5.3.1",
+ "semver": "^6.3.1"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0"
+ }
+ },
+ "node_modules/@babel/helper-define-polyfill-provider": {
+ "version": "0.5.0",
+ "resolved": "https://registry.npmjs.org/@babel/helper-define-polyfill-provider/-/helper-define-polyfill-provider-0.5.0.tgz",
+ "integrity": "sha512-NovQquuQLAQ5HuyjCz7WQP9MjRj7dx++yspwiyUiGl9ZyadHRSql1HZh5ogRd8W8w6YM6EQ/NTB8rgjLt5W65Q==",
+ "dependencies": {
+ "@babel/helper-compilation-targets": "^7.22.6",
+ "@babel/helper-plugin-utils": "^7.22.5",
+ "debug": "^4.1.1",
+ "lodash.debounce": "^4.0.8",
+ "resolve": "^1.14.2"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.4.0 || ^8.0.0-0 <8.0.0"
+ }
+ },
+ "node_modules/@babel/helper-environment-visitor": {
+ "version": "7.22.20",
+ "resolved": "https://registry.npmjs.org/@babel/helper-environment-visitor/-/helper-environment-visitor-7.22.20.tgz",
+ "integrity": "sha512-zfedSIzFhat/gFhWfHtgWvlec0nqB9YEIVrpuwjruLlXfUSnA8cJB0miHKwqDnQ7d32aKo2xt88/xZptwxbfhA==",
+ "engines": {
+ "node": ">=6.9.0"
+ }
+ },
+ "node_modules/@babel/helper-function-name": {
+ "version": "7.23.0",
+ "resolved": "https://registry.npmjs.org/@babel/helper-function-name/-/helper-function-name-7.23.0.tgz",
+ "integrity": "sha512-OErEqsrxjZTJciZ4Oo+eoZqeW9UIiOcuYKRJA4ZAgV9myA+pOXhhmpfNCKjEH/auVfEYVFJ6y1Tc4r0eIApqiw==",
+ "dependencies": {
+ "@babel/template": "^7.22.15",
+ "@babel/types": "^7.23.0"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ }
+ },
+ "node_modules/@babel/helper-hoist-variables": {
+ "version": "7.22.5",
+ "resolved": "https://registry.npmjs.org/@babel/helper-hoist-variables/-/helper-hoist-variables-7.22.5.tgz",
+ "integrity": "sha512-wGjk9QZVzvknA6yKIUURb8zY3grXCcOZt+/7Wcy8O2uctxhplmUPkOdlgoNhmdVee2c92JXbf1xpMtVNbfoxRw==",
+ "dependencies": {
+ "@babel/types": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ }
+ },
+ "node_modules/@babel/helper-member-expression-to-functions": {
+ "version": "7.23.0",
+ "resolved": "https://registry.npmjs.org/@babel/helper-member-expression-to-functions/-/helper-member-expression-to-functions-7.23.0.tgz",
+ "integrity": "sha512-6gfrPwh7OuT6gZyJZvd6WbTfrqAo7vm4xCzAXOusKqq/vWdKXphTpj5klHKNmRUU6/QRGlBsyU9mAIPaWHlqJA==",
+ "dependencies": {
+ "@babel/types": "^7.23.0"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ }
+ },
+ "node_modules/@babel/helper-module-imports": {
+ "version": "7.22.15",
+ "resolved": "https://registry.npmjs.org/@babel/helper-module-imports/-/helper-module-imports-7.22.15.tgz",
+ "integrity": "sha512-0pYVBnDKZO2fnSPCrgM/6WMc7eS20Fbok+0r88fp+YtWVLZrp4CkafFGIp+W0VKw4a22sgebPT99y+FDNMdP4w==",
+ "dependencies": {
+ "@babel/types": "^7.22.15"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ }
+ },
+ "node_modules/@babel/helper-module-transforms": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/helper-module-transforms/-/helper-module-transforms-7.23.3.tgz",
+ "integrity": "sha512-7bBs4ED9OmswdfDzpz4MpWgSrV7FXlc3zIagvLFjS5H+Mk7Snr21vQ6QwrsoCGMfNC4e4LQPdoULEt4ykz0SRQ==",
+ "dependencies": {
+ "@babel/helper-environment-visitor": "^7.22.20",
+ "@babel/helper-module-imports": "^7.22.15",
+ "@babel/helper-simple-access": "^7.22.5",
+ "@babel/helper-split-export-declaration": "^7.22.6",
+ "@babel/helper-validator-identifier": "^7.22.20"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0"
+ }
+ },
+ "node_modules/@babel/helper-optimise-call-expression": {
+ "version": "7.22.5",
+ "resolved": "https://registry.npmjs.org/@babel/helper-optimise-call-expression/-/helper-optimise-call-expression-7.22.5.tgz",
+ "integrity": "sha512-HBwaojN0xFRx4yIvpwGqxiV2tUfl7401jlok564NgB9EHS1y6QT17FmKWm4ztqjeVdXLuC4fSvHc5ePpQjoTbw==",
+ "dependencies": {
+ "@babel/types": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ }
+ },
+ "node_modules/@babel/helper-plugin-utils": {
+ "version": "7.22.5",
+ "resolved": "https://registry.npmjs.org/@babel/helper-plugin-utils/-/helper-plugin-utils-7.22.5.tgz",
+ "integrity": "sha512-uLls06UVKgFG9QD4OeFYLEGteMIAa5kpTPcFL28yuCIIzsf6ZyKZMllKVOCZFhiZ5ptnwX4mtKdWCBE/uT4amg==",
+ "engines": {
+ "node": ">=6.9.0"
+ }
+ },
+ "node_modules/@babel/helper-remap-async-to-generator": {
+ "version": "7.22.20",
+ "resolved": "https://registry.npmjs.org/@babel/helper-remap-async-to-generator/-/helper-remap-async-to-generator-7.22.20.tgz",
+ "integrity": "sha512-pBGyV4uBqOns+0UvhsTO8qgl8hO89PmiDYv+/COyp1aeMcmfrfruz+/nCMFiYyFF/Knn0yfrC85ZzNFjembFTw==",
+ "dependencies": {
+ "@babel/helper-annotate-as-pure": "^7.22.5",
+ "@babel/helper-environment-visitor": "^7.22.20",
+ "@babel/helper-wrap-function": "^7.22.20"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0"
+ }
+ },
+ "node_modules/@babel/helper-replace-supers": {
+ "version": "7.22.20",
+ "resolved": "https://registry.npmjs.org/@babel/helper-replace-supers/-/helper-replace-supers-7.22.20.tgz",
+ "integrity": "sha512-qsW0In3dbwQUbK8kejJ4R7IHVGwHJlV6lpG6UA7a9hSa2YEiAib+N1T2kr6PEeUT+Fl7najmSOS6SmAwCHK6Tw==",
+ "dependencies": {
+ "@babel/helper-environment-visitor": "^7.22.20",
+ "@babel/helper-member-expression-to-functions": "^7.22.15",
+ "@babel/helper-optimise-call-expression": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0"
+ }
+ },
+ "node_modules/@babel/helper-simple-access": {
+ "version": "7.22.5",
+ "resolved": "https://registry.npmjs.org/@babel/helper-simple-access/-/helper-simple-access-7.22.5.tgz",
+ "integrity": "sha512-n0H99E/K+Bika3++WNL17POvo4rKWZ7lZEp1Q+fStVbUi8nxPQEBOlTmCOxW/0JsS56SKKQ+ojAe2pHKJHN35w==",
+ "dependencies": {
+ "@babel/types": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ }
+ },
+ "node_modules/@babel/helper-skip-transparent-expression-wrappers": {
+ "version": "7.22.5",
+ "resolved": "https://registry.npmjs.org/@babel/helper-skip-transparent-expression-wrappers/-/helper-skip-transparent-expression-wrappers-7.22.5.tgz",
+ "integrity": "sha512-tK14r66JZKiC43p8Ki33yLBVJKlQDFoA8GYN67lWCDCqoL6EMMSuM9b+Iff2jHaM/RRFYl7K+iiru7hbRqNx8Q==",
+ "dependencies": {
+ "@babel/types": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ }
+ },
+ "node_modules/@babel/helper-split-export-declaration": {
+ "version": "7.22.6",
+ "resolved": "https://registry.npmjs.org/@babel/helper-split-export-declaration/-/helper-split-export-declaration-7.22.6.tgz",
+ "integrity": "sha512-AsUnxuLhRYsisFiaJwvp1QF+I3KjD5FOxut14q/GzovUe6orHLesW2C7d754kRm53h5gqrz6sFl6sxc4BVtE/g==",
+ "dependencies": {
+ "@babel/types": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ }
+ },
+ "node_modules/@babel/helper-string-parser": {
+ "version": "7.23.4",
+ "resolved": "https://registry.npmjs.org/@babel/helper-string-parser/-/helper-string-parser-7.23.4.tgz",
+ "integrity": "sha512-803gmbQdqwdf4olxrX4AJyFBV/RTr3rSmOj0rKwesmzlfhYNDEs+/iOcznzpNWlJlIlTJC2QfPFcHB6DlzdVLQ==",
+ "engines": {
+ "node": ">=6.9.0"
+ }
+ },
+ "node_modules/@babel/helper-validator-identifier": {
+ "version": "7.22.20",
+ "resolved": "https://registry.npmjs.org/@babel/helper-validator-identifier/-/helper-validator-identifier-7.22.20.tgz",
+ "integrity": "sha512-Y4OZ+ytlatR8AI+8KZfKuL5urKp7qey08ha31L8b3BwewJAoJamTzyvxPR/5D+KkdJCGPq/+8TukHBlY10FX9A==",
+ "engines": {
+ "node": ">=6.9.0"
+ }
+ },
+ "node_modules/@babel/helper-validator-option": {
+ "version": "7.23.5",
+ "resolved": "https://registry.npmjs.org/@babel/helper-validator-option/-/helper-validator-option-7.23.5.tgz",
+ "integrity": "sha512-85ttAOMLsr53VgXkTbkx8oA6YTfT4q7/HzXSLEYmjcSTJPMPQtvq1BD79Byep5xMUYbGRzEpDsjUf3dyp54IKw==",
+ "engines": {
+ "node": ">=6.9.0"
+ }
+ },
+ "node_modules/@babel/helper-wrap-function": {
+ "version": "7.22.20",
+ "resolved": "https://registry.npmjs.org/@babel/helper-wrap-function/-/helper-wrap-function-7.22.20.tgz",
+ "integrity": "sha512-pms/UwkOpnQe/PDAEdV/d7dVCoBbB+R4FvYoHGZz+4VPcg7RtYy2KP7S2lbuWM6FCSgob5wshfGESbC/hzNXZw==",
+ "dependencies": {
+ "@babel/helper-function-name": "^7.22.5",
+ "@babel/template": "^7.22.15",
+ "@babel/types": "^7.22.19"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ }
+ },
+ "node_modules/@babel/helpers": {
+ "version": "7.23.9",
+ "resolved": "https://registry.npmjs.org/@babel/helpers/-/helpers-7.23.9.tgz",
+ "integrity": "sha512-87ICKgU5t5SzOT7sBMfCOZQ2rHjRU+Pcb9BoILMYz600W6DkVRLFBPwQ18gwUVvggqXivaUakpnxWQGbpywbBQ==",
+ "dependencies": {
+ "@babel/template": "^7.23.9",
+ "@babel/traverse": "^7.23.9",
+ "@babel/types": "^7.23.9"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ }
+ },
+ "node_modules/@babel/highlight": {
+ "version": "7.23.4",
+ "resolved": "https://registry.npmjs.org/@babel/highlight/-/highlight-7.23.4.tgz",
+ "integrity": "sha512-acGdbYSfp2WheJoJm/EBBBLh/ID8KDc64ISZ9DYtBmC8/Q204PZJLHyzeB5qMzJ5trcOkybd78M4x2KWsUq++A==",
+ "dependencies": {
+ "@babel/helper-validator-identifier": "^7.22.20",
+ "chalk": "^2.4.2",
+ "js-tokens": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ }
+ },
+ "node_modules/@babel/parser": {
+ "version": "7.23.9",
+ "resolved": "https://registry.npmjs.org/@babel/parser/-/parser-7.23.9.tgz",
+ "integrity": "sha512-9tcKgqKbs3xGJ+NtKF2ndOBBLVwPjl1SHxPQkd36r3Dlirw3xWUeGaTbqr7uGZcTaxkVNwc+03SVP7aCdWrTlA==",
+ "bin": {
+ "parser": "bin/babel-parser.js"
+ },
+ "engines": {
+ "node": ">=6.0.0"
+ }
+ },
+ "node_modules/@babel/plugin-bugfix-safari-id-destructuring-collision-in-function-expression": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-bugfix-safari-id-destructuring-collision-in-function-expression/-/plugin-bugfix-safari-id-destructuring-collision-in-function-expression-7.23.3.tgz",
+ "integrity": "sha512-iRkKcCqb7iGnq9+3G6rZ+Ciz5VywC4XNRHe57lKM+jOeYAoR0lVqdeeDRfh0tQcTfw/+vBhHn926FmQhLtlFLQ==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0"
+ }
+ },
+ "node_modules/@babel/plugin-bugfix-v8-spread-parameters-in-optional-chaining": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-bugfix-v8-spread-parameters-in-optional-chaining/-/plugin-bugfix-v8-spread-parameters-in-optional-chaining-7.23.3.tgz",
+ "integrity": "sha512-WwlxbfMNdVEpQjZmK5mhm7oSwD3dS6eU+Iwsi4Knl9wAletWem7kaRsGOG+8UEbRyqxY4SS5zvtfXwX+jMxUwQ==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5",
+ "@babel/helper-skip-transparent-expression-wrappers": "^7.22.5",
+ "@babel/plugin-transform-optional-chaining": "^7.23.3"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.13.0"
+ }
+ },
+ "node_modules/@babel/plugin-bugfix-v8-static-class-fields-redefine-readonly": {
+ "version": "7.23.7",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-bugfix-v8-static-class-fields-redefine-readonly/-/plugin-bugfix-v8-static-class-fields-redefine-readonly-7.23.7.tgz",
+ "integrity": "sha512-LlRT7HgaifEpQA1ZgLVOIJZZFVPWN5iReq/7/JixwBtwcoeVGDBD53ZV28rrsLYOZs1Y/EHhA8N/Z6aazHR8cw==",
+ "dependencies": {
+ "@babel/helper-environment-visitor": "^7.22.20",
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0"
+ }
+ },
+ "node_modules/@babel/plugin-proposal-class-properties": {
+ "version": "7.18.6",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-proposal-class-properties/-/plugin-proposal-class-properties-7.18.6.tgz",
+ "integrity": "sha512-cumfXOF0+nzZrrN8Rf0t7M+tF6sZc7vhQwYQck9q1/5w2OExlD+b4v4RpMJFaV1Z7WcDRgO6FqvxqxGlwo+RHQ==",
+ "deprecated": "This proposal has been merged to the ECMAScript standard and thus this plugin is no longer maintained. Please use @babel/plugin-transform-class-properties instead.",
+ "dependencies": {
+ "@babel/helper-create-class-features-plugin": "^7.18.6",
+ "@babel/helper-plugin-utils": "^7.18.6"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-proposal-decorators": {
+ "version": "7.23.9",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-proposal-decorators/-/plugin-proposal-decorators-7.23.9.tgz",
+ "integrity": "sha512-hJhBCb0+NnTWybvWq2WpbCYDOcflSbx0t+BYP65e5R9GVnukiDTi+on5bFkk4p7QGuv190H6KfNiV9Knf/3cZA==",
+ "dependencies": {
+ "@babel/helper-create-class-features-plugin": "^7.23.9",
+ "@babel/helper-plugin-utils": "^7.22.5",
+ "@babel/plugin-syntax-decorators": "^7.23.3"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-proposal-nullish-coalescing-operator": {
+ "version": "7.18.6",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-proposal-nullish-coalescing-operator/-/plugin-proposal-nullish-coalescing-operator-7.18.6.tgz",
+ "integrity": "sha512-wQxQzxYeJqHcfppzBDnm1yAY0jSRkUXR2z8RePZYrKwMKgMlE8+Z6LUno+bd6LvbGh8Gltvy74+9pIYkr+XkKA==",
+ "deprecated": "This proposal has been merged to the ECMAScript standard and thus this plugin is no longer maintained. Please use @babel/plugin-transform-nullish-coalescing-operator instead.",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.18.6",
+ "@babel/plugin-syntax-nullish-coalescing-operator": "^7.8.3"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-proposal-numeric-separator": {
+ "version": "7.18.6",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-proposal-numeric-separator/-/plugin-proposal-numeric-separator-7.18.6.tgz",
+ "integrity": "sha512-ozlZFogPqoLm8WBr5Z8UckIoE4YQ5KESVcNudyXOR8uqIkliTEgJ3RoketfG6pmzLdeZF0H/wjE9/cCEitBl7Q==",
+ "deprecated": "This proposal has been merged to the ECMAScript standard and thus this plugin is no longer maintained. Please use @babel/plugin-transform-numeric-separator instead.",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.18.6",
+ "@babel/plugin-syntax-numeric-separator": "^7.10.4"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-proposal-optional-chaining": {
+ "version": "7.21.0",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-proposal-optional-chaining/-/plugin-proposal-optional-chaining-7.21.0.tgz",
+ "integrity": "sha512-p4zeefM72gpmEe2fkUr/OnOXpWEf8nAgk7ZYVqqfFiyIG7oFfVZcCrU64hWn5xp4tQ9LkV4bTIa5rD0KANpKNA==",
+ "deprecated": "This proposal has been merged to the ECMAScript standard and thus this plugin is no longer maintained. Please use @babel/plugin-transform-optional-chaining instead.",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.20.2",
+ "@babel/helper-skip-transparent-expression-wrappers": "^7.20.0",
+ "@babel/plugin-syntax-optional-chaining": "^7.8.3"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-proposal-private-methods": {
+ "version": "7.18.6",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-proposal-private-methods/-/plugin-proposal-private-methods-7.18.6.tgz",
+ "integrity": "sha512-nutsvktDItsNn4rpGItSNV2sz1XwS+nfU0Rg8aCx3W3NOKVzdMjJRu0O5OkgDp3ZGICSTbgRpxZoWsxoKRvbeA==",
+ "deprecated": "This proposal has been merged to the ECMAScript standard and thus this plugin is no longer maintained. Please use @babel/plugin-transform-private-methods instead.",
+ "dependencies": {
+ "@babel/helper-create-class-features-plugin": "^7.18.6",
+ "@babel/helper-plugin-utils": "^7.18.6"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-proposal-private-property-in-object": {
+ "version": "7.21.11",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-proposal-private-property-in-object/-/plugin-proposal-private-property-in-object-7.21.11.tgz",
+ "integrity": "sha512-0QZ8qP/3RLDVBwBFoWAwCtgcDZJVwA5LUJRZU8x2YFfKNuFq161wK3cuGrALu5yiPu+vzwTAg/sMWVNeWeNyaw==",
+ "deprecated": "This proposal has been merged to the ECMAScript standard and thus this plugin is no longer maintained. Please use @babel/plugin-transform-private-property-in-object instead.",
+ "dev": true,
+ "dependencies": {
+ "@babel/helper-annotate-as-pure": "^7.18.6",
+ "@babel/helper-create-class-features-plugin": "^7.21.0",
+ "@babel/helper-plugin-utils": "^7.20.2",
+ "@babel/plugin-syntax-private-property-in-object": "^7.14.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-syntax-async-generators": {
+ "version": "7.8.4",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-async-generators/-/plugin-syntax-async-generators-7.8.4.tgz",
+ "integrity": "sha512-tycmZxkGfZaxhMRbXlPXuVFpdWlXpir2W4AMhSJgRKzk/eDlIXOhb2LHWoLpDF7TEHylV5zNhykX6KAgHJmTNw==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.8.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-syntax-bigint": {
+ "version": "7.8.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-bigint/-/plugin-syntax-bigint-7.8.3.tgz",
+ "integrity": "sha512-wnTnFlG+YxQm3vDxpGE57Pj0srRU4sHE/mDkt1qv2YJJSeUAec2ma4WLUnUPeKjyrfntVwe/N6dCXpU+zL3Npg==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.8.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-syntax-class-properties": {
+ "version": "7.12.13",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-class-properties/-/plugin-syntax-class-properties-7.12.13.tgz",
+ "integrity": "sha512-fm4idjKla0YahUNgFNLCB0qySdsoPiZP3iQE3rky0mBUtMZ23yDJ9SJdg6dXTSDnulOVqiF3Hgr9nbXvXTQZYA==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.12.13"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-syntax-class-static-block": {
+ "version": "7.14.5",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-class-static-block/-/plugin-syntax-class-static-block-7.14.5.tgz",
+ "integrity": "sha512-b+YyPmr6ldyNnM6sqYeMWE+bgJcJpO6yS4QD7ymxgH34GBPNDM/THBh8iunyvKIZztiwLH4CJZ0RxTk9emgpjw==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.14.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-syntax-decorators": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-decorators/-/plugin-syntax-decorators-7.23.3.tgz",
+ "integrity": "sha512-cf7Niq4/+/juY67E0PbgH0TDhLQ5J7zS8C/Q5FFx+DWyrRa9sUQdTXkjqKu8zGvuqr7vw1muKiukseihU+PJDA==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-syntax-dynamic-import": {
+ "version": "7.8.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-dynamic-import/-/plugin-syntax-dynamic-import-7.8.3.tgz",
+ "integrity": "sha512-5gdGbFon+PszYzqs83S3E5mpi7/y/8M9eC90MRTZfduQOYW76ig6SOSPNe41IG5LoP3FGBn2N0RjVDSQiS94kQ==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.8.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-syntax-export-namespace-from": {
+ "version": "7.8.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-export-namespace-from/-/plugin-syntax-export-namespace-from-7.8.3.tgz",
+ "integrity": "sha512-MXf5laXo6c1IbEbegDmzGPwGNTsHZmEy6QGznu5Sh2UCWvueywb2ee+CCE4zQiZstxU9BMoQO9i6zUFSY0Kj0Q==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.8.3"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-syntax-flow": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-flow/-/plugin-syntax-flow-7.23.3.tgz",
+ "integrity": "sha512-YZiAIpkJAwQXBJLIQbRFayR5c+gJ35Vcz3bg954k7cd73zqjvhacJuL9RbrzPz8qPmZdgqP6EUKwy0PCNhaaPA==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-syntax-import-assertions": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-import-assertions/-/plugin-syntax-import-assertions-7.23.3.tgz",
+ "integrity": "sha512-lPgDSU+SJLK3xmFDTV2ZRQAiM7UuUjGidwBywFavObCiZc1BeAAcMtHJKUya92hPHO+at63JJPLygilZard8jw==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-syntax-import-attributes": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-import-attributes/-/plugin-syntax-import-attributes-7.23.3.tgz",
+ "integrity": "sha512-pawnE0P9g10xgoP7yKr6CK63K2FMsTE+FZidZO/1PwRdzmAPVs+HS1mAURUsgaoxammTJvULUdIkEK0gOcU2tA==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-syntax-import-meta": {
+ "version": "7.10.4",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-import-meta/-/plugin-syntax-import-meta-7.10.4.tgz",
+ "integrity": "sha512-Yqfm+XDx0+Prh3VSeEQCPU81yC+JWZ2pDPFSS4ZdpfZhp4MkFMaDC1UqseovEKwSUpnIL7+vK+Clp7bfh0iD7g==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.10.4"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-syntax-json-strings": {
+ "version": "7.8.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-json-strings/-/plugin-syntax-json-strings-7.8.3.tgz",
+ "integrity": "sha512-lY6kdGpWHvjoe2vk4WrAapEuBR69EMxZl+RoGRhrFGNYVK8mOPAW8VfbT/ZgrFbXlDNiiaxQnAtgVCZ6jv30EA==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.8.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-syntax-jsx": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-jsx/-/plugin-syntax-jsx-7.23.3.tgz",
+ "integrity": "sha512-EB2MELswq55OHUoRZLGg/zC7QWUKfNLpE57m/S2yr1uEneIgsTgrSzXP3NXEsMkVn76OlaVVnzN+ugObuYGwhg==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-syntax-logical-assignment-operators": {
+ "version": "7.10.4",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-logical-assignment-operators/-/plugin-syntax-logical-assignment-operators-7.10.4.tgz",
+ "integrity": "sha512-d8waShlpFDinQ5MtvGU9xDAOzKH47+FFoney2baFIoMr952hKOLp1HR7VszoZvOsV/4+RRszNY7D17ba0te0ig==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.10.4"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-syntax-nullish-coalescing-operator": {
+ "version": "7.8.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-nullish-coalescing-operator/-/plugin-syntax-nullish-coalescing-operator-7.8.3.tgz",
+ "integrity": "sha512-aSff4zPII1u2QD7y+F8oDsz19ew4IGEJg9SVW+bqwpwtfFleiQDMdzA/R+UlWDzfnHFCxxleFT0PMIrR36XLNQ==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.8.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-syntax-numeric-separator": {
+ "version": "7.10.4",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-numeric-separator/-/plugin-syntax-numeric-separator-7.10.4.tgz",
+ "integrity": "sha512-9H6YdfkcK/uOnY/K7/aA2xpzaAgkQn37yzWUMRK7OaPOqOpGS1+n0H5hxT9AUw9EsSjPW8SVyMJwYRtWs3X3ug==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.10.4"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-syntax-object-rest-spread": {
+ "version": "7.8.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-object-rest-spread/-/plugin-syntax-object-rest-spread-7.8.3.tgz",
+ "integrity": "sha512-XoqMijGZb9y3y2XskN+P1wUGiVwWZ5JmoDRwx5+3GmEplNyVM2s2Dg8ILFQm8rWM48orGy5YpI5Bl8U1y7ydlA==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.8.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-syntax-optional-catch-binding": {
+ "version": "7.8.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-optional-catch-binding/-/plugin-syntax-optional-catch-binding-7.8.3.tgz",
+ "integrity": "sha512-6VPD0Pc1lpTqw0aKoeRTMiB+kWhAoT24PA+ksWSBrFtl5SIRVpZlwN3NNPQjehA2E/91FV3RjLWoVTglWcSV3Q==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.8.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-syntax-optional-chaining": {
+ "version": "7.8.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-optional-chaining/-/plugin-syntax-optional-chaining-7.8.3.tgz",
+ "integrity": "sha512-KoK9ErH1MBlCPxV0VANkXW2/dw4vlbGDrFgz8bmUsBGYkFRcbRwMh6cIJubdPrkxRwuGdtCk0v/wPTKbQgBjkg==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.8.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-syntax-private-property-in-object": {
+ "version": "7.14.5",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-private-property-in-object/-/plugin-syntax-private-property-in-object-7.14.5.tgz",
+ "integrity": "sha512-0wVnp9dxJ72ZUJDV27ZfbSj6iHLoytYZmh3rFcxNnvsJF3ktkzLDZPy/mA17HGsaQT3/DQsWYX1f1QGWkCoVUg==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.14.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-syntax-top-level-await": {
+ "version": "7.14.5",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-top-level-await/-/plugin-syntax-top-level-await-7.14.5.tgz",
+ "integrity": "sha512-hx++upLv5U1rgYfwe1xBQUhRmU41NEvpUvrp8jkrSCdvGSnM5/qdRMtylJ6PG5OFkBaHkbTAKTnd3/YyESRHFw==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.14.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-syntax-typescript": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-typescript/-/plugin-syntax-typescript-7.23.3.tgz",
+ "integrity": "sha512-9EiNjVJOMwCO+43TqoTrgQ8jMwcAd0sWyXi9RPfIsLTj4R2MADDDQXELhffaUx/uJv2AYcxBgPwH6j4TIA4ytQ==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-syntax-unicode-sets-regex": {
+ "version": "7.18.6",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-syntax-unicode-sets-regex/-/plugin-syntax-unicode-sets-regex-7.18.6.tgz",
+ "integrity": "sha512-727YkEAPwSIQTv5im8QHz3upqp92JTWhidIC81Tdx4VJYIte/VndKf1qKrfnnhPLiPghStWfvC/iFaMCQu7Nqg==",
+ "dependencies": {
+ "@babel/helper-create-regexp-features-plugin": "^7.18.6",
+ "@babel/helper-plugin-utils": "^7.18.6"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-arrow-functions": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-arrow-functions/-/plugin-transform-arrow-functions-7.23.3.tgz",
+ "integrity": "sha512-NzQcQrzaQPkaEwoTm4Mhyl8jI1huEL/WWIEvudjTCMJ9aBZNpsJbMASx7EQECtQQPS/DcnFpo0FIh3LvEO9cxQ==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-async-generator-functions": {
+ "version": "7.23.9",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-async-generator-functions/-/plugin-transform-async-generator-functions-7.23.9.tgz",
+ "integrity": "sha512-8Q3veQEDGe14dTYuwagbRtwxQDnytyg1JFu4/HwEMETeofocrB0U0ejBJIXoeG/t2oXZ8kzCyI0ZZfbT80VFNQ==",
+ "dependencies": {
+ "@babel/helper-environment-visitor": "^7.22.20",
+ "@babel/helper-plugin-utils": "^7.22.5",
+ "@babel/helper-remap-async-to-generator": "^7.22.20",
+ "@babel/plugin-syntax-async-generators": "^7.8.4"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-async-to-generator": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-async-to-generator/-/plugin-transform-async-to-generator-7.23.3.tgz",
+ "integrity": "sha512-A7LFsKi4U4fomjqXJlZg/u0ft/n8/7n7lpffUP/ZULx/DtV9SGlNKZolHH6PE8Xl1ngCc0M11OaeZptXVkfKSw==",
+ "dependencies": {
+ "@babel/helper-module-imports": "^7.22.15",
+ "@babel/helper-plugin-utils": "^7.22.5",
+ "@babel/helper-remap-async-to-generator": "^7.22.20"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-block-scoped-functions": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-block-scoped-functions/-/plugin-transform-block-scoped-functions-7.23.3.tgz",
+ "integrity": "sha512-vI+0sIaPIO6CNuM9Kk5VmXcMVRiOpDh7w2zZt9GXzmE/9KD70CUEVhvPR/etAeNK/FAEkhxQtXOzVF3EuRL41A==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-block-scoping": {
+ "version": "7.23.4",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-block-scoping/-/plugin-transform-block-scoping-7.23.4.tgz",
+ "integrity": "sha512-0QqbP6B6HOh7/8iNR4CQU2Th/bbRtBp4KS9vcaZd1fZ0wSh5Fyssg0UCIHwxh+ka+pNDREbVLQnHCMHKZfPwfw==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-class-properties": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-class-properties/-/plugin-transform-class-properties-7.23.3.tgz",
+ "integrity": "sha512-uM+AN8yCIjDPccsKGlw271xjJtGii+xQIF/uMPS8H15L12jZTsLfF4o5vNO7d/oUguOyfdikHGc/yi9ge4SGIg==",
+ "dependencies": {
+ "@babel/helper-create-class-features-plugin": "^7.22.15",
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-class-static-block": {
+ "version": "7.23.4",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-class-static-block/-/plugin-transform-class-static-block-7.23.4.tgz",
+ "integrity": "sha512-nsWu/1M+ggti1SOALj3hfx5FXzAY06fwPJsUZD4/A5e1bWi46VUIWtD+kOX6/IdhXGsXBWllLFDSnqSCdUNydQ==",
+ "dependencies": {
+ "@babel/helper-create-class-features-plugin": "^7.22.15",
+ "@babel/helper-plugin-utils": "^7.22.5",
+ "@babel/plugin-syntax-class-static-block": "^7.14.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.12.0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-classes": {
+ "version": "7.23.8",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-classes/-/plugin-transform-classes-7.23.8.tgz",
+ "integrity": "sha512-yAYslGsY1bX6Knmg46RjiCiNSwJKv2IUC8qOdYKqMMr0491SXFhcHqOdRDeCRohOOIzwN/90C6mQ9qAKgrP7dg==",
+ "dependencies": {
+ "@babel/helper-annotate-as-pure": "^7.22.5",
+ "@babel/helper-compilation-targets": "^7.23.6",
+ "@babel/helper-environment-visitor": "^7.22.20",
+ "@babel/helper-function-name": "^7.23.0",
+ "@babel/helper-plugin-utils": "^7.22.5",
+ "@babel/helper-replace-supers": "^7.22.20",
+ "@babel/helper-split-export-declaration": "^7.22.6",
+ "globals": "^11.1.0"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-computed-properties": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-computed-properties/-/plugin-transform-computed-properties-7.23.3.tgz",
+ "integrity": "sha512-dTj83UVTLw/+nbiHqQSFdwO9CbTtwq1DsDqm3CUEtDrZNET5rT5E6bIdTlOftDTDLMYxvxHNEYO4B9SLl8SLZw==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5",
+ "@babel/template": "^7.22.15"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-destructuring": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-destructuring/-/plugin-transform-destructuring-7.23.3.tgz",
+ "integrity": "sha512-n225npDqjDIr967cMScVKHXJs7rout1q+tt50inyBCPkyZ8KxeI6d+GIbSBTT/w/9WdlWDOej3V9HE5Lgk57gw==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-dotall-regex": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-dotall-regex/-/plugin-transform-dotall-regex-7.23.3.tgz",
+ "integrity": "sha512-vgnFYDHAKzFaTVp+mneDsIEbnJ2Np/9ng9iviHw3P/KVcgONxpNULEW/51Z/BaFojG2GI2GwwXck5uV1+1NOYQ==",
+ "dependencies": {
+ "@babel/helper-create-regexp-features-plugin": "^7.22.15",
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-duplicate-keys": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-duplicate-keys/-/plugin-transform-duplicate-keys-7.23.3.tgz",
+ "integrity": "sha512-RrqQ+BQmU3Oyav3J+7/myfvRCq7Tbz+kKLLshUmMwNlDHExbGL7ARhajvoBJEvc+fCguPPu887N+3RRXBVKZUA==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-dynamic-import": {
+ "version": "7.23.4",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-dynamic-import/-/plugin-transform-dynamic-import-7.23.4.tgz",
+ "integrity": "sha512-V6jIbLhdJK86MaLh4Jpghi8ho5fGzt3imHOBu/x0jlBaPYqDoWz4RDXjmMOfnh+JWNaQleEAByZLV0QzBT4YQQ==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5",
+ "@babel/plugin-syntax-dynamic-import": "^7.8.3"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-exponentiation-operator": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-exponentiation-operator/-/plugin-transform-exponentiation-operator-7.23.3.tgz",
+ "integrity": "sha512-5fhCsl1odX96u7ILKHBj4/Y8vipoqwsJMh4csSA8qFfxrZDEA4Ssku2DyNvMJSmZNOEBT750LfFPbtrnTP90BQ==",
+ "dependencies": {
+ "@babel/helper-builder-binary-assignment-operator-visitor": "^7.22.15",
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-export-namespace-from": {
+ "version": "7.23.4",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-export-namespace-from/-/plugin-transform-export-namespace-from-7.23.4.tgz",
+ "integrity": "sha512-GzuSBcKkx62dGzZI1WVgTWvkkz84FZO5TC5T8dl/Tht/rAla6Dg/Mz9Yhypg+ezVACf/rgDuQt3kbWEv7LdUDQ==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5",
+ "@babel/plugin-syntax-export-namespace-from": "^7.8.3"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-flow-strip-types": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-flow-strip-types/-/plugin-transform-flow-strip-types-7.23.3.tgz",
+ "integrity": "sha512-26/pQTf9nQSNVJCrLB1IkHUKyPxR+lMrH2QDPG89+Znu9rAMbtrybdbWeE9bb7gzjmE5iXHEY+e0HUwM6Co93Q==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5",
+ "@babel/plugin-syntax-flow": "^7.23.3"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-for-of": {
+ "version": "7.23.6",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-for-of/-/plugin-transform-for-of-7.23.6.tgz",
+ "integrity": "sha512-aYH4ytZ0qSuBbpfhuofbg/e96oQ7U2w1Aw/UQmKT+1l39uEhUPoFS3fHevDc1G0OvewyDudfMKY1OulczHzWIw==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5",
+ "@babel/helper-skip-transparent-expression-wrappers": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-function-name": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-function-name/-/plugin-transform-function-name-7.23.3.tgz",
+ "integrity": "sha512-I1QXp1LxIvt8yLaib49dRW5Okt7Q4oaxao6tFVKS/anCdEOMtYwWVKoiOA1p34GOWIZjUK0E+zCp7+l1pfQyiw==",
+ "dependencies": {
+ "@babel/helper-compilation-targets": "^7.22.15",
+ "@babel/helper-function-name": "^7.23.0",
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-json-strings": {
+ "version": "7.23.4",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-json-strings/-/plugin-transform-json-strings-7.23.4.tgz",
+ "integrity": "sha512-81nTOqM1dMwZ/aRXQ59zVubN9wHGqk6UtqRK+/q+ciXmRy8fSolhGVvG09HHRGo4l6fr/c4ZhXUQH0uFW7PZbg==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5",
+ "@babel/plugin-syntax-json-strings": "^7.8.3"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-literals": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-literals/-/plugin-transform-literals-7.23.3.tgz",
+ "integrity": "sha512-wZ0PIXRxnwZvl9AYpqNUxpZ5BiTGrYt7kueGQ+N5FiQ7RCOD4cm8iShd6S6ggfVIWaJf2EMk8eRzAh52RfP4rQ==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-logical-assignment-operators": {
+ "version": "7.23.4",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-logical-assignment-operators/-/plugin-transform-logical-assignment-operators-7.23.4.tgz",
+ "integrity": "sha512-Mc/ALf1rmZTP4JKKEhUwiORU+vcfarFVLfcFiolKUo6sewoxSEgl36ak5t+4WamRsNr6nzjZXQjM35WsU+9vbg==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5",
+ "@babel/plugin-syntax-logical-assignment-operators": "^7.10.4"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-member-expression-literals": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-member-expression-literals/-/plugin-transform-member-expression-literals-7.23.3.tgz",
+ "integrity": "sha512-sC3LdDBDi5x96LA+Ytekz2ZPk8i/Ck+DEuDbRAll5rknJ5XRTSaPKEYwomLcs1AA8wg9b3KjIQRsnApj+q51Ag==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-modules-amd": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-modules-amd/-/plugin-transform-modules-amd-7.23.3.tgz",
+ "integrity": "sha512-vJYQGxeKM4t8hYCKVBlZX/gtIY2I7mRGFNcm85sgXGMTBcoV3QdVtdpbcWEbzbfUIUZKwvgFT82mRvaQIebZzw==",
+ "dependencies": {
+ "@babel/helper-module-transforms": "^7.23.3",
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-modules-commonjs": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-modules-commonjs/-/plugin-transform-modules-commonjs-7.23.3.tgz",
+ "integrity": "sha512-aVS0F65LKsdNOtcz6FRCpE4OgsP2OFnW46qNxNIX9h3wuzaNcSQsJysuMwqSibC98HPrf2vCgtxKNwS0DAlgcA==",
+ "dependencies": {
+ "@babel/helper-module-transforms": "^7.23.3",
+ "@babel/helper-plugin-utils": "^7.22.5",
+ "@babel/helper-simple-access": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-modules-systemjs": {
+ "version": "7.23.9",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-modules-systemjs/-/plugin-transform-modules-systemjs-7.23.9.tgz",
+ "integrity": "sha512-KDlPRM6sLo4o1FkiSlXoAa8edLXFsKKIda779fbLrvmeuc3itnjCtaO6RrtoaANsIJANj+Vk1zqbZIMhkCAHVw==",
+ "dependencies": {
+ "@babel/helper-hoist-variables": "^7.22.5",
+ "@babel/helper-module-transforms": "^7.23.3",
+ "@babel/helper-plugin-utils": "^7.22.5",
+ "@babel/helper-validator-identifier": "^7.22.20"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-modules-umd": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-modules-umd/-/plugin-transform-modules-umd-7.23.3.tgz",
+ "integrity": "sha512-zHsy9iXX2nIsCBFPud3jKn1IRPWg3Ing1qOZgeKV39m1ZgIdpJqvlWVeiHBZC6ITRG0MfskhYe9cLgntfSFPIg==",
+ "dependencies": {
+ "@babel/helper-module-transforms": "^7.23.3",
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-named-capturing-groups-regex": {
+ "version": "7.22.5",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-named-capturing-groups-regex/-/plugin-transform-named-capturing-groups-regex-7.22.5.tgz",
+ "integrity": "sha512-YgLLKmS3aUBhHaxp5hi1WJTgOUb/NCuDHzGT9z9WTt3YG+CPRhJs6nprbStx6DnWM4dh6gt7SU3sZodbZ08adQ==",
+ "dependencies": {
+ "@babel/helper-create-regexp-features-plugin": "^7.22.5",
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-new-target": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-new-target/-/plugin-transform-new-target-7.23.3.tgz",
+ "integrity": "sha512-YJ3xKqtJMAT5/TIZnpAR3I+K+WaDowYbN3xyxI8zxx/Gsypwf9B9h0VB+1Nh6ACAAPRS5NSRje0uVv5i79HYGQ==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-nullish-coalescing-operator": {
+ "version": "7.23.4",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-nullish-coalescing-operator/-/plugin-transform-nullish-coalescing-operator-7.23.4.tgz",
+ "integrity": "sha512-jHE9EVVqHKAQx+VePv5LLGHjmHSJR76vawFPTdlxR/LVJPfOEGxREQwQfjuZEOPTwG92X3LINSh3M40Rv4zpVA==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5",
+ "@babel/plugin-syntax-nullish-coalescing-operator": "^7.8.3"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-numeric-separator": {
+ "version": "7.23.4",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-numeric-separator/-/plugin-transform-numeric-separator-7.23.4.tgz",
+ "integrity": "sha512-mps6auzgwjRrwKEZA05cOwuDc9FAzoyFS4ZsG/8F43bTLf/TgkJg7QXOrPO1JO599iA3qgK9MXdMGOEC8O1h6Q==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5",
+ "@babel/plugin-syntax-numeric-separator": "^7.10.4"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-object-rest-spread": {
+ "version": "7.23.4",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-object-rest-spread/-/plugin-transform-object-rest-spread-7.23.4.tgz",
+ "integrity": "sha512-9x9K1YyeQVw0iOXJlIzwm8ltobIIv7j2iLyP2jIhEbqPRQ7ScNgwQufU2I0Gq11VjyG4gI4yMXt2VFags+1N3g==",
+ "dependencies": {
+ "@babel/compat-data": "^7.23.3",
+ "@babel/helper-compilation-targets": "^7.22.15",
+ "@babel/helper-plugin-utils": "^7.22.5",
+ "@babel/plugin-syntax-object-rest-spread": "^7.8.3",
+ "@babel/plugin-transform-parameters": "^7.23.3"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-object-super": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-object-super/-/plugin-transform-object-super-7.23.3.tgz",
+ "integrity": "sha512-BwQ8q0x2JG+3lxCVFohg+KbQM7plfpBwThdW9A6TMtWwLsbDA01Ek2Zb/AgDN39BiZsExm4qrXxjk+P1/fzGrA==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5",
+ "@babel/helper-replace-supers": "^7.22.20"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-optional-catch-binding": {
+ "version": "7.23.4",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-optional-catch-binding/-/plugin-transform-optional-catch-binding-7.23.4.tgz",
+ "integrity": "sha512-XIq8t0rJPHf6Wvmbn9nFxU6ao4c7WhghTR5WyV8SrJfUFzyxhCm4nhC+iAp3HFhbAKLfYpgzhJ6t4XCtVwqO5A==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5",
+ "@babel/plugin-syntax-optional-catch-binding": "^7.8.3"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-optional-chaining": {
+ "version": "7.23.4",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-optional-chaining/-/plugin-transform-optional-chaining-7.23.4.tgz",
+ "integrity": "sha512-ZU8y5zWOfjM5vZ+asjgAPwDaBjJzgufjES89Rs4Lpq63O300R/kOz30WCLo6BxxX6QVEilwSlpClnG5cZaikTA==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5",
+ "@babel/helper-skip-transparent-expression-wrappers": "^7.22.5",
+ "@babel/plugin-syntax-optional-chaining": "^7.8.3"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-parameters": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-parameters/-/plugin-transform-parameters-7.23.3.tgz",
+ "integrity": "sha512-09lMt6UsUb3/34BbECKVbVwrT9bO6lILWln237z7sLaWnMsTi7Yc9fhX5DLpkJzAGfaReXI22wP41SZmnAA3Vw==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-private-methods": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-private-methods/-/plugin-transform-private-methods-7.23.3.tgz",
+ "integrity": "sha512-UzqRcRtWsDMTLrRWFvUBDwmw06tCQH9Rl1uAjfh6ijMSmGYQ+fpdB+cnqRC8EMh5tuuxSv0/TejGL+7vyj+50g==",
+ "dependencies": {
+ "@babel/helper-create-class-features-plugin": "^7.22.15",
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-private-property-in-object": {
+ "version": "7.23.4",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-private-property-in-object/-/plugin-transform-private-property-in-object-7.23.4.tgz",
+ "integrity": "sha512-9G3K1YqTq3F4Vt88Djx1UZ79PDyj+yKRnUy7cZGSMe+a7jkwD259uKKuUzQlPkGam7R+8RJwh5z4xO27fA1o2A==",
+ "dependencies": {
+ "@babel/helper-annotate-as-pure": "^7.22.5",
+ "@babel/helper-create-class-features-plugin": "^7.22.15",
+ "@babel/helper-plugin-utils": "^7.22.5",
+ "@babel/plugin-syntax-private-property-in-object": "^7.14.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-property-literals": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-property-literals/-/plugin-transform-property-literals-7.23.3.tgz",
+ "integrity": "sha512-jR3Jn3y7cZp4oEWPFAlRsSWjxKe4PZILGBSd4nis1TsC5qeSpb+nrtihJuDhNI7QHiVbUaiXa0X2RZY3/TI6Nw==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-react-constant-elements": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-react-constant-elements/-/plugin-transform-react-constant-elements-7.23.3.tgz",
+ "integrity": "sha512-zP0QKq/p6O42OL94udMgSfKXyse4RyJ0JqbQ34zDAONWjyrEsghYEyTSK5FIpmXmCpB55SHokL1cRRKHv8L2Qw==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-react-display-name": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-react-display-name/-/plugin-transform-react-display-name-7.23.3.tgz",
+ "integrity": "sha512-GnvhtVfA2OAtzdX58FJxU19rhoGeQzyVndw3GgtdECQvQFXPEZIOVULHVZGAYmOgmqjXpVpfocAbSjh99V/Fqw==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-react-jsx": {
+ "version": "7.23.4",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-react-jsx/-/plugin-transform-react-jsx-7.23.4.tgz",
+ "integrity": "sha512-5xOpoPguCZCRbo/JeHlloSkTA8Bld1J/E1/kLfD1nsuiW1m8tduTA1ERCgIZokDflX/IBzKcqR3l7VlRgiIfHA==",
+ "dependencies": {
+ "@babel/helper-annotate-as-pure": "^7.22.5",
+ "@babel/helper-module-imports": "^7.22.15",
+ "@babel/helper-plugin-utils": "^7.22.5",
+ "@babel/plugin-syntax-jsx": "^7.23.3",
+ "@babel/types": "^7.23.4"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-react-jsx-development": {
+ "version": "7.22.5",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-react-jsx-development/-/plugin-transform-react-jsx-development-7.22.5.tgz",
+ "integrity": "sha512-bDhuzwWMuInwCYeDeMzyi7TaBgRQei6DqxhbyniL7/VG4RSS7HtSL2QbY4eESy1KJqlWt8g3xeEBGPuo+XqC8A==",
+ "dependencies": {
+ "@babel/plugin-transform-react-jsx": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-react-pure-annotations": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-react-pure-annotations/-/plugin-transform-react-pure-annotations-7.23.3.tgz",
+ "integrity": "sha512-qMFdSS+TUhB7Q/3HVPnEdYJDQIk57jkntAwSuz9xfSE4n+3I+vHYCli3HoHawN1Z3RfCz/y1zXA/JXjG6cVImQ==",
+ "dependencies": {
+ "@babel/helper-annotate-as-pure": "^7.22.5",
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-regenerator": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-regenerator/-/plugin-transform-regenerator-7.23.3.tgz",
+ "integrity": "sha512-KP+75h0KghBMcVpuKisx3XTu9Ncut8Q8TuvGO4IhY+9D5DFEckQefOuIsB/gQ2tG71lCke4NMrtIPS8pOj18BQ==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5",
+ "regenerator-transform": "^0.15.2"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-reserved-words": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-reserved-words/-/plugin-transform-reserved-words-7.23.3.tgz",
+ "integrity": "sha512-QnNTazY54YqgGxwIexMZva9gqbPa15t/x9VS+0fsEFWplwVpXYZivtgl43Z1vMpc1bdPP2PP8siFeVcnFvA3Cg==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-runtime": {
+ "version": "7.23.9",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-runtime/-/plugin-transform-runtime-7.23.9.tgz",
+ "integrity": "sha512-A7clW3a0aSjm3ONU9o2HAILSegJCYlEZmOhmBRReVtIpY/Z/p7yIZ+wR41Z+UipwdGuqwtID/V/dOdZXjwi9gQ==",
+ "dependencies": {
+ "@babel/helper-module-imports": "^7.22.15",
+ "@babel/helper-plugin-utils": "^7.22.5",
+ "babel-plugin-polyfill-corejs2": "^0.4.8",
+ "babel-plugin-polyfill-corejs3": "^0.9.0",
+ "babel-plugin-polyfill-regenerator": "^0.5.5",
+ "semver": "^6.3.1"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-shorthand-properties": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-shorthand-properties/-/plugin-transform-shorthand-properties-7.23.3.tgz",
+ "integrity": "sha512-ED2fgqZLmexWiN+YNFX26fx4gh5qHDhn1O2gvEhreLW2iI63Sqm4llRLCXALKrCnbN4Jy0VcMQZl/SAzqug/jg==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-spread": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-spread/-/plugin-transform-spread-7.23.3.tgz",
+ "integrity": "sha512-VvfVYlrlBVu+77xVTOAoxQ6mZbnIq5FM0aGBSFEcIh03qHf+zNqA4DC/3XMUozTg7bZV3e3mZQ0i13VB6v5yUg==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5",
+ "@babel/helper-skip-transparent-expression-wrappers": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-sticky-regex": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-sticky-regex/-/plugin-transform-sticky-regex-7.23.3.tgz",
+ "integrity": "sha512-HZOyN9g+rtvnOU3Yh7kSxXrKbzgrm5X4GncPY1QOquu7epga5MxKHVpYu2hvQnry/H+JjckSYRb93iNfsioAGg==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-template-literals": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-template-literals/-/plugin-transform-template-literals-7.23.3.tgz",
+ "integrity": "sha512-Flok06AYNp7GV2oJPZZcP9vZdszev6vPBkHLwxwSpaIqx75wn6mUd3UFWsSsA0l8nXAKkyCmL/sR02m8RYGeHg==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-typeof-symbol": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-typeof-symbol/-/plugin-transform-typeof-symbol-7.23.3.tgz",
+ "integrity": "sha512-4t15ViVnaFdrPC74be1gXBSMzXk3B4Us9lP7uLRQHTFpV5Dvt33pn+2MyyNxmN3VTTm3oTrZVMUmuw3oBnQ2oQ==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-typescript": {
+ "version": "7.23.6",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-typescript/-/plugin-transform-typescript-7.23.6.tgz",
+ "integrity": "sha512-6cBG5mBvUu4VUD04OHKnYzbuHNP8huDsD3EDqqpIpsswTDoqHCjLoHb6+QgsV1WsT2nipRqCPgxD3LXnEO7XfA==",
+ "dependencies": {
+ "@babel/helper-annotate-as-pure": "^7.22.5",
+ "@babel/helper-create-class-features-plugin": "^7.23.6",
+ "@babel/helper-plugin-utils": "^7.22.5",
+ "@babel/plugin-syntax-typescript": "^7.23.3"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-unicode-escapes": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-unicode-escapes/-/plugin-transform-unicode-escapes-7.23.3.tgz",
+ "integrity": "sha512-OMCUx/bU6ChE3r4+ZdylEqAjaQgHAgipgW8nsCfu5pGqDcFytVd91AwRvUJSBZDz0exPGgnjoqhgRYLRjFZc9Q==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-unicode-property-regex": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-unicode-property-regex/-/plugin-transform-unicode-property-regex-7.23.3.tgz",
+ "integrity": "sha512-KcLIm+pDZkWZQAFJ9pdfmh89EwVfmNovFBcXko8szpBeF8z68kWIPeKlmSOkT9BXJxs2C0uk+5LxoxIv62MROA==",
+ "dependencies": {
+ "@babel/helper-create-regexp-features-plugin": "^7.22.15",
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-unicode-regex": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-unicode-regex/-/plugin-transform-unicode-regex-7.23.3.tgz",
+ "integrity": "sha512-wMHpNA4x2cIA32b/ci3AfwNgheiva2W0WUKWTK7vBHBhDKfPsc5cFGNWm69WBqpwd86u1qwZ9PWevKqm1A3yAw==",
+ "dependencies": {
+ "@babel/helper-create-regexp-features-plugin": "^7.22.15",
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/plugin-transform-unicode-sets-regex": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-transform-unicode-sets-regex/-/plugin-transform-unicode-sets-regex-7.23.3.tgz",
+ "integrity": "sha512-W7lliA/v9bNR83Qc3q1ip9CQMZ09CcHDbHfbLRDNuAhn1Mvkr1ZNF7hPmztMQvtTGVLJ9m8IZqWsTkXOml8dbw==",
+ "dependencies": {
+ "@babel/helper-create-regexp-features-plugin": "^7.22.15",
+ "@babel/helper-plugin-utils": "^7.22.5"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0"
+ }
+ },
+ "node_modules/@babel/preset-env": {
+ "version": "7.23.9",
+ "resolved": "https://registry.npmjs.org/@babel/preset-env/-/preset-env-7.23.9.tgz",
+ "integrity": "sha512-3kBGTNBBk9DQiPoXYS0g0BYlwTQYUTifqgKTjxUwEUkduRT2QOa0FPGBJ+NROQhGyYO5BuTJwGvBnqKDykac6A==",
+ "dependencies": {
+ "@babel/compat-data": "^7.23.5",
+ "@babel/helper-compilation-targets": "^7.23.6",
+ "@babel/helper-plugin-utils": "^7.22.5",
+ "@babel/helper-validator-option": "^7.23.5",
+ "@babel/plugin-bugfix-safari-id-destructuring-collision-in-function-expression": "^7.23.3",
+ "@babel/plugin-bugfix-v8-spread-parameters-in-optional-chaining": "^7.23.3",
+ "@babel/plugin-bugfix-v8-static-class-fields-redefine-readonly": "^7.23.7",
+ "@babel/plugin-proposal-private-property-in-object": "7.21.0-placeholder-for-preset-env.2",
+ "@babel/plugin-syntax-async-generators": "^7.8.4",
+ "@babel/plugin-syntax-class-properties": "^7.12.13",
+ "@babel/plugin-syntax-class-static-block": "^7.14.5",
+ "@babel/plugin-syntax-dynamic-import": "^7.8.3",
+ "@babel/plugin-syntax-export-namespace-from": "^7.8.3",
+ "@babel/plugin-syntax-import-assertions": "^7.23.3",
+ "@babel/plugin-syntax-import-attributes": "^7.23.3",
+ "@babel/plugin-syntax-import-meta": "^7.10.4",
+ "@babel/plugin-syntax-json-strings": "^7.8.3",
+ "@babel/plugin-syntax-logical-assignment-operators": "^7.10.4",
+ "@babel/plugin-syntax-nullish-coalescing-operator": "^7.8.3",
+ "@babel/plugin-syntax-numeric-separator": "^7.10.4",
+ "@babel/plugin-syntax-object-rest-spread": "^7.8.3",
+ "@babel/plugin-syntax-optional-catch-binding": "^7.8.3",
+ "@babel/plugin-syntax-optional-chaining": "^7.8.3",
+ "@babel/plugin-syntax-private-property-in-object": "^7.14.5",
+ "@babel/plugin-syntax-top-level-await": "^7.14.5",
+ "@babel/plugin-syntax-unicode-sets-regex": "^7.18.6",
+ "@babel/plugin-transform-arrow-functions": "^7.23.3",
+ "@babel/plugin-transform-async-generator-functions": "^7.23.9",
+ "@babel/plugin-transform-async-to-generator": "^7.23.3",
+ "@babel/plugin-transform-block-scoped-functions": "^7.23.3",
+ "@babel/plugin-transform-block-scoping": "^7.23.4",
+ "@babel/plugin-transform-class-properties": "^7.23.3",
+ "@babel/plugin-transform-class-static-block": "^7.23.4",
+ "@babel/plugin-transform-classes": "^7.23.8",
+ "@babel/plugin-transform-computed-properties": "^7.23.3",
+ "@babel/plugin-transform-destructuring": "^7.23.3",
+ "@babel/plugin-transform-dotall-regex": "^7.23.3",
+ "@babel/plugin-transform-duplicate-keys": "^7.23.3",
+ "@babel/plugin-transform-dynamic-import": "^7.23.4",
+ "@babel/plugin-transform-exponentiation-operator": "^7.23.3",
+ "@babel/plugin-transform-export-namespace-from": "^7.23.4",
+ "@babel/plugin-transform-for-of": "^7.23.6",
+ "@babel/plugin-transform-function-name": "^7.23.3",
+ "@babel/plugin-transform-json-strings": "^7.23.4",
+ "@babel/plugin-transform-literals": "^7.23.3",
+ "@babel/plugin-transform-logical-assignment-operators": "^7.23.4",
+ "@babel/plugin-transform-member-expression-literals": "^7.23.3",
+ "@babel/plugin-transform-modules-amd": "^7.23.3",
+ "@babel/plugin-transform-modules-commonjs": "^7.23.3",
+ "@babel/plugin-transform-modules-systemjs": "^7.23.9",
+ "@babel/plugin-transform-modules-umd": "^7.23.3",
+ "@babel/plugin-transform-named-capturing-groups-regex": "^7.22.5",
+ "@babel/plugin-transform-new-target": "^7.23.3",
+ "@babel/plugin-transform-nullish-coalescing-operator": "^7.23.4",
+ "@babel/plugin-transform-numeric-separator": "^7.23.4",
+ "@babel/plugin-transform-object-rest-spread": "^7.23.4",
+ "@babel/plugin-transform-object-super": "^7.23.3",
+ "@babel/plugin-transform-optional-catch-binding": "^7.23.4",
+ "@babel/plugin-transform-optional-chaining": "^7.23.4",
+ "@babel/plugin-transform-parameters": "^7.23.3",
+ "@babel/plugin-transform-private-methods": "^7.23.3",
+ "@babel/plugin-transform-private-property-in-object": "^7.23.4",
+ "@babel/plugin-transform-property-literals": "^7.23.3",
+ "@babel/plugin-transform-regenerator": "^7.23.3",
+ "@babel/plugin-transform-reserved-words": "^7.23.3",
+ "@babel/plugin-transform-shorthand-properties": "^7.23.3",
+ "@babel/plugin-transform-spread": "^7.23.3",
+ "@babel/plugin-transform-sticky-regex": "^7.23.3",
+ "@babel/plugin-transform-template-literals": "^7.23.3",
+ "@babel/plugin-transform-typeof-symbol": "^7.23.3",
+ "@babel/plugin-transform-unicode-escapes": "^7.23.3",
+ "@babel/plugin-transform-unicode-property-regex": "^7.23.3",
+ "@babel/plugin-transform-unicode-regex": "^7.23.3",
+ "@babel/plugin-transform-unicode-sets-regex": "^7.23.3",
+ "@babel/preset-modules": "0.1.6-no-external-plugins",
+ "babel-plugin-polyfill-corejs2": "^0.4.8",
+ "babel-plugin-polyfill-corejs3": "^0.9.0",
+ "babel-plugin-polyfill-regenerator": "^0.5.5",
+ "core-js-compat": "^3.31.0",
+ "semver": "^6.3.1"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/preset-env/node_modules/@babel/plugin-proposal-private-property-in-object": {
+ "version": "7.21.0-placeholder-for-preset-env.2",
+ "resolved": "https://registry.npmjs.org/@babel/plugin-proposal-private-property-in-object/-/plugin-proposal-private-property-in-object-7.21.0-placeholder-for-preset-env.2.tgz",
+ "integrity": "sha512-SOSkfJDddaM7mak6cPEpswyTRnuRltl429hMraQEglW+OkovnCzsiszTmsrlY//qLFjCpQDFRvjdm2wA5pPm9w==",
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/preset-modules": {
+ "version": "0.1.6-no-external-plugins",
+ "resolved": "https://registry.npmjs.org/@babel/preset-modules/-/preset-modules-0.1.6-no-external-plugins.tgz",
+ "integrity": "sha512-HrcgcIESLm9aIR842yhJ5RWan/gebQUJ6E/E5+rf0y9o6oj7w0Br+sWuL6kEQ/o/AdfvR1Je9jG18/gnpwjEyA==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.0.0",
+ "@babel/types": "^7.4.4",
+ "esutils": "^2.0.2"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0 || ^8.0.0-0 <8.0.0"
+ }
+ },
+ "node_modules/@babel/preset-react": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/preset-react/-/preset-react-7.23.3.tgz",
+ "integrity": "sha512-tbkHOS9axH6Ysf2OUEqoSZ6T3Fa2SrNH6WTWSPBboxKzdxNc9qOICeLXkNG0ZEwbQ1HY8liwOce4aN/Ceyuq6w==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5",
+ "@babel/helper-validator-option": "^7.22.15",
+ "@babel/plugin-transform-react-display-name": "^7.23.3",
+ "@babel/plugin-transform-react-jsx": "^7.22.15",
+ "@babel/plugin-transform-react-jsx-development": "^7.22.5",
+ "@babel/plugin-transform-react-pure-annotations": "^7.23.3"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/preset-typescript": {
+ "version": "7.23.3",
+ "resolved": "https://registry.npmjs.org/@babel/preset-typescript/-/preset-typescript-7.23.3.tgz",
+ "integrity": "sha512-17oIGVlqz6CchO9RFYn5U6ZpWRZIngayYCtrPRSgANSwC2V1Jb+iP74nVxzzXJte8b8BYxrL1yY96xfhTBrNNQ==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.22.5",
+ "@babel/helper-validator-option": "^7.22.15",
+ "@babel/plugin-syntax-jsx": "^7.23.3",
+ "@babel/plugin-transform-modules-commonjs": "^7.23.3",
+ "@babel/plugin-transform-typescript": "^7.23.3"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0-0"
+ }
+ },
+ "node_modules/@babel/regjsgen": {
+ "version": "0.8.0",
+ "resolved": "https://registry.npmjs.org/@babel/regjsgen/-/regjsgen-0.8.0.tgz",
+ "integrity": "sha512-x/rqGMdzj+fWZvCOYForTghzbtqPDZ5gPwaoNGHdgDfF2QA/XZbCBp4Moo5scrkAMPhB7z26XM/AaHuIJdgauA=="
+ },
+ "node_modules/@babel/runtime": {
+ "version": "7.23.9",
+ "resolved": "https://registry.npmjs.org/@babel/runtime/-/runtime-7.23.9.tgz",
+ "integrity": "sha512-0CX6F+BI2s9dkUqr08KFrAIZgNFj75rdBU/DjCyYLIaV/quFjkk6T+EJ2LkZHyZTbEV4L5p97mNkUsHl2wLFAw==",
+ "dependencies": {
+ "regenerator-runtime": "^0.14.0"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ }
+ },
+ "node_modules/@babel/runtime-corejs3": {
+ "version": "7.23.9",
+ "resolved": "https://registry.npmjs.org/@babel/runtime-corejs3/-/runtime-corejs3-7.23.9.tgz",
+ "integrity": "sha512-oeOFTrYWdWXCvXGB5orvMTJ6gCZ9I6FBjR+M38iKNXCsPxr4xT0RTdg5uz1H7QP8pp74IzPtwritEr+JscqHXQ==",
+ "dev": true,
+ "dependencies": {
+ "core-js-pure": "^3.30.2",
+ "regenerator-runtime": "^0.14.0"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ }
+ },
+ "node_modules/@babel/template": {
+ "version": "7.23.9",
+ "resolved": "https://registry.npmjs.org/@babel/template/-/template-7.23.9.tgz",
+ "integrity": "sha512-+xrD2BWLpvHKNmX2QbpdpsBaWnRxahMwJjO+KZk2JOElj5nSmKezyS1B4u+QbHMTX69t4ukm6hh9lsYQ7GHCKA==",
+ "dependencies": {
+ "@babel/code-frame": "^7.23.5",
+ "@babel/parser": "^7.23.9",
+ "@babel/types": "^7.23.9"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ }
+ },
+ "node_modules/@babel/traverse": {
+ "version": "7.23.9",
+ "resolved": "https://registry.npmjs.org/@babel/traverse/-/traverse-7.23.9.tgz",
+ "integrity": "sha512-I/4UJ9vs90OkBtY6iiiTORVMyIhJ4kAVmsKo9KFc8UOxMeUfi2hvtIBsET5u9GizXE6/GFSuKCTNfgCswuEjRg==",
+ "dependencies": {
+ "@babel/code-frame": "^7.23.5",
+ "@babel/generator": "^7.23.6",
+ "@babel/helper-environment-visitor": "^7.22.20",
+ "@babel/helper-function-name": "^7.23.0",
+ "@babel/helper-hoist-variables": "^7.22.5",
+ "@babel/helper-split-export-declaration": "^7.22.6",
+ "@babel/parser": "^7.23.9",
+ "@babel/types": "^7.23.9",
+ "debug": "^4.3.1",
+ "globals": "^11.1.0"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ }
+ },
+ "node_modules/@babel/types": {
+ "version": "7.23.9",
+ "resolved": "https://registry.npmjs.org/@babel/types/-/types-7.23.9.tgz",
+ "integrity": "sha512-dQjSq/7HaSjRM43FFGnv5keM2HsxpmyV1PfaSVm0nzzjwwTmjOe6J4bC8e3+pTEIgHaHj+1ZlLThRJ2auc/w1Q==",
+ "dependencies": {
+ "@babel/helper-string-parser": "^7.23.4",
+ "@babel/helper-validator-identifier": "^7.22.20",
+ "to-fast-properties": "^2.0.0"
+ },
+ "engines": {
+ "node": ">=6.9.0"
+ }
+ },
+ "node_modules/@bcoe/v8-coverage": {
+ "version": "0.2.3",
+ "resolved": "https://registry.npmjs.org/@bcoe/v8-coverage/-/v8-coverage-0.2.3.tgz",
+ "integrity": "sha512-0hYQ8SB4Db5zvZB4axdMHGwEaQjkZzFjQiN9LVYvIFB2nSUHW9tYpxWriPrWDASIxiaXax83REcLxuSdnGPZtw=="
+ },
+ "node_modules/@csstools/normalize.css": {
+ "version": "12.1.1",
+ "resolved": "https://registry.npmjs.org/@csstools/normalize.css/-/normalize.css-12.1.1.tgz",
+ "integrity": "sha512-YAYeJ+Xqh7fUou1d1j9XHl44BmsuThiTr4iNrgCQ3J27IbhXsxXDGZ1cXv8Qvs99d4rBbLiSKy3+WZiet32PcQ=="
+ },
+ "node_modules/@csstools/postcss-cascade-layers": {
+ "version": "1.1.1",
+ "resolved": "https://registry.npmjs.org/@csstools/postcss-cascade-layers/-/postcss-cascade-layers-1.1.1.tgz",
+ "integrity": "sha512-+KdYrpKC5TgomQr2DlZF4lDEpHcoxnj5IGddYYfBWJAKfj1JtuHUIqMa+E1pJJ+z3kvDViWMqyqPlG4Ja7amQA==",
+ "dependencies": {
+ "@csstools/selector-specificity": "^2.0.2",
+ "postcss-selector-parser": "^6.0.10"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/csstools"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2"
+ }
+ },
+ "node_modules/@csstools/postcss-color-function": {
+ "version": "1.1.1",
+ "resolved": "https://registry.npmjs.org/@csstools/postcss-color-function/-/postcss-color-function-1.1.1.tgz",
+ "integrity": "sha512-Bc0f62WmHdtRDjf5f3e2STwRAl89N2CLb+9iAwzrv4L2hncrbDwnQD9PCq0gtAt7pOI2leIV08HIBUd4jxD8cw==",
+ "dependencies": {
+ "@csstools/postcss-progressive-custom-properties": "^1.1.0",
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/csstools"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2"
+ }
+ },
+ "node_modules/@csstools/postcss-font-format-keywords": {
+ "version": "1.0.1",
+ "resolved": "https://registry.npmjs.org/@csstools/postcss-font-format-keywords/-/postcss-font-format-keywords-1.0.1.tgz",
+ "integrity": "sha512-ZgrlzuUAjXIOc2JueK0X5sZDjCtgimVp/O5CEqTcs5ShWBa6smhWYbS0x5cVc/+rycTDbjjzoP0KTDnUneZGOg==",
+ "dependencies": {
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/csstools"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2"
+ }
+ },
+ "node_modules/@csstools/postcss-hwb-function": {
+ "version": "1.0.2",
+ "resolved": "https://registry.npmjs.org/@csstools/postcss-hwb-function/-/postcss-hwb-function-1.0.2.tgz",
+ "integrity": "sha512-YHdEru4o3Rsbjmu6vHy4UKOXZD+Rn2zmkAmLRfPet6+Jz4Ojw8cbWxe1n42VaXQhD3CQUXXTooIy8OkVbUcL+w==",
+ "dependencies": {
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/csstools"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2"
+ }
+ },
+ "node_modules/@csstools/postcss-ic-unit": {
+ "version": "1.0.1",
+ "resolved": "https://registry.npmjs.org/@csstools/postcss-ic-unit/-/postcss-ic-unit-1.0.1.tgz",
+ "integrity": "sha512-Ot1rcwRAaRHNKC9tAqoqNZhjdYBzKk1POgWfhN4uCOE47ebGcLRqXjKkApVDpjifL6u2/55ekkpnFcp+s/OZUw==",
+ "dependencies": {
+ "@csstools/postcss-progressive-custom-properties": "^1.1.0",
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/csstools"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2"
+ }
+ },
+ "node_modules/@csstools/postcss-is-pseudo-class": {
+ "version": "2.0.7",
+ "resolved": "https://registry.npmjs.org/@csstools/postcss-is-pseudo-class/-/postcss-is-pseudo-class-2.0.7.tgz",
+ "integrity": "sha512-7JPeVVZHd+jxYdULl87lvjgvWldYu+Bc62s9vD/ED6/QTGjy0jy0US/f6BG53sVMTBJ1lzKZFpYmofBN9eaRiA==",
+ "dependencies": {
+ "@csstools/selector-specificity": "^2.0.0",
+ "postcss-selector-parser": "^6.0.10"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/csstools"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2"
+ }
+ },
+ "node_modules/@csstools/postcss-nested-calc": {
+ "version": "1.0.0",
+ "resolved": "https://registry.npmjs.org/@csstools/postcss-nested-calc/-/postcss-nested-calc-1.0.0.tgz",
+ "integrity": "sha512-JCsQsw1wjYwv1bJmgjKSoZNvf7R6+wuHDAbi5f/7MbFhl2d/+v+TvBTU4BJH3G1X1H87dHl0mh6TfYogbT/dJQ==",
+ "dependencies": {
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/csstools"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2"
+ }
+ },
+ "node_modules/@csstools/postcss-normalize-display-values": {
+ "version": "1.0.1",
+ "resolved": "https://registry.npmjs.org/@csstools/postcss-normalize-display-values/-/postcss-normalize-display-values-1.0.1.tgz",
+ "integrity": "sha512-jcOanIbv55OFKQ3sYeFD/T0Ti7AMXc9nM1hZWu8m/2722gOTxFg7xYu4RDLJLeZmPUVQlGzo4jhzvTUq3x4ZUw==",
+ "dependencies": {
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/csstools"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2"
+ }
+ },
+ "node_modules/@csstools/postcss-oklab-function": {
+ "version": "1.1.1",
+ "resolved": "https://registry.npmjs.org/@csstools/postcss-oklab-function/-/postcss-oklab-function-1.1.1.tgz",
+ "integrity": "sha512-nJpJgsdA3dA9y5pgyb/UfEzE7W5Ka7u0CX0/HIMVBNWzWemdcTH3XwANECU6anWv/ao4vVNLTMxhiPNZsTK6iA==",
+ "dependencies": {
+ "@csstools/postcss-progressive-custom-properties": "^1.1.0",
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/csstools"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2"
+ }
+ },
+ "node_modules/@csstools/postcss-progressive-custom-properties": {
+ "version": "1.3.0",
+ "resolved": "https://registry.npmjs.org/@csstools/postcss-progressive-custom-properties/-/postcss-progressive-custom-properties-1.3.0.tgz",
+ "integrity": "sha512-ASA9W1aIy5ygskZYuWams4BzafD12ULvSypmaLJT2jvQ8G0M3I8PRQhC0h7mG0Z3LI05+agZjqSR9+K9yaQQjA==",
+ "dependencies": {
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "peerDependencies": {
+ "postcss": "^8.3"
+ }
+ },
+ "node_modules/@csstools/postcss-stepped-value-functions": {
+ "version": "1.0.1",
+ "resolved": "https://registry.npmjs.org/@csstools/postcss-stepped-value-functions/-/postcss-stepped-value-functions-1.0.1.tgz",
+ "integrity": "sha512-dz0LNoo3ijpTOQqEJLY8nyaapl6umbmDcgj4AD0lgVQ572b2eqA1iGZYTTWhrcrHztWDDRAX2DGYyw2VBjvCvQ==",
+ "dependencies": {
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/csstools"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2"
+ }
+ },
+ "node_modules/@csstools/postcss-text-decoration-shorthand": {
+ "version": "1.0.0",
+ "resolved": "https://registry.npmjs.org/@csstools/postcss-text-decoration-shorthand/-/postcss-text-decoration-shorthand-1.0.0.tgz",
+ "integrity": "sha512-c1XwKJ2eMIWrzQenN0XbcfzckOLLJiczqy+YvfGmzoVXd7pT9FfObiSEfzs84bpE/VqfpEuAZ9tCRbZkZxxbdw==",
+ "dependencies": {
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/csstools"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2"
+ }
+ },
+ "node_modules/@csstools/postcss-trigonometric-functions": {
+ "version": "1.0.2",
+ "resolved": "https://registry.npmjs.org/@csstools/postcss-trigonometric-functions/-/postcss-trigonometric-functions-1.0.2.tgz",
+ "integrity": "sha512-woKaLO///4bb+zZC2s80l+7cm07M7268MsyG3M0ActXXEFi6SuhvriQYcb58iiKGbjwwIU7n45iRLEHypB47Og==",
+ "dependencies": {
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^14 || >=16"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/csstools"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2"
+ }
+ },
+ "node_modules/@csstools/postcss-unset-value": {
+ "version": "1.0.2",
+ "resolved": "https://registry.npmjs.org/@csstools/postcss-unset-value/-/postcss-unset-value-1.0.2.tgz",
+ "integrity": "sha512-c8J4roPBILnelAsdLr4XOAR/GsTm0GJi4XpcfvoWk3U6KiTCqiFYc63KhRMQQX35jYMp4Ao8Ij9+IZRgMfJp1g==",
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/csstools"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2"
+ }
+ },
+ "node_modules/@csstools/selector-specificity": {
+ "version": "2.2.0",
+ "resolved": "https://registry.npmjs.org/@csstools/selector-specificity/-/selector-specificity-2.2.0.tgz",
+ "integrity": "sha512-+OJ9konv95ClSTOJCmMZqpd5+YGsB2S+x6w3E1oaM8UuR5j8nTNHYSz8c9BEPGDOCMQYIEEGlVPj/VY64iTbGw==",
+ "engines": {
+ "node": "^14 || ^16 || >=18"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/csstools"
+ },
+ "peerDependencies": {
+ "postcss-selector-parser": "^6.0.10"
+ }
+ },
+ "node_modules/@eslint-community/eslint-utils": {
+ "version": "4.4.0",
+ "resolved": "https://registry.npmjs.org/@eslint-community/eslint-utils/-/eslint-utils-4.4.0.tgz",
+ "integrity": "sha512-1/sA4dwrzBAyeUoQ6oxahHKmrZvsnLCg4RfxW3ZFGGmQkSNQPFNLV9CUEFQP1x9EYXHTo5p6xdhZM1Ne9p/AfA==",
+ "dependencies": {
+ "eslint-visitor-keys": "^3.3.0"
+ },
+ "engines": {
+ "node": "^12.22.0 || ^14.17.0 || >=16.0.0"
+ },
+ "peerDependencies": {
+ "eslint": "^6.0.0 || ^7.0.0 || >=8.0.0"
+ }
+ },
+ "node_modules/@eslint-community/regexpp": {
+ "version": "4.10.0",
+ "resolved": "https://registry.npmjs.org/@eslint-community/regexpp/-/regexpp-4.10.0.tgz",
+ "integrity": "sha512-Cu96Sd2By9mCNTx2iyKOmq10v22jUVQv0lQnlGNy16oE9589yE+QADPbrMGCkA51cKZSg3Pu/aTJVTGfL/qjUA==",
+ "engines": {
+ "node": "^12.0.0 || ^14.0.0 || >=16.0.0"
+ }
+ },
+ "node_modules/@eslint/eslintrc": {
+ "version": "2.1.4",
+ "resolved": "https://registry.npmjs.org/@eslint/eslintrc/-/eslintrc-2.1.4.tgz",
+ "integrity": "sha512-269Z39MS6wVJtsoUl10L60WdkhJVdPG24Q4eZTH3nnF6lpvSShEK3wQjDX9JRWAUPvPh7COouPpU9IrqaZFvtQ==",
+ "dependencies": {
+ "ajv": "^6.12.4",
+ "debug": "^4.3.2",
+ "espree": "^9.6.0",
+ "globals": "^13.19.0",
+ "ignore": "^5.2.0",
+ "import-fresh": "^3.2.1",
+ "js-yaml": "^4.1.0",
+ "minimatch": "^3.1.2",
+ "strip-json-comments": "^3.1.1"
+ },
+ "engines": {
+ "node": "^12.22.0 || ^14.17.0 || >=16.0.0"
+ },
+ "funding": {
+ "url": "https://opencollective.com/eslint"
+ }
+ },
+ "node_modules/@eslint/eslintrc/node_modules/globals": {
+ "version": "13.24.0",
+ "resolved": "https://registry.npmjs.org/globals/-/globals-13.24.0.tgz",
+ "integrity": "sha512-AhO5QUcj8llrbG09iWhPU2B204J1xnPeL8kQmVorSsy+Sjj1sk8gIyh6cUocGmH4L0UuhAJy+hJMRA4mgA4mFQ==",
+ "dependencies": {
+ "type-fest": "^0.20.2"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/@eslint/eslintrc/node_modules/type-fest": {
+ "version": "0.20.2",
+ "resolved": "https://registry.npmjs.org/type-fest/-/type-fest-0.20.2.tgz",
+ "integrity": "sha512-Ne+eE4r0/iWnpAxD852z3A+N0Bt5RN//NjJwRd2VFHEmrywxf5vsZlh4R6lixl6B+wz/8d+maTSAkN1FIkI3LQ==",
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/@eslint/js": {
+ "version": "8.56.0",
+ "resolved": "https://registry.npmjs.org/@eslint/js/-/js-8.56.0.tgz",
+ "integrity": "sha512-gMsVel9D7f2HLkBma9VbtzZRehRogVRfbr++f06nL2vnCGCNlzOD+/MUov/F4p8myyAHspEhVobgjpX64q5m6A==",
+ "engines": {
+ "node": "^12.22.0 || ^14.17.0 || >=16.0.0"
+ }
+ },
+ "node_modules/@humanwhocodes/config-array": {
+ "version": "0.11.14",
+ "resolved": "https://registry.npmjs.org/@humanwhocodes/config-array/-/config-array-0.11.14.tgz",
+ "integrity": "sha512-3T8LkOmg45BV5FICb15QQMsyUSWrQ8AygVfC7ZG32zOalnqrilm018ZVCw0eapXux8FtA33q8PSRSstjee3jSg==",
+ "dependencies": {
+ "@humanwhocodes/object-schema": "^2.0.2",
+ "debug": "^4.3.1",
+ "minimatch": "^3.0.5"
+ },
+ "engines": {
+ "node": ">=10.10.0"
+ }
+ },
+ "node_modules/@humanwhocodes/module-importer": {
+ "version": "1.0.1",
+ "resolved": "https://registry.npmjs.org/@humanwhocodes/module-importer/-/module-importer-1.0.1.tgz",
+ "integrity": "sha512-bxveV4V8v5Yb4ncFTT3rPSgZBOpCkjfK0y4oVVVJwIuDVBRMDXrPyXRL988i5ap9m9bnyEEjWfm5WkBmtffLfA==",
+ "engines": {
+ "node": ">=12.22"
+ },
+ "funding": {
+ "type": "github",
+ "url": "https://github.com/sponsors/nzakas"
+ }
+ },
+ "node_modules/@humanwhocodes/object-schema": {
+ "version": "2.0.2",
+ "resolved": "https://registry.npmjs.org/@humanwhocodes/object-schema/-/object-schema-2.0.2.tgz",
+ "integrity": "sha512-6EwiSjwWYP7pTckG6I5eyFANjPhmPjUX9JRLUSfNPC7FX7zK9gyZAfUEaECL6ALTpGX5AjnBq3C9XmVWPitNpw=="
+ },
+ "node_modules/@isaacs/cliui": {
+ "version": "8.0.2",
+ "resolved": "https://registry.npmjs.org/@isaacs/cliui/-/cliui-8.0.2.tgz",
+ "integrity": "sha512-O8jcjabXaleOG9DQ0+ARXWZBTfnP4WNAqzuiJK7ll44AmxGKv/J2M4TPjxjY3znBCfvBXFzucm1twdyFybFqEA==",
+ "dependencies": {
+ "string-width": "^5.1.2",
+ "string-width-cjs": "npm:string-width@^4.2.0",
+ "strip-ansi": "^7.0.1",
+ "strip-ansi-cjs": "npm:strip-ansi@^6.0.1",
+ "wrap-ansi": "^8.1.0",
+ "wrap-ansi-cjs": "npm:wrap-ansi@^7.0.0"
+ },
+ "engines": {
+ "node": ">=12"
+ }
+ },
+ "node_modules/@isaacs/cliui/node_modules/ansi-regex": {
+ "version": "6.0.1",
+ "resolved": "https://registry.npmjs.org/ansi-regex/-/ansi-regex-6.0.1.tgz",
+ "integrity": "sha512-n5M855fKb2SsfMIiFFoVrABHJC8QtHwVx+mHWP3QcEqBHYienj5dHSgjbxtC0WEZXYt4wcD6zrQElDPhFuZgfA==",
+ "engines": {
+ "node": ">=12"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-regex?sponsor=1"
+ }
+ },
+ "node_modules/@isaacs/cliui/node_modules/ansi-styles": {
+ "version": "6.2.1",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-6.2.1.tgz",
+ "integrity": "sha512-bN798gFfQX+viw3R7yrGWRqnrN2oRkEkUjjl4JNn4E8GxxbjtG3FbrEIIY3l8/hrwUwIeCZvi4QuOTP4MErVug==",
+ "engines": {
+ "node": ">=12"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/@isaacs/cliui/node_modules/strip-ansi": {
+ "version": "7.1.0",
+ "resolved": "https://registry.npmjs.org/strip-ansi/-/strip-ansi-7.1.0.tgz",
+ "integrity": "sha512-iq6eVVI64nQQTRYq2KtEg2d2uU7LElhTJwsH4YzIHZshxlgZms/wIc4VoDQTlG/IvVIrBKG06CrZnp0qv7hkcQ==",
+ "dependencies": {
+ "ansi-regex": "^6.0.1"
+ },
+ "engines": {
+ "node": ">=12"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/strip-ansi?sponsor=1"
+ }
+ },
+ "node_modules/@isaacs/cliui/node_modules/wrap-ansi": {
+ "version": "8.1.0",
+ "resolved": "https://registry.npmjs.org/wrap-ansi/-/wrap-ansi-8.1.0.tgz",
+ "integrity": "sha512-si7QWI6zUMq56bESFvagtmzMdGOtoxfR+Sez11Mobfc7tm+VkUckk9bW2UeffTGVUbOksxmSw0AA2gs8g71NCQ==",
+ "dependencies": {
+ "ansi-styles": "^6.1.0",
+ "string-width": "^5.0.1",
+ "strip-ansi": "^7.0.1"
+ },
+ "engines": {
+ "node": ">=12"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/wrap-ansi?sponsor=1"
+ }
+ },
+ "node_modules/@istanbuljs/load-nyc-config": {
+ "version": "1.1.0",
+ "resolved": "https://registry.npmjs.org/@istanbuljs/load-nyc-config/-/load-nyc-config-1.1.0.tgz",
+ "integrity": "sha512-VjeHSlIzpv/NyD3N0YuHfXOPDIixcA1q2ZV98wsMqcYlPmv2n3Yb2lYP9XMElnaFVXg5A7YLTeLu6V84uQDjmQ==",
+ "dependencies": {
+ "camelcase": "^5.3.1",
+ "find-up": "^4.1.0",
+ "get-package-type": "^0.1.0",
+ "js-yaml": "^3.13.1",
+ "resolve-from": "^5.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/@istanbuljs/load-nyc-config/node_modules/argparse": {
+ "version": "1.0.10",
+ "resolved": "https://registry.npmjs.org/argparse/-/argparse-1.0.10.tgz",
+ "integrity": "sha512-o5Roy6tNG4SL/FOkCAN6RzjiakZS25RLYFrcMttJqbdd8BWrnA+fGz57iN5Pb06pvBGvl5gQ0B48dJlslXvoTg==",
+ "dependencies": {
+ "sprintf-js": "~1.0.2"
+ }
+ },
+ "node_modules/@istanbuljs/load-nyc-config/node_modules/camelcase": {
+ "version": "5.3.1",
+ "resolved": "https://registry.npmjs.org/camelcase/-/camelcase-5.3.1.tgz",
+ "integrity": "sha512-L28STB170nwWS63UjtlEOE3dldQApaJXZkOI1uMFfzf3rRuPegHaHesyee+YxQ+W6SvRDQV6UrdOdRiR153wJg==",
+ "engines": {
+ "node": ">=6"
+ }
+ },
+ "node_modules/@istanbuljs/load-nyc-config/node_modules/find-up": {
+ "version": "4.1.0",
+ "resolved": "https://registry.npmjs.org/find-up/-/find-up-4.1.0.tgz",
+ "integrity": "sha512-PpOwAdQ/YlXQ2vj8a3h8IipDuYRi3wceVQQGYWxNINccq40Anw7BlsEXCMbt1Zt+OLA6Fq9suIpIWD0OsnISlw==",
+ "dependencies": {
+ "locate-path": "^5.0.0",
+ "path-exists": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/@istanbuljs/load-nyc-config/node_modules/js-yaml": {
+ "version": "3.14.1",
+ "resolved": "https://registry.npmjs.org/js-yaml/-/js-yaml-3.14.1.tgz",
+ "integrity": "sha512-okMH7OXXJ7YrN9Ok3/SXrnu4iX9yOk+25nqX4imS2npuvTYDmo/QEZoqwZkYaIDk3jVvBOTOIEgEhaLOynBS9g==",
+ "dependencies": {
+ "argparse": "^1.0.7",
+ "esprima": "^4.0.0"
+ },
+ "bin": {
+ "js-yaml": "bin/js-yaml.js"
+ }
+ },
+ "node_modules/@istanbuljs/load-nyc-config/node_modules/locate-path": {
+ "version": "5.0.0",
+ "resolved": "https://registry.npmjs.org/locate-path/-/locate-path-5.0.0.tgz",
+ "integrity": "sha512-t7hw9pI+WvuwNJXwk5zVHpyhIqzg2qTlklJOf0mVxGSbe3Fp2VieZcduNYjaLDoy6p9uGpQEGWG87WpMKlNq8g==",
+ "dependencies": {
+ "p-locate": "^4.1.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/@istanbuljs/load-nyc-config/node_modules/p-limit": {
+ "version": "2.3.0",
+ "resolved": "https://registry.npmjs.org/p-limit/-/p-limit-2.3.0.tgz",
+ "integrity": "sha512-//88mFWSJx8lxCzwdAABTJL2MyWB12+eIY7MDL2SqLmAkeKU9qxRvWuSyTjm3FUmpBEMuFfckAIqEaVGUDxb6w==",
+ "dependencies": {
+ "p-try": "^2.0.0"
+ },
+ "engines": {
+ "node": ">=6"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/@istanbuljs/load-nyc-config/node_modules/p-locate": {
+ "version": "4.1.0",
+ "resolved": "https://registry.npmjs.org/p-locate/-/p-locate-4.1.0.tgz",
+ "integrity": "sha512-R79ZZ/0wAxKGu3oYMlz8jy/kbhsNrS7SKZ7PxEHBgJ5+F2mtFW2fK2cOtBh1cHYkQsbzFV7I+EoRKe6Yt0oK7A==",
+ "dependencies": {
+ "p-limit": "^2.2.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/@istanbuljs/load-nyc-config/node_modules/resolve-from": {
+ "version": "5.0.0",
+ "resolved": "https://registry.npmjs.org/resolve-from/-/resolve-from-5.0.0.tgz",
+ "integrity": "sha512-qYg9KP24dD5qka9J47d0aVky0N+b4fTU89LN9iDnjB5waksiC49rvMB0PrUJQGoTmH50XPiqOvAjDfaijGxYZw==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/@istanbuljs/schema": {
+ "version": "0.1.3",
+ "resolved": "https://registry.npmjs.org/@istanbuljs/schema/-/schema-0.1.3.tgz",
+ "integrity": "sha512-ZXRY4jNvVgSVQ8DL3LTcakaAtXwTVUxE81hslsyD2AtoXW/wVob10HkOJ1X/pAlcI7D+2YoZKg5do8G/w6RYgA==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/@jest/console": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/@jest/console/-/console-27.5.1.tgz",
+ "integrity": "sha512-kZ/tNpS3NXn0mlXXXPNuDZnb4c0oZ20r4K5eemM2k30ZC3G0T02nXUvyhf5YdbXWHPEJLc9qGLxEZ216MdL+Zg==",
+ "dependencies": {
+ "@jest/types": "^27.5.1",
+ "@types/node": "*",
+ "chalk": "^4.0.0",
+ "jest-message-util": "^27.5.1",
+ "jest-util": "^27.5.1",
+ "slash": "^3.0.0"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/@jest/console/node_modules/ansi-styles": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-4.3.0.tgz",
+ "integrity": "sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==",
+ "dependencies": {
+ "color-convert": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/@jest/console/node_modules/chalk": {
+ "version": "4.1.2",
+ "resolved": "https://registry.npmjs.org/chalk/-/chalk-4.1.2.tgz",
+ "integrity": "sha512-oKnbhFyRIXpUuez8iBMmyEa4nbj4IOQyuhc/wy9kY7/WVPcwIO9VA668Pu8RkO7+0G76SLROeyw9CpQ061i4mA==",
+ "dependencies": {
+ "ansi-styles": "^4.1.0",
+ "supports-color": "^7.1.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/chalk?sponsor=1"
+ }
+ },
+ "node_modules/@jest/console/node_modules/color-convert": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-2.0.1.tgz",
+ "integrity": "sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==",
+ "dependencies": {
+ "color-name": "~1.1.4"
+ },
+ "engines": {
+ "node": ">=7.0.0"
+ }
+ },
+ "node_modules/@jest/console/node_modules/color-name": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.4.tgz",
+ "integrity": "sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA=="
+ },
+ "node_modules/@jest/console/node_modules/has-flag": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz",
+ "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/@jest/console/node_modules/supports-color": {
+ "version": "7.2.0",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-7.2.0.tgz",
+ "integrity": "sha512-qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==",
+ "dependencies": {
+ "has-flag": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/@jest/core": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/@jest/core/-/core-27.5.1.tgz",
+ "integrity": "sha512-AK6/UTrvQD0Cd24NSqmIA6rKsu0tKIxfiCducZvqxYdmMisOYAsdItspT+fQDQYARPf8XgjAFZi0ogW2agH5nQ==",
+ "dependencies": {
+ "@jest/console": "^27.5.1",
+ "@jest/reporters": "^27.5.1",
+ "@jest/test-result": "^27.5.1",
+ "@jest/transform": "^27.5.1",
+ "@jest/types": "^27.5.1",
+ "@types/node": "*",
+ "ansi-escapes": "^4.2.1",
+ "chalk": "^4.0.0",
+ "emittery": "^0.8.1",
+ "exit": "^0.1.2",
+ "graceful-fs": "^4.2.9",
+ "jest-changed-files": "^27.5.1",
+ "jest-config": "^27.5.1",
+ "jest-haste-map": "^27.5.1",
+ "jest-message-util": "^27.5.1",
+ "jest-regex-util": "^27.5.1",
+ "jest-resolve": "^27.5.1",
+ "jest-resolve-dependencies": "^27.5.1",
+ "jest-runner": "^27.5.1",
+ "jest-runtime": "^27.5.1",
+ "jest-snapshot": "^27.5.1",
+ "jest-util": "^27.5.1",
+ "jest-validate": "^27.5.1",
+ "jest-watcher": "^27.5.1",
+ "micromatch": "^4.0.4",
+ "rimraf": "^3.0.0",
+ "slash": "^3.0.0",
+ "strip-ansi": "^6.0.0"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ },
+ "peerDependencies": {
+ "node-notifier": "^8.0.1 || ^9.0.0 || ^10.0.0"
+ },
+ "peerDependenciesMeta": {
+ "node-notifier": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/@jest/core/node_modules/ansi-styles": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-4.3.0.tgz",
+ "integrity": "sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==",
+ "dependencies": {
+ "color-convert": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/@jest/core/node_modules/chalk": {
+ "version": "4.1.2",
+ "resolved": "https://registry.npmjs.org/chalk/-/chalk-4.1.2.tgz",
+ "integrity": "sha512-oKnbhFyRIXpUuez8iBMmyEa4nbj4IOQyuhc/wy9kY7/WVPcwIO9VA668Pu8RkO7+0G76SLROeyw9CpQ061i4mA==",
+ "dependencies": {
+ "ansi-styles": "^4.1.0",
+ "supports-color": "^7.1.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/chalk?sponsor=1"
+ }
+ },
+ "node_modules/@jest/core/node_modules/color-convert": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-2.0.1.tgz",
+ "integrity": "sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==",
+ "dependencies": {
+ "color-name": "~1.1.4"
+ },
+ "engines": {
+ "node": ">=7.0.0"
+ }
+ },
+ "node_modules/@jest/core/node_modules/color-name": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.4.tgz",
+ "integrity": "sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA=="
+ },
+ "node_modules/@jest/core/node_modules/has-flag": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz",
+ "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/@jest/core/node_modules/supports-color": {
+ "version": "7.2.0",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-7.2.0.tgz",
+ "integrity": "sha512-qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==",
+ "dependencies": {
+ "has-flag": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/@jest/environment": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/@jest/environment/-/environment-27.5.1.tgz",
+ "integrity": "sha512-/WQjhPJe3/ghaol/4Bq480JKXV/Rfw8nQdN7f41fM8VDHLcxKXou6QyXAh3EFr9/bVG3x74z1NWDkP87EiY8gA==",
+ "dependencies": {
+ "@jest/fake-timers": "^27.5.1",
+ "@jest/types": "^27.5.1",
+ "@types/node": "*",
+ "jest-mock": "^27.5.1"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/@jest/fake-timers": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/@jest/fake-timers/-/fake-timers-27.5.1.tgz",
+ "integrity": "sha512-/aPowoolwa07k7/oM3aASneNeBGCmGQsc3ugN4u6s4C/+s5M64MFo/+djTdiwcbQlRfFElGuDXWzaWj6QgKObQ==",
+ "dependencies": {
+ "@jest/types": "^27.5.1",
+ "@sinonjs/fake-timers": "^8.0.1",
+ "@types/node": "*",
+ "jest-message-util": "^27.5.1",
+ "jest-mock": "^27.5.1",
+ "jest-util": "^27.5.1"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/@jest/globals": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/@jest/globals/-/globals-27.5.1.tgz",
+ "integrity": "sha512-ZEJNB41OBQQgGzgyInAv0UUfDDj3upmHydjieSxFvTRuZElrx7tXg/uVQ5hYVEwiXs3+aMsAeEc9X7xiSKCm4Q==",
+ "dependencies": {
+ "@jest/environment": "^27.5.1",
+ "@jest/types": "^27.5.1",
+ "expect": "^27.5.1"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/@jest/reporters": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/@jest/reporters/-/reporters-27.5.1.tgz",
+ "integrity": "sha512-cPXh9hWIlVJMQkVk84aIvXuBB4uQQmFqZiacloFuGiP3ah1sbCxCosidXFDfqG8+6fO1oR2dTJTlsOy4VFmUfw==",
+ "dependencies": {
+ "@bcoe/v8-coverage": "^0.2.3",
+ "@jest/console": "^27.5.1",
+ "@jest/test-result": "^27.5.1",
+ "@jest/transform": "^27.5.1",
+ "@jest/types": "^27.5.1",
+ "@types/node": "*",
+ "chalk": "^4.0.0",
+ "collect-v8-coverage": "^1.0.0",
+ "exit": "^0.1.2",
+ "glob": "^7.1.2",
+ "graceful-fs": "^4.2.9",
+ "istanbul-lib-coverage": "^3.0.0",
+ "istanbul-lib-instrument": "^5.1.0",
+ "istanbul-lib-report": "^3.0.0",
+ "istanbul-lib-source-maps": "^4.0.0",
+ "istanbul-reports": "^3.1.3",
+ "jest-haste-map": "^27.5.1",
+ "jest-resolve": "^27.5.1",
+ "jest-util": "^27.5.1",
+ "jest-worker": "^27.5.1",
+ "slash": "^3.0.0",
+ "source-map": "^0.6.0",
+ "string-length": "^4.0.1",
+ "terminal-link": "^2.0.0",
+ "v8-to-istanbul": "^8.1.0"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ },
+ "peerDependencies": {
+ "node-notifier": "^8.0.1 || ^9.0.0 || ^10.0.0"
+ },
+ "peerDependenciesMeta": {
+ "node-notifier": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/@jest/reporters/node_modules/ansi-styles": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-4.3.0.tgz",
+ "integrity": "sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==",
+ "dependencies": {
+ "color-convert": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/@jest/reporters/node_modules/chalk": {
+ "version": "4.1.2",
+ "resolved": "https://registry.npmjs.org/chalk/-/chalk-4.1.2.tgz",
+ "integrity": "sha512-oKnbhFyRIXpUuez8iBMmyEa4nbj4IOQyuhc/wy9kY7/WVPcwIO9VA668Pu8RkO7+0G76SLROeyw9CpQ061i4mA==",
+ "dependencies": {
+ "ansi-styles": "^4.1.0",
+ "supports-color": "^7.1.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/chalk?sponsor=1"
+ }
+ },
+ "node_modules/@jest/reporters/node_modules/color-convert": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-2.0.1.tgz",
+ "integrity": "sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==",
+ "dependencies": {
+ "color-name": "~1.1.4"
+ },
+ "engines": {
+ "node": ">=7.0.0"
+ }
+ },
+ "node_modules/@jest/reporters/node_modules/color-name": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.4.tgz",
+ "integrity": "sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA=="
+ },
+ "node_modules/@jest/reporters/node_modules/has-flag": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz",
+ "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/@jest/reporters/node_modules/source-map": {
+ "version": "0.6.1",
+ "resolved": "https://registry.npmjs.org/source-map/-/source-map-0.6.1.tgz",
+ "integrity": "sha512-UjgapumWlbMhkBgzT7Ykc5YXUT46F0iKu8SGXq0bcwP5dz/h0Plj6enJqjz1Zbq2l5WaqYnrVbwWOWMyF3F47g==",
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/@jest/reporters/node_modules/supports-color": {
+ "version": "7.2.0",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-7.2.0.tgz",
+ "integrity": "sha512-qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==",
+ "dependencies": {
+ "has-flag": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/@jest/schemas": {
+ "version": "28.1.3",
+ "resolved": "https://registry.npmjs.org/@jest/schemas/-/schemas-28.1.3.tgz",
+ "integrity": "sha512-/l/VWsdt/aBXgjshLWOFyFt3IVdYypu5y2Wn2rOO1un6nkqIn8SLXzgIMYXFyYsRWDyF5EthmKJMIdJvk08grg==",
+ "dependencies": {
+ "@sinclair/typebox": "^0.24.1"
+ },
+ "engines": {
+ "node": "^12.13.0 || ^14.15.0 || ^16.10.0 || >=17.0.0"
+ }
+ },
+ "node_modules/@jest/source-map": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/@jest/source-map/-/source-map-27.5.1.tgz",
+ "integrity": "sha512-y9NIHUYF3PJRlHk98NdC/N1gl88BL08aQQgu4k4ZopQkCw9t9cV8mtl3TV8b/YCB8XaVTFrmUTAJvjsntDireg==",
+ "dependencies": {
+ "callsites": "^3.0.0",
+ "graceful-fs": "^4.2.9",
+ "source-map": "^0.6.0"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/@jest/source-map/node_modules/source-map": {
+ "version": "0.6.1",
+ "resolved": "https://registry.npmjs.org/source-map/-/source-map-0.6.1.tgz",
+ "integrity": "sha512-UjgapumWlbMhkBgzT7Ykc5YXUT46F0iKu8SGXq0bcwP5dz/h0Plj6enJqjz1Zbq2l5WaqYnrVbwWOWMyF3F47g==",
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/@jest/test-result": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/@jest/test-result/-/test-result-27.5.1.tgz",
+ "integrity": "sha512-EW35l2RYFUcUQxFJz5Cv5MTOxlJIQs4I7gxzi2zVU7PJhOwfYq1MdC5nhSmYjX1gmMmLPvB3sIaC+BkcHRBfag==",
+ "dependencies": {
+ "@jest/console": "^27.5.1",
+ "@jest/types": "^27.5.1",
+ "@types/istanbul-lib-coverage": "^2.0.0",
+ "collect-v8-coverage": "^1.0.0"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/@jest/test-sequencer": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/@jest/test-sequencer/-/test-sequencer-27.5.1.tgz",
+ "integrity": "sha512-LCheJF7WB2+9JuCS7VB/EmGIdQuhtqjRNI9A43idHv3E4KltCTsPsLxvdaubFHSYwY/fNjMWjl6vNRhDiN7vpQ==",
+ "dependencies": {
+ "@jest/test-result": "^27.5.1",
+ "graceful-fs": "^4.2.9",
+ "jest-haste-map": "^27.5.1",
+ "jest-runtime": "^27.5.1"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/@jest/transform": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/@jest/transform/-/transform-27.5.1.tgz",
+ "integrity": "sha512-ipON6WtYgl/1329g5AIJVbUuEh0wZVbdpGwC99Jw4LwuoBNS95MVphU6zOeD9pDkon+LLbFL7lOQRapbB8SCHw==",
+ "dependencies": {
+ "@babel/core": "^7.1.0",
+ "@jest/types": "^27.5.1",
+ "babel-plugin-istanbul": "^6.1.1",
+ "chalk": "^4.0.0",
+ "convert-source-map": "^1.4.0",
+ "fast-json-stable-stringify": "^2.0.0",
+ "graceful-fs": "^4.2.9",
+ "jest-haste-map": "^27.5.1",
+ "jest-regex-util": "^27.5.1",
+ "jest-util": "^27.5.1",
+ "micromatch": "^4.0.4",
+ "pirates": "^4.0.4",
+ "slash": "^3.0.0",
+ "source-map": "^0.6.1",
+ "write-file-atomic": "^3.0.0"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/@jest/transform/node_modules/ansi-styles": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-4.3.0.tgz",
+ "integrity": "sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==",
+ "dependencies": {
+ "color-convert": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/@jest/transform/node_modules/chalk": {
+ "version": "4.1.2",
+ "resolved": "https://registry.npmjs.org/chalk/-/chalk-4.1.2.tgz",
+ "integrity": "sha512-oKnbhFyRIXpUuez8iBMmyEa4nbj4IOQyuhc/wy9kY7/WVPcwIO9VA668Pu8RkO7+0G76SLROeyw9CpQ061i4mA==",
+ "dependencies": {
+ "ansi-styles": "^4.1.0",
+ "supports-color": "^7.1.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/chalk?sponsor=1"
+ }
+ },
+ "node_modules/@jest/transform/node_modules/color-convert": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-2.0.1.tgz",
+ "integrity": "sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==",
+ "dependencies": {
+ "color-name": "~1.1.4"
+ },
+ "engines": {
+ "node": ">=7.0.0"
+ }
+ },
+ "node_modules/@jest/transform/node_modules/color-name": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.4.tgz",
+ "integrity": "sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA=="
+ },
+ "node_modules/@jest/transform/node_modules/convert-source-map": {
+ "version": "1.9.0",
+ "resolved": "https://registry.npmjs.org/convert-source-map/-/convert-source-map-1.9.0.tgz",
+ "integrity": "sha512-ASFBup0Mz1uyiIjANan1jzLQami9z1PoYSZCiiYW2FczPbenXc45FZdBZLzOT+r6+iciuEModtmCti+hjaAk0A=="
+ },
+ "node_modules/@jest/transform/node_modules/has-flag": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz",
+ "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/@jest/transform/node_modules/source-map": {
+ "version": "0.6.1",
+ "resolved": "https://registry.npmjs.org/source-map/-/source-map-0.6.1.tgz",
+ "integrity": "sha512-UjgapumWlbMhkBgzT7Ykc5YXUT46F0iKu8SGXq0bcwP5dz/h0Plj6enJqjz1Zbq2l5WaqYnrVbwWOWMyF3F47g==",
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/@jest/transform/node_modules/supports-color": {
+ "version": "7.2.0",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-7.2.0.tgz",
+ "integrity": "sha512-qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==",
+ "dependencies": {
+ "has-flag": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/@jest/types": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/@jest/types/-/types-27.5.1.tgz",
+ "integrity": "sha512-Cx46iJ9QpwQTjIdq5VJu2QTMMs3QlEjI0x1QbBP5W1+nMzyc2XmimiRR/CbX9TO0cPTeUlxWMOu8mslYsJ8DEw==",
+ "dependencies": {
+ "@types/istanbul-lib-coverage": "^2.0.0",
+ "@types/istanbul-reports": "^3.0.0",
+ "@types/node": "*",
+ "@types/yargs": "^16.0.0",
+ "chalk": "^4.0.0"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/@jest/types/node_modules/ansi-styles": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-4.3.0.tgz",
+ "integrity": "sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==",
+ "dependencies": {
+ "color-convert": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/@jest/types/node_modules/chalk": {
+ "version": "4.1.2",
+ "resolved": "https://registry.npmjs.org/chalk/-/chalk-4.1.2.tgz",
+ "integrity": "sha512-oKnbhFyRIXpUuez8iBMmyEa4nbj4IOQyuhc/wy9kY7/WVPcwIO9VA668Pu8RkO7+0G76SLROeyw9CpQ061i4mA==",
+ "dependencies": {
+ "ansi-styles": "^4.1.0",
+ "supports-color": "^7.1.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/chalk?sponsor=1"
+ }
+ },
+ "node_modules/@jest/types/node_modules/color-convert": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-2.0.1.tgz",
+ "integrity": "sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==",
+ "dependencies": {
+ "color-name": "~1.1.4"
+ },
+ "engines": {
+ "node": ">=7.0.0"
+ }
+ },
+ "node_modules/@jest/types/node_modules/color-name": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.4.tgz",
+ "integrity": "sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA=="
+ },
+ "node_modules/@jest/types/node_modules/has-flag": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz",
+ "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/@jest/types/node_modules/supports-color": {
+ "version": "7.2.0",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-7.2.0.tgz",
+ "integrity": "sha512-qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==",
+ "dependencies": {
+ "has-flag": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/@jridgewell/gen-mapping": {
+ "version": "0.3.3",
+ "resolved": "https://registry.npmjs.org/@jridgewell/gen-mapping/-/gen-mapping-0.3.3.tgz",
+ "integrity": "sha512-HLhSWOLRi875zjjMG/r+Nv0oCW8umGb0BgEhyX3dDX3egwZtB8PqLnjz3yedt8R5StBrzcg4aBpnh8UA9D1BoQ==",
+ "dependencies": {
+ "@jridgewell/set-array": "^1.0.1",
+ "@jridgewell/sourcemap-codec": "^1.4.10",
+ "@jridgewell/trace-mapping": "^0.3.9"
+ },
+ "engines": {
+ "node": ">=6.0.0"
+ }
+ },
+ "node_modules/@jridgewell/resolve-uri": {
+ "version": "3.1.2",
+ "resolved": "https://registry.npmjs.org/@jridgewell/resolve-uri/-/resolve-uri-3.1.2.tgz",
+ "integrity": "sha512-bRISgCIjP20/tbWSPWMEi54QVPRZExkuD9lJL+UIxUKtwVJA8wW1Trb1jMs1RFXo1CBTNZ/5hpC9QvmKWdopKw==",
+ "engines": {
+ "node": ">=6.0.0"
+ }
+ },
+ "node_modules/@jridgewell/set-array": {
+ "version": "1.1.2",
+ "resolved": "https://registry.npmjs.org/@jridgewell/set-array/-/set-array-1.1.2.tgz",
+ "integrity": "sha512-xnkseuNADM0gt2bs+BvhO0p78Mk762YnZdsuzFV018NoG1Sj1SCQvpSqa7XUaTam5vAGasABV9qXASMKnFMwMw==",
+ "engines": {
+ "node": ">=6.0.0"
+ }
+ },
+ "node_modules/@jridgewell/source-map": {
+ "version": "0.3.5",
+ "resolved": "https://registry.npmjs.org/@jridgewell/source-map/-/source-map-0.3.5.tgz",
+ "integrity": "sha512-UTYAUj/wviwdsMfzoSJspJxbkH5o1snzwX0//0ENX1u/55kkZZkcTZP6u9bwKGkv+dkk9at4m1Cpt0uY80kcpQ==",
+ "dependencies": {
+ "@jridgewell/gen-mapping": "^0.3.0",
+ "@jridgewell/trace-mapping": "^0.3.9"
+ }
+ },
+ "node_modules/@jridgewell/sourcemap-codec": {
+ "version": "1.4.15",
+ "resolved": "https://registry.npmjs.org/@jridgewell/sourcemap-codec/-/sourcemap-codec-1.4.15.tgz",
+ "integrity": "sha512-eF2rxCRulEKXHTRiDrDy6erMYWqNw4LPdQ8UQA4huuxaQsVeRPFl2oM8oDGxMFhJUWZf9McpLtJasDDZb/Bpeg=="
+ },
+ "node_modules/@jridgewell/trace-mapping": {
+ "version": "0.3.22",
+ "resolved": "https://registry.npmjs.org/@jridgewell/trace-mapping/-/trace-mapping-0.3.22.tgz",
+ "integrity": "sha512-Wf963MzWtA2sjrNt+g18IAln9lKnlRp+K2eH4jjIoF1wYeq3aMREpG09xhlhdzS0EjwU7qmUJYangWa+151vZw==",
+ "dependencies": {
+ "@jridgewell/resolve-uri": "^3.1.0",
+ "@jridgewell/sourcemap-codec": "^1.4.14"
+ }
+ },
+ "node_modules/@leichtgewicht/ip-codec": {
+ "version": "2.0.4",
+ "resolved": "https://registry.npmjs.org/@leichtgewicht/ip-codec/-/ip-codec-2.0.4.tgz",
+ "integrity": "sha512-Hcv+nVC0kZnQ3tD9GVu5xSMR4VVYOteQIr/hwFPVEvPdlXqgGEuRjiheChHgdM+JyqdgNcmzZOX/tnl0JOiI7A=="
+ },
+ "node_modules/@nicolo-ribaudo/eslint-scope-5-internals": {
+ "version": "5.1.1-v1",
+ "resolved": "https://registry.npmjs.org/@nicolo-ribaudo/eslint-scope-5-internals/-/eslint-scope-5-internals-5.1.1-v1.tgz",
+ "integrity": "sha512-54/JRvkLIzzDWshCWfuhadfrfZVPiElY8Fcgmg1HroEly/EDSszzhBAsarCux+D/kOslTRquNzuyGSmUSTTHGg==",
+ "dependencies": {
+ "eslint-scope": "5.1.1"
+ }
+ },
+ "node_modules/@nicolo-ribaudo/eslint-scope-5-internals/node_modules/eslint-scope": {
+ "version": "5.1.1",
+ "resolved": "https://registry.npmjs.org/eslint-scope/-/eslint-scope-5.1.1.tgz",
+ "integrity": "sha512-2NxwbF/hZ0KpepYN0cNbo+FN6XoK7GaHlQhgx/hIZl6Va0bF45RQOOwhLIy8lQDbuCiadSLCBnH2CFYquit5bw==",
+ "dependencies": {
+ "esrecurse": "^4.3.0",
+ "estraverse": "^4.1.1"
+ },
+ "engines": {
+ "node": ">=8.0.0"
+ }
+ },
+ "node_modules/@nicolo-ribaudo/eslint-scope-5-internals/node_modules/estraverse": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/estraverse/-/estraverse-4.3.0.tgz",
+ "integrity": "sha512-39nnKffWz8xN1BU/2c79n9nB9HDzo0niYUqx6xyqUnyoAnQyyWpOTdZEeiCch8BBu515t4wp9ZmgVfVhn9EBpw==",
+ "engines": {
+ "node": ">=4.0"
+ }
+ },
+ "node_modules/@nodelib/fs.scandir": {
+ "version": "2.1.5",
+ "resolved": "https://registry.npmjs.org/@nodelib/fs.scandir/-/fs.scandir-2.1.5.tgz",
+ "integrity": "sha512-vq24Bq3ym5HEQm2NKCr3yXDwjc7vTsEThRDnkp2DK9p1uqLR+DHurm/NOTo0KG7HYHU7eppKZj3MyqYuMBf62g==",
+ "dependencies": {
+ "@nodelib/fs.stat": "2.0.5",
+ "run-parallel": "^1.1.9"
+ },
+ "engines": {
+ "node": ">= 8"
+ }
+ },
+ "node_modules/@nodelib/fs.stat": {
+ "version": "2.0.5",
+ "resolved": "https://registry.npmjs.org/@nodelib/fs.stat/-/fs.stat-2.0.5.tgz",
+ "integrity": "sha512-RkhPPp2zrqDAQA/2jNhnztcPAlv64XdhIp7a7454A5ovI7Bukxgt7MX7udwAu3zg1DcpPU0rz3VV1SeaqvY4+A==",
+ "engines": {
+ "node": ">= 8"
+ }
+ },
+ "node_modules/@nodelib/fs.walk": {
+ "version": "1.2.8",
+ "resolved": "https://registry.npmjs.org/@nodelib/fs.walk/-/fs.walk-1.2.8.tgz",
+ "integrity": "sha512-oGB+UxlgWcgQkgwo8GcEGwemoTFt3FIO9ababBmaGwXIoBKZ+GTy0pP185beGg7Llih/NSHSV2XAs1lnznocSg==",
+ "dependencies": {
+ "@nodelib/fs.scandir": "2.1.5",
+ "fastq": "^1.6.0"
+ },
+ "engines": {
+ "node": ">= 8"
+ }
+ },
+ "node_modules/@pkgjs/parseargs": {
+ "version": "0.11.0",
+ "resolved": "https://registry.npmjs.org/@pkgjs/parseargs/-/parseargs-0.11.0.tgz",
+ "integrity": "sha512-+1VkjdD0QBLPodGrJUeqarH8VAIvQODIbwh9XpP5Syisf7YoQgsJKPNFoqqLQlu+VQ/tVSshMR6loPMn8U+dPg==",
+ "optional": true,
+ "engines": {
+ "node": ">=14"
+ }
+ },
+ "node_modules/@pmmmwh/react-refresh-webpack-plugin": {
+ "version": "0.5.11",
+ "resolved": "https://registry.npmjs.org/@pmmmwh/react-refresh-webpack-plugin/-/react-refresh-webpack-plugin-0.5.11.tgz",
+ "integrity": "sha512-7j/6vdTym0+qZ6u4XbSAxrWBGYSdCfTzySkj7WAFgDLmSyWlOrWvpyzxlFh5jtw9dn0oL/jtW+06XfFiisN3JQ==",
+ "dependencies": {
+ "ansi-html-community": "^0.0.8",
+ "common-path-prefix": "^3.0.0",
+ "core-js-pure": "^3.23.3",
+ "error-stack-parser": "^2.0.6",
+ "find-up": "^5.0.0",
+ "html-entities": "^2.1.0",
+ "loader-utils": "^2.0.4",
+ "schema-utils": "^3.0.0",
+ "source-map": "^0.7.3"
+ },
+ "engines": {
+ "node": ">= 10.13"
+ },
+ "peerDependencies": {
+ "@types/webpack": "4.x || 5.x",
+ "react-refresh": ">=0.10.0 <1.0.0",
+ "sockjs-client": "^1.4.0",
+ "type-fest": ">=0.17.0 <5.0.0",
+ "webpack": ">=4.43.0 <6.0.0",
+ "webpack-dev-server": "3.x || 4.x",
+ "webpack-hot-middleware": "2.x",
+ "webpack-plugin-serve": "0.x || 1.x"
+ },
+ "peerDependenciesMeta": {
+ "@types/webpack": {
+ "optional": true
+ },
+ "sockjs-client": {
+ "optional": true
+ },
+ "type-fest": {
+ "optional": true
+ },
+ "webpack-dev-server": {
+ "optional": true
+ },
+ "webpack-hot-middleware": {
+ "optional": true
+ },
+ "webpack-plugin-serve": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/@pmmmwh/react-refresh-webpack-plugin/node_modules/html-entities": {
+ "version": "2.4.0",
+ "resolved": "https://registry.npmjs.org/html-entities/-/html-entities-2.4.0.tgz",
+ "integrity": "sha512-igBTJcNNNhvZFRtm8uA6xMY6xYleeDwn3PeBCkDz7tHttv4F2hsDI2aPgNERWzvRcNYHNT3ymRaQzllmXj4YsQ==",
+ "funding": [
+ {
+ "type": "github",
+ "url": "https://github.com/sponsors/mdevils"
+ },
+ {
+ "type": "patreon",
+ "url": "https://patreon.com/mdevils"
+ }
+ ]
+ },
+ "node_modules/@reduxjs/toolkit": {
+ "version": "1.9.7",
+ "resolved": "https://registry.npmjs.org/@reduxjs/toolkit/-/toolkit-1.9.7.tgz",
+ "integrity": "sha512-t7v8ZPxhhKgOKtU+uyJT13lu4vL7az5aFi4IdoDs/eS548edn2M8Ik9h8fxgvMjGoAUVFSt6ZC1P5cWmQ014QQ==",
+ "dependencies": {
+ "immer": "^9.0.21",
+ "redux": "^4.2.1",
+ "redux-thunk": "^2.4.2",
+ "reselect": "^4.1.8"
+ },
+ "peerDependencies": {
+ "react": "^16.9.0 || ^17.0.0 || ^18",
+ "react-redux": "^7.2.1 || ^8.0.2"
+ },
+ "peerDependenciesMeta": {
+ "react": {
+ "optional": true
+ },
+ "react-redux": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/@rollup/plugin-babel": {
+ "version": "5.3.1",
+ "resolved": "https://registry.npmjs.org/@rollup/plugin-babel/-/plugin-babel-5.3.1.tgz",
+ "integrity": "sha512-WFfdLWU/xVWKeRQnKmIAQULUI7Il0gZnBIH/ZFO069wYIfPu+8zrfp/KMW0atmELoRDq8FbiP3VCss9MhCut7Q==",
+ "dependencies": {
+ "@babel/helper-module-imports": "^7.10.4",
+ "@rollup/pluginutils": "^3.1.0"
+ },
+ "engines": {
+ "node": ">= 10.0.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0",
+ "@types/babel__core": "^7.1.9",
+ "rollup": "^1.20.0||^2.0.0"
+ },
+ "peerDependenciesMeta": {
+ "@types/babel__core": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/@rollup/plugin-node-resolve": {
+ "version": "11.2.1",
+ "resolved": "https://registry.npmjs.org/@rollup/plugin-node-resolve/-/plugin-node-resolve-11.2.1.tgz",
+ "integrity": "sha512-yc2n43jcqVyGE2sqV5/YCmocy9ArjVAP/BeXyTtADTBBX6V0e5UMqwO8CdQ0kzjb6zu5P1qMzsScCMRvE9OlVg==",
+ "dependencies": {
+ "@rollup/pluginutils": "^3.1.0",
+ "@types/resolve": "1.17.1",
+ "builtin-modules": "^3.1.0",
+ "deepmerge": "^4.2.2",
+ "is-module": "^1.0.0",
+ "resolve": "^1.19.0"
+ },
+ "engines": {
+ "node": ">= 10.0.0"
+ },
+ "peerDependencies": {
+ "rollup": "^1.20.0||^2.0.0"
+ }
+ },
+ "node_modules/@rollup/plugin-replace": {
+ "version": "2.4.2",
+ "resolved": "https://registry.npmjs.org/@rollup/plugin-replace/-/plugin-replace-2.4.2.tgz",
+ "integrity": "sha512-IGcu+cydlUMZ5En85jxHH4qj2hta/11BHq95iHEyb2sbgiN0eCdzvUcHw5gt9pBL5lTi4JDYJ1acCoMGpTvEZg==",
+ "dependencies": {
+ "@rollup/pluginutils": "^3.1.0",
+ "magic-string": "^0.25.7"
+ },
+ "peerDependencies": {
+ "rollup": "^1.20.0 || ^2.0.0"
+ }
+ },
+ "node_modules/@rollup/pluginutils": {
+ "version": "3.1.0",
+ "resolved": "https://registry.npmjs.org/@rollup/pluginutils/-/pluginutils-3.1.0.tgz",
+ "integrity": "sha512-GksZ6pr6TpIjHm8h9lSQ8pi8BE9VeubNT0OMJ3B5uZJ8pz73NPiqOtCog/x2/QzM1ENChPKxMDhiQuRHsqc+lg==",
+ "dependencies": {
+ "@types/estree": "0.0.39",
+ "estree-walker": "^1.0.1",
+ "picomatch": "^2.2.2"
+ },
+ "engines": {
+ "node": ">= 8.0.0"
+ },
+ "peerDependencies": {
+ "rollup": "^1.20.0||^2.0.0"
+ }
+ },
+ "node_modules/@rollup/pluginutils/node_modules/@types/estree": {
+ "version": "0.0.39",
+ "resolved": "https://registry.npmjs.org/@types/estree/-/estree-0.0.39.tgz",
+ "integrity": "sha512-EYNwp3bU+98cpU4lAWYYL7Zz+2gryWH1qbdDTidVd6hkiR6weksdbMadyXKXNPEkQFhXM+hVO9ZygomHXp+AIw=="
+ },
+ "node_modules/@rushstack/eslint-patch": {
+ "version": "1.7.2",
+ "resolved": "https://registry.npmjs.org/@rushstack/eslint-patch/-/eslint-patch-1.7.2.tgz",
+ "integrity": "sha512-RbhOOTCNoCrbfkRyoXODZp75MlpiHMgbE5MEBZAnnnLyQNgrigEj4p0lzsMDyc1zVsJDLrivB58tgg3emX0eEA=="
+ },
+ "node_modules/@sinclair/typebox": {
+ "version": "0.24.51",
+ "resolved": "https://registry.npmjs.org/@sinclair/typebox/-/typebox-0.24.51.tgz",
+ "integrity": "sha512-1P1OROm/rdubP5aFDSZQILU0vrLCJ4fvHt6EoqHEM+2D/G5MK3bIaymUKLit8Js9gbns5UyJnkP/TZROLw4tUA=="
+ },
+ "node_modules/@sinonjs/commons": {
+ "version": "1.8.6",
+ "resolved": "https://registry.npmjs.org/@sinonjs/commons/-/commons-1.8.6.tgz",
+ "integrity": "sha512-Ky+XkAkqPZSm3NLBeUng77EBQl3cmeJhITaGHdYH8kjVB+aun3S4XBRti2zt17mtt0mIUDiNxYeoJm6drVvBJQ==",
+ "dependencies": {
+ "type-detect": "4.0.8"
+ }
+ },
+ "node_modules/@sinonjs/fake-timers": {
+ "version": "8.1.0",
+ "resolved": "https://registry.npmjs.org/@sinonjs/fake-timers/-/fake-timers-8.1.0.tgz",
+ "integrity": "sha512-OAPJUAtgeINhh/TAlUID4QTs53Njm7xzddaVlEs/SXwgtiD1tW22zAB/W1wdqfrpmikgaWQ9Fw6Ws+hsiRm5Vg==",
+ "dependencies": {
+ "@sinonjs/commons": "^1.7.0"
+ }
+ },
+ "node_modules/@surma/rollup-plugin-off-main-thread": {
+ "version": "2.2.3",
+ "resolved": "https://registry.npmjs.org/@surma/rollup-plugin-off-main-thread/-/rollup-plugin-off-main-thread-2.2.3.tgz",
+ "integrity": "sha512-lR8q/9W7hZpMWweNiAKU7NQerBnzQQLvi8qnTDU/fxItPhtZVMbPV3lbCwjhIlNBe9Bbr5V+KHshvWmVSG9cxQ==",
+ "dependencies": {
+ "ejs": "^3.1.6",
+ "json5": "^2.2.0",
+ "magic-string": "^0.25.0",
+ "string.prototype.matchall": "^4.0.6"
+ }
+ },
+ "node_modules/@svgr/babel-plugin-add-jsx-attribute": {
+ "version": "5.4.0",
+ "resolved": "https://registry.npmjs.org/@svgr/babel-plugin-add-jsx-attribute/-/babel-plugin-add-jsx-attribute-5.4.0.tgz",
+ "integrity": "sha512-ZFf2gs/8/6B8PnSofI0inYXr2SDNTDScPXhN7k5EqD4aZ3gi6u+rbmZHVB8IM3wDyx8ntKACZbtXSm7oZGRqVg==",
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "type": "github",
+ "url": "https://github.com/sponsors/gregberge"
+ }
+ },
+ "node_modules/@svgr/babel-plugin-remove-jsx-attribute": {
+ "version": "5.4.0",
+ "resolved": "https://registry.npmjs.org/@svgr/babel-plugin-remove-jsx-attribute/-/babel-plugin-remove-jsx-attribute-5.4.0.tgz",
+ "integrity": "sha512-yaS4o2PgUtwLFGTKbsiAy6D0o3ugcUhWK0Z45umJ66EPWunAz9fuFw2gJuje6wqQvQWOTJvIahUwndOXb7QCPg==",
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "type": "github",
+ "url": "https://github.com/sponsors/gregberge"
+ }
+ },
+ "node_modules/@svgr/babel-plugin-remove-jsx-empty-expression": {
+ "version": "5.0.1",
+ "resolved": "https://registry.npmjs.org/@svgr/babel-plugin-remove-jsx-empty-expression/-/babel-plugin-remove-jsx-empty-expression-5.0.1.tgz",
+ "integrity": "sha512-LA72+88A11ND/yFIMzyuLRSMJ+tRKeYKeQ+mR3DcAZ5I4h5CPWN9AHyUzJbWSYp/u2u0xhmgOe0+E41+GjEueA==",
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "type": "github",
+ "url": "https://github.com/sponsors/gregberge"
+ }
+ },
+ "node_modules/@svgr/babel-plugin-replace-jsx-attribute-value": {
+ "version": "5.0.1",
+ "resolved": "https://registry.npmjs.org/@svgr/babel-plugin-replace-jsx-attribute-value/-/babel-plugin-replace-jsx-attribute-value-5.0.1.tgz",
+ "integrity": "sha512-PoiE6ZD2Eiy5mK+fjHqwGOS+IXX0wq/YDtNyIgOrc6ejFnxN4b13pRpiIPbtPwHEc+NT2KCjteAcq33/F1Y9KQ==",
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "type": "github",
+ "url": "https://github.com/sponsors/gregberge"
+ }
+ },
+ "node_modules/@svgr/babel-plugin-svg-dynamic-title": {
+ "version": "5.4.0",
+ "resolved": "https://registry.npmjs.org/@svgr/babel-plugin-svg-dynamic-title/-/babel-plugin-svg-dynamic-title-5.4.0.tgz",
+ "integrity": "sha512-zSOZH8PdZOpuG1ZVx/cLVePB2ibo3WPpqo7gFIjLV9a0QsuQAzJiwwqmuEdTaW2pegyBE17Uu15mOgOcgabQZg==",
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "type": "github",
+ "url": "https://github.com/sponsors/gregberge"
+ }
+ },
+ "node_modules/@svgr/babel-plugin-svg-em-dimensions": {
+ "version": "5.4.0",
+ "resolved": "https://registry.npmjs.org/@svgr/babel-plugin-svg-em-dimensions/-/babel-plugin-svg-em-dimensions-5.4.0.tgz",
+ "integrity": "sha512-cPzDbDA5oT/sPXDCUYoVXEmm3VIoAWAPT6mSPTJNbQaBNUuEKVKyGH93oDY4e42PYHRW67N5alJx/eEol20abw==",
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "type": "github",
+ "url": "https://github.com/sponsors/gregberge"
+ }
+ },
+ "node_modules/@svgr/babel-plugin-transform-react-native-svg": {
+ "version": "5.4.0",
+ "resolved": "https://registry.npmjs.org/@svgr/babel-plugin-transform-react-native-svg/-/babel-plugin-transform-react-native-svg-5.4.0.tgz",
+ "integrity": "sha512-3eYP/SaopZ41GHwXma7Rmxcv9uRslRDTY1estspeB1w1ueZWd/tPlMfEOoccYpEMZU3jD4OU7YitnXcF5hLW2Q==",
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "type": "github",
+ "url": "https://github.com/sponsors/gregberge"
+ }
+ },
+ "node_modules/@svgr/babel-plugin-transform-svg-component": {
+ "version": "5.5.0",
+ "resolved": "https://registry.npmjs.org/@svgr/babel-plugin-transform-svg-component/-/babel-plugin-transform-svg-component-5.5.0.tgz",
+ "integrity": "sha512-q4jSH1UUvbrsOtlo/tKcgSeiCHRSBdXoIoqX1pgcKK/aU3JD27wmMKwGtpB8qRYUYoyXvfGxUVKchLuR5pB3rQ==",
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "type": "github",
+ "url": "https://github.com/sponsors/gregberge"
+ }
+ },
+ "node_modules/@svgr/babel-preset": {
+ "version": "5.5.0",
+ "resolved": "https://registry.npmjs.org/@svgr/babel-preset/-/babel-preset-5.5.0.tgz",
+ "integrity": "sha512-4FiXBjvQ+z2j7yASeGPEi8VD/5rrGQk4Xrq3EdJmoZgz/tpqChpo5hgXDvmEauwtvOc52q8ghhZK4Oy7qph4ig==",
+ "dependencies": {
+ "@svgr/babel-plugin-add-jsx-attribute": "^5.4.0",
+ "@svgr/babel-plugin-remove-jsx-attribute": "^5.4.0",
+ "@svgr/babel-plugin-remove-jsx-empty-expression": "^5.0.1",
+ "@svgr/babel-plugin-replace-jsx-attribute-value": "^5.0.1",
+ "@svgr/babel-plugin-svg-dynamic-title": "^5.4.0",
+ "@svgr/babel-plugin-svg-em-dimensions": "^5.4.0",
+ "@svgr/babel-plugin-transform-react-native-svg": "^5.4.0",
+ "@svgr/babel-plugin-transform-svg-component": "^5.5.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "type": "github",
+ "url": "https://github.com/sponsors/gregberge"
+ }
+ },
+ "node_modules/@svgr/core": {
+ "version": "5.5.0",
+ "resolved": "https://registry.npmjs.org/@svgr/core/-/core-5.5.0.tgz",
+ "integrity": "sha512-q52VOcsJPvV3jO1wkPtzTuKlvX7Y3xIcWRpCMtBF3MrteZJtBfQw/+u0B1BHy5ColpQc1/YVTrPEtSYIMNZlrQ==",
+ "dependencies": {
+ "@svgr/plugin-jsx": "^5.5.0",
+ "camelcase": "^6.2.0",
+ "cosmiconfig": "^7.0.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "type": "github",
+ "url": "https://github.com/sponsors/gregberge"
+ }
+ },
+ "node_modules/@svgr/hast-util-to-babel-ast": {
+ "version": "5.5.0",
+ "resolved": "https://registry.npmjs.org/@svgr/hast-util-to-babel-ast/-/hast-util-to-babel-ast-5.5.0.tgz",
+ "integrity": "sha512-cAaR/CAiZRB8GP32N+1jocovUtvlj0+e65TB50/6Lcime+EA49m/8l+P2ko+XPJ4dw3xaPS3jOL4F2X4KWxoeQ==",
+ "dependencies": {
+ "@babel/types": "^7.12.6"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "type": "github",
+ "url": "https://github.com/sponsors/gregberge"
+ }
+ },
+ "node_modules/@svgr/plugin-jsx": {
+ "version": "5.5.0",
+ "resolved": "https://registry.npmjs.org/@svgr/plugin-jsx/-/plugin-jsx-5.5.0.tgz",
+ "integrity": "sha512-V/wVh33j12hGh05IDg8GpIUXbjAPnTdPTKuP4VNLggnwaHMPNQNae2pRnyTAILWCQdz5GyMqtO488g7CKM8CBA==",
+ "dependencies": {
+ "@babel/core": "^7.12.3",
+ "@svgr/babel-preset": "^5.5.0",
+ "@svgr/hast-util-to-babel-ast": "^5.5.0",
+ "svg-parser": "^2.0.2"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "type": "github",
+ "url": "https://github.com/sponsors/gregberge"
+ }
+ },
+ "node_modules/@svgr/plugin-svgo": {
+ "version": "5.5.0",
+ "resolved": "https://registry.npmjs.org/@svgr/plugin-svgo/-/plugin-svgo-5.5.0.tgz",
+ "integrity": "sha512-r5swKk46GuQl4RrVejVwpeeJaydoxkdwkM1mBKOgJLBUJPGaLci6ylg/IjhrRsREKDkr4kbMWdgOtbXEh0fyLQ==",
+ "dependencies": {
+ "cosmiconfig": "^7.0.0",
+ "deepmerge": "^4.2.2",
+ "svgo": "^1.2.2"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "type": "github",
+ "url": "https://github.com/sponsors/gregberge"
+ }
+ },
+ "node_modules/@svgr/webpack": {
+ "version": "5.5.0",
+ "resolved": "https://registry.npmjs.org/@svgr/webpack/-/webpack-5.5.0.tgz",
+ "integrity": "sha512-DOBOK255wfQxguUta2INKkzPj6AIS6iafZYiYmHn6W3pHlycSRRlvWKCfLDG10fXfLWqE3DJHgRUOyJYmARa7g==",
+ "dependencies": {
+ "@babel/core": "^7.12.3",
+ "@babel/plugin-transform-react-constant-elements": "^7.12.1",
+ "@babel/preset-env": "^7.12.1",
+ "@babel/preset-react": "^7.12.5",
+ "@svgr/core": "^5.5.0",
+ "@svgr/plugin-jsx": "^5.5.0",
+ "@svgr/plugin-svgo": "^5.5.0",
+ "loader-utils": "^2.0.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "type": "github",
+ "url": "https://github.com/sponsors/gregberge"
+ }
+ },
+ "node_modules/@testing-library/dom": {
+ "version": "9.3.4",
+ "resolved": "https://registry.npmjs.org/@testing-library/dom/-/dom-9.3.4.tgz",
+ "integrity": "sha512-FlS4ZWlp97iiNWig0Muq8p+3rVDjRiYE+YKGbAqXOu9nwJFFOdL00kFpz42M+4huzYi86vAK1sOOfyOG45muIQ==",
+ "dev": true,
+ "peer": true,
+ "dependencies": {
+ "@babel/code-frame": "^7.10.4",
+ "@babel/runtime": "^7.12.5",
+ "@types/aria-query": "^5.0.1",
+ "aria-query": "5.1.3",
+ "chalk": "^4.1.0",
+ "dom-accessibility-api": "^0.5.9",
+ "lz-string": "^1.5.0",
+ "pretty-format": "^27.0.2"
+ },
+ "engines": {
+ "node": ">=14"
+ }
+ },
+ "node_modules/@testing-library/dom/node_modules/ansi-styles": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-4.3.0.tgz",
+ "integrity": "sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==",
+ "dev": true,
+ "peer": true,
+ "dependencies": {
+ "color-convert": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/@testing-library/dom/node_modules/chalk": {
+ "version": "4.1.2",
+ "resolved": "https://registry.npmjs.org/chalk/-/chalk-4.1.2.tgz",
+ "integrity": "sha512-oKnbhFyRIXpUuez8iBMmyEa4nbj4IOQyuhc/wy9kY7/WVPcwIO9VA668Pu8RkO7+0G76SLROeyw9CpQ061i4mA==",
+ "dev": true,
+ "peer": true,
+ "dependencies": {
+ "ansi-styles": "^4.1.0",
+ "supports-color": "^7.1.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/chalk?sponsor=1"
+ }
+ },
+ "node_modules/@testing-library/dom/node_modules/color-convert": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-2.0.1.tgz",
+ "integrity": "sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==",
+ "dev": true,
+ "peer": true,
+ "dependencies": {
+ "color-name": "~1.1.4"
+ },
+ "engines": {
+ "node": ">=7.0.0"
+ }
+ },
+ "node_modules/@testing-library/dom/node_modules/color-name": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.4.tgz",
+ "integrity": "sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA==",
+ "dev": true,
+ "peer": true
+ },
+ "node_modules/@testing-library/dom/node_modules/has-flag": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz",
+ "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==",
+ "dev": true,
+ "peer": true,
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/@testing-library/dom/node_modules/supports-color": {
+ "version": "7.2.0",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-7.2.0.tgz",
+ "integrity": "sha512-qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==",
+ "dev": true,
+ "peer": true,
+ "dependencies": {
+ "has-flag": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/@testing-library/jest-dom": {
+ "version": "5.17.0",
+ "resolved": "https://registry.npmjs.org/@testing-library/jest-dom/-/jest-dom-5.17.0.tgz",
+ "integrity": "sha512-ynmNeT7asXyH3aSVv4vvX4Rb+0qjOhdNHnO/3vuZNqPmhDpV/+rCSGwQ7bLcmU2cJ4dvoheIO85LQj0IbJHEtg==",
+ "dev": true,
+ "dependencies": {
+ "@adobe/css-tools": "^4.0.1",
+ "@babel/runtime": "^7.9.2",
+ "@types/testing-library__jest-dom": "^5.9.1",
+ "aria-query": "^5.0.0",
+ "chalk": "^3.0.0",
+ "css.escape": "^1.5.1",
+ "dom-accessibility-api": "^0.5.6",
+ "lodash": "^4.17.15",
+ "redent": "^3.0.0"
+ },
+ "engines": {
+ "node": ">=8",
+ "npm": ">=6",
+ "yarn": ">=1"
+ }
+ },
+ "node_modules/@testing-library/jest-dom/node_modules/ansi-styles": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-4.3.0.tgz",
+ "integrity": "sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==",
+ "dev": true,
+ "dependencies": {
+ "color-convert": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/@testing-library/jest-dom/node_modules/chalk": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/chalk/-/chalk-3.0.0.tgz",
+ "integrity": "sha512-4D3B6Wf41KOYRFdszmDqMCGq5VV/uMAB273JILmO+3jAlh8X4qDtdtgCR3fxtbLEMzSx22QdhnDcJvu2u1fVwg==",
+ "dev": true,
+ "dependencies": {
+ "ansi-styles": "^4.1.0",
+ "supports-color": "^7.1.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/@testing-library/jest-dom/node_modules/color-convert": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-2.0.1.tgz",
+ "integrity": "sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==",
+ "dev": true,
+ "dependencies": {
+ "color-name": "~1.1.4"
+ },
+ "engines": {
+ "node": ">=7.0.0"
+ }
+ },
+ "node_modules/@testing-library/jest-dom/node_modules/color-name": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.4.tgz",
+ "integrity": "sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA==",
+ "dev": true
+ },
+ "node_modules/@testing-library/jest-dom/node_modules/has-flag": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz",
+ "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==",
+ "dev": true,
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/@testing-library/jest-dom/node_modules/supports-color": {
+ "version": "7.2.0",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-7.2.0.tgz",
+ "integrity": "sha512-qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==",
+ "dev": true,
+ "dependencies": {
+ "has-flag": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/@testing-library/react": {
+ "version": "11.2.7",
+ "resolved": "https://registry.npmjs.org/@testing-library/react/-/react-11.2.7.tgz",
+ "integrity": "sha512-tzRNp7pzd5QmbtXNG/mhdcl7Awfu/Iz1RaVHY75zTdOkmHCuzMhRL83gWHSgOAcjS3CCbyfwUHMZgRJb4kAfpA==",
+ "dev": true,
+ "dependencies": {
+ "@babel/runtime": "^7.12.5",
+ "@testing-library/dom": "^7.28.1"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "peerDependencies": {
+ "react": "*",
+ "react-dom": "*"
+ }
+ },
+ "node_modules/@testing-library/react/node_modules/@jest/types": {
+ "version": "26.6.2",
+ "resolved": "https://registry.npmjs.org/@jest/types/-/types-26.6.2.tgz",
+ "integrity": "sha512-fC6QCp7Sc5sX6g8Tvbmj4XUTbyrik0akgRy03yjXbQaBWWNWGE7SGtJk98m0N8nzegD/7SggrUlivxo5ax4KWQ==",
+ "dev": true,
+ "dependencies": {
+ "@types/istanbul-lib-coverage": "^2.0.0",
+ "@types/istanbul-reports": "^3.0.0",
+ "@types/node": "*",
+ "@types/yargs": "^15.0.0",
+ "chalk": "^4.0.0"
+ },
+ "engines": {
+ "node": ">= 10.14.2"
+ }
+ },
+ "node_modules/@testing-library/react/node_modules/@testing-library/dom": {
+ "version": "7.31.2",
+ "resolved": "https://registry.npmjs.org/@testing-library/dom/-/dom-7.31.2.tgz",
+ "integrity": "sha512-3UqjCpey6HiTZT92vODYLPxTBWlM8ZOOjr3LX5F37/VRipW2M1kX6I/Cm4VXzteZqfGfagg8yXywpcOgQBlNsQ==",
+ "dev": true,
+ "dependencies": {
+ "@babel/code-frame": "^7.10.4",
+ "@babel/runtime": "^7.12.5",
+ "@types/aria-query": "^4.2.0",
+ "aria-query": "^4.2.2",
+ "chalk": "^4.1.0",
+ "dom-accessibility-api": "^0.5.6",
+ "lz-string": "^1.4.4",
+ "pretty-format": "^26.6.2"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/@testing-library/react/node_modules/@types/aria-query": {
+ "version": "4.2.2",
+ "resolved": "https://registry.npmjs.org/@types/aria-query/-/aria-query-4.2.2.tgz",
+ "integrity": "sha512-HnYpAE1Y6kRyKM/XkEuiRQhTHvkzMBurTHnpFLYLBGPIylZNPs9jJcuOOYWxPLJCSEtmZT0Y8rHDokKN7rRTig==",
+ "dev": true
+ },
+ "node_modules/@testing-library/react/node_modules/@types/yargs": {
+ "version": "15.0.19",
+ "resolved": "https://registry.npmjs.org/@types/yargs/-/yargs-15.0.19.tgz",
+ "integrity": "sha512-2XUaGVmyQjgyAZldf0D0c14vvo/yv0MhQBSTJcejMMaitsn3nxCB6TmH4G0ZQf+uxROOa9mpanoSm8h6SG/1ZA==",
+ "dev": true,
+ "dependencies": {
+ "@types/yargs-parser": "*"
+ }
+ },
+ "node_modules/@testing-library/react/node_modules/ansi-styles": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-4.3.0.tgz",
+ "integrity": "sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==",
+ "dev": true,
+ "dependencies": {
+ "color-convert": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/@testing-library/react/node_modules/aria-query": {
+ "version": "4.2.2",
+ "resolved": "https://registry.npmjs.org/aria-query/-/aria-query-4.2.2.tgz",
+ "integrity": "sha512-o/HelwhuKpTj/frsOsbNLNgnNGVIFsVP/SW2BSF14gVl7kAfMOJ6/8wUAUvG1R1NHKrfG+2sHZTu0yauT1qBrA==",
+ "dev": true,
+ "dependencies": {
+ "@babel/runtime": "^7.10.2",
+ "@babel/runtime-corejs3": "^7.10.2"
+ },
+ "engines": {
+ "node": ">=6.0"
+ }
+ },
+ "node_modules/@testing-library/react/node_modules/chalk": {
+ "version": "4.1.2",
+ "resolved": "https://registry.npmjs.org/chalk/-/chalk-4.1.2.tgz",
+ "integrity": "sha512-oKnbhFyRIXpUuez8iBMmyEa4nbj4IOQyuhc/wy9kY7/WVPcwIO9VA668Pu8RkO7+0G76SLROeyw9CpQ061i4mA==",
+ "dev": true,
+ "dependencies": {
+ "ansi-styles": "^4.1.0",
+ "supports-color": "^7.1.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/chalk?sponsor=1"
+ }
+ },
+ "node_modules/@testing-library/react/node_modules/color-convert": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-2.0.1.tgz",
+ "integrity": "sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==",
+ "dev": true,
+ "dependencies": {
+ "color-name": "~1.1.4"
+ },
+ "engines": {
+ "node": ">=7.0.0"
+ }
+ },
+ "node_modules/@testing-library/react/node_modules/color-name": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.4.tgz",
+ "integrity": "sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA==",
+ "dev": true
+ },
+ "node_modules/@testing-library/react/node_modules/has-flag": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz",
+ "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==",
+ "dev": true,
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/@testing-library/react/node_modules/pretty-format": {
+ "version": "26.6.2",
+ "resolved": "https://registry.npmjs.org/pretty-format/-/pretty-format-26.6.2.tgz",
+ "integrity": "sha512-7AeGuCYNGmycyQbCqd/3PWH4eOoX/OiCa0uphp57NVTeAGdJGaAliecxwBDHYQCIvrW7aDBZCYeNTP/WX69mkg==",
+ "dev": true,
+ "dependencies": {
+ "@jest/types": "^26.6.2",
+ "ansi-regex": "^5.0.0",
+ "ansi-styles": "^4.0.0",
+ "react-is": "^17.0.1"
+ },
+ "engines": {
+ "node": ">= 10"
+ }
+ },
+ "node_modules/@testing-library/react/node_modules/react-is": {
+ "version": "17.0.2",
+ "resolved": "https://registry.npmjs.org/react-is/-/react-is-17.0.2.tgz",
+ "integrity": "sha512-w2GsyukL62IJnlaff/nRegPQR94C/XXamvMWmSHRJ4y7Ts/4ocGRmTHvOs8PSE6pB3dWOrD/nueuU5sduBsQ4w==",
+ "dev": true
+ },
+ "node_modules/@testing-library/react/node_modules/supports-color": {
+ "version": "7.2.0",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-7.2.0.tgz",
+ "integrity": "sha512-qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==",
+ "dev": true,
+ "dependencies": {
+ "has-flag": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/@testing-library/user-event": {
+ "version": "12.8.3",
+ "resolved": "https://registry.npmjs.org/@testing-library/user-event/-/user-event-12.8.3.tgz",
+ "integrity": "sha512-IR0iWbFkgd56Bu5ZI/ej8yQwrkCv8Qydx6RzwbKz9faXazR/+5tvYKsZQgyXJiwgpcva127YO6JcWy7YlCfofQ==",
+ "dev": true,
+ "dependencies": {
+ "@babel/runtime": "^7.12.5"
+ },
+ "engines": {
+ "node": ">=10",
+ "npm": ">=6"
+ },
+ "peerDependencies": {
+ "@testing-library/dom": ">=7.21.4"
+ }
+ },
+ "node_modules/@tootallnate/once": {
+ "version": "1.1.2",
+ "resolved": "https://registry.npmjs.org/@tootallnate/once/-/once-1.1.2.tgz",
+ "integrity": "sha512-RbzJvlNzmRq5c3O09UipeuXno4tA1FE6ikOjxZK0tuxVv3412l64l5t1W5pj4+rJq9vpkm/kwiR07aZXnsKPxw==",
+ "engines": {
+ "node": ">= 6"
+ }
+ },
+ "node_modules/@trysound/sax": {
+ "version": "0.2.0",
+ "resolved": "https://registry.npmjs.org/@trysound/sax/-/sax-0.2.0.tgz",
+ "integrity": "sha512-L7z9BgrNEcYyUYtF+HaEfiS5ebkh9jXqbszz7pC0hRBPaatV0XjSD3+eHrpqFemQfgwiFF0QPIarnIihIDn7OA==",
+ "engines": {
+ "node": ">=10.13.0"
+ }
+ },
+ "node_modules/@types/aria-query": {
+ "version": "5.0.4",
+ "resolved": "https://registry.npmjs.org/@types/aria-query/-/aria-query-5.0.4.tgz",
+ "integrity": "sha512-rfT93uj5s0PRL7EzccGMs3brplhcrghnDoV26NqKhCAS1hVo+WdNsPvE/yb6ilfr5hi2MEk6d5EWJTKdxg8jVw==",
+ "dev": true,
+ "peer": true
+ },
+ "node_modules/@types/babel__core": {
+ "version": "7.20.5",
+ "resolved": "https://registry.npmjs.org/@types/babel__core/-/babel__core-7.20.5.tgz",
+ "integrity": "sha512-qoQprZvz5wQFJwMDqeseRXWv3rqMvhgpbXFfVyWhbx9X47POIA6i/+dXefEmZKoAgOaTdaIgNSMqMIU61yRyzA==",
+ "dependencies": {
+ "@babel/parser": "^7.20.7",
+ "@babel/types": "^7.20.7",
+ "@types/babel__generator": "*",
+ "@types/babel__template": "*",
+ "@types/babel__traverse": "*"
+ }
+ },
+ "node_modules/@types/babel__generator": {
+ "version": "7.6.8",
+ "resolved": "https://registry.npmjs.org/@types/babel__generator/-/babel__generator-7.6.8.tgz",
+ "integrity": "sha512-ASsj+tpEDsEiFr1arWrlN6V3mdfjRMZt6LtK/Vp/kreFLnr5QH5+DhvD5nINYZXzwJvXeGq+05iUXcAzVrqWtw==",
+ "dependencies": {
+ "@babel/types": "^7.0.0"
+ }
+ },
+ "node_modules/@types/babel__template": {
+ "version": "7.4.4",
+ "resolved": "https://registry.npmjs.org/@types/babel__template/-/babel__template-7.4.4.tgz",
+ "integrity": "sha512-h/NUaSyG5EyxBIp8YRxo4RMe2/qQgvyowRwVMzhYhBCONbW8PUsg4lkFMrhgZhUe5z3L3MiLDuvyJ/CaPa2A8A==",
+ "dependencies": {
+ "@babel/parser": "^7.1.0",
+ "@babel/types": "^7.0.0"
+ }
+ },
+ "node_modules/@types/babel__traverse": {
+ "version": "7.20.5",
+ "resolved": "https://registry.npmjs.org/@types/babel__traverse/-/babel__traverse-7.20.5.tgz",
+ "integrity": "sha512-WXCyOcRtH37HAUkpXhUduaxdm82b4GSlyTqajXviN4EfiuPgNYR109xMCKvpl6zPIpua0DGlMEDCq+g8EdoheQ==",
+ "dependencies": {
+ "@babel/types": "^7.20.7"
+ }
+ },
+ "node_modules/@types/body-parser": {
+ "version": "1.19.5",
+ "resolved": "https://registry.npmjs.org/@types/body-parser/-/body-parser-1.19.5.tgz",
+ "integrity": "sha512-fB3Zu92ucau0iQ0JMCFQE7b/dv8Ot07NI3KaZIkIUNXq82k4eBAqUaneXfleGY9JWskeS9y+u0nXMyspcuQrCg==",
+ "dependencies": {
+ "@types/connect": "*",
+ "@types/node": "*"
+ }
+ },
+ "node_modules/@types/bonjour": {
+ "version": "3.5.13",
+ "resolved": "https://registry.npmjs.org/@types/bonjour/-/bonjour-3.5.13.tgz",
+ "integrity": "sha512-z9fJ5Im06zvUL548KvYNecEVlA7cVDkGUi6kZusb04mpyEFKCIZJvloCcmpmLaIahDpOQGHaHmG6imtPMmPXGQ==",
+ "dependencies": {
+ "@types/node": "*"
+ }
+ },
+ "node_modules/@types/connect": {
+ "version": "3.4.38",
+ "resolved": "https://registry.npmjs.org/@types/connect/-/connect-3.4.38.tgz",
+ "integrity": "sha512-K6uROf1LD88uDQqJCktA4yzL1YYAK6NgfsI0v/mTgyPKWsX1CnJ0XPSDhViejru1GcRkLWb8RlzFYJRqGUbaug==",
+ "dependencies": {
+ "@types/node": "*"
+ }
+ },
+ "node_modules/@types/connect-history-api-fallback": {
+ "version": "1.5.4",
+ "resolved": "https://registry.npmjs.org/@types/connect-history-api-fallback/-/connect-history-api-fallback-1.5.4.tgz",
+ "integrity": "sha512-n6Cr2xS1h4uAulPRdlw6Jl6s1oG8KrVilPN2yUITEs+K48EzMJJ3W1xy8K5eWuFvjp3R74AOIGSmp2UfBJ8HFw==",
+ "dependencies": {
+ "@types/express-serve-static-core": "*",
+ "@types/node": "*"
+ }
+ },
+ "node_modules/@types/eslint": {
+ "version": "8.56.2",
+ "resolved": "https://registry.npmjs.org/@types/eslint/-/eslint-8.56.2.tgz",
+ "integrity": "sha512-uQDwm1wFHmbBbCZCqAlq6Do9LYwByNZHWzXppSnay9SuwJ+VRbjkbLABer54kcPnMSlG6Fdiy2yaFXm/z9Z5gw==",
+ "dependencies": {
+ "@types/estree": "*",
+ "@types/json-schema": "*"
+ }
+ },
+ "node_modules/@types/eslint-scope": {
+ "version": "3.7.7",
+ "resolved": "https://registry.npmjs.org/@types/eslint-scope/-/eslint-scope-3.7.7.tgz",
+ "integrity": "sha512-MzMFlSLBqNF2gcHWO0G1vP/YQyfvrxZ0bF+u7mzUdZ1/xK4A4sru+nraZz5i3iEIk1l1uyicaDVTB4QbbEkAYg==",
+ "dependencies": {
+ "@types/eslint": "*",
+ "@types/estree": "*"
+ }
+ },
+ "node_modules/@types/estree": {
+ "version": "1.0.5",
+ "resolved": "https://registry.npmjs.org/@types/estree/-/estree-1.0.5.tgz",
+ "integrity": "sha512-/kYRxGDLWzHOB7q+wtSUQlFrtcdUccpfy+X+9iMBpHK8QLLhx2wIPYuS5DYtR9Wa/YlZAbIovy7qVdB1Aq6Lyw=="
+ },
+ "node_modules/@types/express": {
+ "version": "4.17.21",
+ "resolved": "https://registry.npmjs.org/@types/express/-/express-4.17.21.tgz",
+ "integrity": "sha512-ejlPM315qwLpaQlQDTjPdsUFSc6ZsP4AN6AlWnogPjQ7CVi7PYF3YVz+CY3jE2pwYf7E/7HlDAN0rV2GxTG0HQ==",
+ "dependencies": {
+ "@types/body-parser": "*",
+ "@types/express-serve-static-core": "^4.17.33",
+ "@types/qs": "*",
+ "@types/serve-static": "*"
+ }
+ },
+ "node_modules/@types/express-serve-static-core": {
+ "version": "4.17.43",
+ "resolved": "https://registry.npmjs.org/@types/express-serve-static-core/-/express-serve-static-core-4.17.43.tgz",
+ "integrity": "sha512-oaYtiBirUOPQGSWNGPWnzyAFJ0BP3cwvN4oWZQY+zUBwpVIGsKUkpBpSztp74drYcjavs7SKFZ4DX1V2QeN8rg==",
+ "dependencies": {
+ "@types/node": "*",
+ "@types/qs": "*",
+ "@types/range-parser": "*",
+ "@types/send": "*"
+ }
+ },
+ "node_modules/@types/graceful-fs": {
+ "version": "4.1.9",
+ "resolved": "https://registry.npmjs.org/@types/graceful-fs/-/graceful-fs-4.1.9.tgz",
+ "integrity": "sha512-olP3sd1qOEe5dXTSaFvQG+02VdRXcdytWLAZsAq1PecU8uqQAhkrnbli7DagjtXKW/Bl7YJbUsa8MPcuc8LHEQ==",
+ "dependencies": {
+ "@types/node": "*"
+ }
+ },
+ "node_modules/@types/history": {
+ "version": "4.7.11",
+ "resolved": "https://registry.npmjs.org/@types/history/-/history-4.7.11.tgz",
+ "integrity": "sha512-qjDJRrmvBMiTx+jyLxvLfJU7UznFuokDv4f3WRuriHKERccVpFU+8XMQUAbDzoiJCsmexxRExQeMwwCdamSKDA==",
+ "dev": true
+ },
+ "node_modules/@types/hoist-non-react-statics": {
+ "version": "3.3.5",
+ "resolved": "https://registry.npmjs.org/@types/hoist-non-react-statics/-/hoist-non-react-statics-3.3.5.tgz",
+ "integrity": "sha512-SbcrWzkKBw2cdwRTwQAswfpB9g9LJWfjtUeW/jvNwbhC8cpmmNYVePa+ncbUe0rGTQ7G3Ff6mYUN2VMfLVr+Sg==",
+ "dependencies": {
+ "@types/react": "*",
+ "hoist-non-react-statics": "^3.3.0"
+ }
+ },
+ "node_modules/@types/html-minifier-terser": {
+ "version": "6.1.0",
+ "resolved": "https://registry.npmjs.org/@types/html-minifier-terser/-/html-minifier-terser-6.1.0.tgz",
+ "integrity": "sha512-oh/6byDPnL1zeNXFrDXFLyZjkr1MsBG667IM792caf1L2UPOOMf65NFzjUH/ltyfwjAGfs1rsX1eftK0jC/KIg=="
+ },
+ "node_modules/@types/http-errors": {
+ "version": "2.0.4",
+ "resolved": "https://registry.npmjs.org/@types/http-errors/-/http-errors-2.0.4.tgz",
+ "integrity": "sha512-D0CFMMtydbJAegzOyHjtiKPLlvnm3iTZyZRSZoLq2mRhDdmLfIWOCYPfQJ4cu2erKghU++QvjcUjp/5h7hESpA=="
+ },
+ "node_modules/@types/http-proxy": {
+ "version": "1.17.14",
+ "resolved": "https://registry.npmjs.org/@types/http-proxy/-/http-proxy-1.17.14.tgz",
+ "integrity": "sha512-SSrD0c1OQzlFX7pGu1eXxSEjemej64aaNPRhhVYUGqXh0BtldAAx37MG8btcumvpgKyZp1F5Gn3JkktdxiFv6w==",
+ "dependencies": {
+ "@types/node": "*"
+ }
+ },
+ "node_modules/@types/istanbul-lib-coverage": {
+ "version": "2.0.6",
+ "resolved": "https://registry.npmjs.org/@types/istanbul-lib-coverage/-/istanbul-lib-coverage-2.0.6.tgz",
+ "integrity": "sha512-2QF/t/auWm0lsy8XtKVPG19v3sSOQlJe/YHZgfjb/KBBHOGSV+J2q/S671rcq9uTBrLAXmZpqJiaQbMT+zNU1w=="
+ },
+ "node_modules/@types/istanbul-lib-report": {
+ "version": "3.0.3",
+ "resolved": "https://registry.npmjs.org/@types/istanbul-lib-report/-/istanbul-lib-report-3.0.3.tgz",
+ "integrity": "sha512-NQn7AHQnk/RSLOxrBbGyJM/aVQ+pjj5HCgasFxc0K/KhoATfQ/47AyUl15I2yBUpihjmas+a+VJBOqecrFH+uA==",
+ "dependencies": {
+ "@types/istanbul-lib-coverage": "*"
+ }
+ },
+ "node_modules/@types/istanbul-reports": {
+ "version": "3.0.4",
+ "resolved": "https://registry.npmjs.org/@types/istanbul-reports/-/istanbul-reports-3.0.4.tgz",
+ "integrity": "sha512-pk2B1NWalF9toCRu6gjBzR69syFjP4Od8WRAX+0mmf9lAjCRicLOWc+ZrxZHx/0XRjotgkF9t6iaMJ+aXcOdZQ==",
+ "dependencies": {
+ "@types/istanbul-lib-report": "*"
+ }
+ },
+ "node_modules/@types/jest": {
+ "version": "27.5.2",
+ "resolved": "https://registry.npmjs.org/@types/jest/-/jest-27.5.2.tgz",
+ "integrity": "sha512-mpT8LJJ4CMeeahobofYWIjFo0xonRS/HfxnVEPMPFSQdGUt1uHCnoPT7Zhb+sjDU2wz0oKV0OLUR0WzrHNgfeA==",
+ "dev": true,
+ "dependencies": {
+ "jest-matcher-utils": "^27.0.0",
+ "pretty-format": "^27.0.0"
+ }
+ },
+ "node_modules/@types/json-schema": {
+ "version": "7.0.15",
+ "resolved": "https://registry.npmjs.org/@types/json-schema/-/json-schema-7.0.15.tgz",
+ "integrity": "sha512-5+fP8P8MFNC+AyZCDxrB2pkZFPGzqQWUzpSeuuVLvm8VMcorNYavBqoFcxK8bQz4Qsbn4oUEEem4wDLfcysGHA=="
+ },
+ "node_modules/@types/json5": {
+ "version": "0.0.29",
+ "resolved": "https://registry.npmjs.org/@types/json5/-/json5-0.0.29.tgz",
+ "integrity": "sha512-dRLjCWHYg4oaA77cxO64oO+7JwCwnIzkZPdrrC71jQmQtlhM556pwKo5bUzqvZndkVbeFLIIi+9TC40JNF5hNQ=="
+ },
+ "node_modules/@types/mime": {
+ "version": "1.3.5",
+ "resolved": "https://registry.npmjs.org/@types/mime/-/mime-1.3.5.tgz",
+ "integrity": "sha512-/pyBZWSLD2n0dcHE3hq8s8ZvcETHtEuF+3E7XVt0Ig2nvsVQXdghHVcEkIWjy9A0wKfTn97a/PSDYohKIlnP/w=="
+ },
+ "node_modules/@types/node": {
+ "version": "16.18.82",
+ "resolved": "https://registry.npmjs.org/@types/node/-/node-16.18.82.tgz",
+ "integrity": "sha512-pcDZtkx9z8XYV+ius2P3Ot2VVrcYOfXffBQUBuiszrlUzKSmoDYqo+mV+IoL8iIiIjjtOMvNSmH1hwJ+Q+f96Q=="
+ },
+ "node_modules/@types/node-forge": {
+ "version": "1.3.11",
+ "resolved": "https://registry.npmjs.org/@types/node-forge/-/node-forge-1.3.11.tgz",
+ "integrity": "sha512-FQx220y22OKNTqaByeBGqHWYz4cl94tpcxeFdvBo3wjG6XPBuZ0BNgNZRV5J5TFmmcsJ4IzsLkmGRiQbnYsBEQ==",
+ "dependencies": {
+ "@types/node": "*"
+ }
+ },
+ "node_modules/@types/parse-json": {
+ "version": "4.0.2",
+ "resolved": "https://registry.npmjs.org/@types/parse-json/-/parse-json-4.0.2.tgz",
+ "integrity": "sha512-dISoDXWWQwUquiKsyZ4Ng+HX2KsPL7LyHKHQwgGFEA3IaKac4Obd+h2a/a6waisAoepJlBcx9paWqjA8/HVjCw=="
+ },
+ "node_modules/@types/prettier": {
+ "version": "2.7.3",
+ "resolved": "https://registry.npmjs.org/@types/prettier/-/prettier-2.7.3.tgz",
+ "integrity": "sha512-+68kP9yzs4LMp7VNh8gdzMSPZFL44MLGqiHWvttYJe+6qnuVr4Ek9wSBQoveqY/r+LwjCcU29kNVkidwim+kYA=="
+ },
+ "node_modules/@types/prop-types": {
+ "version": "15.7.11",
+ "resolved": "https://registry.npmjs.org/@types/prop-types/-/prop-types-15.7.11.tgz",
+ "integrity": "sha512-ga8y9v9uyeiLdpKddhxYQkxNDrfvuPrlFb0N1qnZZByvcElJaXthF1UhvCh9TLWJBEHeNtdnbysW7Y6Uq8CVng=="
+ },
+ "node_modules/@types/q": {
+ "version": "1.5.8",
+ "resolved": "https://registry.npmjs.org/@types/q/-/q-1.5.8.tgz",
+ "integrity": "sha512-hroOstUScF6zhIi+5+x0dzqrHA1EJi+Irri6b1fxolMTqqHIV/Cg77EtnQcZqZCu8hR3mX2BzIxN4/GzI68Kfw=="
+ },
+ "node_modules/@types/qs": {
+ "version": "6.9.11",
+ "resolved": "https://registry.npmjs.org/@types/qs/-/qs-6.9.11.tgz",
+ "integrity": "sha512-oGk0gmhnEJK4Yyk+oI7EfXsLayXatCWPHary1MtcmbAifkobT9cM9yutG/hZKIseOU0MqbIwQ/u2nn/Gb+ltuQ=="
+ },
+ "node_modules/@types/range-parser": {
+ "version": "1.2.7",
+ "resolved": "https://registry.npmjs.org/@types/range-parser/-/range-parser-1.2.7.tgz",
+ "integrity": "sha512-hKormJbkJqzQGhziax5PItDUTMAM9uE2XXQmM37dyd4hVM+5aVl7oVxMVUiVQn2oCQFN/LKCZdvSM0pFRqbSmQ=="
+ },
+ "node_modules/@types/react": {
+ "version": "17.0.75",
+ "resolved": "https://registry.npmjs.org/@types/react/-/react-17.0.75.tgz",
+ "integrity": "sha512-MSA+NzEzXnQKrqpO63CYqNstFjsESgvJAdAyyJ1n6ZQq/GLgf6nOfIKwk+Twuz0L1N6xPe+qz5xRCJrbhMaLsw==",
+ "dependencies": {
+ "@types/prop-types": "*",
+ "@types/scheduler": "*",
+ "csstype": "^3.0.2"
+ }
+ },
+ "node_modules/@types/react-collapse": {
+ "version": "5.0.4",
+ "resolved": "https://registry.npmjs.org/@types/react-collapse/-/react-collapse-5.0.4.tgz",
+ "integrity": "sha512-tM5cVB6skGLneNYnRK2E3R56VOHguSeJQHslGPTIMC58ytL3oelT8L/l1onkwHGn5vSEs2BEq2Olzrur+YdliA==",
+ "dependencies": {
+ "@types/react": "*"
+ }
+ },
+ "node_modules/@types/react-dom": {
+ "version": "17.0.25",
+ "resolved": "https://registry.npmjs.org/@types/react-dom/-/react-dom-17.0.25.tgz",
+ "integrity": "sha512-urx7A7UxkZQmThYA4So0NelOVjx3V4rNFVJwp0WZlbIK5eM4rNJDiN3R/E9ix0MBh6kAEojk/9YL+Te6D9zHNA==",
+ "dev": true,
+ "dependencies": {
+ "@types/react": "^17"
+ }
+ },
+ "node_modules/@types/react-modal": {
+ "version": "3.16.3",
+ "resolved": "https://registry.npmjs.org/@types/react-modal/-/react-modal-3.16.3.tgz",
+ "integrity": "sha512-xXuGavyEGaFQDgBv4UVm8/ZsG+qxeQ7f77yNrW3n+1J6XAstUy5rYHeIHPh1KzsGc6IkCIdu6lQ2xWzu1jBTLg==",
+ "dependencies": {
+ "@types/react": "*"
+ }
+ },
+ "node_modules/@types/react-redux": {
+ "version": "7.1.33",
+ "resolved": "https://registry.npmjs.org/@types/react-redux/-/react-redux-7.1.33.tgz",
+ "integrity": "sha512-NF8m5AjWCkert+fosDsN3hAlHzpjSiXlVy9EgQEmLoBhaNXbmyeGs/aj5dQzKuF+/q+S7JQagorGDW8pJ28Hmg==",
+ "dependencies": {
+ "@types/hoist-non-react-statics": "^3.3.0",
+ "@types/react": "*",
+ "hoist-non-react-statics": "^3.3.0",
+ "redux": "^4.0.0"
+ }
+ },
+ "node_modules/@types/react-router": {
+ "version": "5.1.20",
+ "resolved": "https://registry.npmjs.org/@types/react-router/-/react-router-5.1.20.tgz",
+ "integrity": "sha512-jGjmu/ZqS7FjSH6owMcD5qpq19+1RS9DeVRqfl1FeBMxTDQAGwlMWOcs52NDoXaNKyG3d1cYQFMs9rCrb88o9Q==",
+ "dev": true,
+ "dependencies": {
+ "@types/history": "^4.7.11",
+ "@types/react": "*"
+ }
+ },
+ "node_modules/@types/react-router-dom": {
+ "version": "5.3.3",
+ "resolved": "https://registry.npmjs.org/@types/react-router-dom/-/react-router-dom-5.3.3.tgz",
+ "integrity": "sha512-kpqnYK4wcdm5UaWI3fLcELopqLrHgLqNsdpHauzlQktfkHL3npOSwtj1Uz9oKBAzs7lFtVkV8j83voAz2D8fhw==",
+ "dev": true,
+ "dependencies": {
+ "@types/history": "^4.7.11",
+ "@types/react": "*",
+ "@types/react-router": "*"
+ }
+ },
+ "node_modules/@types/resolve": {
+ "version": "1.17.1",
+ "resolved": "https://registry.npmjs.org/@types/resolve/-/resolve-1.17.1.tgz",
+ "integrity": "sha512-yy7HuzQhj0dhGpD8RLXSZWEkLsV9ibvxvi6EiJ3bkqLAO1RGo0WbkWQiwpRlSFymTJRz0d3k5LM3kkx8ArDbLw==",
+ "dependencies": {
+ "@types/node": "*"
+ }
+ },
+ "node_modules/@types/retry": {
+ "version": "0.12.0",
+ "resolved": "https://registry.npmjs.org/@types/retry/-/retry-0.12.0.tgz",
+ "integrity": "sha512-wWKOClTTiizcZhXnPY4wikVAwmdYHp8q6DmC+EJUzAMsycb7HB32Kh9RN4+0gExjmPmZSAQjgURXIGATPegAvA=="
+ },
+ "node_modules/@types/scheduler": {
+ "version": "0.16.8",
+ "resolved": "https://registry.npmjs.org/@types/scheduler/-/scheduler-0.16.8.tgz",
+ "integrity": "sha512-WZLiwShhwLRmeV6zH+GkbOFT6Z6VklCItrDioxUnv+u4Ll+8vKeFySoFyK/0ctcRpOmwAicELfmys1sDc/Rw+A=="
+ },
+ "node_modules/@types/semver": {
+ "version": "7.5.7",
+ "resolved": "https://registry.npmjs.org/@types/semver/-/semver-7.5.7.tgz",
+ "integrity": "sha512-/wdoPq1QqkSj9/QOeKkFquEuPzQbHTWAMPH/PaUMB+JuR31lXhlWXRZ52IpfDYVlDOUBvX09uBrPwxGT1hjNBg=="
+ },
+ "node_modules/@types/send": {
+ "version": "0.17.4",
+ "resolved": "https://registry.npmjs.org/@types/send/-/send-0.17.4.tgz",
+ "integrity": "sha512-x2EM6TJOybec7c52BX0ZspPodMsQUd5L6PRwOunVyVUhXiBSKf3AezDL8Dgvgt5o0UfKNfuA0eMLr2wLT4AiBA==",
+ "dependencies": {
+ "@types/mime": "^1",
+ "@types/node": "*"
+ }
+ },
+ "node_modules/@types/serve-index": {
+ "version": "1.9.4",
+ "resolved": "https://registry.npmjs.org/@types/serve-index/-/serve-index-1.9.4.tgz",
+ "integrity": "sha512-qLpGZ/c2fhSs5gnYsQxtDEq3Oy8SXPClIXkW5ghvAvsNuVSA8k+gCONcUCS/UjLEYvYps+e8uBtfgXgvhwfNug==",
+ "dependencies": {
+ "@types/express": "*"
+ }
+ },
+ "node_modules/@types/serve-static": {
+ "version": "1.15.5",
+ "resolved": "https://registry.npmjs.org/@types/serve-static/-/serve-static-1.15.5.tgz",
+ "integrity": "sha512-PDRk21MnK70hja/YF8AHfC7yIsiQHn1rcXx7ijCFBX/k+XQJhQT/gw3xekXKJvx+5SXaMMS8oqQy09Mzvz2TuQ==",
+ "dependencies": {
+ "@types/http-errors": "*",
+ "@types/mime": "*",
+ "@types/node": "*"
+ }
+ },
+ "node_modules/@types/sockjs": {
+ "version": "0.3.36",
+ "resolved": "https://registry.npmjs.org/@types/sockjs/-/sockjs-0.3.36.tgz",
+ "integrity": "sha512-MK9V6NzAS1+Ud7JV9lJLFqW85VbC9dq3LmwZCuBe4wBDgKC0Kj/jd8Xl+nSviU+Qc3+m7umHHyHg//2KSa0a0Q==",
+ "dependencies": {
+ "@types/node": "*"
+ }
+ },
+ "node_modules/@types/stack-utils": {
+ "version": "2.0.3",
+ "resolved": "https://registry.npmjs.org/@types/stack-utils/-/stack-utils-2.0.3.tgz",
+ "integrity": "sha512-9aEbYZ3TbYMznPdcdr3SmIrLXwC/AKZXQeCf9Pgao5CKb8CyHuEX5jzWPTkvregvhRJHcpRO6BFoGW9ycaOkYw=="
+ },
+ "node_modules/@types/testing-library__jest-dom": {
+ "version": "5.14.9",
+ "resolved": "https://registry.npmjs.org/@types/testing-library__jest-dom/-/testing-library__jest-dom-5.14.9.tgz",
+ "integrity": "sha512-FSYhIjFlfOpGSRyVoMBMuS3ws5ehFQODymf3vlI7U1K8c7PHwWwFY7VREfmsuzHSOnoKs/9/Y983ayOs7eRzqw==",
+ "dev": true,
+ "dependencies": {
+ "@types/jest": "*"
+ }
+ },
+ "node_modules/@types/trusted-types": {
+ "version": "2.0.7",
+ "resolved": "https://registry.npmjs.org/@types/trusted-types/-/trusted-types-2.0.7.tgz",
+ "integrity": "sha512-ScaPdn1dQczgbl0QFTeTOmVHFULt394XJgOQNoyVhZ6r2vLnMLJfBPd53SB52T/3G36VI1/g2MZaX0cwDuXsfw=="
+ },
+ "node_modules/@types/ws": {
+ "version": "8.5.10",
+ "resolved": "https://registry.npmjs.org/@types/ws/-/ws-8.5.10.tgz",
+ "integrity": "sha512-vmQSUcfalpIq0R9q7uTo2lXs6eGIpt9wtnLdMv9LVpIjCA/+ufZRozlVoVelIYixx1ugCBKDhn89vnsEGOCx9A==",
+ "dependencies": {
+ "@types/node": "*"
+ }
+ },
+ "node_modules/@types/yargs": {
+ "version": "16.0.9",
+ "resolved": "https://registry.npmjs.org/@types/yargs/-/yargs-16.0.9.tgz",
+ "integrity": "sha512-tHhzvkFXZQeTECenFoRljLBYPZJ7jAVxqqtEI0qTLOmuultnFp4I9yKE17vTuhf7BkhCu7I4XuemPgikDVuYqA==",
+ "dependencies": {
+ "@types/yargs-parser": "*"
+ }
+ },
+ "node_modules/@types/yargs-parser": {
+ "version": "21.0.3",
+ "resolved": "https://registry.npmjs.org/@types/yargs-parser/-/yargs-parser-21.0.3.tgz",
+ "integrity": "sha512-I4q9QU9MQv4oEOz4tAHJtNz1cwuLxn2F3xcc2iV5WdqLPpUnj30aUuxt1mAxYTG+oe8CZMV/+6rU4S4gRDzqtQ=="
+ },
+ "node_modules/@typescript-eslint/eslint-plugin": {
+ "version": "5.62.0",
+ "resolved": "https://registry.npmjs.org/@typescript-eslint/eslint-plugin/-/eslint-plugin-5.62.0.tgz",
+ "integrity": "sha512-TiZzBSJja/LbhNPvk6yc0JrX9XqhQ0hdh6M2svYfsHGejaKFIAGd9MQ+ERIMzLGlN/kZoYIgdxFV0PuljTKXag==",
+ "dependencies": {
+ "@eslint-community/regexpp": "^4.4.0",
+ "@typescript-eslint/scope-manager": "5.62.0",
+ "@typescript-eslint/type-utils": "5.62.0",
+ "@typescript-eslint/utils": "5.62.0",
+ "debug": "^4.3.4",
+ "graphemer": "^1.4.0",
+ "ignore": "^5.2.0",
+ "natural-compare-lite": "^1.4.0",
+ "semver": "^7.3.7",
+ "tsutils": "^3.21.0"
+ },
+ "engines": {
+ "node": "^12.22.0 || ^14.17.0 || >=16.0.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/typescript-eslint"
+ },
+ "peerDependencies": {
+ "@typescript-eslint/parser": "^5.0.0",
+ "eslint": "^6.0.0 || ^7.0.0 || ^8.0.0"
+ },
+ "peerDependenciesMeta": {
+ "typescript": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/@typescript-eslint/eslint-plugin/node_modules/lru-cache": {
+ "version": "6.0.0",
+ "resolved": "https://registry.npmjs.org/lru-cache/-/lru-cache-6.0.0.tgz",
+ "integrity": "sha512-Jo6dJ04CmSjuznwJSS3pUeWmd/H0ffTlkXXgwZi+eq1UCmqQwCh+eLsYOYCwY991i2Fah4h1BEMCx4qThGbsiA==",
+ "dependencies": {
+ "yallist": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/@typescript-eslint/eslint-plugin/node_modules/semver": {
+ "version": "7.6.0",
+ "resolved": "https://registry.npmjs.org/semver/-/semver-7.6.0.tgz",
+ "integrity": "sha512-EnwXhrlwXMk9gKu5/flx5sv/an57AkRplG3hTK68W7FRDN+k+OWBj65M7719OkA82XLBxrcX0KSHj+X5COhOVg==",
+ "dependencies": {
+ "lru-cache": "^6.0.0"
+ },
+ "bin": {
+ "semver": "bin/semver.js"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/@typescript-eslint/eslint-plugin/node_modules/yallist": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/yallist/-/yallist-4.0.0.tgz",
+ "integrity": "sha512-3wdGidZyq5PB084XLES5TpOSRA3wjXAlIWMhum2kRcv/41Sn2emQ0dycQW4uZXLejwKvg6EsvbdlVL+FYEct7A=="
+ },
+ "node_modules/@typescript-eslint/experimental-utils": {
+ "version": "5.62.0",
+ "resolved": "https://registry.npmjs.org/@typescript-eslint/experimental-utils/-/experimental-utils-5.62.0.tgz",
+ "integrity": "sha512-RTXpeB3eMkpoclG3ZHft6vG/Z30azNHuqY6wKPBHlVMZFuEvrtlEDe8gMqDb+SO+9hjC/pLekeSCryf9vMZlCw==",
+ "dependencies": {
+ "@typescript-eslint/utils": "5.62.0"
+ },
+ "engines": {
+ "node": "^12.22.0 || ^14.17.0 || >=16.0.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/typescript-eslint"
+ },
+ "peerDependencies": {
+ "eslint": "^6.0.0 || ^7.0.0 || ^8.0.0"
+ }
+ },
+ "node_modules/@typescript-eslint/parser": {
+ "version": "5.62.0",
+ "resolved": "https://registry.npmjs.org/@typescript-eslint/parser/-/parser-5.62.0.tgz",
+ "integrity": "sha512-VlJEV0fOQ7BExOsHYAGrgbEiZoi8D+Bl2+f6V2RrXerRSylnp+ZBHmPvaIa8cz0Ajx7WO7Z5RqfgYg7ED1nRhA==",
+ "dependencies": {
+ "@typescript-eslint/scope-manager": "5.62.0",
+ "@typescript-eslint/types": "5.62.0",
+ "@typescript-eslint/typescript-estree": "5.62.0",
+ "debug": "^4.3.4"
+ },
+ "engines": {
+ "node": "^12.22.0 || ^14.17.0 || >=16.0.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/typescript-eslint"
+ },
+ "peerDependencies": {
+ "eslint": "^6.0.0 || ^7.0.0 || ^8.0.0"
+ },
+ "peerDependenciesMeta": {
+ "typescript": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/@typescript-eslint/scope-manager": {
+ "version": "5.62.0",
+ "resolved": "https://registry.npmjs.org/@typescript-eslint/scope-manager/-/scope-manager-5.62.0.tgz",
+ "integrity": "sha512-VXuvVvZeQCQb5Zgf4HAxc04q5j+WrNAtNh9OwCsCgpKqESMTu3tF/jhZ3xG6T4NZwWl65Bg8KuS2uEvhSfLl0w==",
+ "dependencies": {
+ "@typescript-eslint/types": "5.62.0",
+ "@typescript-eslint/visitor-keys": "5.62.0"
+ },
+ "engines": {
+ "node": "^12.22.0 || ^14.17.0 || >=16.0.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/typescript-eslint"
+ }
+ },
+ "node_modules/@typescript-eslint/type-utils": {
+ "version": "5.62.0",
+ "resolved": "https://registry.npmjs.org/@typescript-eslint/type-utils/-/type-utils-5.62.0.tgz",
+ "integrity": "sha512-xsSQreu+VnfbqQpW5vnCJdq1Z3Q0U31qiWmRhr98ONQmcp/yhiPJFPq8MXiJVLiksmOKSjIldZzkebzHuCGzew==",
+ "dependencies": {
+ "@typescript-eslint/typescript-estree": "5.62.0",
+ "@typescript-eslint/utils": "5.62.0",
+ "debug": "^4.3.4",
+ "tsutils": "^3.21.0"
+ },
+ "engines": {
+ "node": "^12.22.0 || ^14.17.0 || >=16.0.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/typescript-eslint"
+ },
+ "peerDependencies": {
+ "eslint": "*"
+ },
+ "peerDependenciesMeta": {
+ "typescript": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/@typescript-eslint/types": {
+ "version": "5.62.0",
+ "resolved": "https://registry.npmjs.org/@typescript-eslint/types/-/types-5.62.0.tgz",
+ "integrity": "sha512-87NVngcbVXUahrRTqIK27gD2t5Cu1yuCXxbLcFtCzZGlfyVWWh8mLHkoxzjsB6DDNnvdL+fW8MiwPEJyGJQDgQ==",
+ "engines": {
+ "node": "^12.22.0 || ^14.17.0 || >=16.0.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/typescript-eslint"
+ }
+ },
+ "node_modules/@typescript-eslint/typescript-estree": {
+ "version": "5.62.0",
+ "resolved": "https://registry.npmjs.org/@typescript-eslint/typescript-estree/-/typescript-estree-5.62.0.tgz",
+ "integrity": "sha512-CmcQ6uY7b9y694lKdRB8FEel7JbU/40iSAPomu++SjLMntB+2Leay2LO6i8VnJk58MtE9/nQSFIH6jpyRWyYzA==",
+ "dependencies": {
+ "@typescript-eslint/types": "5.62.0",
+ "@typescript-eslint/visitor-keys": "5.62.0",
+ "debug": "^4.3.4",
+ "globby": "^11.1.0",
+ "is-glob": "^4.0.3",
+ "semver": "^7.3.7",
+ "tsutils": "^3.21.0"
+ },
+ "engines": {
+ "node": "^12.22.0 || ^14.17.0 || >=16.0.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/typescript-eslint"
+ },
+ "peerDependenciesMeta": {
+ "typescript": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/@typescript-eslint/typescript-estree/node_modules/lru-cache": {
+ "version": "6.0.0",
+ "resolved": "https://registry.npmjs.org/lru-cache/-/lru-cache-6.0.0.tgz",
+ "integrity": "sha512-Jo6dJ04CmSjuznwJSS3pUeWmd/H0ffTlkXXgwZi+eq1UCmqQwCh+eLsYOYCwY991i2Fah4h1BEMCx4qThGbsiA==",
+ "dependencies": {
+ "yallist": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/@typescript-eslint/typescript-estree/node_modules/semver": {
+ "version": "7.6.0",
+ "resolved": "https://registry.npmjs.org/semver/-/semver-7.6.0.tgz",
+ "integrity": "sha512-EnwXhrlwXMk9gKu5/flx5sv/an57AkRplG3hTK68W7FRDN+k+OWBj65M7719OkA82XLBxrcX0KSHj+X5COhOVg==",
+ "dependencies": {
+ "lru-cache": "^6.0.0"
+ },
+ "bin": {
+ "semver": "bin/semver.js"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/@typescript-eslint/typescript-estree/node_modules/yallist": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/yallist/-/yallist-4.0.0.tgz",
+ "integrity": "sha512-3wdGidZyq5PB084XLES5TpOSRA3wjXAlIWMhum2kRcv/41Sn2emQ0dycQW4uZXLejwKvg6EsvbdlVL+FYEct7A=="
+ },
+ "node_modules/@typescript-eslint/utils": {
+ "version": "5.62.0",
+ "resolved": "https://registry.npmjs.org/@typescript-eslint/utils/-/utils-5.62.0.tgz",
+ "integrity": "sha512-n8oxjeb5aIbPFEtmQxQYOLI0i9n5ySBEY/ZEHHZqKQSFnxio1rv6dthascc9dLuwrL0RC5mPCxB7vnAVGAYWAQ==",
+ "dependencies": {
+ "@eslint-community/eslint-utils": "^4.2.0",
+ "@types/json-schema": "^7.0.9",
+ "@types/semver": "^7.3.12",
+ "@typescript-eslint/scope-manager": "5.62.0",
+ "@typescript-eslint/types": "5.62.0",
+ "@typescript-eslint/typescript-estree": "5.62.0",
+ "eslint-scope": "^5.1.1",
+ "semver": "^7.3.7"
+ },
+ "engines": {
+ "node": "^12.22.0 || ^14.17.0 || >=16.0.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/typescript-eslint"
+ },
+ "peerDependencies": {
+ "eslint": "^6.0.0 || ^7.0.0 || ^8.0.0"
+ }
+ },
+ "node_modules/@typescript-eslint/utils/node_modules/eslint-scope": {
+ "version": "5.1.1",
+ "resolved": "https://registry.npmjs.org/eslint-scope/-/eslint-scope-5.1.1.tgz",
+ "integrity": "sha512-2NxwbF/hZ0KpepYN0cNbo+FN6XoK7GaHlQhgx/hIZl6Va0bF45RQOOwhLIy8lQDbuCiadSLCBnH2CFYquit5bw==",
+ "dependencies": {
+ "esrecurse": "^4.3.0",
+ "estraverse": "^4.1.1"
+ },
+ "engines": {
+ "node": ">=8.0.0"
+ }
+ },
+ "node_modules/@typescript-eslint/utils/node_modules/estraverse": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/estraverse/-/estraverse-4.3.0.tgz",
+ "integrity": "sha512-39nnKffWz8xN1BU/2c79n9nB9HDzo0niYUqx6xyqUnyoAnQyyWpOTdZEeiCch8BBu515t4wp9ZmgVfVhn9EBpw==",
+ "engines": {
+ "node": ">=4.0"
+ }
+ },
+ "node_modules/@typescript-eslint/utils/node_modules/lru-cache": {
+ "version": "6.0.0",
+ "resolved": "https://registry.npmjs.org/lru-cache/-/lru-cache-6.0.0.tgz",
+ "integrity": "sha512-Jo6dJ04CmSjuznwJSS3pUeWmd/H0ffTlkXXgwZi+eq1UCmqQwCh+eLsYOYCwY991i2Fah4h1BEMCx4qThGbsiA==",
+ "dependencies": {
+ "yallist": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/@typescript-eslint/utils/node_modules/semver": {
+ "version": "7.6.0",
+ "resolved": "https://registry.npmjs.org/semver/-/semver-7.6.0.tgz",
+ "integrity": "sha512-EnwXhrlwXMk9gKu5/flx5sv/an57AkRplG3hTK68W7FRDN+k+OWBj65M7719OkA82XLBxrcX0KSHj+X5COhOVg==",
+ "dependencies": {
+ "lru-cache": "^6.0.0"
+ },
+ "bin": {
+ "semver": "bin/semver.js"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/@typescript-eslint/utils/node_modules/yallist": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/yallist/-/yallist-4.0.0.tgz",
+ "integrity": "sha512-3wdGidZyq5PB084XLES5TpOSRA3wjXAlIWMhum2kRcv/41Sn2emQ0dycQW4uZXLejwKvg6EsvbdlVL+FYEct7A=="
+ },
+ "node_modules/@typescript-eslint/visitor-keys": {
+ "version": "5.62.0",
+ "resolved": "https://registry.npmjs.org/@typescript-eslint/visitor-keys/-/visitor-keys-5.62.0.tgz",
+ "integrity": "sha512-07ny+LHRzQXepkGg6w0mFY41fVUNBrL2Roj/++7V1txKugfjm/Ci/qSND03r2RhlJhJYMcTn9AhhSSqQp0Ysyw==",
+ "dependencies": {
+ "@typescript-eslint/types": "5.62.0",
+ "eslint-visitor-keys": "^3.3.0"
+ },
+ "engines": {
+ "node": "^12.22.0 || ^14.17.0 || >=16.0.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/typescript-eslint"
+ }
+ },
+ "node_modules/@ungap/structured-clone": {
+ "version": "1.2.0",
+ "resolved": "https://registry.npmjs.org/@ungap/structured-clone/-/structured-clone-1.2.0.tgz",
+ "integrity": "sha512-zuVdFrMJiuCDQUMCzQaD6KL28MjnqqN8XnAqiEq9PNm/hCPTSGfrXCOfwj1ow4LFb/tNymJPwsNbVePc1xFqrQ=="
+ },
+ "node_modules/@webassemblyjs/ast": {
+ "version": "1.11.6",
+ "resolved": "https://registry.npmjs.org/@webassemblyjs/ast/-/ast-1.11.6.tgz",
+ "integrity": "sha512-IN1xI7PwOvLPgjcf180gC1bqn3q/QaOCwYUahIOhbYUu8KA/3tw2RT/T0Gidi1l7Hhj5D/INhJxiICObqpMu4Q==",
+ "dependencies": {
+ "@webassemblyjs/helper-numbers": "1.11.6",
+ "@webassemblyjs/helper-wasm-bytecode": "1.11.6"
+ }
+ },
+ "node_modules/@webassemblyjs/floating-point-hex-parser": {
+ "version": "1.11.6",
+ "resolved": "https://registry.npmjs.org/@webassemblyjs/floating-point-hex-parser/-/floating-point-hex-parser-1.11.6.tgz",
+ "integrity": "sha512-ejAj9hfRJ2XMsNHk/v6Fu2dGS+i4UaXBXGemOfQ/JfQ6mdQg/WXtwleQRLLS4OvfDhv8rYnVwH27YJLMyYsxhw=="
+ },
+ "node_modules/@webassemblyjs/helper-api-error": {
+ "version": "1.11.6",
+ "resolved": "https://registry.npmjs.org/@webassemblyjs/helper-api-error/-/helper-api-error-1.11.6.tgz",
+ "integrity": "sha512-o0YkoP4pVu4rN8aTJgAyj9hC2Sv5UlkzCHhxqWj8butaLvnpdc2jOwh4ewE6CX0txSfLn/UYaV/pheS2Txg//Q=="
+ },
+ "node_modules/@webassemblyjs/helper-buffer": {
+ "version": "1.11.6",
+ "resolved": "https://registry.npmjs.org/@webassemblyjs/helper-buffer/-/helper-buffer-1.11.6.tgz",
+ "integrity": "sha512-z3nFzdcp1mb8nEOFFk8DrYLpHvhKC3grJD2ardfKOzmbmJvEf/tPIqCY+sNcwZIY8ZD7IkB2l7/pqhUhqm7hLA=="
+ },
+ "node_modules/@webassemblyjs/helper-numbers": {
+ "version": "1.11.6",
+ "resolved": "https://registry.npmjs.org/@webassemblyjs/helper-numbers/-/helper-numbers-1.11.6.tgz",
+ "integrity": "sha512-vUIhZ8LZoIWHBohiEObxVm6hwP034jwmc9kuq5GdHZH0wiLVLIPcMCdpJzG4C11cHoQ25TFIQj9kaVADVX7N3g==",
+ "dependencies": {
+ "@webassemblyjs/floating-point-hex-parser": "1.11.6",
+ "@webassemblyjs/helper-api-error": "1.11.6",
+ "@xtuc/long": "4.2.2"
+ }
+ },
+ "node_modules/@webassemblyjs/helper-wasm-bytecode": {
+ "version": "1.11.6",
+ "resolved": "https://registry.npmjs.org/@webassemblyjs/helper-wasm-bytecode/-/helper-wasm-bytecode-1.11.6.tgz",
+ "integrity": "sha512-sFFHKwcmBprO9e7Icf0+gddyWYDViL8bpPjJJl0WHxCdETktXdmtWLGVzoHbqUcY4Be1LkNfwTmXOJUFZYSJdA=="
+ },
+ "node_modules/@webassemblyjs/helper-wasm-section": {
+ "version": "1.11.6",
+ "resolved": "https://registry.npmjs.org/@webassemblyjs/helper-wasm-section/-/helper-wasm-section-1.11.6.tgz",
+ "integrity": "sha512-LPpZbSOwTpEC2cgn4hTydySy1Ke+XEu+ETXuoyvuyezHO3Kjdu90KK95Sh9xTbmjrCsUwvWwCOQQNta37VrS9g==",
+ "dependencies": {
+ "@webassemblyjs/ast": "1.11.6",
+ "@webassemblyjs/helper-buffer": "1.11.6",
+ "@webassemblyjs/helper-wasm-bytecode": "1.11.6",
+ "@webassemblyjs/wasm-gen": "1.11.6"
+ }
+ },
+ "node_modules/@webassemblyjs/ieee754": {
+ "version": "1.11.6",
+ "resolved": "https://registry.npmjs.org/@webassemblyjs/ieee754/-/ieee754-1.11.6.tgz",
+ "integrity": "sha512-LM4p2csPNvbij6U1f19v6WR56QZ8JcHg3QIJTlSwzFcmx6WSORicYj6I63f9yU1kEUtrpG+kjkiIAkevHpDXrg==",
+ "dependencies": {
+ "@xtuc/ieee754": "^1.2.0"
+ }
+ },
+ "node_modules/@webassemblyjs/leb128": {
+ "version": "1.11.6",
+ "resolved": "https://registry.npmjs.org/@webassemblyjs/leb128/-/leb128-1.11.6.tgz",
+ "integrity": "sha512-m7a0FhE67DQXgouf1tbN5XQcdWoNgaAuoULHIfGFIEVKA6tu/edls6XnIlkmS6FrXAquJRPni3ZZKjw6FSPjPQ==",
+ "dependencies": {
+ "@xtuc/long": "4.2.2"
+ }
+ },
+ "node_modules/@webassemblyjs/utf8": {
+ "version": "1.11.6",
+ "resolved": "https://registry.npmjs.org/@webassemblyjs/utf8/-/utf8-1.11.6.tgz",
+ "integrity": "sha512-vtXf2wTQ3+up9Zsg8sa2yWiQpzSsMyXj0qViVP6xKGCUT8p8YJ6HqI7l5eCnWx1T/FYdsv07HQs2wTFbbof/RA=="
+ },
+ "node_modules/@webassemblyjs/wasm-edit": {
+ "version": "1.11.6",
+ "resolved": "https://registry.npmjs.org/@webassemblyjs/wasm-edit/-/wasm-edit-1.11.6.tgz",
+ "integrity": "sha512-Ybn2I6fnfIGuCR+Faaz7YcvtBKxvoLV3Lebn1tM4o/IAJzmi9AWYIPWpyBfU8cC+JxAO57bk4+zdsTjJR+VTOw==",
+ "dependencies": {
+ "@webassemblyjs/ast": "1.11.6",
+ "@webassemblyjs/helper-buffer": "1.11.6",
+ "@webassemblyjs/helper-wasm-bytecode": "1.11.6",
+ "@webassemblyjs/helper-wasm-section": "1.11.6",
+ "@webassemblyjs/wasm-gen": "1.11.6",
+ "@webassemblyjs/wasm-opt": "1.11.6",
+ "@webassemblyjs/wasm-parser": "1.11.6",
+ "@webassemblyjs/wast-printer": "1.11.6"
+ }
+ },
+ "node_modules/@webassemblyjs/wasm-gen": {
+ "version": "1.11.6",
+ "resolved": "https://registry.npmjs.org/@webassemblyjs/wasm-gen/-/wasm-gen-1.11.6.tgz",
+ "integrity": "sha512-3XOqkZP/y6B4F0PBAXvI1/bky7GryoogUtfwExeP/v7Nzwo1QLcq5oQmpKlftZLbT+ERUOAZVQjuNVak6UXjPA==",
+ "dependencies": {
+ "@webassemblyjs/ast": "1.11.6",
+ "@webassemblyjs/helper-wasm-bytecode": "1.11.6",
+ "@webassemblyjs/ieee754": "1.11.6",
+ "@webassemblyjs/leb128": "1.11.6",
+ "@webassemblyjs/utf8": "1.11.6"
+ }
+ },
+ "node_modules/@webassemblyjs/wasm-opt": {
+ "version": "1.11.6",
+ "resolved": "https://registry.npmjs.org/@webassemblyjs/wasm-opt/-/wasm-opt-1.11.6.tgz",
+ "integrity": "sha512-cOrKuLRE7PCe6AsOVl7WasYf3wbSo4CeOk6PkrjS7g57MFfVUF9u6ysQBBODX0LdgSvQqRiGz3CXvIDKcPNy4g==",
+ "dependencies": {
+ "@webassemblyjs/ast": "1.11.6",
+ "@webassemblyjs/helper-buffer": "1.11.6",
+ "@webassemblyjs/wasm-gen": "1.11.6",
+ "@webassemblyjs/wasm-parser": "1.11.6"
+ }
+ },
+ "node_modules/@webassemblyjs/wasm-parser": {
+ "version": "1.11.6",
+ "resolved": "https://registry.npmjs.org/@webassemblyjs/wasm-parser/-/wasm-parser-1.11.6.tgz",
+ "integrity": "sha512-6ZwPeGzMJM3Dqp3hCsLgESxBGtT/OeCvCZ4TA1JUPYgmhAx38tTPR9JaKy0S5H3evQpO/h2uWs2j6Yc/fjkpTQ==",
+ "dependencies": {
+ "@webassemblyjs/ast": "1.11.6",
+ "@webassemblyjs/helper-api-error": "1.11.6",
+ "@webassemblyjs/helper-wasm-bytecode": "1.11.6",
+ "@webassemblyjs/ieee754": "1.11.6",
+ "@webassemblyjs/leb128": "1.11.6",
+ "@webassemblyjs/utf8": "1.11.6"
+ }
+ },
+ "node_modules/@webassemblyjs/wast-printer": {
+ "version": "1.11.6",
+ "resolved": "https://registry.npmjs.org/@webassemblyjs/wast-printer/-/wast-printer-1.11.6.tgz",
+ "integrity": "sha512-JM7AhRcE+yW2GWYaKeHL5vt4xqee5N2WcezptmgyhNS+ScggqcT1OtXykhAb13Sn5Yas0j2uv9tHgrjwvzAP4A==",
+ "dependencies": {
+ "@webassemblyjs/ast": "1.11.6",
+ "@xtuc/long": "4.2.2"
+ }
+ },
+ "node_modules/@xtuc/ieee754": {
+ "version": "1.2.0",
+ "resolved": "https://registry.npmjs.org/@xtuc/ieee754/-/ieee754-1.2.0.tgz",
+ "integrity": "sha512-DX8nKgqcGwsc0eJSqYt5lwP4DH5FlHnmuWWBRy7X0NcaGR0ZtuyeESgMwTYVEtxmsNGY+qit4QYT/MIYTOTPeA=="
+ },
+ "node_modules/@xtuc/long": {
+ "version": "4.2.2",
+ "resolved": "https://registry.npmjs.org/@xtuc/long/-/long-4.2.2.tgz",
+ "integrity": "sha512-NuHqBY1PB/D8xU6s/thBgOAiAP7HOYDQ32+BFZILJ8ivkUkAHQnWfn6WhL79Owj1qmUnoN/YPhktdIoucipkAQ=="
+ },
+ "node_modules/abab": {
+ "version": "2.0.6",
+ "resolved": "https://registry.npmjs.org/abab/-/abab-2.0.6.tgz",
+ "integrity": "sha512-j2afSsaIENvHZN2B8GOpF566vZ5WVk5opAiMTvWgaQT8DkbOqsTfvNAvHoRGU2zzP8cPoqys+xHTRDWW8L+/BA==",
+ "deprecated": "Use your platform's native atob() and btoa() methods instead"
+ },
+ "node_modules/accepts": {
+ "version": "1.3.8",
+ "resolved": "https://registry.npmjs.org/accepts/-/accepts-1.3.8.tgz",
+ "integrity": "sha512-PYAthTa2m2VKxuvSD3DPC/Gy+U+sOA1LAuT8mkmRuvw+NACSaeXEQ+NHcVF7rONl6qcaxV3Uuemwawk+7+SJLw==",
+ "dependencies": {
+ "mime-types": "~2.1.34",
+ "negotiator": "0.6.3"
+ },
+ "engines": {
+ "node": ">= 0.6"
+ }
+ },
+ "node_modules/acorn": {
+ "version": "8.11.3",
+ "resolved": "https://registry.npmjs.org/acorn/-/acorn-8.11.3.tgz",
+ "integrity": "sha512-Y9rRfJG5jcKOE0CLisYbojUjIrIEE7AGMzA/Sm4BslANhbS+cDMpgBdcPT91oJ7OuJ9hYJBx59RjbhxVnrF8Xg==",
+ "bin": {
+ "acorn": "bin/acorn"
+ },
+ "engines": {
+ "node": ">=0.4.0"
+ }
+ },
+ "node_modules/acorn-globals": {
+ "version": "6.0.0",
+ "resolved": "https://registry.npmjs.org/acorn-globals/-/acorn-globals-6.0.0.tgz",
+ "integrity": "sha512-ZQl7LOWaF5ePqqcX4hLuv/bLXYQNfNWw2c0/yX/TsPRKamzHcTGQnlCjHT3TsmkOUVEPS3crCxiPfdzE/Trlhg==",
+ "dependencies": {
+ "acorn": "^7.1.1",
+ "acorn-walk": "^7.1.1"
+ }
+ },
+ "node_modules/acorn-globals/node_modules/acorn": {
+ "version": "7.4.1",
+ "resolved": "https://registry.npmjs.org/acorn/-/acorn-7.4.1.tgz",
+ "integrity": "sha512-nQyp0o1/mNdbTO1PO6kHkwSrmgZ0MT/jCCpNiwbUjGoRN4dlBhqJtoQuCnEOKzgTVwg0ZWiCoQy6SxMebQVh8A==",
+ "bin": {
+ "acorn": "bin/acorn"
+ },
+ "engines": {
+ "node": ">=0.4.0"
+ }
+ },
+ "node_modules/acorn-import-assertions": {
+ "version": "1.9.0",
+ "resolved": "https://registry.npmjs.org/acorn-import-assertions/-/acorn-import-assertions-1.9.0.tgz",
+ "integrity": "sha512-cmMwop9x+8KFhxvKrKfPYmN6/pKTYYHBqLa0DfvVZcKMJWNyWLnaqND7dx/qn66R7ewM1UX5XMaDVP5wlVTaVA==",
+ "peerDependencies": {
+ "acorn": "^8"
+ }
+ },
+ "node_modules/acorn-jsx": {
+ "version": "5.3.2",
+ "resolved": "https://registry.npmjs.org/acorn-jsx/-/acorn-jsx-5.3.2.tgz",
+ "integrity": "sha512-rq9s+JNhf0IChjtDXxllJ7g41oZk5SlXtp0LHwyA5cejwn7vKmKp4pPri6YEePv2PU65sAsegbXtIinmDFDXgQ==",
+ "peerDependencies": {
+ "acorn": "^6.0.0 || ^7.0.0 || ^8.0.0"
+ }
+ },
+ "node_modules/acorn-walk": {
+ "version": "7.2.0",
+ "resolved": "https://registry.npmjs.org/acorn-walk/-/acorn-walk-7.2.0.tgz",
+ "integrity": "sha512-OPdCF6GsMIP+Az+aWfAAOEt2/+iVDKE7oy6lJ098aoe59oAmK76qV6Gw60SbZ8jHuG2wH058GF4pLFbYamYrVA==",
+ "engines": {
+ "node": ">=0.4.0"
+ }
+ },
+ "node_modules/address": {
+ "version": "1.2.2",
+ "resolved": "https://registry.npmjs.org/address/-/address-1.2.2.tgz",
+ "integrity": "sha512-4B/qKCfeE/ODUaAUpSwfzazo5x29WD4r3vXiWsB7I2mSDAihwEqKO+g8GELZUQSSAo5e1XTYh3ZVfLyxBc12nA==",
+ "engines": {
+ "node": ">= 10.0.0"
+ }
+ },
+ "node_modules/adjust-sourcemap-loader": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/adjust-sourcemap-loader/-/adjust-sourcemap-loader-4.0.0.tgz",
+ "integrity": "sha512-OXwN5b9pCUXNQHJpwwD2qP40byEmSgzj8B4ydSN0uMNYWiFmJ6x6KwUllMmfk8Rwu/HJDFR7U8ubsWBoN0Xp0A==",
+ "dependencies": {
+ "loader-utils": "^2.0.0",
+ "regex-parser": "^2.2.11"
+ },
+ "engines": {
+ "node": ">=8.9"
+ }
+ },
+ "node_modules/agent-base": {
+ "version": "6.0.2",
+ "resolved": "https://registry.npmjs.org/agent-base/-/agent-base-6.0.2.tgz",
+ "integrity": "sha512-RZNwNclF7+MS/8bDg70amg32dyeZGZxiDuQmZxKLAlQjr3jGyLx+4Kkk58UO7D2QdgFIQCovuSuZESne6RG6XQ==",
+ "dependencies": {
+ "debug": "4"
+ },
+ "engines": {
+ "node": ">= 6.0.0"
+ }
+ },
+ "node_modules/aggregate-error": {
+ "version": "3.1.0",
+ "resolved": "https://registry.npmjs.org/aggregate-error/-/aggregate-error-3.1.0.tgz",
+ "integrity": "sha512-4I7Td01quW/RpocfNayFdFVk1qSuoh0E7JrbRJ16nH01HhKFQ88INq9Sd+nd72zqRySlr9BmDA8xlEJ6vJMrYA==",
+ "dev": true,
+ "dependencies": {
+ "clean-stack": "^2.0.0",
+ "indent-string": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/ajv": {
+ "version": "6.12.6",
+ "resolved": "https://registry.npmjs.org/ajv/-/ajv-6.12.6.tgz",
+ "integrity": "sha512-j3fVLgvTo527anyYyJOGTYJbG+vnnQYvE0m5mmkc1TK+nxAppkCLMIL0aZ4dblVCNoGShhm+kzE4ZUykBoMg4g==",
+ "dependencies": {
+ "fast-deep-equal": "^3.1.1",
+ "fast-json-stable-stringify": "^2.0.0",
+ "json-schema-traverse": "^0.4.1",
+ "uri-js": "^4.2.2"
+ },
+ "funding": {
+ "type": "github",
+ "url": "https://github.com/sponsors/epoberezkin"
+ }
+ },
+ "node_modules/ajv-formats": {
+ "version": "2.1.1",
+ "resolved": "https://registry.npmjs.org/ajv-formats/-/ajv-formats-2.1.1.tgz",
+ "integrity": "sha512-Wx0Kx52hxE7C18hkMEggYlEifqWZtYaRgouJor+WMdPnQyEK13vgEWyVNup7SoeeoLMsr4kf5h6dOW11I15MUA==",
+ "dependencies": {
+ "ajv": "^8.0.0"
+ },
+ "peerDependencies": {
+ "ajv": "^8.0.0"
+ },
+ "peerDependenciesMeta": {
+ "ajv": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/ajv-formats/node_modules/ajv": {
+ "version": "8.12.0",
+ "resolved": "https://registry.npmjs.org/ajv/-/ajv-8.12.0.tgz",
+ "integrity": "sha512-sRu1kpcO9yLtYxBKvqfTeh9KzZEwO3STyX1HT+4CaDzC6HpTGYhIhPIzj9XuKU7KYDwnaeh5hcOwjy1QuJzBPA==",
+ "dependencies": {
+ "fast-deep-equal": "^3.1.1",
+ "json-schema-traverse": "^1.0.0",
+ "require-from-string": "^2.0.2",
+ "uri-js": "^4.2.2"
+ },
+ "funding": {
+ "type": "github",
+ "url": "https://github.com/sponsors/epoberezkin"
+ }
+ },
+ "node_modules/ajv-formats/node_modules/fast-deep-equal": {
+ "version": "3.1.3",
+ "resolved": "https://registry.npmjs.org/fast-deep-equal/-/fast-deep-equal-3.1.3.tgz",
+ "integrity": "sha512-f3qQ9oQy9j2AhBe/H9VC91wLmKBCCU/gDOnKNAYG5hswO7BLKj09Hc5HYNz9cGI++xlpDCIgDaitVs03ATR84Q=="
+ },
+ "node_modules/ajv-formats/node_modules/json-schema-traverse": {
+ "version": "1.0.0",
+ "resolved": "https://registry.npmjs.org/json-schema-traverse/-/json-schema-traverse-1.0.0.tgz",
+ "integrity": "sha512-NM8/P9n3XjXhIZn1lLhkFaACTOURQXjWhV4BA/RnOv8xvgqtqpAX9IO4mRQxSx1Rlo4tqzeqb0sOlruaOy3dug=="
+ },
+ "node_modules/ajv-keywords": {
+ "version": "3.5.2",
+ "resolved": "https://registry.npmjs.org/ajv-keywords/-/ajv-keywords-3.5.2.tgz",
+ "integrity": "sha512-5p6WTN0DdTGVQk6VjcEju19IgaHudalcfabD7yhDGeA6bcQnmL+CpveLJq/3hvfwd1aof6L386Ougkx6RfyMIQ==",
+ "peerDependencies": {
+ "ajv": "^6.9.1"
+ }
+ },
+ "node_modules/ajv/node_modules/fast-deep-equal": {
+ "version": "3.1.3",
+ "resolved": "https://registry.npmjs.org/fast-deep-equal/-/fast-deep-equal-3.1.3.tgz",
+ "integrity": "sha512-f3qQ9oQy9j2AhBe/H9VC91wLmKBCCU/gDOnKNAYG5hswO7BLKj09Hc5HYNz9cGI++xlpDCIgDaitVs03ATR84Q=="
+ },
+ "node_modules/ansi-escapes": {
+ "version": "4.3.2",
+ "resolved": "https://registry.npmjs.org/ansi-escapes/-/ansi-escapes-4.3.2.tgz",
+ "integrity": "sha512-gKXj5ALrKWQLsYG9jlTRmR/xKluxHV+Z9QEwNIgCfM1/uwPMCuzVVnh5mwTd+OuBZcwSIMbqssNWRm1lE51QaQ==",
+ "dependencies": {
+ "type-fest": "^0.21.3"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/ansi-html-community": {
+ "version": "0.0.8",
+ "resolved": "https://registry.npmjs.org/ansi-html-community/-/ansi-html-community-0.0.8.tgz",
+ "integrity": "sha512-1APHAyr3+PCamwNw3bXCPp4HFLONZt/yIH0sZp0/469KWNTEy+qN5jQ3GVX6DMZ1UXAi34yVwtTeaG/HpBuuzw==",
+ "engines": [
+ "node >= 0.8.0"
+ ],
+ "bin": {
+ "ansi-html": "bin/ansi-html"
+ }
+ },
+ "node_modules/ansi-regex": {
+ "version": "5.0.1",
+ "resolved": "https://registry.npmjs.org/ansi-regex/-/ansi-regex-5.0.1.tgz",
+ "integrity": "sha512-quJQXlTSUGL2LH9SUXo8VwsY4soanhgo6LNSm84E1LBcE8s3O0wpdiRzyR9z/ZZJMlMWv37qOOb9pdJlMUEKFQ==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/ansi-styles": {
+ "version": "3.2.1",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-3.2.1.tgz",
+ "integrity": "sha512-VT0ZI6kZRdTh8YyJw3SMbYm/u+NqfsAxEpWO0Pf9sq8/e94WxxOpPKx9FR1FlyCtOVDNOQ+8ntlqFxiRc+r5qA==",
+ "dependencies": {
+ "color-convert": "^1.9.0"
+ },
+ "engines": {
+ "node": ">=4"
+ }
+ },
+ "node_modules/any-promise": {
+ "version": "1.3.0",
+ "resolved": "https://registry.npmjs.org/any-promise/-/any-promise-1.3.0.tgz",
+ "integrity": "sha512-7UvmKalWRt1wgjL1RrGxoSJW/0QZFIegpeGvZG9kjp8vrRu55XTHbwnqq2GpXm9uLbcuhxm3IqX9OB4MZR1b2A=="
+ },
+ "node_modules/anymatch": {
+ "version": "3.1.3",
+ "resolved": "https://registry.npmjs.org/anymatch/-/anymatch-3.1.3.tgz",
+ "integrity": "sha512-KMReFUr0B4t+D+OBkjR3KYqvocp2XaSzO55UcB6mgQMd3KbcE+mWTyvVV7D/zsdEbNnV6acZUutkiHQXvTr1Rw==",
+ "dependencies": {
+ "normalize-path": "^3.0.0",
+ "picomatch": "^2.0.4"
+ },
+ "engines": {
+ "node": ">= 8"
+ }
+ },
+ "node_modules/arg": {
+ "version": "5.0.2",
+ "resolved": "https://registry.npmjs.org/arg/-/arg-5.0.2.tgz",
+ "integrity": "sha512-PYjyFOLKQ9y57JvQ6QLo8dAgNqswh8M1RMJYdQduT6xbWSgK36P/Z/v+p888pM69jMMfS8Xd8F6I1kQ/I9HUGg=="
+ },
+ "node_modules/argparse": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/argparse/-/argparse-2.0.1.tgz",
+ "integrity": "sha512-8+9WqebbFzpX9OR+Wa6O29asIogeRMzcGtAINdpMHHyAg10f05aSFVBbcEqGf/PXw1EjAZ+q2/bEBg3DvurK3Q=="
+ },
+ "node_modules/aria-query": {
+ "version": "5.1.3",
+ "resolved": "https://registry.npmjs.org/aria-query/-/aria-query-5.1.3.tgz",
+ "integrity": "sha512-R5iJ5lkuHybztUfuOAznmboyjWq8O6sqNqtK7CLOqdydi54VNbORp49mb14KbWgG1QD3JFO9hJdZ+y4KutfdOQ==",
+ "dev": true,
+ "dependencies": {
+ "deep-equal": "^2.0.5"
+ }
+ },
+ "node_modules/array-buffer-byte-length": {
+ "version": "1.0.1",
+ "resolved": "https://registry.npmjs.org/array-buffer-byte-length/-/array-buffer-byte-length-1.0.1.tgz",
+ "integrity": "sha512-ahC5W1xgou+KTXix4sAO8Ki12Q+jf4i0+tmk3sC+zgcynshkHxzpXdImBehiUYKKKDwvfFiJl1tZt6ewscS1Mg==",
+ "dependencies": {
+ "call-bind": "^1.0.5",
+ "is-array-buffer": "^3.0.4"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/array-flatten": {
+ "version": "1.1.1",
+ "resolved": "https://registry.npmjs.org/array-flatten/-/array-flatten-1.1.1.tgz",
+ "integrity": "sha512-PCVAQswWemu6UdxsDFFX/+gVeYqKAod3D3UVm91jHwynguOwAvYPhx8nNlM++NqRcK6CxxpUafjmhIdKiHibqg=="
+ },
+ "node_modules/array-includes": {
+ "version": "3.1.7",
+ "resolved": "https://registry.npmjs.org/array-includes/-/array-includes-3.1.7.tgz",
+ "integrity": "sha512-dlcsNBIiWhPkHdOEEKnehA+RNUWDc4UqFtnIXU4uuYDPtA4LDkr7qip2p0VvFAEXNDr0yWZ9PJyIRiGjRLQzwQ==",
+ "dependencies": {
+ "call-bind": "^1.0.2",
+ "define-properties": "^1.2.0",
+ "es-abstract": "^1.22.1",
+ "get-intrinsic": "^1.2.1",
+ "is-string": "^1.0.7"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/array-union": {
+ "version": "2.1.0",
+ "resolved": "https://registry.npmjs.org/array-union/-/array-union-2.1.0.tgz",
+ "integrity": "sha512-HGyxoOTYUyCM6stUe6EJgnd4EoewAI7zMdfqO+kGjnlZmBDz/cR5pf8r/cR4Wq60sL/p0IkcjUEEPwS3GFrIyw==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/array.prototype.filter": {
+ "version": "1.0.3",
+ "resolved": "https://registry.npmjs.org/array.prototype.filter/-/array.prototype.filter-1.0.3.tgz",
+ "integrity": "sha512-VizNcj/RGJiUyQBgzwxzE5oHdeuXY5hSbbmKMlphj1cy1Vl7Pn2asCGbSrru6hSQjmCzqTBPVWAF/whmEOVHbw==",
+ "dependencies": {
+ "call-bind": "^1.0.2",
+ "define-properties": "^1.2.0",
+ "es-abstract": "^1.22.1",
+ "es-array-method-boxes-properly": "^1.0.0",
+ "is-string": "^1.0.7"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/array.prototype.findlastindex": {
+ "version": "1.2.4",
+ "resolved": "https://registry.npmjs.org/array.prototype.findlastindex/-/array.prototype.findlastindex-1.2.4.tgz",
+ "integrity": "sha512-hzvSHUshSpCflDR1QMUBLHGHP1VIEBegT4pix9H/Z92Xw3ySoy6c2qh7lJWTJnRJ8JCZ9bJNCgTyYaJGcJu6xQ==",
+ "dependencies": {
+ "call-bind": "^1.0.5",
+ "define-properties": "^1.2.1",
+ "es-abstract": "^1.22.3",
+ "es-errors": "^1.3.0",
+ "es-shim-unscopables": "^1.0.2"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/array.prototype.flat": {
+ "version": "1.3.2",
+ "resolved": "https://registry.npmjs.org/array.prototype.flat/-/array.prototype.flat-1.3.2.tgz",
+ "integrity": "sha512-djYB+Zx2vLewY8RWlNCUdHjDXs2XOgm602S9E7P/UpHgfeHL00cRiIF+IN/G/aUJ7kGPb6yO/ErDI5V2s8iycA==",
+ "dependencies": {
+ "call-bind": "^1.0.2",
+ "define-properties": "^1.2.0",
+ "es-abstract": "^1.22.1",
+ "es-shim-unscopables": "^1.0.0"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/array.prototype.flatmap": {
+ "version": "1.3.2",
+ "resolved": "https://registry.npmjs.org/array.prototype.flatmap/-/array.prototype.flatmap-1.3.2.tgz",
+ "integrity": "sha512-Ewyx0c9PmpcsByhSW4r+9zDU7sGjFc86qf/kKtuSCRdhfbk0SNLLkaT5qvcHnRGgc5NP/ly/y+qkXkqONX54CQ==",
+ "dependencies": {
+ "call-bind": "^1.0.2",
+ "define-properties": "^1.2.0",
+ "es-abstract": "^1.22.1",
+ "es-shim-unscopables": "^1.0.0"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/array.prototype.reduce": {
+ "version": "1.0.6",
+ "resolved": "https://registry.npmjs.org/array.prototype.reduce/-/array.prototype.reduce-1.0.6.tgz",
+ "integrity": "sha512-UW+Mz8LG/sPSU8jRDCjVr6J/ZKAGpHfwrZ6kWTG5qCxIEiXdVshqGnu5vEZA8S1y6X4aCSbQZ0/EEsfvEvBiSg==",
+ "dependencies": {
+ "call-bind": "^1.0.2",
+ "define-properties": "^1.2.0",
+ "es-abstract": "^1.22.1",
+ "es-array-method-boxes-properly": "^1.0.0",
+ "is-string": "^1.0.7"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/array.prototype.tosorted": {
+ "version": "1.1.3",
+ "resolved": "https://registry.npmjs.org/array.prototype.tosorted/-/array.prototype.tosorted-1.1.3.tgz",
+ "integrity": "sha512-/DdH4TiTmOKzyQbp/eadcCVexiCb36xJg7HshYOYJnNZFDj33GEv0P7GxsynpShhq4OLYJzbGcBDkLsDt7MnNg==",
+ "dependencies": {
+ "call-bind": "^1.0.5",
+ "define-properties": "^1.2.1",
+ "es-abstract": "^1.22.3",
+ "es-errors": "^1.1.0",
+ "es-shim-unscopables": "^1.0.2"
+ }
+ },
+ "node_modules/arraybuffer.prototype.slice": {
+ "version": "1.0.3",
+ "resolved": "https://registry.npmjs.org/arraybuffer.prototype.slice/-/arraybuffer.prototype.slice-1.0.3.tgz",
+ "integrity": "sha512-bMxMKAjg13EBSVscxTaYA4mRc5t1UAXa2kXiGTNfZ079HIWXEkKmkgFrh/nJqamaLSrXO5H4WFFkPEaLJWbs3A==",
+ "dependencies": {
+ "array-buffer-byte-length": "^1.0.1",
+ "call-bind": "^1.0.5",
+ "define-properties": "^1.2.1",
+ "es-abstract": "^1.22.3",
+ "es-errors": "^1.2.1",
+ "get-intrinsic": "^1.2.3",
+ "is-array-buffer": "^3.0.4",
+ "is-shared-array-buffer": "^1.0.2"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/asap": {
+ "version": "2.0.6",
+ "resolved": "https://registry.npmjs.org/asap/-/asap-2.0.6.tgz",
+ "integrity": "sha512-BSHWgDSAiKs50o2Re8ppvp3seVHXSRM44cdSsT9FfNEUUZLOGWVCsiWaRPWM1Znn+mqZ1OfVZ3z3DWEzSp7hRA=="
+ },
+ "node_modules/ast-types-flow": {
+ "version": "0.0.8",
+ "resolved": "https://registry.npmjs.org/ast-types-flow/-/ast-types-flow-0.0.8.tgz",
+ "integrity": "sha512-OH/2E5Fg20h2aPrbe+QL8JZQFko0YZaF+j4mnQ7BGhfavO7OpSLa8a0y9sBwomHdSbkhTS8TQNayBfnW5DwbvQ=="
+ },
+ "node_modules/astral-regex": {
+ "version": "2.0.0",
+ "resolved": "https://registry.npmjs.org/astral-regex/-/astral-regex-2.0.0.tgz",
+ "integrity": "sha512-Z7tMw1ytTXt5jqMcOP+OQteU1VuNK9Y02uuJtKQ1Sv69jXQKKg5cibLwGJow8yzZP+eAc18EmLGPal0bp36rvQ==",
+ "dev": true,
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/async": {
+ "version": "3.2.5",
+ "resolved": "https://registry.npmjs.org/async/-/async-3.2.5.tgz",
+ "integrity": "sha512-baNZyqaaLhyLVKm/DlvdW051MSgO6b8eVfIezl9E5PqWxFgzLm/wQntEW4zOytVburDEr0JlALEpdOFwvErLsg=="
+ },
+ "node_modules/asynciterator.prototype": {
+ "version": "1.0.0",
+ "resolved": "https://registry.npmjs.org/asynciterator.prototype/-/asynciterator.prototype-1.0.0.tgz",
+ "integrity": "sha512-wwHYEIS0Q80f5mosx3L/dfG5t5rjEa9Ft51GTaNt862EnpyGHpgz2RkZvLPp1oF5TnAiTohkEKVEu8pQPJI7Vg==",
+ "dependencies": {
+ "has-symbols": "^1.0.3"
+ }
+ },
+ "node_modules/asynckit": {
+ "version": "0.4.0",
+ "resolved": "https://registry.npmjs.org/asynckit/-/asynckit-0.4.0.tgz",
+ "integrity": "sha512-Oei9OH4tRh0YqU3GxhX79dM/mwVgvbZJaSNaRk+bshkj0S5cfHcgYakreBjrHwatXKbz+IoIdYLxrKim2MjW0Q=="
+ },
+ "node_modules/at-least-node": {
+ "version": "1.0.0",
+ "resolved": "https://registry.npmjs.org/at-least-node/-/at-least-node-1.0.0.tgz",
+ "integrity": "sha512-+q/t7Ekv1EDY2l6Gda6LLiX14rU9TV20Wa3ofeQmwPFZbOMo9DXrLbOjFaaclkXKWidIaopwAObQDqwWtGUjqg==",
+ "engines": {
+ "node": ">= 4.0.0"
+ }
+ },
+ "node_modules/autoprefixer": {
+ "version": "10.4.17",
+ "resolved": "https://registry.npmjs.org/autoprefixer/-/autoprefixer-10.4.17.tgz",
+ "integrity": "sha512-/cpVNRLSfhOtcGflT13P2794gVSgmPgTR+erw5ifnMLZb0UnSlkK4tquLmkd3BhA+nLo5tX8Cu0upUsGKvKbmg==",
+ "funding": [
+ {
+ "type": "opencollective",
+ "url": "https://opencollective.com/postcss/"
+ },
+ {
+ "type": "tidelift",
+ "url": "https://tidelift.com/funding/github/npm/autoprefixer"
+ },
+ {
+ "type": "github",
+ "url": "https://github.com/sponsors/ai"
+ }
+ ],
+ "dependencies": {
+ "browserslist": "^4.22.2",
+ "caniuse-lite": "^1.0.30001578",
+ "fraction.js": "^4.3.7",
+ "normalize-range": "^0.1.2",
+ "picocolors": "^1.0.0",
+ "postcss-value-parser": "^4.2.0"
+ },
+ "bin": {
+ "autoprefixer": "bin/autoprefixer"
+ },
+ "engines": {
+ "node": "^10 || ^12 || >=14"
+ },
+ "peerDependencies": {
+ "postcss": "^8.1.0"
+ }
+ },
+ "node_modules/available-typed-arrays": {
+ "version": "1.0.6",
+ "resolved": "https://registry.npmjs.org/available-typed-arrays/-/available-typed-arrays-1.0.6.tgz",
+ "integrity": "sha512-j1QzY8iPNPG4o4xmO3ptzpRxTciqD3MgEHtifP/YnJpIo58Xu+ne4BejlbkuaLfXn/nz6HFiw29bLpj2PNMdGg==",
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/axe-core": {
+ "version": "4.7.0",
+ "resolved": "https://registry.npmjs.org/axe-core/-/axe-core-4.7.0.tgz",
+ "integrity": "sha512-M0JtH+hlOL5pLQwHOLNYZaXuhqmvS8oExsqB1SBYgA4Dk7u/xx+YdGHXaK5pyUfed5mYXdlYiphWq3G8cRi5JQ==",
+ "engines": {
+ "node": ">=4"
+ }
+ },
+ "node_modules/axobject-query": {
+ "version": "3.2.1",
+ "resolved": "https://registry.npmjs.org/axobject-query/-/axobject-query-3.2.1.tgz",
+ "integrity": "sha512-jsyHu61e6N4Vbz/v18DHwWYKK0bSWLqn47eeDSKPB7m8tqMHF9YJ+mhIk2lVteyZrY8tnSj/jHOv4YiTCuCJgg==",
+ "dependencies": {
+ "dequal": "^2.0.3"
+ }
+ },
+ "node_modules/babel-jest": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/babel-jest/-/babel-jest-27.5.1.tgz",
+ "integrity": "sha512-cdQ5dXjGRd0IBRATiQ4mZGlGlRE8kJpjPOixdNRdT+m3UcNqmYWN6rK6nvtXYfY3D76cb8s/O1Ss8ea24PIwcg==",
+ "dependencies": {
+ "@jest/transform": "^27.5.1",
+ "@jest/types": "^27.5.1",
+ "@types/babel__core": "^7.1.14",
+ "babel-plugin-istanbul": "^6.1.1",
+ "babel-preset-jest": "^27.5.1",
+ "chalk": "^4.0.0",
+ "graceful-fs": "^4.2.9",
+ "slash": "^3.0.0"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.8.0"
+ }
+ },
+ "node_modules/babel-jest/node_modules/ansi-styles": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-4.3.0.tgz",
+ "integrity": "sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==",
+ "dependencies": {
+ "color-convert": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/babel-jest/node_modules/chalk": {
+ "version": "4.1.2",
+ "resolved": "https://registry.npmjs.org/chalk/-/chalk-4.1.2.tgz",
+ "integrity": "sha512-oKnbhFyRIXpUuez8iBMmyEa4nbj4IOQyuhc/wy9kY7/WVPcwIO9VA668Pu8RkO7+0G76SLROeyw9CpQ061i4mA==",
+ "dependencies": {
+ "ansi-styles": "^4.1.0",
+ "supports-color": "^7.1.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/chalk?sponsor=1"
+ }
+ },
+ "node_modules/babel-jest/node_modules/color-convert": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-2.0.1.tgz",
+ "integrity": "sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==",
+ "dependencies": {
+ "color-name": "~1.1.4"
+ },
+ "engines": {
+ "node": ">=7.0.0"
+ }
+ },
+ "node_modules/babel-jest/node_modules/color-name": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.4.tgz",
+ "integrity": "sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA=="
+ },
+ "node_modules/babel-jest/node_modules/has-flag": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz",
+ "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/babel-jest/node_modules/supports-color": {
+ "version": "7.2.0",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-7.2.0.tgz",
+ "integrity": "sha512-qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==",
+ "dependencies": {
+ "has-flag": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/babel-loader": {
+ "version": "8.3.0",
+ "resolved": "https://registry.npmjs.org/babel-loader/-/babel-loader-8.3.0.tgz",
+ "integrity": "sha512-H8SvsMF+m9t15HNLMipppzkC+Y2Yq+v3SonZyU70RBL/h1gxPkH08Ot8pEE9Z4Kd+czyWJClmFS8qzIP9OZ04Q==",
+ "dependencies": {
+ "find-cache-dir": "^3.3.1",
+ "loader-utils": "^2.0.0",
+ "make-dir": "^3.1.0",
+ "schema-utils": "^2.6.5"
+ },
+ "engines": {
+ "node": ">= 8.9"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0",
+ "webpack": ">=2"
+ }
+ },
+ "node_modules/babel-loader/node_modules/schema-utils": {
+ "version": "2.7.1",
+ "resolved": "https://registry.npmjs.org/schema-utils/-/schema-utils-2.7.1.tgz",
+ "integrity": "sha512-SHiNtMOUGWBQJwzISiVYKu82GiV4QYGePp3odlY1tuKO7gPtphAT5R/py0fA6xtbgLL/RvtJZnU9b8s0F1q0Xg==",
+ "dependencies": {
+ "@types/json-schema": "^7.0.5",
+ "ajv": "^6.12.4",
+ "ajv-keywords": "^3.5.2"
+ },
+ "engines": {
+ "node": ">= 8.9.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/webpack"
+ }
+ },
+ "node_modules/babel-plugin-istanbul": {
+ "version": "6.1.1",
+ "resolved": "https://registry.npmjs.org/babel-plugin-istanbul/-/babel-plugin-istanbul-6.1.1.tgz",
+ "integrity": "sha512-Y1IQok9821cC9onCx5otgFfRm7Lm+I+wwxOx738M/WLPZ9Q42m4IG5W0FNX8WLL2gYMZo3JkuXIH2DOpWM+qwA==",
+ "dependencies": {
+ "@babel/helper-plugin-utils": "^7.0.0",
+ "@istanbuljs/load-nyc-config": "^1.0.0",
+ "@istanbuljs/schema": "^0.1.2",
+ "istanbul-lib-instrument": "^5.0.4",
+ "test-exclude": "^6.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/babel-plugin-jest-hoist": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/babel-plugin-jest-hoist/-/babel-plugin-jest-hoist-27.5.1.tgz",
+ "integrity": "sha512-50wCwD5EMNW4aRpOwtqzyZHIewTYNxLA4nhB+09d8BIssfNfzBRhkBIHiaPv1Si226TQSvp8gxAJm2iY2qs2hQ==",
+ "dependencies": {
+ "@babel/template": "^7.3.3",
+ "@babel/types": "^7.3.3",
+ "@types/babel__core": "^7.0.0",
+ "@types/babel__traverse": "^7.0.6"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/babel-plugin-macros": {
+ "version": "3.1.0",
+ "resolved": "https://registry.npmjs.org/babel-plugin-macros/-/babel-plugin-macros-3.1.0.tgz",
+ "integrity": "sha512-Cg7TFGpIr01vOQNODXOOaGz2NpCU5gl8x1qJFbb6hbZxR7XrcE2vtbAsTAbJ7/xwJtUuJEw8K8Zr/AE0LHlesg==",
+ "dependencies": {
+ "@babel/runtime": "^7.12.5",
+ "cosmiconfig": "^7.0.0",
+ "resolve": "^1.19.0"
+ },
+ "engines": {
+ "node": ">=10",
+ "npm": ">=6"
+ }
+ },
+ "node_modules/babel-plugin-named-asset-import": {
+ "version": "0.3.8",
+ "resolved": "https://registry.npmjs.org/babel-plugin-named-asset-import/-/babel-plugin-named-asset-import-0.3.8.tgz",
+ "integrity": "sha512-WXiAc++qo7XcJ1ZnTYGtLxmBCVbddAml3CEXgWaBzNzLNoxtQ8AiGEFDMOhot9XjTCQbvP5E77Fj9Gk924f00Q==",
+ "peerDependencies": {
+ "@babel/core": "^7.1.0"
+ }
+ },
+ "node_modules/babel-plugin-polyfill-corejs2": {
+ "version": "0.4.8",
+ "resolved": "https://registry.npmjs.org/babel-plugin-polyfill-corejs2/-/babel-plugin-polyfill-corejs2-0.4.8.tgz",
+ "integrity": "sha512-OtIuQfafSzpo/LhnJaykc0R/MMnuLSSVjVYy9mHArIZ9qTCSZ6TpWCuEKZYVoN//t8HqBNScHrOtCrIK5IaGLg==",
+ "dependencies": {
+ "@babel/compat-data": "^7.22.6",
+ "@babel/helper-define-polyfill-provider": "^0.5.0",
+ "semver": "^6.3.1"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.4.0 || ^8.0.0-0 <8.0.0"
+ }
+ },
+ "node_modules/babel-plugin-polyfill-corejs3": {
+ "version": "0.9.0",
+ "resolved": "https://registry.npmjs.org/babel-plugin-polyfill-corejs3/-/babel-plugin-polyfill-corejs3-0.9.0.tgz",
+ "integrity": "sha512-7nZPG1uzK2Ymhy/NbaOWTg3uibM2BmGASS4vHS4szRZAIR8R6GwA/xAujpdrXU5iyklrimWnLWU+BLF9suPTqg==",
+ "dependencies": {
+ "@babel/helper-define-polyfill-provider": "^0.5.0",
+ "core-js-compat": "^3.34.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.4.0 || ^8.0.0-0 <8.0.0"
+ }
+ },
+ "node_modules/babel-plugin-polyfill-regenerator": {
+ "version": "0.5.5",
+ "resolved": "https://registry.npmjs.org/babel-plugin-polyfill-regenerator/-/babel-plugin-polyfill-regenerator-0.5.5.tgz",
+ "integrity": "sha512-OJGYZlhLqBh2DDHeqAxWB1XIvr49CxiJ2gIt61/PU55CQK4Z58OzMqjDe1zwQdQk+rBYsRc+1rJmdajM3gimHg==",
+ "dependencies": {
+ "@babel/helper-define-polyfill-provider": "^0.5.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.4.0 || ^8.0.0-0 <8.0.0"
+ }
+ },
+ "node_modules/babel-plugin-transform-react-remove-prop-types": {
+ "version": "0.4.24",
+ "resolved": "https://registry.npmjs.org/babel-plugin-transform-react-remove-prop-types/-/babel-plugin-transform-react-remove-prop-types-0.4.24.tgz",
+ "integrity": "sha512-eqj0hVcJUR57/Ug2zE1Yswsw4LhuqqHhD+8v120T1cl3kjg76QwtyBrdIk4WVwK+lAhBJVYCd/v+4nc4y+8JsA=="
+ },
+ "node_modules/babel-preset-current-node-syntax": {
+ "version": "1.0.1",
+ "resolved": "https://registry.npmjs.org/babel-preset-current-node-syntax/-/babel-preset-current-node-syntax-1.0.1.tgz",
+ "integrity": "sha512-M7LQ0bxarkxQoN+vz5aJPsLBn77n8QgTFmo8WK0/44auK2xlCXrYcUxHFxgU7qW5Yzw/CjmLRK2uJzaCd7LvqQ==",
+ "dependencies": {
+ "@babel/plugin-syntax-async-generators": "^7.8.4",
+ "@babel/plugin-syntax-bigint": "^7.8.3",
+ "@babel/plugin-syntax-class-properties": "^7.8.3",
+ "@babel/plugin-syntax-import-meta": "^7.8.3",
+ "@babel/plugin-syntax-json-strings": "^7.8.3",
+ "@babel/plugin-syntax-logical-assignment-operators": "^7.8.3",
+ "@babel/plugin-syntax-nullish-coalescing-operator": "^7.8.3",
+ "@babel/plugin-syntax-numeric-separator": "^7.8.3",
+ "@babel/plugin-syntax-object-rest-spread": "^7.8.3",
+ "@babel/plugin-syntax-optional-catch-binding": "^7.8.3",
+ "@babel/plugin-syntax-optional-chaining": "^7.8.3",
+ "@babel/plugin-syntax-top-level-await": "^7.8.3"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0"
+ }
+ },
+ "node_modules/babel-preset-jest": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/babel-preset-jest/-/babel-preset-jest-27.5.1.tgz",
+ "integrity": "sha512-Nptf2FzlPCWYuJg41HBqXVT8ym6bXOevuCTbhxlUpjwtysGaIWFvDEjp4y+G7fl13FgOdjs7P/DmErqH7da0Ag==",
+ "dependencies": {
+ "babel-plugin-jest-hoist": "^27.5.1",
+ "babel-preset-current-node-syntax": "^1.0.0"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ },
+ "peerDependencies": {
+ "@babel/core": "^7.0.0"
+ }
+ },
+ "node_modules/babel-preset-react-app": {
+ "version": "10.0.1",
+ "resolved": "https://registry.npmjs.org/babel-preset-react-app/-/babel-preset-react-app-10.0.1.tgz",
+ "integrity": "sha512-b0D9IZ1WhhCWkrTXyFuIIgqGzSkRIH5D5AmB0bXbzYAB1OBAwHcUeyWW2LorutLWF5btNo/N7r/cIdmvvKJlYg==",
+ "dependencies": {
+ "@babel/core": "^7.16.0",
+ "@babel/plugin-proposal-class-properties": "^7.16.0",
+ "@babel/plugin-proposal-decorators": "^7.16.4",
+ "@babel/plugin-proposal-nullish-coalescing-operator": "^7.16.0",
+ "@babel/plugin-proposal-numeric-separator": "^7.16.0",
+ "@babel/plugin-proposal-optional-chaining": "^7.16.0",
+ "@babel/plugin-proposal-private-methods": "^7.16.0",
+ "@babel/plugin-transform-flow-strip-types": "^7.16.0",
+ "@babel/plugin-transform-react-display-name": "^7.16.0",
+ "@babel/plugin-transform-runtime": "^7.16.4",
+ "@babel/preset-env": "^7.16.4",
+ "@babel/preset-react": "^7.16.0",
+ "@babel/preset-typescript": "^7.16.0",
+ "@babel/runtime": "^7.16.3",
+ "babel-plugin-macros": "^3.1.0",
+ "babel-plugin-transform-react-remove-prop-types": "^0.4.24"
+ }
+ },
+ "node_modules/balanced-match": {
+ "version": "1.0.2",
+ "resolved": "https://registry.npmjs.org/balanced-match/-/balanced-match-1.0.2.tgz",
+ "integrity": "sha512-3oSeUO0TMV67hN1AmbXsK4yaqU7tjiHlbxRDZOpH0KW9+CeX4bRAaX0Anxt0tx2MrpRpWwQaPwIlISEJhYU5Pw=="
+ },
+ "node_modules/batch": {
+ "version": "0.6.1",
+ "resolved": "https://registry.npmjs.org/batch/-/batch-0.6.1.tgz",
+ "integrity": "sha512-x+VAiMRL6UPkx+kudNvxTl6hB2XNNCG2r+7wixVfIYwu/2HKRXimwQyaumLjMveWvT2Hkd/cAJw+QBMfJ/EKVw=="
+ },
+ "node_modules/bfj": {
+ "version": "7.1.0",
+ "resolved": "https://registry.npmjs.org/bfj/-/bfj-7.1.0.tgz",
+ "integrity": "sha512-I6MMLkn+anzNdCUp9hMRyui1HaNEUCco50lxbvNS4+EyXg8lN3nJ48PjPWtbH8UVS9CuMoaKE9U2V3l29DaRQw==",
+ "dependencies": {
+ "bluebird": "^3.7.2",
+ "check-types": "^11.2.3",
+ "hoopy": "^0.1.4",
+ "jsonpath": "^1.1.1",
+ "tryer": "^1.0.1"
+ },
+ "engines": {
+ "node": ">= 8.0.0"
+ }
+ },
+ "node_modules/big.js": {
+ "version": "5.2.2",
+ "resolved": "https://registry.npmjs.org/big.js/-/big.js-5.2.2.tgz",
+ "integrity": "sha512-vyL2OymJxmarO8gxMr0mhChsO9QGwhynfuu4+MHTAW6czfq9humCB7rKpUjDd9YUiDPU4mzpyupFSvOClAwbmQ==",
+ "engines": {
+ "node": "*"
+ }
+ },
+ "node_modules/binary-extensions": {
+ "version": "2.2.0",
+ "resolved": "https://registry.npmjs.org/binary-extensions/-/binary-extensions-2.2.0.tgz",
+ "integrity": "sha512-jDctJ/IVQbZoJykoeHbhXpOlNBqGNcwXJKJog42E5HDPUwQTSdjCHdihjj0DlnheQ7blbT6dHOafNAiS8ooQKA==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/bluebird": {
+ "version": "3.7.2",
+ "resolved": "https://registry.npmjs.org/bluebird/-/bluebird-3.7.2.tgz",
+ "integrity": "sha512-XpNj6GDQzdfW+r2Wnn7xiSAd7TM3jzkxGXBGTtWKuSXv1xUV+azxAm8jdWZN06QTQk+2N2XB9jRDkvbmQmcRtg=="
+ },
+ "node_modules/body-parser": {
+ "version": "1.20.1",
+ "resolved": "https://registry.npmjs.org/body-parser/-/body-parser-1.20.1.tgz",
+ "integrity": "sha512-jWi7abTbYwajOytWCQc37VulmWiRae5RyTpaCyDcS5/lMdtwSz5lOpDE67srw/HYe35f1z3fDQw+3txg7gNtWw==",
+ "dependencies": {
+ "bytes": "3.1.2",
+ "content-type": "~1.0.4",
+ "debug": "2.6.9",
+ "depd": "2.0.0",
+ "destroy": "1.2.0",
+ "http-errors": "2.0.0",
+ "iconv-lite": "0.4.24",
+ "on-finished": "2.4.1",
+ "qs": "6.11.0",
+ "raw-body": "2.5.1",
+ "type-is": "~1.6.18",
+ "unpipe": "1.0.0"
+ },
+ "engines": {
+ "node": ">= 0.8",
+ "npm": "1.2.8000 || >= 1.4.16"
+ }
+ },
+ "node_modules/body-parser/node_modules/bytes": {
+ "version": "3.1.2",
+ "resolved": "https://registry.npmjs.org/bytes/-/bytes-3.1.2.tgz",
+ "integrity": "sha512-/Nf7TyzTx6S3yRJObOAV7956r8cr2+Oj8AC5dt8wSP3BQAoeX58NoHyCU8P8zGkNXStjTSi6fzO6F0pBdcYbEg==",
+ "engines": {
+ "node": ">= 0.8"
+ }
+ },
+ "node_modules/body-parser/node_modules/debug": {
+ "version": "2.6.9",
+ "resolved": "https://registry.npmjs.org/debug/-/debug-2.6.9.tgz",
+ "integrity": "sha512-bC7ElrdJaJnPbAP+1EotYvqZsb3ecl5wi6Bfi6BJTUcNowp6cvspg0jXznRTKDjm/E7AdgFBVeAPVMNcKGsHMA==",
+ "dependencies": {
+ "ms": "2.0.0"
+ }
+ },
+ "node_modules/body-parser/node_modules/iconv-lite": {
+ "version": "0.4.24",
+ "resolved": "https://registry.npmjs.org/iconv-lite/-/iconv-lite-0.4.24.tgz",
+ "integrity": "sha512-v3MXnZAcvnywkTUEZomIActle7RXXeedOR31wwl7VlyoXO4Qi9arvSenNQWne1TcRwhCL1HwLI21bEqdpj8/rA==",
+ "dependencies": {
+ "safer-buffer": ">= 2.1.2 < 3"
+ },
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/body-parser/node_modules/ms": {
+ "version": "2.0.0",
+ "resolved": "https://registry.npmjs.org/ms/-/ms-2.0.0.tgz",
+ "integrity": "sha512-Tpp60P6IUJDTuOq/5Z8cdskzJujfwqfOTkrwIwj7IRISpnkJnT6SyJ4PCPnGMoFjC9ddhal5KVIYtAt97ix05A=="
+ },
+ "node_modules/bonjour-service": {
+ "version": "1.2.1",
+ "resolved": "https://registry.npmjs.org/bonjour-service/-/bonjour-service-1.2.1.tgz",
+ "integrity": "sha512-oSzCS2zV14bh2kji6vNe7vrpJYCHGvcZnlffFQ1MEoX/WOeQ/teD8SYWKR942OI3INjq8OMNJlbPK5LLLUxFDw==",
+ "dependencies": {
+ "fast-deep-equal": "^3.1.3",
+ "multicast-dns": "^7.2.5"
+ }
+ },
+ "node_modules/bonjour-service/node_modules/fast-deep-equal": {
+ "version": "3.1.3",
+ "resolved": "https://registry.npmjs.org/fast-deep-equal/-/fast-deep-equal-3.1.3.tgz",
+ "integrity": "sha512-f3qQ9oQy9j2AhBe/H9VC91wLmKBCCU/gDOnKNAYG5hswO7BLKj09Hc5HYNz9cGI++xlpDCIgDaitVs03ATR84Q=="
+ },
+ "node_modules/boolbase": {
+ "version": "1.0.0",
+ "resolved": "https://registry.npmjs.org/boolbase/-/boolbase-1.0.0.tgz",
+ "integrity": "sha512-JZOSA7Mo9sNGB8+UjSgzdLtokWAky1zbztM3WRLCbZ70/3cTANmQmOdR7y2g+J0e2WXywy1yS468tY+IruqEww=="
+ },
+ "node_modules/brace-expansion": {
+ "version": "1.1.11",
+ "resolved": "https://registry.npmjs.org/brace-expansion/-/brace-expansion-1.1.11.tgz",
+ "integrity": "sha512-iCuPHDFgrHX7H2vEI/5xpz07zSHB00TpugqhmYtVmMO6518mCuRMoOYFldEBl0g187ufozdaHgWKcYFb61qGiA==",
+ "dependencies": {
+ "balanced-match": "^1.0.0",
+ "concat-map": "0.0.1"
+ }
+ },
+ "node_modules/braces": {
+ "version": "3.0.2",
+ "resolved": "https://registry.npmjs.org/braces/-/braces-3.0.2.tgz",
+ "integrity": "sha512-b8um+L1RzM3WDSzvhm6gIz1yfTbBt6YTlcEKAvsmqCZZFw46z626lVj9j1yEPW33H5H+lBQpZMP1k8l+78Ha0A==",
+ "dependencies": {
+ "fill-range": "^7.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/browser-process-hrtime": {
+ "version": "1.0.0",
+ "resolved": "https://registry.npmjs.org/browser-process-hrtime/-/browser-process-hrtime-1.0.0.tgz",
+ "integrity": "sha512-9o5UecI3GhkpM6DrXr69PblIuWxPKk9Y0jHBRhdocZ2y7YECBFCsHm79Pr3OyR2AvjhDkabFJaDJMYRazHgsow=="
+ },
+ "node_modules/browserslist": {
+ "version": "4.23.0",
+ "resolved": "https://registry.npmjs.org/browserslist/-/browserslist-4.23.0.tgz",
+ "integrity": "sha512-QW8HiM1shhT2GuzkvklfjcKDiWFXHOeFCIA/huJPwHsslwcydgk7X+z2zXpEijP98UCY7HbubZt5J2Zgvf0CaQ==",
+ "funding": [
+ {
+ "type": "opencollective",
+ "url": "https://opencollective.com/browserslist"
+ },
+ {
+ "type": "tidelift",
+ "url": "https://tidelift.com/funding/github/npm/browserslist"
+ },
+ {
+ "type": "github",
+ "url": "https://github.com/sponsors/ai"
+ }
+ ],
+ "dependencies": {
+ "caniuse-lite": "^1.0.30001587",
+ "electron-to-chromium": "^1.4.668",
+ "node-releases": "^2.0.14",
+ "update-browserslist-db": "^1.0.13"
+ },
+ "bin": {
+ "browserslist": "cli.js"
+ },
+ "engines": {
+ "node": "^6 || ^7 || ^8 || ^9 || ^10 || ^11 || ^12 || >=13.7"
+ }
+ },
+ "node_modules/bser": {
+ "version": "2.1.1",
+ "resolved": "https://registry.npmjs.org/bser/-/bser-2.1.1.tgz",
+ "integrity": "sha512-gQxTNE/GAfIIrmHLUE3oJyp5FO6HRBfhjnw4/wMmA63ZGDJnWBmgY/lyQBpnDUkGmAhbSe39tx2d/iTOAfglwQ==",
+ "dependencies": {
+ "node-int64": "^0.4.0"
+ }
+ },
+ "node_modules/buffer-from": {
+ "version": "1.1.2",
+ "resolved": "https://registry.npmjs.org/buffer-from/-/buffer-from-1.1.2.tgz",
+ "integrity": "sha512-E+XQCRwSbaaiChtv6k6Dwgc+bx+Bs6vuKJHHl5kox/BaKbhiXzqQOwK4cO22yElGp2OCmjwVhT3HmxgyPGnJfQ=="
+ },
+ "node_modules/builtin-modules": {
+ "version": "3.3.0",
+ "resolved": "https://registry.npmjs.org/builtin-modules/-/builtin-modules-3.3.0.tgz",
+ "integrity": "sha512-zhaCDicdLuWN5UbN5IMnFqNMhNfo919sH85y2/ea+5Yg9TsTkeZxpL+JLbp6cgYFS4sRLp3YV4S6yDuqVWHYOw==",
+ "engines": {
+ "node": ">=6"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/bytes": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/bytes/-/bytes-3.0.0.tgz",
+ "integrity": "sha512-pMhOfFDPiv9t5jjIXkHosWmkSyQbvsgEVNkz0ERHbuLh2T/7j4Mqqpz523Fe8MVY89KC6Sh/QfS2sM+SjgFDcw==",
+ "engines": {
+ "node": ">= 0.8"
+ }
+ },
+ "node_modules/call-bind": {
+ "version": "1.0.7",
+ "resolved": "https://registry.npmjs.org/call-bind/-/call-bind-1.0.7.tgz",
+ "integrity": "sha512-GHTSNSYICQ7scH7sZ+M2rFopRoLh8t2bLSW6BbgrtLsahOIB5iyAVJf9GjWK3cYTDaMj4XdBpM1cA6pIS0Kv2w==",
+ "dependencies": {
+ "es-define-property": "^1.0.0",
+ "es-errors": "^1.3.0",
+ "function-bind": "^1.1.2",
+ "get-intrinsic": "^1.2.4",
+ "set-function-length": "^1.2.1"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/callsites": {
+ "version": "3.1.0",
+ "resolved": "https://registry.npmjs.org/callsites/-/callsites-3.1.0.tgz",
+ "integrity": "sha512-P8BjAsXvZS+VIDUI11hHCQEv74YT67YUi5JJFNWIqL235sBmjX4+qx9Muvls5ivyNENctx46xQLQ3aTuE7ssaQ==",
+ "engines": {
+ "node": ">=6"
+ }
+ },
+ "node_modules/camel-case": {
+ "version": "4.1.2",
+ "resolved": "https://registry.npmjs.org/camel-case/-/camel-case-4.1.2.tgz",
+ "integrity": "sha512-gxGWBrTT1JuMx6R+o5PTXMmUnhnVzLQ9SNutD4YqKtI6ap897t3tKECYla6gCWEkplXnlNybEkZg9GEGxKFCgw==",
+ "dependencies": {
+ "pascal-case": "^3.1.2",
+ "tslib": "^2.0.3"
+ }
+ },
+ "node_modules/camelcase": {
+ "version": "6.3.0",
+ "resolved": "https://registry.npmjs.org/camelcase/-/camelcase-6.3.0.tgz",
+ "integrity": "sha512-Gmy6FhYlCY7uOElZUSbxo2UCDH8owEk996gkbrpsgGtrJLM3J7jGxl9Ic7Qwwj4ivOE5AWZWRMecDdF7hqGjFA==",
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/camelcase-css": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/camelcase-css/-/camelcase-css-2.0.1.tgz",
+ "integrity": "sha512-QOSvevhslijgYwRx6Rv7zKdMF8lbRmx+uQGx2+vDc+KI/eBnsy9kit5aj23AgGu3pa4t9AgwbnXWqS+iOY+2aA==",
+ "engines": {
+ "node": ">= 6"
+ }
+ },
+ "node_modules/caniuse-api": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/caniuse-api/-/caniuse-api-3.0.0.tgz",
+ "integrity": "sha512-bsTwuIg/BZZK/vreVTYYbSWoe2F+71P7K5QGEX+pT250DZbfU1MQ5prOKpPR+LL6uWKK3KMwMCAS74QB3Um1uw==",
+ "dependencies": {
+ "browserslist": "^4.0.0",
+ "caniuse-lite": "^1.0.0",
+ "lodash.memoize": "^4.1.2",
+ "lodash.uniq": "^4.5.0"
+ }
+ },
+ "node_modules/caniuse-lite": {
+ "version": "1.0.30001587",
+ "resolved": "https://registry.npmjs.org/caniuse-lite/-/caniuse-lite-1.0.30001587.tgz",
+ "integrity": "sha512-HMFNotUmLXn71BQxg8cijvqxnIAofforZOwGsxyXJ0qugTdspUF4sPSJ2vhgprHCB996tIDzEq1ubumPDV8ULA==",
+ "funding": [
+ {
+ "type": "opencollective",
+ "url": "https://opencollective.com/browserslist"
+ },
+ {
+ "type": "tidelift",
+ "url": "https://tidelift.com/funding/github/npm/caniuse-lite"
+ },
+ {
+ "type": "github",
+ "url": "https://github.com/sponsors/ai"
+ }
+ ]
+ },
+ "node_modules/case-sensitive-paths-webpack-plugin": {
+ "version": "2.4.0",
+ "resolved": "https://registry.npmjs.org/case-sensitive-paths-webpack-plugin/-/case-sensitive-paths-webpack-plugin-2.4.0.tgz",
+ "integrity": "sha512-roIFONhcxog0JSSWbvVAh3OocukmSgpqOH6YpMkCvav/ySIV3JKg4Dc8vYtQjYi/UxpNE36r/9v+VqTQqgkYmw==",
+ "engines": {
+ "node": ">=4"
+ }
+ },
+ "node_modules/chalk": {
+ "version": "2.4.2",
+ "resolved": "https://registry.npmjs.org/chalk/-/chalk-2.4.2.tgz",
+ "integrity": "sha512-Mti+f9lpJNcwF4tWV8/OrTTtF1gZi+f8FqlyAdouralcFWFQWF2+NgCHShjkCb+IFBLq9buZwE1xckQU4peSuQ==",
+ "dependencies": {
+ "ansi-styles": "^3.2.1",
+ "escape-string-regexp": "^1.0.5",
+ "supports-color": "^5.3.0"
+ },
+ "engines": {
+ "node": ">=4"
+ }
+ },
+ "node_modules/char-regex": {
+ "version": "1.0.2",
+ "resolved": "https://registry.npmjs.org/char-regex/-/char-regex-1.0.2.tgz",
+ "integrity": "sha512-kWWXztvZ5SBQV+eRgKFeh8q5sLuZY2+8WUIzlxWVTg+oGwY14qylx1KbKzHd8P6ZYkAg0xyIDU9JMHhyJMZ1jw==",
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/check-types": {
+ "version": "11.2.3",
+ "resolved": "https://registry.npmjs.org/check-types/-/check-types-11.2.3.tgz",
+ "integrity": "sha512-+67P1GkJRaxQD6PKK0Et9DhwQB+vGg3PM5+aavopCpZT1lj9jeqfvpgTLAWErNj8qApkkmXlu/Ug74kmhagkXg=="
+ },
+ "node_modules/chokidar": {
+ "version": "3.6.0",
+ "resolved": "https://registry.npmjs.org/chokidar/-/chokidar-3.6.0.tgz",
+ "integrity": "sha512-7VT13fmjotKpGipCW9JEQAusEPE+Ei8nl6/g4FBAmIm0GOOLMua9NDDo/DWp0ZAxCr3cPq5ZpBqmPAQgDda2Pw==",
+ "dependencies": {
+ "anymatch": "~3.1.2",
+ "braces": "~3.0.2",
+ "glob-parent": "~5.1.2",
+ "is-binary-path": "~2.1.0",
+ "is-glob": "~4.0.1",
+ "normalize-path": "~3.0.0",
+ "readdirp": "~3.6.0"
+ },
+ "engines": {
+ "node": ">= 8.10.0"
+ },
+ "funding": {
+ "url": "https://paulmillr.com/funding/"
+ },
+ "optionalDependencies": {
+ "fsevents": "~2.3.2"
+ }
+ },
+ "node_modules/chokidar/node_modules/glob-parent": {
+ "version": "5.1.2",
+ "resolved": "https://registry.npmjs.org/glob-parent/-/glob-parent-5.1.2.tgz",
+ "integrity": "sha512-AOIgSQCepiJYwP3ARnGx+5VnTu2HBYdzbGP45eLw1vr3zB3vZLeyed1sC9hnbcOc9/SrMyM5RPQrkGz4aS9Zow==",
+ "dependencies": {
+ "is-glob": "^4.0.1"
+ },
+ "engines": {
+ "node": ">= 6"
+ }
+ },
+ "node_modules/chrome-trace-event": {
+ "version": "1.0.3",
+ "resolved": "https://registry.npmjs.org/chrome-trace-event/-/chrome-trace-event-1.0.3.tgz",
+ "integrity": "sha512-p3KULyQg4S7NIHixdwbGX+nFHkoBiA4YQmyWtjb8XngSKV124nJmRysgAeujbUVb15vh+RvFUfCPqU7rXk+hZg==",
+ "engines": {
+ "node": ">=6.0"
+ }
+ },
+ "node_modules/ci-info": {
+ "version": "3.9.0",
+ "resolved": "https://registry.npmjs.org/ci-info/-/ci-info-3.9.0.tgz",
+ "integrity": "sha512-NIxF55hv4nSqQswkAeiOi1r83xy8JldOFDTWiug55KBu9Jnblncd2U6ViHmYgHf01TPZS77NJBhBMKdWj9HQMQ==",
+ "funding": [
+ {
+ "type": "github",
+ "url": "https://github.com/sponsors/sibiraj-s"
+ }
+ ],
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/cjs-module-lexer": {
+ "version": "1.2.3",
+ "resolved": "https://registry.npmjs.org/cjs-module-lexer/-/cjs-module-lexer-1.2.3.tgz",
+ "integrity": "sha512-0TNiGstbQmCFwt4akjjBg5pLRTSyj/PkWQ1ZoO2zntmg9yLqSRxwEa4iCfQLGjqhiqBfOJa7W/E8wfGrTDmlZQ=="
+ },
+ "node_modules/clean-css": {
+ "version": "5.3.3",
+ "resolved": "https://registry.npmjs.org/clean-css/-/clean-css-5.3.3.tgz",
+ "integrity": "sha512-D5J+kHaVb/wKSFcyyV75uCn8fiY4sV38XJoe4CUyGQ+mOU/fMVYUdH1hJC+CJQ5uY3EnW27SbJYS4X8BiLrAFg==",
+ "dependencies": {
+ "source-map": "~0.6.0"
+ },
+ "engines": {
+ "node": ">= 10.0"
+ }
+ },
+ "node_modules/clean-css/node_modules/source-map": {
+ "version": "0.6.1",
+ "resolved": "https://registry.npmjs.org/source-map/-/source-map-0.6.1.tgz",
+ "integrity": "sha512-UjgapumWlbMhkBgzT7Ykc5YXUT46F0iKu8SGXq0bcwP5dz/h0Plj6enJqjz1Zbq2l5WaqYnrVbwWOWMyF3F47g==",
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/clean-stack": {
+ "version": "2.2.0",
+ "resolved": "https://registry.npmjs.org/clean-stack/-/clean-stack-2.2.0.tgz",
+ "integrity": "sha512-4diC9HaTE+KRAMWhDhrGOECgWZxoevMc5TlkObMqNSsVU62PYzXZ/SMTjzyGAFF1YusgxGcSWTEXBhp0CPwQ1A==",
+ "dev": true,
+ "engines": {
+ "node": ">=6"
+ }
+ },
+ "node_modules/cli-cursor": {
+ "version": "3.1.0",
+ "resolved": "https://registry.npmjs.org/cli-cursor/-/cli-cursor-3.1.0.tgz",
+ "integrity": "sha512-I/zHAwsKf9FqGoXM4WWRACob9+SNukZTd94DWF57E4toouRulbCxcUh6RKUEOQlYTHJnzkPMySvPNaaSLNfLZw==",
+ "dev": true,
+ "dependencies": {
+ "restore-cursor": "^3.1.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/cli-truncate": {
+ "version": "3.1.0",
+ "resolved": "https://registry.npmjs.org/cli-truncate/-/cli-truncate-3.1.0.tgz",
+ "integrity": "sha512-wfOBkjXteqSnI59oPcJkcPl/ZmwvMMOj340qUIY1SKZCv0B9Cf4D4fAucRkIKQmsIuYK3x1rrgU7MeGRruiuiA==",
+ "dev": true,
+ "dependencies": {
+ "slice-ansi": "^5.0.0",
+ "string-width": "^5.0.0"
+ },
+ "engines": {
+ "node": "^12.20.0 || ^14.13.1 || >=16.0.0"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/cliui": {
+ "version": "7.0.4",
+ "resolved": "https://registry.npmjs.org/cliui/-/cliui-7.0.4.tgz",
+ "integrity": "sha512-OcRE68cOsVMXp1Yvonl/fzkQOyjLSu/8bhPDfQt0e0/Eb283TKP20Fs2MqoPsr9SwA595rRCA+QMzYc9nBP+JQ==",
+ "dependencies": {
+ "string-width": "^4.2.0",
+ "strip-ansi": "^6.0.0",
+ "wrap-ansi": "^7.0.0"
+ }
+ },
+ "node_modules/cliui/node_modules/emoji-regex": {
+ "version": "8.0.0",
+ "resolved": "https://registry.npmjs.org/emoji-regex/-/emoji-regex-8.0.0.tgz",
+ "integrity": "sha512-MSjYzcWNOA0ewAHpz0MxpYFvwg6yjy1NG3xteoqz644VCo/RPgnr1/GGt+ic3iJTzQ8Eu3TdM14SawnVUmGE6A=="
+ },
+ "node_modules/cliui/node_modules/is-fullwidth-code-point": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/is-fullwidth-code-point/-/is-fullwidth-code-point-3.0.0.tgz",
+ "integrity": "sha512-zymm5+u+sCsSWyD9qNaejV3DFvhCKclKdizYaJUuHA83RLjb7nSuGnddCHGv0hk+KY7BMAlsWeK4Ueg6EV6XQg==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/cliui/node_modules/string-width": {
+ "version": "4.2.3",
+ "resolved": "https://registry.npmjs.org/string-width/-/string-width-4.2.3.tgz",
+ "integrity": "sha512-wKyQRQpjJ0sIp62ErSZdGsjMJWsap5oRNihHhu6G7JVO/9jIB6UyevL+tXuOqrng8j/cxKTWyWUwvSTriiZz/g==",
+ "dependencies": {
+ "emoji-regex": "^8.0.0",
+ "is-fullwidth-code-point": "^3.0.0",
+ "strip-ansi": "^6.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/co": {
+ "version": "4.6.0",
+ "resolved": "https://registry.npmjs.org/co/-/co-4.6.0.tgz",
+ "integrity": "sha512-QVb0dM5HvG+uaxitm8wONl7jltx8dqhfU33DcqtOZcLSVIKSDDLDi7+0LbAKiyI8hD9u42m2YxXSkMGWThaecQ==",
+ "engines": {
+ "iojs": ">= 1.0.0",
+ "node": ">= 0.12.0"
+ }
+ },
+ "node_modules/coa": {
+ "version": "2.0.2",
+ "resolved": "https://registry.npmjs.org/coa/-/coa-2.0.2.tgz",
+ "integrity": "sha512-q5/jG+YQnSy4nRTV4F7lPepBJZ8qBNJJDBuJdoejDyLXgmL7IEo+Le2JDZudFTFt7mrCqIRaSjws4ygRCTCAXA==",
+ "dependencies": {
+ "@types/q": "^1.5.1",
+ "chalk": "^2.4.1",
+ "q": "^1.1.2"
+ },
+ "engines": {
+ "node": ">= 4.0"
+ }
+ },
+ "node_modules/codem-isoboxer": {
+ "version": "0.3.6",
+ "resolved": "https://registry.npmjs.org/codem-isoboxer/-/codem-isoboxer-0.3.6.tgz",
+ "integrity": "sha512-LuO8/7LW6XuR5ERn1yavXAfodGRhuY2yP60JTZIw5yNYMCE5lUVbk3NFUCJxjnphQH+Xemp5hOGb1LgUXm00Xw=="
+ },
+ "node_modules/collect-v8-coverage": {
+ "version": "1.0.2",
+ "resolved": "https://registry.npmjs.org/collect-v8-coverage/-/collect-v8-coverage-1.0.2.tgz",
+ "integrity": "sha512-lHl4d5/ONEbLlJvaJNtsF/Lz+WvB07u2ycqTYbdrq7UypDXailES4valYb2eWiJFxZlVmpGekfqoxQhzyFdT4Q=="
+ },
+ "node_modules/color-convert": {
+ "version": "1.9.3",
+ "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-1.9.3.tgz",
+ "integrity": "sha512-QfAUtd+vFdAtFQcC8CCyYt1fYWxSqAiK2cSD6zDB8N3cpsEBAvRxp9zOGg6G/SHHJYAT88/az/IuDGALsNVbGg==",
+ "dependencies": {
+ "color-name": "1.1.3"
+ }
+ },
+ "node_modules/color-name": {
+ "version": "1.1.3",
+ "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.3.tgz",
+ "integrity": "sha512-72fSenhMw2HZMTVHeCA9KCmpEIbzWiQsjN+BHcBbS9vr1mtt+vJjPdksIBNUmKAW8TFUDPJK5SUU3QhE9NEXDw=="
+ },
+ "node_modules/colord": {
+ "version": "2.9.3",
+ "resolved": "https://registry.npmjs.org/colord/-/colord-2.9.3.tgz",
+ "integrity": "sha512-jeC1axXpnb0/2nn/Y1LPuLdgXBLH7aDcHu4KEKfqw3CUhX7ZpfBSlPKyqXE6btIgEzfWtrX3/tyBCaCvXvMkOw=="
+ },
+ "node_modules/colorette": {
+ "version": "2.0.20",
+ "resolved": "https://registry.npmjs.org/colorette/-/colorette-2.0.20.tgz",
+ "integrity": "sha512-IfEDxwoWIjkeXL1eXcDiow4UbKjhLdq6/EuSVR9GMN7KVH3r9gQ83e73hsz1Nd1T3ijd5xv1wcWRYO+D6kCI2w=="
+ },
+ "node_modules/combined-stream": {
+ "version": "1.0.8",
+ "resolved": "https://registry.npmjs.org/combined-stream/-/combined-stream-1.0.8.tgz",
+ "integrity": "sha512-FQN4MRfuJeHf7cBbBMJFXhKSDq+2kAArBlmRBvcvFE5BB1HZKXtSFASDhdlz9zOYwxh8lDdnvmMOe/+5cdoEdg==",
+ "dependencies": {
+ "delayed-stream": "~1.0.0"
+ },
+ "engines": {
+ "node": ">= 0.8"
+ }
+ },
+ "node_modules/commander": {
+ "version": "9.5.0",
+ "resolved": "https://registry.npmjs.org/commander/-/commander-9.5.0.tgz",
+ "integrity": "sha512-KRs7WVDKg86PWiuAqhDrAQnTXZKraVcCc6vFdL14qrZ/DcWwuRo7VoiYXalXO7S5GKpqYiVEwCbgFDfxNHKJBQ==",
+ "dev": true,
+ "engines": {
+ "node": "^12.20.0 || >=14"
+ }
+ },
+ "node_modules/common-path-prefix": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/common-path-prefix/-/common-path-prefix-3.0.0.tgz",
+ "integrity": "sha512-QE33hToZseCH3jS0qN96O/bSh3kaw/h+Tq7ngyY9eWDUnTlTNUyqfqvCXioLe5Na5jFsL78ra/wuBU4iuEgd4w=="
+ },
+ "node_modules/common-tags": {
+ "version": "1.8.2",
+ "resolved": "https://registry.npmjs.org/common-tags/-/common-tags-1.8.2.tgz",
+ "integrity": "sha512-gk/Z852D2Wtb//0I+kRFNKKE9dIIVirjoqPoA1wJU+XePVXZfGeBpk45+A1rKO4Q43prqWBNY/MiIeRLbPWUaA==",
+ "engines": {
+ "node": ">=4.0.0"
+ }
+ },
+ "node_modules/commondir": {
+ "version": "1.0.1",
+ "resolved": "https://registry.npmjs.org/commondir/-/commondir-1.0.1.tgz",
+ "integrity": "sha512-W9pAhw0ja1Edb5GVdIF1mjZw/ASI0AlShXM83UUGe2DVr5TdAPEA1OA8m/g8zWp9x6On7gqufY+FatDbC3MDQg=="
+ },
+ "node_modules/compressible": {
+ "version": "2.0.18",
+ "resolved": "https://registry.npmjs.org/compressible/-/compressible-2.0.18.tgz",
+ "integrity": "sha512-AF3r7P5dWxL8MxyITRMlORQNaOA2IkAFaTr4k7BUumjPtRpGDTZpl0Pb1XCO6JeDCBdp126Cgs9sMxqSjgYyRg==",
+ "dependencies": {
+ "mime-db": ">= 1.43.0 < 2"
+ },
+ "engines": {
+ "node": ">= 0.6"
+ }
+ },
+ "node_modules/compression": {
+ "version": "1.7.4",
+ "resolved": "https://registry.npmjs.org/compression/-/compression-1.7.4.tgz",
+ "integrity": "sha512-jaSIDzP9pZVS4ZfQ+TzvtiWhdpFhE2RDHz8QJkpX9SIpLq88VueF5jJw6t+6CUQcAoA6t+x89MLrWAqpfDE8iQ==",
+ "dependencies": {
+ "accepts": "~1.3.5",
+ "bytes": "3.0.0",
+ "compressible": "~2.0.16",
+ "debug": "2.6.9",
+ "on-headers": "~1.0.2",
+ "safe-buffer": "5.1.2",
+ "vary": "~1.1.2"
+ },
+ "engines": {
+ "node": ">= 0.8.0"
+ }
+ },
+ "node_modules/compression/node_modules/debug": {
+ "version": "2.6.9",
+ "resolved": "https://registry.npmjs.org/debug/-/debug-2.6.9.tgz",
+ "integrity": "sha512-bC7ElrdJaJnPbAP+1EotYvqZsb3ecl5wi6Bfi6BJTUcNowp6cvspg0jXznRTKDjm/E7AdgFBVeAPVMNcKGsHMA==",
+ "dependencies": {
+ "ms": "2.0.0"
+ }
+ },
+ "node_modules/compression/node_modules/ms": {
+ "version": "2.0.0",
+ "resolved": "https://registry.npmjs.org/ms/-/ms-2.0.0.tgz",
+ "integrity": "sha512-Tpp60P6IUJDTuOq/5Z8cdskzJujfwqfOTkrwIwj7IRISpnkJnT6SyJ4PCPnGMoFjC9ddhal5KVIYtAt97ix05A=="
+ },
+ "node_modules/compression/node_modules/safe-buffer": {
+ "version": "5.1.2",
+ "resolved": "https://registry.npmjs.org/safe-buffer/-/safe-buffer-5.1.2.tgz",
+ "integrity": "sha512-Gd2UZBJDkXlY7GbJxfsE8/nvKkUEU1G38c1siN6QP6a9PT9MmHB8GnpscSmMJSoF8LOIrt8ud/wPtojys4G6+g=="
+ },
+ "node_modules/concat-map": {
+ "version": "0.0.1",
+ "resolved": "https://registry.npmjs.org/concat-map/-/concat-map-0.0.1.tgz",
+ "integrity": "sha512-/Srv4dswyQNBfohGpz9o6Yb3Gz3SrUDqBH5rTuhGR7ahtlbYKnVxw2bCFMRljaA7EXHaXZ8wsHdodFvbkhKmqg=="
+ },
+ "node_modules/confusing-browser-globals": {
+ "version": "1.0.11",
+ "resolved": "https://registry.npmjs.org/confusing-browser-globals/-/confusing-browser-globals-1.0.11.tgz",
+ "integrity": "sha512-JsPKdmh8ZkmnHxDk55FZ1TqVLvEQTvoByJZRN9jzI0UjxK/QgAmsphz7PGtqgPieQZ/CQcHWXCR7ATDNhGe+YA=="
+ },
+ "node_modules/connect-history-api-fallback": {
+ "version": "2.0.0",
+ "resolved": "https://registry.npmjs.org/connect-history-api-fallback/-/connect-history-api-fallback-2.0.0.tgz",
+ "integrity": "sha512-U73+6lQFmfiNPrYbXqr6kZ1i1wiRqXnp2nhMsINseWXO8lDau0LGEffJ8kQi4EjLZympVgRdvqjAgiZ1tgzDDA==",
+ "engines": {
+ "node": ">=0.8"
+ }
+ },
+ "node_modules/content-disposition": {
+ "version": "0.5.4",
+ "resolved": "https://registry.npmjs.org/content-disposition/-/content-disposition-0.5.4.tgz",
+ "integrity": "sha512-FveZTNuGw04cxlAiWbzi6zTAL/lhehaWbTtgluJh4/E95DqMwTmha3KZN1aAWA8cFIhHzMZUvLevkw5Rqk+tSQ==",
+ "dependencies": {
+ "safe-buffer": "5.2.1"
+ },
+ "engines": {
+ "node": ">= 0.6"
+ }
+ },
+ "node_modules/content-type": {
+ "version": "1.0.5",
+ "resolved": "https://registry.npmjs.org/content-type/-/content-type-1.0.5.tgz",
+ "integrity": "sha512-nTjqfcBFEipKdXCv4YDQWCfmcLZKm81ldF0pAopTvyrFGVbcR6P/VAAd5G7N+0tTr8QqiU0tFadD6FK4NtJwOA==",
+ "engines": {
+ "node": ">= 0.6"
+ }
+ },
+ "node_modules/convert-source-map": {
+ "version": "2.0.0",
+ "resolved": "https://registry.npmjs.org/convert-source-map/-/convert-source-map-2.0.0.tgz",
+ "integrity": "sha512-Kvp459HrV2FEJ1CAsi1Ku+MY3kasH19TFykTz2xWmMeq6bk2NU3XXvfJ+Q61m0xktWwt+1HSYf3JZsTms3aRJg=="
+ },
+ "node_modules/cookie": {
+ "version": "0.5.0",
+ "resolved": "https://registry.npmjs.org/cookie/-/cookie-0.5.0.tgz",
+ "integrity": "sha512-YZ3GUyn/o8gfKJlnlX7g7xq4gyO6OSuhGPKaaGssGB2qgDUS0gPgtTvoyZLTt9Ab6dC4hfc9dV5arkvc/OCmrw==",
+ "engines": {
+ "node": ">= 0.6"
+ }
+ },
+ "node_modules/cookie-signature": {
+ "version": "1.0.6",
+ "resolved": "https://registry.npmjs.org/cookie-signature/-/cookie-signature-1.0.6.tgz",
+ "integrity": "sha512-QADzlaHc8icV8I7vbaJXJwod9HWYp8uCqf1xa4OfNu1T7JVxQIrUgOWtHdNDtPiywmFbiS12VjotIXLrKM3orQ=="
+ },
+ "node_modules/core-js": {
+ "version": "3.36.0",
+ "resolved": "https://registry.npmjs.org/core-js/-/core-js-3.36.0.tgz",
+ "integrity": "sha512-mt7+TUBbTFg5+GngsAxeKBTl5/VS0guFeJacYge9OmHb+m058UwwIm41SE9T4Den7ClatV57B6TYTuJ0CX1MAw==",
+ "hasInstallScript": true,
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/core-js"
+ }
+ },
+ "node_modules/core-js-compat": {
+ "version": "3.36.0",
+ "resolved": "https://registry.npmjs.org/core-js-compat/-/core-js-compat-3.36.0.tgz",
+ "integrity": "sha512-iV9Pd/PsgjNWBXeq8XRtWVSgz2tKAfhfvBs7qxYty+RlRd+OCksaWmOnc4JKrTc1cToXL1N0s3l/vwlxPtdElw==",
+ "dependencies": {
+ "browserslist": "^4.22.3"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/core-js"
+ }
+ },
+ "node_modules/core-js-pure": {
+ "version": "3.36.0",
+ "resolved": "https://registry.npmjs.org/core-js-pure/-/core-js-pure-3.36.0.tgz",
+ "integrity": "sha512-cN28qmhRNgbMZZMc/RFu5w8pK9VJzpb2rJVR/lHuZJKwmXnoWOpXmMkxqBB514igkp1Hu8WGROsiOAzUcKdHOQ==",
+ "hasInstallScript": true,
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/core-js"
+ }
+ },
+ "node_modules/core-util-is": {
+ "version": "1.0.3",
+ "resolved": "https://registry.npmjs.org/core-util-is/-/core-util-is-1.0.3.tgz",
+ "integrity": "sha512-ZQBvi1DcpJ4GDqanjucZ2Hj3wEO5pZDS89BWbkcrvdxksJorwUDDZamX9ldFkp9aw2lmBDLgkObEA4DWNJ9FYQ=="
+ },
+ "node_modules/cosmiconfig": {
+ "version": "7.1.0",
+ "resolved": "https://registry.npmjs.org/cosmiconfig/-/cosmiconfig-7.1.0.tgz",
+ "integrity": "sha512-AdmX6xUzdNASswsFtmwSt7Vj8po9IuqXm0UXz7QKPuEUmPB4XyjGfaAr2PSuELMwkRMVH1EpIkX5bTZGRB3eCA==",
+ "dependencies": {
+ "@types/parse-json": "^4.0.0",
+ "import-fresh": "^3.2.1",
+ "parse-json": "^5.0.0",
+ "path-type": "^4.0.0",
+ "yaml": "^1.10.0"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/cross-spawn": {
+ "version": "7.0.3",
+ "resolved": "https://registry.npmjs.org/cross-spawn/-/cross-spawn-7.0.3.tgz",
+ "integrity": "sha512-iRDPJKUPVEND7dHPO8rkbOnPpyDygcDFtWjpeWNCgy8WP2rXcxXL8TskReQl6OrB2G7+UJrags1q15Fudc7G6w==",
+ "dependencies": {
+ "path-key": "^3.1.0",
+ "shebang-command": "^2.0.0",
+ "which": "^2.0.1"
+ },
+ "engines": {
+ "node": ">= 8"
+ }
+ },
+ "node_modules/crypto-random-string": {
+ "version": "2.0.0",
+ "resolved": "https://registry.npmjs.org/crypto-random-string/-/crypto-random-string-2.0.0.tgz",
+ "integrity": "sha512-v1plID3y9r/lPhviJ1wrXpLeyUIGAZ2SHNYTEapm7/8A9nLPoyvVp3RK/EPFqn5kEznyWgYZNsRtYYIWbuG8KA==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/css-blank-pseudo": {
+ "version": "3.0.3",
+ "resolved": "https://registry.npmjs.org/css-blank-pseudo/-/css-blank-pseudo-3.0.3.tgz",
+ "integrity": "sha512-VS90XWtsHGqoM0t4KpH053c4ehxZ2E6HtGI7x68YFV0pTo/QmkV/YFA+NnlvK8guxZVNWGQhVNJGC39Q8XF4OQ==",
+ "dependencies": {
+ "postcss-selector-parser": "^6.0.9"
+ },
+ "bin": {
+ "css-blank-pseudo": "dist/cli.cjs"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "peerDependencies": {
+ "postcss": "^8.4"
+ }
+ },
+ "node_modules/css-declaration-sorter": {
+ "version": "6.4.1",
+ "resolved": "https://registry.npmjs.org/css-declaration-sorter/-/css-declaration-sorter-6.4.1.tgz",
+ "integrity": "sha512-rtdthzxKuyq6IzqX6jEcIzQF/YqccluefyCYheovBOLhFT/drQA9zj/UbRAa9J7C0o6EG6u3E6g+vKkay7/k3g==",
+ "engines": {
+ "node": "^10 || ^12 || >=14"
+ },
+ "peerDependencies": {
+ "postcss": "^8.0.9"
+ }
+ },
+ "node_modules/css-has-pseudo": {
+ "version": "3.0.4",
+ "resolved": "https://registry.npmjs.org/css-has-pseudo/-/css-has-pseudo-3.0.4.tgz",
+ "integrity": "sha512-Vse0xpR1K9MNlp2j5w1pgWIJtm1a8qS0JwS9goFYcImjlHEmywP9VUF05aGBXzGpDJF86QXk4L0ypBmwPhGArw==",
+ "dependencies": {
+ "postcss-selector-parser": "^6.0.9"
+ },
+ "bin": {
+ "css-has-pseudo": "dist/cli.cjs"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "peerDependencies": {
+ "postcss": "^8.4"
+ }
+ },
+ "node_modules/css-loader": {
+ "version": "6.10.0",
+ "resolved": "https://registry.npmjs.org/css-loader/-/css-loader-6.10.0.tgz",
+ "integrity": "sha512-LTSA/jWbwdMlk+rhmElbDR2vbtQoTBPr7fkJE+mxrHj+7ru0hUmHafDRzWIjIHTwpitWVaqY2/UWGRca3yUgRw==",
+ "dependencies": {
+ "icss-utils": "^5.1.0",
+ "postcss": "^8.4.33",
+ "postcss-modules-extract-imports": "^3.0.0",
+ "postcss-modules-local-by-default": "^4.0.4",
+ "postcss-modules-scope": "^3.1.1",
+ "postcss-modules-values": "^4.0.0",
+ "postcss-value-parser": "^4.2.0",
+ "semver": "^7.5.4"
+ },
+ "engines": {
+ "node": ">= 12.13.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/webpack"
+ },
+ "peerDependencies": {
+ "@rspack/core": "0.x || 1.x",
+ "webpack": "^5.0.0"
+ },
+ "peerDependenciesMeta": {
+ "@rspack/core": {
+ "optional": true
+ },
+ "webpack": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/css-loader/node_modules/lru-cache": {
+ "version": "6.0.0",
+ "resolved": "https://registry.npmjs.org/lru-cache/-/lru-cache-6.0.0.tgz",
+ "integrity": "sha512-Jo6dJ04CmSjuznwJSS3pUeWmd/H0ffTlkXXgwZi+eq1UCmqQwCh+eLsYOYCwY991i2Fah4h1BEMCx4qThGbsiA==",
+ "dependencies": {
+ "yallist": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/css-loader/node_modules/semver": {
+ "version": "7.6.0",
+ "resolved": "https://registry.npmjs.org/semver/-/semver-7.6.0.tgz",
+ "integrity": "sha512-EnwXhrlwXMk9gKu5/flx5sv/an57AkRplG3hTK68W7FRDN+k+OWBj65M7719OkA82XLBxrcX0KSHj+X5COhOVg==",
+ "dependencies": {
+ "lru-cache": "^6.0.0"
+ },
+ "bin": {
+ "semver": "bin/semver.js"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/css-loader/node_modules/yallist": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/yallist/-/yallist-4.0.0.tgz",
+ "integrity": "sha512-3wdGidZyq5PB084XLES5TpOSRA3wjXAlIWMhum2kRcv/41Sn2emQ0dycQW4uZXLejwKvg6EsvbdlVL+FYEct7A=="
+ },
+ "node_modules/css-minimizer-webpack-plugin": {
+ "version": "3.4.1",
+ "resolved": "https://registry.npmjs.org/css-minimizer-webpack-plugin/-/css-minimizer-webpack-plugin-3.4.1.tgz",
+ "integrity": "sha512-1u6D71zeIfgngN2XNRJefc/hY7Ybsxd74Jm4qngIXyUEk7fss3VUzuHxLAq/R8NAba4QU9OUSaMZlbpRc7bM4Q==",
+ "dependencies": {
+ "cssnano": "^5.0.6",
+ "jest-worker": "^27.0.2",
+ "postcss": "^8.3.5",
+ "schema-utils": "^4.0.0",
+ "serialize-javascript": "^6.0.0",
+ "source-map": "^0.6.1"
+ },
+ "engines": {
+ "node": ">= 12.13.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/webpack"
+ },
+ "peerDependencies": {
+ "webpack": "^5.0.0"
+ },
+ "peerDependenciesMeta": {
+ "@parcel/css": {
+ "optional": true
+ },
+ "clean-css": {
+ "optional": true
+ },
+ "csso": {
+ "optional": true
+ },
+ "esbuild": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/css-minimizer-webpack-plugin/node_modules/ajv": {
+ "version": "8.12.0",
+ "resolved": "https://registry.npmjs.org/ajv/-/ajv-8.12.0.tgz",
+ "integrity": "sha512-sRu1kpcO9yLtYxBKvqfTeh9KzZEwO3STyX1HT+4CaDzC6HpTGYhIhPIzj9XuKU7KYDwnaeh5hcOwjy1QuJzBPA==",
+ "dependencies": {
+ "fast-deep-equal": "^3.1.1",
+ "json-schema-traverse": "^1.0.0",
+ "require-from-string": "^2.0.2",
+ "uri-js": "^4.2.2"
+ },
+ "funding": {
+ "type": "github",
+ "url": "https://github.com/sponsors/epoberezkin"
+ }
+ },
+ "node_modules/css-minimizer-webpack-plugin/node_modules/ajv-keywords": {
+ "version": "5.1.0",
+ "resolved": "https://registry.npmjs.org/ajv-keywords/-/ajv-keywords-5.1.0.tgz",
+ "integrity": "sha512-YCS/JNFAUyr5vAuhk1DWm1CBxRHW9LbJ2ozWeemrIqpbsqKjHVxYPyi5GC0rjZIT5JxJ3virVTS8wk4i/Z+krw==",
+ "dependencies": {
+ "fast-deep-equal": "^3.1.3"
+ },
+ "peerDependencies": {
+ "ajv": "^8.8.2"
+ }
+ },
+ "node_modules/css-minimizer-webpack-plugin/node_modules/fast-deep-equal": {
+ "version": "3.1.3",
+ "resolved": "https://registry.npmjs.org/fast-deep-equal/-/fast-deep-equal-3.1.3.tgz",
+ "integrity": "sha512-f3qQ9oQy9j2AhBe/H9VC91wLmKBCCU/gDOnKNAYG5hswO7BLKj09Hc5HYNz9cGI++xlpDCIgDaitVs03ATR84Q=="
+ },
+ "node_modules/css-minimizer-webpack-plugin/node_modules/json-schema-traverse": {
+ "version": "1.0.0",
+ "resolved": "https://registry.npmjs.org/json-schema-traverse/-/json-schema-traverse-1.0.0.tgz",
+ "integrity": "sha512-NM8/P9n3XjXhIZn1lLhkFaACTOURQXjWhV4BA/RnOv8xvgqtqpAX9IO4mRQxSx1Rlo4tqzeqb0sOlruaOy3dug=="
+ },
+ "node_modules/css-minimizer-webpack-plugin/node_modules/schema-utils": {
+ "version": "4.2.0",
+ "resolved": "https://registry.npmjs.org/schema-utils/-/schema-utils-4.2.0.tgz",
+ "integrity": "sha512-L0jRsrPpjdckP3oPug3/VxNKt2trR8TcabrM6FOAAlvC/9Phcmm+cuAgTlxBqdBR1WJx7Naj9WHw+aOmheSVbw==",
+ "dependencies": {
+ "@types/json-schema": "^7.0.9",
+ "ajv": "^8.9.0",
+ "ajv-formats": "^2.1.1",
+ "ajv-keywords": "^5.1.0"
+ },
+ "engines": {
+ "node": ">= 12.13.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/webpack"
+ }
+ },
+ "node_modules/css-minimizer-webpack-plugin/node_modules/source-map": {
+ "version": "0.6.1",
+ "resolved": "https://registry.npmjs.org/source-map/-/source-map-0.6.1.tgz",
+ "integrity": "sha512-UjgapumWlbMhkBgzT7Ykc5YXUT46F0iKu8SGXq0bcwP5dz/h0Plj6enJqjz1Zbq2l5WaqYnrVbwWOWMyF3F47g==",
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/css-prefers-color-scheme": {
+ "version": "6.0.3",
+ "resolved": "https://registry.npmjs.org/css-prefers-color-scheme/-/css-prefers-color-scheme-6.0.3.tgz",
+ "integrity": "sha512-4BqMbZksRkJQx2zAjrokiGMd07RqOa2IxIrrN10lyBe9xhn9DEvjUK79J6jkeiv9D9hQFXKb6g1jwU62jziJZA==",
+ "bin": {
+ "css-prefers-color-scheme": "dist/cli.cjs"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "peerDependencies": {
+ "postcss": "^8.4"
+ }
+ },
+ "node_modules/css-select": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/css-select/-/css-select-4.3.0.tgz",
+ "integrity": "sha512-wPpOYtnsVontu2mODhA19JrqWxNsfdatRKd64kmpRbQgh1KtItko5sTnEpPdpSaJszTOhEMlF/RPz28qj4HqhQ==",
+ "dependencies": {
+ "boolbase": "^1.0.0",
+ "css-what": "^6.0.1",
+ "domhandler": "^4.3.1",
+ "domutils": "^2.8.0",
+ "nth-check": "^2.0.1"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/fb55"
+ }
+ },
+ "node_modules/css-select-base-adapter": {
+ "version": "0.1.1",
+ "resolved": "https://registry.npmjs.org/css-select-base-adapter/-/css-select-base-adapter-0.1.1.tgz",
+ "integrity": "sha512-jQVeeRG70QI08vSTwf1jHxp74JoZsr2XSgETae8/xC8ovSnL2WF87GTLO86Sbwdt2lK4Umg4HnnwMO4YF3Ce7w=="
+ },
+ "node_modules/css-tree": {
+ "version": "1.0.0-alpha.37",
+ "resolved": "https://registry.npmjs.org/css-tree/-/css-tree-1.0.0-alpha.37.tgz",
+ "integrity": "sha512-DMxWJg0rnz7UgxKT0Q1HU/L9BeJI0M6ksor0OgqOnF+aRCDWg/N2641HmVyU9KVIu0OVVWOb2IpC9A+BJRnejg==",
+ "dependencies": {
+ "mdn-data": "2.0.4",
+ "source-map": "^0.6.1"
+ },
+ "engines": {
+ "node": ">=8.0.0"
+ }
+ },
+ "node_modules/css-tree/node_modules/source-map": {
+ "version": "0.6.1",
+ "resolved": "https://registry.npmjs.org/source-map/-/source-map-0.6.1.tgz",
+ "integrity": "sha512-UjgapumWlbMhkBgzT7Ykc5YXUT46F0iKu8SGXq0bcwP5dz/h0Plj6enJqjz1Zbq2l5WaqYnrVbwWOWMyF3F47g==",
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/css-what": {
+ "version": "6.1.0",
+ "resolved": "https://registry.npmjs.org/css-what/-/css-what-6.1.0.tgz",
+ "integrity": "sha512-HTUrgRJ7r4dsZKU6GjmpfRK1O76h97Z8MfS1G0FozR+oF2kG6Vfe8JE6zwrkbxigziPHinCJ+gCPjA9EaBDtRw==",
+ "engines": {
+ "node": ">= 6"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/fb55"
+ }
+ },
+ "node_modules/css.escape": {
+ "version": "1.5.1",
+ "resolved": "https://registry.npmjs.org/css.escape/-/css.escape-1.5.1.tgz",
+ "integrity": "sha512-YUifsXXuknHlUsmlgyY0PKzgPOr7/FjCePfHNt0jxm83wHZi44VDMQ7/fGNkjY3/jV1MC+1CmZbaHzugyeRtpg==",
+ "dev": true
+ },
+ "node_modules/cssdb": {
+ "version": "7.10.0",
+ "resolved": "https://registry.npmjs.org/cssdb/-/cssdb-7.10.0.tgz",
+ "integrity": "sha512-yGZ5tmA57gWh/uvdQBHs45wwFY0IBh3ypABk5sEubPBPSzXzkNgsWReqx7gdx6uhC+QoFBe+V8JwBB9/hQ6cIA==",
+ "funding": [
+ {
+ "type": "opencollective",
+ "url": "https://opencollective.com/csstools"
+ },
+ {
+ "type": "github",
+ "url": "https://github.com/sponsors/csstools"
+ }
+ ]
+ },
+ "node_modules/cssesc": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/cssesc/-/cssesc-3.0.0.tgz",
+ "integrity": "sha512-/Tb/JcjK111nNScGob5MNtsntNM1aCNUDipB/TkwZFhyDrrE47SOx/18wF2bbjgc3ZzCSKW1T5nt5EbFoAz/Vg==",
+ "bin": {
+ "cssesc": "bin/cssesc"
+ },
+ "engines": {
+ "node": ">=4"
+ }
+ },
+ "node_modules/cssnano": {
+ "version": "5.1.15",
+ "resolved": "https://registry.npmjs.org/cssnano/-/cssnano-5.1.15.tgz",
+ "integrity": "sha512-j+BKgDcLDQA+eDifLx0EO4XSA56b7uut3BQFH+wbSaSTuGLuiyTa/wbRYthUXX8LC9mLg+WWKe8h+qJuwTAbHw==",
+ "dependencies": {
+ "cssnano-preset-default": "^5.2.14",
+ "lilconfig": "^2.0.3",
+ "yaml": "^1.10.2"
+ },
+ "engines": {
+ "node": "^10 || ^12 || >=14.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/cssnano"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2.15"
+ }
+ },
+ "node_modules/cssnano-preset-default": {
+ "version": "5.2.14",
+ "resolved": "https://registry.npmjs.org/cssnano-preset-default/-/cssnano-preset-default-5.2.14.tgz",
+ "integrity": "sha512-t0SFesj/ZV2OTylqQVOrFgEh5uanxbO6ZAdeCrNsUQ6fVuXwYTxJPNAGvGTxHbD68ldIJNec7PyYZDBrfDQ+6A==",
+ "dependencies": {
+ "css-declaration-sorter": "^6.3.1",
+ "cssnano-utils": "^3.1.0",
+ "postcss-calc": "^8.2.3",
+ "postcss-colormin": "^5.3.1",
+ "postcss-convert-values": "^5.1.3",
+ "postcss-discard-comments": "^5.1.2",
+ "postcss-discard-duplicates": "^5.1.0",
+ "postcss-discard-empty": "^5.1.1",
+ "postcss-discard-overridden": "^5.1.0",
+ "postcss-merge-longhand": "^5.1.7",
+ "postcss-merge-rules": "^5.1.4",
+ "postcss-minify-font-values": "^5.1.0",
+ "postcss-minify-gradients": "^5.1.1",
+ "postcss-minify-params": "^5.1.4",
+ "postcss-minify-selectors": "^5.2.1",
+ "postcss-normalize-charset": "^5.1.0",
+ "postcss-normalize-display-values": "^5.1.0",
+ "postcss-normalize-positions": "^5.1.1",
+ "postcss-normalize-repeat-style": "^5.1.1",
+ "postcss-normalize-string": "^5.1.0",
+ "postcss-normalize-timing-functions": "^5.1.0",
+ "postcss-normalize-unicode": "^5.1.1",
+ "postcss-normalize-url": "^5.1.0",
+ "postcss-normalize-whitespace": "^5.1.1",
+ "postcss-ordered-values": "^5.1.3",
+ "postcss-reduce-initial": "^5.1.2",
+ "postcss-reduce-transforms": "^5.1.0",
+ "postcss-svgo": "^5.1.0",
+ "postcss-unique-selectors": "^5.1.1"
+ },
+ "engines": {
+ "node": "^10 || ^12 || >=14.0"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2.15"
+ }
+ },
+ "node_modules/cssnano-utils": {
+ "version": "3.1.0",
+ "resolved": "https://registry.npmjs.org/cssnano-utils/-/cssnano-utils-3.1.0.tgz",
+ "integrity": "sha512-JQNR19/YZhz4psLX/rQ9M83e3z2Wf/HdJbryzte4a3NSuafyp9w/I4U+hx5C2S9g41qlstH7DEWnZaaj83OuEA==",
+ "engines": {
+ "node": "^10 || ^12 || >=14.0"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2.15"
+ }
+ },
+ "node_modules/csso": {
+ "version": "4.2.0",
+ "resolved": "https://registry.npmjs.org/csso/-/csso-4.2.0.tgz",
+ "integrity": "sha512-wvlcdIbf6pwKEk7vHj8/Bkc0B4ylXZruLvOgs9doS5eOsOpuodOV2zJChSpkp+pRpYQLQMeF04nr3Z68Sta9jA==",
+ "dependencies": {
+ "css-tree": "^1.1.2"
+ },
+ "engines": {
+ "node": ">=8.0.0"
+ }
+ },
+ "node_modules/csso/node_modules/css-tree": {
+ "version": "1.1.3",
+ "resolved": "https://registry.npmjs.org/css-tree/-/css-tree-1.1.3.tgz",
+ "integrity": "sha512-tRpdppF7TRazZrjJ6v3stzv93qxRcSsFmW6cX0Zm2NVKpxE1WV1HblnghVv9TreireHkqI/VDEsfolRF1p6y7Q==",
+ "dependencies": {
+ "mdn-data": "2.0.14",
+ "source-map": "^0.6.1"
+ },
+ "engines": {
+ "node": ">=8.0.0"
+ }
+ },
+ "node_modules/csso/node_modules/mdn-data": {
+ "version": "2.0.14",
+ "resolved": "https://registry.npmjs.org/mdn-data/-/mdn-data-2.0.14.tgz",
+ "integrity": "sha512-dn6wd0uw5GsdswPFfsgMp5NSB0/aDe6fK94YJV/AJDYXL6HVLWBsxeq7js7Ad+mU2K9LAlwpk6kN2D5mwCPVow=="
+ },
+ "node_modules/csso/node_modules/source-map": {
+ "version": "0.6.1",
+ "resolved": "https://registry.npmjs.org/source-map/-/source-map-0.6.1.tgz",
+ "integrity": "sha512-UjgapumWlbMhkBgzT7Ykc5YXUT46F0iKu8SGXq0bcwP5dz/h0Plj6enJqjz1Zbq2l5WaqYnrVbwWOWMyF3F47g==",
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/cssom": {
+ "version": "0.4.4",
+ "resolved": "https://registry.npmjs.org/cssom/-/cssom-0.4.4.tgz",
+ "integrity": "sha512-p3pvU7r1MyyqbTk+WbNJIgJjG2VmTIaB10rI93LzVPrmDJKkzKYMtxxyAvQXR/NS6otuzveI7+7BBq3SjBS2mw=="
+ },
+ "node_modules/cssstyle": {
+ "version": "2.3.0",
+ "resolved": "https://registry.npmjs.org/cssstyle/-/cssstyle-2.3.0.tgz",
+ "integrity": "sha512-AZL67abkUzIuvcHqk7c09cezpGNcxUxU4Ioi/05xHk4DQeTkWmGYftIE6ctU6AEt+Gn4n1lDStOtj7FKycP71A==",
+ "dependencies": {
+ "cssom": "~0.3.6"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/cssstyle/node_modules/cssom": {
+ "version": "0.3.8",
+ "resolved": "https://registry.npmjs.org/cssom/-/cssom-0.3.8.tgz",
+ "integrity": "sha512-b0tGHbfegbhPJpxpiBPU2sCkigAqtM9O121le6bbOlgyV+NyGyCmVfJ6QW9eRjz8CpNfWEOYBIMIGRYkLwsIYg=="
+ },
+ "node_modules/csstype": {
+ "version": "3.1.3",
+ "resolved": "https://registry.npmjs.org/csstype/-/csstype-3.1.3.tgz",
+ "integrity": "sha512-M1uQkMl8rQK/szD0LNhtqxIPLpimGm8sOBwU7lLnCpSbTyY3yeU1Vc7l4KT5zT4s/yOxHH5O7tIuuLOCnLADRw=="
+ },
+ "node_modules/damerau-levenshtein": {
+ "version": "1.0.8",
+ "resolved": "https://registry.npmjs.org/damerau-levenshtein/-/damerau-levenshtein-1.0.8.tgz",
+ "integrity": "sha512-sdQSFB7+llfUcQHUQO3+B8ERRj0Oa4w9POWMI/puGtuf7gFywGmkaLCElnudfTiKZV+NvHqL0ifzdrI8Ro7ESA=="
+ },
+ "node_modules/dashjs": {
+ "version": "4.1.0",
+ "resolved": "https://registry.npmjs.org/dashjs/-/dashjs-4.1.0.tgz",
+ "integrity": "sha512-nVcu4f/RVTCeba5RWHYujMBDKbWOUPIXfwe1wfYYoz9alsK2NeywXIlgBhzCfWnHtcfAEEW96mbmmWiagFX0hQ==",
+ "dependencies": {
+ "codem-isoboxer": "0.3.6",
+ "es6-promise": "^4.2.8",
+ "fast-deep-equal": "2.0.1",
+ "html-entities": "^1.2.1",
+ "imsc": "^1.0.2",
+ "localforage": "^1.7.1"
+ }
+ },
+ "node_modules/data-urls": {
+ "version": "2.0.0",
+ "resolved": "https://registry.npmjs.org/data-urls/-/data-urls-2.0.0.tgz",
+ "integrity": "sha512-X5eWTSXO/BJmpdIKCRuKUgSCgAN0OwliVK3yPKbwIWU1Tdw5BRajxlzMidvh+gwko9AfQ9zIj52pzF91Q3YAvQ==",
+ "dependencies": {
+ "abab": "^2.0.3",
+ "whatwg-mimetype": "^2.3.0",
+ "whatwg-url": "^8.0.0"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/debug": {
+ "version": "4.3.4",
+ "resolved": "https://registry.npmjs.org/debug/-/debug-4.3.4.tgz",
+ "integrity": "sha512-PRWFHuSU3eDtQJPvnNY7Jcket1j0t5OuOsFzPPzsekD52Zl8qUfFIPEiswXqIvHWGVHOgX+7G/vCNNhehwxfkQ==",
+ "dependencies": {
+ "ms": "2.1.2"
+ },
+ "engines": {
+ "node": ">=6.0"
+ },
+ "peerDependenciesMeta": {
+ "supports-color": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/decimal.js": {
+ "version": "10.4.3",
+ "resolved": "https://registry.npmjs.org/decimal.js/-/decimal.js-10.4.3.tgz",
+ "integrity": "sha512-VBBaLc1MgL5XpzgIP7ny5Z6Nx3UrRkIViUkPUdtl9aya5amy3De1gsUUSB1g3+3sExYNjCAsAznmukyxCb1GRA=="
+ },
+ "node_modules/dedent": {
+ "version": "0.7.0",
+ "resolved": "https://registry.npmjs.org/dedent/-/dedent-0.7.0.tgz",
+ "integrity": "sha512-Q6fKUPqnAHAyhiUgFU7BUzLiv0kd8saH9al7tnu5Q/okj6dnupxyTgFIBjVzJATdfIAm9NAsvXNzjaKa+bxVyA=="
+ },
+ "node_modules/deep-equal": {
+ "version": "2.2.3",
+ "resolved": "https://registry.npmjs.org/deep-equal/-/deep-equal-2.2.3.tgz",
+ "integrity": "sha512-ZIwpnevOurS8bpT4192sqAowWM76JDKSHYzMLty3BZGSswgq6pBaH3DhCSW5xVAZICZyKdOBPjwww5wfgT/6PA==",
+ "dev": true,
+ "dependencies": {
+ "array-buffer-byte-length": "^1.0.0",
+ "call-bind": "^1.0.5",
+ "es-get-iterator": "^1.1.3",
+ "get-intrinsic": "^1.2.2",
+ "is-arguments": "^1.1.1",
+ "is-array-buffer": "^3.0.2",
+ "is-date-object": "^1.0.5",
+ "is-regex": "^1.1.4",
+ "is-shared-array-buffer": "^1.0.2",
+ "isarray": "^2.0.5",
+ "object-is": "^1.1.5",
+ "object-keys": "^1.1.1",
+ "object.assign": "^4.1.4",
+ "regexp.prototype.flags": "^1.5.1",
+ "side-channel": "^1.0.4",
+ "which-boxed-primitive": "^1.0.2",
+ "which-collection": "^1.0.1",
+ "which-typed-array": "^1.1.13"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/deep-is": {
+ "version": "0.1.4",
+ "resolved": "https://registry.npmjs.org/deep-is/-/deep-is-0.1.4.tgz",
+ "integrity": "sha512-oIPzksmTg4/MriiaYGO+okXDT7ztn/w3Eptv/+gSIdMdKsJo0u4CfYNFJPy+4SKMuCqGw2wxnA+URMg3t8a/bQ=="
+ },
+ "node_modules/deepmerge": {
+ "version": "4.3.1",
+ "resolved": "https://registry.npmjs.org/deepmerge/-/deepmerge-4.3.1.tgz",
+ "integrity": "sha512-3sUqbMEc77XqpdNO7FRyRog+eW3ph+GYCbj+rK+uYyRMuwsVy0rMiVtPn+QJlKFvWP/1PYpapqYn0Me2knFn+A==",
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/default-gateway": {
+ "version": "6.0.3",
+ "resolved": "https://registry.npmjs.org/default-gateway/-/default-gateway-6.0.3.tgz",
+ "integrity": "sha512-fwSOJsbbNzZ/CUFpqFBqYfYNLj1NbMPm8MMCIzHjC83iSJRBEGmDUxU+WP661BaBQImeC2yHwXtz+P/O9o+XEg==",
+ "dependencies": {
+ "execa": "^5.0.0"
+ },
+ "engines": {
+ "node": ">= 10"
+ }
+ },
+ "node_modules/define-data-property": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/define-data-property/-/define-data-property-1.1.4.tgz",
+ "integrity": "sha512-rBMvIzlpA8v6E+SJZoo++HAYqsLrkg7MSfIinMPFhmkorw7X+dOXVJQs+QT69zGkzMyfDnIMN2Wid1+NbL3T+A==",
+ "dependencies": {
+ "es-define-property": "^1.0.0",
+ "es-errors": "^1.3.0",
+ "gopd": "^1.0.1"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/define-lazy-prop": {
+ "version": "2.0.0",
+ "resolved": "https://registry.npmjs.org/define-lazy-prop/-/define-lazy-prop-2.0.0.tgz",
+ "integrity": "sha512-Ds09qNh8yw3khSjiJjiUInaGX9xlqZDY7JVryGxdxV7NPeuqQfplOpQ66yJFZut3jLa5zOwkXw1g9EI2uKh4Og==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/define-properties": {
+ "version": "1.2.1",
+ "resolved": "https://registry.npmjs.org/define-properties/-/define-properties-1.2.1.tgz",
+ "integrity": "sha512-8QmQKqEASLd5nx0U1B1okLElbUuuttJ/AnYmRXbbbGDWh6uS208EjD4Xqq/I9wK7u0v6O08XhTWnt5XtEbR6Dg==",
+ "dependencies": {
+ "define-data-property": "^1.0.1",
+ "has-property-descriptors": "^1.0.0",
+ "object-keys": "^1.1.1"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/delayed-stream": {
+ "version": "1.0.0",
+ "resolved": "https://registry.npmjs.org/delayed-stream/-/delayed-stream-1.0.0.tgz",
+ "integrity": "sha512-ZySD7Nf91aLB0RxL4KGrKHBXl7Eds1DAmEdcoVawXnLD7SDhpNgtuII2aAkg7a7QS41jxPSZ17p4VdGnMHk3MQ==",
+ "engines": {
+ "node": ">=0.4.0"
+ }
+ },
+ "node_modules/depd": {
+ "version": "2.0.0",
+ "resolved": "https://registry.npmjs.org/depd/-/depd-2.0.0.tgz",
+ "integrity": "sha512-g7nH6P6dyDioJogAAGprGpCtVImJhpPk/roCzdb3fIh61/s/nPsfR6onyMwkCAR/OlC3yBC0lESvUoQEAssIrw==",
+ "engines": {
+ "node": ">= 0.8"
+ }
+ },
+ "node_modules/dequal": {
+ "version": "2.0.3",
+ "resolved": "https://registry.npmjs.org/dequal/-/dequal-2.0.3.tgz",
+ "integrity": "sha512-0je+qPKHEMohvfRTCEo3CrPG6cAzAYgmzKyxRiYSSDkS6eGJdyVJm7WaYA5ECaAD9wLB2T4EEeymA5aFVcYXCA==",
+ "engines": {
+ "node": ">=6"
+ }
+ },
+ "node_modules/destroy": {
+ "version": "1.2.0",
+ "resolved": "https://registry.npmjs.org/destroy/-/destroy-1.2.0.tgz",
+ "integrity": "sha512-2sJGJTaXIIaR1w4iJSNoN0hnMY7Gpc/n8D4qSCJw8QqFWXf7cuAgnEHxBpweaVcPevC2l3KpjYCx3NypQQgaJg==",
+ "engines": {
+ "node": ">= 0.8",
+ "npm": "1.2.8000 || >= 1.4.16"
+ }
+ },
+ "node_modules/detect-newline": {
+ "version": "3.1.0",
+ "resolved": "https://registry.npmjs.org/detect-newline/-/detect-newline-3.1.0.tgz",
+ "integrity": "sha512-TLz+x/vEXm/Y7P7wn1EJFNLxYpUD4TgMosxY6fAVJUnJMbupHBOncxyWUG9OpTaH9EBD7uFI5LfEgmMOc54DsA==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/detect-node": {
+ "version": "2.1.0",
+ "resolved": "https://registry.npmjs.org/detect-node/-/detect-node-2.1.0.tgz",
+ "integrity": "sha512-T0NIuQpnTvFDATNuHN5roPwSBG83rFsuO+MXXH9/3N1eFbn4wcPjttvjMLEPWJ0RGUYgQE7cGgS3tNxbqCGM7g=="
+ },
+ "node_modules/detect-port-alt": {
+ "version": "1.1.6",
+ "resolved": "https://registry.npmjs.org/detect-port-alt/-/detect-port-alt-1.1.6.tgz",
+ "integrity": "sha512-5tQykt+LqfJFBEYaDITx7S7cR7mJ/zQmLXZ2qt5w04ainYZw6tBf9dBunMjVeVOdYVRUzUOE4HkY5J7+uttb5Q==",
+ "dependencies": {
+ "address": "^1.0.1",
+ "debug": "^2.6.0"
+ },
+ "bin": {
+ "detect": "bin/detect-port",
+ "detect-port": "bin/detect-port"
+ },
+ "engines": {
+ "node": ">= 4.2.1"
+ }
+ },
+ "node_modules/detect-port-alt/node_modules/debug": {
+ "version": "2.6.9",
+ "resolved": "https://registry.npmjs.org/debug/-/debug-2.6.9.tgz",
+ "integrity": "sha512-bC7ElrdJaJnPbAP+1EotYvqZsb3ecl5wi6Bfi6BJTUcNowp6cvspg0jXznRTKDjm/E7AdgFBVeAPVMNcKGsHMA==",
+ "dependencies": {
+ "ms": "2.0.0"
+ }
+ },
+ "node_modules/detect-port-alt/node_modules/ms": {
+ "version": "2.0.0",
+ "resolved": "https://registry.npmjs.org/ms/-/ms-2.0.0.tgz",
+ "integrity": "sha512-Tpp60P6IUJDTuOq/5Z8cdskzJujfwqfOTkrwIwj7IRISpnkJnT6SyJ4PCPnGMoFjC9ddhal5KVIYtAt97ix05A=="
+ },
+ "node_modules/didyoumean": {
+ "version": "1.2.2",
+ "resolved": "https://registry.npmjs.org/didyoumean/-/didyoumean-1.2.2.tgz",
+ "integrity": "sha512-gxtyfqMg7GKyhQmb056K7M3xszy/myH8w+B4RT+QXBQsvAOdc3XymqDDPHx1BgPgsdAA5SIifona89YtRATDzw=="
+ },
+ "node_modules/diff-sequences": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/diff-sequences/-/diff-sequences-27.5.1.tgz",
+ "integrity": "sha512-k1gCAXAsNgLwEL+Y8Wvl+M6oEFj5bgazfZULpS5CneoPPXRaCCW7dm+q21Ky2VEE5X+VeRDBVg1Pcvvsr4TtNQ==",
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/dir-glob": {
+ "version": "3.0.1",
+ "resolved": "https://registry.npmjs.org/dir-glob/-/dir-glob-3.0.1.tgz",
+ "integrity": "sha512-WkrWp9GR4KXfKGYzOLmTuGVi1UWFfws377n9cc55/tb6DuqyF6pcQ5AbiHEshaDpY9v6oaSr2XCDidGmMwdzIA==",
+ "dependencies": {
+ "path-type": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/dlv": {
+ "version": "1.1.3",
+ "resolved": "https://registry.npmjs.org/dlv/-/dlv-1.1.3.tgz",
+ "integrity": "sha512-+HlytyjlPKnIG8XuRG8WvmBP8xs8P71y+SKKS6ZXWoEgLuePxtDoUEiH7WkdePWrQ5JBpE6aoVqfZfJUQkjXwA=="
+ },
+ "node_modules/dns-packet": {
+ "version": "5.6.1",
+ "resolved": "https://registry.npmjs.org/dns-packet/-/dns-packet-5.6.1.tgz",
+ "integrity": "sha512-l4gcSouhcgIKRvyy99RNVOgxXiicE+2jZoNmaNmZ6JXiGajBOJAesk1OBlJuM5k2c+eudGdLxDqXuPCKIj6kpw==",
+ "dependencies": {
+ "@leichtgewicht/ip-codec": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=6"
+ }
+ },
+ "node_modules/doctrine": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/doctrine/-/doctrine-3.0.0.tgz",
+ "integrity": "sha512-yS+Q5i3hBf7GBkd4KG8a7eBNNWNGLTaEwwYWUijIYM7zrlYDM0BFXHjjPWlWZ1Rg7UaddZeIDmi9jF3HmqiQ2w==",
+ "dependencies": {
+ "esutils": "^2.0.2"
+ },
+ "engines": {
+ "node": ">=6.0.0"
+ }
+ },
+ "node_modules/dom-accessibility-api": {
+ "version": "0.5.16",
+ "resolved": "https://registry.npmjs.org/dom-accessibility-api/-/dom-accessibility-api-0.5.16.tgz",
+ "integrity": "sha512-X7BJ2yElsnOJ30pZF4uIIDfBEVgF4XEBxL9Bxhy6dnrm5hkzqmsWHGTiHqRiITNhMyFLyAiWndIJP7Z1NTteDg==",
+ "dev": true
+ },
+ "node_modules/dom-converter": {
+ "version": "0.2.0",
+ "resolved": "https://registry.npmjs.org/dom-converter/-/dom-converter-0.2.0.tgz",
+ "integrity": "sha512-gd3ypIPfOMr9h5jIKq8E3sHOTCjeirnl0WK5ZdS1AW0Odt0b1PaWaHdJ4Qk4klv+YB9aJBS7mESXjFoDQPu6DA==",
+ "dependencies": {
+ "utila": "~0.4"
+ }
+ },
+ "node_modules/dom-serializer": {
+ "version": "1.4.1",
+ "resolved": "https://registry.npmjs.org/dom-serializer/-/dom-serializer-1.4.1.tgz",
+ "integrity": "sha512-VHwB3KfrcOOkelEG2ZOfxqLZdfkil8PtJi4P8N2MMXucZq2yLp75ClViUlOVwyoHEDjYU433Aq+5zWP61+RGag==",
+ "dependencies": {
+ "domelementtype": "^2.0.1",
+ "domhandler": "^4.2.0",
+ "entities": "^2.0.0"
+ },
+ "funding": {
+ "url": "https://github.com/cheeriojs/dom-serializer?sponsor=1"
+ }
+ },
+ "node_modules/domelementtype": {
+ "version": "2.3.0",
+ "resolved": "https://registry.npmjs.org/domelementtype/-/domelementtype-2.3.0.tgz",
+ "integrity": "sha512-OLETBj6w0OsagBwdXnPdN0cnMfF9opN69co+7ZrbfPGrdpPVNBUj02spi6B1N7wChLQiPn4CSH/zJvXw56gmHw==",
+ "funding": [
+ {
+ "type": "github",
+ "url": "https://github.com/sponsors/fb55"
+ }
+ ]
+ },
+ "node_modules/domexception": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/domexception/-/domexception-2.0.1.tgz",
+ "integrity": "sha512-yxJ2mFy/sibVQlu5qHjOkf9J3K6zgmCxgJ94u2EdvDOV09H+32LtRswEcUsmUWN72pVLOEnTSRaIVVzVQgS0dg==",
+ "deprecated": "Use your platform's native DOMException instead",
+ "dependencies": {
+ "webidl-conversions": "^5.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/domexception/node_modules/webidl-conversions": {
+ "version": "5.0.0",
+ "resolved": "https://registry.npmjs.org/webidl-conversions/-/webidl-conversions-5.0.0.tgz",
+ "integrity": "sha512-VlZwKPCkYKxQgeSbH5EyngOmRp7Ww7I9rQLERETtf5ofd9pGeswWiOtogpEO850jziPRarreGxn5QIiTqpb2wA==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/domhandler": {
+ "version": "4.3.1",
+ "resolved": "https://registry.npmjs.org/domhandler/-/domhandler-4.3.1.tgz",
+ "integrity": "sha512-GrwoxYN+uWlzO8uhUXRl0P+kHE4GtVPfYzVLcUxPL7KNdHKj66vvlhiweIHqYYXWlw+T8iLMp42Lm67ghw4WMQ==",
+ "dependencies": {
+ "domelementtype": "^2.2.0"
+ },
+ "engines": {
+ "node": ">= 4"
+ },
+ "funding": {
+ "url": "https://github.com/fb55/domhandler?sponsor=1"
+ }
+ },
+ "node_modules/domutils": {
+ "version": "2.8.0",
+ "resolved": "https://registry.npmjs.org/domutils/-/domutils-2.8.0.tgz",
+ "integrity": "sha512-w96Cjofp72M5IIhpjgobBimYEfoPjx1Vx0BSX9P30WBdZW2WIKU0T1Bd0kz2eNZ9ikjKgHbEyKx8BB6H1L3h3A==",
+ "dependencies": {
+ "dom-serializer": "^1.0.1",
+ "domelementtype": "^2.2.0",
+ "domhandler": "^4.2.0"
+ },
+ "funding": {
+ "url": "https://github.com/fb55/domutils?sponsor=1"
+ }
+ },
+ "node_modules/dot-case": {
+ "version": "3.0.4",
+ "resolved": "https://registry.npmjs.org/dot-case/-/dot-case-3.0.4.tgz",
+ "integrity": "sha512-Kv5nKlh6yRrdrGvxeJ2e5y2eRUpkUosIW4A2AS38zwSz27zu7ufDwQPi5Jhs3XAlGNetl3bmnGhQsMtkKJnj3w==",
+ "dependencies": {
+ "no-case": "^3.0.4",
+ "tslib": "^2.0.3"
+ }
+ },
+ "node_modules/dotenv": {
+ "version": "10.0.0",
+ "resolved": "https://registry.npmjs.org/dotenv/-/dotenv-10.0.0.tgz",
+ "integrity": "sha512-rlBi9d8jpv9Sf1klPjNfFAuWDjKLwTIJJ/VxtoTwIR6hnZxcEOQCZg2oIL3MWBYw5GpUDKOEnND7LXTbIpQ03Q==",
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/dotenv-expand": {
+ "version": "5.1.0",
+ "resolved": "https://registry.npmjs.org/dotenv-expand/-/dotenv-expand-5.1.0.tgz",
+ "integrity": "sha512-YXQl1DSa4/PQyRfgrv6aoNjhasp/p4qs9FjJ4q4cQk+8m4r6k4ZSiEyytKG8f8W9gi8WsQtIObNmKd+tMzNTmA=="
+ },
+ "node_modules/duplexer": {
+ "version": "0.1.2",
+ "resolved": "https://registry.npmjs.org/duplexer/-/duplexer-0.1.2.tgz",
+ "integrity": "sha512-jtD6YG370ZCIi/9GTaJKQxWTZD045+4R4hTk/x1UyoqadyJ9x9CgSi1RlVDQF8U2sxLLSnFkCaMihqljHIWgMg=="
+ },
+ "node_modules/eastasianwidth": {
+ "version": "0.2.0",
+ "resolved": "https://registry.npmjs.org/eastasianwidth/-/eastasianwidth-0.2.0.tgz",
+ "integrity": "sha512-I88TYZWc9XiYHRQ4/3c5rjjfgkjhLyW2luGIheGERbNQ6OY7yTybanSpDXZa8y7VUP9YmDcYa+eyq4ca7iLqWA=="
+ },
+ "node_modules/ee-first": {
+ "version": "1.1.1",
+ "resolved": "https://registry.npmjs.org/ee-first/-/ee-first-1.1.1.tgz",
+ "integrity": "sha512-WMwm9LhRUo+WUaRN+vRuETqG89IgZphVSNkdFgeb6sS/E4OrDIN7t48CAewSHXc6C8lefD8KKfr5vY61brQlow=="
+ },
+ "node_modules/ejs": {
+ "version": "3.1.9",
+ "resolved": "https://registry.npmjs.org/ejs/-/ejs-3.1.9.tgz",
+ "integrity": "sha512-rC+QVNMJWv+MtPgkt0y+0rVEIdbtxVADApW9JXrUVlzHetgcyczP/E7DJmWJ4fJCZF2cPcBk0laWO9ZHMG3DmQ==",
+ "dependencies": {
+ "jake": "^10.8.5"
+ },
+ "bin": {
+ "ejs": "bin/cli.js"
+ },
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/electron-to-chromium": {
+ "version": "1.4.671",
+ "resolved": "https://registry.npmjs.org/electron-to-chromium/-/electron-to-chromium-1.4.671.tgz",
+ "integrity": "sha512-UUlE+/rWbydmp+FW8xlnnTA5WNA0ZZd2XL8CuMS72rh+k4y1f8+z6yk3UQhEwqHQWj6IBdL78DwWOdGMvYfQyA=="
+ },
+ "node_modules/emittery": {
+ "version": "0.8.1",
+ "resolved": "https://registry.npmjs.org/emittery/-/emittery-0.8.1.tgz",
+ "integrity": "sha512-uDfvUjVrfGJJhymx/kz6prltenw1u7WrCg1oa94zYY8xxVpLLUu045LAT0dhDZdXG58/EpPL/5kA180fQ/qudg==",
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/sindresorhus/emittery?sponsor=1"
+ }
+ },
+ "node_modules/emoji-regex": {
+ "version": "9.2.2",
+ "resolved": "https://registry.npmjs.org/emoji-regex/-/emoji-regex-9.2.2.tgz",
+ "integrity": "sha512-L18DaJsXSUk2+42pv8mLs5jJT2hqFkFE4j21wOmgbUqsZ2hL72NsUU785g9RXgo3s0ZNgVl42TiHp3ZtOv/Vyg=="
+ },
+ "node_modules/emojis-list": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/emojis-list/-/emojis-list-3.0.0.tgz",
+ "integrity": "sha512-/kyM18EfinwXZbno9FyUGeFh87KC8HRQBQGildHZbEuRyWFOmv1U10o9BBp8XVZDVNNuQKyIGIu5ZYAAXJ0V2Q==",
+ "engines": {
+ "node": ">= 4"
+ }
+ },
+ "node_modules/encodeurl": {
+ "version": "1.0.2",
+ "resolved": "https://registry.npmjs.org/encodeurl/-/encodeurl-1.0.2.tgz",
+ "integrity": "sha512-TPJXq8JqFaVYm2CWmPvnP2Iyo4ZSM7/QKcSmuMLDObfpH5fi7RUGmd/rTDf+rut/saiDiQEeVTNgAmJEdAOx0w==",
+ "engines": {
+ "node": ">= 0.8"
+ }
+ },
+ "node_modules/enhanced-resolve": {
+ "version": "5.15.0",
+ "resolved": "https://registry.npmjs.org/enhanced-resolve/-/enhanced-resolve-5.15.0.tgz",
+ "integrity": "sha512-LXYT42KJ7lpIKECr2mAXIaMldcNCh/7E0KBKOu4KSfkHmP+mZmSs+8V5gBAqisWBy0OO4W5Oyys0GO1Y8KtdKg==",
+ "dependencies": {
+ "graceful-fs": "^4.2.4",
+ "tapable": "^2.2.0"
+ },
+ "engines": {
+ "node": ">=10.13.0"
+ }
+ },
+ "node_modules/entities": {
+ "version": "2.2.0",
+ "resolved": "https://registry.npmjs.org/entities/-/entities-2.2.0.tgz",
+ "integrity": "sha512-p92if5Nz619I0w+akJrLZH0MX0Pb5DX39XOwQTtXSdQQOaYH03S1uIQp4mhOZtAXrxq4ViO67YTiLBo2638o9A==",
+ "funding": {
+ "url": "https://github.com/fb55/entities?sponsor=1"
+ }
+ },
+ "node_modules/error-ex": {
+ "version": "1.3.2",
+ "resolved": "https://registry.npmjs.org/error-ex/-/error-ex-1.3.2.tgz",
+ "integrity": "sha512-7dFHNmqeFSEt2ZBsCriorKnn3Z2pj+fd9kmI6QoWw4//DL+icEBfc0U7qJCisqrTsKTjw4fNFy2pW9OqStD84g==",
+ "dependencies": {
+ "is-arrayish": "^0.2.1"
+ }
+ },
+ "node_modules/error-stack-parser": {
+ "version": "2.1.4",
+ "resolved": "https://registry.npmjs.org/error-stack-parser/-/error-stack-parser-2.1.4.tgz",
+ "integrity": "sha512-Sk5V6wVazPhq5MhpO+AUxJn5x7XSXGl1R93Vn7i+zS15KDVxQijejNCrz8340/2bgLBjR9GtEG8ZVKONDjcqGQ==",
+ "dependencies": {
+ "stackframe": "^1.3.4"
+ }
+ },
+ "node_modules/es-abstract": {
+ "version": "1.22.4",
+ "resolved": "https://registry.npmjs.org/es-abstract/-/es-abstract-1.22.4.tgz",
+ "integrity": "sha512-vZYJlk2u6qHYxBOTjAeg7qUxHdNfih64Uu2J8QqWgXZ2cri0ZpJAkzDUK/q593+mvKwlxyaxr6F1Q+3LKoQRgg==",
+ "dependencies": {
+ "array-buffer-byte-length": "^1.0.1",
+ "arraybuffer.prototype.slice": "^1.0.3",
+ "available-typed-arrays": "^1.0.6",
+ "call-bind": "^1.0.7",
+ "es-define-property": "^1.0.0",
+ "es-errors": "^1.3.0",
+ "es-set-tostringtag": "^2.0.2",
+ "es-to-primitive": "^1.2.1",
+ "function.prototype.name": "^1.1.6",
+ "get-intrinsic": "^1.2.4",
+ "get-symbol-description": "^1.0.2",
+ "globalthis": "^1.0.3",
+ "gopd": "^1.0.1",
+ "has-property-descriptors": "^1.0.2",
+ "has-proto": "^1.0.1",
+ "has-symbols": "^1.0.3",
+ "hasown": "^2.0.1",
+ "internal-slot": "^1.0.7",
+ "is-array-buffer": "^3.0.4",
+ "is-callable": "^1.2.7",
+ "is-negative-zero": "^2.0.2",
+ "is-regex": "^1.1.4",
+ "is-shared-array-buffer": "^1.0.2",
+ "is-string": "^1.0.7",
+ "is-typed-array": "^1.1.13",
+ "is-weakref": "^1.0.2",
+ "object-inspect": "^1.13.1",
+ "object-keys": "^1.1.1",
+ "object.assign": "^4.1.5",
+ "regexp.prototype.flags": "^1.5.2",
+ "safe-array-concat": "^1.1.0",
+ "safe-regex-test": "^1.0.3",
+ "string.prototype.trim": "^1.2.8",
+ "string.prototype.trimend": "^1.0.7",
+ "string.prototype.trimstart": "^1.0.7",
+ "typed-array-buffer": "^1.0.1",
+ "typed-array-byte-length": "^1.0.0",
+ "typed-array-byte-offset": "^1.0.0",
+ "typed-array-length": "^1.0.4",
+ "unbox-primitive": "^1.0.2",
+ "which-typed-array": "^1.1.14"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/es-array-method-boxes-properly": {
+ "version": "1.0.0",
+ "resolved": "https://registry.npmjs.org/es-array-method-boxes-properly/-/es-array-method-boxes-properly-1.0.0.tgz",
+ "integrity": "sha512-wd6JXUmyHmt8T5a2xreUwKcGPq6f1f+WwIJkijUqiGcJz1qqnZgP6XIK+QyIWU5lT7imeNxUll48bziG+TSYcA=="
+ },
+ "node_modules/es-define-property": {
+ "version": "1.0.0",
+ "resolved": "https://registry.npmjs.org/es-define-property/-/es-define-property-1.0.0.tgz",
+ "integrity": "sha512-jxayLKShrEqqzJ0eumQbVhTYQM27CfT1T35+gCgDFoL82JLsXqTJ76zv6A0YLOgEnLUMvLzsDsGIrl8NFpT2gQ==",
+ "dependencies": {
+ "get-intrinsic": "^1.2.4"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ }
+ },
+ "node_modules/es-errors": {
+ "version": "1.3.0",
+ "resolved": "https://registry.npmjs.org/es-errors/-/es-errors-1.3.0.tgz",
+ "integrity": "sha512-Zf5H2Kxt2xjTvbJvP2ZWLEICxA6j+hAmMzIlypy4xcBg1vKVnx89Wy0GbS+kf5cwCVFFzdCFh2XSCFNULS6csw==",
+ "engines": {
+ "node": ">= 0.4"
+ }
+ },
+ "node_modules/es-get-iterator": {
+ "version": "1.1.3",
+ "resolved": "https://registry.npmjs.org/es-get-iterator/-/es-get-iterator-1.1.3.tgz",
+ "integrity": "sha512-sPZmqHBe6JIiTfN5q2pEi//TwxmAFHwj/XEuYjTuse78i8KxaqMTTzxPoFKuzRpDpTJ+0NAbpfenkmH2rePtuw==",
+ "dev": true,
+ "dependencies": {
+ "call-bind": "^1.0.2",
+ "get-intrinsic": "^1.1.3",
+ "has-symbols": "^1.0.3",
+ "is-arguments": "^1.1.1",
+ "is-map": "^2.0.2",
+ "is-set": "^2.0.2",
+ "is-string": "^1.0.7",
+ "isarray": "^2.0.5",
+ "stop-iteration-iterator": "^1.0.0"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/es-iterator-helpers": {
+ "version": "1.0.17",
+ "resolved": "https://registry.npmjs.org/es-iterator-helpers/-/es-iterator-helpers-1.0.17.tgz",
+ "integrity": "sha512-lh7BsUqelv4KUbR5a/ZTaGGIMLCjPGPqJ6q+Oq24YP0RdyptX1uzm4vvaqzk7Zx3bpl/76YLTTDj9L7uYQ92oQ==",
+ "dependencies": {
+ "asynciterator.prototype": "^1.0.0",
+ "call-bind": "^1.0.7",
+ "define-properties": "^1.2.1",
+ "es-abstract": "^1.22.4",
+ "es-errors": "^1.3.0",
+ "es-set-tostringtag": "^2.0.2",
+ "function-bind": "^1.1.2",
+ "get-intrinsic": "^1.2.4",
+ "globalthis": "^1.0.3",
+ "has-property-descriptors": "^1.0.2",
+ "has-proto": "^1.0.1",
+ "has-symbols": "^1.0.3",
+ "internal-slot": "^1.0.7",
+ "iterator.prototype": "^1.1.2",
+ "safe-array-concat": "^1.1.0"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ }
+ },
+ "node_modules/es-module-lexer": {
+ "version": "1.4.1",
+ "resolved": "https://registry.npmjs.org/es-module-lexer/-/es-module-lexer-1.4.1.tgz",
+ "integrity": "sha512-cXLGjP0c4T3flZJKQSuziYoq7MlT+rnvfZjfp7h+I7K9BNX54kP9nyWvdbwjQ4u1iWbOL4u96fgeZLToQlZC7w=="
+ },
+ "node_modules/es-set-tostringtag": {
+ "version": "2.0.2",
+ "resolved": "https://registry.npmjs.org/es-set-tostringtag/-/es-set-tostringtag-2.0.2.tgz",
+ "integrity": "sha512-BuDyupZt65P9D2D2vA/zqcI3G5xRsklm5N3xCwuiy+/vKy8i0ifdsQP1sLgO4tZDSCaQUSnmC48khknGMV3D2Q==",
+ "dependencies": {
+ "get-intrinsic": "^1.2.2",
+ "has-tostringtag": "^1.0.0",
+ "hasown": "^2.0.0"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ }
+ },
+ "node_modules/es-shim-unscopables": {
+ "version": "1.0.2",
+ "resolved": "https://registry.npmjs.org/es-shim-unscopables/-/es-shim-unscopables-1.0.2.tgz",
+ "integrity": "sha512-J3yBRXCzDu4ULnQwxyToo/OjdMx6akgVC7K6few0a7F/0wLtmKKN7I73AH5T2836UuXRqN7Qg+IIUw/+YJksRw==",
+ "dependencies": {
+ "hasown": "^2.0.0"
+ }
+ },
+ "node_modules/es-to-primitive": {
+ "version": "1.2.1",
+ "resolved": "https://registry.npmjs.org/es-to-primitive/-/es-to-primitive-1.2.1.tgz",
+ "integrity": "sha512-QCOllgZJtaUo9miYBcLChTUaHNjJF3PYs1VidD7AwiEj1kYxKeQTctLAezAOH5ZKRH0g2IgPn6KwB4IT8iRpvA==",
+ "dependencies": {
+ "is-callable": "^1.1.4",
+ "is-date-object": "^1.0.1",
+ "is-symbol": "^1.0.2"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/es6-promise": {
+ "version": "4.2.8",
+ "resolved": "https://registry.npmjs.org/es6-promise/-/es6-promise-4.2.8.tgz",
+ "integrity": "sha512-HJDGx5daxeIvxdBxvG2cb9g4tEvwIk3i8+nhX0yGrYmZUzbkdg8QbDevheDB8gd0//uPj4c1EQua8Q+MViT0/w=="
+ },
+ "node_modules/escalade": {
+ "version": "3.1.2",
+ "resolved": "https://registry.npmjs.org/escalade/-/escalade-3.1.2.tgz",
+ "integrity": "sha512-ErCHMCae19vR8vQGe50xIsVomy19rg6gFu3+r3jkEO46suLMWBksvVyoGgQV+jOfl84ZSOSlmv6Gxa89PmTGmA==",
+ "engines": {
+ "node": ">=6"
+ }
+ },
+ "node_modules/escape-html": {
+ "version": "1.0.3",
+ "resolved": "https://registry.npmjs.org/escape-html/-/escape-html-1.0.3.tgz",
+ "integrity": "sha512-NiSupZ4OeuGwr68lGIeym/ksIZMJodUGOSCZ/FSnTxcrekbvqrgdUxlJOMpijaKZVjAJrWrGs/6Jy8OMuyj9ow=="
+ },
+ "node_modules/escape-string-regexp": {
+ "version": "1.0.5",
+ "resolved": "https://registry.npmjs.org/escape-string-regexp/-/escape-string-regexp-1.0.5.tgz",
+ "integrity": "sha512-vbRorB5FUQWvla16U8R/qgaFIya2qGzwDrNmCZuYKrbdSUMG6I1ZCGQRefkRVhuOkIGVne7BQ35DSfo1qvJqFg==",
+ "engines": {
+ "node": ">=0.8.0"
+ }
+ },
+ "node_modules/escodegen": {
+ "version": "2.1.0",
+ "resolved": "https://registry.npmjs.org/escodegen/-/escodegen-2.1.0.tgz",
+ "integrity": "sha512-2NlIDTwUWJN0mRPQOdtQBzbUHvdGY2P1VXSyU83Q3xKxM7WHX2Ql8dKq782Q9TgQUNOLEzEYu9bzLNj1q88I5w==",
+ "dependencies": {
+ "esprima": "^4.0.1",
+ "estraverse": "^5.2.0",
+ "esutils": "^2.0.2"
+ },
+ "bin": {
+ "escodegen": "bin/escodegen.js",
+ "esgenerate": "bin/esgenerate.js"
+ },
+ "engines": {
+ "node": ">=6.0"
+ },
+ "optionalDependencies": {
+ "source-map": "~0.6.1"
+ }
+ },
+ "node_modules/escodegen/node_modules/source-map": {
+ "version": "0.6.1",
+ "resolved": "https://registry.npmjs.org/source-map/-/source-map-0.6.1.tgz",
+ "integrity": "sha512-UjgapumWlbMhkBgzT7Ykc5YXUT46F0iKu8SGXq0bcwP5dz/h0Plj6enJqjz1Zbq2l5WaqYnrVbwWOWMyF3F47g==",
+ "optional": true,
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/eslint": {
+ "version": "8.56.0",
+ "resolved": "https://registry.npmjs.org/eslint/-/eslint-8.56.0.tgz",
+ "integrity": "sha512-Go19xM6T9puCOWntie1/P997aXxFsOi37JIHRWI514Hc6ZnaHGKY9xFhrU65RT6CcBEzZoGG1e6Nq+DT04ZtZQ==",
+ "dependencies": {
+ "@eslint-community/eslint-utils": "^4.2.0",
+ "@eslint-community/regexpp": "^4.6.1",
+ "@eslint/eslintrc": "^2.1.4",
+ "@eslint/js": "8.56.0",
+ "@humanwhocodes/config-array": "^0.11.13",
+ "@humanwhocodes/module-importer": "^1.0.1",
+ "@nodelib/fs.walk": "^1.2.8",
+ "@ungap/structured-clone": "^1.2.0",
+ "ajv": "^6.12.4",
+ "chalk": "^4.0.0",
+ "cross-spawn": "^7.0.2",
+ "debug": "^4.3.2",
+ "doctrine": "^3.0.0",
+ "escape-string-regexp": "^4.0.0",
+ "eslint-scope": "^7.2.2",
+ "eslint-visitor-keys": "^3.4.3",
+ "espree": "^9.6.1",
+ "esquery": "^1.4.2",
+ "esutils": "^2.0.2",
+ "fast-deep-equal": "^3.1.3",
+ "file-entry-cache": "^6.0.1",
+ "find-up": "^5.0.0",
+ "glob-parent": "^6.0.2",
+ "globals": "^13.19.0",
+ "graphemer": "^1.4.0",
+ "ignore": "^5.2.0",
+ "imurmurhash": "^0.1.4",
+ "is-glob": "^4.0.0",
+ "is-path-inside": "^3.0.3",
+ "js-yaml": "^4.1.0",
+ "json-stable-stringify-without-jsonify": "^1.0.1",
+ "levn": "^0.4.1",
+ "lodash.merge": "^4.6.2",
+ "minimatch": "^3.1.2",
+ "natural-compare": "^1.4.0",
+ "optionator": "^0.9.3",
+ "strip-ansi": "^6.0.1",
+ "text-table": "^0.2.0"
+ },
+ "bin": {
+ "eslint": "bin/eslint.js"
+ },
+ "engines": {
+ "node": "^12.22.0 || ^14.17.0 || >=16.0.0"
+ },
+ "funding": {
+ "url": "https://opencollective.com/eslint"
+ }
+ },
+ "node_modules/eslint-config-prettier": {
+ "version": "8.10.0",
+ "resolved": "https://registry.npmjs.org/eslint-config-prettier/-/eslint-config-prettier-8.10.0.tgz",
+ "integrity": "sha512-SM8AMJdeQqRYT9O9zguiruQZaN7+z+E4eAP9oiLNGKMtomwaB1E9dcgUD6ZAn/eQAb52USbvezbiljfZUhbJcg==",
+ "dev": true,
+ "bin": {
+ "eslint-config-prettier": "bin/cli.js"
+ },
+ "peerDependencies": {
+ "eslint": ">=7.0.0"
+ }
+ },
+ "node_modules/eslint-config-react-app": {
+ "version": "7.0.1",
+ "resolved": "https://registry.npmjs.org/eslint-config-react-app/-/eslint-config-react-app-7.0.1.tgz",
+ "integrity": "sha512-K6rNzvkIeHaTd8m/QEh1Zko0KI7BACWkkneSs6s9cKZC/J27X3eZR6Upt1jkmZ/4FK+XUOPPxMEN7+lbUXfSlA==",
+ "dependencies": {
+ "@babel/core": "^7.16.0",
+ "@babel/eslint-parser": "^7.16.3",
+ "@rushstack/eslint-patch": "^1.1.0",
+ "@typescript-eslint/eslint-plugin": "^5.5.0",
+ "@typescript-eslint/parser": "^5.5.0",
+ "babel-preset-react-app": "^10.0.1",
+ "confusing-browser-globals": "^1.0.11",
+ "eslint-plugin-flowtype": "^8.0.3",
+ "eslint-plugin-import": "^2.25.3",
+ "eslint-plugin-jest": "^25.3.0",
+ "eslint-plugin-jsx-a11y": "^6.5.1",
+ "eslint-plugin-react": "^7.27.1",
+ "eslint-plugin-react-hooks": "^4.3.0",
+ "eslint-plugin-testing-library": "^5.0.1"
+ },
+ "engines": {
+ "node": ">=14.0.0"
+ },
+ "peerDependencies": {
+ "eslint": "^8.0.0"
+ }
+ },
+ "node_modules/eslint-import-resolver-node": {
+ "version": "0.3.9",
+ "resolved": "https://registry.npmjs.org/eslint-import-resolver-node/-/eslint-import-resolver-node-0.3.9.tgz",
+ "integrity": "sha512-WFj2isz22JahUv+B788TlO3N6zL3nNJGU8CcZbPZvVEkBPaJdCV4vy5wyghty5ROFbCRnm132v8BScu5/1BQ8g==",
+ "dependencies": {
+ "debug": "^3.2.7",
+ "is-core-module": "^2.13.0",
+ "resolve": "^1.22.4"
+ }
+ },
+ "node_modules/eslint-import-resolver-node/node_modules/debug": {
+ "version": "3.2.7",
+ "resolved": "https://registry.npmjs.org/debug/-/debug-3.2.7.tgz",
+ "integrity": "sha512-CFjzYYAi4ThfiQvizrFQevTTXHtnCqWfe7x1AhgEscTz6ZbLbfoLRLPugTQyBth6f8ZERVUSyWHFD/7Wu4t1XQ==",
+ "dependencies": {
+ "ms": "^2.1.1"
+ }
+ },
+ "node_modules/eslint-module-utils": {
+ "version": "2.8.0",
+ "resolved": "https://registry.npmjs.org/eslint-module-utils/-/eslint-module-utils-2.8.0.tgz",
+ "integrity": "sha512-aWajIYfsqCKRDgUfjEXNN/JlrzauMuSEy5sbd7WXbtW3EH6A6MpwEh42c7qD+MqQo9QMJ6fWLAeIJynx0g6OAw==",
+ "dependencies": {
+ "debug": "^3.2.7"
+ },
+ "engines": {
+ "node": ">=4"
+ },
+ "peerDependenciesMeta": {
+ "eslint": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/eslint-module-utils/node_modules/debug": {
+ "version": "3.2.7",
+ "resolved": "https://registry.npmjs.org/debug/-/debug-3.2.7.tgz",
+ "integrity": "sha512-CFjzYYAi4ThfiQvizrFQevTTXHtnCqWfe7x1AhgEscTz6ZbLbfoLRLPugTQyBth6f8ZERVUSyWHFD/7Wu4t1XQ==",
+ "dependencies": {
+ "ms": "^2.1.1"
+ }
+ },
+ "node_modules/eslint-plugin-flowtype": {
+ "version": "8.0.3",
+ "resolved": "https://registry.npmjs.org/eslint-plugin-flowtype/-/eslint-plugin-flowtype-8.0.3.tgz",
+ "integrity": "sha512-dX8l6qUL6O+fYPtpNRideCFSpmWOUVx5QcaGLVqe/vlDiBSe4vYljDWDETwnyFzpl7By/WVIu6rcrniCgH9BqQ==",
+ "dependencies": {
+ "lodash": "^4.17.21",
+ "string-natural-compare": "^3.0.1"
+ },
+ "engines": {
+ "node": ">=12.0.0"
+ },
+ "peerDependencies": {
+ "@babel/plugin-syntax-flow": "^7.14.5",
+ "@babel/plugin-transform-react-jsx": "^7.14.9",
+ "eslint": "^8.1.0"
+ }
+ },
+ "node_modules/eslint-plugin-import": {
+ "version": "2.29.1",
+ "resolved": "https://registry.npmjs.org/eslint-plugin-import/-/eslint-plugin-import-2.29.1.tgz",
+ "integrity": "sha512-BbPC0cuExzhiMo4Ff1BTVwHpjjv28C5R+btTOGaCRC7UEz801up0JadwkeSk5Ued6TG34uaczuVuH6qyy5YUxw==",
+ "dependencies": {
+ "array-includes": "^3.1.7",
+ "array.prototype.findlastindex": "^1.2.3",
+ "array.prototype.flat": "^1.3.2",
+ "array.prototype.flatmap": "^1.3.2",
+ "debug": "^3.2.7",
+ "doctrine": "^2.1.0",
+ "eslint-import-resolver-node": "^0.3.9",
+ "eslint-module-utils": "^2.8.0",
+ "hasown": "^2.0.0",
+ "is-core-module": "^2.13.1",
+ "is-glob": "^4.0.3",
+ "minimatch": "^3.1.2",
+ "object.fromentries": "^2.0.7",
+ "object.groupby": "^1.0.1",
+ "object.values": "^1.1.7",
+ "semver": "^6.3.1",
+ "tsconfig-paths": "^3.15.0"
+ },
+ "engines": {
+ "node": ">=4"
+ },
+ "peerDependencies": {
+ "eslint": "^2 || ^3 || ^4 || ^5 || ^6 || ^7.2.0 || ^8"
+ }
+ },
+ "node_modules/eslint-plugin-import/node_modules/debug": {
+ "version": "3.2.7",
+ "resolved": "https://registry.npmjs.org/debug/-/debug-3.2.7.tgz",
+ "integrity": "sha512-CFjzYYAi4ThfiQvizrFQevTTXHtnCqWfe7x1AhgEscTz6ZbLbfoLRLPugTQyBth6f8ZERVUSyWHFD/7Wu4t1XQ==",
+ "dependencies": {
+ "ms": "^2.1.1"
+ }
+ },
+ "node_modules/eslint-plugin-import/node_modules/doctrine": {
+ "version": "2.1.0",
+ "resolved": "https://registry.npmjs.org/doctrine/-/doctrine-2.1.0.tgz",
+ "integrity": "sha512-35mSku4ZXK0vfCuHEDAwt55dg2jNajHZ1odvF+8SSr82EsZY4QmXfuWso8oEd8zRhVObSN18aM0CjSdoBX7zIw==",
+ "dependencies": {
+ "esutils": "^2.0.2"
+ },
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/eslint-plugin-jest": {
+ "version": "25.7.0",
+ "resolved": "https://registry.npmjs.org/eslint-plugin-jest/-/eslint-plugin-jest-25.7.0.tgz",
+ "integrity": "sha512-PWLUEXeeF7C9QGKqvdSbzLOiLTx+bno7/HC9eefePfEb257QFHg7ye3dh80AZVkaa/RQsBB1Q/ORQvg2X7F0NQ==",
+ "dependencies": {
+ "@typescript-eslint/experimental-utils": "^5.0.0"
+ },
+ "engines": {
+ "node": "^12.13.0 || ^14.15.0 || >=16.0.0"
+ },
+ "peerDependencies": {
+ "@typescript-eslint/eslint-plugin": "^4.0.0 || ^5.0.0",
+ "eslint": "^6.0.0 || ^7.0.0 || ^8.0.0"
+ },
+ "peerDependenciesMeta": {
+ "@typescript-eslint/eslint-plugin": {
+ "optional": true
+ },
+ "jest": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/eslint-plugin-jsx-a11y": {
+ "version": "6.8.0",
+ "resolved": "https://registry.npmjs.org/eslint-plugin-jsx-a11y/-/eslint-plugin-jsx-a11y-6.8.0.tgz",
+ "integrity": "sha512-Hdh937BS3KdwwbBaKd5+PLCOmYY6U4f2h9Z2ktwtNKvIdIEu137rjYbcb9ApSbVJfWxANNuiKTD/9tOKjK9qOA==",
+ "dependencies": {
+ "@babel/runtime": "^7.23.2",
+ "aria-query": "^5.3.0",
+ "array-includes": "^3.1.7",
+ "array.prototype.flatmap": "^1.3.2",
+ "ast-types-flow": "^0.0.8",
+ "axe-core": "=4.7.0",
+ "axobject-query": "^3.2.1",
+ "damerau-levenshtein": "^1.0.8",
+ "emoji-regex": "^9.2.2",
+ "es-iterator-helpers": "^1.0.15",
+ "hasown": "^2.0.0",
+ "jsx-ast-utils": "^3.3.5",
+ "language-tags": "^1.0.9",
+ "minimatch": "^3.1.2",
+ "object.entries": "^1.1.7",
+ "object.fromentries": "^2.0.7"
+ },
+ "engines": {
+ "node": ">=4.0"
+ },
+ "peerDependencies": {
+ "eslint": "^3 || ^4 || ^5 || ^6 || ^7 || ^8"
+ }
+ },
+ "node_modules/eslint-plugin-jsx-a11y/node_modules/aria-query": {
+ "version": "5.3.0",
+ "resolved": "https://registry.npmjs.org/aria-query/-/aria-query-5.3.0.tgz",
+ "integrity": "sha512-b0P0sZPKtyu8HkeRAfCq0IfURZK+SuwMjY1UXGBU27wpAiTwQAIlq56IbIO+ytk/JjS1fMR14ee5WBBfKi5J6A==",
+ "dependencies": {
+ "dequal": "^2.0.3"
+ }
+ },
+ "node_modules/eslint-plugin-react": {
+ "version": "7.33.2",
+ "resolved": "https://registry.npmjs.org/eslint-plugin-react/-/eslint-plugin-react-7.33.2.tgz",
+ "integrity": "sha512-73QQMKALArI8/7xGLNI/3LylrEYrlKZSb5C9+q3OtOewTnMQi5cT+aE9E41sLCmli3I9PGGmD1yiZydyo4FEPw==",
+ "dependencies": {
+ "array-includes": "^3.1.6",
+ "array.prototype.flatmap": "^1.3.1",
+ "array.prototype.tosorted": "^1.1.1",
+ "doctrine": "^2.1.0",
+ "es-iterator-helpers": "^1.0.12",
+ "estraverse": "^5.3.0",
+ "jsx-ast-utils": "^2.4.1 || ^3.0.0",
+ "minimatch": "^3.1.2",
+ "object.entries": "^1.1.6",
+ "object.fromentries": "^2.0.6",
+ "object.hasown": "^1.1.2",
+ "object.values": "^1.1.6",
+ "prop-types": "^15.8.1",
+ "resolve": "^2.0.0-next.4",
+ "semver": "^6.3.1",
+ "string.prototype.matchall": "^4.0.8"
+ },
+ "engines": {
+ "node": ">=4"
+ },
+ "peerDependencies": {
+ "eslint": "^3 || ^4 || ^5 || ^6 || ^7 || ^8"
+ }
+ },
+ "node_modules/eslint-plugin-react-hooks": {
+ "version": "4.6.0",
+ "resolved": "https://registry.npmjs.org/eslint-plugin-react-hooks/-/eslint-plugin-react-hooks-4.6.0.tgz",
+ "integrity": "sha512-oFc7Itz9Qxh2x4gNHStv3BqJq54ExXmfC+a1NjAta66IAN87Wu0R/QArgIS9qKzX3dXKPI9H5crl9QchNMY9+g==",
+ "engines": {
+ "node": ">=10"
+ },
+ "peerDependencies": {
+ "eslint": "^3.0.0 || ^4.0.0 || ^5.0.0 || ^6.0.0 || ^7.0.0 || ^8.0.0-0"
+ }
+ },
+ "node_modules/eslint-plugin-react/node_modules/doctrine": {
+ "version": "2.1.0",
+ "resolved": "https://registry.npmjs.org/doctrine/-/doctrine-2.1.0.tgz",
+ "integrity": "sha512-35mSku4ZXK0vfCuHEDAwt55dg2jNajHZ1odvF+8SSr82EsZY4QmXfuWso8oEd8zRhVObSN18aM0CjSdoBX7zIw==",
+ "dependencies": {
+ "esutils": "^2.0.2"
+ },
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/eslint-plugin-react/node_modules/resolve": {
+ "version": "2.0.0-next.5",
+ "resolved": "https://registry.npmjs.org/resolve/-/resolve-2.0.0-next.5.tgz",
+ "integrity": "sha512-U7WjGVG9sH8tvjW5SmGbQuui75FiyjAX72HX15DwBBwF9dNiQZRQAg9nnPhYy+TUnE0+VcrttuvNI8oSxZcocA==",
+ "dependencies": {
+ "is-core-module": "^2.13.0",
+ "path-parse": "^1.0.7",
+ "supports-preserve-symlinks-flag": "^1.0.0"
+ },
+ "bin": {
+ "resolve": "bin/resolve"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/eslint-plugin-testing-library": {
+ "version": "5.11.1",
+ "resolved": "https://registry.npmjs.org/eslint-plugin-testing-library/-/eslint-plugin-testing-library-5.11.1.tgz",
+ "integrity": "sha512-5eX9e1Kc2PqVRed3taaLnAAqPZGEX75C+M/rXzUAI3wIg/ZxzUm1OVAwfe/O+vE+6YXOLetSe9g5GKD2ecXipw==",
+ "dependencies": {
+ "@typescript-eslint/utils": "^5.58.0"
+ },
+ "engines": {
+ "node": "^12.22.0 || ^14.17.0 || >=16.0.0",
+ "npm": ">=6"
+ },
+ "peerDependencies": {
+ "eslint": "^7.5.0 || ^8.0.0"
+ }
+ },
+ "node_modules/eslint-scope": {
+ "version": "7.2.2",
+ "resolved": "https://registry.npmjs.org/eslint-scope/-/eslint-scope-7.2.2.tgz",
+ "integrity": "sha512-dOt21O7lTMhDM+X9mB4GX+DZrZtCUJPL/wlcTqxyrx5IvO0IYtILdtrQGQp+8n5S0gwSVmOf9NQrjMOgfQZlIg==",
+ "dependencies": {
+ "esrecurse": "^4.3.0",
+ "estraverse": "^5.2.0"
+ },
+ "engines": {
+ "node": "^12.22.0 || ^14.17.0 || >=16.0.0"
+ },
+ "funding": {
+ "url": "https://opencollective.com/eslint"
+ }
+ },
+ "node_modules/eslint-visitor-keys": {
+ "version": "3.4.3",
+ "resolved": "https://registry.npmjs.org/eslint-visitor-keys/-/eslint-visitor-keys-3.4.3.tgz",
+ "integrity": "sha512-wpc+LXeiyiisxPlEkUzU6svyS1frIO3Mgxj1fdy7Pm8Ygzguax2N3Fa/D/ag1WqbOprdI+uY6wMUl8/a2G+iag==",
+ "engines": {
+ "node": "^12.22.0 || ^14.17.0 || >=16.0.0"
+ },
+ "funding": {
+ "url": "https://opencollective.com/eslint"
+ }
+ },
+ "node_modules/eslint-webpack-plugin": {
+ "version": "3.2.0",
+ "resolved": "https://registry.npmjs.org/eslint-webpack-plugin/-/eslint-webpack-plugin-3.2.0.tgz",
+ "integrity": "sha512-avrKcGncpPbPSUHX6B3stNGzkKFto3eL+DKM4+VyMrVnhPc3vRczVlCq3uhuFOdRvDHTVXuzwk1ZKUrqDQHQ9w==",
+ "dependencies": {
+ "@types/eslint": "^7.29.0 || ^8.4.1",
+ "jest-worker": "^28.0.2",
+ "micromatch": "^4.0.5",
+ "normalize-path": "^3.0.0",
+ "schema-utils": "^4.0.0"
+ },
+ "engines": {
+ "node": ">= 12.13.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/webpack"
+ },
+ "peerDependencies": {
+ "eslint": "^7.0.0 || ^8.0.0",
+ "webpack": "^5.0.0"
+ }
+ },
+ "node_modules/eslint-webpack-plugin/node_modules/ajv": {
+ "version": "8.12.0",
+ "resolved": "https://registry.npmjs.org/ajv/-/ajv-8.12.0.tgz",
+ "integrity": "sha512-sRu1kpcO9yLtYxBKvqfTeh9KzZEwO3STyX1HT+4CaDzC6HpTGYhIhPIzj9XuKU7KYDwnaeh5hcOwjy1QuJzBPA==",
+ "dependencies": {
+ "fast-deep-equal": "^3.1.1",
+ "json-schema-traverse": "^1.0.0",
+ "require-from-string": "^2.0.2",
+ "uri-js": "^4.2.2"
+ },
+ "funding": {
+ "type": "github",
+ "url": "https://github.com/sponsors/epoberezkin"
+ }
+ },
+ "node_modules/eslint-webpack-plugin/node_modules/ajv-keywords": {
+ "version": "5.1.0",
+ "resolved": "https://registry.npmjs.org/ajv-keywords/-/ajv-keywords-5.1.0.tgz",
+ "integrity": "sha512-YCS/JNFAUyr5vAuhk1DWm1CBxRHW9LbJ2ozWeemrIqpbsqKjHVxYPyi5GC0rjZIT5JxJ3virVTS8wk4i/Z+krw==",
+ "dependencies": {
+ "fast-deep-equal": "^3.1.3"
+ },
+ "peerDependencies": {
+ "ajv": "^8.8.2"
+ }
+ },
+ "node_modules/eslint-webpack-plugin/node_modules/fast-deep-equal": {
+ "version": "3.1.3",
+ "resolved": "https://registry.npmjs.org/fast-deep-equal/-/fast-deep-equal-3.1.3.tgz",
+ "integrity": "sha512-f3qQ9oQy9j2AhBe/H9VC91wLmKBCCU/gDOnKNAYG5hswO7BLKj09Hc5HYNz9cGI++xlpDCIgDaitVs03ATR84Q=="
+ },
+ "node_modules/eslint-webpack-plugin/node_modules/has-flag": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz",
+ "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/eslint-webpack-plugin/node_modules/jest-worker": {
+ "version": "28.1.3",
+ "resolved": "https://registry.npmjs.org/jest-worker/-/jest-worker-28.1.3.tgz",
+ "integrity": "sha512-CqRA220YV/6jCo8VWvAt1KKx6eek1VIHMPeLEbpcfSfkEeWyBNppynM/o6q+Wmw+sOhos2ml34wZbSX3G13//g==",
+ "dependencies": {
+ "@types/node": "*",
+ "merge-stream": "^2.0.0",
+ "supports-color": "^8.0.0"
+ },
+ "engines": {
+ "node": "^12.13.0 || ^14.15.0 || ^16.10.0 || >=17.0.0"
+ }
+ },
+ "node_modules/eslint-webpack-plugin/node_modules/json-schema-traverse": {
+ "version": "1.0.0",
+ "resolved": "https://registry.npmjs.org/json-schema-traverse/-/json-schema-traverse-1.0.0.tgz",
+ "integrity": "sha512-NM8/P9n3XjXhIZn1lLhkFaACTOURQXjWhV4BA/RnOv8xvgqtqpAX9IO4mRQxSx1Rlo4tqzeqb0sOlruaOy3dug=="
+ },
+ "node_modules/eslint-webpack-plugin/node_modules/schema-utils": {
+ "version": "4.2.0",
+ "resolved": "https://registry.npmjs.org/schema-utils/-/schema-utils-4.2.0.tgz",
+ "integrity": "sha512-L0jRsrPpjdckP3oPug3/VxNKt2trR8TcabrM6FOAAlvC/9Phcmm+cuAgTlxBqdBR1WJx7Naj9WHw+aOmheSVbw==",
+ "dependencies": {
+ "@types/json-schema": "^7.0.9",
+ "ajv": "^8.9.0",
+ "ajv-formats": "^2.1.1",
+ "ajv-keywords": "^5.1.0"
+ },
+ "engines": {
+ "node": ">= 12.13.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/webpack"
+ }
+ },
+ "node_modules/eslint-webpack-plugin/node_modules/supports-color": {
+ "version": "8.1.1",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-8.1.1.tgz",
+ "integrity": "sha512-MpUEN2OodtUzxvKQl72cUF7RQ5EiHsGvSsVG0ia9c5RbWGL2CI4C7EpPS8UTBIplnlzZiNuV56w+FuNxy3ty2Q==",
+ "dependencies": {
+ "has-flag": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/supports-color?sponsor=1"
+ }
+ },
+ "node_modules/eslint/node_modules/ansi-styles": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-4.3.0.tgz",
+ "integrity": "sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==",
+ "dependencies": {
+ "color-convert": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/eslint/node_modules/chalk": {
+ "version": "4.1.2",
+ "resolved": "https://registry.npmjs.org/chalk/-/chalk-4.1.2.tgz",
+ "integrity": "sha512-oKnbhFyRIXpUuez8iBMmyEa4nbj4IOQyuhc/wy9kY7/WVPcwIO9VA668Pu8RkO7+0G76SLROeyw9CpQ061i4mA==",
+ "dependencies": {
+ "ansi-styles": "^4.1.0",
+ "supports-color": "^7.1.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/chalk?sponsor=1"
+ }
+ },
+ "node_modules/eslint/node_modules/color-convert": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-2.0.1.tgz",
+ "integrity": "sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==",
+ "dependencies": {
+ "color-name": "~1.1.4"
+ },
+ "engines": {
+ "node": ">=7.0.0"
+ }
+ },
+ "node_modules/eslint/node_modules/color-name": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.4.tgz",
+ "integrity": "sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA=="
+ },
+ "node_modules/eslint/node_modules/escape-string-regexp": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/escape-string-regexp/-/escape-string-regexp-4.0.0.tgz",
+ "integrity": "sha512-TtpcNJ3XAzx3Gq8sWRzJaVajRs0uVxA2YAkdb1jm2YkPz4G6egUFAyA3n5vtEIZefPk5Wa4UXbKuS5fKkJWdgA==",
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/eslint/node_modules/fast-deep-equal": {
+ "version": "3.1.3",
+ "resolved": "https://registry.npmjs.org/fast-deep-equal/-/fast-deep-equal-3.1.3.tgz",
+ "integrity": "sha512-f3qQ9oQy9j2AhBe/H9VC91wLmKBCCU/gDOnKNAYG5hswO7BLKj09Hc5HYNz9cGI++xlpDCIgDaitVs03ATR84Q=="
+ },
+ "node_modules/eslint/node_modules/globals": {
+ "version": "13.24.0",
+ "resolved": "https://registry.npmjs.org/globals/-/globals-13.24.0.tgz",
+ "integrity": "sha512-AhO5QUcj8llrbG09iWhPU2B204J1xnPeL8kQmVorSsy+Sjj1sk8gIyh6cUocGmH4L0UuhAJy+hJMRA4mgA4mFQ==",
+ "dependencies": {
+ "type-fest": "^0.20.2"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/eslint/node_modules/has-flag": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz",
+ "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/eslint/node_modules/supports-color": {
+ "version": "7.2.0",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-7.2.0.tgz",
+ "integrity": "sha512-qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==",
+ "dependencies": {
+ "has-flag": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/eslint/node_modules/type-fest": {
+ "version": "0.20.2",
+ "resolved": "https://registry.npmjs.org/type-fest/-/type-fest-0.20.2.tgz",
+ "integrity": "sha512-Ne+eE4r0/iWnpAxD852z3A+N0Bt5RN//NjJwRd2VFHEmrywxf5vsZlh4R6lixl6B+wz/8d+maTSAkN1FIkI3LQ==",
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/espree": {
+ "version": "9.6.1",
+ "resolved": "https://registry.npmjs.org/espree/-/espree-9.6.1.tgz",
+ "integrity": "sha512-oruZaFkjorTpF32kDSI5/75ViwGeZginGGy2NoOSg3Q9bnwlnmDm4HLnkl0RE3n+njDXR037aY1+x58Z/zFdwQ==",
+ "dependencies": {
+ "acorn": "^8.9.0",
+ "acorn-jsx": "^5.3.2",
+ "eslint-visitor-keys": "^3.4.1"
+ },
+ "engines": {
+ "node": "^12.22.0 || ^14.17.0 || >=16.0.0"
+ },
+ "funding": {
+ "url": "https://opencollective.com/eslint"
+ }
+ },
+ "node_modules/esprima": {
+ "version": "4.0.1",
+ "resolved": "https://registry.npmjs.org/esprima/-/esprima-4.0.1.tgz",
+ "integrity": "sha512-eGuFFw7Upda+g4p+QHvnW0RyTX/SVeJBDM/gCtMARO0cLuT2HcEKnTPvhjV6aGeqrCB/sbNop0Kszm0jsaWU4A==",
+ "bin": {
+ "esparse": "bin/esparse.js",
+ "esvalidate": "bin/esvalidate.js"
+ },
+ "engines": {
+ "node": ">=4"
+ }
+ },
+ "node_modules/esquery": {
+ "version": "1.5.0",
+ "resolved": "https://registry.npmjs.org/esquery/-/esquery-1.5.0.tgz",
+ "integrity": "sha512-YQLXUplAwJgCydQ78IMJywZCceoqk1oH01OERdSAJc/7U2AylwjhSCLDEtqwg811idIS/9fIU5GjG73IgjKMVg==",
+ "dependencies": {
+ "estraverse": "^5.1.0"
+ },
+ "engines": {
+ "node": ">=0.10"
+ }
+ },
+ "node_modules/esrecurse": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/esrecurse/-/esrecurse-4.3.0.tgz",
+ "integrity": "sha512-KmfKL3b6G+RXvP8N1vr3Tq1kL/oCFgn2NYXEtqP8/L3pKapUA4G8cFVaoF3SU323CD4XypR/ffioHmkti6/Tag==",
+ "dependencies": {
+ "estraverse": "^5.2.0"
+ },
+ "engines": {
+ "node": ">=4.0"
+ }
+ },
+ "node_modules/estraverse": {
+ "version": "5.3.0",
+ "resolved": "https://registry.npmjs.org/estraverse/-/estraverse-5.3.0.tgz",
+ "integrity": "sha512-MMdARuVEQziNTeJD8DgMqmhwR11BRQ/cBP+pLtYdSTnf3MIO8fFeiINEbX36ZdNlfU/7A9f3gUw49B3oQsvwBA==",
+ "engines": {
+ "node": ">=4.0"
+ }
+ },
+ "node_modules/estree-walker": {
+ "version": "1.0.1",
+ "resolved": "https://registry.npmjs.org/estree-walker/-/estree-walker-1.0.1.tgz",
+ "integrity": "sha512-1fMXF3YP4pZZVozF8j/ZLfvnR8NSIljt56UhbZ5PeeDmmGHpgpdwQt7ITlGvYaQukCvuBRMLEiKiYC+oeIg4cg=="
+ },
+ "node_modules/esutils": {
+ "version": "2.0.3",
+ "resolved": "https://registry.npmjs.org/esutils/-/esutils-2.0.3.tgz",
+ "integrity": "sha512-kVscqXk4OCp68SZ0dkgEKVi6/8ij300KBWTJq32P/dYeWTSwK41WyTxalN1eRmA5Z9UU/LX9D7FWSmV9SAYx6g==",
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/etag": {
+ "version": "1.8.1",
+ "resolved": "https://registry.npmjs.org/etag/-/etag-1.8.1.tgz",
+ "integrity": "sha512-aIL5Fx7mawVa300al2BnEE4iNvo1qETxLrPI/o05L7z6go7fCw1J6EQmbK4FmJ2AS7kgVF/KEZWufBfdClMcPg==",
+ "engines": {
+ "node": ">= 0.6"
+ }
+ },
+ "node_modules/eventemitter3": {
+ "version": "4.0.7",
+ "resolved": "https://registry.npmjs.org/eventemitter3/-/eventemitter3-4.0.7.tgz",
+ "integrity": "sha512-8guHBZCwKnFhYdHr2ysuRWErTwhoN2X8XELRlrRwpmfeY2jjuUN4taQMsULKUVo1K4DvZl+0pgfyoysHxvmvEw=="
+ },
+ "node_modules/events": {
+ "version": "3.3.0",
+ "resolved": "https://registry.npmjs.org/events/-/events-3.3.0.tgz",
+ "integrity": "sha512-mQw+2fkQbALzQ7V0MY0IqdnXNOeTtP4r0lN9z7AAawCXgqea7bDii20AYrIBrFd/Hx0M2Ocz6S111CaFkUcb0Q==",
+ "engines": {
+ "node": ">=0.8.x"
+ }
+ },
+ "node_modules/execa": {
+ "version": "5.1.1",
+ "resolved": "https://registry.npmjs.org/execa/-/execa-5.1.1.tgz",
+ "integrity": "sha512-8uSpZZocAZRBAPIEINJj3Lo9HyGitllczc27Eh5YYojjMFMn8yHMDMaUHE2Jqfq05D/wucwI4JGURyXt1vchyg==",
+ "dependencies": {
+ "cross-spawn": "^7.0.3",
+ "get-stream": "^6.0.0",
+ "human-signals": "^2.1.0",
+ "is-stream": "^2.0.0",
+ "merge-stream": "^2.0.0",
+ "npm-run-path": "^4.0.1",
+ "onetime": "^5.1.2",
+ "signal-exit": "^3.0.3",
+ "strip-final-newline": "^2.0.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/sindresorhus/execa?sponsor=1"
+ }
+ },
+ "node_modules/exenv": {
+ "version": "1.2.2",
+ "resolved": "https://registry.npmjs.org/exenv/-/exenv-1.2.2.tgz",
+ "integrity": "sha512-Z+ktTxTwv9ILfgKCk32OX3n/doe+OcLTRtqK9pcL+JsP3J1/VW8Uvl4ZjLlKqeW4rzK4oesDOGMEMRIZqtP4Iw=="
+ },
+ "node_modules/exit": {
+ "version": "0.1.2",
+ "resolved": "https://registry.npmjs.org/exit/-/exit-0.1.2.tgz",
+ "integrity": "sha512-Zk/eNKV2zbjpKzrsQ+n1G6poVbErQxJ0LBOJXaKZ1EViLzH+hrLu9cdXI4zw9dBQJslwBEpbQ2P1oS7nDxs6jQ==",
+ "engines": {
+ "node": ">= 0.8.0"
+ }
+ },
+ "node_modules/expect": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/expect/-/expect-27.5.1.tgz",
+ "integrity": "sha512-E1q5hSUG2AmYQwQJ041nvgpkODHQvB+RKlB4IYdru6uJsyFTRyZAP463M+1lINorwbqAmUggi6+WwkD8lCS/Dw==",
+ "dependencies": {
+ "@jest/types": "^27.5.1",
+ "jest-get-type": "^27.5.1",
+ "jest-matcher-utils": "^27.5.1",
+ "jest-message-util": "^27.5.1"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/express": {
+ "version": "4.18.2",
+ "resolved": "https://registry.npmjs.org/express/-/express-4.18.2.tgz",
+ "integrity": "sha512-5/PsL6iGPdfQ/lKM1UuielYgv3BUoJfz1aUwU9vHZ+J7gyvwdQXFEBIEIaxeGf0GIcreATNyBExtalisDbuMqQ==",
+ "dependencies": {
+ "accepts": "~1.3.8",
+ "array-flatten": "1.1.1",
+ "body-parser": "1.20.1",
+ "content-disposition": "0.5.4",
+ "content-type": "~1.0.4",
+ "cookie": "0.5.0",
+ "cookie-signature": "1.0.6",
+ "debug": "2.6.9",
+ "depd": "2.0.0",
+ "encodeurl": "~1.0.2",
+ "escape-html": "~1.0.3",
+ "etag": "~1.8.1",
+ "finalhandler": "1.2.0",
+ "fresh": "0.5.2",
+ "http-errors": "2.0.0",
+ "merge-descriptors": "1.0.1",
+ "methods": "~1.1.2",
+ "on-finished": "2.4.1",
+ "parseurl": "~1.3.3",
+ "path-to-regexp": "0.1.7",
+ "proxy-addr": "~2.0.7",
+ "qs": "6.11.0",
+ "range-parser": "~1.2.1",
+ "safe-buffer": "5.2.1",
+ "send": "0.18.0",
+ "serve-static": "1.15.0",
+ "setprototypeof": "1.2.0",
+ "statuses": "2.0.1",
+ "type-is": "~1.6.18",
+ "utils-merge": "1.0.1",
+ "vary": "~1.1.2"
+ },
+ "engines": {
+ "node": ">= 0.10.0"
+ }
+ },
+ "node_modules/express/node_modules/debug": {
+ "version": "2.6.9",
+ "resolved": "https://registry.npmjs.org/debug/-/debug-2.6.9.tgz",
+ "integrity": "sha512-bC7ElrdJaJnPbAP+1EotYvqZsb3ecl5wi6Bfi6BJTUcNowp6cvspg0jXznRTKDjm/E7AdgFBVeAPVMNcKGsHMA==",
+ "dependencies": {
+ "ms": "2.0.0"
+ }
+ },
+ "node_modules/express/node_modules/ms": {
+ "version": "2.0.0",
+ "resolved": "https://registry.npmjs.org/ms/-/ms-2.0.0.tgz",
+ "integrity": "sha512-Tpp60P6IUJDTuOq/5Z8cdskzJujfwqfOTkrwIwj7IRISpnkJnT6SyJ4PCPnGMoFjC9ddhal5KVIYtAt97ix05A=="
+ },
+ "node_modules/express/node_modules/path-to-regexp": {
+ "version": "0.1.7",
+ "resolved": "https://registry.npmjs.org/path-to-regexp/-/path-to-regexp-0.1.7.tgz",
+ "integrity": "sha512-5DFkuoqlv1uYQKxy8omFBeJPQcdoE07Kv2sferDCrAq1ohOU+MSDswDIbnx3YAM60qIOnYa53wBhXW0EbMonrQ=="
+ },
+ "node_modules/fast-deep-equal": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/fast-deep-equal/-/fast-deep-equal-2.0.1.tgz",
+ "integrity": "sha512-bCK/2Z4zLidyB4ReuIsvALH6w31YfAQDmXMqMx6FyfHqvBxtjC0eRumeSu4Bs3XtXwpyIywtSTrVT99BxY1f9w=="
+ },
+ "node_modules/fast-glob": {
+ "version": "3.3.2",
+ "resolved": "https://registry.npmjs.org/fast-glob/-/fast-glob-3.3.2.tgz",
+ "integrity": "sha512-oX2ruAFQwf/Orj8m737Y5adxDQO0LAB7/S5MnxCdTNDd4p6BsyIVsv9JQsATbTSq8KHRpLwIHbVlUNatxd+1Ow==",
+ "dependencies": {
+ "@nodelib/fs.stat": "^2.0.2",
+ "@nodelib/fs.walk": "^1.2.3",
+ "glob-parent": "^5.1.2",
+ "merge2": "^1.3.0",
+ "micromatch": "^4.0.4"
+ },
+ "engines": {
+ "node": ">=8.6.0"
+ }
+ },
+ "node_modules/fast-glob/node_modules/glob-parent": {
+ "version": "5.1.2",
+ "resolved": "https://registry.npmjs.org/glob-parent/-/glob-parent-5.1.2.tgz",
+ "integrity": "sha512-AOIgSQCepiJYwP3ARnGx+5VnTu2HBYdzbGP45eLw1vr3zB3vZLeyed1sC9hnbcOc9/SrMyM5RPQrkGz4aS9Zow==",
+ "dependencies": {
+ "is-glob": "^4.0.1"
+ },
+ "engines": {
+ "node": ">= 6"
+ }
+ },
+ "node_modules/fast-json-stable-stringify": {
+ "version": "2.1.0",
+ "resolved": "https://registry.npmjs.org/fast-json-stable-stringify/-/fast-json-stable-stringify-2.1.0.tgz",
+ "integrity": "sha512-lhd/wF+Lk98HZoTCtlVraHtfh5XYijIjalXck7saUtuanSDyLMxnHhSXEDJqHxD7msR8D0uCmqlkwjCV8xvwHw=="
+ },
+ "node_modules/fast-levenshtein": {
+ "version": "2.0.6",
+ "resolved": "https://registry.npmjs.org/fast-levenshtein/-/fast-levenshtein-2.0.6.tgz",
+ "integrity": "sha512-DCXu6Ifhqcks7TZKY3Hxp3y6qphY5SJZmrWMDrKcERSOXWQdMhU9Ig/PYrzyw/ul9jOIyh0N4M0tbC5hodg8dw=="
+ },
+ "node_modules/fastq": {
+ "version": "1.17.1",
+ "resolved": "https://registry.npmjs.org/fastq/-/fastq-1.17.1.tgz",
+ "integrity": "sha512-sRVD3lWVIXWg6By68ZN7vho9a1pQcN/WBFaAAsDDFzlJjvoGx0P8z7V1t72grFJfJhu3YPZBuu25f7Kaw2jN1w==",
+ "dependencies": {
+ "reusify": "^1.0.4"
+ }
+ },
+ "node_modules/faye-websocket": {
+ "version": "0.11.4",
+ "resolved": "https://registry.npmjs.org/faye-websocket/-/faye-websocket-0.11.4.tgz",
+ "integrity": "sha512-CzbClwlXAuiRQAlUyfqPgvPoNKTckTPGfwZV4ZdAhVcP2lh9KUxJg2b5GkE7XbjKQ3YJnQ9z6D9ntLAlB+tP8g==",
+ "dependencies": {
+ "websocket-driver": ">=0.5.1"
+ },
+ "engines": {
+ "node": ">=0.8.0"
+ }
+ },
+ "node_modules/fb-watchman": {
+ "version": "2.0.2",
+ "resolved": "https://registry.npmjs.org/fb-watchman/-/fb-watchman-2.0.2.tgz",
+ "integrity": "sha512-p5161BqbuCaSnB8jIbzQHOlpgsPmK5rJVDfDKO91Axs5NC1uu3HRQm6wt9cd9/+GtQQIO53JdGXXoyDpTAsgYA==",
+ "dependencies": {
+ "bser": "2.1.1"
+ }
+ },
+ "node_modules/file-entry-cache": {
+ "version": "6.0.1",
+ "resolved": "https://registry.npmjs.org/file-entry-cache/-/file-entry-cache-6.0.1.tgz",
+ "integrity": "sha512-7Gps/XWymbLk2QLYK4NzpMOrYjMhdIxXuIvy2QBsLE6ljuodKvdkWs/cpyJJ3CVIVpH0Oi1Hvg1ovbMzLdFBBg==",
+ "dependencies": {
+ "flat-cache": "^3.0.4"
+ },
+ "engines": {
+ "node": "^10.12.0 || >=12.0.0"
+ }
+ },
+ "node_modules/file-loader": {
+ "version": "6.2.0",
+ "resolved": "https://registry.npmjs.org/file-loader/-/file-loader-6.2.0.tgz",
+ "integrity": "sha512-qo3glqyTa61Ytg4u73GultjHGjdRyig3tG6lPtyX/jOEJvHif9uB0/OCI2Kif6ctF3caQTW2G5gym21oAsI4pw==",
+ "dependencies": {
+ "loader-utils": "^2.0.0",
+ "schema-utils": "^3.0.0"
+ },
+ "engines": {
+ "node": ">= 10.13.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/webpack"
+ },
+ "peerDependencies": {
+ "webpack": "^4.0.0 || ^5.0.0"
+ }
+ },
+ "node_modules/filelist": {
+ "version": "1.0.4",
+ "resolved": "https://registry.npmjs.org/filelist/-/filelist-1.0.4.tgz",
+ "integrity": "sha512-w1cEuf3S+DrLCQL7ET6kz+gmlJdbq9J7yXCSjK/OZCPA+qEN1WyF4ZAf0YYJa4/shHJra2t/d/r8SV4Ji+x+8Q==",
+ "dependencies": {
+ "minimatch": "^5.0.1"
+ }
+ },
+ "node_modules/filelist/node_modules/brace-expansion": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/brace-expansion/-/brace-expansion-2.0.1.tgz",
+ "integrity": "sha512-XnAIvQ8eM+kC6aULx6wuQiwVsnzsi9d3WxzV3FpWTGA19F621kwdbsAcFKXgKUHZWsy+mY6iL1sHTxWEFCytDA==",
+ "dependencies": {
+ "balanced-match": "^1.0.0"
+ }
+ },
+ "node_modules/filelist/node_modules/minimatch": {
+ "version": "5.1.6",
+ "resolved": "https://registry.npmjs.org/minimatch/-/minimatch-5.1.6.tgz",
+ "integrity": "sha512-lKwV/1brpG6mBUFHtb7NUmtABCb2WZZmm2wNiOA5hAb8VdCS4B3dtMWyvcoViccwAW/COERjXLt0zP1zXUN26g==",
+ "dependencies": {
+ "brace-expansion": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/filesize": {
+ "version": "8.0.7",
+ "resolved": "https://registry.npmjs.org/filesize/-/filesize-8.0.7.tgz",
+ "integrity": "sha512-pjmC+bkIF8XI7fWaH8KxHcZL3DPybs1roSKP4rKDvy20tAWwIObE4+JIseG2byfGKhud5ZnM4YSGKBz7Sh0ndQ==",
+ "engines": {
+ "node": ">= 0.4.0"
+ }
+ },
+ "node_modules/fill-range": {
+ "version": "7.0.1",
+ "resolved": "https://registry.npmjs.org/fill-range/-/fill-range-7.0.1.tgz",
+ "integrity": "sha512-qOo9F+dMUmC2Lcb4BbVvnKJxTPjCm+RRpe4gDuGrzkL7mEVl/djYSu2OdQ2Pa302N4oqkSg9ir6jaLWJ2USVpQ==",
+ "dependencies": {
+ "to-regex-range": "^5.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/finalhandler": {
+ "version": "1.2.0",
+ "resolved": "https://registry.npmjs.org/finalhandler/-/finalhandler-1.2.0.tgz",
+ "integrity": "sha512-5uXcUVftlQMFnWC9qu/svkWv3GTd2PfUhK/3PLkYNAe7FbqJMt3515HaxE6eRL74GdsriiwujiawdaB1BpEISg==",
+ "dependencies": {
+ "debug": "2.6.9",
+ "encodeurl": "~1.0.2",
+ "escape-html": "~1.0.3",
+ "on-finished": "2.4.1",
+ "parseurl": "~1.3.3",
+ "statuses": "2.0.1",
+ "unpipe": "~1.0.0"
+ },
+ "engines": {
+ "node": ">= 0.8"
+ }
+ },
+ "node_modules/finalhandler/node_modules/debug": {
+ "version": "2.6.9",
+ "resolved": "https://registry.npmjs.org/debug/-/debug-2.6.9.tgz",
+ "integrity": "sha512-bC7ElrdJaJnPbAP+1EotYvqZsb3ecl5wi6Bfi6BJTUcNowp6cvspg0jXznRTKDjm/E7AdgFBVeAPVMNcKGsHMA==",
+ "dependencies": {
+ "ms": "2.0.0"
+ }
+ },
+ "node_modules/finalhandler/node_modules/ms": {
+ "version": "2.0.0",
+ "resolved": "https://registry.npmjs.org/ms/-/ms-2.0.0.tgz",
+ "integrity": "sha512-Tpp60P6IUJDTuOq/5Z8cdskzJujfwqfOTkrwIwj7IRISpnkJnT6SyJ4PCPnGMoFjC9ddhal5KVIYtAt97ix05A=="
+ },
+ "node_modules/find-cache-dir": {
+ "version": "3.3.2",
+ "resolved": "https://registry.npmjs.org/find-cache-dir/-/find-cache-dir-3.3.2.tgz",
+ "integrity": "sha512-wXZV5emFEjrridIgED11OoUKLxiYjAcqot/NJdAkOhlJ+vGzwhOAfcG5OX1jP+S0PcjEn8bdMJv+g2jwQ3Onig==",
+ "dependencies": {
+ "commondir": "^1.0.1",
+ "make-dir": "^3.0.2",
+ "pkg-dir": "^4.1.0"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/avajs/find-cache-dir?sponsor=1"
+ }
+ },
+ "node_modules/find-up": {
+ "version": "5.0.0",
+ "resolved": "https://registry.npmjs.org/find-up/-/find-up-5.0.0.tgz",
+ "integrity": "sha512-78/PXT1wlLLDgTzDs7sjq9hzz0vXD+zn+7wypEe4fXQxCmdmqfGsEPQxmiCSQI3ajFV91bVSsvNtrJRiW6nGng==",
+ "dependencies": {
+ "locate-path": "^6.0.0",
+ "path-exists": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/flat-cache": {
+ "version": "3.2.0",
+ "resolved": "https://registry.npmjs.org/flat-cache/-/flat-cache-3.2.0.tgz",
+ "integrity": "sha512-CYcENa+FtcUKLmhhqyctpclsq7QF38pKjZHsGNiSQF5r4FtoKDWabFDl3hzaEQMvT1LHEysw5twgLvpYYb4vbw==",
+ "dependencies": {
+ "flatted": "^3.2.9",
+ "keyv": "^4.5.3",
+ "rimraf": "^3.0.2"
+ },
+ "engines": {
+ "node": "^10.12.0 || >=12.0.0"
+ }
+ },
+ "node_modules/flatted": {
+ "version": "3.2.9",
+ "resolved": "https://registry.npmjs.org/flatted/-/flatted-3.2.9.tgz",
+ "integrity": "sha512-36yxDn5H7OFZQla0/jFJmbIKTdZAQHngCedGxiMmpNfEZM0sdEeT+WczLQrjK6D7o2aiyLYDnkw0R3JK0Qv1RQ=="
+ },
+ "node_modules/follow-redirects": {
+ "version": "1.15.5",
+ "resolved": "https://registry.npmjs.org/follow-redirects/-/follow-redirects-1.15.5.tgz",
+ "integrity": "sha512-vSFWUON1B+yAw1VN4xMfxgn5fTUiaOzAJCKBwIIgT/+7CuGy9+r+5gITvP62j3RmaD5Ph65UaERdOSRGUzZtgw==",
+ "funding": [
+ {
+ "type": "individual",
+ "url": "https://github.com/sponsors/RubenVerborgh"
+ }
+ ],
+ "engines": {
+ "node": ">=4.0"
+ },
+ "peerDependenciesMeta": {
+ "debug": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/for-each": {
+ "version": "0.3.3",
+ "resolved": "https://registry.npmjs.org/for-each/-/for-each-0.3.3.tgz",
+ "integrity": "sha512-jqYfLp7mo9vIyQf8ykW2v7A+2N4QjeCeI5+Dz9XraiO1ign81wjiH7Fb9vSOWvQfNtmSa4H2RoQTrrXivdUZmw==",
+ "dependencies": {
+ "is-callable": "^1.1.3"
+ }
+ },
+ "node_modules/foreground-child": {
+ "version": "3.1.1",
+ "resolved": "https://registry.npmjs.org/foreground-child/-/foreground-child-3.1.1.tgz",
+ "integrity": "sha512-TMKDUnIte6bfb5nWv7V/caI169OHgvwjb7V4WkeUvbQQdjr5rWKqHFiKWb/fcOwB+CzBT+qbWjvj+DVwRskpIg==",
+ "dependencies": {
+ "cross-spawn": "^7.0.0",
+ "signal-exit": "^4.0.1"
+ },
+ "engines": {
+ "node": ">=14"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/isaacs"
+ }
+ },
+ "node_modules/foreground-child/node_modules/signal-exit": {
+ "version": "4.1.0",
+ "resolved": "https://registry.npmjs.org/signal-exit/-/signal-exit-4.1.0.tgz",
+ "integrity": "sha512-bzyZ1e88w9O1iNJbKnOlvYTrWPDl46O1bG0D3XInv+9tkPrxrN8jUUTiFlDkkmKWgn1M6CfIA13SuGqOa9Korw==",
+ "engines": {
+ "node": ">=14"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/isaacs"
+ }
+ },
+ "node_modules/fork-ts-checker-webpack-plugin": {
+ "version": "6.5.3",
+ "resolved": "https://registry.npmjs.org/fork-ts-checker-webpack-plugin/-/fork-ts-checker-webpack-plugin-6.5.3.tgz",
+ "integrity": "sha512-SbH/l9ikmMWycd5puHJKTkZJKddF4iRLyW3DeZ08HTI7NGyLS38MXd/KGgeWumQO7YNQbW2u/NtPT2YowbPaGQ==",
+ "dependencies": {
+ "@babel/code-frame": "^7.8.3",
+ "@types/json-schema": "^7.0.5",
+ "chalk": "^4.1.0",
+ "chokidar": "^3.4.2",
+ "cosmiconfig": "^6.0.0",
+ "deepmerge": "^4.2.2",
+ "fs-extra": "^9.0.0",
+ "glob": "^7.1.6",
+ "memfs": "^3.1.2",
+ "minimatch": "^3.0.4",
+ "schema-utils": "2.7.0",
+ "semver": "^7.3.2",
+ "tapable": "^1.0.0"
+ },
+ "engines": {
+ "node": ">=10",
+ "yarn": ">=1.0.0"
+ },
+ "peerDependencies": {
+ "eslint": ">= 6",
+ "typescript": ">= 2.7",
+ "vue-template-compiler": "*",
+ "webpack": ">= 4"
+ },
+ "peerDependenciesMeta": {
+ "eslint": {
+ "optional": true
+ },
+ "vue-template-compiler": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/fork-ts-checker-webpack-plugin/node_modules/ansi-styles": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-4.3.0.tgz",
+ "integrity": "sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==",
+ "dependencies": {
+ "color-convert": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/fork-ts-checker-webpack-plugin/node_modules/chalk": {
+ "version": "4.1.2",
+ "resolved": "https://registry.npmjs.org/chalk/-/chalk-4.1.2.tgz",
+ "integrity": "sha512-oKnbhFyRIXpUuez8iBMmyEa4nbj4IOQyuhc/wy9kY7/WVPcwIO9VA668Pu8RkO7+0G76SLROeyw9CpQ061i4mA==",
+ "dependencies": {
+ "ansi-styles": "^4.1.0",
+ "supports-color": "^7.1.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/chalk?sponsor=1"
+ }
+ },
+ "node_modules/fork-ts-checker-webpack-plugin/node_modules/color-convert": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-2.0.1.tgz",
+ "integrity": "sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==",
+ "dependencies": {
+ "color-name": "~1.1.4"
+ },
+ "engines": {
+ "node": ">=7.0.0"
+ }
+ },
+ "node_modules/fork-ts-checker-webpack-plugin/node_modules/color-name": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.4.tgz",
+ "integrity": "sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA=="
+ },
+ "node_modules/fork-ts-checker-webpack-plugin/node_modules/cosmiconfig": {
+ "version": "6.0.0",
+ "resolved": "https://registry.npmjs.org/cosmiconfig/-/cosmiconfig-6.0.0.tgz",
+ "integrity": "sha512-xb3ZL6+L8b9JLLCx3ZdoZy4+2ECphCMo2PwqgP1tlfVq6M6YReyzBJtvWWtbDSpNr9hn96pkCiZqUcFEc+54Qg==",
+ "dependencies": {
+ "@types/parse-json": "^4.0.0",
+ "import-fresh": "^3.1.0",
+ "parse-json": "^5.0.0",
+ "path-type": "^4.0.0",
+ "yaml": "^1.7.2"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/fork-ts-checker-webpack-plugin/node_modules/fs-extra": {
+ "version": "9.1.0",
+ "resolved": "https://registry.npmjs.org/fs-extra/-/fs-extra-9.1.0.tgz",
+ "integrity": "sha512-hcg3ZmepS30/7BSFqRvoo3DOMQu7IjqxO5nCDt+zM9XWjb33Wg7ziNT+Qvqbuc3+gWpzO02JubVyk2G4Zvo1OQ==",
+ "dependencies": {
+ "at-least-node": "^1.0.0",
+ "graceful-fs": "^4.2.0",
+ "jsonfile": "^6.0.1",
+ "universalify": "^2.0.0"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/fork-ts-checker-webpack-plugin/node_modules/has-flag": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz",
+ "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/fork-ts-checker-webpack-plugin/node_modules/lru-cache": {
+ "version": "6.0.0",
+ "resolved": "https://registry.npmjs.org/lru-cache/-/lru-cache-6.0.0.tgz",
+ "integrity": "sha512-Jo6dJ04CmSjuznwJSS3pUeWmd/H0ffTlkXXgwZi+eq1UCmqQwCh+eLsYOYCwY991i2Fah4h1BEMCx4qThGbsiA==",
+ "dependencies": {
+ "yallist": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/fork-ts-checker-webpack-plugin/node_modules/schema-utils": {
+ "version": "2.7.0",
+ "resolved": "https://registry.npmjs.org/schema-utils/-/schema-utils-2.7.0.tgz",
+ "integrity": "sha512-0ilKFI6QQF5nxDZLFn2dMjvc4hjg/Wkg7rHd3jK6/A4a1Hl9VFdQWvgB1UMGoU94pad1P/8N7fMcEnLnSiju8A==",
+ "dependencies": {
+ "@types/json-schema": "^7.0.4",
+ "ajv": "^6.12.2",
+ "ajv-keywords": "^3.4.1"
+ },
+ "engines": {
+ "node": ">= 8.9.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/webpack"
+ }
+ },
+ "node_modules/fork-ts-checker-webpack-plugin/node_modules/semver": {
+ "version": "7.6.0",
+ "resolved": "https://registry.npmjs.org/semver/-/semver-7.6.0.tgz",
+ "integrity": "sha512-EnwXhrlwXMk9gKu5/flx5sv/an57AkRplG3hTK68W7FRDN+k+OWBj65M7719OkA82XLBxrcX0KSHj+X5COhOVg==",
+ "dependencies": {
+ "lru-cache": "^6.0.0"
+ },
+ "bin": {
+ "semver": "bin/semver.js"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/fork-ts-checker-webpack-plugin/node_modules/supports-color": {
+ "version": "7.2.0",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-7.2.0.tgz",
+ "integrity": "sha512-qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==",
+ "dependencies": {
+ "has-flag": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/fork-ts-checker-webpack-plugin/node_modules/tapable": {
+ "version": "1.1.3",
+ "resolved": "https://registry.npmjs.org/tapable/-/tapable-1.1.3.tgz",
+ "integrity": "sha512-4WK/bYZmj8xLr+HUCODHGF1ZFzsYffasLUgEiMBY4fgtltdO6B4WJtlSbPaDTLpYTcGVwM2qLnFTICEcNxs3kA==",
+ "engines": {
+ "node": ">=6"
+ }
+ },
+ "node_modules/fork-ts-checker-webpack-plugin/node_modules/yallist": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/yallist/-/yallist-4.0.0.tgz",
+ "integrity": "sha512-3wdGidZyq5PB084XLES5TpOSRA3wjXAlIWMhum2kRcv/41Sn2emQ0dycQW4uZXLejwKvg6EsvbdlVL+FYEct7A=="
+ },
+ "node_modules/form-data": {
+ "version": "3.0.1",
+ "resolved": "https://registry.npmjs.org/form-data/-/form-data-3.0.1.tgz",
+ "integrity": "sha512-RHkBKtLWUVwd7SqRIvCZMEvAMoGUp0XU+seQiZejj0COz3RI3hWP4sCv3gZWWLjJTd7rGwcsF5eKZGii0r/hbg==",
+ "dependencies": {
+ "asynckit": "^0.4.0",
+ "combined-stream": "^1.0.8",
+ "mime-types": "^2.1.12"
+ },
+ "engines": {
+ "node": ">= 6"
+ }
+ },
+ "node_modules/forwarded": {
+ "version": "0.2.0",
+ "resolved": "https://registry.npmjs.org/forwarded/-/forwarded-0.2.0.tgz",
+ "integrity": "sha512-buRG0fpBtRHSTCOASe6hD258tEubFoRLb4ZNA6NxMVHNw2gOcwHo9wyablzMzOA5z9xA9L1KNjk/Nt6MT9aYow==",
+ "engines": {
+ "node": ">= 0.6"
+ }
+ },
+ "node_modules/fraction.js": {
+ "version": "4.3.7",
+ "resolved": "https://registry.npmjs.org/fraction.js/-/fraction.js-4.3.7.tgz",
+ "integrity": "sha512-ZsDfxO51wGAXREY55a7la9LScWpwv9RxIrYABrlvOFBlH/ShPnrtsXeuUIfXKKOVicNxQ+o8JTbJvjS4M89yew==",
+ "engines": {
+ "node": "*"
+ },
+ "funding": {
+ "type": "patreon",
+ "url": "https://github.com/sponsors/rawify"
+ }
+ },
+ "node_modules/fresh": {
+ "version": "0.5.2",
+ "resolved": "https://registry.npmjs.org/fresh/-/fresh-0.5.2.tgz",
+ "integrity": "sha512-zJ2mQYM18rEFOudeV4GShTGIQ7RbzA7ozbU9I/XBpm7kqgMywgmylMwXHxZJmkVoYkna9d2pVXVXPdYTP9ej8Q==",
+ "engines": {
+ "node": ">= 0.6"
+ }
+ },
+ "node_modules/fs-extra": {
+ "version": "10.1.0",
+ "resolved": "https://registry.npmjs.org/fs-extra/-/fs-extra-10.1.0.tgz",
+ "integrity": "sha512-oRXApq54ETRj4eMiFzGnHWGy+zo5raudjuxN0b8H7s/RU2oW0Wvsx9O0ACRN/kRq9E8Vu/ReskGB5o3ji+FzHQ==",
+ "dependencies": {
+ "graceful-fs": "^4.2.0",
+ "jsonfile": "^6.0.1",
+ "universalify": "^2.0.0"
+ },
+ "engines": {
+ "node": ">=12"
+ }
+ },
+ "node_modules/fs-monkey": {
+ "version": "1.0.5",
+ "resolved": "https://registry.npmjs.org/fs-monkey/-/fs-monkey-1.0.5.tgz",
+ "integrity": "sha512-8uMbBjrhzW76TYgEV27Y5E//W2f/lTFmx78P2w19FZSxarhI/798APGQyuGCwmkNxgwGRhrLfvWyLBvNtuOmew=="
+ },
+ "node_modules/fs.realpath": {
+ "version": "1.0.0",
+ "resolved": "https://registry.npmjs.org/fs.realpath/-/fs.realpath-1.0.0.tgz",
+ "integrity": "sha512-OO0pH2lK6a0hZnAdau5ItzHPI6pUlvI7jMVnxUQRtw4owF2wk8lOSabtGDCTP4Ggrg2MbGnWO9X8K1t4+fGMDw=="
+ },
+ "node_modules/fsevents": {
+ "version": "2.3.3",
+ "resolved": "https://registry.npmjs.org/fsevents/-/fsevents-2.3.3.tgz",
+ "integrity": "sha512-5xoDfX+fL7faATnagmWPpbFtwh/R77WmMMqqHGS65C3vvB0YHrgF+B1YmZ3441tMj5n63k0212XNoJwzlhffQw==",
+ "hasInstallScript": true,
+ "optional": true,
+ "os": [
+ "darwin"
+ ],
+ "engines": {
+ "node": "^8.16.0 || ^10.6.0 || >=11.0.0"
+ }
+ },
+ "node_modules/function-bind": {
+ "version": "1.1.2",
+ "resolved": "https://registry.npmjs.org/function-bind/-/function-bind-1.1.2.tgz",
+ "integrity": "sha512-7XHNxH7qX9xG5mIwxkhumTox/MIRNcOgDrxWsMt2pAr23WHp6MrRlN7FBSFpCpr+oVO0F744iUgR82nJMfG2SA==",
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/function.prototype.name": {
+ "version": "1.1.6",
+ "resolved": "https://registry.npmjs.org/function.prototype.name/-/function.prototype.name-1.1.6.tgz",
+ "integrity": "sha512-Z5kx79swU5P27WEayXM1tBi5Ze/lbIyiNgU3qyXUOf9b2rgXYyF9Dy9Cx+IQv/Lc8WCG6L82zwUPpSS9hGehIg==",
+ "dependencies": {
+ "call-bind": "^1.0.2",
+ "define-properties": "^1.2.0",
+ "es-abstract": "^1.22.1",
+ "functions-have-names": "^1.2.3"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/functions-have-names": {
+ "version": "1.2.3",
+ "resolved": "https://registry.npmjs.org/functions-have-names/-/functions-have-names-1.2.3.tgz",
+ "integrity": "sha512-xckBUXyTIqT97tq2x2AMb+g163b5JFysYk0x4qxNFwbfQkmNZoiRHb6sPzI9/QV33WeuvVYBUIiD4NzNIyqaRQ==",
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/fuse.js": {
+ "version": "6.6.2",
+ "resolved": "https://registry.npmjs.org/fuse.js/-/fuse.js-6.6.2.tgz",
+ "integrity": "sha512-cJaJkxCCxC8qIIcPBF9yGxY0W/tVZS3uEISDxhYIdtk8OL93pe+6Zj7LjCqVV4dzbqcriOZ+kQ/NE4RXZHsIGA==",
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/gensync": {
+ "version": "1.0.0-beta.2",
+ "resolved": "https://registry.npmjs.org/gensync/-/gensync-1.0.0-beta.2.tgz",
+ "integrity": "sha512-3hN7NaskYvMDLQY55gnW3NQ+mesEAepTqlg+VEbj7zzqEMBVNhzcGYYeqFo/TlYz6eQiFcp1HcsCZO+nGgS8zg==",
+ "engines": {
+ "node": ">=6.9.0"
+ }
+ },
+ "node_modules/get-caller-file": {
+ "version": "2.0.5",
+ "resolved": "https://registry.npmjs.org/get-caller-file/-/get-caller-file-2.0.5.tgz",
+ "integrity": "sha512-DyFP3BM/3YHTQOCUL/w0OZHR0lpKeGrxotcHWcqNEdnltqFwXVfhEBQ94eIo34AfQpo0rGki4cyIiftY06h2Fg==",
+ "engines": {
+ "node": "6.* || 8.* || >= 10.*"
+ }
+ },
+ "node_modules/get-intrinsic": {
+ "version": "1.2.4",
+ "resolved": "https://registry.npmjs.org/get-intrinsic/-/get-intrinsic-1.2.4.tgz",
+ "integrity": "sha512-5uYhsJH8VJBTv7oslg4BznJYhDoRI6waYCxMmCdnTrcCrHA/fCFKoTFz2JKKE0HdDFUF7/oQuhzumXJK7paBRQ==",
+ "dependencies": {
+ "es-errors": "^1.3.0",
+ "function-bind": "^1.1.2",
+ "has-proto": "^1.0.1",
+ "has-symbols": "^1.0.3",
+ "hasown": "^2.0.0"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/get-own-enumerable-property-symbols": {
+ "version": "3.0.2",
+ "resolved": "https://registry.npmjs.org/get-own-enumerable-property-symbols/-/get-own-enumerable-property-symbols-3.0.2.tgz",
+ "integrity": "sha512-I0UBV/XOz1XkIJHEUDMZAbzCThU/H8DxmSfmdGcKPnVhu2VfFqr34jr9777IyaTYvxjedWhqVIilEDsCdP5G6g=="
+ },
+ "node_modules/get-package-type": {
+ "version": "0.1.0",
+ "resolved": "https://registry.npmjs.org/get-package-type/-/get-package-type-0.1.0.tgz",
+ "integrity": "sha512-pjzuKtY64GYfWizNAJ0fr9VqttZkNiK2iS430LtIHzjBEr6bX8Am2zm4sW4Ro5wjWW5cAlRL1qAMTcXbjNAO2Q==",
+ "engines": {
+ "node": ">=8.0.0"
+ }
+ },
+ "node_modules/get-stream": {
+ "version": "6.0.1",
+ "resolved": "https://registry.npmjs.org/get-stream/-/get-stream-6.0.1.tgz",
+ "integrity": "sha512-ts6Wi+2j3jQjqi70w5AlN8DFnkSwC+MqmxEzdEALB2qXZYV3X/b1CTfgPLGJNMeAWxdPfU8FO1ms3NUfaHCPYg==",
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/get-symbol-description": {
+ "version": "1.0.2",
+ "resolved": "https://registry.npmjs.org/get-symbol-description/-/get-symbol-description-1.0.2.tgz",
+ "integrity": "sha512-g0QYk1dZBxGwk+Ngc+ltRH2IBp2f7zBkBMBJZCDerh6EhlhSR6+9irMCuT/09zD6qkarHUSn529sK/yL4S27mg==",
+ "dependencies": {
+ "call-bind": "^1.0.5",
+ "es-errors": "^1.3.0",
+ "get-intrinsic": "^1.2.4"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/glob": {
+ "version": "7.2.3",
+ "resolved": "https://registry.npmjs.org/glob/-/glob-7.2.3.tgz",
+ "integrity": "sha512-nFR0zLpU2YCaRxwoCJvL6UvCH2JFyFVIvwTLsIf21AuHlMskA1hhTdk+LlYJtOlYt9v6dvszD2BGRqBL+iQK9Q==",
+ "dependencies": {
+ "fs.realpath": "^1.0.0",
+ "inflight": "^1.0.4",
+ "inherits": "2",
+ "minimatch": "^3.1.1",
+ "once": "^1.3.0",
+ "path-is-absolute": "^1.0.0"
+ },
+ "engines": {
+ "node": "*"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/isaacs"
+ }
+ },
+ "node_modules/glob-parent": {
+ "version": "6.0.2",
+ "resolved": "https://registry.npmjs.org/glob-parent/-/glob-parent-6.0.2.tgz",
+ "integrity": "sha512-XxwI8EOhVQgWp6iDL+3b0r86f4d6AX6zSU55HfB4ydCEuXLXc5FcYeOu+nnGftS4TEju/11rt4KJPTMgbfmv4A==",
+ "dependencies": {
+ "is-glob": "^4.0.3"
+ },
+ "engines": {
+ "node": ">=10.13.0"
+ }
+ },
+ "node_modules/glob-to-regexp": {
+ "version": "0.4.1",
+ "resolved": "https://registry.npmjs.org/glob-to-regexp/-/glob-to-regexp-0.4.1.tgz",
+ "integrity": "sha512-lkX1HJXwyMcprw/5YUZc2s7DrpAiHB21/V+E1rHUrVNokkvB6bqMzT0VfV6/86ZNabt1k14YOIaT7nDvOX3Iiw=="
+ },
+ "node_modules/global-modules": {
+ "version": "2.0.0",
+ "resolved": "https://registry.npmjs.org/global-modules/-/global-modules-2.0.0.tgz",
+ "integrity": "sha512-NGbfmJBp9x8IxyJSd1P+otYK8vonoJactOogrVfFRIAEY1ukil8RSKDz2Yo7wh1oihl51l/r6W4epkeKJHqL8A==",
+ "dependencies": {
+ "global-prefix": "^3.0.0"
+ },
+ "engines": {
+ "node": ">=6"
+ }
+ },
+ "node_modules/global-prefix": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/global-prefix/-/global-prefix-3.0.0.tgz",
+ "integrity": "sha512-awConJSVCHVGND6x3tmMaKcQvwXLhjdkmomy2W+Goaui8YPgYgXJZewhg3fWC+DlfqqQuWg8AwqjGTD2nAPVWg==",
+ "dependencies": {
+ "ini": "^1.3.5",
+ "kind-of": "^6.0.2",
+ "which": "^1.3.1"
+ },
+ "engines": {
+ "node": ">=6"
+ }
+ },
+ "node_modules/global-prefix/node_modules/which": {
+ "version": "1.3.1",
+ "resolved": "https://registry.npmjs.org/which/-/which-1.3.1.tgz",
+ "integrity": "sha512-HxJdYWq1MTIQbJ3nw0cqssHoTNU267KlrDuGZ1WYlxDStUtKUhOaJmh112/TZmHxxUfuJqPXSOm7tDyas0OSIQ==",
+ "dependencies": {
+ "isexe": "^2.0.0"
+ },
+ "bin": {
+ "which": "bin/which"
+ }
+ },
+ "node_modules/globals": {
+ "version": "11.12.0",
+ "resolved": "https://registry.npmjs.org/globals/-/globals-11.12.0.tgz",
+ "integrity": "sha512-WOBp/EEGUiIsJSp7wcv/y6MO+lV9UoncWqxuFfm8eBwzWNgyfBd6Gz+IeKQ9jCmyhoH99g15M3T+QaVHFjizVA==",
+ "engines": {
+ "node": ">=4"
+ }
+ },
+ "node_modules/globalthis": {
+ "version": "1.0.3",
+ "resolved": "https://registry.npmjs.org/globalthis/-/globalthis-1.0.3.tgz",
+ "integrity": "sha512-sFdI5LyBiNTHjRd7cGPWapiHWMOXKyuBNX/cWJ3NfzrZQVa8GI/8cofCl74AOVqq9W5kNmguTIzJ/1s2gyI9wA==",
+ "dependencies": {
+ "define-properties": "^1.1.3"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/globby": {
+ "version": "11.1.0",
+ "resolved": "https://registry.npmjs.org/globby/-/globby-11.1.0.tgz",
+ "integrity": "sha512-jhIXaOzy1sb8IyocaruWSn1TjmnBVs8Ayhcy83rmxNJ8q2uWKCAj3CnJY+KpGSXCueAPc0i05kVvVKtP1t9S3g==",
+ "dependencies": {
+ "array-union": "^2.1.0",
+ "dir-glob": "^3.0.1",
+ "fast-glob": "^3.2.9",
+ "ignore": "^5.2.0",
+ "merge2": "^1.4.1",
+ "slash": "^3.0.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/gopd": {
+ "version": "1.0.1",
+ "resolved": "https://registry.npmjs.org/gopd/-/gopd-1.0.1.tgz",
+ "integrity": "sha512-d65bNlIadxvpb/A2abVdlqKqV563juRnZ1Wtk6s1sIR8uNsXR70xqIzVqxVf1eTqDunwT2MkczEeaezCKTZhwA==",
+ "dependencies": {
+ "get-intrinsic": "^1.1.3"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/graceful-fs": {
+ "version": "4.2.11",
+ "resolved": "https://registry.npmjs.org/graceful-fs/-/graceful-fs-4.2.11.tgz",
+ "integrity": "sha512-RbJ5/jmFcNNCcDV5o9eTnBLJ/HszWV0P73bc+Ff4nS/rJj+YaS6IGyiOL0VoBYX+l1Wrl3k63h/KrH+nhJ0XvQ=="
+ },
+ "node_modules/graphemer": {
+ "version": "1.4.0",
+ "resolved": "https://registry.npmjs.org/graphemer/-/graphemer-1.4.0.tgz",
+ "integrity": "sha512-EtKwoO6kxCL9WO5xipiHTZlSzBm7WLT627TqC/uVRd0HKmq8NXyebnNYxDoBi7wt8eTWrUrKXCOVaFq9x1kgag=="
+ },
+ "node_modules/gzip-size": {
+ "version": "6.0.0",
+ "resolved": "https://registry.npmjs.org/gzip-size/-/gzip-size-6.0.0.tgz",
+ "integrity": "sha512-ax7ZYomf6jqPTQ4+XCpUGyXKHk5WweS+e05MBO4/y3WJ5RkmPXNKvX+bx1behVILVwr6JSQvZAku021CHPXG3Q==",
+ "dependencies": {
+ "duplexer": "^0.1.2"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/handle-thing": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/handle-thing/-/handle-thing-2.0.1.tgz",
+ "integrity": "sha512-9Qn4yBxelxoh2Ow62nP+Ka/kMnOXRi8BXnRaUwezLNhqelnN49xKz4F/dPP8OYLxLxq6JDtZb2i9XznUQbNPTg=="
+ },
+ "node_modules/harmony-reflect": {
+ "version": "1.6.2",
+ "resolved": "https://registry.npmjs.org/harmony-reflect/-/harmony-reflect-1.6.2.tgz",
+ "integrity": "sha512-HIp/n38R9kQjDEziXyDTuW3vvoxxyxjxFzXLrBr18uB47GnSt+G9D29fqrpM5ZkspMcPICud3XsBJQ4Y2URg8g=="
+ },
+ "node_modules/has-bigints": {
+ "version": "1.0.2",
+ "resolved": "https://registry.npmjs.org/has-bigints/-/has-bigints-1.0.2.tgz",
+ "integrity": "sha512-tSvCKtBr9lkF0Ex0aQiP9N+OpV4zi2r/Nee5VkRDbaqv35RLYMzbwQfFSZZH0kR+Rd6302UJZ2p/bJCEoR3VoQ==",
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/has-flag": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-3.0.0.tgz",
+ "integrity": "sha512-sKJf1+ceQBr4SMkvQnBDNDtf4TXpVhVGateu0t918bl30FnbE2m4vNLX+VWe/dpjlb+HugGYzW7uQXH98HPEYw==",
+ "engines": {
+ "node": ">=4"
+ }
+ },
+ "node_modules/has-property-descriptors": {
+ "version": "1.0.2",
+ "resolved": "https://registry.npmjs.org/has-property-descriptors/-/has-property-descriptors-1.0.2.tgz",
+ "integrity": "sha512-55JNKuIW+vq4Ke1BjOTjM2YctQIvCT7GFzHwmfZPGo5wnrgkid0YQtnAleFSqumZm4az3n2BS+erby5ipJdgrg==",
+ "dependencies": {
+ "es-define-property": "^1.0.0"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/has-proto": {
+ "version": "1.0.1",
+ "resolved": "https://registry.npmjs.org/has-proto/-/has-proto-1.0.1.tgz",
+ "integrity": "sha512-7qE+iP+O+bgF9clE5+UoBFzE65mlBiVj3tKCrlNQ0Ogwm0BjpT/gK4SlLYDMybDh5I3TCTKnPPa0oMG7JDYrhg==",
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/has-symbols": {
+ "version": "1.0.3",
+ "resolved": "https://registry.npmjs.org/has-symbols/-/has-symbols-1.0.3.tgz",
+ "integrity": "sha512-l3LCuF6MgDNwTDKkdYGEihYjt5pRPbEg46rtlmnSPlUbgmB8LOIrKJbYYFBSbnPaJexMKtiPO8hmeRjRz2Td+A==",
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/has-tostringtag": {
+ "version": "1.0.2",
+ "resolved": "https://registry.npmjs.org/has-tostringtag/-/has-tostringtag-1.0.2.tgz",
+ "integrity": "sha512-NqADB8VjPFLM2V0VvHUewwwsw0ZWBaIdgo+ieHtK3hasLz4qeCRjYcqfB6AQrBggRKppKF8L52/VqdVsO47Dlw==",
+ "dependencies": {
+ "has-symbols": "^1.0.3"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/hasown": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/hasown/-/hasown-2.0.1.tgz",
+ "integrity": "sha512-1/th4MHjnwncwXsIW6QMzlvYL9kG5e/CpVvLRZe4XPa8TOUNbCELqmvhDmnkNsAjwaG4+I8gJJL0JBvTTLO9qA==",
+ "dependencies": {
+ "function-bind": "^1.1.2"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ }
+ },
+ "node_modules/he": {
+ "version": "1.2.0",
+ "resolved": "https://registry.npmjs.org/he/-/he-1.2.0.tgz",
+ "integrity": "sha512-F/1DnUGPopORZi0ni+CvrCgHQ5FyEAHRLSApuYWMmrbSwoN2Mn/7k+Gl38gJnR7yyDZk6WLXwiGod1JOWNDKGw==",
+ "bin": {
+ "he": "bin/he"
+ }
+ },
+ "node_modules/history": {
+ "version": "4.10.1",
+ "resolved": "https://registry.npmjs.org/history/-/history-4.10.1.tgz",
+ "integrity": "sha512-36nwAD620w12kuzPAsyINPWJqlNbij+hpK1k9XRloDtym8mxzGYl2c17LnV6IAGB2Dmg4tEa7G7DlawS0+qjew==",
+ "dependencies": {
+ "@babel/runtime": "^7.1.2",
+ "loose-envify": "^1.2.0",
+ "resolve-pathname": "^3.0.0",
+ "tiny-invariant": "^1.0.2",
+ "tiny-warning": "^1.0.0",
+ "value-equal": "^1.0.1"
+ }
+ },
+ "node_modules/hoist-non-react-statics": {
+ "version": "3.3.2",
+ "resolved": "https://registry.npmjs.org/hoist-non-react-statics/-/hoist-non-react-statics-3.3.2.tgz",
+ "integrity": "sha512-/gGivxi8JPKWNm/W0jSmzcMPpfpPLc3dY/6GxhX2hQ9iGj3aDfklV4ET7NjKpSinLpJ5vafa9iiGIEZg10SfBw==",
+ "dependencies": {
+ "react-is": "^16.7.0"
+ }
+ },
+ "node_modules/hoopy": {
+ "version": "0.1.4",
+ "resolved": "https://registry.npmjs.org/hoopy/-/hoopy-0.1.4.tgz",
+ "integrity": "sha512-HRcs+2mr52W0K+x8RzcLzuPPmVIKMSv97RGHy0Ea9y/mpcaK+xTrjICA04KAHi4GRzxliNqNJEFYWHghy3rSfQ==",
+ "engines": {
+ "node": ">= 6.0.0"
+ }
+ },
+ "node_modules/hpack.js": {
+ "version": "2.1.6",
+ "resolved": "https://registry.npmjs.org/hpack.js/-/hpack.js-2.1.6.tgz",
+ "integrity": "sha512-zJxVehUdMGIKsRaNt7apO2Gqp0BdqW5yaiGHXXmbpvxgBYVZnAql+BJb4RO5ad2MgpbZKn5G6nMnegrH1FcNYQ==",
+ "dependencies": {
+ "inherits": "^2.0.1",
+ "obuf": "^1.0.0",
+ "readable-stream": "^2.0.1",
+ "wbuf": "^1.1.0"
+ }
+ },
+ "node_modules/hpack.js/node_modules/isarray": {
+ "version": "1.0.0",
+ "resolved": "https://registry.npmjs.org/isarray/-/isarray-1.0.0.tgz",
+ "integrity": "sha512-VLghIWNM6ELQzo7zwmcg0NmTVyWKYjvIeM83yjp0wRDTmUnrM678fQbcKBo6n2CJEF0szoG//ytg+TKla89ALQ=="
+ },
+ "node_modules/hpack.js/node_modules/readable-stream": {
+ "version": "2.3.8",
+ "resolved": "https://registry.npmjs.org/readable-stream/-/readable-stream-2.3.8.tgz",
+ "integrity": "sha512-8p0AUk4XODgIewSi0l8Epjs+EVnWiK7NoDIEGU0HhE7+ZyY8D1IMY7odu5lRrFXGg71L15KG8QrPmum45RTtdA==",
+ "dependencies": {
+ "core-util-is": "~1.0.0",
+ "inherits": "~2.0.3",
+ "isarray": "~1.0.0",
+ "process-nextick-args": "~2.0.0",
+ "safe-buffer": "~5.1.1",
+ "string_decoder": "~1.1.1",
+ "util-deprecate": "~1.0.1"
+ }
+ },
+ "node_modules/hpack.js/node_modules/safe-buffer": {
+ "version": "5.1.2",
+ "resolved": "https://registry.npmjs.org/safe-buffer/-/safe-buffer-5.1.2.tgz",
+ "integrity": "sha512-Gd2UZBJDkXlY7GbJxfsE8/nvKkUEU1G38c1siN6QP6a9PT9MmHB8GnpscSmMJSoF8LOIrt8ud/wPtojys4G6+g=="
+ },
+ "node_modules/hpack.js/node_modules/string_decoder": {
+ "version": "1.1.1",
+ "resolved": "https://registry.npmjs.org/string_decoder/-/string_decoder-1.1.1.tgz",
+ "integrity": "sha512-n/ShnvDi6FHbbVfviro+WojiFzv+s8MPMHBczVePfUpDJLwoLT0ht1l4YwBCbi8pJAveEEdnkHyPyTP/mzRfwg==",
+ "dependencies": {
+ "safe-buffer": "~5.1.0"
+ }
+ },
+ "node_modules/html-encoding-sniffer": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/html-encoding-sniffer/-/html-encoding-sniffer-2.0.1.tgz",
+ "integrity": "sha512-D5JbOMBIR/TVZkubHT+OyT2705QvogUW4IBn6nHd756OwieSF9aDYFj4dv6HHEVGYbHaLETa3WggZYWWMyy3ZQ==",
+ "dependencies": {
+ "whatwg-encoding": "^1.0.5"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/html-entities": {
+ "version": "1.4.0",
+ "resolved": "https://registry.npmjs.org/html-entities/-/html-entities-1.4.0.tgz",
+ "integrity": "sha512-8nxjcBcd8wovbeKx7h3wTji4e6+rhaVuPNpMqwWgnHh+N9ToqsCs6XztWRBPQ+UtzsoMAdKZtUENoVzU/EMtZA=="
+ },
+ "node_modules/html-escaper": {
+ "version": "2.0.2",
+ "resolved": "https://registry.npmjs.org/html-escaper/-/html-escaper-2.0.2.tgz",
+ "integrity": "sha512-H2iMtd0I4Mt5eYiapRdIDjp+XzelXQ0tFE4JS7YFwFevXXMmOp9myNrUvCg0D6ws8iqkRPBfKHgbwig1SmlLfg=="
+ },
+ "node_modules/html-minifier-terser": {
+ "version": "6.1.0",
+ "resolved": "https://registry.npmjs.org/html-minifier-terser/-/html-minifier-terser-6.1.0.tgz",
+ "integrity": "sha512-YXxSlJBZTP7RS3tWnQw74ooKa6L9b9i9QYXY21eUEvhZ3u9XLfv6OnFsQq6RxkhHygsaUMvYsZRV5rU/OVNZxw==",
+ "dependencies": {
+ "camel-case": "^4.1.2",
+ "clean-css": "^5.2.2",
+ "commander": "^8.3.0",
+ "he": "^1.2.0",
+ "param-case": "^3.0.4",
+ "relateurl": "^0.2.7",
+ "terser": "^5.10.0"
+ },
+ "bin": {
+ "html-minifier-terser": "cli.js"
+ },
+ "engines": {
+ "node": ">=12"
+ }
+ },
+ "node_modules/html-minifier-terser/node_modules/commander": {
+ "version": "8.3.0",
+ "resolved": "https://registry.npmjs.org/commander/-/commander-8.3.0.tgz",
+ "integrity": "sha512-OkTL9umf+He2DZkUq8f8J9of7yL6RJKI24dVITBmNfZBmri9zYZQrKkuXiKhyfPSu8tUhnVBB1iKXevvnlR4Ww==",
+ "engines": {
+ "node": ">= 12"
+ }
+ },
+ "node_modules/html-webpack-plugin": {
+ "version": "5.6.0",
+ "resolved": "https://registry.npmjs.org/html-webpack-plugin/-/html-webpack-plugin-5.6.0.tgz",
+ "integrity": "sha512-iwaY4wzbe48AfKLZ/Cc8k0L+FKG6oSNRaZ8x5A/T/IVDGyXcbHncM9TdDa93wn0FsSm82FhTKW7f3vS61thXAw==",
+ "dependencies": {
+ "@types/html-minifier-terser": "^6.0.0",
+ "html-minifier-terser": "^6.0.2",
+ "lodash": "^4.17.21",
+ "pretty-error": "^4.0.0",
+ "tapable": "^2.0.0"
+ },
+ "engines": {
+ "node": ">=10.13.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/html-webpack-plugin"
+ },
+ "peerDependencies": {
+ "@rspack/core": "0.x || 1.x",
+ "webpack": "^5.20.0"
+ },
+ "peerDependenciesMeta": {
+ "@rspack/core": {
+ "optional": true
+ },
+ "webpack": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/htmlparser2": {
+ "version": "6.1.0",
+ "resolved": "https://registry.npmjs.org/htmlparser2/-/htmlparser2-6.1.0.tgz",
+ "integrity": "sha512-gyyPk6rgonLFEDGoeRgQNaEUvdJ4ktTmmUh/h2t7s+M8oPpIPxgNACWa+6ESR57kXstwqPiCut0V8NRpcwgU7A==",
+ "funding": [
+ "https://github.com/fb55/htmlparser2?sponsor=1",
+ {
+ "type": "github",
+ "url": "https://github.com/sponsors/fb55"
+ }
+ ],
+ "dependencies": {
+ "domelementtype": "^2.0.1",
+ "domhandler": "^4.0.0",
+ "domutils": "^2.5.2",
+ "entities": "^2.0.0"
+ }
+ },
+ "node_modules/http-deceiver": {
+ "version": "1.2.7",
+ "resolved": "https://registry.npmjs.org/http-deceiver/-/http-deceiver-1.2.7.tgz",
+ "integrity": "sha512-LmpOGxTfbpgtGVxJrj5k7asXHCgNZp5nLfp+hWc8QQRqtb7fUy6kRY3BO1h9ddF6yIPYUARgxGOwB42DnxIaNw=="
+ },
+ "node_modules/http-errors": {
+ "version": "2.0.0",
+ "resolved": "https://registry.npmjs.org/http-errors/-/http-errors-2.0.0.tgz",
+ "integrity": "sha512-FtwrG/euBzaEjYeRqOgly7G0qviiXoJWnvEH2Z1plBdXgbyjv34pHTSb9zoeHMyDy33+DWy5Wt9Wo+TURtOYSQ==",
+ "dependencies": {
+ "depd": "2.0.0",
+ "inherits": "2.0.4",
+ "setprototypeof": "1.2.0",
+ "statuses": "2.0.1",
+ "toidentifier": "1.0.1"
+ },
+ "engines": {
+ "node": ">= 0.8"
+ }
+ },
+ "node_modules/http-parser-js": {
+ "version": "0.5.8",
+ "resolved": "https://registry.npmjs.org/http-parser-js/-/http-parser-js-0.5.8.tgz",
+ "integrity": "sha512-SGeBX54F94Wgu5RH3X5jsDtf4eHyRogWX1XGT3b4HuW3tQPM4AaBzoUji/4AAJNXCEOWZ5O0DgZmJw1947gD5Q=="
+ },
+ "node_modules/http-proxy": {
+ "version": "1.18.1",
+ "resolved": "https://registry.npmjs.org/http-proxy/-/http-proxy-1.18.1.tgz",
+ "integrity": "sha512-7mz/721AbnJwIVbnaSv1Cz3Am0ZLT/UBwkC92VlxhXv/k/BBQfM2fXElQNC27BVGr0uwUpplYPQM9LnaBMR5NQ==",
+ "dependencies": {
+ "eventemitter3": "^4.0.0",
+ "follow-redirects": "^1.0.0",
+ "requires-port": "^1.0.0"
+ },
+ "engines": {
+ "node": ">=8.0.0"
+ }
+ },
+ "node_modules/http-proxy-agent": {
+ "version": "4.0.1",
+ "resolved": "https://registry.npmjs.org/http-proxy-agent/-/http-proxy-agent-4.0.1.tgz",
+ "integrity": "sha512-k0zdNgqWTGA6aeIRVpvfVob4fL52dTfaehylg0Y4UvSySvOq/Y+BOyPrgpUrA7HylqvU8vIZGsRuXmspskV0Tg==",
+ "dependencies": {
+ "@tootallnate/once": "1",
+ "agent-base": "6",
+ "debug": "4"
+ },
+ "engines": {
+ "node": ">= 6"
+ }
+ },
+ "node_modules/http-proxy-middleware": {
+ "version": "2.0.6",
+ "resolved": "https://registry.npmjs.org/http-proxy-middleware/-/http-proxy-middleware-2.0.6.tgz",
+ "integrity": "sha512-ya/UeJ6HVBYxrgYotAZo1KvPWlgB48kUJLDePFeneHsVujFaW5WNj2NgWCAE//B1Dl02BIfYlpNgBy8Kf8Rjmw==",
+ "dependencies": {
+ "@types/http-proxy": "^1.17.8",
+ "http-proxy": "^1.18.1",
+ "is-glob": "^4.0.1",
+ "is-plain-obj": "^3.0.0",
+ "micromatch": "^4.0.2"
+ },
+ "engines": {
+ "node": ">=12.0.0"
+ },
+ "peerDependencies": {
+ "@types/express": "^4.17.13"
+ },
+ "peerDependenciesMeta": {
+ "@types/express": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/https-proxy-agent": {
+ "version": "5.0.1",
+ "resolved": "https://registry.npmjs.org/https-proxy-agent/-/https-proxy-agent-5.0.1.tgz",
+ "integrity": "sha512-dFcAjpTQFgoLMzC2VwU+C/CbS7uRL0lWmxDITmqm7C+7F0Odmj6s9l6alZc6AELXhrnggM2CeWSXHGOdX2YtwA==",
+ "dependencies": {
+ "agent-base": "6",
+ "debug": "4"
+ },
+ "engines": {
+ "node": ">= 6"
+ }
+ },
+ "node_modules/human-signals": {
+ "version": "2.1.0",
+ "resolved": "https://registry.npmjs.org/human-signals/-/human-signals-2.1.0.tgz",
+ "integrity": "sha512-B4FFZ6q/T2jhhksgkbEW3HBvWIfDW85snkQgawt07S7J5QXTk6BkNV+0yAeZrM5QpMAdYlocGoljn0sJ/WQkFw==",
+ "engines": {
+ "node": ">=10.17.0"
+ }
+ },
+ "node_modules/husky": {
+ "version": "7.0.4",
+ "resolved": "https://registry.npmjs.org/husky/-/husky-7.0.4.tgz",
+ "integrity": "sha512-vbaCKN2QLtP/vD4yvs6iz6hBEo6wkSzs8HpRah1Z6aGmF2KW5PdYuAd7uX5a+OyBZHBhd+TFLqgjUgytQr4RvQ==",
+ "dev": true,
+ "bin": {
+ "husky": "lib/bin.js"
+ },
+ "engines": {
+ "node": ">=12"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/typicode"
+ }
+ },
+ "node_modules/iconv-lite": {
+ "version": "0.6.3",
+ "resolved": "https://registry.npmjs.org/iconv-lite/-/iconv-lite-0.6.3.tgz",
+ "integrity": "sha512-4fCk79wshMdzMp2rH06qWrJE4iolqLhCUH+OiuIgU++RB0+94NlDL81atO7GX55uUKueo0txHNtvEyI6D7WdMw==",
+ "dependencies": {
+ "safer-buffer": ">= 2.1.2 < 3.0.0"
+ },
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/icss-utils": {
+ "version": "5.1.0",
+ "resolved": "https://registry.npmjs.org/icss-utils/-/icss-utils-5.1.0.tgz",
+ "integrity": "sha512-soFhflCVWLfRNOPU3iv5Z9VUdT44xFRbzjLsEzSr5AQmgqPMTHdU3PMT1Cf1ssx8fLNJDA1juftYl+PUcv3MqA==",
+ "engines": {
+ "node": "^10 || ^12 || >= 14"
+ },
+ "peerDependencies": {
+ "postcss": "^8.1.0"
+ }
+ },
+ "node_modules/idb": {
+ "version": "7.1.1",
+ "resolved": "https://registry.npmjs.org/idb/-/idb-7.1.1.tgz",
+ "integrity": "sha512-gchesWBzyvGHRO9W8tzUWFDycow5gwjvFKfyV9FF32Y7F50yZMp7mP+T2mJIWFx49zicqyC4uefHM17o6xKIVQ=="
+ },
+ "node_modules/identity-obj-proxy": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/identity-obj-proxy/-/identity-obj-proxy-3.0.0.tgz",
+ "integrity": "sha512-00n6YnVHKrinT9t0d9+5yZC6UBNJANpYEQvL2LlX6Ab9lnmxzIRcEmTPuyGScvl1+jKuCICX1Z0Ab1pPKKdikA==",
+ "dependencies": {
+ "harmony-reflect": "^1.4.6"
+ },
+ "engines": {
+ "node": ">=4"
+ }
+ },
+ "node_modules/ignore": {
+ "version": "5.3.1",
+ "resolved": "https://registry.npmjs.org/ignore/-/ignore-5.3.1.tgz",
+ "integrity": "sha512-5Fytz/IraMjqpwfd34ke28PTVMjZjJG2MPn5t7OE4eUCUNf8BAa7b5WUS9/Qvr6mwOQS7Mk6vdsMno5he+T8Xw==",
+ "engines": {
+ "node": ">= 4"
+ }
+ },
+ "node_modules/immediate": {
+ "version": "3.0.6",
+ "resolved": "https://registry.npmjs.org/immediate/-/immediate-3.0.6.tgz",
+ "integrity": "sha512-XXOFtyqDjNDAQxVfYxuF7g9Il/IbWmmlQg2MYKOH8ExIT1qg6xc4zyS3HaEEATgs1btfzxq15ciUiY7gjSXRGQ=="
+ },
+ "node_modules/immer": {
+ "version": "9.0.21",
+ "resolved": "https://registry.npmjs.org/immer/-/immer-9.0.21.tgz",
+ "integrity": "sha512-bc4NBHqOqSfRW7POMkHd51LvClaeMXpm8dx0e8oE2GORbq5aRK7Bxl4FyzVLdGtLmvLKL7BTDBG5ACQm4HWjTA==",
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/immer"
+ }
+ },
+ "node_modules/immutable": {
+ "version": "4.3.5",
+ "resolved": "https://registry.npmjs.org/immutable/-/immutable-4.3.5.tgz",
+ "integrity": "sha512-8eabxkth9gZatlwl5TBuJnCsoTADlL6ftEr7A4qgdaTsPyreilDSnUk57SO+jfKcNtxPa22U5KK6DSeAYhpBJw=="
+ },
+ "node_modules/import-fresh": {
+ "version": "3.3.0",
+ "resolved": "https://registry.npmjs.org/import-fresh/-/import-fresh-3.3.0.tgz",
+ "integrity": "sha512-veYYhQa+D1QBKznvhUHxb8faxlrwUnxseDAbAp457E0wLNio2bOSKnjYDhMj+YiAq61xrMGhQk9iXVk5FzgQMw==",
+ "dependencies": {
+ "parent-module": "^1.0.0",
+ "resolve-from": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=6"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/import-local": {
+ "version": "3.1.0",
+ "resolved": "https://registry.npmjs.org/import-local/-/import-local-3.1.0.tgz",
+ "integrity": "sha512-ASB07uLtnDs1o6EHjKpX34BKYDSqnFerfTOJL2HvMqF70LnxpjkzDB8J44oT9pu4AMPkQwf8jl6szgvNd2tRIg==",
+ "dependencies": {
+ "pkg-dir": "^4.2.0",
+ "resolve-cwd": "^3.0.0"
+ },
+ "bin": {
+ "import-local-fixture": "fixtures/cli.js"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/imsc": {
+ "version": "1.1.5",
+ "resolved": "https://registry.npmjs.org/imsc/-/imsc-1.1.5.tgz",
+ "integrity": "sha512-V8je+CGkcvGhgl2C1GlhqFFiUOIEdwXbXLiu1Fcubvvbo+g9inauqT3l0pNYXGoLPBj3jxtZz9t+wCopMkwadQ==",
+ "dependencies": {
+ "sax": "1.2.1"
+ }
+ },
+ "node_modules/imurmurhash": {
+ "version": "0.1.4",
+ "resolved": "https://registry.npmjs.org/imurmurhash/-/imurmurhash-0.1.4.tgz",
+ "integrity": "sha512-JmXMZ6wuvDmLiHEml9ykzqO6lwFbof0GG4IkcGaENdCRDDmMVnny7s5HsIgHCbaq0w2MyPhDqkhTUgS2LU2PHA==",
+ "engines": {
+ "node": ">=0.8.19"
+ }
+ },
+ "node_modules/indent-string": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/indent-string/-/indent-string-4.0.0.tgz",
+ "integrity": "sha512-EdDDZu4A2OyIK7Lr/2zG+w5jmbuk1DVBnEwREQvBzspBJkCEbRa8GxU1lghYcaGJCnRWibjDXlq779X1/y5xwg==",
+ "dev": true,
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/inflight": {
+ "version": "1.0.6",
+ "resolved": "https://registry.npmjs.org/inflight/-/inflight-1.0.6.tgz",
+ "integrity": "sha512-k92I/b08q4wvFscXCLvqfsHCrjrF7yiXsQuIVvVE7N82W3+aqpzuUdBbfhWcy/FZR3/4IgflMgKLOsvPDrGCJA==",
+ "dependencies": {
+ "once": "^1.3.0",
+ "wrappy": "1"
+ }
+ },
+ "node_modules/inherits": {
+ "version": "2.0.4",
+ "resolved": "https://registry.npmjs.org/inherits/-/inherits-2.0.4.tgz",
+ "integrity": "sha512-k/vGaX4/Yla3WzyMCvTQOXYeIHvqOKtnqBduzTHpzpQZzAskKMhZ2K+EnBiSM9zGSoIFeMpXKxa4dYeZIQqewQ=="
+ },
+ "node_modules/ini": {
+ "version": "1.3.8",
+ "resolved": "https://registry.npmjs.org/ini/-/ini-1.3.8.tgz",
+ "integrity": "sha512-JV/yugV2uzW5iMRSiZAyDtQd+nxtUnjeLt0acNdw98kKLrvuRVyB80tsREOE7yvGVgalhZ6RNXCmEHkUKBKxew=="
+ },
+ "node_modules/internal-slot": {
+ "version": "1.0.7",
+ "resolved": "https://registry.npmjs.org/internal-slot/-/internal-slot-1.0.7.tgz",
+ "integrity": "sha512-NGnrKwXzSms2qUUih/ILZ5JBqNTSa1+ZmP6flaIp6KmSElgE9qdndzS3cqjrDovwFdmwsGsLdeFgB6suw+1e9g==",
+ "dependencies": {
+ "es-errors": "^1.3.0",
+ "hasown": "^2.0.0",
+ "side-channel": "^1.0.4"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ }
+ },
+ "node_modules/ipaddr.js": {
+ "version": "2.1.0",
+ "resolved": "https://registry.npmjs.org/ipaddr.js/-/ipaddr.js-2.1.0.tgz",
+ "integrity": "sha512-LlbxQ7xKzfBusov6UMi4MFpEg0m+mAm9xyNGEduwXMEDuf4WfzB/RZwMVYEd7IKGvh4IUkEXYxtAVu9T3OelJQ==",
+ "engines": {
+ "node": ">= 10"
+ }
+ },
+ "node_modules/is-arguments": {
+ "version": "1.1.1",
+ "resolved": "https://registry.npmjs.org/is-arguments/-/is-arguments-1.1.1.tgz",
+ "integrity": "sha512-8Q7EARjzEnKpt/PCD7e1cgUS0a6X8u5tdSiMqXhojOdoV9TsMsiO+9VLC5vAmO8N7/GmXn7yjR8qnA6bVAEzfA==",
+ "dev": true,
+ "dependencies": {
+ "call-bind": "^1.0.2",
+ "has-tostringtag": "^1.0.0"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/is-array-buffer": {
+ "version": "3.0.4",
+ "resolved": "https://registry.npmjs.org/is-array-buffer/-/is-array-buffer-3.0.4.tgz",
+ "integrity": "sha512-wcjaerHw0ydZwfhiKbXJWLDY8A7yV7KhjQOpb83hGgGfId/aQa4TOvwyzn2PuswW2gPCYEL/nEAiSVpdOj1lXw==",
+ "dependencies": {
+ "call-bind": "^1.0.2",
+ "get-intrinsic": "^1.2.1"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/is-arrayish": {
+ "version": "0.2.1",
+ "resolved": "https://registry.npmjs.org/is-arrayish/-/is-arrayish-0.2.1.tgz",
+ "integrity": "sha512-zz06S8t0ozoDXMG+ube26zeCTNXcKIPJZJi8hBrF4idCLms4CG9QtK7qBl1boi5ODzFpjswb5JPmHCbMpjaYzg=="
+ },
+ "node_modules/is-async-function": {
+ "version": "2.0.0",
+ "resolved": "https://registry.npmjs.org/is-async-function/-/is-async-function-2.0.0.tgz",
+ "integrity": "sha512-Y1JXKrfykRJGdlDwdKlLpLyMIiWqWvuSd17TvZk68PLAOGOoF4Xyav1z0Xhoi+gCYjZVeC5SI+hYFOfvXmGRCA==",
+ "dependencies": {
+ "has-tostringtag": "^1.0.0"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/is-bigint": {
+ "version": "1.0.4",
+ "resolved": "https://registry.npmjs.org/is-bigint/-/is-bigint-1.0.4.tgz",
+ "integrity": "sha512-zB9CruMamjym81i2JZ3UMn54PKGsQzsJeo6xvN3HJJ4CAsQNB6iRutp2To77OfCNuoxspsIhzaPoO1zyCEhFOg==",
+ "dependencies": {
+ "has-bigints": "^1.0.1"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/is-binary-path": {
+ "version": "2.1.0",
+ "resolved": "https://registry.npmjs.org/is-binary-path/-/is-binary-path-2.1.0.tgz",
+ "integrity": "sha512-ZMERYes6pDydyuGidse7OsHxtbI7WVeUEozgR/g7rd0xUimYNlvZRE/K2MgZTjWy725IfelLeVcEM97mmtRGXw==",
+ "dependencies": {
+ "binary-extensions": "^2.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/is-boolean-object": {
+ "version": "1.1.2",
+ "resolved": "https://registry.npmjs.org/is-boolean-object/-/is-boolean-object-1.1.2.tgz",
+ "integrity": "sha512-gDYaKHJmnj4aWxyj6YHyXVpdQawtVLHU5cb+eztPGczf6cjuTdwve5ZIEfgXqH4e57An1D1AKf8CZ3kYrQRqYA==",
+ "dependencies": {
+ "call-bind": "^1.0.2",
+ "has-tostringtag": "^1.0.0"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/is-callable": {
+ "version": "1.2.7",
+ "resolved": "https://registry.npmjs.org/is-callable/-/is-callable-1.2.7.tgz",
+ "integrity": "sha512-1BC0BVFhS/p0qtw6enp8e+8OD0UrK0oFLztSjNzhcKA3WDuJxxAPXzPuPtKkjEY9UUoEWlX/8fgKeu2S8i9JTA==",
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/is-core-module": {
+ "version": "2.13.1",
+ "resolved": "https://registry.npmjs.org/is-core-module/-/is-core-module-2.13.1.tgz",
+ "integrity": "sha512-hHrIjvZsftOsvKSn2TRYl63zvxsgE0K+0mYMoH6gD4omR5IWB2KynivBQczo3+wF1cCkjzvptnI9Q0sPU66ilw==",
+ "dependencies": {
+ "hasown": "^2.0.0"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/is-date-object": {
+ "version": "1.0.5",
+ "resolved": "https://registry.npmjs.org/is-date-object/-/is-date-object-1.0.5.tgz",
+ "integrity": "sha512-9YQaSxsAiSwcvS33MBk3wTCVnWK+HhF8VZR2jRxehM16QcVOdHqPn4VPHmRK4lSr38n9JriurInLcP90xsYNfQ==",
+ "dependencies": {
+ "has-tostringtag": "^1.0.0"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/is-docker": {
+ "version": "2.2.1",
+ "resolved": "https://registry.npmjs.org/is-docker/-/is-docker-2.2.1.tgz",
+ "integrity": "sha512-F+i2BKsFrH66iaUFc0woD8sLy8getkwTwtOBjvs56Cx4CgJDeKQeqfz8wAYiSb8JOprWhHH5p77PbmYCvvUuXQ==",
+ "bin": {
+ "is-docker": "cli.js"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/is-extglob": {
+ "version": "2.1.1",
+ "resolved": "https://registry.npmjs.org/is-extglob/-/is-extglob-2.1.1.tgz",
+ "integrity": "sha512-SbKbANkN603Vi4jEZv49LeVJMn4yGwsbzZworEoyEiutsN3nJYdbO36zfhGJ6QEDpOZIFkDtnq5JRxmvl3jsoQ==",
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/is-finalizationregistry": {
+ "version": "1.0.2",
+ "resolved": "https://registry.npmjs.org/is-finalizationregistry/-/is-finalizationregistry-1.0.2.tgz",
+ "integrity": "sha512-0by5vtUJs8iFQb5TYUHHPudOR+qXYIMKtiUzvLIZITZUjknFmziyBJuLhVRc+Ds0dREFlskDNJKYIdIzu/9pfw==",
+ "dependencies": {
+ "call-bind": "^1.0.2"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/is-fullwidth-code-point": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/is-fullwidth-code-point/-/is-fullwidth-code-point-4.0.0.tgz",
+ "integrity": "sha512-O4L094N2/dZ7xqVdrXhh9r1KODPJpFms8B5sGdJLPy664AgvXsreZUyCQQNItZRDlYug4xStLjNp/sz3HvBowQ==",
+ "dev": true,
+ "engines": {
+ "node": ">=12"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/is-generator-fn": {
+ "version": "2.1.0",
+ "resolved": "https://registry.npmjs.org/is-generator-fn/-/is-generator-fn-2.1.0.tgz",
+ "integrity": "sha512-cTIB4yPYL/Grw0EaSzASzg6bBy9gqCofvWN8okThAYIxKJZC+udlRAmGbM0XLeniEJSs8uEgHPGuHSe1XsOLSQ==",
+ "engines": {
+ "node": ">=6"
+ }
+ },
+ "node_modules/is-generator-function": {
+ "version": "1.0.10",
+ "resolved": "https://registry.npmjs.org/is-generator-function/-/is-generator-function-1.0.10.tgz",
+ "integrity": "sha512-jsEjy9l3yiXEQ+PsXdmBwEPcOxaXWLspKdplFUVI9vq1iZgIekeC0L167qeu86czQaxed3q/Uzuw0swL0irL8A==",
+ "dependencies": {
+ "has-tostringtag": "^1.0.0"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/is-glob": {
+ "version": "4.0.3",
+ "resolved": "https://registry.npmjs.org/is-glob/-/is-glob-4.0.3.tgz",
+ "integrity": "sha512-xelSayHH36ZgE7ZWhli7pW34hNbNl8Ojv5KVmkJD4hBdD3th8Tfk9vYasLM+mXWOZhFkgZfxhLSnrwRr4elSSg==",
+ "dependencies": {
+ "is-extglob": "^2.1.1"
+ },
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/is-map": {
+ "version": "2.0.2",
+ "resolved": "https://registry.npmjs.org/is-map/-/is-map-2.0.2.tgz",
+ "integrity": "sha512-cOZFQQozTha1f4MxLFzlgKYPTyj26picdZTx82hbc/Xf4K/tZOOXSCkMvU4pKioRXGDLJRn0GM7Upe7kR721yg==",
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/is-module": {
+ "version": "1.0.0",
+ "resolved": "https://registry.npmjs.org/is-module/-/is-module-1.0.0.tgz",
+ "integrity": "sha512-51ypPSPCoTEIN9dy5Oy+h4pShgJmPCygKfyRCISBI+JoWT/2oJvK8QPxmwv7b/p239jXrm9M1mlQbyKJ5A152g=="
+ },
+ "node_modules/is-negative-zero": {
+ "version": "2.0.2",
+ "resolved": "https://registry.npmjs.org/is-negative-zero/-/is-negative-zero-2.0.2.tgz",
+ "integrity": "sha512-dqJvarLawXsFbNDeJW7zAz8ItJ9cd28YufuuFzh0G8pNHjJMnY08Dv7sYX2uF5UpQOwieAeOExEYAWWfu7ZZUA==",
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/is-number": {
+ "version": "7.0.0",
+ "resolved": "https://registry.npmjs.org/is-number/-/is-number-7.0.0.tgz",
+ "integrity": "sha512-41Cifkg6e8TylSpdtTpeLVMqvSBEVzTttHvERD741+pnZ8ANv0004MRL43QKPDlK9cGvNp6NZWZUBlbGXYxxng==",
+ "engines": {
+ "node": ">=0.12.0"
+ }
+ },
+ "node_modules/is-number-object": {
+ "version": "1.0.7",
+ "resolved": "https://registry.npmjs.org/is-number-object/-/is-number-object-1.0.7.tgz",
+ "integrity": "sha512-k1U0IRzLMo7ZlYIfzRu23Oh6MiIFasgpb9X76eqfFZAqwH44UI4KTBvBYIZ1dSL9ZzChTB9ShHfLkR4pdW5krQ==",
+ "dependencies": {
+ "has-tostringtag": "^1.0.0"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/is-obj": {
+ "version": "1.0.1",
+ "resolved": "https://registry.npmjs.org/is-obj/-/is-obj-1.0.1.tgz",
+ "integrity": "sha512-l4RyHgRqGN4Y3+9JHVrNqO+tN0rV5My76uW5/nuO4K1b6vw5G8d/cmFjP9tRfEsdhZNt0IFdZuK/c2Vr4Nb+Qg==",
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/is-path-inside": {
+ "version": "3.0.3",
+ "resolved": "https://registry.npmjs.org/is-path-inside/-/is-path-inside-3.0.3.tgz",
+ "integrity": "sha512-Fd4gABb+ycGAmKou8eMftCupSir5lRxqf4aD/vd0cD2qc4HL07OjCeuHMr8Ro4CoMaeCKDB0/ECBOVWjTwUvPQ==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/is-plain-obj": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/is-plain-obj/-/is-plain-obj-3.0.0.tgz",
+ "integrity": "sha512-gwsOE28k+23GP1B6vFl1oVh/WOzmawBrKwo5Ev6wMKzPkaXaCDIQKzLnvsA42DRlbVTWorkgTKIviAKCWkfUwA==",
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/is-potential-custom-element-name": {
+ "version": "1.0.1",
+ "resolved": "https://registry.npmjs.org/is-potential-custom-element-name/-/is-potential-custom-element-name-1.0.1.tgz",
+ "integrity": "sha512-bCYeRA2rVibKZd+s2625gGnGF/t7DSqDs4dP7CrLA1m7jKWz6pps0LpYLJN8Q64HtmPKJ1hrN3nzPNKFEKOUiQ=="
+ },
+ "node_modules/is-regex": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/is-regex/-/is-regex-1.1.4.tgz",
+ "integrity": "sha512-kvRdxDsxZjhzUX07ZnLydzS1TU/TJlTUHHY4YLL87e37oUA49DfkLqgy+VjFocowy29cKvcSiu+kIv728jTTVg==",
+ "dependencies": {
+ "call-bind": "^1.0.2",
+ "has-tostringtag": "^1.0.0"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/is-regexp": {
+ "version": "1.0.0",
+ "resolved": "https://registry.npmjs.org/is-regexp/-/is-regexp-1.0.0.tgz",
+ "integrity": "sha512-7zjFAPO4/gwyQAAgRRmqeEeyIICSdmCqa3tsVHMdBzaXXRiqopZL4Cyghg/XulGWrtABTpbnYYzzIRffLkP4oA==",
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/is-root": {
+ "version": "2.1.0",
+ "resolved": "https://registry.npmjs.org/is-root/-/is-root-2.1.0.tgz",
+ "integrity": "sha512-AGOriNp96vNBd3HtU+RzFEc75FfR5ymiYv8E553I71SCeXBiMsVDUtdio1OEFvrPyLIQ9tVR5RxXIFe5PUFjMg==",
+ "engines": {
+ "node": ">=6"
+ }
+ },
+ "node_modules/is-set": {
+ "version": "2.0.2",
+ "resolved": "https://registry.npmjs.org/is-set/-/is-set-2.0.2.tgz",
+ "integrity": "sha512-+2cnTEZeY5z/iXGbLhPrOAaK/Mau5k5eXq9j14CpRTftq0pAJu2MwVRSZhyZWBzx3o6X795Lz6Bpb6R0GKf37g==",
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/is-shared-array-buffer": {
+ "version": "1.0.2",
+ "resolved": "https://registry.npmjs.org/is-shared-array-buffer/-/is-shared-array-buffer-1.0.2.tgz",
+ "integrity": "sha512-sqN2UDu1/0y6uvXyStCOzyhAjCSlHceFoMKJW8W9EU9cvic/QdsZ0kEU93HEy3IUEFZIiH/3w+AH/UQbPHNdhA==",
+ "dependencies": {
+ "call-bind": "^1.0.2"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/is-stream": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/is-stream/-/is-stream-2.0.1.tgz",
+ "integrity": "sha512-hFoiJiTl63nn+kstHGBtewWSKnQLpyb155KHheA1l39uvtO9nWIop1p3udqPcUd/xbF1VLMO4n7OI6p7RbngDg==",
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/is-string": {
+ "version": "1.0.7",
+ "resolved": "https://registry.npmjs.org/is-string/-/is-string-1.0.7.tgz",
+ "integrity": "sha512-tE2UXzivje6ofPW7l23cjDOMa09gb7xlAqG6jG5ej6uPV32TlWP3NKPigtaGeHNu9fohccRYvIiZMfOOnOYUtg==",
+ "dependencies": {
+ "has-tostringtag": "^1.0.0"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/is-symbol": {
+ "version": "1.0.4",
+ "resolved": "https://registry.npmjs.org/is-symbol/-/is-symbol-1.0.4.tgz",
+ "integrity": "sha512-C/CPBqKWnvdcxqIARxyOh4v1UUEOCHpgDa0WYgpKDFMszcrPcffg5uhwSgPCLD2WWxmq6isisz87tzT01tuGhg==",
+ "dependencies": {
+ "has-symbols": "^1.0.2"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/is-typed-array": {
+ "version": "1.1.13",
+ "resolved": "https://registry.npmjs.org/is-typed-array/-/is-typed-array-1.1.13.tgz",
+ "integrity": "sha512-uZ25/bUAlUY5fR4OKT4rZQEBrzQWYV9ZJYGGsUmEJ6thodVJ1HX64ePQ6Z0qPWP+m+Uq6e9UugrE38jeYsDSMw==",
+ "dependencies": {
+ "which-typed-array": "^1.1.14"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/is-typedarray": {
+ "version": "1.0.0",
+ "resolved": "https://registry.npmjs.org/is-typedarray/-/is-typedarray-1.0.0.tgz",
+ "integrity": "sha512-cyA56iCMHAh5CdzjJIa4aohJyeO1YbwLi3Jc35MmRU6poroFjIGZzUzupGiRPOjgHg9TLu43xbpwXk523fMxKA=="
+ },
+ "node_modules/is-weakmap": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/is-weakmap/-/is-weakmap-2.0.1.tgz",
+ "integrity": "sha512-NSBR4kH5oVj1Uwvv970ruUkCV7O1mzgVFO4/rev2cLRda9Tm9HrL70ZPut4rOHgY0FNrUu9BCbXA2sdQ+x0chA==",
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/is-weakref": {
+ "version": "1.0.2",
+ "resolved": "https://registry.npmjs.org/is-weakref/-/is-weakref-1.0.2.tgz",
+ "integrity": "sha512-qctsuLZmIQ0+vSSMfoVvyFe2+GSEvnmZ2ezTup1SBse9+twCCeial6EEi3Nc2KFcf6+qz2FBPnjXsk8xhKSaPQ==",
+ "dependencies": {
+ "call-bind": "^1.0.2"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/is-weakset": {
+ "version": "2.0.2",
+ "resolved": "https://registry.npmjs.org/is-weakset/-/is-weakset-2.0.2.tgz",
+ "integrity": "sha512-t2yVvttHkQktwnNNmBQ98AhENLdPUTDTE21uPqAQ0ARwQfGeQKRVS0NNurH7bTf7RrvcVn1OOge45CnBeHCSmg==",
+ "dependencies": {
+ "call-bind": "^1.0.2",
+ "get-intrinsic": "^1.1.1"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/is-wsl": {
+ "version": "2.2.0",
+ "resolved": "https://registry.npmjs.org/is-wsl/-/is-wsl-2.2.0.tgz",
+ "integrity": "sha512-fKzAra0rGJUUBwGBgNkHZuToZcn+TtXHpeCgmkMJMMYx1sQDYaCSyjJBSCa2nH1DGm7s3n1oBnohoVTBaN7Lww==",
+ "dependencies": {
+ "is-docker": "^2.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/isarray": {
+ "version": "2.0.5",
+ "resolved": "https://registry.npmjs.org/isarray/-/isarray-2.0.5.tgz",
+ "integrity": "sha512-xHjhDr3cNBK0BzdUJSPXZntQUx/mwMS5Rw4A7lPJ90XGAO6ISP/ePDNuo0vhqOZU+UD5JoodwCAAoZQd3FeAKw=="
+ },
+ "node_modules/isexe": {
+ "version": "2.0.0",
+ "resolved": "https://registry.npmjs.org/isexe/-/isexe-2.0.0.tgz",
+ "integrity": "sha512-RHxMLp9lnKHGHRng9QFhRCMbYAcVpn69smSGcq3f36xjgVVWThj4qqLbTLlq7Ssj8B+fIQ1EuCEGI2lKsyQeIw=="
+ },
+ "node_modules/istanbul-lib-coverage": {
+ "version": "3.2.2",
+ "resolved": "https://registry.npmjs.org/istanbul-lib-coverage/-/istanbul-lib-coverage-3.2.2.tgz",
+ "integrity": "sha512-O8dpsF+r0WV/8MNRKfnmrtCWhuKjxrq2w+jpzBL5UZKTi2LeVWnWOmWRxFlesJONmc+wLAGvKQZEOanko0LFTg==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/istanbul-lib-instrument": {
+ "version": "5.2.1",
+ "resolved": "https://registry.npmjs.org/istanbul-lib-instrument/-/istanbul-lib-instrument-5.2.1.tgz",
+ "integrity": "sha512-pzqtp31nLv/XFOzXGuvhCb8qhjmTVo5vjVk19XE4CRlSWz0KoeJ3bw9XsA7nOp9YBf4qHjwBxkDzKcME/J29Yg==",
+ "dependencies": {
+ "@babel/core": "^7.12.3",
+ "@babel/parser": "^7.14.7",
+ "@istanbuljs/schema": "^0.1.2",
+ "istanbul-lib-coverage": "^3.2.0",
+ "semver": "^6.3.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/istanbul-lib-report": {
+ "version": "3.0.1",
+ "resolved": "https://registry.npmjs.org/istanbul-lib-report/-/istanbul-lib-report-3.0.1.tgz",
+ "integrity": "sha512-GCfE1mtsHGOELCU8e/Z7YWzpmybrx/+dSTfLrvY8qRmaY6zXTKWn6WQIjaAFw069icm6GVMNkgu0NzI4iPZUNw==",
+ "dependencies": {
+ "istanbul-lib-coverage": "^3.0.0",
+ "make-dir": "^4.0.0",
+ "supports-color": "^7.1.0"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/istanbul-lib-report/node_modules/has-flag": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz",
+ "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/istanbul-lib-report/node_modules/lru-cache": {
+ "version": "6.0.0",
+ "resolved": "https://registry.npmjs.org/lru-cache/-/lru-cache-6.0.0.tgz",
+ "integrity": "sha512-Jo6dJ04CmSjuznwJSS3pUeWmd/H0ffTlkXXgwZi+eq1UCmqQwCh+eLsYOYCwY991i2Fah4h1BEMCx4qThGbsiA==",
+ "dependencies": {
+ "yallist": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/istanbul-lib-report/node_modules/make-dir": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/make-dir/-/make-dir-4.0.0.tgz",
+ "integrity": "sha512-hXdUTZYIVOt1Ex//jAQi+wTZZpUpwBj/0QsOzqegb3rGMMeJiSEu5xLHnYfBrRV4RH2+OCSOO95Is/7x1WJ4bw==",
+ "dependencies": {
+ "semver": "^7.5.3"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/istanbul-lib-report/node_modules/semver": {
+ "version": "7.6.0",
+ "resolved": "https://registry.npmjs.org/semver/-/semver-7.6.0.tgz",
+ "integrity": "sha512-EnwXhrlwXMk9gKu5/flx5sv/an57AkRplG3hTK68W7FRDN+k+OWBj65M7719OkA82XLBxrcX0KSHj+X5COhOVg==",
+ "dependencies": {
+ "lru-cache": "^6.0.0"
+ },
+ "bin": {
+ "semver": "bin/semver.js"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/istanbul-lib-report/node_modules/supports-color": {
+ "version": "7.2.0",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-7.2.0.tgz",
+ "integrity": "sha512-qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==",
+ "dependencies": {
+ "has-flag": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/istanbul-lib-report/node_modules/yallist": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/yallist/-/yallist-4.0.0.tgz",
+ "integrity": "sha512-3wdGidZyq5PB084XLES5TpOSRA3wjXAlIWMhum2kRcv/41Sn2emQ0dycQW4uZXLejwKvg6EsvbdlVL+FYEct7A=="
+ },
+ "node_modules/istanbul-lib-source-maps": {
+ "version": "4.0.1",
+ "resolved": "https://registry.npmjs.org/istanbul-lib-source-maps/-/istanbul-lib-source-maps-4.0.1.tgz",
+ "integrity": "sha512-n3s8EwkdFIJCG3BPKBYvskgXGoy88ARzvegkitk60NxRdwltLOTaH7CUiMRXvwYorl0Q712iEjcWB+fK/MrWVw==",
+ "dependencies": {
+ "debug": "^4.1.1",
+ "istanbul-lib-coverage": "^3.0.0",
+ "source-map": "^0.6.1"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/istanbul-lib-source-maps/node_modules/source-map": {
+ "version": "0.6.1",
+ "resolved": "https://registry.npmjs.org/source-map/-/source-map-0.6.1.tgz",
+ "integrity": "sha512-UjgapumWlbMhkBgzT7Ykc5YXUT46F0iKu8SGXq0bcwP5dz/h0Plj6enJqjz1Zbq2l5WaqYnrVbwWOWMyF3F47g==",
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/istanbul-reports": {
+ "version": "3.1.6",
+ "resolved": "https://registry.npmjs.org/istanbul-reports/-/istanbul-reports-3.1.6.tgz",
+ "integrity": "sha512-TLgnMkKg3iTDsQ9PbPTdpfAK2DzjF9mqUG7RMgcQl8oFjad8ob4laGxv5XV5U9MAfx8D6tSJiUyuAwzLicaxlg==",
+ "dependencies": {
+ "html-escaper": "^2.0.0",
+ "istanbul-lib-report": "^3.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/iterator.prototype": {
+ "version": "1.1.2",
+ "resolved": "https://registry.npmjs.org/iterator.prototype/-/iterator.prototype-1.1.2.tgz",
+ "integrity": "sha512-DR33HMMr8EzwuRL8Y9D3u2BMj8+RqSE850jfGu59kS7tbmPLzGkZmVSfyCFSDxuZiEY6Rzt3T2NA/qU+NwVj1w==",
+ "dependencies": {
+ "define-properties": "^1.2.1",
+ "get-intrinsic": "^1.2.1",
+ "has-symbols": "^1.0.3",
+ "reflect.getprototypeof": "^1.0.4",
+ "set-function-name": "^2.0.1"
+ }
+ },
+ "node_modules/jackspeak": {
+ "version": "2.3.6",
+ "resolved": "https://registry.npmjs.org/jackspeak/-/jackspeak-2.3.6.tgz",
+ "integrity": "sha512-N3yCS/NegsOBokc8GAdM8UcmfsKiSS8cipheD/nivzr700H+nsMOxJjQnvwOcRYVuFkdH0wGUvW2WbXGmrZGbQ==",
+ "dependencies": {
+ "@isaacs/cliui": "^8.0.2"
+ },
+ "engines": {
+ "node": ">=14"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/isaacs"
+ },
+ "optionalDependencies": {
+ "@pkgjs/parseargs": "^0.11.0"
+ }
+ },
+ "node_modules/jake": {
+ "version": "10.8.7",
+ "resolved": "https://registry.npmjs.org/jake/-/jake-10.8.7.tgz",
+ "integrity": "sha512-ZDi3aP+fG/LchyBzUM804VjddnwfSfsdeYkwt8NcbKRvo4rFkjhs456iLFn3k2ZUWvNe4i48WACDbza8fhq2+w==",
+ "dependencies": {
+ "async": "^3.2.3",
+ "chalk": "^4.0.2",
+ "filelist": "^1.0.4",
+ "minimatch": "^3.1.2"
+ },
+ "bin": {
+ "jake": "bin/cli.js"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/jake/node_modules/ansi-styles": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-4.3.0.tgz",
+ "integrity": "sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==",
+ "dependencies": {
+ "color-convert": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/jake/node_modules/chalk": {
+ "version": "4.1.2",
+ "resolved": "https://registry.npmjs.org/chalk/-/chalk-4.1.2.tgz",
+ "integrity": "sha512-oKnbhFyRIXpUuez8iBMmyEa4nbj4IOQyuhc/wy9kY7/WVPcwIO9VA668Pu8RkO7+0G76SLROeyw9CpQ061i4mA==",
+ "dependencies": {
+ "ansi-styles": "^4.1.0",
+ "supports-color": "^7.1.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/chalk?sponsor=1"
+ }
+ },
+ "node_modules/jake/node_modules/color-convert": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-2.0.1.tgz",
+ "integrity": "sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==",
+ "dependencies": {
+ "color-name": "~1.1.4"
+ },
+ "engines": {
+ "node": ">=7.0.0"
+ }
+ },
+ "node_modules/jake/node_modules/color-name": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.4.tgz",
+ "integrity": "sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA=="
+ },
+ "node_modules/jake/node_modules/has-flag": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz",
+ "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jake/node_modules/supports-color": {
+ "version": "7.2.0",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-7.2.0.tgz",
+ "integrity": "sha512-qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==",
+ "dependencies": {
+ "has-flag": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jassub": {
+ "version": "1.7.15",
+ "resolved": "https://registry.npmjs.org/jassub/-/jassub-1.7.15.tgz",
+ "integrity": "sha512-8yKAJc++Y1gNfATOPRo3APk0JUhshKl5l7bRkT6WkJ8XP4RvYfVPb6ieH6WDxsMq523exwGzNvjjPEEWT+Z1nQ==",
+ "dependencies": {
+ "rvfc-polyfill": "^1.0.7"
+ }
+ },
+ "node_modules/jest": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/jest/-/jest-27.5.1.tgz",
+ "integrity": "sha512-Yn0mADZB89zTtjkPJEXwrac3LHudkQMR+Paqa8uxJHCBr9agxztUifWCyiYrjhMPBoUVBjyny0I7XH6ozDr7QQ==",
+ "dependencies": {
+ "@jest/core": "^27.5.1",
+ "import-local": "^3.0.2",
+ "jest-cli": "^27.5.1"
+ },
+ "bin": {
+ "jest": "bin/jest.js"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ },
+ "peerDependencies": {
+ "node-notifier": "^8.0.1 || ^9.0.0 || ^10.0.0"
+ },
+ "peerDependenciesMeta": {
+ "node-notifier": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/jest-changed-files": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/jest-changed-files/-/jest-changed-files-27.5.1.tgz",
+ "integrity": "sha512-buBLMiByfWGCoMsLLzGUUSpAmIAGnbR2KJoMN10ziLhOLvP4e0SlypHnAel8iqQXTrcbmfEY9sSqae5sgUsTvw==",
+ "dependencies": {
+ "@jest/types": "^27.5.1",
+ "execa": "^5.0.0",
+ "throat": "^6.0.1"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/jest-circus": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/jest-circus/-/jest-circus-27.5.1.tgz",
+ "integrity": "sha512-D95R7x5UtlMA5iBYsOHFFbMD/GVA4R/Kdq15f7xYWUfWHBto9NYRsOvnSauTgdF+ogCpJ4tyKOXhUifxS65gdw==",
+ "dependencies": {
+ "@jest/environment": "^27.5.1",
+ "@jest/test-result": "^27.5.1",
+ "@jest/types": "^27.5.1",
+ "@types/node": "*",
+ "chalk": "^4.0.0",
+ "co": "^4.6.0",
+ "dedent": "^0.7.0",
+ "expect": "^27.5.1",
+ "is-generator-fn": "^2.0.0",
+ "jest-each": "^27.5.1",
+ "jest-matcher-utils": "^27.5.1",
+ "jest-message-util": "^27.5.1",
+ "jest-runtime": "^27.5.1",
+ "jest-snapshot": "^27.5.1",
+ "jest-util": "^27.5.1",
+ "pretty-format": "^27.5.1",
+ "slash": "^3.0.0",
+ "stack-utils": "^2.0.3",
+ "throat": "^6.0.1"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/jest-circus/node_modules/ansi-styles": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-4.3.0.tgz",
+ "integrity": "sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==",
+ "dependencies": {
+ "color-convert": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/jest-circus/node_modules/chalk": {
+ "version": "4.1.2",
+ "resolved": "https://registry.npmjs.org/chalk/-/chalk-4.1.2.tgz",
+ "integrity": "sha512-oKnbhFyRIXpUuez8iBMmyEa4nbj4IOQyuhc/wy9kY7/WVPcwIO9VA668Pu8RkO7+0G76SLROeyw9CpQ061i4mA==",
+ "dependencies": {
+ "ansi-styles": "^4.1.0",
+ "supports-color": "^7.1.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/chalk?sponsor=1"
+ }
+ },
+ "node_modules/jest-circus/node_modules/color-convert": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-2.0.1.tgz",
+ "integrity": "sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==",
+ "dependencies": {
+ "color-name": "~1.1.4"
+ },
+ "engines": {
+ "node": ">=7.0.0"
+ }
+ },
+ "node_modules/jest-circus/node_modules/color-name": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.4.tgz",
+ "integrity": "sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA=="
+ },
+ "node_modules/jest-circus/node_modules/has-flag": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz",
+ "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-circus/node_modules/supports-color": {
+ "version": "7.2.0",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-7.2.0.tgz",
+ "integrity": "sha512-qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==",
+ "dependencies": {
+ "has-flag": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-cli": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/jest-cli/-/jest-cli-27.5.1.tgz",
+ "integrity": "sha512-Hc6HOOwYq4/74/c62dEE3r5elx8wjYqxY0r0G/nFrLDPMFRu6RA/u8qINOIkvhxG7mMQ5EJsOGfRpI8L6eFUVw==",
+ "dependencies": {
+ "@jest/core": "^27.5.1",
+ "@jest/test-result": "^27.5.1",
+ "@jest/types": "^27.5.1",
+ "chalk": "^4.0.0",
+ "exit": "^0.1.2",
+ "graceful-fs": "^4.2.9",
+ "import-local": "^3.0.2",
+ "jest-config": "^27.5.1",
+ "jest-util": "^27.5.1",
+ "jest-validate": "^27.5.1",
+ "prompts": "^2.0.1",
+ "yargs": "^16.2.0"
+ },
+ "bin": {
+ "jest": "bin/jest.js"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ },
+ "peerDependencies": {
+ "node-notifier": "^8.0.1 || ^9.0.0 || ^10.0.0"
+ },
+ "peerDependenciesMeta": {
+ "node-notifier": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/jest-cli/node_modules/ansi-styles": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-4.3.0.tgz",
+ "integrity": "sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==",
+ "dependencies": {
+ "color-convert": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/jest-cli/node_modules/chalk": {
+ "version": "4.1.2",
+ "resolved": "https://registry.npmjs.org/chalk/-/chalk-4.1.2.tgz",
+ "integrity": "sha512-oKnbhFyRIXpUuez8iBMmyEa4nbj4IOQyuhc/wy9kY7/WVPcwIO9VA668Pu8RkO7+0G76SLROeyw9CpQ061i4mA==",
+ "dependencies": {
+ "ansi-styles": "^4.1.0",
+ "supports-color": "^7.1.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/chalk?sponsor=1"
+ }
+ },
+ "node_modules/jest-cli/node_modules/color-convert": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-2.0.1.tgz",
+ "integrity": "sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==",
+ "dependencies": {
+ "color-name": "~1.1.4"
+ },
+ "engines": {
+ "node": ">=7.0.0"
+ }
+ },
+ "node_modules/jest-cli/node_modules/color-name": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.4.tgz",
+ "integrity": "sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA=="
+ },
+ "node_modules/jest-cli/node_modules/has-flag": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz",
+ "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-cli/node_modules/supports-color": {
+ "version": "7.2.0",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-7.2.0.tgz",
+ "integrity": "sha512-qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==",
+ "dependencies": {
+ "has-flag": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-config": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/jest-config/-/jest-config-27.5.1.tgz",
+ "integrity": "sha512-5sAsjm6tGdsVbW9ahcChPAFCk4IlkQUknH5AvKjuLTSlcO/wCZKyFdn7Rg0EkC+OGgWODEy2hDpWB1PgzH0JNA==",
+ "dependencies": {
+ "@babel/core": "^7.8.0",
+ "@jest/test-sequencer": "^27.5.1",
+ "@jest/types": "^27.5.1",
+ "babel-jest": "^27.5.1",
+ "chalk": "^4.0.0",
+ "ci-info": "^3.2.0",
+ "deepmerge": "^4.2.2",
+ "glob": "^7.1.1",
+ "graceful-fs": "^4.2.9",
+ "jest-circus": "^27.5.1",
+ "jest-environment-jsdom": "^27.5.1",
+ "jest-environment-node": "^27.5.1",
+ "jest-get-type": "^27.5.1",
+ "jest-jasmine2": "^27.5.1",
+ "jest-regex-util": "^27.5.1",
+ "jest-resolve": "^27.5.1",
+ "jest-runner": "^27.5.1",
+ "jest-util": "^27.5.1",
+ "jest-validate": "^27.5.1",
+ "micromatch": "^4.0.4",
+ "parse-json": "^5.2.0",
+ "pretty-format": "^27.5.1",
+ "slash": "^3.0.0",
+ "strip-json-comments": "^3.1.1"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ },
+ "peerDependencies": {
+ "ts-node": ">=9.0.0"
+ },
+ "peerDependenciesMeta": {
+ "ts-node": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/jest-config/node_modules/ansi-styles": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-4.3.0.tgz",
+ "integrity": "sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==",
+ "dependencies": {
+ "color-convert": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/jest-config/node_modules/chalk": {
+ "version": "4.1.2",
+ "resolved": "https://registry.npmjs.org/chalk/-/chalk-4.1.2.tgz",
+ "integrity": "sha512-oKnbhFyRIXpUuez8iBMmyEa4nbj4IOQyuhc/wy9kY7/WVPcwIO9VA668Pu8RkO7+0G76SLROeyw9CpQ061i4mA==",
+ "dependencies": {
+ "ansi-styles": "^4.1.0",
+ "supports-color": "^7.1.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/chalk?sponsor=1"
+ }
+ },
+ "node_modules/jest-config/node_modules/color-convert": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-2.0.1.tgz",
+ "integrity": "sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==",
+ "dependencies": {
+ "color-name": "~1.1.4"
+ },
+ "engines": {
+ "node": ">=7.0.0"
+ }
+ },
+ "node_modules/jest-config/node_modules/color-name": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.4.tgz",
+ "integrity": "sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA=="
+ },
+ "node_modules/jest-config/node_modules/has-flag": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz",
+ "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-config/node_modules/supports-color": {
+ "version": "7.2.0",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-7.2.0.tgz",
+ "integrity": "sha512-qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==",
+ "dependencies": {
+ "has-flag": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-diff": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/jest-diff/-/jest-diff-27.5.1.tgz",
+ "integrity": "sha512-m0NvkX55LDt9T4mctTEgnZk3fmEg3NRYutvMPWM/0iPnkFj2wIeF45O1718cMSOFO1vINkqmxqD8vE37uTEbqw==",
+ "dependencies": {
+ "chalk": "^4.0.0",
+ "diff-sequences": "^27.5.1",
+ "jest-get-type": "^27.5.1",
+ "pretty-format": "^27.5.1"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/jest-diff/node_modules/ansi-styles": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-4.3.0.tgz",
+ "integrity": "sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==",
+ "dependencies": {
+ "color-convert": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/jest-diff/node_modules/chalk": {
+ "version": "4.1.2",
+ "resolved": "https://registry.npmjs.org/chalk/-/chalk-4.1.2.tgz",
+ "integrity": "sha512-oKnbhFyRIXpUuez8iBMmyEa4nbj4IOQyuhc/wy9kY7/WVPcwIO9VA668Pu8RkO7+0G76SLROeyw9CpQ061i4mA==",
+ "dependencies": {
+ "ansi-styles": "^4.1.0",
+ "supports-color": "^7.1.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/chalk?sponsor=1"
+ }
+ },
+ "node_modules/jest-diff/node_modules/color-convert": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-2.0.1.tgz",
+ "integrity": "sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==",
+ "dependencies": {
+ "color-name": "~1.1.4"
+ },
+ "engines": {
+ "node": ">=7.0.0"
+ }
+ },
+ "node_modules/jest-diff/node_modules/color-name": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.4.tgz",
+ "integrity": "sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA=="
+ },
+ "node_modules/jest-diff/node_modules/has-flag": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz",
+ "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-diff/node_modules/supports-color": {
+ "version": "7.2.0",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-7.2.0.tgz",
+ "integrity": "sha512-qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==",
+ "dependencies": {
+ "has-flag": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-docblock": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/jest-docblock/-/jest-docblock-27.5.1.tgz",
+ "integrity": "sha512-rl7hlABeTsRYxKiUfpHrQrG4e2obOiTQWfMEH3PxPjOtdsfLQO4ReWSZaQ7DETm4xu07rl4q/h4zcKXyU0/OzQ==",
+ "dependencies": {
+ "detect-newline": "^3.0.0"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/jest-each": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/jest-each/-/jest-each-27.5.1.tgz",
+ "integrity": "sha512-1Ff6p+FbhT/bXQnEouYy00bkNSY7OUpfIcmdl8vZ31A1UUaurOLPA8a8BbJOF2RDUElwJhmeaV7LnagI+5UwNQ==",
+ "dependencies": {
+ "@jest/types": "^27.5.1",
+ "chalk": "^4.0.0",
+ "jest-get-type": "^27.5.1",
+ "jest-util": "^27.5.1",
+ "pretty-format": "^27.5.1"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/jest-each/node_modules/ansi-styles": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-4.3.0.tgz",
+ "integrity": "sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==",
+ "dependencies": {
+ "color-convert": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/jest-each/node_modules/chalk": {
+ "version": "4.1.2",
+ "resolved": "https://registry.npmjs.org/chalk/-/chalk-4.1.2.tgz",
+ "integrity": "sha512-oKnbhFyRIXpUuez8iBMmyEa4nbj4IOQyuhc/wy9kY7/WVPcwIO9VA668Pu8RkO7+0G76SLROeyw9CpQ061i4mA==",
+ "dependencies": {
+ "ansi-styles": "^4.1.0",
+ "supports-color": "^7.1.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/chalk?sponsor=1"
+ }
+ },
+ "node_modules/jest-each/node_modules/color-convert": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-2.0.1.tgz",
+ "integrity": "sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==",
+ "dependencies": {
+ "color-name": "~1.1.4"
+ },
+ "engines": {
+ "node": ">=7.0.0"
+ }
+ },
+ "node_modules/jest-each/node_modules/color-name": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.4.tgz",
+ "integrity": "sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA=="
+ },
+ "node_modules/jest-each/node_modules/has-flag": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz",
+ "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-each/node_modules/supports-color": {
+ "version": "7.2.0",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-7.2.0.tgz",
+ "integrity": "sha512-qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==",
+ "dependencies": {
+ "has-flag": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-environment-jsdom": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/jest-environment-jsdom/-/jest-environment-jsdom-27.5.1.tgz",
+ "integrity": "sha512-TFBvkTC1Hnnnrka/fUb56atfDtJ9VMZ94JkjTbggl1PEpwrYtUBKMezB3inLmWqQsXYLcMwNoDQwoBTAvFfsfw==",
+ "dependencies": {
+ "@jest/environment": "^27.5.1",
+ "@jest/fake-timers": "^27.5.1",
+ "@jest/types": "^27.5.1",
+ "@types/node": "*",
+ "jest-mock": "^27.5.1",
+ "jest-util": "^27.5.1",
+ "jsdom": "^16.6.0"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/jest-environment-node": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/jest-environment-node/-/jest-environment-node-27.5.1.tgz",
+ "integrity": "sha512-Jt4ZUnxdOsTGwSRAfKEnE6BcwsSPNOijjwifq5sDFSA2kesnXTvNqKHYgM0hDq3549Uf/KzdXNYn4wMZJPlFLw==",
+ "dependencies": {
+ "@jest/environment": "^27.5.1",
+ "@jest/fake-timers": "^27.5.1",
+ "@jest/types": "^27.5.1",
+ "@types/node": "*",
+ "jest-mock": "^27.5.1",
+ "jest-util": "^27.5.1"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/jest-get-type": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/jest-get-type/-/jest-get-type-27.5.1.tgz",
+ "integrity": "sha512-2KY95ksYSaK7DMBWQn6dQz3kqAf3BB64y2udeG+hv4KfSOb9qwcYQstTJc1KCbsix+wLZWZYN8t7nwX3GOBLRw==",
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/jest-haste-map": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/jest-haste-map/-/jest-haste-map-27.5.1.tgz",
+ "integrity": "sha512-7GgkZ4Fw4NFbMSDSpZwXeBiIbx+t/46nJ2QitkOjvwPYyZmqttu2TDSimMHP1EkPOi4xUZAN1doE5Vd25H4Jng==",
+ "dependencies": {
+ "@jest/types": "^27.5.1",
+ "@types/graceful-fs": "^4.1.2",
+ "@types/node": "*",
+ "anymatch": "^3.0.3",
+ "fb-watchman": "^2.0.0",
+ "graceful-fs": "^4.2.9",
+ "jest-regex-util": "^27.5.1",
+ "jest-serializer": "^27.5.1",
+ "jest-util": "^27.5.1",
+ "jest-worker": "^27.5.1",
+ "micromatch": "^4.0.4",
+ "walker": "^1.0.7"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ },
+ "optionalDependencies": {
+ "fsevents": "^2.3.2"
+ }
+ },
+ "node_modules/jest-jasmine2": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/jest-jasmine2/-/jest-jasmine2-27.5.1.tgz",
+ "integrity": "sha512-jtq7VVyG8SqAorDpApwiJJImd0V2wv1xzdheGHRGyuT7gZm6gG47QEskOlzsN1PG/6WNaCo5pmwMHDf3AkG2pQ==",
+ "dependencies": {
+ "@jest/environment": "^27.5.1",
+ "@jest/source-map": "^27.5.1",
+ "@jest/test-result": "^27.5.1",
+ "@jest/types": "^27.5.1",
+ "@types/node": "*",
+ "chalk": "^4.0.0",
+ "co": "^4.6.0",
+ "expect": "^27.5.1",
+ "is-generator-fn": "^2.0.0",
+ "jest-each": "^27.5.1",
+ "jest-matcher-utils": "^27.5.1",
+ "jest-message-util": "^27.5.1",
+ "jest-runtime": "^27.5.1",
+ "jest-snapshot": "^27.5.1",
+ "jest-util": "^27.5.1",
+ "pretty-format": "^27.5.1",
+ "throat": "^6.0.1"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/jest-jasmine2/node_modules/ansi-styles": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-4.3.0.tgz",
+ "integrity": "sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==",
+ "dependencies": {
+ "color-convert": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/jest-jasmine2/node_modules/chalk": {
+ "version": "4.1.2",
+ "resolved": "https://registry.npmjs.org/chalk/-/chalk-4.1.2.tgz",
+ "integrity": "sha512-oKnbhFyRIXpUuez8iBMmyEa4nbj4IOQyuhc/wy9kY7/WVPcwIO9VA668Pu8RkO7+0G76SLROeyw9CpQ061i4mA==",
+ "dependencies": {
+ "ansi-styles": "^4.1.0",
+ "supports-color": "^7.1.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/chalk?sponsor=1"
+ }
+ },
+ "node_modules/jest-jasmine2/node_modules/color-convert": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-2.0.1.tgz",
+ "integrity": "sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==",
+ "dependencies": {
+ "color-name": "~1.1.4"
+ },
+ "engines": {
+ "node": ">=7.0.0"
+ }
+ },
+ "node_modules/jest-jasmine2/node_modules/color-name": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.4.tgz",
+ "integrity": "sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA=="
+ },
+ "node_modules/jest-jasmine2/node_modules/has-flag": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz",
+ "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-jasmine2/node_modules/supports-color": {
+ "version": "7.2.0",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-7.2.0.tgz",
+ "integrity": "sha512-qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==",
+ "dependencies": {
+ "has-flag": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-leak-detector": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/jest-leak-detector/-/jest-leak-detector-27.5.1.tgz",
+ "integrity": "sha512-POXfWAMvfU6WMUXftV4HolnJfnPOGEu10fscNCA76KBpRRhcMN2c8d3iT2pxQS3HLbA+5X4sOUPzYO2NUyIlHQ==",
+ "dependencies": {
+ "jest-get-type": "^27.5.1",
+ "pretty-format": "^27.5.1"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/jest-matcher-utils": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/jest-matcher-utils/-/jest-matcher-utils-27.5.1.tgz",
+ "integrity": "sha512-z2uTx/T6LBaCoNWNFWwChLBKYxTMcGBRjAt+2SbP929/Fflb9aa5LGma654Rz8z9HLxsrUaYzxE9T/EFIL/PAw==",
+ "dependencies": {
+ "chalk": "^4.0.0",
+ "jest-diff": "^27.5.1",
+ "jest-get-type": "^27.5.1",
+ "pretty-format": "^27.5.1"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/jest-matcher-utils/node_modules/ansi-styles": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-4.3.0.tgz",
+ "integrity": "sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==",
+ "dependencies": {
+ "color-convert": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/jest-matcher-utils/node_modules/chalk": {
+ "version": "4.1.2",
+ "resolved": "https://registry.npmjs.org/chalk/-/chalk-4.1.2.tgz",
+ "integrity": "sha512-oKnbhFyRIXpUuez8iBMmyEa4nbj4IOQyuhc/wy9kY7/WVPcwIO9VA668Pu8RkO7+0G76SLROeyw9CpQ061i4mA==",
+ "dependencies": {
+ "ansi-styles": "^4.1.0",
+ "supports-color": "^7.1.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/chalk?sponsor=1"
+ }
+ },
+ "node_modules/jest-matcher-utils/node_modules/color-convert": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-2.0.1.tgz",
+ "integrity": "sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==",
+ "dependencies": {
+ "color-name": "~1.1.4"
+ },
+ "engines": {
+ "node": ">=7.0.0"
+ }
+ },
+ "node_modules/jest-matcher-utils/node_modules/color-name": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.4.tgz",
+ "integrity": "sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA=="
+ },
+ "node_modules/jest-matcher-utils/node_modules/has-flag": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz",
+ "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-matcher-utils/node_modules/supports-color": {
+ "version": "7.2.0",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-7.2.0.tgz",
+ "integrity": "sha512-qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==",
+ "dependencies": {
+ "has-flag": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-message-util": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/jest-message-util/-/jest-message-util-27.5.1.tgz",
+ "integrity": "sha512-rMyFe1+jnyAAf+NHwTclDz0eAaLkVDdKVHHBFWsBWHnnh5YeJMNWWsv7AbFYXfK3oTqvL7VTWkhNLu1jX24D+g==",
+ "dependencies": {
+ "@babel/code-frame": "^7.12.13",
+ "@jest/types": "^27.5.1",
+ "@types/stack-utils": "^2.0.0",
+ "chalk": "^4.0.0",
+ "graceful-fs": "^4.2.9",
+ "micromatch": "^4.0.4",
+ "pretty-format": "^27.5.1",
+ "slash": "^3.0.0",
+ "stack-utils": "^2.0.3"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/jest-message-util/node_modules/ansi-styles": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-4.3.0.tgz",
+ "integrity": "sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==",
+ "dependencies": {
+ "color-convert": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/jest-message-util/node_modules/chalk": {
+ "version": "4.1.2",
+ "resolved": "https://registry.npmjs.org/chalk/-/chalk-4.1.2.tgz",
+ "integrity": "sha512-oKnbhFyRIXpUuez8iBMmyEa4nbj4IOQyuhc/wy9kY7/WVPcwIO9VA668Pu8RkO7+0G76SLROeyw9CpQ061i4mA==",
+ "dependencies": {
+ "ansi-styles": "^4.1.0",
+ "supports-color": "^7.1.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/chalk?sponsor=1"
+ }
+ },
+ "node_modules/jest-message-util/node_modules/color-convert": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-2.0.1.tgz",
+ "integrity": "sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==",
+ "dependencies": {
+ "color-name": "~1.1.4"
+ },
+ "engines": {
+ "node": ">=7.0.0"
+ }
+ },
+ "node_modules/jest-message-util/node_modules/color-name": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.4.tgz",
+ "integrity": "sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA=="
+ },
+ "node_modules/jest-message-util/node_modules/has-flag": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz",
+ "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-message-util/node_modules/supports-color": {
+ "version": "7.2.0",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-7.2.0.tgz",
+ "integrity": "sha512-qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==",
+ "dependencies": {
+ "has-flag": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-mock": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/jest-mock/-/jest-mock-27.5.1.tgz",
+ "integrity": "sha512-K4jKbY1d4ENhbrG2zuPWaQBvDly+iZ2yAW+T1fATN78hc0sInwn7wZB8XtlNnvHug5RMwV897Xm4LqmPM4e2Og==",
+ "dependencies": {
+ "@jest/types": "^27.5.1",
+ "@types/node": "*"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/jest-pnp-resolver": {
+ "version": "1.2.3",
+ "resolved": "https://registry.npmjs.org/jest-pnp-resolver/-/jest-pnp-resolver-1.2.3.tgz",
+ "integrity": "sha512-+3NpwQEnRoIBtx4fyhblQDPgJI0H1IEIkX7ShLUjPGA7TtUTvI1oiKi3SR4oBR0hQhQR80l4WAe5RrXBwWMA8w==",
+ "engines": {
+ "node": ">=6"
+ },
+ "peerDependencies": {
+ "jest-resolve": "*"
+ },
+ "peerDependenciesMeta": {
+ "jest-resolve": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/jest-regex-util": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/jest-regex-util/-/jest-regex-util-27.5.1.tgz",
+ "integrity": "sha512-4bfKq2zie+x16okqDXjXn9ql2B0dScQu+vcwe4TvFVhkVyuWLqpZrZtXxLLWoXYgn0E87I6r6GRYHF7wFZBUvg==",
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/jest-resolve": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/jest-resolve/-/jest-resolve-27.5.1.tgz",
+ "integrity": "sha512-FFDy8/9E6CV83IMbDpcjOhumAQPDyETnU2KZ1O98DwTnz8AOBsW/Xv3GySr1mOZdItLR+zDZ7I/UdTFbgSOVCw==",
+ "dependencies": {
+ "@jest/types": "^27.5.1",
+ "chalk": "^4.0.0",
+ "graceful-fs": "^4.2.9",
+ "jest-haste-map": "^27.5.1",
+ "jest-pnp-resolver": "^1.2.2",
+ "jest-util": "^27.5.1",
+ "jest-validate": "^27.5.1",
+ "resolve": "^1.20.0",
+ "resolve.exports": "^1.1.0",
+ "slash": "^3.0.0"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/jest-resolve-dependencies": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/jest-resolve-dependencies/-/jest-resolve-dependencies-27.5.1.tgz",
+ "integrity": "sha512-QQOOdY4PE39iawDn5rzbIePNigfe5B9Z91GDD1ae/xNDlu9kaat8QQ5EKnNmVWPV54hUdxCVwwj6YMgR2O7IOg==",
+ "dependencies": {
+ "@jest/types": "^27.5.1",
+ "jest-regex-util": "^27.5.1",
+ "jest-snapshot": "^27.5.1"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/jest-resolve/node_modules/ansi-styles": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-4.3.0.tgz",
+ "integrity": "sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==",
+ "dependencies": {
+ "color-convert": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/jest-resolve/node_modules/chalk": {
+ "version": "4.1.2",
+ "resolved": "https://registry.npmjs.org/chalk/-/chalk-4.1.2.tgz",
+ "integrity": "sha512-oKnbhFyRIXpUuez8iBMmyEa4nbj4IOQyuhc/wy9kY7/WVPcwIO9VA668Pu8RkO7+0G76SLROeyw9CpQ061i4mA==",
+ "dependencies": {
+ "ansi-styles": "^4.1.0",
+ "supports-color": "^7.1.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/chalk?sponsor=1"
+ }
+ },
+ "node_modules/jest-resolve/node_modules/color-convert": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-2.0.1.tgz",
+ "integrity": "sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==",
+ "dependencies": {
+ "color-name": "~1.1.4"
+ },
+ "engines": {
+ "node": ">=7.0.0"
+ }
+ },
+ "node_modules/jest-resolve/node_modules/color-name": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.4.tgz",
+ "integrity": "sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA=="
+ },
+ "node_modules/jest-resolve/node_modules/has-flag": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz",
+ "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-resolve/node_modules/supports-color": {
+ "version": "7.2.0",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-7.2.0.tgz",
+ "integrity": "sha512-qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==",
+ "dependencies": {
+ "has-flag": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-runner": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/jest-runner/-/jest-runner-27.5.1.tgz",
+ "integrity": "sha512-g4NPsM4mFCOwFKXO4p/H/kWGdJp9V8kURY2lX8Me2drgXqG7rrZAx5kv+5H7wtt/cdFIjhqYx1HrlqWHaOvDaQ==",
+ "dependencies": {
+ "@jest/console": "^27.5.1",
+ "@jest/environment": "^27.5.1",
+ "@jest/test-result": "^27.5.1",
+ "@jest/transform": "^27.5.1",
+ "@jest/types": "^27.5.1",
+ "@types/node": "*",
+ "chalk": "^4.0.0",
+ "emittery": "^0.8.1",
+ "graceful-fs": "^4.2.9",
+ "jest-docblock": "^27.5.1",
+ "jest-environment-jsdom": "^27.5.1",
+ "jest-environment-node": "^27.5.1",
+ "jest-haste-map": "^27.5.1",
+ "jest-leak-detector": "^27.5.1",
+ "jest-message-util": "^27.5.1",
+ "jest-resolve": "^27.5.1",
+ "jest-runtime": "^27.5.1",
+ "jest-util": "^27.5.1",
+ "jest-worker": "^27.5.1",
+ "source-map-support": "^0.5.6",
+ "throat": "^6.0.1"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/jest-runner/node_modules/ansi-styles": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-4.3.0.tgz",
+ "integrity": "sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==",
+ "dependencies": {
+ "color-convert": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/jest-runner/node_modules/chalk": {
+ "version": "4.1.2",
+ "resolved": "https://registry.npmjs.org/chalk/-/chalk-4.1.2.tgz",
+ "integrity": "sha512-oKnbhFyRIXpUuez8iBMmyEa4nbj4IOQyuhc/wy9kY7/WVPcwIO9VA668Pu8RkO7+0G76SLROeyw9CpQ061i4mA==",
+ "dependencies": {
+ "ansi-styles": "^4.1.0",
+ "supports-color": "^7.1.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/chalk?sponsor=1"
+ }
+ },
+ "node_modules/jest-runner/node_modules/color-convert": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-2.0.1.tgz",
+ "integrity": "sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==",
+ "dependencies": {
+ "color-name": "~1.1.4"
+ },
+ "engines": {
+ "node": ">=7.0.0"
+ }
+ },
+ "node_modules/jest-runner/node_modules/color-name": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.4.tgz",
+ "integrity": "sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA=="
+ },
+ "node_modules/jest-runner/node_modules/has-flag": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz",
+ "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-runner/node_modules/supports-color": {
+ "version": "7.2.0",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-7.2.0.tgz",
+ "integrity": "sha512-qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==",
+ "dependencies": {
+ "has-flag": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-runtime": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/jest-runtime/-/jest-runtime-27.5.1.tgz",
+ "integrity": "sha512-o7gxw3Gf+H2IGt8fv0RiyE1+r83FJBRruoA+FXrlHw6xEyBsU8ugA6IPfTdVyA0w8HClpbK+DGJxH59UrNMx8A==",
+ "dependencies": {
+ "@jest/environment": "^27.5.1",
+ "@jest/fake-timers": "^27.5.1",
+ "@jest/globals": "^27.5.1",
+ "@jest/source-map": "^27.5.1",
+ "@jest/test-result": "^27.5.1",
+ "@jest/transform": "^27.5.1",
+ "@jest/types": "^27.5.1",
+ "chalk": "^4.0.0",
+ "cjs-module-lexer": "^1.0.0",
+ "collect-v8-coverage": "^1.0.0",
+ "execa": "^5.0.0",
+ "glob": "^7.1.3",
+ "graceful-fs": "^4.2.9",
+ "jest-haste-map": "^27.5.1",
+ "jest-message-util": "^27.5.1",
+ "jest-mock": "^27.5.1",
+ "jest-regex-util": "^27.5.1",
+ "jest-resolve": "^27.5.1",
+ "jest-snapshot": "^27.5.1",
+ "jest-util": "^27.5.1",
+ "slash": "^3.0.0",
+ "strip-bom": "^4.0.0"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/jest-runtime/node_modules/ansi-styles": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-4.3.0.tgz",
+ "integrity": "sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==",
+ "dependencies": {
+ "color-convert": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/jest-runtime/node_modules/chalk": {
+ "version": "4.1.2",
+ "resolved": "https://registry.npmjs.org/chalk/-/chalk-4.1.2.tgz",
+ "integrity": "sha512-oKnbhFyRIXpUuez8iBMmyEa4nbj4IOQyuhc/wy9kY7/WVPcwIO9VA668Pu8RkO7+0G76SLROeyw9CpQ061i4mA==",
+ "dependencies": {
+ "ansi-styles": "^4.1.0",
+ "supports-color": "^7.1.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/chalk?sponsor=1"
+ }
+ },
+ "node_modules/jest-runtime/node_modules/color-convert": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-2.0.1.tgz",
+ "integrity": "sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==",
+ "dependencies": {
+ "color-name": "~1.1.4"
+ },
+ "engines": {
+ "node": ">=7.0.0"
+ }
+ },
+ "node_modules/jest-runtime/node_modules/color-name": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.4.tgz",
+ "integrity": "sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA=="
+ },
+ "node_modules/jest-runtime/node_modules/has-flag": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz",
+ "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-runtime/node_modules/supports-color": {
+ "version": "7.2.0",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-7.2.0.tgz",
+ "integrity": "sha512-qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==",
+ "dependencies": {
+ "has-flag": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-serializer": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/jest-serializer/-/jest-serializer-27.5.1.tgz",
+ "integrity": "sha512-jZCyo6iIxO1aqUxpuBlwTDMkzOAJS4a3eYz3YzgxxVQFwLeSA7Jfq5cbqCY+JLvTDrWirgusI/0KwxKMgrdf7w==",
+ "dependencies": {
+ "@types/node": "*",
+ "graceful-fs": "^4.2.9"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/jest-snapshot": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/jest-snapshot/-/jest-snapshot-27.5.1.tgz",
+ "integrity": "sha512-yYykXI5a0I31xX67mgeLw1DZ0bJB+gpq5IpSuCAoyDi0+BhgU/RIrL+RTzDmkNTchvDFWKP8lp+w/42Z3us5sA==",
+ "dependencies": {
+ "@babel/core": "^7.7.2",
+ "@babel/generator": "^7.7.2",
+ "@babel/plugin-syntax-typescript": "^7.7.2",
+ "@babel/traverse": "^7.7.2",
+ "@babel/types": "^7.0.0",
+ "@jest/transform": "^27.5.1",
+ "@jest/types": "^27.5.1",
+ "@types/babel__traverse": "^7.0.4",
+ "@types/prettier": "^2.1.5",
+ "babel-preset-current-node-syntax": "^1.0.0",
+ "chalk": "^4.0.0",
+ "expect": "^27.5.1",
+ "graceful-fs": "^4.2.9",
+ "jest-diff": "^27.5.1",
+ "jest-get-type": "^27.5.1",
+ "jest-haste-map": "^27.5.1",
+ "jest-matcher-utils": "^27.5.1",
+ "jest-message-util": "^27.5.1",
+ "jest-util": "^27.5.1",
+ "natural-compare": "^1.4.0",
+ "pretty-format": "^27.5.1",
+ "semver": "^7.3.2"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/jest-snapshot/node_modules/ansi-styles": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-4.3.0.tgz",
+ "integrity": "sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==",
+ "dependencies": {
+ "color-convert": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/jest-snapshot/node_modules/chalk": {
+ "version": "4.1.2",
+ "resolved": "https://registry.npmjs.org/chalk/-/chalk-4.1.2.tgz",
+ "integrity": "sha512-oKnbhFyRIXpUuez8iBMmyEa4nbj4IOQyuhc/wy9kY7/WVPcwIO9VA668Pu8RkO7+0G76SLROeyw9CpQ061i4mA==",
+ "dependencies": {
+ "ansi-styles": "^4.1.0",
+ "supports-color": "^7.1.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/chalk?sponsor=1"
+ }
+ },
+ "node_modules/jest-snapshot/node_modules/color-convert": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-2.0.1.tgz",
+ "integrity": "sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==",
+ "dependencies": {
+ "color-name": "~1.1.4"
+ },
+ "engines": {
+ "node": ">=7.0.0"
+ }
+ },
+ "node_modules/jest-snapshot/node_modules/color-name": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.4.tgz",
+ "integrity": "sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA=="
+ },
+ "node_modules/jest-snapshot/node_modules/has-flag": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz",
+ "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-snapshot/node_modules/lru-cache": {
+ "version": "6.0.0",
+ "resolved": "https://registry.npmjs.org/lru-cache/-/lru-cache-6.0.0.tgz",
+ "integrity": "sha512-Jo6dJ04CmSjuznwJSS3pUeWmd/H0ffTlkXXgwZi+eq1UCmqQwCh+eLsYOYCwY991i2Fah4h1BEMCx4qThGbsiA==",
+ "dependencies": {
+ "yallist": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/jest-snapshot/node_modules/semver": {
+ "version": "7.6.0",
+ "resolved": "https://registry.npmjs.org/semver/-/semver-7.6.0.tgz",
+ "integrity": "sha512-EnwXhrlwXMk9gKu5/flx5sv/an57AkRplG3hTK68W7FRDN+k+OWBj65M7719OkA82XLBxrcX0KSHj+X5COhOVg==",
+ "dependencies": {
+ "lru-cache": "^6.0.0"
+ },
+ "bin": {
+ "semver": "bin/semver.js"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/jest-snapshot/node_modules/supports-color": {
+ "version": "7.2.0",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-7.2.0.tgz",
+ "integrity": "sha512-qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==",
+ "dependencies": {
+ "has-flag": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-snapshot/node_modules/yallist": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/yallist/-/yallist-4.0.0.tgz",
+ "integrity": "sha512-3wdGidZyq5PB084XLES5TpOSRA3wjXAlIWMhum2kRcv/41Sn2emQ0dycQW4uZXLejwKvg6EsvbdlVL+FYEct7A=="
+ },
+ "node_modules/jest-util": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/jest-util/-/jest-util-27.5.1.tgz",
+ "integrity": "sha512-Kv2o/8jNvX1MQ0KGtw480E/w4fBCDOnH6+6DmeKi6LZUIlKA5kwY0YNdlzaWTiVgxqAqik11QyxDOKk543aKXw==",
+ "dependencies": {
+ "@jest/types": "^27.5.1",
+ "@types/node": "*",
+ "chalk": "^4.0.0",
+ "ci-info": "^3.2.0",
+ "graceful-fs": "^4.2.9",
+ "picomatch": "^2.2.3"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/jest-util/node_modules/ansi-styles": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-4.3.0.tgz",
+ "integrity": "sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==",
+ "dependencies": {
+ "color-convert": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/jest-util/node_modules/chalk": {
+ "version": "4.1.2",
+ "resolved": "https://registry.npmjs.org/chalk/-/chalk-4.1.2.tgz",
+ "integrity": "sha512-oKnbhFyRIXpUuez8iBMmyEa4nbj4IOQyuhc/wy9kY7/WVPcwIO9VA668Pu8RkO7+0G76SLROeyw9CpQ061i4mA==",
+ "dependencies": {
+ "ansi-styles": "^4.1.0",
+ "supports-color": "^7.1.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/chalk?sponsor=1"
+ }
+ },
+ "node_modules/jest-util/node_modules/color-convert": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-2.0.1.tgz",
+ "integrity": "sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==",
+ "dependencies": {
+ "color-name": "~1.1.4"
+ },
+ "engines": {
+ "node": ">=7.0.0"
+ }
+ },
+ "node_modules/jest-util/node_modules/color-name": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.4.tgz",
+ "integrity": "sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA=="
+ },
+ "node_modules/jest-util/node_modules/has-flag": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz",
+ "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-util/node_modules/supports-color": {
+ "version": "7.2.0",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-7.2.0.tgz",
+ "integrity": "sha512-qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==",
+ "dependencies": {
+ "has-flag": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-validate": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/jest-validate/-/jest-validate-27.5.1.tgz",
+ "integrity": "sha512-thkNli0LYTmOI1tDB3FI1S1RTp/Bqyd9pTarJwL87OIBFuqEb5Apv5EaApEudYg4g86e3CT6kM0RowkhtEnCBQ==",
+ "dependencies": {
+ "@jest/types": "^27.5.1",
+ "camelcase": "^6.2.0",
+ "chalk": "^4.0.0",
+ "jest-get-type": "^27.5.1",
+ "leven": "^3.1.0",
+ "pretty-format": "^27.5.1"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/jest-validate/node_modules/ansi-styles": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-4.3.0.tgz",
+ "integrity": "sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==",
+ "dependencies": {
+ "color-convert": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/jest-validate/node_modules/chalk": {
+ "version": "4.1.2",
+ "resolved": "https://registry.npmjs.org/chalk/-/chalk-4.1.2.tgz",
+ "integrity": "sha512-oKnbhFyRIXpUuez8iBMmyEa4nbj4IOQyuhc/wy9kY7/WVPcwIO9VA668Pu8RkO7+0G76SLROeyw9CpQ061i4mA==",
+ "dependencies": {
+ "ansi-styles": "^4.1.0",
+ "supports-color": "^7.1.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/chalk?sponsor=1"
+ }
+ },
+ "node_modules/jest-validate/node_modules/color-convert": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-2.0.1.tgz",
+ "integrity": "sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==",
+ "dependencies": {
+ "color-name": "~1.1.4"
+ },
+ "engines": {
+ "node": ">=7.0.0"
+ }
+ },
+ "node_modules/jest-validate/node_modules/color-name": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.4.tgz",
+ "integrity": "sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA=="
+ },
+ "node_modules/jest-validate/node_modules/has-flag": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz",
+ "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-validate/node_modules/supports-color": {
+ "version": "7.2.0",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-7.2.0.tgz",
+ "integrity": "sha512-qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==",
+ "dependencies": {
+ "has-flag": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-watch-typeahead": {
+ "version": "1.1.0",
+ "resolved": "https://registry.npmjs.org/jest-watch-typeahead/-/jest-watch-typeahead-1.1.0.tgz",
+ "integrity": "sha512-Va5nLSJTN7YFtC2jd+7wsoe1pNe5K4ShLux/E5iHEwlB9AxaxmggY7to9KUqKojhaJw3aXqt5WAb4jGPOolpEw==",
+ "dependencies": {
+ "ansi-escapes": "^4.3.1",
+ "chalk": "^4.0.0",
+ "jest-regex-util": "^28.0.0",
+ "jest-watcher": "^28.0.0",
+ "slash": "^4.0.0",
+ "string-length": "^5.0.1",
+ "strip-ansi": "^7.0.1"
+ },
+ "engines": {
+ "node": "^12.22.0 || ^14.17.0 || >=16.0.0"
+ },
+ "peerDependencies": {
+ "jest": "^27.0.0 || ^28.0.0"
+ }
+ },
+ "node_modules/jest-watch-typeahead/node_modules/@jest/console": {
+ "version": "28.1.3",
+ "resolved": "https://registry.npmjs.org/@jest/console/-/console-28.1.3.tgz",
+ "integrity": "sha512-QPAkP5EwKdK/bxIr6C1I4Vs0rm2nHiANzj/Z5X2JQkrZo6IqvC4ldZ9K95tF0HdidhA8Bo6egxSzUFPYKcEXLw==",
+ "dependencies": {
+ "@jest/types": "^28.1.3",
+ "@types/node": "*",
+ "chalk": "^4.0.0",
+ "jest-message-util": "^28.1.3",
+ "jest-util": "^28.1.3",
+ "slash": "^3.0.0"
+ },
+ "engines": {
+ "node": "^12.13.0 || ^14.15.0 || ^16.10.0 || >=17.0.0"
+ }
+ },
+ "node_modules/jest-watch-typeahead/node_modules/@jest/console/node_modules/slash": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/slash/-/slash-3.0.0.tgz",
+ "integrity": "sha512-g9Q1haeby36OSStwb4ntCGGGaKsaVSjQ68fBxoQcutl5fS1vuY18H3wSt3jFyFtrkx+Kz0V1G85A4MyAdDMi2Q==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-watch-typeahead/node_modules/@jest/test-result": {
+ "version": "28.1.3",
+ "resolved": "https://registry.npmjs.org/@jest/test-result/-/test-result-28.1.3.tgz",
+ "integrity": "sha512-kZAkxnSE+FqE8YjW8gNuoVkkC9I7S1qmenl8sGcDOLropASP+BkcGKwhXoyqQuGOGeYY0y/ixjrd/iERpEXHNg==",
+ "dependencies": {
+ "@jest/console": "^28.1.3",
+ "@jest/types": "^28.1.3",
+ "@types/istanbul-lib-coverage": "^2.0.0",
+ "collect-v8-coverage": "^1.0.0"
+ },
+ "engines": {
+ "node": "^12.13.0 || ^14.15.0 || ^16.10.0 || >=17.0.0"
+ }
+ },
+ "node_modules/jest-watch-typeahead/node_modules/@jest/types": {
+ "version": "28.1.3",
+ "resolved": "https://registry.npmjs.org/@jest/types/-/types-28.1.3.tgz",
+ "integrity": "sha512-RyjiyMUZrKz/c+zlMFO1pm70DcIlST8AeWTkoUdZevew44wcNZQHsEVOiCVtgVnlFFD82FPaXycys58cf2muVQ==",
+ "dependencies": {
+ "@jest/schemas": "^28.1.3",
+ "@types/istanbul-lib-coverage": "^2.0.0",
+ "@types/istanbul-reports": "^3.0.0",
+ "@types/node": "*",
+ "@types/yargs": "^17.0.8",
+ "chalk": "^4.0.0"
+ },
+ "engines": {
+ "node": "^12.13.0 || ^14.15.0 || ^16.10.0 || >=17.0.0"
+ }
+ },
+ "node_modules/jest-watch-typeahead/node_modules/@types/yargs": {
+ "version": "17.0.32",
+ "resolved": "https://registry.npmjs.org/@types/yargs/-/yargs-17.0.32.tgz",
+ "integrity": "sha512-xQ67Yc/laOG5uMfX/093MRlGGCIBzZMarVa+gfNKJxWAIgykYpVGkBdbqEzGDDfCrVUj6Hiff4mTZ5BA6TmAog==",
+ "dependencies": {
+ "@types/yargs-parser": "*"
+ }
+ },
+ "node_modules/jest-watch-typeahead/node_modules/ansi-styles": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-4.3.0.tgz",
+ "integrity": "sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==",
+ "dependencies": {
+ "color-convert": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/jest-watch-typeahead/node_modules/chalk": {
+ "version": "4.1.2",
+ "resolved": "https://registry.npmjs.org/chalk/-/chalk-4.1.2.tgz",
+ "integrity": "sha512-oKnbhFyRIXpUuez8iBMmyEa4nbj4IOQyuhc/wy9kY7/WVPcwIO9VA668Pu8RkO7+0G76SLROeyw9CpQ061i4mA==",
+ "dependencies": {
+ "ansi-styles": "^4.1.0",
+ "supports-color": "^7.1.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/chalk?sponsor=1"
+ }
+ },
+ "node_modules/jest-watch-typeahead/node_modules/color-convert": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-2.0.1.tgz",
+ "integrity": "sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==",
+ "dependencies": {
+ "color-name": "~1.1.4"
+ },
+ "engines": {
+ "node": ">=7.0.0"
+ }
+ },
+ "node_modules/jest-watch-typeahead/node_modules/color-name": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.4.tgz",
+ "integrity": "sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA=="
+ },
+ "node_modules/jest-watch-typeahead/node_modules/emittery": {
+ "version": "0.10.2",
+ "resolved": "https://registry.npmjs.org/emittery/-/emittery-0.10.2.tgz",
+ "integrity": "sha512-aITqOwnLanpHLNXZJENbOgjUBeHocD+xsSJmNrjovKBW5HbSpW3d1pEls7GFQPUWXiwG9+0P4GtHfEqC/4M0Iw==",
+ "engines": {
+ "node": ">=12"
+ },
+ "funding": {
+ "url": "https://github.com/sindresorhus/emittery?sponsor=1"
+ }
+ },
+ "node_modules/jest-watch-typeahead/node_modules/has-flag": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz",
+ "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-watch-typeahead/node_modules/jest-message-util": {
+ "version": "28.1.3",
+ "resolved": "https://registry.npmjs.org/jest-message-util/-/jest-message-util-28.1.3.tgz",
+ "integrity": "sha512-PFdn9Iewbt575zKPf1286Ht9EPoJmYT7P0kY+RibeYZ2XtOr53pDLEFoTWXbd1h4JiGiWpTBC84fc8xMXQMb7g==",
+ "dependencies": {
+ "@babel/code-frame": "^7.12.13",
+ "@jest/types": "^28.1.3",
+ "@types/stack-utils": "^2.0.0",
+ "chalk": "^4.0.0",
+ "graceful-fs": "^4.2.9",
+ "micromatch": "^4.0.4",
+ "pretty-format": "^28.1.3",
+ "slash": "^3.0.0",
+ "stack-utils": "^2.0.3"
+ },
+ "engines": {
+ "node": "^12.13.0 || ^14.15.0 || ^16.10.0 || >=17.0.0"
+ }
+ },
+ "node_modules/jest-watch-typeahead/node_modules/jest-message-util/node_modules/slash": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/slash/-/slash-3.0.0.tgz",
+ "integrity": "sha512-g9Q1haeby36OSStwb4ntCGGGaKsaVSjQ68fBxoQcutl5fS1vuY18H3wSt3jFyFtrkx+Kz0V1G85A4MyAdDMi2Q==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-watch-typeahead/node_modules/jest-regex-util": {
+ "version": "28.0.2",
+ "resolved": "https://registry.npmjs.org/jest-regex-util/-/jest-regex-util-28.0.2.tgz",
+ "integrity": "sha512-4s0IgyNIy0y9FK+cjoVYoxamT7Zeo7MhzqRGx7YDYmaQn1wucY9rotiGkBzzcMXTtjrCAP/f7f+E0F7+fxPNdw==",
+ "engines": {
+ "node": "^12.13.0 || ^14.15.0 || ^16.10.0 || >=17.0.0"
+ }
+ },
+ "node_modules/jest-watch-typeahead/node_modules/jest-util": {
+ "version": "28.1.3",
+ "resolved": "https://registry.npmjs.org/jest-util/-/jest-util-28.1.3.tgz",
+ "integrity": "sha512-XdqfpHwpcSRko/C35uLYFM2emRAltIIKZiJ9eAmhjsj0CqZMa0p1ib0R5fWIqGhn1a103DebTbpqIaP1qCQ6tQ==",
+ "dependencies": {
+ "@jest/types": "^28.1.3",
+ "@types/node": "*",
+ "chalk": "^4.0.0",
+ "ci-info": "^3.2.0",
+ "graceful-fs": "^4.2.9",
+ "picomatch": "^2.2.3"
+ },
+ "engines": {
+ "node": "^12.13.0 || ^14.15.0 || ^16.10.0 || >=17.0.0"
+ }
+ },
+ "node_modules/jest-watch-typeahead/node_modules/jest-watcher": {
+ "version": "28.1.3",
+ "resolved": "https://registry.npmjs.org/jest-watcher/-/jest-watcher-28.1.3.tgz",
+ "integrity": "sha512-t4qcqj9hze+jviFPUN3YAtAEeFnr/azITXQEMARf5cMwKY2SMBRnCQTXLixTl20OR6mLh9KLMrgVJgJISym+1g==",
+ "dependencies": {
+ "@jest/test-result": "^28.1.3",
+ "@jest/types": "^28.1.3",
+ "@types/node": "*",
+ "ansi-escapes": "^4.2.1",
+ "chalk": "^4.0.0",
+ "emittery": "^0.10.2",
+ "jest-util": "^28.1.3",
+ "string-length": "^4.0.1"
+ },
+ "engines": {
+ "node": "^12.13.0 || ^14.15.0 || ^16.10.0 || >=17.0.0"
+ }
+ },
+ "node_modules/jest-watch-typeahead/node_modules/jest-watcher/node_modules/string-length": {
+ "version": "4.0.2",
+ "resolved": "https://registry.npmjs.org/string-length/-/string-length-4.0.2.tgz",
+ "integrity": "sha512-+l6rNN5fYHNhZZy41RXsYptCjA2Igmq4EG7kZAYFQI1E1VTXarr6ZPXBg6eq7Y6eK4FEhY6AJlyuFIb/v/S0VQ==",
+ "dependencies": {
+ "char-regex": "^1.0.2",
+ "strip-ansi": "^6.0.0"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/jest-watch-typeahead/node_modules/jest-watcher/node_modules/strip-ansi": {
+ "version": "6.0.1",
+ "resolved": "https://registry.npmjs.org/strip-ansi/-/strip-ansi-6.0.1.tgz",
+ "integrity": "sha512-Y38VPSHcqkFrCpFnQ9vuSXmquuv5oXOKpGeT6aGrr3o3Gc9AlVa6JBfUSOCnbxGGZF+/0ooI7KrPuUSztUdU5A==",
+ "dependencies": {
+ "ansi-regex": "^5.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-watch-typeahead/node_modules/pretty-format": {
+ "version": "28.1.3",
+ "resolved": "https://registry.npmjs.org/pretty-format/-/pretty-format-28.1.3.tgz",
+ "integrity": "sha512-8gFb/To0OmxHR9+ZTb14Df2vNxdGCX8g1xWGUTqUw5TiZvcQf5sHKObd5UcPyLLyowNwDAMTF3XWOG1B6mxl1Q==",
+ "dependencies": {
+ "@jest/schemas": "^28.1.3",
+ "ansi-regex": "^5.0.1",
+ "ansi-styles": "^5.0.0",
+ "react-is": "^18.0.0"
+ },
+ "engines": {
+ "node": "^12.13.0 || ^14.15.0 || ^16.10.0 || >=17.0.0"
+ }
+ },
+ "node_modules/jest-watch-typeahead/node_modules/pretty-format/node_modules/ansi-styles": {
+ "version": "5.2.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-5.2.0.tgz",
+ "integrity": "sha512-Cxwpt2SfTzTtXcfOlzGEee8O+c+MmUgGrNiBcXnuWxuFJHe6a5Hz7qwhwe5OgaSYI0IJvkLqWX1ASG+cJOkEiA==",
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/jest-watch-typeahead/node_modules/react-is": {
+ "version": "18.2.0",
+ "resolved": "https://registry.npmjs.org/react-is/-/react-is-18.2.0.tgz",
+ "integrity": "sha512-xWGDIW6x921xtzPkhiULtthJHoJvBbF3q26fzloPCK0hsvxtPVelvftw3zjbHWSkR2km9Z+4uxbDDK/6Zw9B8w=="
+ },
+ "node_modules/jest-watch-typeahead/node_modules/slash": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/slash/-/slash-4.0.0.tgz",
+ "integrity": "sha512-3dOsAHXXUkQTpOYcoAxLIorMTp4gIQr5IW3iVb7A7lFIp0VHhnynm9izx6TssdrIcVIESAlVjtnO2K8bg+Coew==",
+ "engines": {
+ "node": ">=12"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/jest-watch-typeahead/node_modules/string-length": {
+ "version": "5.0.1",
+ "resolved": "https://registry.npmjs.org/string-length/-/string-length-5.0.1.tgz",
+ "integrity": "sha512-9Ep08KAMUn0OadnVaBuRdE2l615CQ508kr0XMadjClfYpdCyvrbFp6Taebo8yyxokQ4viUd/xPPUA4FGgUa0ow==",
+ "dependencies": {
+ "char-regex": "^2.0.0",
+ "strip-ansi": "^7.0.1"
+ },
+ "engines": {
+ "node": ">=12.20"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/jest-watch-typeahead/node_modules/string-length/node_modules/char-regex": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/char-regex/-/char-regex-2.0.1.tgz",
+ "integrity": "sha512-oSvEeo6ZUD7NepqAat3RqoucZ5SeqLJgOvVIwkafu6IP3V0pO38s/ypdVUmDDK6qIIHNlYHJAKX9E7R7HoKElw==",
+ "engines": {
+ "node": ">=12.20"
+ }
+ },
+ "node_modules/jest-watch-typeahead/node_modules/strip-ansi": {
+ "version": "7.1.0",
+ "resolved": "https://registry.npmjs.org/strip-ansi/-/strip-ansi-7.1.0.tgz",
+ "integrity": "sha512-iq6eVVI64nQQTRYq2KtEg2d2uU7LElhTJwsH4YzIHZshxlgZms/wIc4VoDQTlG/IvVIrBKG06CrZnp0qv7hkcQ==",
+ "dependencies": {
+ "ansi-regex": "^6.0.1"
+ },
+ "engines": {
+ "node": ">=12"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/strip-ansi?sponsor=1"
+ }
+ },
+ "node_modules/jest-watch-typeahead/node_modules/strip-ansi/node_modules/ansi-regex": {
+ "version": "6.0.1",
+ "resolved": "https://registry.npmjs.org/ansi-regex/-/ansi-regex-6.0.1.tgz",
+ "integrity": "sha512-n5M855fKb2SsfMIiFFoVrABHJC8QtHwVx+mHWP3QcEqBHYienj5dHSgjbxtC0WEZXYt4wcD6zrQElDPhFuZgfA==",
+ "engines": {
+ "node": ">=12"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-regex?sponsor=1"
+ }
+ },
+ "node_modules/jest-watch-typeahead/node_modules/supports-color": {
+ "version": "7.2.0",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-7.2.0.tgz",
+ "integrity": "sha512-qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==",
+ "dependencies": {
+ "has-flag": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-watcher": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/jest-watcher/-/jest-watcher-27.5.1.tgz",
+ "integrity": "sha512-z676SuD6Z8o8qbmEGhoEUFOM1+jfEiL3DXHK/xgEiG2EyNYfFG60jluWcupY6dATjfEsKQuibReS1djInQnoVw==",
+ "dependencies": {
+ "@jest/test-result": "^27.5.1",
+ "@jest/types": "^27.5.1",
+ "@types/node": "*",
+ "ansi-escapes": "^4.2.1",
+ "chalk": "^4.0.0",
+ "jest-util": "^27.5.1",
+ "string-length": "^4.0.1"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/jest-watcher/node_modules/ansi-styles": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-4.3.0.tgz",
+ "integrity": "sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==",
+ "dependencies": {
+ "color-convert": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/jest-watcher/node_modules/chalk": {
+ "version": "4.1.2",
+ "resolved": "https://registry.npmjs.org/chalk/-/chalk-4.1.2.tgz",
+ "integrity": "sha512-oKnbhFyRIXpUuez8iBMmyEa4nbj4IOQyuhc/wy9kY7/WVPcwIO9VA668Pu8RkO7+0G76SLROeyw9CpQ061i4mA==",
+ "dependencies": {
+ "ansi-styles": "^4.1.0",
+ "supports-color": "^7.1.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/chalk?sponsor=1"
+ }
+ },
+ "node_modules/jest-watcher/node_modules/color-convert": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-2.0.1.tgz",
+ "integrity": "sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==",
+ "dependencies": {
+ "color-name": "~1.1.4"
+ },
+ "engines": {
+ "node": ">=7.0.0"
+ }
+ },
+ "node_modules/jest-watcher/node_modules/color-name": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.4.tgz",
+ "integrity": "sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA=="
+ },
+ "node_modules/jest-watcher/node_modules/has-flag": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz",
+ "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-watcher/node_modules/supports-color": {
+ "version": "7.2.0",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-7.2.0.tgz",
+ "integrity": "sha512-qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==",
+ "dependencies": {
+ "has-flag": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-worker": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/jest-worker/-/jest-worker-27.5.1.tgz",
+ "integrity": "sha512-7vuh85V5cdDofPyxn58nrPjBktZo0u9x1g8WtjQol+jZDaE+fhN+cIvTj11GndBnMnyfrUOG1sZQxCdjKh+DKg==",
+ "dependencies": {
+ "@types/node": "*",
+ "merge-stream": "^2.0.0",
+ "supports-color": "^8.0.0"
+ },
+ "engines": {
+ "node": ">= 10.13.0"
+ }
+ },
+ "node_modules/jest-worker/node_modules/has-flag": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz",
+ "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/jest-worker/node_modules/supports-color": {
+ "version": "8.1.1",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-8.1.1.tgz",
+ "integrity": "sha512-MpUEN2OodtUzxvKQl72cUF7RQ5EiHsGvSsVG0ia9c5RbWGL2CI4C7EpPS8UTBIplnlzZiNuV56w+FuNxy3ty2Q==",
+ "dependencies": {
+ "has-flag": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/supports-color?sponsor=1"
+ }
+ },
+ "node_modules/jiti": {
+ "version": "1.21.0",
+ "resolved": "https://registry.npmjs.org/jiti/-/jiti-1.21.0.tgz",
+ "integrity": "sha512-gFqAIbuKyyso/3G2qhiO2OM6shY6EPP/R0+mkDbyspxKazh8BXDC5FiFsUjlczgdNz/vfra0da2y+aHrusLG/Q==",
+ "bin": {
+ "jiti": "bin/jiti.js"
+ }
+ },
+ "node_modules/js-tokens": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/js-tokens/-/js-tokens-4.0.0.tgz",
+ "integrity": "sha512-RdJUflcE3cUzKiMqQgsCu06FPu9UdIJO0beYbPhHN4k6apgJtifcoCtT9bcxOpYBtpD2kCM6Sbzg4CausW/PKQ=="
+ },
+ "node_modules/js-yaml": {
+ "version": "4.1.0",
+ "resolved": "https://registry.npmjs.org/js-yaml/-/js-yaml-4.1.0.tgz",
+ "integrity": "sha512-wpxZs9NoxZaJESJGIZTyDEaYpl0FKSA+FB9aJiyemKhMwkxQg63h4T1KJgUGHpTqPDNRcmmYLugrRjJlBtWvRA==",
+ "dependencies": {
+ "argparse": "^2.0.1"
+ },
+ "bin": {
+ "js-yaml": "bin/js-yaml.js"
+ }
+ },
+ "node_modules/jsdom": {
+ "version": "16.7.0",
+ "resolved": "https://registry.npmjs.org/jsdom/-/jsdom-16.7.0.tgz",
+ "integrity": "sha512-u9Smc2G1USStM+s/x1ru5Sxrl6mPYCbByG1U/hUmqaVsm4tbNyS7CicOSRyuGQYZhTu0h84qkZZQ/I+dzizSVw==",
+ "dependencies": {
+ "abab": "^2.0.5",
+ "acorn": "^8.2.4",
+ "acorn-globals": "^6.0.0",
+ "cssom": "^0.4.4",
+ "cssstyle": "^2.3.0",
+ "data-urls": "^2.0.0",
+ "decimal.js": "^10.2.1",
+ "domexception": "^2.0.1",
+ "escodegen": "^2.0.0",
+ "form-data": "^3.0.0",
+ "html-encoding-sniffer": "^2.0.1",
+ "http-proxy-agent": "^4.0.1",
+ "https-proxy-agent": "^5.0.0",
+ "is-potential-custom-element-name": "^1.0.1",
+ "nwsapi": "^2.2.0",
+ "parse5": "6.0.1",
+ "saxes": "^5.0.1",
+ "symbol-tree": "^3.2.4",
+ "tough-cookie": "^4.0.0",
+ "w3c-hr-time": "^1.0.2",
+ "w3c-xmlserializer": "^2.0.0",
+ "webidl-conversions": "^6.1.0",
+ "whatwg-encoding": "^1.0.5",
+ "whatwg-mimetype": "^2.3.0",
+ "whatwg-url": "^8.5.0",
+ "ws": "^7.4.6",
+ "xml-name-validator": "^3.0.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "peerDependencies": {
+ "canvas": "^2.5.0"
+ },
+ "peerDependenciesMeta": {
+ "canvas": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/jsesc": {
+ "version": "2.5.2",
+ "resolved": "https://registry.npmjs.org/jsesc/-/jsesc-2.5.2.tgz",
+ "integrity": "sha512-OYu7XEzjkCQ3C5Ps3QIZsQfNpqoJyZZA99wd9aWd05NCtC5pWOkShK2mkL6HXQR6/Cy2lbNdPlZBpuQHXE63gA==",
+ "bin": {
+ "jsesc": "bin/jsesc"
+ },
+ "engines": {
+ "node": ">=4"
+ }
+ },
+ "node_modules/json-buffer": {
+ "version": "3.0.1",
+ "resolved": "https://registry.npmjs.org/json-buffer/-/json-buffer-3.0.1.tgz",
+ "integrity": "sha512-4bV5BfR2mqfQTJm+V5tPPdf+ZpuhiIvTuAB5g8kcrXOZpTT/QwwVRWBywX1ozr6lEuPdbHxwaJlm9G6mI2sfSQ=="
+ },
+ "node_modules/json-parse-even-better-errors": {
+ "version": "2.3.1",
+ "resolved": "https://registry.npmjs.org/json-parse-even-better-errors/-/json-parse-even-better-errors-2.3.1.tgz",
+ "integrity": "sha512-xyFwyhro/JEof6Ghe2iz2NcXoj2sloNsWr/XsERDK/oiPCfaNhl5ONfp+jQdAZRQQ0IJWNzH9zIZF7li91kh2w=="
+ },
+ "node_modules/json-schema": {
+ "version": "0.4.0",
+ "resolved": "https://registry.npmjs.org/json-schema/-/json-schema-0.4.0.tgz",
+ "integrity": "sha512-es94M3nTIfsEPisRafak+HDLfHXnKBhV3vU5eqPcS3flIWqcxJWgXHXiey3YrpaNsanY5ei1VoYEbOzijuq9BA=="
+ },
+ "node_modules/json-schema-traverse": {
+ "version": "0.4.1",
+ "resolved": "https://registry.npmjs.org/json-schema-traverse/-/json-schema-traverse-0.4.1.tgz",
+ "integrity": "sha512-xbbCH5dCYU5T8LcEhhuh7HJ88HXuW3qsI3Y0zOZFKfZEHcpWiHU/Jxzk629Brsab/mMiHQti9wMP+845RPe3Vg=="
+ },
+ "node_modules/json-stable-stringify-without-jsonify": {
+ "version": "1.0.1",
+ "resolved": "https://registry.npmjs.org/json-stable-stringify-without-jsonify/-/json-stable-stringify-without-jsonify-1.0.1.tgz",
+ "integrity": "sha512-Bdboy+l7tA3OGW6FjyFHWkP5LuByj1Tk33Ljyq0axyzdk9//JSi2u3fP1QSmd1KNwq6VOKYGlAu87CisVir6Pw=="
+ },
+ "node_modules/json5": {
+ "version": "2.2.3",
+ "resolved": "https://registry.npmjs.org/json5/-/json5-2.2.3.tgz",
+ "integrity": "sha512-XmOWe7eyHYH14cLdVPoyg+GOH3rYX++KpzrylJwSW98t3Nk+U8XOl8FWKOgwtzdb8lXGf6zYwDUzeHMWfxasyg==",
+ "bin": {
+ "json5": "lib/cli.js"
+ },
+ "engines": {
+ "node": ">=6"
+ }
+ },
+ "node_modules/jsonfile": {
+ "version": "6.1.0",
+ "resolved": "https://registry.npmjs.org/jsonfile/-/jsonfile-6.1.0.tgz",
+ "integrity": "sha512-5dgndWOriYSm5cnYaJNhalLNDKOqFwyDB/rr1E9ZsGciGvKPs8R2xYGCacuf3z6K1YKDz182fd+fY3cn3pMqXQ==",
+ "dependencies": {
+ "universalify": "^2.0.0"
+ },
+ "optionalDependencies": {
+ "graceful-fs": "^4.1.6"
+ }
+ },
+ "node_modules/jsonpath": {
+ "version": "1.1.1",
+ "resolved": "https://registry.npmjs.org/jsonpath/-/jsonpath-1.1.1.tgz",
+ "integrity": "sha512-l6Cg7jRpixfbgoWgkrl77dgEj8RPvND0wMH6TwQmi9Qs4TFfS9u5cUFnbeKTwj5ga5Y3BTGGNI28k117LJ009w==",
+ "dependencies": {
+ "esprima": "1.2.2",
+ "static-eval": "2.0.2",
+ "underscore": "1.12.1"
+ }
+ },
+ "node_modules/jsonpath/node_modules/esprima": {
+ "version": "1.2.2",
+ "resolved": "https://registry.npmjs.org/esprima/-/esprima-1.2.2.tgz",
+ "integrity": "sha512-+JpPZam9w5DuJ3Q67SqsMGtiHKENSMRVoxvArfJZK01/BfLEObtZ6orJa/MtoGNR/rfMgp5837T41PAmTwAv/A==",
+ "bin": {
+ "esparse": "bin/esparse.js",
+ "esvalidate": "bin/esvalidate.js"
+ },
+ "engines": {
+ "node": ">=0.4.0"
+ }
+ },
+ "node_modules/jsonpointer": {
+ "version": "5.0.1",
+ "resolved": "https://registry.npmjs.org/jsonpointer/-/jsonpointer-5.0.1.tgz",
+ "integrity": "sha512-p/nXbhSEcu3pZRdkW1OfJhpsVtW1gd4Wa1fnQc9YLiTfAjn0312eMKimbdIQzuZl9aa9xUGaRlP9T/CJE/ditQ==",
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/jsx-ast-utils": {
+ "version": "3.3.5",
+ "resolved": "https://registry.npmjs.org/jsx-ast-utils/-/jsx-ast-utils-3.3.5.tgz",
+ "integrity": "sha512-ZZow9HBI5O6EPgSJLUb8n2NKgmVWTwCvHGwFuJlMjvLFqlGG6pjirPhtdsseaLZjSibD8eegzmYpUZwoIlj2cQ==",
+ "dependencies": {
+ "array-includes": "^3.1.6",
+ "array.prototype.flat": "^1.3.1",
+ "object.assign": "^4.1.4",
+ "object.values": "^1.1.6"
+ },
+ "engines": {
+ "node": ">=4.0"
+ }
+ },
+ "node_modules/keyv": {
+ "version": "4.5.4",
+ "resolved": "https://registry.npmjs.org/keyv/-/keyv-4.5.4.tgz",
+ "integrity": "sha512-oxVHkHR/EJf2CNXnWxRLW6mg7JyCCUcG0DtEGmL2ctUo1PNTin1PUil+r/+4r5MpVgC/fn1kjsx7mjSujKqIpw==",
+ "dependencies": {
+ "json-buffer": "3.0.1"
+ }
+ },
+ "node_modules/kind-of": {
+ "version": "6.0.3",
+ "resolved": "https://registry.npmjs.org/kind-of/-/kind-of-6.0.3.tgz",
+ "integrity": "sha512-dcS1ul+9tmeD95T+x28/ehLgd9mENa3LsvDTtzm3vyBEO7RPptvAD+t44WVXaUjTBRcrpFeFlC8WCruUR456hw==",
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/kleur": {
+ "version": "3.0.3",
+ "resolved": "https://registry.npmjs.org/kleur/-/kleur-3.0.3.tgz",
+ "integrity": "sha512-eTIzlVOSUR+JxdDFepEYcBMtZ9Qqdef+rnzWdRZuMbOywu5tO2w2N7rqjoANZ5k9vywhL6Br1VRjUIgTQx4E8w==",
+ "engines": {
+ "node": ">=6"
+ }
+ },
+ "node_modules/klona": {
+ "version": "2.0.6",
+ "resolved": "https://registry.npmjs.org/klona/-/klona-2.0.6.tgz",
+ "integrity": "sha512-dhG34DXATL5hSxJbIexCft8FChFXtmskoZYnoPWjXQuebWYCNkVeV3KkGegCK9CP1oswI/vQibS2GY7Em/sJJA==",
+ "engines": {
+ "node": ">= 8"
+ }
+ },
+ "node_modules/language-subtag-registry": {
+ "version": "0.3.22",
+ "resolved": "https://registry.npmjs.org/language-subtag-registry/-/language-subtag-registry-0.3.22.tgz",
+ "integrity": "sha512-tN0MCzyWnoz/4nHS6uxdlFWoUZT7ABptwKPQ52Ea7URk6vll88bWBVhodtnlfEuCcKWNGoc+uGbw1cwa9IKh/w=="
+ },
+ "node_modules/language-tags": {
+ "version": "1.0.9",
+ "resolved": "https://registry.npmjs.org/language-tags/-/language-tags-1.0.9.tgz",
+ "integrity": "sha512-MbjN408fEndfiQXbFQ1vnd+1NoLDsnQW41410oQBXiyXDMYH5z505juWa4KUE1LqxRC7DgOgZDbKLxHIwm27hA==",
+ "dependencies": {
+ "language-subtag-registry": "^0.3.20"
+ },
+ "engines": {
+ "node": ">=0.10"
+ }
+ },
+ "node_modules/launch-editor": {
+ "version": "2.6.1",
+ "resolved": "https://registry.npmjs.org/launch-editor/-/launch-editor-2.6.1.tgz",
+ "integrity": "sha512-eB/uXmFVpY4zezmGp5XtU21kwo7GBbKB+EQ+UZeWtGb9yAM5xt/Evk+lYH3eRNAtId+ej4u7TYPFZ07w4s7rRw==",
+ "dependencies": {
+ "picocolors": "^1.0.0",
+ "shell-quote": "^1.8.1"
+ }
+ },
+ "node_modules/leven": {
+ "version": "3.1.0",
+ "resolved": "https://registry.npmjs.org/leven/-/leven-3.1.0.tgz",
+ "integrity": "sha512-qsda+H8jTaUaN/x5vzW2rzc+8Rw4TAQ/4KjB46IwK5VH+IlVeeeje/EoZRpiXvIqjFgK84QffqPztGI3VBLG1A==",
+ "engines": {
+ "node": ">=6"
+ }
+ },
+ "node_modules/levn": {
+ "version": "0.4.1",
+ "resolved": "https://registry.npmjs.org/levn/-/levn-0.4.1.tgz",
+ "integrity": "sha512-+bT2uH4E5LGE7h/n3evcS/sQlJXCpIp6ym8OWJ5eV6+67Dsql/LaaT7qJBAt2rzfoa/5QBGBhxDix1dMt2kQKQ==",
+ "dependencies": {
+ "prelude-ls": "^1.2.1",
+ "type-check": "~0.4.0"
+ },
+ "engines": {
+ "node": ">= 0.8.0"
+ }
+ },
+ "node_modules/lie": {
+ "version": "3.1.1",
+ "resolved": "https://registry.npmjs.org/lie/-/lie-3.1.1.tgz",
+ "integrity": "sha512-RiNhHysUjhrDQntfYSfY4MU24coXXdEOgw9WGcKHNeEwffDYbF//u87M1EWaMGzuFoSbqW0C9C6lEEhDOAswfw==",
+ "dependencies": {
+ "immediate": "~3.0.5"
+ }
+ },
+ "node_modules/lilconfig": {
+ "version": "2.0.5",
+ "resolved": "https://registry.npmjs.org/lilconfig/-/lilconfig-2.0.5.tgz",
+ "integrity": "sha512-xaYmXZtTHPAw5m+xLN8ab9C+3a8YmV3asNSPOATITbtwrfbwaLJj8h66H1WMIpALCkqsIzK3h7oQ+PdX+LQ9Eg==",
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/lines-and-columns": {
+ "version": "1.2.4",
+ "resolved": "https://registry.npmjs.org/lines-and-columns/-/lines-and-columns-1.2.4.tgz",
+ "integrity": "sha512-7ylylesZQ/PV29jhEDl3Ufjo6ZX7gCqJr5F7PKrqc93v7fzSymt1BpwEU8nAUXs8qzzvqhbjhK5QZg6Mt/HkBg=="
+ },
+ "node_modules/lint-staged": {
+ "version": "12.5.0",
+ "resolved": "https://registry.npmjs.org/lint-staged/-/lint-staged-12.5.0.tgz",
+ "integrity": "sha512-BKLUjWDsKquV/JuIcoQW4MSAI3ggwEImF1+sB4zaKvyVx1wBk3FsG7UK9bpnmBTN1pm7EH2BBcMwINJzCRv12g==",
+ "dev": true,
+ "dependencies": {
+ "cli-truncate": "^3.1.0",
+ "colorette": "^2.0.16",
+ "commander": "^9.3.0",
+ "debug": "^4.3.4",
+ "execa": "^5.1.1",
+ "lilconfig": "2.0.5",
+ "listr2": "^4.0.5",
+ "micromatch": "^4.0.5",
+ "normalize-path": "^3.0.0",
+ "object-inspect": "^1.12.2",
+ "pidtree": "^0.5.0",
+ "string-argv": "^0.3.1",
+ "supports-color": "^9.2.2",
+ "yaml": "^1.10.2"
+ },
+ "bin": {
+ "lint-staged": "bin/lint-staged.js"
+ },
+ "engines": {
+ "node": "^12.20.0 || ^14.13.1 || >=16.0.0"
+ },
+ "funding": {
+ "url": "https://opencollective.com/lint-staged"
+ }
+ },
+ "node_modules/lint-staged/node_modules/supports-color": {
+ "version": "9.4.0",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-9.4.0.tgz",
+ "integrity": "sha512-VL+lNrEoIXww1coLPOmiEmK/0sGigko5COxI09KzHc2VJXJsQ37UaQ+8quuxjDeA7+KnLGTWRyOXSLLR2Wb4jw==",
+ "dev": true,
+ "engines": {
+ "node": ">=12"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/supports-color?sponsor=1"
+ }
+ },
+ "node_modules/listr2": {
+ "version": "4.0.5",
+ "resolved": "https://registry.npmjs.org/listr2/-/listr2-4.0.5.tgz",
+ "integrity": "sha512-juGHV1doQdpNT3GSTs9IUN43QJb7KHdF9uqg7Vufs/tG9VTzpFphqF4pm/ICdAABGQxsyNn9CiYA3StkI6jpwA==",
+ "dev": true,
+ "dependencies": {
+ "cli-truncate": "^2.1.0",
+ "colorette": "^2.0.16",
+ "log-update": "^4.0.0",
+ "p-map": "^4.0.0",
+ "rfdc": "^1.3.0",
+ "rxjs": "^7.5.5",
+ "through": "^2.3.8",
+ "wrap-ansi": "^7.0.0"
+ },
+ "engines": {
+ "node": ">=12"
+ },
+ "peerDependencies": {
+ "enquirer": ">= 2.3.0 < 3"
+ },
+ "peerDependenciesMeta": {
+ "enquirer": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/listr2/node_modules/ansi-styles": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-4.3.0.tgz",
+ "integrity": "sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==",
+ "dev": true,
+ "dependencies": {
+ "color-convert": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/listr2/node_modules/cli-truncate": {
+ "version": "2.1.0",
+ "resolved": "https://registry.npmjs.org/cli-truncate/-/cli-truncate-2.1.0.tgz",
+ "integrity": "sha512-n8fOixwDD6b/ObinzTrp1ZKFzbgvKZvuz/TvejnLn1aQfC6r52XEx85FmuC+3HI+JM7coBRXUvNqEU2PHVrHpg==",
+ "dev": true,
+ "dependencies": {
+ "slice-ansi": "^3.0.0",
+ "string-width": "^4.2.0"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/listr2/node_modules/color-convert": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-2.0.1.tgz",
+ "integrity": "sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==",
+ "dev": true,
+ "dependencies": {
+ "color-name": "~1.1.4"
+ },
+ "engines": {
+ "node": ">=7.0.0"
+ }
+ },
+ "node_modules/listr2/node_modules/color-name": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.4.tgz",
+ "integrity": "sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA==",
+ "dev": true
+ },
+ "node_modules/listr2/node_modules/emoji-regex": {
+ "version": "8.0.0",
+ "resolved": "https://registry.npmjs.org/emoji-regex/-/emoji-regex-8.0.0.tgz",
+ "integrity": "sha512-MSjYzcWNOA0ewAHpz0MxpYFvwg6yjy1NG3xteoqz644VCo/RPgnr1/GGt+ic3iJTzQ8Eu3TdM14SawnVUmGE6A==",
+ "dev": true
+ },
+ "node_modules/listr2/node_modules/is-fullwidth-code-point": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/is-fullwidth-code-point/-/is-fullwidth-code-point-3.0.0.tgz",
+ "integrity": "sha512-zymm5+u+sCsSWyD9qNaejV3DFvhCKclKdizYaJUuHA83RLjb7nSuGnddCHGv0hk+KY7BMAlsWeK4Ueg6EV6XQg==",
+ "dev": true,
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/listr2/node_modules/slice-ansi": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/slice-ansi/-/slice-ansi-3.0.0.tgz",
+ "integrity": "sha512-pSyv7bSTC7ig9Dcgbw9AuRNUb5k5V6oDudjZoMBSr13qpLBG7tB+zgCkARjq7xIUgdz5P1Qe8u+rSGdouOOIyQ==",
+ "dev": true,
+ "dependencies": {
+ "ansi-styles": "^4.0.0",
+ "astral-regex": "^2.0.0",
+ "is-fullwidth-code-point": "^3.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/listr2/node_modules/string-width": {
+ "version": "4.2.3",
+ "resolved": "https://registry.npmjs.org/string-width/-/string-width-4.2.3.tgz",
+ "integrity": "sha512-wKyQRQpjJ0sIp62ErSZdGsjMJWsap5oRNihHhu6G7JVO/9jIB6UyevL+tXuOqrng8j/cxKTWyWUwvSTriiZz/g==",
+ "dev": true,
+ "dependencies": {
+ "emoji-regex": "^8.0.0",
+ "is-fullwidth-code-point": "^3.0.0",
+ "strip-ansi": "^6.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/loader-runner": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/loader-runner/-/loader-runner-4.3.0.tgz",
+ "integrity": "sha512-3R/1M+yS3j5ou80Me59j7F9IMs4PXs3VqRrm0TU3AbKPxlmpoY1TNscJV/oGJXo8qCatFGTfDbY6W6ipGOYXfg==",
+ "engines": {
+ "node": ">=6.11.5"
+ }
+ },
+ "node_modules/loader-utils": {
+ "version": "2.0.4",
+ "resolved": "https://registry.npmjs.org/loader-utils/-/loader-utils-2.0.4.tgz",
+ "integrity": "sha512-xXqpXoINfFhgua9xiqD8fPFHgkoq1mmmpE92WlDbm9rNRd/EbRb+Gqf908T2DMfuHjjJlksiK2RbHVOdD/MqSw==",
+ "dependencies": {
+ "big.js": "^5.2.2",
+ "emojis-list": "^3.0.0",
+ "json5": "^2.1.2"
+ },
+ "engines": {
+ "node": ">=8.9.0"
+ }
+ },
+ "node_modules/localforage": {
+ "version": "1.10.0",
+ "resolved": "https://registry.npmjs.org/localforage/-/localforage-1.10.0.tgz",
+ "integrity": "sha512-14/H1aX7hzBBmmh7sGPd+AOMkkIrHM3Z1PAyGgZigA1H1p5O5ANnMyWzvpAETtG68/dC4pC0ncy3+PPGzXZHPg==",
+ "dependencies": {
+ "lie": "3.1.1"
+ }
+ },
+ "node_modules/locate-path": {
+ "version": "6.0.0",
+ "resolved": "https://registry.npmjs.org/locate-path/-/locate-path-6.0.0.tgz",
+ "integrity": "sha512-iPZK6eYjbxRu3uB4/WZ3EsEIMJFMqAoopl3R+zuq0UjcAm/MO6KCweDgPfP3elTztoKP3KtnVHxTn2NHBSDVUw==",
+ "dependencies": {
+ "p-locate": "^5.0.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/lodash": {
+ "version": "4.17.21",
+ "resolved": "https://registry.npmjs.org/lodash/-/lodash-4.17.21.tgz",
+ "integrity": "sha512-v2kDEe57lecTulaDIuNTPy3Ry4gLGJ6Z1O3vE1krgXZNrsQ+LFTGHVxVjcXPs17LhbZVGedAJv8XZ1tvj5FvSg=="
+ },
+ "node_modules/lodash.debounce": {
+ "version": "4.0.8",
+ "resolved": "https://registry.npmjs.org/lodash.debounce/-/lodash.debounce-4.0.8.tgz",
+ "integrity": "sha512-FT1yDzDYEoYWhnSGnpE/4Kj1fLZkDFyqRb7fNt6FdYOSxlUWAtp42Eh6Wb0rGIv/m9Bgo7x4GhQbm5Ys4SG5ow=="
+ },
+ "node_modules/lodash.memoize": {
+ "version": "4.1.2",
+ "resolved": "https://registry.npmjs.org/lodash.memoize/-/lodash.memoize-4.1.2.tgz",
+ "integrity": "sha512-t7j+NzmgnQzTAYXcsHYLgimltOV1MXHtlOWf6GjL9Kj8GK5FInw5JotxvbOs+IvV1/Dzo04/fCGfLVs7aXb4Ag=="
+ },
+ "node_modules/lodash.merge": {
+ "version": "4.6.2",
+ "resolved": "https://registry.npmjs.org/lodash.merge/-/lodash.merge-4.6.2.tgz",
+ "integrity": "sha512-0KpjqXRVvrYyCsX1swR/XTK0va6VQkQM6MNo7PqW77ByjAhoARA8EfrP1N4+KlKj8YS0ZUCtRT/YUuhyYDujIQ=="
+ },
+ "node_modules/lodash.sortby": {
+ "version": "4.7.0",
+ "resolved": "https://registry.npmjs.org/lodash.sortby/-/lodash.sortby-4.7.0.tgz",
+ "integrity": "sha512-HDWXG8isMntAyRF5vZ7xKuEvOhT4AhlRt/3czTSjvGUxjYCBVRQY48ViDHyfYz9VIoBkW4TMGQNapx+l3RUwdA=="
+ },
+ "node_modules/lodash.uniq": {
+ "version": "4.5.0",
+ "resolved": "https://registry.npmjs.org/lodash.uniq/-/lodash.uniq-4.5.0.tgz",
+ "integrity": "sha512-xfBaXQd9ryd9dlSDvnvI0lvxfLJlYAZzXomUYzLKtUeOQvOP5piqAWuGtrhWeqaXK9hhoM/iyJc5AV+XfsX3HQ=="
+ },
+ "node_modules/log-update": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/log-update/-/log-update-4.0.0.tgz",
+ "integrity": "sha512-9fkkDevMefjg0mmzWFBW8YkFP91OrizzkW3diF7CpG+S2EYdy4+TVfGwz1zeF8x7hCx1ovSPTOE9Ngib74qqUg==",
+ "dev": true,
+ "dependencies": {
+ "ansi-escapes": "^4.3.0",
+ "cli-cursor": "^3.1.0",
+ "slice-ansi": "^4.0.0",
+ "wrap-ansi": "^6.2.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/log-update/node_modules/ansi-styles": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-4.3.0.tgz",
+ "integrity": "sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==",
+ "dev": true,
+ "dependencies": {
+ "color-convert": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/log-update/node_modules/color-convert": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-2.0.1.tgz",
+ "integrity": "sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==",
+ "dev": true,
+ "dependencies": {
+ "color-name": "~1.1.4"
+ },
+ "engines": {
+ "node": ">=7.0.0"
+ }
+ },
+ "node_modules/log-update/node_modules/color-name": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.4.tgz",
+ "integrity": "sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA==",
+ "dev": true
+ },
+ "node_modules/log-update/node_modules/emoji-regex": {
+ "version": "8.0.0",
+ "resolved": "https://registry.npmjs.org/emoji-regex/-/emoji-regex-8.0.0.tgz",
+ "integrity": "sha512-MSjYzcWNOA0ewAHpz0MxpYFvwg6yjy1NG3xteoqz644VCo/RPgnr1/GGt+ic3iJTzQ8Eu3TdM14SawnVUmGE6A==",
+ "dev": true
+ },
+ "node_modules/log-update/node_modules/is-fullwidth-code-point": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/is-fullwidth-code-point/-/is-fullwidth-code-point-3.0.0.tgz",
+ "integrity": "sha512-zymm5+u+sCsSWyD9qNaejV3DFvhCKclKdizYaJUuHA83RLjb7nSuGnddCHGv0hk+KY7BMAlsWeK4Ueg6EV6XQg==",
+ "dev": true,
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/log-update/node_modules/slice-ansi": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/slice-ansi/-/slice-ansi-4.0.0.tgz",
+ "integrity": "sha512-qMCMfhY040cVHT43K9BFygqYbUPFZKHOg7K73mtTWJRb8pyP3fzf4Ixd5SzdEJQ6MRUg/WBnOLxghZtKKurENQ==",
+ "dev": true,
+ "dependencies": {
+ "ansi-styles": "^4.0.0",
+ "astral-regex": "^2.0.0",
+ "is-fullwidth-code-point": "^3.0.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/slice-ansi?sponsor=1"
+ }
+ },
+ "node_modules/log-update/node_modules/string-width": {
+ "version": "4.2.3",
+ "resolved": "https://registry.npmjs.org/string-width/-/string-width-4.2.3.tgz",
+ "integrity": "sha512-wKyQRQpjJ0sIp62ErSZdGsjMJWsap5oRNihHhu6G7JVO/9jIB6UyevL+tXuOqrng8j/cxKTWyWUwvSTriiZz/g==",
+ "dev": true,
+ "dependencies": {
+ "emoji-regex": "^8.0.0",
+ "is-fullwidth-code-point": "^3.0.0",
+ "strip-ansi": "^6.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/log-update/node_modules/wrap-ansi": {
+ "version": "6.2.0",
+ "resolved": "https://registry.npmjs.org/wrap-ansi/-/wrap-ansi-6.2.0.tgz",
+ "integrity": "sha512-r6lPcBGxZXlIcymEu7InxDMhdW0KDxpLgoFLcguasxCaJ/SOIZwINatK9KY/tf+ZrlywOKU0UDj3ATXUBfxJXA==",
+ "dev": true,
+ "dependencies": {
+ "ansi-styles": "^4.0.0",
+ "string-width": "^4.1.0",
+ "strip-ansi": "^6.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/loose-envify": {
+ "version": "1.4.0",
+ "resolved": "https://registry.npmjs.org/loose-envify/-/loose-envify-1.4.0.tgz",
+ "integrity": "sha512-lyuxPGr/Wfhrlem2CL/UcnUc1zcqKAImBDzukY7Y5F/yQiNdko6+fRLevlw1HgMySw7f611UIY408EtxRSoK3Q==",
+ "dependencies": {
+ "js-tokens": "^3.0.0 || ^4.0.0"
+ },
+ "bin": {
+ "loose-envify": "cli.js"
+ }
+ },
+ "node_modules/lower-case": {
+ "version": "2.0.2",
+ "resolved": "https://registry.npmjs.org/lower-case/-/lower-case-2.0.2.tgz",
+ "integrity": "sha512-7fm3l3NAF9WfN6W3JOmf5drwpVqX78JtoGJ3A6W0a6ZnldM41w2fV5D490psKFTpMds8TJse/eHLFFsNHHjHgg==",
+ "dependencies": {
+ "tslib": "^2.0.3"
+ }
+ },
+ "node_modules/lru-cache": {
+ "version": "5.1.1",
+ "resolved": "https://registry.npmjs.org/lru-cache/-/lru-cache-5.1.1.tgz",
+ "integrity": "sha512-KpNARQA3Iwv+jTA0utUVVbrh+Jlrr1Fv0e56GGzAFOXN7dk/FviaDW8LHmK52DlcH4WP2n6gI8vN1aesBFgo9w==",
+ "dependencies": {
+ "yallist": "^3.0.2"
+ }
+ },
+ "node_modules/lz-string": {
+ "version": "1.5.0",
+ "resolved": "https://registry.npmjs.org/lz-string/-/lz-string-1.5.0.tgz",
+ "integrity": "sha512-h5bgJWpxJNswbU7qCrV0tIKQCaS3blPDrqKWx+QxzuzL1zGUzij9XCWLrSLsJPu5t+eWA/ycetzYAO5IOMcWAQ==",
+ "dev": true,
+ "bin": {
+ "lz-string": "bin/bin.js"
+ }
+ },
+ "node_modules/magic-string": {
+ "version": "0.25.9",
+ "resolved": "https://registry.npmjs.org/magic-string/-/magic-string-0.25.9.tgz",
+ "integrity": "sha512-RmF0AsMzgt25qzqqLc1+MbHmhdx0ojF2Fvs4XnOqz2ZOBXzzkEwc/dJQZCYHAn7v1jbVOjAZfK8msRn4BxO4VQ==",
+ "dependencies": {
+ "sourcemap-codec": "^1.4.8"
+ }
+ },
+ "node_modules/make-dir": {
+ "version": "3.1.0",
+ "resolved": "https://registry.npmjs.org/make-dir/-/make-dir-3.1.0.tgz",
+ "integrity": "sha512-g3FeP20LNwhALb/6Cz6Dd4F2ngze0jz7tbzrD2wAV+o9FeNHe4rL+yK2md0J/fiSf1sa1ADhXqi5+oVwOM/eGw==",
+ "dependencies": {
+ "semver": "^6.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/makeerror": {
+ "version": "1.0.12",
+ "resolved": "https://registry.npmjs.org/makeerror/-/makeerror-1.0.12.tgz",
+ "integrity": "sha512-JmqCvUhmt43madlpFzG4BQzG2Z3m6tvQDNKdClZnO3VbIudJYmxsT0FNJMeiB2+JTSlTQTSbU8QdesVmwJcmLg==",
+ "dependencies": {
+ "tmpl": "1.0.5"
+ }
+ },
+ "node_modules/mdn-data": {
+ "version": "2.0.4",
+ "resolved": "https://registry.npmjs.org/mdn-data/-/mdn-data-2.0.4.tgz",
+ "integrity": "sha512-iV3XNKw06j5Q7mi6h+9vbx23Tv7JkjEVgKHW4pimwyDGWm0OIQntJJ+u1C6mg6mK1EaTv42XQ7w76yuzH7M2cA=="
+ },
+ "node_modules/media-typer": {
+ "version": "0.3.0",
+ "resolved": "https://registry.npmjs.org/media-typer/-/media-typer-0.3.0.tgz",
+ "integrity": "sha512-dq+qelQ9akHpcOl/gUVRTxVIOkAJ1wR3QAvb4RsVjS8oVoFjDGTc679wJYmUmknUF5HwMLOgb5O+a3KxfWapPQ==",
+ "engines": {
+ "node": ">= 0.6"
+ }
+ },
+ "node_modules/memfs": {
+ "version": "3.5.3",
+ "resolved": "https://registry.npmjs.org/memfs/-/memfs-3.5.3.tgz",
+ "integrity": "sha512-UERzLsxzllchadvbPs5aolHh65ISpKpM+ccLbOJ8/vvpBKmAWf+la7dXFy7Mr0ySHbdHrFv5kGFCUHHe6GFEmw==",
+ "dependencies": {
+ "fs-monkey": "^1.0.4"
+ },
+ "engines": {
+ "node": ">= 4.0.0"
+ }
+ },
+ "node_modules/merge-descriptors": {
+ "version": "1.0.1",
+ "resolved": "https://registry.npmjs.org/merge-descriptors/-/merge-descriptors-1.0.1.tgz",
+ "integrity": "sha512-cCi6g3/Zr1iqQi6ySbseM1Xvooa98N0w31jzUYrXPX2xqObmFGHJ0tQ5u74H3mVh7wLouTseZyYIq39g8cNp1w=="
+ },
+ "node_modules/merge-stream": {
+ "version": "2.0.0",
+ "resolved": "https://registry.npmjs.org/merge-stream/-/merge-stream-2.0.0.tgz",
+ "integrity": "sha512-abv/qOcuPfk3URPfDzmZU1LKmuw8kT+0nIHvKrKgFrwifol/doWcdA4ZqsWQ8ENrFKkd67Mfpo/LovbIUsbt3w=="
+ },
+ "node_modules/merge2": {
+ "version": "1.4.1",
+ "resolved": "https://registry.npmjs.org/merge2/-/merge2-1.4.1.tgz",
+ "integrity": "sha512-8q7VEgMJW4J8tcfVPy8g09NcQwZdbwFEqhe/WZkoIzjn/3TGDwtOCYtXGxA3O8tPzpczCCDgv+P2P5y00ZJOOg==",
+ "engines": {
+ "node": ">= 8"
+ }
+ },
+ "node_modules/methods": {
+ "version": "1.1.2",
+ "resolved": "https://registry.npmjs.org/methods/-/methods-1.1.2.tgz",
+ "integrity": "sha512-iclAHeNqNm68zFtnZ0e+1L2yUIdvzNoauKU4WBA3VvH/vPFieF7qfRlwUZU+DA9P9bPXIS90ulxoUoCH23sV2w==",
+ "engines": {
+ "node": ">= 0.6"
+ }
+ },
+ "node_modules/micromatch": {
+ "version": "4.0.5",
+ "resolved": "https://registry.npmjs.org/micromatch/-/micromatch-4.0.5.tgz",
+ "integrity": "sha512-DMy+ERcEW2q8Z2Po+WNXuw3c5YaUSFjAO5GsJqfEl7UjvtIuFKO6ZrKvcItdy98dwFI2N1tg3zNIdKaQT+aNdA==",
+ "dependencies": {
+ "braces": "^3.0.2",
+ "picomatch": "^2.3.1"
+ },
+ "engines": {
+ "node": ">=8.6"
+ }
+ },
+ "node_modules/mime": {
+ "version": "1.6.0",
+ "resolved": "https://registry.npmjs.org/mime/-/mime-1.6.0.tgz",
+ "integrity": "sha512-x0Vn8spI+wuJ1O6S7gnbaQg8Pxh4NNHb7KSINmEWKiPE4RKOplvijn+NkmYmmRgP68mc70j2EbeTFRsrswaQeg==",
+ "bin": {
+ "mime": "cli.js"
+ },
+ "engines": {
+ "node": ">=4"
+ }
+ },
+ "node_modules/mime-db": {
+ "version": "1.52.0",
+ "resolved": "https://registry.npmjs.org/mime-db/-/mime-db-1.52.0.tgz",
+ "integrity": "sha512-sPU4uV7dYlvtWJxwwxHD0PuihVNiE7TyAbQ5SWxDCB9mUYvOgroQOwYQQOKPJ8CIbE+1ETVlOoK1UC2nU3gYvg==",
+ "engines": {
+ "node": ">= 0.6"
+ }
+ },
+ "node_modules/mime-types": {
+ "version": "2.1.35",
+ "resolved": "https://registry.npmjs.org/mime-types/-/mime-types-2.1.35.tgz",
+ "integrity": "sha512-ZDY+bPm5zTTF+YpCrAU9nK0UgICYPT0QtT1NZWFv4s++TNkcgVaT0g6+4R2uI4MjQjzysHB1zxuWL50hzaeXiw==",
+ "dependencies": {
+ "mime-db": "1.52.0"
+ },
+ "engines": {
+ "node": ">= 0.6"
+ }
+ },
+ "node_modules/mimic-fn": {
+ "version": "2.1.0",
+ "resolved": "https://registry.npmjs.org/mimic-fn/-/mimic-fn-2.1.0.tgz",
+ "integrity": "sha512-OqbOk5oEQeAZ8WXWydlu9HJjz9WVdEIvamMCcXmuqUYjTknH/sqsWvhQ3vgwKFRR1HpjvNBKQ37nbJgYzGqGcg==",
+ "engines": {
+ "node": ">=6"
+ }
+ },
+ "node_modules/min-indent": {
+ "version": "1.0.1",
+ "resolved": "https://registry.npmjs.org/min-indent/-/min-indent-1.0.1.tgz",
+ "integrity": "sha512-I9jwMn07Sy/IwOj3zVkVik2JTvgpaykDZEigL6Rx6N9LbMywwUSMtxET+7lVoDLLd3O3IXwJwvuuns8UB/HeAg==",
+ "dev": true,
+ "engines": {
+ "node": ">=4"
+ }
+ },
+ "node_modules/mini-css-extract-plugin": {
+ "version": "2.8.0",
+ "resolved": "https://registry.npmjs.org/mini-css-extract-plugin/-/mini-css-extract-plugin-2.8.0.tgz",
+ "integrity": "sha512-CxmUYPFcTgET1zImteG/LZOy/4T5rTojesQXkSNBiquhydn78tfbCE9sjIjnJ/UcjNjOC1bphTCCW5rrS7cXAg==",
+ "dependencies": {
+ "schema-utils": "^4.0.0",
+ "tapable": "^2.2.1"
+ },
+ "engines": {
+ "node": ">= 12.13.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/webpack"
+ },
+ "peerDependencies": {
+ "webpack": "^5.0.0"
+ }
+ },
+ "node_modules/mini-css-extract-plugin/node_modules/ajv": {
+ "version": "8.12.0",
+ "resolved": "https://registry.npmjs.org/ajv/-/ajv-8.12.0.tgz",
+ "integrity": "sha512-sRu1kpcO9yLtYxBKvqfTeh9KzZEwO3STyX1HT+4CaDzC6HpTGYhIhPIzj9XuKU7KYDwnaeh5hcOwjy1QuJzBPA==",
+ "dependencies": {
+ "fast-deep-equal": "^3.1.1",
+ "json-schema-traverse": "^1.0.0",
+ "require-from-string": "^2.0.2",
+ "uri-js": "^4.2.2"
+ },
+ "funding": {
+ "type": "github",
+ "url": "https://github.com/sponsors/epoberezkin"
+ }
+ },
+ "node_modules/mini-css-extract-plugin/node_modules/ajv-keywords": {
+ "version": "5.1.0",
+ "resolved": "https://registry.npmjs.org/ajv-keywords/-/ajv-keywords-5.1.0.tgz",
+ "integrity": "sha512-YCS/JNFAUyr5vAuhk1DWm1CBxRHW9LbJ2ozWeemrIqpbsqKjHVxYPyi5GC0rjZIT5JxJ3virVTS8wk4i/Z+krw==",
+ "dependencies": {
+ "fast-deep-equal": "^3.1.3"
+ },
+ "peerDependencies": {
+ "ajv": "^8.8.2"
+ }
+ },
+ "node_modules/mini-css-extract-plugin/node_modules/fast-deep-equal": {
+ "version": "3.1.3",
+ "resolved": "https://registry.npmjs.org/fast-deep-equal/-/fast-deep-equal-3.1.3.tgz",
+ "integrity": "sha512-f3qQ9oQy9j2AhBe/H9VC91wLmKBCCU/gDOnKNAYG5hswO7BLKj09Hc5HYNz9cGI++xlpDCIgDaitVs03ATR84Q=="
+ },
+ "node_modules/mini-css-extract-plugin/node_modules/json-schema-traverse": {
+ "version": "1.0.0",
+ "resolved": "https://registry.npmjs.org/json-schema-traverse/-/json-schema-traverse-1.0.0.tgz",
+ "integrity": "sha512-NM8/P9n3XjXhIZn1lLhkFaACTOURQXjWhV4BA/RnOv8xvgqtqpAX9IO4mRQxSx1Rlo4tqzeqb0sOlruaOy3dug=="
+ },
+ "node_modules/mini-css-extract-plugin/node_modules/schema-utils": {
+ "version": "4.2.0",
+ "resolved": "https://registry.npmjs.org/schema-utils/-/schema-utils-4.2.0.tgz",
+ "integrity": "sha512-L0jRsrPpjdckP3oPug3/VxNKt2trR8TcabrM6FOAAlvC/9Phcmm+cuAgTlxBqdBR1WJx7Naj9WHw+aOmheSVbw==",
+ "dependencies": {
+ "@types/json-schema": "^7.0.9",
+ "ajv": "^8.9.0",
+ "ajv-formats": "^2.1.1",
+ "ajv-keywords": "^5.1.0"
+ },
+ "engines": {
+ "node": ">= 12.13.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/webpack"
+ }
+ },
+ "node_modules/minimalistic-assert": {
+ "version": "1.0.1",
+ "resolved": "https://registry.npmjs.org/minimalistic-assert/-/minimalistic-assert-1.0.1.tgz",
+ "integrity": "sha512-UtJcAD4yEaGtjPezWuO9wC4nwUnVH/8/Im3yEHQP4b67cXlD/Qr9hdITCU1xDbSEXg2XKNaP8jsReV7vQd00/A=="
+ },
+ "node_modules/minimatch": {
+ "version": "3.1.2",
+ "resolved": "https://registry.npmjs.org/minimatch/-/minimatch-3.1.2.tgz",
+ "integrity": "sha512-J7p63hRiAjw1NDEww1W7i37+ByIrOWO5XQQAzZ3VOcL0PNybwpfmV/N05zFAzwQ9USyEcX6t3UO+K5aqBQOIHw==",
+ "dependencies": {
+ "brace-expansion": "^1.1.7"
+ },
+ "engines": {
+ "node": "*"
+ }
+ },
+ "node_modules/minimist": {
+ "version": "1.2.8",
+ "resolved": "https://registry.npmjs.org/minimist/-/minimist-1.2.8.tgz",
+ "integrity": "sha512-2yyAR8qBkN3YuheJanUpWC5U3bb5osDywNB8RzDVlDwDHbocAJveqqj1u8+SVD7jkWT4yvsHCpWqqWqAxb0zCA==",
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/minipass": {
+ "version": "7.0.4",
+ "resolved": "https://registry.npmjs.org/minipass/-/minipass-7.0.4.tgz",
+ "integrity": "sha512-jYofLM5Dam9279rdkWzqHozUo4ybjdZmCsDHePy5V/PbBcVMiSZR97gmAy45aqi8CK1lG2ECd356FU86avfwUQ==",
+ "engines": {
+ "node": ">=16 || 14 >=14.17"
+ }
+ },
+ "node_modules/mkdirp": {
+ "version": "0.5.6",
+ "resolved": "https://registry.npmjs.org/mkdirp/-/mkdirp-0.5.6.tgz",
+ "integrity": "sha512-FP+p8RB8OWpF3YZBCrP5gtADmtXApB5AMLn+vdyA+PyxCjrCs00mjyUozssO33cwDeT3wNGdLxJ5M//YqtHAJw==",
+ "dependencies": {
+ "minimist": "^1.2.6"
+ },
+ "bin": {
+ "mkdirp": "bin/cmd.js"
+ }
+ },
+ "node_modules/ms": {
+ "version": "2.1.2",
+ "resolved": "https://registry.npmjs.org/ms/-/ms-2.1.2.tgz",
+ "integrity": "sha512-sGkPx+VjMtmA6MX27oA4FBFELFCZZ4S4XqeGOXCv68tT+jb3vk/RyaKWP0PTKyWtmLSM0b+adUTEvbs1PEaH2w=="
+ },
+ "node_modules/multicast-dns": {
+ "version": "7.2.5",
+ "resolved": "https://registry.npmjs.org/multicast-dns/-/multicast-dns-7.2.5.tgz",
+ "integrity": "sha512-2eznPJP8z2BFLX50tf0LuODrpINqP1RVIm/CObbTcBRITQgmC/TjcREF1NeTBzIcR5XO/ukWo+YHOjBbFwIupg==",
+ "dependencies": {
+ "dns-packet": "^5.2.2",
+ "thunky": "^1.0.2"
+ },
+ "bin": {
+ "multicast-dns": "cli.js"
+ }
+ },
+ "node_modules/mz": {
+ "version": "2.7.0",
+ "resolved": "https://registry.npmjs.org/mz/-/mz-2.7.0.tgz",
+ "integrity": "sha512-z81GNO7nnYMEhrGh9LeymoE4+Yr0Wn5McHIZMK5cfQCl+NDX08sCZgUc9/6MHni9IWuFLm1Z3HTCXu2z9fN62Q==",
+ "dependencies": {
+ "any-promise": "^1.0.0",
+ "object-assign": "^4.0.1",
+ "thenify-all": "^1.0.0"
+ }
+ },
+ "node_modules/nanoid": {
+ "version": "3.3.7",
+ "resolved": "https://registry.npmjs.org/nanoid/-/nanoid-3.3.7.tgz",
+ "integrity": "sha512-eSRppjcPIatRIMC1U6UngP8XFcz8MQWGQdt1MTBQ7NaAmvXDfvNxbvWV3x2y6CdEUciCSsDHDQZbhYaB8QEo2g==",
+ "funding": [
+ {
+ "type": "github",
+ "url": "https://github.com/sponsors/ai"
+ }
+ ],
+ "bin": {
+ "nanoid": "bin/nanoid.cjs"
+ },
+ "engines": {
+ "node": "^10 || ^12 || ^13.7 || ^14 || >=15.0.1"
+ }
+ },
+ "node_modules/natural-compare": {
+ "version": "1.4.0",
+ "resolved": "https://registry.npmjs.org/natural-compare/-/natural-compare-1.4.0.tgz",
+ "integrity": "sha512-OWND8ei3VtNC9h7V60qff3SVobHr996CTwgxubgyQYEpg290h9J0buyECNNJexkFm5sOajh5G116RYA1c8ZMSw=="
+ },
+ "node_modules/natural-compare-lite": {
+ "version": "1.4.0",
+ "resolved": "https://registry.npmjs.org/natural-compare-lite/-/natural-compare-lite-1.4.0.tgz",
+ "integrity": "sha512-Tj+HTDSJJKaZnfiuw+iaF9skdPpTo2GtEly5JHnWV/hfv2Qj/9RKsGISQtLh2ox3l5EAGw487hnBee0sIJ6v2g=="
+ },
+ "node_modules/negotiator": {
+ "version": "0.6.3",
+ "resolved": "https://registry.npmjs.org/negotiator/-/negotiator-0.6.3.tgz",
+ "integrity": "sha512-+EUsqGPLsM+j/zdChZjsnX51g4XrHFOIXwfnCVPGlQk/k5giakcKsuxCObBRu6DSm9opw/O6slWbJdghQM4bBg==",
+ "engines": {
+ "node": ">= 0.6"
+ }
+ },
+ "node_modules/neo-async": {
+ "version": "2.6.2",
+ "resolved": "https://registry.npmjs.org/neo-async/-/neo-async-2.6.2.tgz",
+ "integrity": "sha512-Yd3UES5mWCSqR+qNT93S3UoYUkqAZ9lLg8a7g9rimsWmYGK8cVToA4/sF3RrshdyV3sAGMXVUmpMYOw+dLpOuw=="
+ },
+ "node_modules/no-case": {
+ "version": "3.0.4",
+ "resolved": "https://registry.npmjs.org/no-case/-/no-case-3.0.4.tgz",
+ "integrity": "sha512-fgAN3jGAh+RoxUGZHTSOLJIqUc2wmoBwGR4tbpNAKmmovFoWq0OdRkb0VkldReO2a2iBT/OEulG9XSUc10r3zg==",
+ "dependencies": {
+ "lower-case": "^2.0.2",
+ "tslib": "^2.0.3"
+ }
+ },
+ "node_modules/node-forge": {
+ "version": "1.3.1",
+ "resolved": "https://registry.npmjs.org/node-forge/-/node-forge-1.3.1.tgz",
+ "integrity": "sha512-dPEtOeMvF9VMcYV/1Wb8CPoVAXtp6MKMlcbAt4ddqmGqUJ6fQZFXkNZNkNlfevtNkGtaSoXf/vNNNSvgrdXwtA==",
+ "engines": {
+ "node": ">= 6.13.0"
+ }
+ },
+ "node_modules/node-int64": {
+ "version": "0.4.0",
+ "resolved": "https://registry.npmjs.org/node-int64/-/node-int64-0.4.0.tgz",
+ "integrity": "sha512-O5lz91xSOeoXP6DulyHfllpq+Eg00MWitZIbtPfoSEvqIHdl5gfcY6hYzDWnj0qD5tz52PI08u9qUvSVeUBeHw=="
+ },
+ "node_modules/node-releases": {
+ "version": "2.0.14",
+ "resolved": "https://registry.npmjs.org/node-releases/-/node-releases-2.0.14.tgz",
+ "integrity": "sha512-y10wOWt8yZpqXmOgRo77WaHEmhYQYGNA6y421PKsKYWEK8aW+cqAphborZDhqfyKrbZEN92CN1X2KbafY2s7Yw=="
+ },
+ "node_modules/normalize-path": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/normalize-path/-/normalize-path-3.0.0.tgz",
+ "integrity": "sha512-6eZs5Ls3WtCisHWp9S2GUy8dqkpGi4BVSz3GaqiE6ezub0512ESztXUwUB6C6IKbQkY2Pnb/mD4WYojCRwcwLA==",
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/normalize-range": {
+ "version": "0.1.2",
+ "resolved": "https://registry.npmjs.org/normalize-range/-/normalize-range-0.1.2.tgz",
+ "integrity": "sha512-bdok/XvKII3nUpklnV6P2hxtMNrCboOjAcyBuQnWEhO665FwrSNRxU+AqpsyvO6LgGYPspN+lu5CLtw4jPRKNA==",
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/normalize-url": {
+ "version": "6.1.0",
+ "resolved": "https://registry.npmjs.org/normalize-url/-/normalize-url-6.1.0.tgz",
+ "integrity": "sha512-DlL+XwOy3NxAQ8xuC0okPgK46iuVNAK01YN7RueYBqqFeGsBjV9XmCAzAdgt+667bCl5kPh9EqKKDwnaPG1I7A==",
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/npm-run-path": {
+ "version": "4.0.1",
+ "resolved": "https://registry.npmjs.org/npm-run-path/-/npm-run-path-4.0.1.tgz",
+ "integrity": "sha512-S48WzZW777zhNIrn7gxOlISNAqi9ZC/uQFnRdbeIHhZhCA6UqpkOT8T1G7BvfdgP4Er8gF4sUbaS0i7QvIfCWw==",
+ "dependencies": {
+ "path-key": "^3.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/nth-check": {
+ "version": "2.1.1",
+ "resolved": "https://registry.npmjs.org/nth-check/-/nth-check-2.1.1.tgz",
+ "integrity": "sha512-lqjrjmaOoAnWfMmBPL+XNnynZh2+swxiX3WUE0s4yEHI6m+AwrK2UZOimIRl3X/4QctVqS8AiZjFqyOGrMXb/w==",
+ "dependencies": {
+ "boolbase": "^1.0.0"
+ },
+ "funding": {
+ "url": "https://github.com/fb55/nth-check?sponsor=1"
+ }
+ },
+ "node_modules/nwsapi": {
+ "version": "2.2.7",
+ "resolved": "https://registry.npmjs.org/nwsapi/-/nwsapi-2.2.7.tgz",
+ "integrity": "sha512-ub5E4+FBPKwAZx0UwIQOjYWGHTEq5sPqHQNRN8Z9e4A7u3Tj1weLJsL59yH9vmvqEtBHaOmT6cYQKIZOxp35FQ=="
+ },
+ "node_modules/object-assign": {
+ "version": "4.1.1",
+ "resolved": "https://registry.npmjs.org/object-assign/-/object-assign-4.1.1.tgz",
+ "integrity": "sha512-rJgTQnkUnH1sFw8yT6VSU3zD3sWmu6sZhIseY8VX+GRu3P6F7Fu+JNDoXfklElbLJSnc3FUQHVe4cU5hj+BcUg==",
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/object-hash": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/object-hash/-/object-hash-3.0.0.tgz",
+ "integrity": "sha512-RSn9F68PjH9HqtltsSnqYC1XXoWe9Bju5+213R98cNGttag9q9yAOTzdbsqvIa7aNm5WffBZFpWYr2aWrklWAw==",
+ "engines": {
+ "node": ">= 6"
+ }
+ },
+ "node_modules/object-inspect": {
+ "version": "1.13.1",
+ "resolved": "https://registry.npmjs.org/object-inspect/-/object-inspect-1.13.1.tgz",
+ "integrity": "sha512-5qoj1RUiKOMsCCNLV1CBiPYE10sziTsnmNxkAI/rZhiD63CF7IqdFGC/XzjWjpSgLf0LxXX3bDFIh0E18f6UhQ==",
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/object-is": {
+ "version": "1.1.5",
+ "resolved": "https://registry.npmjs.org/object-is/-/object-is-1.1.5.tgz",
+ "integrity": "sha512-3cyDsyHgtmi7I7DfSSI2LDp6SK2lwvtbg0p0R1e0RvTqF5ceGx+K2dfSjm1bKDMVCFEDAQvy+o8c6a7VujOddw==",
+ "dev": true,
+ "dependencies": {
+ "call-bind": "^1.0.2",
+ "define-properties": "^1.1.3"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/object-keys": {
+ "version": "1.1.1",
+ "resolved": "https://registry.npmjs.org/object-keys/-/object-keys-1.1.1.tgz",
+ "integrity": "sha512-NuAESUOUMrlIXOfHKzD6bpPu3tYt3xvjNdRIQ+FeT0lNb4K8WR70CaDxhuNguS2XG+GjkyMwOzsN5ZktImfhLA==",
+ "engines": {
+ "node": ">= 0.4"
+ }
+ },
+ "node_modules/object.assign": {
+ "version": "4.1.5",
+ "resolved": "https://registry.npmjs.org/object.assign/-/object.assign-4.1.5.tgz",
+ "integrity": "sha512-byy+U7gp+FVwmyzKPYhW2h5l3crpmGsxl7X2s8y43IgxvG4g3QZ6CffDtsNQy1WsmZpQbO+ybo0AlW7TY6DcBQ==",
+ "dependencies": {
+ "call-bind": "^1.0.5",
+ "define-properties": "^1.2.1",
+ "has-symbols": "^1.0.3",
+ "object-keys": "^1.1.1"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/object.entries": {
+ "version": "1.1.7",
+ "resolved": "https://registry.npmjs.org/object.entries/-/object.entries-1.1.7.tgz",
+ "integrity": "sha512-jCBs/0plmPsOnrKAfFQXRG2NFjlhZgjjcBLSmTnEhU8U6vVTsVe8ANeQJCHTl3gSsI4J+0emOoCgoKlmQPMgmA==",
+ "dependencies": {
+ "call-bind": "^1.0.2",
+ "define-properties": "^1.2.0",
+ "es-abstract": "^1.22.1"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ }
+ },
+ "node_modules/object.fromentries": {
+ "version": "2.0.7",
+ "resolved": "https://registry.npmjs.org/object.fromentries/-/object.fromentries-2.0.7.tgz",
+ "integrity": "sha512-UPbPHML6sL8PI/mOqPwsH4G6iyXcCGzLin8KvEPenOZN5lpCNBZZQ+V62vdjB1mQHrmqGQt5/OJzemUA+KJmEA==",
+ "dependencies": {
+ "call-bind": "^1.0.2",
+ "define-properties": "^1.2.0",
+ "es-abstract": "^1.22.1"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/object.getownpropertydescriptors": {
+ "version": "2.1.7",
+ "resolved": "https://registry.npmjs.org/object.getownpropertydescriptors/-/object.getownpropertydescriptors-2.1.7.tgz",
+ "integrity": "sha512-PrJz0C2xJ58FNn11XV2lr4Jt5Gzl94qpy9Lu0JlfEj14z88sqbSBJCBEzdlNUCzY2gburhbrwOZ5BHCmuNUy0g==",
+ "dependencies": {
+ "array.prototype.reduce": "^1.0.6",
+ "call-bind": "^1.0.2",
+ "define-properties": "^1.2.0",
+ "es-abstract": "^1.22.1",
+ "safe-array-concat": "^1.0.0"
+ },
+ "engines": {
+ "node": ">= 0.8"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/object.groupby": {
+ "version": "1.0.2",
+ "resolved": "https://registry.npmjs.org/object.groupby/-/object.groupby-1.0.2.tgz",
+ "integrity": "sha512-bzBq58S+x+uo0VjurFT0UktpKHOZmv4/xePiOA1nbB9pMqpGK7rUPNgf+1YC+7mE+0HzhTMqNUuCqvKhj6FnBw==",
+ "dependencies": {
+ "array.prototype.filter": "^1.0.3",
+ "call-bind": "^1.0.5",
+ "define-properties": "^1.2.1",
+ "es-abstract": "^1.22.3",
+ "es-errors": "^1.0.0"
+ }
+ },
+ "node_modules/object.hasown": {
+ "version": "1.1.3",
+ "resolved": "https://registry.npmjs.org/object.hasown/-/object.hasown-1.1.3.tgz",
+ "integrity": "sha512-fFI4VcYpRHvSLXxP7yiZOMAd331cPfd2p7PFDVbgUsYOfCT3tICVqXWngbjr4m49OvsBwUBQ6O2uQoJvy3RexA==",
+ "dependencies": {
+ "define-properties": "^1.2.0",
+ "es-abstract": "^1.22.1"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/object.values": {
+ "version": "1.1.7",
+ "resolved": "https://registry.npmjs.org/object.values/-/object.values-1.1.7.tgz",
+ "integrity": "sha512-aU6xnDFYT3x17e/f0IiiwlGPTy2jzMySGfUB4fq6z7CV8l85CWHDk5ErhyhpfDHhrOMwGFhSQkhMGHaIotA6Ng==",
+ "dependencies": {
+ "call-bind": "^1.0.2",
+ "define-properties": "^1.2.0",
+ "es-abstract": "^1.22.1"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/obuf": {
+ "version": "1.1.2",
+ "resolved": "https://registry.npmjs.org/obuf/-/obuf-1.1.2.tgz",
+ "integrity": "sha512-PX1wu0AmAdPqOL1mWhqmlOd8kOIZQwGZw6rh7uby9fTc5lhaOWFLX3I6R1hrF9k3zUY40e6igsLGkDXK92LJNg=="
+ },
+ "node_modules/on-finished": {
+ "version": "2.4.1",
+ "resolved": "https://registry.npmjs.org/on-finished/-/on-finished-2.4.1.tgz",
+ "integrity": "sha512-oVlzkg3ENAhCk2zdv7IJwd/QUD4z2RxRwpkcGY8psCVcCYZNq4wYnVWALHM+brtuJjePWiYF/ClmuDr8Ch5+kg==",
+ "dependencies": {
+ "ee-first": "1.1.1"
+ },
+ "engines": {
+ "node": ">= 0.8"
+ }
+ },
+ "node_modules/on-headers": {
+ "version": "1.0.2",
+ "resolved": "https://registry.npmjs.org/on-headers/-/on-headers-1.0.2.tgz",
+ "integrity": "sha512-pZAE+FJLoyITytdqK0U5s+FIpjN0JP3OzFi/u8Rx+EV5/W+JTWGXG8xFzevE7AjBfDqHv/8vL8qQsIhHnqRkrA==",
+ "engines": {
+ "node": ">= 0.8"
+ }
+ },
+ "node_modules/once": {
+ "version": "1.4.0",
+ "resolved": "https://registry.npmjs.org/once/-/once-1.4.0.tgz",
+ "integrity": "sha512-lNaJgI+2Q5URQBkccEKHTQOPaXdUxnZZElQTZY0MFUAuaEqe1E+Nyvgdz/aIyNi6Z9MzO5dv1H8n58/GELp3+w==",
+ "dependencies": {
+ "wrappy": "1"
+ }
+ },
+ "node_modules/onetime": {
+ "version": "5.1.2",
+ "resolved": "https://registry.npmjs.org/onetime/-/onetime-5.1.2.tgz",
+ "integrity": "sha512-kbpaSSGJTWdAY5KPVeMOKXSrPtr8C8C7wodJbcsd51jRnmD+GZu8Y0VoU6Dm5Z4vWr0Ig/1NKuWRKf7j5aaYSg==",
+ "dependencies": {
+ "mimic-fn": "^2.1.0"
+ },
+ "engines": {
+ "node": ">=6"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/open": {
+ "version": "8.4.2",
+ "resolved": "https://registry.npmjs.org/open/-/open-8.4.2.tgz",
+ "integrity": "sha512-7x81NCL719oNbsq/3mh+hVrAWmFuEYUqrq/Iw3kUzH8ReypT9QQ0BLoJS7/G9k6N81XjW4qHWtjWwe/9eLy1EQ==",
+ "dependencies": {
+ "define-lazy-prop": "^2.0.0",
+ "is-docker": "^2.1.1",
+ "is-wsl": "^2.2.0"
+ },
+ "engines": {
+ "node": ">=12"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/optionator": {
+ "version": "0.9.3",
+ "resolved": "https://registry.npmjs.org/optionator/-/optionator-0.9.3.tgz",
+ "integrity": "sha512-JjCoypp+jKn1ttEFExxhetCKeJt9zhAgAve5FXHixTvFDW/5aEktX9bufBKLRRMdU7bNtpLfcGu94B3cdEJgjg==",
+ "dependencies": {
+ "@aashutoshrathi/word-wrap": "^1.2.3",
+ "deep-is": "^0.1.3",
+ "fast-levenshtein": "^2.0.6",
+ "levn": "^0.4.1",
+ "prelude-ls": "^1.2.1",
+ "type-check": "^0.4.0"
+ },
+ "engines": {
+ "node": ">= 0.8.0"
+ }
+ },
+ "node_modules/p-limit": {
+ "version": "3.1.0",
+ "resolved": "https://registry.npmjs.org/p-limit/-/p-limit-3.1.0.tgz",
+ "integrity": "sha512-TYOanM3wGwNGsZN2cVTYPArw454xnXj5qmWF1bEoAc4+cU/ol7GVh7odevjp1FNHduHc3KZMcFduxU5Xc6uJRQ==",
+ "dependencies": {
+ "yocto-queue": "^0.1.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/p-locate": {
+ "version": "5.0.0",
+ "resolved": "https://registry.npmjs.org/p-locate/-/p-locate-5.0.0.tgz",
+ "integrity": "sha512-LaNjtRWUBY++zB5nE/NwcaoMylSPk+S+ZHNB1TzdbMJMny6dynpAGt7X/tl/QYq3TIeE6nxHppbo2LGymrG5Pw==",
+ "dependencies": {
+ "p-limit": "^3.0.2"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/p-map": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/p-map/-/p-map-4.0.0.tgz",
+ "integrity": "sha512-/bjOqmgETBYB5BoEeGVea8dmvHb2m9GLy1E9W43yeyfP6QQCZGFNa+XRceJEuDB6zqr+gKpIAmlLebMpykw/MQ==",
+ "dev": true,
+ "dependencies": {
+ "aggregate-error": "^3.0.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/p-retry": {
+ "version": "4.6.2",
+ "resolved": "https://registry.npmjs.org/p-retry/-/p-retry-4.6.2.tgz",
+ "integrity": "sha512-312Id396EbJdvRONlngUx0NydfrIQ5lsYu0znKVUzVvArzEIt08V1qhtyESbGVd1FGX7UKtiFp5uwKZdM8wIuQ==",
+ "dependencies": {
+ "@types/retry": "0.12.0",
+ "retry": "^0.13.1"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/p-try": {
+ "version": "2.2.0",
+ "resolved": "https://registry.npmjs.org/p-try/-/p-try-2.2.0.tgz",
+ "integrity": "sha512-R4nPAVTAU0B9D35/Gk3uJf/7XYbQcyohSKdvAxIRSNghFl4e71hVoGnBNQz9cWaXxO2I10KTC+3jMdvvoKw6dQ==",
+ "engines": {
+ "node": ">=6"
+ }
+ },
+ "node_modules/param-case": {
+ "version": "3.0.4",
+ "resolved": "https://registry.npmjs.org/param-case/-/param-case-3.0.4.tgz",
+ "integrity": "sha512-RXlj7zCYokReqWpOPH9oYivUzLYZ5vAPIfEmCTNViosC78F8F0H9y7T7gG2M39ymgutxF5gcFEsyZQSph9Bp3A==",
+ "dependencies": {
+ "dot-case": "^3.0.4",
+ "tslib": "^2.0.3"
+ }
+ },
+ "node_modules/parent-module": {
+ "version": "1.0.1",
+ "resolved": "https://registry.npmjs.org/parent-module/-/parent-module-1.0.1.tgz",
+ "integrity": "sha512-GQ2EWRpQV8/o+Aw8YqtfZZPfNRWZYkbidE9k5rpl/hC3vtHHBfGm2Ifi6qWV+coDGkrUKZAxE3Lot5kcsRlh+g==",
+ "dependencies": {
+ "callsites": "^3.0.0"
+ },
+ "engines": {
+ "node": ">=6"
+ }
+ },
+ "node_modules/parse-json": {
+ "version": "5.2.0",
+ "resolved": "https://registry.npmjs.org/parse-json/-/parse-json-5.2.0.tgz",
+ "integrity": "sha512-ayCKvm/phCGxOkYRSCM82iDwct8/EonSEgCSxWxD7ve6jHggsFl4fZVQBPRNgQoKiuV/odhFrGzQXZwbifC8Rg==",
+ "dependencies": {
+ "@babel/code-frame": "^7.0.0",
+ "error-ex": "^1.3.1",
+ "json-parse-even-better-errors": "^2.3.0",
+ "lines-and-columns": "^1.1.6"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/parse5": {
+ "version": "6.0.1",
+ "resolved": "https://registry.npmjs.org/parse5/-/parse5-6.0.1.tgz",
+ "integrity": "sha512-Ofn/CTFzRGTTxwpNEs9PP93gXShHcTq255nzRYSKe8AkVpZY7e1fpmTfOyoIvjP5HG7Z2ZM7VS9PPhQGW2pOpw=="
+ },
+ "node_modules/parseurl": {
+ "version": "1.3.3",
+ "resolved": "https://registry.npmjs.org/parseurl/-/parseurl-1.3.3.tgz",
+ "integrity": "sha512-CiyeOxFT/JZyN5m0z9PfXw4SCBJ6Sygz1Dpl0wqjlhDEGGBP1GnsUVEL0p63hoG1fcj3fHynXi9NYO4nWOL+qQ==",
+ "engines": {
+ "node": ">= 0.8"
+ }
+ },
+ "node_modules/pascal-case": {
+ "version": "3.1.2",
+ "resolved": "https://registry.npmjs.org/pascal-case/-/pascal-case-3.1.2.tgz",
+ "integrity": "sha512-uWlGT3YSnK9x3BQJaOdcZwrnV6hPpd8jFH1/ucpiLRPh/2zCVJKS19E4GvYHvaCcACn3foXZ0cLB9Wrx1KGe5g==",
+ "dependencies": {
+ "no-case": "^3.0.4",
+ "tslib": "^2.0.3"
+ }
+ },
+ "node_modules/path-exists": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/path-exists/-/path-exists-4.0.0.tgz",
+ "integrity": "sha512-ak9Qy5Q7jYb2Wwcey5Fpvg2KoAc/ZIhLSLOSBmRmygPsGwkVVt0fZa0qrtMz+m6tJTAHfZQ8FnmB4MG4LWy7/w==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/path-is-absolute": {
+ "version": "1.0.1",
+ "resolved": "https://registry.npmjs.org/path-is-absolute/-/path-is-absolute-1.0.1.tgz",
+ "integrity": "sha512-AVbw3UJ2e9bq64vSaS9Am0fje1Pa8pbGqTTsmXfaIiMpnr5DlDhfJOuLj9Sf95ZPVDAUerDfEk88MPmPe7UCQg==",
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/path-key": {
+ "version": "3.1.1",
+ "resolved": "https://registry.npmjs.org/path-key/-/path-key-3.1.1.tgz",
+ "integrity": "sha512-ojmeN0qd+y0jszEtoY48r0Peq5dwMEkIlCOu6Q5f41lfkswXuKtYrhgoTpLnyIcHm24Uhqx+5Tqm2InSwLhE6Q==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/path-parse": {
+ "version": "1.0.7",
+ "resolved": "https://registry.npmjs.org/path-parse/-/path-parse-1.0.7.tgz",
+ "integrity": "sha512-LDJzPVEEEPR+y48z93A0Ed0yXb8pAByGWo/k5YYdYgpY2/2EsOsksJrq7lOHxryrVOn1ejG6oAp8ahvOIQD8sw=="
+ },
+ "node_modules/path-scurry": {
+ "version": "1.10.1",
+ "resolved": "https://registry.npmjs.org/path-scurry/-/path-scurry-1.10.1.tgz",
+ "integrity": "sha512-MkhCqzzBEpPvxxQ71Md0b1Kk51W01lrYvlMzSUaIzNsODdd7mqhiimSZlr+VegAz5Z6Vzt9Xg2ttE//XBhH3EQ==",
+ "dependencies": {
+ "lru-cache": "^9.1.1 || ^10.0.0",
+ "minipass": "^5.0.0 || ^6.0.2 || ^7.0.0"
+ },
+ "engines": {
+ "node": ">=16 || 14 >=14.17"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/isaacs"
+ }
+ },
+ "node_modules/path-scurry/node_modules/lru-cache": {
+ "version": "10.2.0",
+ "resolved": "https://registry.npmjs.org/lru-cache/-/lru-cache-10.2.0.tgz",
+ "integrity": "sha512-2bIM8x+VAf6JT4bKAljS1qUWgMsqZRPGJS6FSahIMPVvctcNhyVp7AJu7quxOW9jwkryBReKZY5tY5JYv2n/7Q==",
+ "engines": {
+ "node": "14 || >=16.14"
+ }
+ },
+ "node_modules/path-to-regexp": {
+ "version": "1.8.0",
+ "resolved": "https://registry.npmjs.org/path-to-regexp/-/path-to-regexp-1.8.0.tgz",
+ "integrity": "sha512-n43JRhlUKUAlibEJhPeir1ncUID16QnEjNpwzNdO3Lm4ywrBpBZ5oLD0I6br9evr1Y9JTqwRtAh7JLoOzAQdVA==",
+ "dependencies": {
+ "isarray": "0.0.1"
+ }
+ },
+ "node_modules/path-to-regexp/node_modules/isarray": {
+ "version": "0.0.1",
+ "resolved": "https://registry.npmjs.org/isarray/-/isarray-0.0.1.tgz",
+ "integrity": "sha512-D2S+3GLxWH+uhrNEcoh/fnmYeP8E8/zHl644d/jdA0g2uyXvy3sb0qxotE+ne0LtccHknQzWwZEzhak7oJ0COQ=="
+ },
+ "node_modules/path-type": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/path-type/-/path-type-4.0.0.tgz",
+ "integrity": "sha512-gDKb8aZMDeD/tZWs9P6+q0J9Mwkdl6xMV8TjnGP3qJVJ06bdMgkbBlLU8IdfOsIsFz2BW1rNVT3XuNEl8zPAvw==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/performance-now": {
+ "version": "2.1.0",
+ "resolved": "https://registry.npmjs.org/performance-now/-/performance-now-2.1.0.tgz",
+ "integrity": "sha512-7EAHlyLHI56VEIdK57uwHdHKIaAGbnXPiw0yWbarQZOKaKpvUIgW0jWRVLiatnM+XXlSwsanIBH/hzGMJulMow=="
+ },
+ "node_modules/picocolors": {
+ "version": "1.0.0",
+ "resolved": "https://registry.npmjs.org/picocolors/-/picocolors-1.0.0.tgz",
+ "integrity": "sha512-1fygroTLlHu66zi26VoTDv8yRgm0Fccecssto+MhsZ0D/DGW2sm8E8AjW7NU5VVTRt5GxbeZ5qBuJr+HyLYkjQ=="
+ },
+ "node_modules/picomatch": {
+ "version": "2.3.1",
+ "resolved": "https://registry.npmjs.org/picomatch/-/picomatch-2.3.1.tgz",
+ "integrity": "sha512-JU3teHTNjmE2VCGFzuY8EXzCDVwEqB2a8fsIvwaStHhAWJEeVd1o1QD80CU6+ZdEXXSLbSsuLwJjkCBWqRQUVA==",
+ "engines": {
+ "node": ">=8.6"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/jonschlinkert"
+ }
+ },
+ "node_modules/pidtree": {
+ "version": "0.5.0",
+ "resolved": "https://registry.npmjs.org/pidtree/-/pidtree-0.5.0.tgz",
+ "integrity": "sha512-9nxspIM7OpZuhBxPg73Zvyq7j1QMPMPsGKTqRc2XOaFQauDvoNz9fM1Wdkjmeo7l9GXOZiRs97sPkuayl39wjA==",
+ "dev": true,
+ "bin": {
+ "pidtree": "bin/pidtree.js"
+ },
+ "engines": {
+ "node": ">=0.10"
+ }
+ },
+ "node_modules/pify": {
+ "version": "2.3.0",
+ "resolved": "https://registry.npmjs.org/pify/-/pify-2.3.0.tgz",
+ "integrity": "sha512-udgsAY+fTnvv7kI7aaxbqwWNb0AHiB0qBO89PZKPkoTmGOgdbrHDKD+0B2X4uTfJ/FT1R09r9gTsjUjNJotuog==",
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/pirates": {
+ "version": "4.0.6",
+ "resolved": "https://registry.npmjs.org/pirates/-/pirates-4.0.6.tgz",
+ "integrity": "sha512-saLsH7WeYYPiD25LDuLRRY/i+6HaPYr6G1OUlN39otzkSTxKnubR9RTxS3/Kk50s1g2JTgFwWQDQyplC5/SHZg==",
+ "engines": {
+ "node": ">= 6"
+ }
+ },
+ "node_modules/pkg-dir": {
+ "version": "4.2.0",
+ "resolved": "https://registry.npmjs.org/pkg-dir/-/pkg-dir-4.2.0.tgz",
+ "integrity": "sha512-HRDzbaKjC+AOWVXxAU/x54COGeIv9eb+6CkDSQoNTt4XyWoIJvuPsXizxu/Fr23EiekbtZwmh1IcIG/l/a10GQ==",
+ "dependencies": {
+ "find-up": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/pkg-dir/node_modules/find-up": {
+ "version": "4.1.0",
+ "resolved": "https://registry.npmjs.org/find-up/-/find-up-4.1.0.tgz",
+ "integrity": "sha512-PpOwAdQ/YlXQ2vj8a3h8IipDuYRi3wceVQQGYWxNINccq40Anw7BlsEXCMbt1Zt+OLA6Fq9suIpIWD0OsnISlw==",
+ "dependencies": {
+ "locate-path": "^5.0.0",
+ "path-exists": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/pkg-dir/node_modules/locate-path": {
+ "version": "5.0.0",
+ "resolved": "https://registry.npmjs.org/locate-path/-/locate-path-5.0.0.tgz",
+ "integrity": "sha512-t7hw9pI+WvuwNJXwk5zVHpyhIqzg2qTlklJOf0mVxGSbe3Fp2VieZcduNYjaLDoy6p9uGpQEGWG87WpMKlNq8g==",
+ "dependencies": {
+ "p-locate": "^4.1.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/pkg-dir/node_modules/p-limit": {
+ "version": "2.3.0",
+ "resolved": "https://registry.npmjs.org/p-limit/-/p-limit-2.3.0.tgz",
+ "integrity": "sha512-//88mFWSJx8lxCzwdAABTJL2MyWB12+eIY7MDL2SqLmAkeKU9qxRvWuSyTjm3FUmpBEMuFfckAIqEaVGUDxb6w==",
+ "dependencies": {
+ "p-try": "^2.0.0"
+ },
+ "engines": {
+ "node": ">=6"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/pkg-dir/node_modules/p-locate": {
+ "version": "4.1.0",
+ "resolved": "https://registry.npmjs.org/p-locate/-/p-locate-4.1.0.tgz",
+ "integrity": "sha512-R79ZZ/0wAxKGu3oYMlz8jy/kbhsNrS7SKZ7PxEHBgJ5+F2mtFW2fK2cOtBh1cHYkQsbzFV7I+EoRKe6Yt0oK7A==",
+ "dependencies": {
+ "p-limit": "^2.2.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/pkg-up": {
+ "version": "3.1.0",
+ "resolved": "https://registry.npmjs.org/pkg-up/-/pkg-up-3.1.0.tgz",
+ "integrity": "sha512-nDywThFk1i4BQK4twPQ6TA4RT8bDY96yeuCVBWL3ePARCiEKDRSrNGbFIgUJpLp+XeIR65v8ra7WuJOFUBtkMA==",
+ "dependencies": {
+ "find-up": "^3.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/pkg-up/node_modules/find-up": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/find-up/-/find-up-3.0.0.tgz",
+ "integrity": "sha512-1yD6RmLI1XBfxugvORwlck6f75tYL+iR0jqwsOrOxMZyGYqUuDhJ0l4AXdO1iX/FTs9cBAMEk1gWSEx1kSbylg==",
+ "dependencies": {
+ "locate-path": "^3.0.0"
+ },
+ "engines": {
+ "node": ">=6"
+ }
+ },
+ "node_modules/pkg-up/node_modules/locate-path": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/locate-path/-/locate-path-3.0.0.tgz",
+ "integrity": "sha512-7AO748wWnIhNqAuaty2ZWHkQHRSNfPVIsPIfwEOWO22AmaoVrWavlOcMR5nzTLNYvp36X220/maaRsrec1G65A==",
+ "dependencies": {
+ "p-locate": "^3.0.0",
+ "path-exists": "^3.0.0"
+ },
+ "engines": {
+ "node": ">=6"
+ }
+ },
+ "node_modules/pkg-up/node_modules/p-limit": {
+ "version": "2.3.0",
+ "resolved": "https://registry.npmjs.org/p-limit/-/p-limit-2.3.0.tgz",
+ "integrity": "sha512-//88mFWSJx8lxCzwdAABTJL2MyWB12+eIY7MDL2SqLmAkeKU9qxRvWuSyTjm3FUmpBEMuFfckAIqEaVGUDxb6w==",
+ "dependencies": {
+ "p-try": "^2.0.0"
+ },
+ "engines": {
+ "node": ">=6"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/pkg-up/node_modules/p-locate": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/p-locate/-/p-locate-3.0.0.tgz",
+ "integrity": "sha512-x+12w/To+4GFfgJhBEpiDcLozRJGegY+Ei7/z0tSLkMmxGZNybVMSfWj9aJn8Z5Fc7dBUNJOOVgPv2H7IwulSQ==",
+ "dependencies": {
+ "p-limit": "^2.0.0"
+ },
+ "engines": {
+ "node": ">=6"
+ }
+ },
+ "node_modules/pkg-up/node_modules/path-exists": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/path-exists/-/path-exists-3.0.0.tgz",
+ "integrity": "sha512-bpC7GYwiDYQ4wYLe+FA8lhRjhQCMcQGuSgGGqDkg/QerRWw9CmGRT0iSOVRSZJ29NMLZgIzqaljJ63oaL4NIJQ==",
+ "engines": {
+ "node": ">=4"
+ }
+ },
+ "node_modules/postcss": {
+ "version": "8.4.35",
+ "resolved": "https://registry.npmjs.org/postcss/-/postcss-8.4.35.tgz",
+ "integrity": "sha512-u5U8qYpBCpN13BsiEB0CbR1Hhh4Gc0zLFuedrHJKMctHCHAGrMdG0PRM/KErzAL3CU6/eckEtmHNB3x6e3c0vA==",
+ "funding": [
+ {
+ "type": "opencollective",
+ "url": "https://opencollective.com/postcss/"
+ },
+ {
+ "type": "tidelift",
+ "url": "https://tidelift.com/funding/github/npm/postcss"
+ },
+ {
+ "type": "github",
+ "url": "https://github.com/sponsors/ai"
+ }
+ ],
+ "dependencies": {
+ "nanoid": "^3.3.7",
+ "picocolors": "^1.0.0",
+ "source-map-js": "^1.0.2"
+ },
+ "engines": {
+ "node": "^10 || ^12 || >=14"
+ }
+ },
+ "node_modules/postcss-attribute-case-insensitive": {
+ "version": "5.0.2",
+ "resolved": "https://registry.npmjs.org/postcss-attribute-case-insensitive/-/postcss-attribute-case-insensitive-5.0.2.tgz",
+ "integrity": "sha512-XIidXV8fDr0kKt28vqki84fRK8VW8eTuIa4PChv2MqKuT6C9UjmSKzen6KaWhWEoYvwxFCa7n/tC1SZ3tyq4SQ==",
+ "dependencies": {
+ "postcss-selector-parser": "^6.0.10"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/csstools"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2"
+ }
+ },
+ "node_modules/postcss-browser-comments": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/postcss-browser-comments/-/postcss-browser-comments-4.0.0.tgz",
+ "integrity": "sha512-X9X9/WN3KIvY9+hNERUqX9gncsgBA25XaeR+jshHz2j8+sYyHktHw1JdKuMjeLpGktXidqDhA7b/qm1mrBDmgg==",
+ "engines": {
+ "node": ">=8"
+ },
+ "peerDependencies": {
+ "browserslist": ">=4",
+ "postcss": ">=8"
+ }
+ },
+ "node_modules/postcss-calc": {
+ "version": "8.2.4",
+ "resolved": "https://registry.npmjs.org/postcss-calc/-/postcss-calc-8.2.4.tgz",
+ "integrity": "sha512-SmWMSJmB8MRnnULldx0lQIyhSNvuDl9HfrZkaqqE/WHAhToYsAvDq+yAsA/kIyINDszOp3Rh0GFoNuH5Ypsm3Q==",
+ "dependencies": {
+ "postcss-selector-parser": "^6.0.9",
+ "postcss-value-parser": "^4.2.0"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2.2"
+ }
+ },
+ "node_modules/postcss-clamp": {
+ "version": "4.1.0",
+ "resolved": "https://registry.npmjs.org/postcss-clamp/-/postcss-clamp-4.1.0.tgz",
+ "integrity": "sha512-ry4b1Llo/9zz+PKC+030KUnPITTJAHeOwjfAyyB60eT0AorGLdzp52s31OsPRHRf8NchkgFoG2y6fCfn1IV1Ow==",
+ "dependencies": {
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": ">=7.6.0"
+ },
+ "peerDependencies": {
+ "postcss": "^8.4.6"
+ }
+ },
+ "node_modules/postcss-color-functional-notation": {
+ "version": "4.2.4",
+ "resolved": "https://registry.npmjs.org/postcss-color-functional-notation/-/postcss-color-functional-notation-4.2.4.tgz",
+ "integrity": "sha512-2yrTAUZUab9s6CpxkxC4rVgFEVaR6/2Pipvi6qcgvnYiVqZcbDHEoBDhrXzyb7Efh2CCfHQNtcqWcIruDTIUeg==",
+ "dependencies": {
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/csstools"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2"
+ }
+ },
+ "node_modules/postcss-color-hex-alpha": {
+ "version": "8.0.4",
+ "resolved": "https://registry.npmjs.org/postcss-color-hex-alpha/-/postcss-color-hex-alpha-8.0.4.tgz",
+ "integrity": "sha512-nLo2DCRC9eE4w2JmuKgVA3fGL3d01kGq752pVALF68qpGLmx2Qrk91QTKkdUqqp45T1K1XV8IhQpcu1hoAQflQ==",
+ "dependencies": {
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/csstools"
+ },
+ "peerDependencies": {
+ "postcss": "^8.4"
+ }
+ },
+ "node_modules/postcss-color-rebeccapurple": {
+ "version": "7.1.1",
+ "resolved": "https://registry.npmjs.org/postcss-color-rebeccapurple/-/postcss-color-rebeccapurple-7.1.1.tgz",
+ "integrity": "sha512-pGxkuVEInwLHgkNxUc4sdg4g3py7zUeCQ9sMfwyHAT+Ezk8a4OaaVZ8lIY5+oNqA/BXXgLyXv0+5wHP68R79hg==",
+ "dependencies": {
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/csstools"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2"
+ }
+ },
+ "node_modules/postcss-colormin": {
+ "version": "5.3.1",
+ "resolved": "https://registry.npmjs.org/postcss-colormin/-/postcss-colormin-5.3.1.tgz",
+ "integrity": "sha512-UsWQG0AqTFQmpBegeLLc1+c3jIqBNB0zlDGRWR+dQ3pRKJL1oeMzyqmH3o2PIfn9MBdNrVPWhDbT769LxCTLJQ==",
+ "dependencies": {
+ "browserslist": "^4.21.4",
+ "caniuse-api": "^3.0.0",
+ "colord": "^2.9.1",
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^10 || ^12 || >=14.0"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2.15"
+ }
+ },
+ "node_modules/postcss-convert-values": {
+ "version": "5.1.3",
+ "resolved": "https://registry.npmjs.org/postcss-convert-values/-/postcss-convert-values-5.1.3.tgz",
+ "integrity": "sha512-82pC1xkJZtcJEfiLw6UXnXVXScgtBrjlO5CBmuDQc+dlb88ZYheFsjTn40+zBVi3DkfF7iezO0nJUPLcJK3pvA==",
+ "dependencies": {
+ "browserslist": "^4.21.4",
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^10 || ^12 || >=14.0"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2.15"
+ }
+ },
+ "node_modules/postcss-custom-media": {
+ "version": "8.0.2",
+ "resolved": "https://registry.npmjs.org/postcss-custom-media/-/postcss-custom-media-8.0.2.tgz",
+ "integrity": "sha512-7yi25vDAoHAkbhAzX9dHx2yc6ntS4jQvejrNcC+csQJAXjj15e7VcWfMgLqBNAbOvqi5uIa9huOVwdHbf+sKqg==",
+ "dependencies": {
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/csstools"
+ },
+ "peerDependencies": {
+ "postcss": "^8.3"
+ }
+ },
+ "node_modules/postcss-custom-properties": {
+ "version": "12.1.11",
+ "resolved": "https://registry.npmjs.org/postcss-custom-properties/-/postcss-custom-properties-12.1.11.tgz",
+ "integrity": "sha512-0IDJYhgU8xDv1KY6+VgUwuQkVtmYzRwu+dMjnmdMafXYv86SWqfxkc7qdDvWS38vsjaEtv8e0vGOUQrAiMBLpQ==",
+ "dependencies": {
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/csstools"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2"
+ }
+ },
+ "node_modules/postcss-custom-selectors": {
+ "version": "6.0.3",
+ "resolved": "https://registry.npmjs.org/postcss-custom-selectors/-/postcss-custom-selectors-6.0.3.tgz",
+ "integrity": "sha512-fgVkmyiWDwmD3JbpCmB45SvvlCD6z9CG6Ie6Iere22W5aHea6oWa7EM2bpnv2Fj3I94L3VbtvX9KqwSi5aFzSg==",
+ "dependencies": {
+ "postcss-selector-parser": "^6.0.4"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/csstools"
+ },
+ "peerDependencies": {
+ "postcss": "^8.3"
+ }
+ },
+ "node_modules/postcss-dir-pseudo-class": {
+ "version": "6.0.5",
+ "resolved": "https://registry.npmjs.org/postcss-dir-pseudo-class/-/postcss-dir-pseudo-class-6.0.5.tgz",
+ "integrity": "sha512-eqn4m70P031PF7ZQIvSgy9RSJ5uI2171O/OO/zcRNYpJbvaeKFUlar1aJ7rmgiQtbm0FSPsRewjpdS0Oew7MPA==",
+ "dependencies": {
+ "postcss-selector-parser": "^6.0.10"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/csstools"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2"
+ }
+ },
+ "node_modules/postcss-discard-comments": {
+ "version": "5.1.2",
+ "resolved": "https://registry.npmjs.org/postcss-discard-comments/-/postcss-discard-comments-5.1.2.tgz",
+ "integrity": "sha512-+L8208OVbHVF2UQf1iDmRcbdjJkuBF6IS29yBDSiWUIzpYaAhtNl6JYnYm12FnkeCwQqF5LeklOu6rAqgfBZqQ==",
+ "engines": {
+ "node": "^10 || ^12 || >=14.0"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2.15"
+ }
+ },
+ "node_modules/postcss-discard-duplicates": {
+ "version": "5.1.0",
+ "resolved": "https://registry.npmjs.org/postcss-discard-duplicates/-/postcss-discard-duplicates-5.1.0.tgz",
+ "integrity": "sha512-zmX3IoSI2aoenxHV6C7plngHWWhUOV3sP1T8y2ifzxzbtnuhk1EdPwm0S1bIUNaJ2eNbWeGLEwzw8huPD67aQw==",
+ "engines": {
+ "node": "^10 || ^12 || >=14.0"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2.15"
+ }
+ },
+ "node_modules/postcss-discard-empty": {
+ "version": "5.1.1",
+ "resolved": "https://registry.npmjs.org/postcss-discard-empty/-/postcss-discard-empty-5.1.1.tgz",
+ "integrity": "sha512-zPz4WljiSuLWsI0ir4Mcnr4qQQ5e1Ukc3i7UfE2XcrwKK2LIPIqE5jxMRxO6GbI3cv//ztXDsXwEWT3BHOGh3A==",
+ "engines": {
+ "node": "^10 || ^12 || >=14.0"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2.15"
+ }
+ },
+ "node_modules/postcss-discard-overridden": {
+ "version": "5.1.0",
+ "resolved": "https://registry.npmjs.org/postcss-discard-overridden/-/postcss-discard-overridden-5.1.0.tgz",
+ "integrity": "sha512-21nOL7RqWR1kasIVdKs8HNqQJhFxLsyRfAnUDm4Fe4t4mCWL9OJiHvlHPjcd8zc5Myu89b/7wZDnOSjFgeWRtw==",
+ "engines": {
+ "node": "^10 || ^12 || >=14.0"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2.15"
+ }
+ },
+ "node_modules/postcss-double-position-gradients": {
+ "version": "3.1.2",
+ "resolved": "https://registry.npmjs.org/postcss-double-position-gradients/-/postcss-double-position-gradients-3.1.2.tgz",
+ "integrity": "sha512-GX+FuE/uBR6eskOK+4vkXgT6pDkexLokPaz/AbJna9s5Kzp/yl488pKPjhy0obB475ovfT1Wv8ho7U/cHNaRgQ==",
+ "dependencies": {
+ "@csstools/postcss-progressive-custom-properties": "^1.1.0",
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/csstools"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2"
+ }
+ },
+ "node_modules/postcss-env-function": {
+ "version": "4.0.6",
+ "resolved": "https://registry.npmjs.org/postcss-env-function/-/postcss-env-function-4.0.6.tgz",
+ "integrity": "sha512-kpA6FsLra+NqcFnL81TnsU+Z7orGtDTxcOhl6pwXeEq1yFPpRMkCDpHhrz8CFQDr/Wfm0jLiNQ1OsGGPjlqPwA==",
+ "dependencies": {
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "peerDependencies": {
+ "postcss": "^8.4"
+ }
+ },
+ "node_modules/postcss-flexbugs-fixes": {
+ "version": "5.0.2",
+ "resolved": "https://registry.npmjs.org/postcss-flexbugs-fixes/-/postcss-flexbugs-fixes-5.0.2.tgz",
+ "integrity": "sha512-18f9voByak7bTktR2QgDveglpn9DTbBWPUzSOe9g0N4WR/2eSt6Vrcbf0hmspvMI6YWGywz6B9f7jzpFNJJgnQ==",
+ "peerDependencies": {
+ "postcss": "^8.1.4"
+ }
+ },
+ "node_modules/postcss-focus-visible": {
+ "version": "6.0.4",
+ "resolved": "https://registry.npmjs.org/postcss-focus-visible/-/postcss-focus-visible-6.0.4.tgz",
+ "integrity": "sha512-QcKuUU/dgNsstIK6HELFRT5Y3lbrMLEOwG+A4s5cA+fx3A3y/JTq3X9LaOj3OC3ALH0XqyrgQIgey/MIZ8Wczw==",
+ "dependencies": {
+ "postcss-selector-parser": "^6.0.9"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "peerDependencies": {
+ "postcss": "^8.4"
+ }
+ },
+ "node_modules/postcss-focus-within": {
+ "version": "5.0.4",
+ "resolved": "https://registry.npmjs.org/postcss-focus-within/-/postcss-focus-within-5.0.4.tgz",
+ "integrity": "sha512-vvjDN++C0mu8jz4af5d52CB184ogg/sSxAFS+oUJQq2SuCe7T5U2iIsVJtsCp2d6R4j0jr5+q3rPkBVZkXD9fQ==",
+ "dependencies": {
+ "postcss-selector-parser": "^6.0.9"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "peerDependencies": {
+ "postcss": "^8.4"
+ }
+ },
+ "node_modules/postcss-font-variant": {
+ "version": "5.0.0",
+ "resolved": "https://registry.npmjs.org/postcss-font-variant/-/postcss-font-variant-5.0.0.tgz",
+ "integrity": "sha512-1fmkBaCALD72CK2a9i468mA/+tr9/1cBxRRMXOUaZqO43oWPR5imcyPjXwuv7PXbCid4ndlP5zWhidQVVa3hmA==",
+ "peerDependencies": {
+ "postcss": "^8.1.0"
+ }
+ },
+ "node_modules/postcss-gap-properties": {
+ "version": "3.0.5",
+ "resolved": "https://registry.npmjs.org/postcss-gap-properties/-/postcss-gap-properties-3.0.5.tgz",
+ "integrity": "sha512-IuE6gKSdoUNcvkGIqdtjtcMtZIFyXZhmFd5RUlg97iVEvp1BZKV5ngsAjCjrVy+14uhGBQl9tzmi1Qwq4kqVOg==",
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/csstools"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2"
+ }
+ },
+ "node_modules/postcss-image-set-function": {
+ "version": "4.0.7",
+ "resolved": "https://registry.npmjs.org/postcss-image-set-function/-/postcss-image-set-function-4.0.7.tgz",
+ "integrity": "sha512-9T2r9rsvYzm5ndsBE8WgtrMlIT7VbtTfE7b3BQnudUqnBcBo7L758oc+o+pdj/dUV0l5wjwSdjeOH2DZtfv8qw==",
+ "dependencies": {
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/csstools"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2"
+ }
+ },
+ "node_modules/postcss-import": {
+ "version": "15.1.0",
+ "resolved": "https://registry.npmjs.org/postcss-import/-/postcss-import-15.1.0.tgz",
+ "integrity": "sha512-hpr+J05B2FVYUAXHeK1YyI267J/dDDhMU6B6civm8hSY1jYJnBXxzKDKDswzJmtLHryrjhnDjqqp/49t8FALew==",
+ "dependencies": {
+ "postcss-value-parser": "^4.0.0",
+ "read-cache": "^1.0.0",
+ "resolve": "^1.1.7"
+ },
+ "engines": {
+ "node": ">=14.0.0"
+ },
+ "peerDependencies": {
+ "postcss": "^8.0.0"
+ }
+ },
+ "node_modules/postcss-initial": {
+ "version": "4.0.1",
+ "resolved": "https://registry.npmjs.org/postcss-initial/-/postcss-initial-4.0.1.tgz",
+ "integrity": "sha512-0ueD7rPqX8Pn1xJIjay0AZeIuDoF+V+VvMt/uOnn+4ezUKhZM/NokDeP6DwMNyIoYByuN/94IQnt5FEkaN59xQ==",
+ "peerDependencies": {
+ "postcss": "^8.0.0"
+ }
+ },
+ "node_modules/postcss-js": {
+ "version": "4.0.1",
+ "resolved": "https://registry.npmjs.org/postcss-js/-/postcss-js-4.0.1.tgz",
+ "integrity": "sha512-dDLF8pEO191hJMtlHFPRa8xsizHaM82MLfNkUHdUtVEV3tgTp5oj+8qbEqYM57SLfc74KSbw//4SeJma2LRVIw==",
+ "dependencies": {
+ "camelcase-css": "^2.0.1"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >= 16"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/postcss/"
+ },
+ "peerDependencies": {
+ "postcss": "^8.4.21"
+ }
+ },
+ "node_modules/postcss-lab-function": {
+ "version": "4.2.1",
+ "resolved": "https://registry.npmjs.org/postcss-lab-function/-/postcss-lab-function-4.2.1.tgz",
+ "integrity": "sha512-xuXll4isR03CrQsmxyz92LJB2xX9n+pZJ5jE9JgcnmsCammLyKdlzrBin+25dy6wIjfhJpKBAN80gsTlCgRk2w==",
+ "dependencies": {
+ "@csstools/postcss-progressive-custom-properties": "^1.1.0",
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/csstools"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2"
+ }
+ },
+ "node_modules/postcss-load-config": {
+ "version": "4.0.2",
+ "resolved": "https://registry.npmjs.org/postcss-load-config/-/postcss-load-config-4.0.2.tgz",
+ "integrity": "sha512-bSVhyJGL00wMVoPUzAVAnbEoWyqRxkjv64tUl427SKnPrENtq6hJwUojroMz2VB+Q1edmi4IfrAPpami5VVgMQ==",
+ "funding": [
+ {
+ "type": "opencollective",
+ "url": "https://opencollective.com/postcss/"
+ },
+ {
+ "type": "github",
+ "url": "https://github.com/sponsors/ai"
+ }
+ ],
+ "dependencies": {
+ "lilconfig": "^3.0.0",
+ "yaml": "^2.3.4"
+ },
+ "engines": {
+ "node": ">= 14"
+ },
+ "peerDependencies": {
+ "postcss": ">=8.0.9",
+ "ts-node": ">=9.0.0"
+ },
+ "peerDependenciesMeta": {
+ "postcss": {
+ "optional": true
+ },
+ "ts-node": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/postcss-load-config/node_modules/lilconfig": {
+ "version": "3.1.0",
+ "resolved": "https://registry.npmjs.org/lilconfig/-/lilconfig-3.1.0.tgz",
+ "integrity": "sha512-p3cz0JV5vw/XeouBU3Ldnp+ZkBjE+n8ydJ4mcwBrOiXXPqNlrzGBqWs9X4MWF7f+iKUBu794Y8Hh8yawiJbCjw==",
+ "engines": {
+ "node": ">=14"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/antonk52"
+ }
+ },
+ "node_modules/postcss-load-config/node_modules/yaml": {
+ "version": "2.3.4",
+ "resolved": "https://registry.npmjs.org/yaml/-/yaml-2.3.4.tgz",
+ "integrity": "sha512-8aAvwVUSHpfEqTQ4w/KMlf3HcRdt50E5ODIQJBw1fQ5RL34xabzxtUlzTXVqc4rkZsPbvrXKWnABCD7kWSmocA==",
+ "engines": {
+ "node": ">= 14"
+ }
+ },
+ "node_modules/postcss-loader": {
+ "version": "6.2.1",
+ "resolved": "https://registry.npmjs.org/postcss-loader/-/postcss-loader-6.2.1.tgz",
+ "integrity": "sha512-WbbYpmAaKcux/P66bZ40bpWsBucjx/TTgVVzRZ9yUO8yQfVBlameJ0ZGVaPfH64hNSBh63a+ICP5nqOpBA0w+Q==",
+ "dependencies": {
+ "cosmiconfig": "^7.0.0",
+ "klona": "^2.0.5",
+ "semver": "^7.3.5"
+ },
+ "engines": {
+ "node": ">= 12.13.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/webpack"
+ },
+ "peerDependencies": {
+ "postcss": "^7.0.0 || ^8.0.1",
+ "webpack": "^5.0.0"
+ }
+ },
+ "node_modules/postcss-loader/node_modules/lru-cache": {
+ "version": "6.0.0",
+ "resolved": "https://registry.npmjs.org/lru-cache/-/lru-cache-6.0.0.tgz",
+ "integrity": "sha512-Jo6dJ04CmSjuznwJSS3pUeWmd/H0ffTlkXXgwZi+eq1UCmqQwCh+eLsYOYCwY991i2Fah4h1BEMCx4qThGbsiA==",
+ "dependencies": {
+ "yallist": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/postcss-loader/node_modules/semver": {
+ "version": "7.6.0",
+ "resolved": "https://registry.npmjs.org/semver/-/semver-7.6.0.tgz",
+ "integrity": "sha512-EnwXhrlwXMk9gKu5/flx5sv/an57AkRplG3hTK68W7FRDN+k+OWBj65M7719OkA82XLBxrcX0KSHj+X5COhOVg==",
+ "dependencies": {
+ "lru-cache": "^6.0.0"
+ },
+ "bin": {
+ "semver": "bin/semver.js"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/postcss-loader/node_modules/yallist": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/yallist/-/yallist-4.0.0.tgz",
+ "integrity": "sha512-3wdGidZyq5PB084XLES5TpOSRA3wjXAlIWMhum2kRcv/41Sn2emQ0dycQW4uZXLejwKvg6EsvbdlVL+FYEct7A=="
+ },
+ "node_modules/postcss-logical": {
+ "version": "5.0.4",
+ "resolved": "https://registry.npmjs.org/postcss-logical/-/postcss-logical-5.0.4.tgz",
+ "integrity": "sha512-RHXxplCeLh9VjinvMrZONq7im4wjWGlRJAqmAVLXyZaXwfDWP73/oq4NdIp+OZwhQUMj0zjqDfM5Fj7qby+B4g==",
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "peerDependencies": {
+ "postcss": "^8.4"
+ }
+ },
+ "node_modules/postcss-media-minmax": {
+ "version": "5.0.0",
+ "resolved": "https://registry.npmjs.org/postcss-media-minmax/-/postcss-media-minmax-5.0.0.tgz",
+ "integrity": "sha512-yDUvFf9QdFZTuCUg0g0uNSHVlJ5X1lSzDZjPSFaiCWvjgsvu8vEVxtahPrLMinIDEEGnx6cBe6iqdx5YWz08wQ==",
+ "engines": {
+ "node": ">=10.0.0"
+ },
+ "peerDependencies": {
+ "postcss": "^8.1.0"
+ }
+ },
+ "node_modules/postcss-merge-longhand": {
+ "version": "5.1.7",
+ "resolved": "https://registry.npmjs.org/postcss-merge-longhand/-/postcss-merge-longhand-5.1.7.tgz",
+ "integrity": "sha512-YCI9gZB+PLNskrK0BB3/2OzPnGhPkBEwmwhfYk1ilBHYVAZB7/tkTHFBAnCrvBBOmeYyMYw3DMjT55SyxMBzjQ==",
+ "dependencies": {
+ "postcss-value-parser": "^4.2.0",
+ "stylehacks": "^5.1.1"
+ },
+ "engines": {
+ "node": "^10 || ^12 || >=14.0"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2.15"
+ }
+ },
+ "node_modules/postcss-merge-rules": {
+ "version": "5.1.4",
+ "resolved": "https://registry.npmjs.org/postcss-merge-rules/-/postcss-merge-rules-5.1.4.tgz",
+ "integrity": "sha512-0R2IuYpgU93y9lhVbO/OylTtKMVcHb67zjWIfCiKR9rWL3GUk1677LAqD/BcHizukdZEjT8Ru3oHRoAYoJy44g==",
+ "dependencies": {
+ "browserslist": "^4.21.4",
+ "caniuse-api": "^3.0.0",
+ "cssnano-utils": "^3.1.0",
+ "postcss-selector-parser": "^6.0.5"
+ },
+ "engines": {
+ "node": "^10 || ^12 || >=14.0"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2.15"
+ }
+ },
+ "node_modules/postcss-minify-font-values": {
+ "version": "5.1.0",
+ "resolved": "https://registry.npmjs.org/postcss-minify-font-values/-/postcss-minify-font-values-5.1.0.tgz",
+ "integrity": "sha512-el3mYTgx13ZAPPirSVsHqFzl+BBBDrXvbySvPGFnQcTI4iNslrPaFq4muTkLZmKlGk4gyFAYUBMH30+HurREyA==",
+ "dependencies": {
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^10 || ^12 || >=14.0"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2.15"
+ }
+ },
+ "node_modules/postcss-minify-gradients": {
+ "version": "5.1.1",
+ "resolved": "https://registry.npmjs.org/postcss-minify-gradients/-/postcss-minify-gradients-5.1.1.tgz",
+ "integrity": "sha512-VGvXMTpCEo4qHTNSa9A0a3D+dxGFZCYwR6Jokk+/3oB6flu2/PnPXAh2x7x52EkY5xlIHLm+Le8tJxe/7TNhzw==",
+ "dependencies": {
+ "colord": "^2.9.1",
+ "cssnano-utils": "^3.1.0",
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^10 || ^12 || >=14.0"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2.15"
+ }
+ },
+ "node_modules/postcss-minify-params": {
+ "version": "5.1.4",
+ "resolved": "https://registry.npmjs.org/postcss-minify-params/-/postcss-minify-params-5.1.4.tgz",
+ "integrity": "sha512-+mePA3MgdmVmv6g+30rn57USjOGSAyuxUmkfiWpzalZ8aiBkdPYjXWtHuwJGm1v5Ojy0Z0LaSYhHaLJQB0P8Jw==",
+ "dependencies": {
+ "browserslist": "^4.21.4",
+ "cssnano-utils": "^3.1.0",
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^10 || ^12 || >=14.0"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2.15"
+ }
+ },
+ "node_modules/postcss-minify-selectors": {
+ "version": "5.2.1",
+ "resolved": "https://registry.npmjs.org/postcss-minify-selectors/-/postcss-minify-selectors-5.2.1.tgz",
+ "integrity": "sha512-nPJu7OjZJTsVUmPdm2TcaiohIwxP+v8ha9NehQ2ye9szv4orirRU3SDdtUmKH+10nzn0bAyOXZ0UEr7OpvLehg==",
+ "dependencies": {
+ "postcss-selector-parser": "^6.0.5"
+ },
+ "engines": {
+ "node": "^10 || ^12 || >=14.0"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2.15"
+ }
+ },
+ "node_modules/postcss-modules-extract-imports": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/postcss-modules-extract-imports/-/postcss-modules-extract-imports-3.0.0.tgz",
+ "integrity": "sha512-bdHleFnP3kZ4NYDhuGlVK+CMrQ/pqUm8bx/oGL93K6gVwiclvX5x0n76fYMKuIGKzlABOy13zsvqjb0f92TEXw==",
+ "engines": {
+ "node": "^10 || ^12 || >= 14"
+ },
+ "peerDependencies": {
+ "postcss": "^8.1.0"
+ }
+ },
+ "node_modules/postcss-modules-local-by-default": {
+ "version": "4.0.4",
+ "resolved": "https://registry.npmjs.org/postcss-modules-local-by-default/-/postcss-modules-local-by-default-4.0.4.tgz",
+ "integrity": "sha512-L4QzMnOdVwRm1Qb8m4x8jsZzKAaPAgrUF1r/hjDR2Xj7R+8Zsf97jAlSQzWtKx5YNiNGN8QxmPFIc/sh+RQl+Q==",
+ "dependencies": {
+ "icss-utils": "^5.0.0",
+ "postcss-selector-parser": "^6.0.2",
+ "postcss-value-parser": "^4.1.0"
+ },
+ "engines": {
+ "node": "^10 || ^12 || >= 14"
+ },
+ "peerDependencies": {
+ "postcss": "^8.1.0"
+ }
+ },
+ "node_modules/postcss-modules-scope": {
+ "version": "3.1.1",
+ "resolved": "https://registry.npmjs.org/postcss-modules-scope/-/postcss-modules-scope-3.1.1.tgz",
+ "integrity": "sha512-uZgqzdTleelWjzJY+Fhti6F3C9iF1JR/dODLs/JDefozYcKTBCdD8BIl6nNPbTbcLnGrk56hzwZC2DaGNvYjzA==",
+ "dependencies": {
+ "postcss-selector-parser": "^6.0.4"
+ },
+ "engines": {
+ "node": "^10 || ^12 || >= 14"
+ },
+ "peerDependencies": {
+ "postcss": "^8.1.0"
+ }
+ },
+ "node_modules/postcss-modules-values": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/postcss-modules-values/-/postcss-modules-values-4.0.0.tgz",
+ "integrity": "sha512-RDxHkAiEGI78gS2ofyvCsu7iycRv7oqw5xMWn9iMoR0N/7mf9D50ecQqUo5BZ9Zh2vH4bCUR/ktCqbB9m8vJjQ==",
+ "dependencies": {
+ "icss-utils": "^5.0.0"
+ },
+ "engines": {
+ "node": "^10 || ^12 || >= 14"
+ },
+ "peerDependencies": {
+ "postcss": "^8.1.0"
+ }
+ },
+ "node_modules/postcss-nested": {
+ "version": "6.0.1",
+ "resolved": "https://registry.npmjs.org/postcss-nested/-/postcss-nested-6.0.1.tgz",
+ "integrity": "sha512-mEp4xPMi5bSWiMbsgoPfcP74lsWLHkQbZc3sY+jWYd65CUwXrUaTp0fmNpa01ZcETKlIgUdFN/MpS2xZtqL9dQ==",
+ "dependencies": {
+ "postcss-selector-parser": "^6.0.11"
+ },
+ "engines": {
+ "node": ">=12.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/postcss/"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2.14"
+ }
+ },
+ "node_modules/postcss-nesting": {
+ "version": "10.2.0",
+ "resolved": "https://registry.npmjs.org/postcss-nesting/-/postcss-nesting-10.2.0.tgz",
+ "integrity": "sha512-EwMkYchxiDiKUhlJGzWsD9b2zvq/r2SSubcRrgP+jujMXFzqvANLt16lJANC+5uZ6hjI7lpRmI6O8JIl+8l1KA==",
+ "dependencies": {
+ "@csstools/selector-specificity": "^2.0.0",
+ "postcss-selector-parser": "^6.0.10"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/csstools"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2"
+ }
+ },
+ "node_modules/postcss-normalize": {
+ "version": "10.0.1",
+ "resolved": "https://registry.npmjs.org/postcss-normalize/-/postcss-normalize-10.0.1.tgz",
+ "integrity": "sha512-+5w18/rDev5mqERcG3W5GZNMJa1eoYYNGo8gB7tEwaos0ajk3ZXAI4mHGcNT47NE+ZnZD1pEpUOFLvltIwmeJA==",
+ "dependencies": {
+ "@csstools/normalize.css": "*",
+ "postcss-browser-comments": "^4",
+ "sanitize.css": "*"
+ },
+ "engines": {
+ "node": ">= 12"
+ },
+ "peerDependencies": {
+ "browserslist": ">= 4",
+ "postcss": ">= 8"
+ }
+ },
+ "node_modules/postcss-normalize-charset": {
+ "version": "5.1.0",
+ "resolved": "https://registry.npmjs.org/postcss-normalize-charset/-/postcss-normalize-charset-5.1.0.tgz",
+ "integrity": "sha512-mSgUJ+pd/ldRGVx26p2wz9dNZ7ji6Pn8VWBajMXFf8jk7vUoSrZ2lt/wZR7DtlZYKesmZI680qjr2CeFF2fbUg==",
+ "engines": {
+ "node": "^10 || ^12 || >=14.0"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2.15"
+ }
+ },
+ "node_modules/postcss-normalize-display-values": {
+ "version": "5.1.0",
+ "resolved": "https://registry.npmjs.org/postcss-normalize-display-values/-/postcss-normalize-display-values-5.1.0.tgz",
+ "integrity": "sha512-WP4KIM4o2dazQXWmFaqMmcvsKmhdINFblgSeRgn8BJ6vxaMyaJkwAzpPpuvSIoG/rmX3M+IrRZEz2H0glrQNEA==",
+ "dependencies": {
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^10 || ^12 || >=14.0"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2.15"
+ }
+ },
+ "node_modules/postcss-normalize-positions": {
+ "version": "5.1.1",
+ "resolved": "https://registry.npmjs.org/postcss-normalize-positions/-/postcss-normalize-positions-5.1.1.tgz",
+ "integrity": "sha512-6UpCb0G4eofTCQLFVuI3EVNZzBNPiIKcA1AKVka+31fTVySphr3VUgAIULBhxZkKgwLImhzMR2Bw1ORK+37INg==",
+ "dependencies": {
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^10 || ^12 || >=14.0"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2.15"
+ }
+ },
+ "node_modules/postcss-normalize-repeat-style": {
+ "version": "5.1.1",
+ "resolved": "https://registry.npmjs.org/postcss-normalize-repeat-style/-/postcss-normalize-repeat-style-5.1.1.tgz",
+ "integrity": "sha512-mFpLspGWkQtBcWIRFLmewo8aC3ImN2i/J3v8YCFUwDnPu3Xz4rLohDO26lGjwNsQxB3YF0KKRwspGzE2JEuS0g==",
+ "dependencies": {
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^10 || ^12 || >=14.0"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2.15"
+ }
+ },
+ "node_modules/postcss-normalize-string": {
+ "version": "5.1.0",
+ "resolved": "https://registry.npmjs.org/postcss-normalize-string/-/postcss-normalize-string-5.1.0.tgz",
+ "integrity": "sha512-oYiIJOf4T9T1N4i+abeIc7Vgm/xPCGih4bZz5Nm0/ARVJ7K6xrDlLwvwqOydvyL3RHNf8qZk6vo3aatiw/go3w==",
+ "dependencies": {
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^10 || ^12 || >=14.0"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2.15"
+ }
+ },
+ "node_modules/postcss-normalize-timing-functions": {
+ "version": "5.1.0",
+ "resolved": "https://registry.npmjs.org/postcss-normalize-timing-functions/-/postcss-normalize-timing-functions-5.1.0.tgz",
+ "integrity": "sha512-DOEkzJ4SAXv5xkHl0Wa9cZLF3WCBhF3o1SKVxKQAa+0pYKlueTpCgvkFAHfk+Y64ezX9+nITGrDZeVGgITJXjg==",
+ "dependencies": {
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^10 || ^12 || >=14.0"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2.15"
+ }
+ },
+ "node_modules/postcss-normalize-unicode": {
+ "version": "5.1.1",
+ "resolved": "https://registry.npmjs.org/postcss-normalize-unicode/-/postcss-normalize-unicode-5.1.1.tgz",
+ "integrity": "sha512-qnCL5jzkNUmKVhZoENp1mJiGNPcsJCs1aaRmURmeJGES23Z/ajaln+EPTD+rBeNkSryI+2WTdW+lwcVdOikrpA==",
+ "dependencies": {
+ "browserslist": "^4.21.4",
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^10 || ^12 || >=14.0"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2.15"
+ }
+ },
+ "node_modules/postcss-normalize-url": {
+ "version": "5.1.0",
+ "resolved": "https://registry.npmjs.org/postcss-normalize-url/-/postcss-normalize-url-5.1.0.tgz",
+ "integrity": "sha512-5upGeDO+PVthOxSmds43ZeMeZfKH+/DKgGRD7TElkkyS46JXAUhMzIKiCa7BabPeIy3AQcTkXwVVN7DbqsiCew==",
+ "dependencies": {
+ "normalize-url": "^6.0.1",
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^10 || ^12 || >=14.0"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2.15"
+ }
+ },
+ "node_modules/postcss-normalize-whitespace": {
+ "version": "5.1.1",
+ "resolved": "https://registry.npmjs.org/postcss-normalize-whitespace/-/postcss-normalize-whitespace-5.1.1.tgz",
+ "integrity": "sha512-83ZJ4t3NUDETIHTa3uEg6asWjSBYL5EdkVB0sDncx9ERzOKBVJIUeDO9RyA9Zwtig8El1d79HBp0JEi8wvGQnA==",
+ "dependencies": {
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^10 || ^12 || >=14.0"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2.15"
+ }
+ },
+ "node_modules/postcss-opacity-percentage": {
+ "version": "1.1.3",
+ "resolved": "https://registry.npmjs.org/postcss-opacity-percentage/-/postcss-opacity-percentage-1.1.3.tgz",
+ "integrity": "sha512-An6Ba4pHBiDtyVpSLymUUERMo2cU7s+Obz6BTrS+gxkbnSBNKSuD0AVUc+CpBMrpVPKKfoVz0WQCX+Tnst0i4A==",
+ "funding": [
+ {
+ "type": "kofi",
+ "url": "https://ko-fi.com/mrcgrtz"
+ },
+ {
+ "type": "liberapay",
+ "url": "https://liberapay.com/mrcgrtz"
+ }
+ ],
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2"
+ }
+ },
+ "node_modules/postcss-ordered-values": {
+ "version": "5.1.3",
+ "resolved": "https://registry.npmjs.org/postcss-ordered-values/-/postcss-ordered-values-5.1.3.tgz",
+ "integrity": "sha512-9UO79VUhPwEkzbb3RNpqqghc6lcYej1aveQteWY+4POIwlqkYE21HKWaLDF6lWNuqCobEAyTovVhtI32Rbv2RQ==",
+ "dependencies": {
+ "cssnano-utils": "^3.1.0",
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^10 || ^12 || >=14.0"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2.15"
+ }
+ },
+ "node_modules/postcss-overflow-shorthand": {
+ "version": "3.0.4",
+ "resolved": "https://registry.npmjs.org/postcss-overflow-shorthand/-/postcss-overflow-shorthand-3.0.4.tgz",
+ "integrity": "sha512-otYl/ylHK8Y9bcBnPLo3foYFLL6a6Ak+3EQBPOTR7luMYCOsiVTUk1iLvNf6tVPNGXcoL9Hoz37kpfriRIFb4A==",
+ "dependencies": {
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/csstools"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2"
+ }
+ },
+ "node_modules/postcss-page-break": {
+ "version": "3.0.4",
+ "resolved": "https://registry.npmjs.org/postcss-page-break/-/postcss-page-break-3.0.4.tgz",
+ "integrity": "sha512-1JGu8oCjVXLa9q9rFTo4MbeeA5FMe00/9C7lN4va606Rdb+HkxXtXsmEDrIraQ11fGz/WvKWa8gMuCKkrXpTsQ==",
+ "peerDependencies": {
+ "postcss": "^8"
+ }
+ },
+ "node_modules/postcss-place": {
+ "version": "7.0.5",
+ "resolved": "https://registry.npmjs.org/postcss-place/-/postcss-place-7.0.5.tgz",
+ "integrity": "sha512-wR8igaZROA6Z4pv0d+bvVrvGY4GVHihBCBQieXFY3kuSuMyOmEnnfFzHl/tQuqHZkfkIVBEbDvYcFfHmpSet9g==",
+ "dependencies": {
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/csstools"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2"
+ }
+ },
+ "node_modules/postcss-preset-env": {
+ "version": "7.8.3",
+ "resolved": "https://registry.npmjs.org/postcss-preset-env/-/postcss-preset-env-7.8.3.tgz",
+ "integrity": "sha512-T1LgRm5uEVFSEF83vHZJV2z19lHg4yJuZ6gXZZkqVsqv63nlr6zabMH3l4Pc01FQCyfWVrh2GaUeCVy9Po+Aag==",
+ "dependencies": {
+ "@csstools/postcss-cascade-layers": "^1.1.1",
+ "@csstools/postcss-color-function": "^1.1.1",
+ "@csstools/postcss-font-format-keywords": "^1.0.1",
+ "@csstools/postcss-hwb-function": "^1.0.2",
+ "@csstools/postcss-ic-unit": "^1.0.1",
+ "@csstools/postcss-is-pseudo-class": "^2.0.7",
+ "@csstools/postcss-nested-calc": "^1.0.0",
+ "@csstools/postcss-normalize-display-values": "^1.0.1",
+ "@csstools/postcss-oklab-function": "^1.1.1",
+ "@csstools/postcss-progressive-custom-properties": "^1.3.0",
+ "@csstools/postcss-stepped-value-functions": "^1.0.1",
+ "@csstools/postcss-text-decoration-shorthand": "^1.0.0",
+ "@csstools/postcss-trigonometric-functions": "^1.0.2",
+ "@csstools/postcss-unset-value": "^1.0.2",
+ "autoprefixer": "^10.4.13",
+ "browserslist": "^4.21.4",
+ "css-blank-pseudo": "^3.0.3",
+ "css-has-pseudo": "^3.0.4",
+ "css-prefers-color-scheme": "^6.0.3",
+ "cssdb": "^7.1.0",
+ "postcss-attribute-case-insensitive": "^5.0.2",
+ "postcss-clamp": "^4.1.0",
+ "postcss-color-functional-notation": "^4.2.4",
+ "postcss-color-hex-alpha": "^8.0.4",
+ "postcss-color-rebeccapurple": "^7.1.1",
+ "postcss-custom-media": "^8.0.2",
+ "postcss-custom-properties": "^12.1.10",
+ "postcss-custom-selectors": "^6.0.3",
+ "postcss-dir-pseudo-class": "^6.0.5",
+ "postcss-double-position-gradients": "^3.1.2",
+ "postcss-env-function": "^4.0.6",
+ "postcss-focus-visible": "^6.0.4",
+ "postcss-focus-within": "^5.0.4",
+ "postcss-font-variant": "^5.0.0",
+ "postcss-gap-properties": "^3.0.5",
+ "postcss-image-set-function": "^4.0.7",
+ "postcss-initial": "^4.0.1",
+ "postcss-lab-function": "^4.2.1",
+ "postcss-logical": "^5.0.4",
+ "postcss-media-minmax": "^5.0.0",
+ "postcss-nesting": "^10.2.0",
+ "postcss-opacity-percentage": "^1.1.2",
+ "postcss-overflow-shorthand": "^3.0.4",
+ "postcss-page-break": "^3.0.4",
+ "postcss-place": "^7.0.5",
+ "postcss-pseudo-class-any-link": "^7.1.6",
+ "postcss-replace-overflow-wrap": "^4.0.0",
+ "postcss-selector-not": "^6.0.1",
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/csstools"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2"
+ }
+ },
+ "node_modules/postcss-pseudo-class-any-link": {
+ "version": "7.1.6",
+ "resolved": "https://registry.npmjs.org/postcss-pseudo-class-any-link/-/postcss-pseudo-class-any-link-7.1.6.tgz",
+ "integrity": "sha512-9sCtZkO6f/5ML9WcTLcIyV1yz9D1rf0tWc+ulKcvV30s0iZKS/ONyETvoWsr6vnrmW+X+KmuK3gV/w5EWnT37w==",
+ "dependencies": {
+ "postcss-selector-parser": "^6.0.10"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/csstools"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2"
+ }
+ },
+ "node_modules/postcss-reduce-initial": {
+ "version": "5.1.2",
+ "resolved": "https://registry.npmjs.org/postcss-reduce-initial/-/postcss-reduce-initial-5.1.2.tgz",
+ "integrity": "sha512-dE/y2XRaqAi6OvjzD22pjTUQ8eOfc6m/natGHgKFBK9DxFmIm69YmaRVQrGgFlEfc1HePIurY0TmDeROK05rIg==",
+ "dependencies": {
+ "browserslist": "^4.21.4",
+ "caniuse-api": "^3.0.0"
+ },
+ "engines": {
+ "node": "^10 || ^12 || >=14.0"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2.15"
+ }
+ },
+ "node_modules/postcss-reduce-transforms": {
+ "version": "5.1.0",
+ "resolved": "https://registry.npmjs.org/postcss-reduce-transforms/-/postcss-reduce-transforms-5.1.0.tgz",
+ "integrity": "sha512-2fbdbmgir5AvpW9RLtdONx1QoYG2/EtqpNQbFASDlixBbAYuTcJ0dECwlqNqH7VbaUnEnh8SrxOe2sRIn24XyQ==",
+ "dependencies": {
+ "postcss-value-parser": "^4.2.0"
+ },
+ "engines": {
+ "node": "^10 || ^12 || >=14.0"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2.15"
+ }
+ },
+ "node_modules/postcss-replace-overflow-wrap": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/postcss-replace-overflow-wrap/-/postcss-replace-overflow-wrap-4.0.0.tgz",
+ "integrity": "sha512-KmF7SBPphT4gPPcKZc7aDkweHiKEEO8cla/GjcBK+ckKxiZslIu3C4GCRW3DNfL0o7yW7kMQu9xlZ1kXRXLXtw==",
+ "peerDependencies": {
+ "postcss": "^8.0.3"
+ }
+ },
+ "node_modules/postcss-selector-not": {
+ "version": "6.0.1",
+ "resolved": "https://registry.npmjs.org/postcss-selector-not/-/postcss-selector-not-6.0.1.tgz",
+ "integrity": "sha512-1i9affjAe9xu/y9uqWH+tD4r6/hDaXJruk8xn2x1vzxC2U3J3LKO3zJW4CyxlNhA56pADJ/djpEwpH1RClI2rQ==",
+ "dependencies": {
+ "postcss-selector-parser": "^6.0.10"
+ },
+ "engines": {
+ "node": "^12 || ^14 || >=16"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/csstools"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2"
+ }
+ },
+ "node_modules/postcss-selector-parser": {
+ "version": "6.0.15",
+ "resolved": "https://registry.npmjs.org/postcss-selector-parser/-/postcss-selector-parser-6.0.15.tgz",
+ "integrity": "sha512-rEYkQOMUCEMhsKbK66tbEU9QVIxbhN18YiniAwA7XQYTVBqrBy+P2p5JcdqsHgKM2zWylp8d7J6eszocfds5Sw==",
+ "dependencies": {
+ "cssesc": "^3.0.0",
+ "util-deprecate": "^1.0.2"
+ },
+ "engines": {
+ "node": ">=4"
+ }
+ },
+ "node_modules/postcss-svgo": {
+ "version": "5.1.0",
+ "resolved": "https://registry.npmjs.org/postcss-svgo/-/postcss-svgo-5.1.0.tgz",
+ "integrity": "sha512-D75KsH1zm5ZrHyxPakAxJWtkyXew5qwS70v56exwvw542d9CRtTo78K0WeFxZB4G7JXKKMbEZtZayTGdIky/eA==",
+ "dependencies": {
+ "postcss-value-parser": "^4.2.0",
+ "svgo": "^2.7.0"
+ },
+ "engines": {
+ "node": "^10 || ^12 || >=14.0"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2.15"
+ }
+ },
+ "node_modules/postcss-svgo/node_modules/commander": {
+ "version": "7.2.0",
+ "resolved": "https://registry.npmjs.org/commander/-/commander-7.2.0.tgz",
+ "integrity": "sha512-QrWXB+ZQSVPmIWIhtEO9H+gwHaMGYiF5ChvoJ+K9ZGHG/sVsa6yiesAD1GC/x46sET00Xlwo1u49RVVVzvcSkw==",
+ "engines": {
+ "node": ">= 10"
+ }
+ },
+ "node_modules/postcss-svgo/node_modules/css-tree": {
+ "version": "1.1.3",
+ "resolved": "https://registry.npmjs.org/css-tree/-/css-tree-1.1.3.tgz",
+ "integrity": "sha512-tRpdppF7TRazZrjJ6v3stzv93qxRcSsFmW6cX0Zm2NVKpxE1WV1HblnghVv9TreireHkqI/VDEsfolRF1p6y7Q==",
+ "dependencies": {
+ "mdn-data": "2.0.14",
+ "source-map": "^0.6.1"
+ },
+ "engines": {
+ "node": ">=8.0.0"
+ }
+ },
+ "node_modules/postcss-svgo/node_modules/mdn-data": {
+ "version": "2.0.14",
+ "resolved": "https://registry.npmjs.org/mdn-data/-/mdn-data-2.0.14.tgz",
+ "integrity": "sha512-dn6wd0uw5GsdswPFfsgMp5NSB0/aDe6fK94YJV/AJDYXL6HVLWBsxeq7js7Ad+mU2K9LAlwpk6kN2D5mwCPVow=="
+ },
+ "node_modules/postcss-svgo/node_modules/source-map": {
+ "version": "0.6.1",
+ "resolved": "https://registry.npmjs.org/source-map/-/source-map-0.6.1.tgz",
+ "integrity": "sha512-UjgapumWlbMhkBgzT7Ykc5YXUT46F0iKu8SGXq0bcwP5dz/h0Plj6enJqjz1Zbq2l5WaqYnrVbwWOWMyF3F47g==",
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/postcss-svgo/node_modules/svgo": {
+ "version": "2.8.0",
+ "resolved": "https://registry.npmjs.org/svgo/-/svgo-2.8.0.tgz",
+ "integrity": "sha512-+N/Q9kV1+F+UeWYoSiULYo4xYSDQlTgb+ayMobAXPwMnLvop7oxKMo9OzIrX5x3eS4L4f2UHhc9axXwY8DpChg==",
+ "dependencies": {
+ "@trysound/sax": "0.2.0",
+ "commander": "^7.2.0",
+ "css-select": "^4.1.3",
+ "css-tree": "^1.1.3",
+ "csso": "^4.2.0",
+ "picocolors": "^1.0.0",
+ "stable": "^0.1.8"
+ },
+ "bin": {
+ "svgo": "bin/svgo"
+ },
+ "engines": {
+ "node": ">=10.13.0"
+ }
+ },
+ "node_modules/postcss-unique-selectors": {
+ "version": "5.1.1",
+ "resolved": "https://registry.npmjs.org/postcss-unique-selectors/-/postcss-unique-selectors-5.1.1.tgz",
+ "integrity": "sha512-5JiODlELrz8L2HwxfPnhOWZYWDxVHWL83ufOv84NrcgipI7TaeRsatAhK4Tr2/ZiYldpK/wBvw5BD3qfaK96GA==",
+ "dependencies": {
+ "postcss-selector-parser": "^6.0.5"
+ },
+ "engines": {
+ "node": "^10 || ^12 || >=14.0"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2.15"
+ }
+ },
+ "node_modules/postcss-value-parser": {
+ "version": "4.2.0",
+ "resolved": "https://registry.npmjs.org/postcss-value-parser/-/postcss-value-parser-4.2.0.tgz",
+ "integrity": "sha512-1NNCs6uurfkVbeXG4S8JFT9t19m45ICnif8zWLd5oPSZ50QnwMfK+H3jv408d4jw/7Bttv5axS5IiHoLaVNHeQ=="
+ },
+ "node_modules/prelude-ls": {
+ "version": "1.2.1",
+ "resolved": "https://registry.npmjs.org/prelude-ls/-/prelude-ls-1.2.1.tgz",
+ "integrity": "sha512-vkcDPrRZo1QZLbn5RLGPpg/WmIQ65qoWWhcGKf/b5eplkkarX0m9z8ppCat4mlOqUsWpyNuYgO3VRyrYHSzX5g==",
+ "engines": {
+ "node": ">= 0.8.0"
+ }
+ },
+ "node_modules/prettier": {
+ "version": "2.8.8",
+ "resolved": "https://registry.npmjs.org/prettier/-/prettier-2.8.8.tgz",
+ "integrity": "sha512-tdN8qQGvNjw4CHbY+XXk0JgCXn9QiF21a55rBe5LJAU+kDyC4WQn4+awm2Xfk2lQMk5fKup9XgzTZtGkjBdP9Q==",
+ "dev": true,
+ "bin": {
+ "prettier": "bin-prettier.js"
+ },
+ "engines": {
+ "node": ">=10.13.0"
+ },
+ "funding": {
+ "url": "https://github.com/prettier/prettier?sponsor=1"
+ }
+ },
+ "node_modules/pretty-bytes": {
+ "version": "5.6.0",
+ "resolved": "https://registry.npmjs.org/pretty-bytes/-/pretty-bytes-5.6.0.tgz",
+ "integrity": "sha512-FFw039TmrBqFK8ma/7OL3sDz/VytdtJr044/QUJtH0wK9lb9jLq9tJyIxUwtQJHwar2BqtiA4iCWSwo9JLkzFg==",
+ "engines": {
+ "node": ">=6"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/pretty-error": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/pretty-error/-/pretty-error-4.0.0.tgz",
+ "integrity": "sha512-AoJ5YMAcXKYxKhuJGdcvse+Voc6v1RgnsR3nWcYU7q4t6z0Q6T86sv5Zq8VIRbOWWFpvdGE83LtdSMNd+6Y0xw==",
+ "dependencies": {
+ "lodash": "^4.17.20",
+ "renderkid": "^3.0.0"
+ }
+ },
+ "node_modules/pretty-format": {
+ "version": "27.5.1",
+ "resolved": "https://registry.npmjs.org/pretty-format/-/pretty-format-27.5.1.tgz",
+ "integrity": "sha512-Qb1gy5OrP5+zDf2Bvnzdl3jsTf1qXVMazbvCoKhtKqVs4/YK4ozX4gKQJJVyNe+cajNPn0KoC0MC3FUmaHWEmQ==",
+ "dependencies": {
+ "ansi-regex": "^5.0.1",
+ "ansi-styles": "^5.0.0",
+ "react-is": "^17.0.1"
+ },
+ "engines": {
+ "node": "^10.13.0 || ^12.13.0 || ^14.15.0 || >=15.0.0"
+ }
+ },
+ "node_modules/pretty-format/node_modules/ansi-styles": {
+ "version": "5.2.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-5.2.0.tgz",
+ "integrity": "sha512-Cxwpt2SfTzTtXcfOlzGEee8O+c+MmUgGrNiBcXnuWxuFJHe6a5Hz7qwhwe5OgaSYI0IJvkLqWX1ASG+cJOkEiA==",
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/pretty-format/node_modules/react-is": {
+ "version": "17.0.2",
+ "resolved": "https://registry.npmjs.org/react-is/-/react-is-17.0.2.tgz",
+ "integrity": "sha512-w2GsyukL62IJnlaff/nRegPQR94C/XXamvMWmSHRJ4y7Ts/4ocGRmTHvOs8PSE6pB3dWOrD/nueuU5sduBsQ4w=="
+ },
+ "node_modules/process-nextick-args": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/process-nextick-args/-/process-nextick-args-2.0.1.tgz",
+ "integrity": "sha512-3ouUOpQhtgrbOa17J7+uxOTpITYWaGP7/AhoR3+A+/1e9skrzelGi/dXzEYyvbxubEF6Wn2ypscTKiKJFFn1ag=="
+ },
+ "node_modules/promise": {
+ "version": "8.3.0",
+ "resolved": "https://registry.npmjs.org/promise/-/promise-8.3.0.tgz",
+ "integrity": "sha512-rZPNPKTOYVNEEKFaq1HqTgOwZD+4/YHS5ukLzQCypkj+OkYx7iv0mA91lJlpPPZ8vMau3IIGj5Qlwrx+8iiSmg==",
+ "dependencies": {
+ "asap": "~2.0.6"
+ }
+ },
+ "node_modules/prompts": {
+ "version": "2.4.2",
+ "resolved": "https://registry.npmjs.org/prompts/-/prompts-2.4.2.tgz",
+ "integrity": "sha512-NxNv/kLguCA7p3jE8oL2aEBsrJWgAakBpgmgK6lpPWV+WuOmY6r2/zbAVnP+T8bQlA0nzHXSJSJW0Hq7ylaD2Q==",
+ "dependencies": {
+ "kleur": "^3.0.3",
+ "sisteransi": "^1.0.5"
+ },
+ "engines": {
+ "node": ">= 6"
+ }
+ },
+ "node_modules/prop-types": {
+ "version": "15.8.1",
+ "resolved": "https://registry.npmjs.org/prop-types/-/prop-types-15.8.1.tgz",
+ "integrity": "sha512-oj87CgZICdulUohogVAR7AjlC0327U4el4L6eAvOqCeudMDVU0NThNaV+b9Df4dXgSP1gXMTnPdhfe/2qDH5cg==",
+ "dependencies": {
+ "loose-envify": "^1.4.0",
+ "object-assign": "^4.1.1",
+ "react-is": "^16.13.1"
+ }
+ },
+ "node_modules/proxy-addr": {
+ "version": "2.0.7",
+ "resolved": "https://registry.npmjs.org/proxy-addr/-/proxy-addr-2.0.7.tgz",
+ "integrity": "sha512-llQsMLSUDUPT44jdrU/O37qlnifitDP+ZwrmmZcoSKyLKvtZxpyV0n2/bD/N4tBAAZ/gJEdZU7KMraoK1+XYAg==",
+ "dependencies": {
+ "forwarded": "0.2.0",
+ "ipaddr.js": "1.9.1"
+ },
+ "engines": {
+ "node": ">= 0.10"
+ }
+ },
+ "node_modules/proxy-addr/node_modules/ipaddr.js": {
+ "version": "1.9.1",
+ "resolved": "https://registry.npmjs.org/ipaddr.js/-/ipaddr.js-1.9.1.tgz",
+ "integrity": "sha512-0KI/607xoxSToH7GjN1FfSbLoU0+btTicjsQSWQlh/hZykN8KpmMf7uYwPW3R+akZ6R/w18ZlXSHBYXiYUPO3g==",
+ "engines": {
+ "node": ">= 0.10"
+ }
+ },
+ "node_modules/psl": {
+ "version": "1.9.0",
+ "resolved": "https://registry.npmjs.org/psl/-/psl-1.9.0.tgz",
+ "integrity": "sha512-E/ZsdU4HLs/68gYzgGTkMicWTLPdAftJLfJFlLUAAKZGkStNU72sZjT66SnMDVOfOWY/YAoiD7Jxa9iHvngcag=="
+ },
+ "node_modules/punycode": {
+ "version": "2.3.1",
+ "resolved": "https://registry.npmjs.org/punycode/-/punycode-2.3.1.tgz",
+ "integrity": "sha512-vYt7UD1U9Wg6138shLtLOvdAu+8DsC/ilFtEVHcH+wydcSpNE20AfSOduf6MkRFahL5FY7X1oU7nKVZFtfq8Fg==",
+ "engines": {
+ "node": ">=6"
+ }
+ },
+ "node_modules/q": {
+ "version": "1.5.1",
+ "resolved": "https://registry.npmjs.org/q/-/q-1.5.1.tgz",
+ "integrity": "sha512-kV/CThkXo6xyFEZUugw/+pIOywXcDbFYgSct5cT3gqlbkBE1SJdwy6UQoZvodiWF/ckQLZyDE/Bu1M6gVu5lVw==",
+ "engines": {
+ "node": ">=0.6.0",
+ "teleport": ">=0.2.0"
+ }
+ },
+ "node_modules/qs": {
+ "version": "6.11.0",
+ "resolved": "https://registry.npmjs.org/qs/-/qs-6.11.0.tgz",
+ "integrity": "sha512-MvjoMCJwEarSbUYk5O+nmoSzSutSsTwF85zcHPQ9OrlFoZOYIjaqBAJIqIXjptyD5vThxGq52Xu/MaJzRkIk4Q==",
+ "dependencies": {
+ "side-channel": "^1.0.4"
+ },
+ "engines": {
+ "node": ">=0.6"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/querystringify": {
+ "version": "2.2.0",
+ "resolved": "https://registry.npmjs.org/querystringify/-/querystringify-2.2.0.tgz",
+ "integrity": "sha512-FIqgj2EUvTa7R50u0rGsyTftzjYmv/a3hO345bZNrqabNqjtgiDMgmo4mkUjd+nzU5oF3dClKqFIPUKybUyqoQ=="
+ },
+ "node_modules/queue-microtask": {
+ "version": "1.2.3",
+ "resolved": "https://registry.npmjs.org/queue-microtask/-/queue-microtask-1.2.3.tgz",
+ "integrity": "sha512-NuaNSa6flKT5JaSYQzJok04JzTL1CA6aGhv5rfLW3PgqA+M2ChpZQnAC8h8i4ZFkBS8X5RqkDBHA7r4hej3K9A==",
+ "funding": [
+ {
+ "type": "github",
+ "url": "https://github.com/sponsors/feross"
+ },
+ {
+ "type": "patreon",
+ "url": "https://www.patreon.com/feross"
+ },
+ {
+ "type": "consulting",
+ "url": "https://feross.org/support"
+ }
+ ]
+ },
+ "node_modules/raf": {
+ "version": "3.4.1",
+ "resolved": "https://registry.npmjs.org/raf/-/raf-3.4.1.tgz",
+ "integrity": "sha512-Sq4CW4QhwOHE8ucn6J34MqtZCeWFP2aQSmrlroYgqAV1PjStIhJXxYuTgUIfkEk7zTLjmIjLmU5q+fbD1NnOJA==",
+ "dependencies": {
+ "performance-now": "^2.1.0"
+ }
+ },
+ "node_modules/randombytes": {
+ "version": "2.1.0",
+ "resolved": "https://registry.npmjs.org/randombytes/-/randombytes-2.1.0.tgz",
+ "integrity": "sha512-vYl3iOX+4CKUWuxGi9Ukhie6fsqXqS9FE2Zaic4tNFD2N2QQaXOMFbuKK4QmDHC0JO6B1Zp41J0LpT0oR68amQ==",
+ "dependencies": {
+ "safe-buffer": "^5.1.0"
+ }
+ },
+ "node_modules/range-parser": {
+ "version": "1.2.1",
+ "resolved": "https://registry.npmjs.org/range-parser/-/range-parser-1.2.1.tgz",
+ "integrity": "sha512-Hrgsx+orqoygnmhFbKaHE6c296J+HTAQXoxEF6gNupROmmGJRoyzfG3ccAveqCBrwr/2yxQ5BVd/GTl5agOwSg==",
+ "engines": {
+ "node": ">= 0.6"
+ }
+ },
+ "node_modules/raw-body": {
+ "version": "2.5.1",
+ "resolved": "https://registry.npmjs.org/raw-body/-/raw-body-2.5.1.tgz",
+ "integrity": "sha512-qqJBtEyVgS0ZmPGdCFPWJ3FreoqvG4MVQln/kCgF7Olq95IbOp0/BWyMwbdtn4VTvkM8Y7khCQ2Xgk/tcrCXig==",
+ "dependencies": {
+ "bytes": "3.1.2",
+ "http-errors": "2.0.0",
+ "iconv-lite": "0.4.24",
+ "unpipe": "1.0.0"
+ },
+ "engines": {
+ "node": ">= 0.8"
+ }
+ },
+ "node_modules/raw-body/node_modules/bytes": {
+ "version": "3.1.2",
+ "resolved": "https://registry.npmjs.org/bytes/-/bytes-3.1.2.tgz",
+ "integrity": "sha512-/Nf7TyzTx6S3yRJObOAV7956r8cr2+Oj8AC5dt8wSP3BQAoeX58NoHyCU8P8zGkNXStjTSi6fzO6F0pBdcYbEg==",
+ "engines": {
+ "node": ">= 0.8"
+ }
+ },
+ "node_modules/raw-body/node_modules/iconv-lite": {
+ "version": "0.4.24",
+ "resolved": "https://registry.npmjs.org/iconv-lite/-/iconv-lite-0.4.24.tgz",
+ "integrity": "sha512-v3MXnZAcvnywkTUEZomIActle7RXXeedOR31wwl7VlyoXO4Qi9arvSenNQWne1TcRwhCL1HwLI21bEqdpj8/rA==",
+ "dependencies": {
+ "safer-buffer": ">= 2.1.2 < 3"
+ },
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/react": {
+ "version": "17.0.2",
+ "resolved": "https://registry.npmjs.org/react/-/react-17.0.2.tgz",
+ "integrity": "sha512-gnhPt75i/dq/z3/6q/0asP78D0u592D5L1pd7M8P+dck6Fu/jJeL6iVVK23fptSUZj8Vjf++7wXA8UNclGQcbA==",
+ "dependencies": {
+ "loose-envify": "^1.1.0",
+ "object-assign": "^4.1.1"
+ },
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/react-app-polyfill": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/react-app-polyfill/-/react-app-polyfill-3.0.0.tgz",
+ "integrity": "sha512-sZ41cxiU5llIB003yxxQBYrARBqe0repqPTTYBTmMqTz9szeBbE37BehCE891NZsmdZqqP+xWKdT3eo3vOzN8w==",
+ "dependencies": {
+ "core-js": "^3.19.2",
+ "object-assign": "^4.1.1",
+ "promise": "^8.1.0",
+ "raf": "^3.4.1",
+ "regenerator-runtime": "^0.13.9",
+ "whatwg-fetch": "^3.6.2"
+ },
+ "engines": {
+ "node": ">=14"
+ }
+ },
+ "node_modules/react-app-polyfill/node_modules/regenerator-runtime": {
+ "version": "0.13.11",
+ "resolved": "https://registry.npmjs.org/regenerator-runtime/-/regenerator-runtime-0.13.11.tgz",
+ "integrity": "sha512-kY1AZVr2Ra+t+piVaJ4gxaFaReZVH40AKNo7UCX6W+dEwBo/2oZJzqfuN1qLq1oL45o56cPaTXELwrTh8Fpggg=="
+ },
+ "node_modules/react-collapse": {
+ "version": "5.1.1",
+ "resolved": "https://registry.npmjs.org/react-collapse/-/react-collapse-5.1.1.tgz",
+ "integrity": "sha512-k6cd7csF1o9LBhQ4AGBIdxB60SUEUMQDAnL2z1YvYNr9KoKr+nDkhN6FK7uGaBd/rYrYfrMpzpmJEIeHRYogBw==",
+ "peerDependencies": {
+ "react": ">=16.3.0"
+ }
+ },
+ "node_modules/react-dev-utils": {
+ "version": "12.0.1",
+ "resolved": "https://registry.npmjs.org/react-dev-utils/-/react-dev-utils-12.0.1.tgz",
+ "integrity": "sha512-84Ivxmr17KjUupyqzFode6xKhjwuEJDROWKJy/BthkL7Wn6NJ8h4WE6k/exAv6ImS+0oZLRRW5j/aINMHyeGeQ==",
+ "dependencies": {
+ "@babel/code-frame": "^7.16.0",
+ "address": "^1.1.2",
+ "browserslist": "^4.18.1",
+ "chalk": "^4.1.2",
+ "cross-spawn": "^7.0.3",
+ "detect-port-alt": "^1.1.6",
+ "escape-string-regexp": "^4.0.0",
+ "filesize": "^8.0.6",
+ "find-up": "^5.0.0",
+ "fork-ts-checker-webpack-plugin": "^6.5.0",
+ "global-modules": "^2.0.0",
+ "globby": "^11.0.4",
+ "gzip-size": "^6.0.0",
+ "immer": "^9.0.7",
+ "is-root": "^2.1.0",
+ "loader-utils": "^3.2.0",
+ "open": "^8.4.0",
+ "pkg-up": "^3.1.0",
+ "prompts": "^2.4.2",
+ "react-error-overlay": "^6.0.11",
+ "recursive-readdir": "^2.2.2",
+ "shell-quote": "^1.7.3",
+ "strip-ansi": "^6.0.1",
+ "text-table": "^0.2.0"
+ },
+ "engines": {
+ "node": ">=14"
+ }
+ },
+ "node_modules/react-dev-utils/node_modules/ansi-styles": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-4.3.0.tgz",
+ "integrity": "sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==",
+ "dependencies": {
+ "color-convert": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/react-dev-utils/node_modules/chalk": {
+ "version": "4.1.2",
+ "resolved": "https://registry.npmjs.org/chalk/-/chalk-4.1.2.tgz",
+ "integrity": "sha512-oKnbhFyRIXpUuez8iBMmyEa4nbj4IOQyuhc/wy9kY7/WVPcwIO9VA668Pu8RkO7+0G76SLROeyw9CpQ061i4mA==",
+ "dependencies": {
+ "ansi-styles": "^4.1.0",
+ "supports-color": "^7.1.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/chalk?sponsor=1"
+ }
+ },
+ "node_modules/react-dev-utils/node_modules/color-convert": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-2.0.1.tgz",
+ "integrity": "sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==",
+ "dependencies": {
+ "color-name": "~1.1.4"
+ },
+ "engines": {
+ "node": ">=7.0.0"
+ }
+ },
+ "node_modules/react-dev-utils/node_modules/color-name": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.4.tgz",
+ "integrity": "sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA=="
+ },
+ "node_modules/react-dev-utils/node_modules/escape-string-regexp": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/escape-string-regexp/-/escape-string-regexp-4.0.0.tgz",
+ "integrity": "sha512-TtpcNJ3XAzx3Gq8sWRzJaVajRs0uVxA2YAkdb1jm2YkPz4G6egUFAyA3n5vtEIZefPk5Wa4UXbKuS5fKkJWdgA==",
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/react-dev-utils/node_modules/has-flag": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz",
+ "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/react-dev-utils/node_modules/loader-utils": {
+ "version": "3.2.1",
+ "resolved": "https://registry.npmjs.org/loader-utils/-/loader-utils-3.2.1.tgz",
+ "integrity": "sha512-ZvFw1KWS3GVyYBYb7qkmRM/WwL2TQQBxgCK62rlvm4WpVQ23Nb4tYjApUlfjrEGvOs7KHEsmyUn75OHZrJMWPw==",
+ "engines": {
+ "node": ">= 12.13.0"
+ }
+ },
+ "node_modules/react-dev-utils/node_modules/supports-color": {
+ "version": "7.2.0",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-7.2.0.tgz",
+ "integrity": "sha512-qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==",
+ "dependencies": {
+ "has-flag": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/react-dom": {
+ "version": "17.0.2",
+ "resolved": "https://registry.npmjs.org/react-dom/-/react-dom-17.0.2.tgz",
+ "integrity": "sha512-s4h96KtLDUQlsENhMn1ar8t2bEa+q/YAtj8pPPdIjPDGBDIVNsrD9aXNWqspUe6AzKCIG0C1HZZLqLV7qpOBGA==",
+ "dependencies": {
+ "loose-envify": "^1.1.0",
+ "object-assign": "^4.1.1",
+ "scheduler": "^0.20.2"
+ },
+ "peerDependencies": {
+ "react": "17.0.2"
+ }
+ },
+ "node_modules/react-error-overlay": {
+ "version": "6.0.11",
+ "resolved": "https://registry.npmjs.org/react-error-overlay/-/react-error-overlay-6.0.11.tgz",
+ "integrity": "sha512-/6UZ2qgEyH2aqzYZgQPxEnz33NJ2gNsnHA2o5+o4wW9bLM/JYQitNP9xPhsXwC08hMMovfGe/8retsdDsczPRg=="
+ },
+ "node_modules/react-is": {
+ "version": "16.13.1",
+ "resolved": "https://registry.npmjs.org/react-is/-/react-is-16.13.1.tgz",
+ "integrity": "sha512-24e6ynE2H+OKt4kqsOvNd8kBpV65zoxbA4BVsEOB3ARVWQki/DHzaUoC5KuON/BiccDaCCTZBuOcfZs70kR8bQ=="
+ },
+ "node_modules/react-lifecycles-compat": {
+ "version": "3.0.4",
+ "resolved": "https://registry.npmjs.org/react-lifecycles-compat/-/react-lifecycles-compat-3.0.4.tgz",
+ "integrity": "sha512-fBASbA6LnOU9dOU2eW7aQ8xmYBSXUIWr+UmF9b1efZBazGNO+rcXT/icdKnYm2pTwcRylVUYwW7H1PHfLekVzA=="
+ },
+ "node_modules/react-modal": {
+ "version": "3.16.1",
+ "resolved": "https://registry.npmjs.org/react-modal/-/react-modal-3.16.1.tgz",
+ "integrity": "sha512-VStHgI3BVcGo7OXczvnJN7yT2TWHJPDXZWyI/a0ssFNhGZWsPmB8cF0z33ewDXq4VfYMO1vXgiv/g8Nj9NDyWg==",
+ "dependencies": {
+ "exenv": "^1.2.0",
+ "prop-types": "^15.7.2",
+ "react-lifecycles-compat": "^3.0.0",
+ "warning": "^4.0.3"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "peerDependencies": {
+ "react": "^0.14.0 || ^15.0.0 || ^16 || ^17 || ^18",
+ "react-dom": "^0.14.0 || ^15.0.0 || ^16 || ^17 || ^18"
+ }
+ },
+ "node_modules/react-redux": {
+ "version": "7.2.9",
+ "resolved": "https://registry.npmjs.org/react-redux/-/react-redux-7.2.9.tgz",
+ "integrity": "sha512-Gx4L3uM182jEEayZfRbI/G11ZpYdNAnBs70lFVMNdHJI76XYtR+7m0MN+eAs7UHBPhWXcnFPaS+9owSCJQHNpQ==",
+ "dependencies": {
+ "@babel/runtime": "^7.15.4",
+ "@types/react-redux": "^7.1.20",
+ "hoist-non-react-statics": "^3.3.2",
+ "loose-envify": "^1.4.0",
+ "prop-types": "^15.7.2",
+ "react-is": "^17.0.2"
+ },
+ "peerDependencies": {
+ "react": "^16.8.3 || ^17 || ^18"
+ },
+ "peerDependenciesMeta": {
+ "react-dom": {
+ "optional": true
+ },
+ "react-native": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/react-redux/node_modules/react-is": {
+ "version": "17.0.2",
+ "resolved": "https://registry.npmjs.org/react-is/-/react-is-17.0.2.tgz",
+ "integrity": "sha512-w2GsyukL62IJnlaff/nRegPQR94C/XXamvMWmSHRJ4y7Ts/4ocGRmTHvOs8PSE6pB3dWOrD/nueuU5sduBsQ4w=="
+ },
+ "node_modules/react-refresh": {
+ "version": "0.11.0",
+ "resolved": "https://registry.npmjs.org/react-refresh/-/react-refresh-0.11.0.tgz",
+ "integrity": "sha512-F27qZr8uUqwhWZboondsPx8tnC3Ct3SxZA3V5WyEvujRyyNv0VYPhoBg1gZ8/MV5tubQp76Trw8lTv9hzRBa+A==",
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/react-router": {
+ "version": "5.3.4",
+ "resolved": "https://registry.npmjs.org/react-router/-/react-router-5.3.4.tgz",
+ "integrity": "sha512-Ys9K+ppnJah3QuaRiLxk+jDWOR1MekYQrlytiXxC1RyfbdsZkS5pvKAzCCr031xHixZwpnsYNT5xysdFHQaYsA==",
+ "dependencies": {
+ "@babel/runtime": "^7.12.13",
+ "history": "^4.9.0",
+ "hoist-non-react-statics": "^3.1.0",
+ "loose-envify": "^1.3.1",
+ "path-to-regexp": "^1.7.0",
+ "prop-types": "^15.6.2",
+ "react-is": "^16.6.0",
+ "tiny-invariant": "^1.0.2",
+ "tiny-warning": "^1.0.0"
+ },
+ "peerDependencies": {
+ "react": ">=15"
+ }
+ },
+ "node_modules/react-router-dom": {
+ "version": "5.3.4",
+ "resolved": "https://registry.npmjs.org/react-router-dom/-/react-router-dom-5.3.4.tgz",
+ "integrity": "sha512-m4EqFMHv/Ih4kpcBCONHbkT68KoAeHN4p3lAGoNryfHi0dMy0kCzEZakiKRsvg5wHZ/JLrLW8o8KomWiz/qbYQ==",
+ "dependencies": {
+ "@babel/runtime": "^7.12.13",
+ "history": "^4.9.0",
+ "loose-envify": "^1.3.1",
+ "prop-types": "^15.6.2",
+ "react-router": "5.3.4",
+ "tiny-invariant": "^1.0.2",
+ "tiny-warning": "^1.0.0"
+ },
+ "peerDependencies": {
+ "react": ">=15"
+ }
+ },
+ "node_modules/react-router-hash-link": {
+ "version": "2.4.3",
+ "resolved": "https://registry.npmjs.org/react-router-hash-link/-/react-router-hash-link-2.4.3.tgz",
+ "integrity": "sha512-NU7GWc265m92xh/aYD79Vr1W+zAIXDWp3L2YZOYP4rCqPnJ6LI6vh3+rKgkidtYijozHclaEQTAHaAaMWPVI4A==",
+ "dependencies": {
+ "prop-types": "^15.7.2"
+ },
+ "peerDependencies": {
+ "react": ">=15",
+ "react-router-dom": ">=4"
+ }
+ },
+ "node_modules/react-scripts": {
+ "version": "5.0.1",
+ "resolved": "https://registry.npmjs.org/react-scripts/-/react-scripts-5.0.1.tgz",
+ "integrity": "sha512-8VAmEm/ZAwQzJ+GOMLbBsTdDKOpuZh7RPs0UymvBR2vRk4iZWCskjbFnxqjrzoIvlNNRZ3QJFx6/qDSi6zSnaQ==",
+ "dependencies": {
+ "@babel/core": "^7.16.0",
+ "@pmmmwh/react-refresh-webpack-plugin": "^0.5.3",
+ "@svgr/webpack": "^5.5.0",
+ "babel-jest": "^27.4.2",
+ "babel-loader": "^8.2.3",
+ "babel-plugin-named-asset-import": "^0.3.8",
+ "babel-preset-react-app": "^10.0.1",
+ "bfj": "^7.0.2",
+ "browserslist": "^4.18.1",
+ "camelcase": "^6.2.1",
+ "case-sensitive-paths-webpack-plugin": "^2.4.0",
+ "css-loader": "^6.5.1",
+ "css-minimizer-webpack-plugin": "^3.2.0",
+ "dotenv": "^10.0.0",
+ "dotenv-expand": "^5.1.0",
+ "eslint": "^8.3.0",
+ "eslint-config-react-app": "^7.0.1",
+ "eslint-webpack-plugin": "^3.1.1",
+ "file-loader": "^6.2.0",
+ "fs-extra": "^10.0.0",
+ "html-webpack-plugin": "^5.5.0",
+ "identity-obj-proxy": "^3.0.0",
+ "jest": "^27.4.3",
+ "jest-resolve": "^27.4.2",
+ "jest-watch-typeahead": "^1.0.0",
+ "mini-css-extract-plugin": "^2.4.5",
+ "postcss": "^8.4.4",
+ "postcss-flexbugs-fixes": "^5.0.2",
+ "postcss-loader": "^6.2.1",
+ "postcss-normalize": "^10.0.1",
+ "postcss-preset-env": "^7.0.1",
+ "prompts": "^2.4.2",
+ "react-app-polyfill": "^3.0.0",
+ "react-dev-utils": "^12.0.1",
+ "react-refresh": "^0.11.0",
+ "resolve": "^1.20.0",
+ "resolve-url-loader": "^4.0.0",
+ "sass-loader": "^12.3.0",
+ "semver": "^7.3.5",
+ "source-map-loader": "^3.0.0",
+ "style-loader": "^3.3.1",
+ "tailwindcss": "^3.0.2",
+ "terser-webpack-plugin": "^5.2.5",
+ "webpack": "^5.64.4",
+ "webpack-dev-server": "^4.6.0",
+ "webpack-manifest-plugin": "^4.0.2",
+ "workbox-webpack-plugin": "^6.4.1"
+ },
+ "bin": {
+ "react-scripts": "bin/react-scripts.js"
+ },
+ "engines": {
+ "node": ">=14.0.0"
+ },
+ "optionalDependencies": {
+ "fsevents": "^2.3.2"
+ },
+ "peerDependencies": {
+ "react": ">= 16",
+ "typescript": "^3.2.1 || ^4"
+ },
+ "peerDependenciesMeta": {
+ "typescript": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/react-scripts/node_modules/lru-cache": {
+ "version": "6.0.0",
+ "resolved": "https://registry.npmjs.org/lru-cache/-/lru-cache-6.0.0.tgz",
+ "integrity": "sha512-Jo6dJ04CmSjuznwJSS3pUeWmd/H0ffTlkXXgwZi+eq1UCmqQwCh+eLsYOYCwY991i2Fah4h1BEMCx4qThGbsiA==",
+ "dependencies": {
+ "yallist": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/react-scripts/node_modules/semver": {
+ "version": "7.6.0",
+ "resolved": "https://registry.npmjs.org/semver/-/semver-7.6.0.tgz",
+ "integrity": "sha512-EnwXhrlwXMk9gKu5/flx5sv/an57AkRplG3hTK68W7FRDN+k+OWBj65M7719OkA82XLBxrcX0KSHj+X5COhOVg==",
+ "dependencies": {
+ "lru-cache": "^6.0.0"
+ },
+ "bin": {
+ "semver": "bin/semver.js"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/react-scripts/node_modules/yallist": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/yallist/-/yallist-4.0.0.tgz",
+ "integrity": "sha512-3wdGidZyq5PB084XLES5TpOSRA3wjXAlIWMhum2kRcv/41Sn2emQ0dycQW4uZXLejwKvg6EsvbdlVL+FYEct7A=="
+ },
+ "node_modules/read-cache": {
+ "version": "1.0.0",
+ "resolved": "https://registry.npmjs.org/read-cache/-/read-cache-1.0.0.tgz",
+ "integrity": "sha512-Owdv/Ft7IjOgm/i0xvNDZ1LrRANRfew4b2prF3OWMQLxLfu3bS8FVhCsrSCMK4lR56Y9ya+AThoTpDCTxCmpRA==",
+ "dependencies": {
+ "pify": "^2.3.0"
+ }
+ },
+ "node_modules/readable-stream": {
+ "version": "3.6.2",
+ "resolved": "https://registry.npmjs.org/readable-stream/-/readable-stream-3.6.2.tgz",
+ "integrity": "sha512-9u/sniCrY3D5WdsERHzHE4G2YCXqoG5FTHUiCC4SIbr6XcLZBY05ya9EKjYek9O5xOAwjGq+1JdGBAS7Q9ScoA==",
+ "dependencies": {
+ "inherits": "^2.0.3",
+ "string_decoder": "^1.1.1",
+ "util-deprecate": "^1.0.1"
+ },
+ "engines": {
+ "node": ">= 6"
+ }
+ },
+ "node_modules/readdirp": {
+ "version": "3.6.0",
+ "resolved": "https://registry.npmjs.org/readdirp/-/readdirp-3.6.0.tgz",
+ "integrity": "sha512-hOS089on8RduqdbhvQ5Z37A0ESjsqz6qnRcffsMU3495FuTdqSm+7bhJ29JvIOsBDEEnan5DPu9t3To9VRlMzA==",
+ "dependencies": {
+ "picomatch": "^2.2.1"
+ },
+ "engines": {
+ "node": ">=8.10.0"
+ }
+ },
+ "node_modules/recursive-readdir": {
+ "version": "2.2.3",
+ "resolved": "https://registry.npmjs.org/recursive-readdir/-/recursive-readdir-2.2.3.tgz",
+ "integrity": "sha512-8HrF5ZsXk5FAH9dgsx3BlUer73nIhuj+9OrQwEbLTPOBzGkL1lsFCR01am+v+0m2Cmbs1nP12hLDl5FA7EszKA==",
+ "dependencies": {
+ "minimatch": "^3.0.5"
+ },
+ "engines": {
+ "node": ">=6.0.0"
+ }
+ },
+ "node_modules/redent": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/redent/-/redent-3.0.0.tgz",
+ "integrity": "sha512-6tDA8g98We0zd0GvVeMT9arEOnTw9qM03L9cJXaCjrip1OO764RDBLBfrB4cwzNGDj5OA5ioymC9GkizgWJDUg==",
+ "dev": true,
+ "dependencies": {
+ "indent-string": "^4.0.0",
+ "strip-indent": "^3.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/redux": {
+ "version": "4.2.1",
+ "resolved": "https://registry.npmjs.org/redux/-/redux-4.2.1.tgz",
+ "integrity": "sha512-LAUYz4lc+Do8/g7aeRa8JkyDErK6ekstQaqWQrNRW//MY1TvCEpMtpTWvlQ+FPbWCx+Xixu/6SHt5N0HR+SB4w==",
+ "dependencies": {
+ "@babel/runtime": "^7.9.2"
+ }
+ },
+ "node_modules/redux-thunk": {
+ "version": "2.4.2",
+ "resolved": "https://registry.npmjs.org/redux-thunk/-/redux-thunk-2.4.2.tgz",
+ "integrity": "sha512-+P3TjtnP0k/FEjcBL5FZpoovtvrTNT/UXd4/sluaSyrURlSlhLSzEdfsTBW7WsKB6yPvgd7q/iZPICFjW4o57Q==",
+ "peerDependencies": {
+ "redux": "^4"
+ }
+ },
+ "node_modules/reflect.getprototypeof": {
+ "version": "1.0.5",
+ "resolved": "https://registry.npmjs.org/reflect.getprototypeof/-/reflect.getprototypeof-1.0.5.tgz",
+ "integrity": "sha512-62wgfC8dJWrmxv44CA36pLDnP6KKl3Vhxb7PL+8+qrrFMMoJij4vgiMP8zV4O8+CBMXY1mHxI5fITGHXFHVmQQ==",
+ "dependencies": {
+ "call-bind": "^1.0.5",
+ "define-properties": "^1.2.1",
+ "es-abstract": "^1.22.3",
+ "es-errors": "^1.0.0",
+ "get-intrinsic": "^1.2.3",
+ "globalthis": "^1.0.3",
+ "which-builtin-type": "^1.1.3"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/regenerate": {
+ "version": "1.4.2",
+ "resolved": "https://registry.npmjs.org/regenerate/-/regenerate-1.4.2.tgz",
+ "integrity": "sha512-zrceR/XhGYU/d/opr2EKO7aRHUeiBI8qjtfHqADTwZd6Szfy16la6kqD0MIUs5z5hx6AaKa+PixpPrR289+I0A=="
+ },
+ "node_modules/regenerate-unicode-properties": {
+ "version": "10.1.1",
+ "resolved": "https://registry.npmjs.org/regenerate-unicode-properties/-/regenerate-unicode-properties-10.1.1.tgz",
+ "integrity": "sha512-X007RyZLsCJVVrjgEFVpLUTZwyOZk3oiL75ZcuYjlIWd6rNJtOjkBwQc5AsRrpbKVkxN6sklw/k/9m2jJYOf8Q==",
+ "dependencies": {
+ "regenerate": "^1.4.2"
+ },
+ "engines": {
+ "node": ">=4"
+ }
+ },
+ "node_modules/regenerator-runtime": {
+ "version": "0.14.1",
+ "resolved": "https://registry.npmjs.org/regenerator-runtime/-/regenerator-runtime-0.14.1.tgz",
+ "integrity": "sha512-dYnhHh0nJoMfnkZs6GmmhFknAGRrLznOu5nc9ML+EJxGvrx6H7teuevqVqCuPcPK//3eDrrjQhehXVx9cnkGdw=="
+ },
+ "node_modules/regenerator-transform": {
+ "version": "0.15.2",
+ "resolved": "https://registry.npmjs.org/regenerator-transform/-/regenerator-transform-0.15.2.tgz",
+ "integrity": "sha512-hfMp2BoF0qOk3uc5V20ALGDS2ddjQaLrdl7xrGXvAIow7qeWRM2VA2HuCHkUKk9slq3VwEwLNK3DFBqDfPGYtg==",
+ "dependencies": {
+ "@babel/runtime": "^7.8.4"
+ }
+ },
+ "node_modules/regex-parser": {
+ "version": "2.3.0",
+ "resolved": "https://registry.npmjs.org/regex-parser/-/regex-parser-2.3.0.tgz",
+ "integrity": "sha512-TVILVSz2jY5D47F4mA4MppkBrafEaiUWJO/TcZHEIuI13AqoZMkK1WMA4Om1YkYbTx+9Ki1/tSUXbceyr9saRg=="
+ },
+ "node_modules/regexp.prototype.flags": {
+ "version": "1.5.2",
+ "resolved": "https://registry.npmjs.org/regexp.prototype.flags/-/regexp.prototype.flags-1.5.2.tgz",
+ "integrity": "sha512-NcDiDkTLuPR+++OCKB0nWafEmhg/Da8aUPLPMQbK+bxKKCm1/S5he+AqYa4PlMCVBalb4/yxIRub6qkEx5yJbw==",
+ "dependencies": {
+ "call-bind": "^1.0.6",
+ "define-properties": "^1.2.1",
+ "es-errors": "^1.3.0",
+ "set-function-name": "^2.0.1"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/regexpu-core": {
+ "version": "5.3.2",
+ "resolved": "https://registry.npmjs.org/regexpu-core/-/regexpu-core-5.3.2.tgz",
+ "integrity": "sha512-RAM5FlZz+Lhmo7db9L298p2vHP5ZywrVXmVXpmAD9GuL5MPH6t9ROw1iA/wfHkQ76Qe7AaPF0nGuim96/IrQMQ==",
+ "dependencies": {
+ "@babel/regjsgen": "^0.8.0",
+ "regenerate": "^1.4.2",
+ "regenerate-unicode-properties": "^10.1.0",
+ "regjsparser": "^0.9.1",
+ "unicode-match-property-ecmascript": "^2.0.0",
+ "unicode-match-property-value-ecmascript": "^2.1.0"
+ },
+ "engines": {
+ "node": ">=4"
+ }
+ },
+ "node_modules/regjsparser": {
+ "version": "0.9.1",
+ "resolved": "https://registry.npmjs.org/regjsparser/-/regjsparser-0.9.1.tgz",
+ "integrity": "sha512-dQUtn90WanSNl+7mQKcXAgZxvUe7Z0SqXlgzv0za4LwiUhyzBC58yQO3liFoUgu8GiJVInAhJjkj1N0EtQ5nkQ==",
+ "dependencies": {
+ "jsesc": "~0.5.0"
+ },
+ "bin": {
+ "regjsparser": "bin/parser"
+ }
+ },
+ "node_modules/regjsparser/node_modules/jsesc": {
+ "version": "0.5.0",
+ "resolved": "https://registry.npmjs.org/jsesc/-/jsesc-0.5.0.tgz",
+ "integrity": "sha512-uZz5UnB7u4T9LvwmFqXii7pZSouaRPorGs5who1Ip7VO0wxanFvBL7GkM6dTHlgX+jhBApRetaWpnDabOeTcnA==",
+ "bin": {
+ "jsesc": "bin/jsesc"
+ }
+ },
+ "node_modules/relateurl": {
+ "version": "0.2.7",
+ "resolved": "https://registry.npmjs.org/relateurl/-/relateurl-0.2.7.tgz",
+ "integrity": "sha512-G08Dxvm4iDN3MLM0EsP62EDV9IuhXPR6blNz6Utcp7zyV3tr4HVNINt6MpaRWbxoOHT3Q7YN2P+jaHX8vUbgog==",
+ "engines": {
+ "node": ">= 0.10"
+ }
+ },
+ "node_modules/renderkid": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/renderkid/-/renderkid-3.0.0.tgz",
+ "integrity": "sha512-q/7VIQA8lmM1hF+jn+sFSPWGlMkSAeNYcPLmDQx2zzuiDfaLrOmumR8iaUKlenFgh0XRPIUeSPlH3A+AW3Z5pg==",
+ "dependencies": {
+ "css-select": "^4.1.3",
+ "dom-converter": "^0.2.0",
+ "htmlparser2": "^6.1.0",
+ "lodash": "^4.17.21",
+ "strip-ansi": "^6.0.1"
+ }
+ },
+ "node_modules/require-directory": {
+ "version": "2.1.1",
+ "resolved": "https://registry.npmjs.org/require-directory/-/require-directory-2.1.1.tgz",
+ "integrity": "sha512-fGxEI7+wsG9xrvdjsrlmL22OMTTiHRwAMroiEeMgq8gzoLC/PQr7RsRDSTLUg/bZAZtF+TVIkHc6/4RIKrui+Q==",
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/require-from-string": {
+ "version": "2.0.2",
+ "resolved": "https://registry.npmjs.org/require-from-string/-/require-from-string-2.0.2.tgz",
+ "integrity": "sha512-Xf0nWe6RseziFMu+Ap9biiUbmplq6S9/p+7w7YXP/JBHhrUDDUhwa+vANyubuqfZWTveU//DYVGsDG7RKL/vEw==",
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/requires-port": {
+ "version": "1.0.0",
+ "resolved": "https://registry.npmjs.org/requires-port/-/requires-port-1.0.0.tgz",
+ "integrity": "sha512-KigOCHcocU3XODJxsu8i/j8T9tzT4adHiecwORRQ0ZZFcp7ahwXuRU1m+yuO90C5ZUyGeGfocHDI14M3L3yDAQ=="
+ },
+ "node_modules/reselect": {
+ "version": "4.1.8",
+ "resolved": "https://registry.npmjs.org/reselect/-/reselect-4.1.8.tgz",
+ "integrity": "sha512-ab9EmR80F/zQTMNeneUr4cv+jSwPJgIlvEmVwLerwrWVbpLlBuls9XHzIeTFy4cegU2NHBp3va0LKOzU5qFEYQ=="
+ },
+ "node_modules/resolve": {
+ "version": "1.22.8",
+ "resolved": "https://registry.npmjs.org/resolve/-/resolve-1.22.8.tgz",
+ "integrity": "sha512-oKWePCxqpd6FlLvGV1VU0x7bkPmmCNolxzjMf4NczoDnQcIWrAF+cPtZn5i6n+RfD2d9i0tzpKnG6Yk168yIyw==",
+ "dependencies": {
+ "is-core-module": "^2.13.0",
+ "path-parse": "^1.0.7",
+ "supports-preserve-symlinks-flag": "^1.0.0"
+ },
+ "bin": {
+ "resolve": "bin/resolve"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/resolve-cwd": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/resolve-cwd/-/resolve-cwd-3.0.0.tgz",
+ "integrity": "sha512-OrZaX2Mb+rJCpH/6CpSqt9xFVpN++x01XnN2ie9g6P5/3xelLAkXWVADpdz1IHD/KFfEXyE6V0U01OQ3UO2rEg==",
+ "dependencies": {
+ "resolve-from": "^5.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/resolve-cwd/node_modules/resolve-from": {
+ "version": "5.0.0",
+ "resolved": "https://registry.npmjs.org/resolve-from/-/resolve-from-5.0.0.tgz",
+ "integrity": "sha512-qYg9KP24dD5qka9J47d0aVky0N+b4fTU89LN9iDnjB5waksiC49rvMB0PrUJQGoTmH50XPiqOvAjDfaijGxYZw==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/resolve-from": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/resolve-from/-/resolve-from-4.0.0.tgz",
+ "integrity": "sha512-pb/MYmXstAkysRFx8piNI1tGFNQIFA3vkE3Gq4EuA1dF6gHp/+vgZqsCGJapvy8N3Q+4o7FwvquPJcnZ7RYy4g==",
+ "engines": {
+ "node": ">=4"
+ }
+ },
+ "node_modules/resolve-pathname": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/resolve-pathname/-/resolve-pathname-3.0.0.tgz",
+ "integrity": "sha512-C7rARubxI8bXFNB/hqcp/4iUeIXJhJZvFPFPiSPRnhU5UPxzMFIl+2E6yY6c4k9giDJAhtV+enfA+G89N6Csng=="
+ },
+ "node_modules/resolve-url-loader": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/resolve-url-loader/-/resolve-url-loader-4.0.0.tgz",
+ "integrity": "sha512-05VEMczVREcbtT7Bz+C+96eUO5HDNvdthIiMB34t7FcF8ehcu4wC0sSgPUubs3XW2Q3CNLJk/BJrCU9wVRymiA==",
+ "dependencies": {
+ "adjust-sourcemap-loader": "^4.0.0",
+ "convert-source-map": "^1.7.0",
+ "loader-utils": "^2.0.0",
+ "postcss": "^7.0.35",
+ "source-map": "0.6.1"
+ },
+ "engines": {
+ "node": ">=8.9"
+ },
+ "peerDependencies": {
+ "rework": "1.0.1",
+ "rework-visit": "1.0.0"
+ },
+ "peerDependenciesMeta": {
+ "rework": {
+ "optional": true
+ },
+ "rework-visit": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/resolve-url-loader/node_modules/convert-source-map": {
+ "version": "1.9.0",
+ "resolved": "https://registry.npmjs.org/convert-source-map/-/convert-source-map-1.9.0.tgz",
+ "integrity": "sha512-ASFBup0Mz1uyiIjANan1jzLQami9z1PoYSZCiiYW2FczPbenXc45FZdBZLzOT+r6+iciuEModtmCti+hjaAk0A=="
+ },
+ "node_modules/resolve-url-loader/node_modules/picocolors": {
+ "version": "0.2.1",
+ "resolved": "https://registry.npmjs.org/picocolors/-/picocolors-0.2.1.tgz",
+ "integrity": "sha512-cMlDqaLEqfSaW8Z7N5Jw+lyIW869EzT73/F5lhtY9cLGoVxSXznfgfXMO0Z5K0o0Q2TkTXq+0KFsdnSe3jDViA=="
+ },
+ "node_modules/resolve-url-loader/node_modules/postcss": {
+ "version": "7.0.39",
+ "resolved": "https://registry.npmjs.org/postcss/-/postcss-7.0.39.tgz",
+ "integrity": "sha512-yioayjNbHn6z1/Bywyb2Y4s3yvDAeXGOyxqD+LnVOinq6Mdmd++SW2wUNVzavyyHxd6+DxzWGIuosg6P1Rj8uA==",
+ "dependencies": {
+ "picocolors": "^0.2.1",
+ "source-map": "^0.6.1"
+ },
+ "engines": {
+ "node": ">=6.0.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/postcss/"
+ }
+ },
+ "node_modules/resolve-url-loader/node_modules/source-map": {
+ "version": "0.6.1",
+ "resolved": "https://registry.npmjs.org/source-map/-/source-map-0.6.1.tgz",
+ "integrity": "sha512-UjgapumWlbMhkBgzT7Ykc5YXUT46F0iKu8SGXq0bcwP5dz/h0Plj6enJqjz1Zbq2l5WaqYnrVbwWOWMyF3F47g==",
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/resolve.exports": {
+ "version": "1.1.1",
+ "resolved": "https://registry.npmjs.org/resolve.exports/-/resolve.exports-1.1.1.tgz",
+ "integrity": "sha512-/NtpHNDN7jWhAaQ9BvBUYZ6YTXsRBgfqWFWP7BZBaoMJO/I3G5OFzvTuWNlZC3aPjins1F+TNrLKsGbH4rfsRQ==",
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/restore-cursor": {
+ "version": "3.1.0",
+ "resolved": "https://registry.npmjs.org/restore-cursor/-/restore-cursor-3.1.0.tgz",
+ "integrity": "sha512-l+sSefzHpj5qimhFSE5a8nufZYAM3sBSVMAPtYkmC+4EH2anSGaEMXSD0izRQbu9nfyQ9y5JrVmp7E8oZrUjvA==",
+ "dev": true,
+ "dependencies": {
+ "onetime": "^5.1.0",
+ "signal-exit": "^3.0.2"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/retry": {
+ "version": "0.13.1",
+ "resolved": "https://registry.npmjs.org/retry/-/retry-0.13.1.tgz",
+ "integrity": "sha512-XQBQ3I8W1Cge0Seh+6gjj03LbmRFWuoszgK9ooCpwYIrhhoO80pfq4cUkU5DkknwfOfFteRwlZ56PYOGYyFWdg==",
+ "engines": {
+ "node": ">= 4"
+ }
+ },
+ "node_modules/reusify": {
+ "version": "1.0.4",
+ "resolved": "https://registry.npmjs.org/reusify/-/reusify-1.0.4.tgz",
+ "integrity": "sha512-U9nH88a3fc/ekCF1l0/UP1IosiuIjyTh7hBvXVMHYgVcfGvt897Xguj2UOLDeI5BG2m7/uwyaLVT6fbtCwTyzw==",
+ "engines": {
+ "iojs": ">=1.0.0",
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/rfdc": {
+ "version": "1.3.1",
+ "resolved": "https://registry.npmjs.org/rfdc/-/rfdc-1.3.1.tgz",
+ "integrity": "sha512-r5a3l5HzYlIC68TpmYKlxWjmOP6wiPJ1vWv2HeLhNsRZMrCkxeqxiHlQ21oXmQ4F3SiryXBHhAD7JZqvOJjFmg==",
+ "dev": true
+ },
+ "node_modules/rimraf": {
+ "version": "3.0.2",
+ "resolved": "https://registry.npmjs.org/rimraf/-/rimraf-3.0.2.tgz",
+ "integrity": "sha512-JZkJMZkAGFFPP2YqXZXPbMlMBgsxzE8ILs4lMIX/2o0L9UBw9O/Y3o6wFw/i9YLapcUJWwqbi3kdxIPdC62TIA==",
+ "dependencies": {
+ "glob": "^7.1.3"
+ },
+ "bin": {
+ "rimraf": "bin.js"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/isaacs"
+ }
+ },
+ "node_modules/rollup": {
+ "version": "2.79.1",
+ "resolved": "https://registry.npmjs.org/rollup/-/rollup-2.79.1.tgz",
+ "integrity": "sha512-uKxbd0IhMZOhjAiD5oAFp7BqvkA4Dv47qpOCtaNvng4HBwdbWtdOh8f5nZNuk2rp51PMGk3bzfWu5oayNEuYnw==",
+ "bin": {
+ "rollup": "dist/bin/rollup"
+ },
+ "engines": {
+ "node": ">=10.0.0"
+ },
+ "optionalDependencies": {
+ "fsevents": "~2.3.2"
+ }
+ },
+ "node_modules/rollup-plugin-terser": {
+ "version": "7.0.2",
+ "resolved": "https://registry.npmjs.org/rollup-plugin-terser/-/rollup-plugin-terser-7.0.2.tgz",
+ "integrity": "sha512-w3iIaU4OxcF52UUXiZNsNeuXIMDvFrr+ZXK6bFZ0Q60qyVfq4uLptoS4bbq3paG3x216eQllFZX7zt6TIImguQ==",
+ "deprecated": "This package has been deprecated and is no longer maintained. Please use @rollup/plugin-terser",
+ "dependencies": {
+ "@babel/code-frame": "^7.10.4",
+ "jest-worker": "^26.2.1",
+ "serialize-javascript": "^4.0.0",
+ "terser": "^5.0.0"
+ },
+ "peerDependencies": {
+ "rollup": "^2.0.0"
+ }
+ },
+ "node_modules/rollup-plugin-terser/node_modules/has-flag": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz",
+ "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/rollup-plugin-terser/node_modules/jest-worker": {
+ "version": "26.6.2",
+ "resolved": "https://registry.npmjs.org/jest-worker/-/jest-worker-26.6.2.tgz",
+ "integrity": "sha512-KWYVV1c4i+jbMpaBC+U++4Va0cp8OisU185o73T1vo99hqi7w8tSJfUXYswwqqrjzwxa6KpRK54WhPvwf5w6PQ==",
+ "dependencies": {
+ "@types/node": "*",
+ "merge-stream": "^2.0.0",
+ "supports-color": "^7.0.0"
+ },
+ "engines": {
+ "node": ">= 10.13.0"
+ }
+ },
+ "node_modules/rollup-plugin-terser/node_modules/serialize-javascript": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/serialize-javascript/-/serialize-javascript-4.0.0.tgz",
+ "integrity": "sha512-GaNA54380uFefWghODBWEGisLZFj00nS5ACs6yHa9nLqlLpVLO8ChDGeKRjZnV4Nh4n0Qi7nhYZD/9fCPzEqkw==",
+ "dependencies": {
+ "randombytes": "^2.1.0"
+ }
+ },
+ "node_modules/rollup-plugin-terser/node_modules/supports-color": {
+ "version": "7.2.0",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-7.2.0.tgz",
+ "integrity": "sha512-qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==",
+ "dependencies": {
+ "has-flag": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/run-parallel": {
+ "version": "1.2.0",
+ "resolved": "https://registry.npmjs.org/run-parallel/-/run-parallel-1.2.0.tgz",
+ "integrity": "sha512-5l4VyZR86LZ/lDxZTR6jqL8AFE2S0IFLMP26AbjsLVADxHdhB/c0GUsH+y39UfCi3dzz8OlQuPmnaJOMoDHQBA==",
+ "funding": [
+ {
+ "type": "github",
+ "url": "https://github.com/sponsors/feross"
+ },
+ {
+ "type": "patreon",
+ "url": "https://www.patreon.com/feross"
+ },
+ {
+ "type": "consulting",
+ "url": "https://feross.org/support"
+ }
+ ],
+ "dependencies": {
+ "queue-microtask": "^1.2.2"
+ }
+ },
+ "node_modules/rvfc-polyfill": {
+ "version": "1.0.7",
+ "resolved": "https://registry.npmjs.org/rvfc-polyfill/-/rvfc-polyfill-1.0.7.tgz",
+ "integrity": "sha512-seBl7J1J3/k0LuzW2T9fG6JIOpni5AbU+/87LA+zTYKgTVhsfShmS8K/yOo1eeEjGJHnAdkVAUUM+PEjN9Mpkw=="
+ },
+ "node_modules/rxjs": {
+ "version": "7.8.1",
+ "resolved": "https://registry.npmjs.org/rxjs/-/rxjs-7.8.1.tgz",
+ "integrity": "sha512-AA3TVj+0A2iuIoQkWEK/tqFjBq2j+6PO6Y0zJcvzLAFhEFIO3HL0vls9hWLncZbAAbK0mar7oZ4V079I/qPMxg==",
+ "dev": true,
+ "dependencies": {
+ "tslib": "^2.1.0"
+ }
+ },
+ "node_modules/safe-array-concat": {
+ "version": "1.1.0",
+ "resolved": "https://registry.npmjs.org/safe-array-concat/-/safe-array-concat-1.1.0.tgz",
+ "integrity": "sha512-ZdQ0Jeb9Ofti4hbt5lX3T2JcAamT9hfzYU1MNB+z/jaEbB6wfFfPIR/zEORmZqobkCCJhSjodobH6WHNmJ97dg==",
+ "dependencies": {
+ "call-bind": "^1.0.5",
+ "get-intrinsic": "^1.2.2",
+ "has-symbols": "^1.0.3",
+ "isarray": "^2.0.5"
+ },
+ "engines": {
+ "node": ">=0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/safe-buffer": {
+ "version": "5.2.1",
+ "resolved": "https://registry.npmjs.org/safe-buffer/-/safe-buffer-5.2.1.tgz",
+ "integrity": "sha512-rp3So07KcdmmKbGvgaNxQSJr7bGVSVk5S9Eq1F+ppbRo70+YeaDxkw5Dd8NPN+GD6bjnYm2VuPuCXmpuYvmCXQ==",
+ "funding": [
+ {
+ "type": "github",
+ "url": "https://github.com/sponsors/feross"
+ },
+ {
+ "type": "patreon",
+ "url": "https://www.patreon.com/feross"
+ },
+ {
+ "type": "consulting",
+ "url": "https://feross.org/support"
+ }
+ ]
+ },
+ "node_modules/safe-regex-test": {
+ "version": "1.0.3",
+ "resolved": "https://registry.npmjs.org/safe-regex-test/-/safe-regex-test-1.0.3.tgz",
+ "integrity": "sha512-CdASjNJPvRa7roO6Ra/gLYBTzYzzPyyBXxIMdGW3USQLyjWEls2RgW5UBTXaQVp+OrpeCK3bLem8smtmheoRuw==",
+ "dependencies": {
+ "call-bind": "^1.0.6",
+ "es-errors": "^1.3.0",
+ "is-regex": "^1.1.4"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/safer-buffer": {
+ "version": "2.1.2",
+ "resolved": "https://registry.npmjs.org/safer-buffer/-/safer-buffer-2.1.2.tgz",
+ "integrity": "sha512-YZo3K82SD7Riyi0E1EQPojLz7kpepnSQI9IyPbHHg1XXXevb5dJI7tpyN2ADxGcQbHG7vcyRHk0cbwqcQriUtg=="
+ },
+ "node_modules/sanitize.css": {
+ "version": "13.0.0",
+ "resolved": "https://registry.npmjs.org/sanitize.css/-/sanitize.css-13.0.0.tgz",
+ "integrity": "sha512-ZRwKbh/eQ6w9vmTjkuG0Ioi3HBwPFce0O+v//ve+aOq1oeCy7jMV2qzzAlpsNuqpqCBjjriM1lbtZbF/Q8jVyA=="
+ },
+ "node_modules/sass": {
+ "version": "1.71.0",
+ "resolved": "https://registry.npmjs.org/sass/-/sass-1.71.0.tgz",
+ "integrity": "sha512-HKKIKf49Vkxlrav3F/w6qRuPcmImGVbIXJ2I3Kg0VMA+3Bav+8yE9G5XmP5lMj6nl4OlqbPftGAscNaNu28b8w==",
+ "dependencies": {
+ "chokidar": ">=3.0.0 <4.0.0",
+ "immutable": "^4.0.0",
+ "source-map-js": ">=0.6.2 <2.0.0"
+ },
+ "bin": {
+ "sass": "sass.js"
+ },
+ "engines": {
+ "node": ">=14.0.0"
+ }
+ },
+ "node_modules/sass-loader": {
+ "version": "12.6.0",
+ "resolved": "https://registry.npmjs.org/sass-loader/-/sass-loader-12.6.0.tgz",
+ "integrity": "sha512-oLTaH0YCtX4cfnJZxKSLAyglED0naiYfNG1iXfU5w1LNZ+ukoA5DtyDIN5zmKVZwYNJP4KRc5Y3hkWga+7tYfA==",
+ "dependencies": {
+ "klona": "^2.0.4",
+ "neo-async": "^2.6.2"
+ },
+ "engines": {
+ "node": ">= 12.13.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/webpack"
+ },
+ "peerDependencies": {
+ "fibers": ">= 3.1.0",
+ "node-sass": "^4.0.0 || ^5.0.0 || ^6.0.0 || ^7.0.0",
+ "sass": "^1.3.0",
+ "sass-embedded": "*",
+ "webpack": "^5.0.0"
+ },
+ "peerDependenciesMeta": {
+ "fibers": {
+ "optional": true
+ },
+ "node-sass": {
+ "optional": true
+ },
+ "sass": {
+ "optional": true
+ },
+ "sass-embedded": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/sax": {
+ "version": "1.2.1",
+ "resolved": "https://registry.npmjs.org/sax/-/sax-1.2.1.tgz",
+ "integrity": "sha512-8I2a3LovHTOpm7NV5yOyO8IHqgVsfK4+UuySrXU8YXkSRX7k6hCV9b3HrkKCr3nMpgj+0bmocaJJWpvp1oc7ZA=="
+ },
+ "node_modules/saxes": {
+ "version": "5.0.1",
+ "resolved": "https://registry.npmjs.org/saxes/-/saxes-5.0.1.tgz",
+ "integrity": "sha512-5LBh1Tls8c9xgGjw3QrMwETmTMVk0oFgvrFSvWx62llR2hcEInrKNZ2GZCCuuy2lvWrdl5jhbpeqc5hRYKFOcw==",
+ "dependencies": {
+ "xmlchars": "^2.2.0"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/scheduler": {
+ "version": "0.20.2",
+ "resolved": "https://registry.npmjs.org/scheduler/-/scheduler-0.20.2.tgz",
+ "integrity": "sha512-2eWfGgAqqWFGqtdMmcL5zCMK1U8KlXv8SQFGglL3CEtd0aDVDWgeF/YoCmvln55m5zSk3J/20hTaSBeSObsQDQ==",
+ "dependencies": {
+ "loose-envify": "^1.1.0",
+ "object-assign": "^4.1.1"
+ }
+ },
+ "node_modules/schema-utils": {
+ "version": "3.3.0",
+ "resolved": "https://registry.npmjs.org/schema-utils/-/schema-utils-3.3.0.tgz",
+ "integrity": "sha512-pN/yOAvcC+5rQ5nERGuwrjLlYvLTbCibnZ1I7B1LaiAz9BRBlE9GMgE/eqV30P7aJQUf7Ddimy/RsbYO/GrVGg==",
+ "dependencies": {
+ "@types/json-schema": "^7.0.8",
+ "ajv": "^6.12.5",
+ "ajv-keywords": "^3.5.2"
+ },
+ "engines": {
+ "node": ">= 10.13.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/webpack"
+ }
+ },
+ "node_modules/select-hose": {
+ "version": "2.0.0",
+ "resolved": "https://registry.npmjs.org/select-hose/-/select-hose-2.0.0.tgz",
+ "integrity": "sha512-mEugaLK+YfkijB4fx0e6kImuJdCIt2LxCRcbEYPqRGCs4F2ogyfZU5IAZRdjCP8JPq2AtdNoC/Dux63d9Kiryg=="
+ },
+ "node_modules/selfsigned": {
+ "version": "2.4.1",
+ "resolved": "https://registry.npmjs.org/selfsigned/-/selfsigned-2.4.1.tgz",
+ "integrity": "sha512-th5B4L2U+eGLq1TVh7zNRGBapioSORUeymIydxgFpwww9d2qyKvtuPU2jJuHvYAwwqi2Y596QBL3eEqcPEYL8Q==",
+ "dependencies": {
+ "@types/node-forge": "^1.3.0",
+ "node-forge": "^1"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/semver": {
+ "version": "6.3.1",
+ "resolved": "https://registry.npmjs.org/semver/-/semver-6.3.1.tgz",
+ "integrity": "sha512-BR7VvDCVHO+q2xBEWskxS6DJE1qRnb7DxzUrogb71CWoSficBxYsiAGd+Kl0mmq/MprG9yArRkyrQxTO6XjMzA==",
+ "bin": {
+ "semver": "bin/semver.js"
+ }
+ },
+ "node_modules/send": {
+ "version": "0.18.0",
+ "resolved": "https://registry.npmjs.org/send/-/send-0.18.0.tgz",
+ "integrity": "sha512-qqWzuOjSFOuqPjFe4NOsMLafToQQwBSOEpS+FwEt3A2V3vKubTquT3vmLTQpFgMXp8AlFWFuP1qKaJZOtPpVXg==",
+ "dependencies": {
+ "debug": "2.6.9",
+ "depd": "2.0.0",
+ "destroy": "1.2.0",
+ "encodeurl": "~1.0.2",
+ "escape-html": "~1.0.3",
+ "etag": "~1.8.1",
+ "fresh": "0.5.2",
+ "http-errors": "2.0.0",
+ "mime": "1.6.0",
+ "ms": "2.1.3",
+ "on-finished": "2.4.1",
+ "range-parser": "~1.2.1",
+ "statuses": "2.0.1"
+ },
+ "engines": {
+ "node": ">= 0.8.0"
+ }
+ },
+ "node_modules/send/node_modules/debug": {
+ "version": "2.6.9",
+ "resolved": "https://registry.npmjs.org/debug/-/debug-2.6.9.tgz",
+ "integrity": "sha512-bC7ElrdJaJnPbAP+1EotYvqZsb3ecl5wi6Bfi6BJTUcNowp6cvspg0jXznRTKDjm/E7AdgFBVeAPVMNcKGsHMA==",
+ "dependencies": {
+ "ms": "2.0.0"
+ }
+ },
+ "node_modules/send/node_modules/debug/node_modules/ms": {
+ "version": "2.0.0",
+ "resolved": "https://registry.npmjs.org/ms/-/ms-2.0.0.tgz",
+ "integrity": "sha512-Tpp60P6IUJDTuOq/5Z8cdskzJujfwqfOTkrwIwj7IRISpnkJnT6SyJ4PCPnGMoFjC9ddhal5KVIYtAt97ix05A=="
+ },
+ "node_modules/send/node_modules/ms": {
+ "version": "2.1.3",
+ "resolved": "https://registry.npmjs.org/ms/-/ms-2.1.3.tgz",
+ "integrity": "sha512-6FlzubTLZG3J2a/NVCAleEhjzq5oxgHyaCU9yYXvcLsvoVaHJq/s5xXI6/XXP6tz7R9xAOtHnSO/tXtF3WRTlA=="
+ },
+ "node_modules/serialize-javascript": {
+ "version": "6.0.2",
+ "resolved": "https://registry.npmjs.org/serialize-javascript/-/serialize-javascript-6.0.2.tgz",
+ "integrity": "sha512-Saa1xPByTTq2gdeFZYLLo+RFE35NHZkAbqZeWNd3BpzppeVisAqpDjcp8dyf6uIvEqJRd46jemmyA4iFIeVk8g==",
+ "dependencies": {
+ "randombytes": "^2.1.0"
+ }
+ },
+ "node_modules/serve-index": {
+ "version": "1.9.1",
+ "resolved": "https://registry.npmjs.org/serve-index/-/serve-index-1.9.1.tgz",
+ "integrity": "sha512-pXHfKNP4qujrtteMrSBb0rc8HJ9Ms/GrXwcUtUtD5s4ewDJI8bT3Cz2zTVRMKtri49pLx2e0Ya8ziP5Ya2pZZw==",
+ "dependencies": {
+ "accepts": "~1.3.4",
+ "batch": "0.6.1",
+ "debug": "2.6.9",
+ "escape-html": "~1.0.3",
+ "http-errors": "~1.6.2",
+ "mime-types": "~2.1.17",
+ "parseurl": "~1.3.2"
+ },
+ "engines": {
+ "node": ">= 0.8.0"
+ }
+ },
+ "node_modules/serve-index/node_modules/debug": {
+ "version": "2.6.9",
+ "resolved": "https://registry.npmjs.org/debug/-/debug-2.6.9.tgz",
+ "integrity": "sha512-bC7ElrdJaJnPbAP+1EotYvqZsb3ecl5wi6Bfi6BJTUcNowp6cvspg0jXznRTKDjm/E7AdgFBVeAPVMNcKGsHMA==",
+ "dependencies": {
+ "ms": "2.0.0"
+ }
+ },
+ "node_modules/serve-index/node_modules/depd": {
+ "version": "1.1.2",
+ "resolved": "https://registry.npmjs.org/depd/-/depd-1.1.2.tgz",
+ "integrity": "sha512-7emPTl6Dpo6JRXOXjLRxck+FlLRX5847cLKEn00PLAgc3g2hTZZgr+e4c2v6QpSmLeFP3n5yUo7ft6avBK/5jQ==",
+ "engines": {
+ "node": ">= 0.6"
+ }
+ },
+ "node_modules/serve-index/node_modules/http-errors": {
+ "version": "1.6.3",
+ "resolved": "https://registry.npmjs.org/http-errors/-/http-errors-1.6.3.tgz",
+ "integrity": "sha512-lks+lVC8dgGyh97jxvxeYTWQFvh4uw4yC12gVl63Cg30sjPX4wuGcdkICVXDAESr6OJGjqGA8Iz5mkeN6zlD7A==",
+ "dependencies": {
+ "depd": "~1.1.2",
+ "inherits": "2.0.3",
+ "setprototypeof": "1.1.0",
+ "statuses": ">= 1.4.0 < 2"
+ },
+ "engines": {
+ "node": ">= 0.6"
+ }
+ },
+ "node_modules/serve-index/node_modules/inherits": {
+ "version": "2.0.3",
+ "resolved": "https://registry.npmjs.org/inherits/-/inherits-2.0.3.tgz",
+ "integrity": "sha512-x00IRNXNy63jwGkJmzPigoySHbaqpNuzKbBOmzK+g2OdZpQ9w+sxCN+VSB3ja7IAge2OP2qpfxTjeNcyjmW1uw=="
+ },
+ "node_modules/serve-index/node_modules/ms": {
+ "version": "2.0.0",
+ "resolved": "https://registry.npmjs.org/ms/-/ms-2.0.0.tgz",
+ "integrity": "sha512-Tpp60P6IUJDTuOq/5Z8cdskzJujfwqfOTkrwIwj7IRISpnkJnT6SyJ4PCPnGMoFjC9ddhal5KVIYtAt97ix05A=="
+ },
+ "node_modules/serve-index/node_modules/setprototypeof": {
+ "version": "1.1.0",
+ "resolved": "https://registry.npmjs.org/setprototypeof/-/setprototypeof-1.1.0.tgz",
+ "integrity": "sha512-BvE/TwpZX4FXExxOxZyRGQQv651MSwmWKZGqvmPcRIjDqWub67kTKuIMx43cZZrS/cBBzwBcNDWoFxt2XEFIpQ=="
+ },
+ "node_modules/serve-index/node_modules/statuses": {
+ "version": "1.5.0",
+ "resolved": "https://registry.npmjs.org/statuses/-/statuses-1.5.0.tgz",
+ "integrity": "sha512-OpZ3zP+jT1PI7I8nemJX4AKmAX070ZkYPVWV/AaKTJl+tXCTGyVdC1a4SL8RUQYEwk/f34ZX8UTykN68FwrqAA==",
+ "engines": {
+ "node": ">= 0.6"
+ }
+ },
+ "node_modules/serve-static": {
+ "version": "1.15.0",
+ "resolved": "https://registry.npmjs.org/serve-static/-/serve-static-1.15.0.tgz",
+ "integrity": "sha512-XGuRDNjXUijsUL0vl6nSD7cwURuzEgglbOaFuZM9g3kwDXOWVTck0jLzjPzGD+TazWbboZYu52/9/XPdUgne9g==",
+ "dependencies": {
+ "encodeurl": "~1.0.2",
+ "escape-html": "~1.0.3",
+ "parseurl": "~1.3.3",
+ "send": "0.18.0"
+ },
+ "engines": {
+ "node": ">= 0.8.0"
+ }
+ },
+ "node_modules/set-function-length": {
+ "version": "1.2.1",
+ "resolved": "https://registry.npmjs.org/set-function-length/-/set-function-length-1.2.1.tgz",
+ "integrity": "sha512-j4t6ccc+VsKwYHso+kElc5neZpjtq9EnRICFZtWyBsLojhmeF/ZBd/elqm22WJh/BziDe/SBiOeAt0m2mfLD0g==",
+ "dependencies": {
+ "define-data-property": "^1.1.2",
+ "es-errors": "^1.3.0",
+ "function-bind": "^1.1.2",
+ "get-intrinsic": "^1.2.3",
+ "gopd": "^1.0.1",
+ "has-property-descriptors": "^1.0.1"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ }
+ },
+ "node_modules/set-function-name": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/set-function-name/-/set-function-name-2.0.1.tgz",
+ "integrity": "sha512-tMNCiqYVkXIZgc2Hnoy2IvC/f8ezc5koaRFkCjrpWzGpCd3qbZXPzVy9MAZzK1ch/X0jvSkojys3oqJN0qCmdA==",
+ "dependencies": {
+ "define-data-property": "^1.0.1",
+ "functions-have-names": "^1.2.3",
+ "has-property-descriptors": "^1.0.0"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ }
+ },
+ "node_modules/setprototypeof": {
+ "version": "1.2.0",
+ "resolved": "https://registry.npmjs.org/setprototypeof/-/setprototypeof-1.2.0.tgz",
+ "integrity": "sha512-E5LDX7Wrp85Kil5bhZv46j8jOeboKq5JMmYM3gVGdGH8xFpPWXUMsNrlODCrkoxMEeNi/XZIwuRvY4XNwYMJpw=="
+ },
+ "node_modules/shebang-command": {
+ "version": "2.0.0",
+ "resolved": "https://registry.npmjs.org/shebang-command/-/shebang-command-2.0.0.tgz",
+ "integrity": "sha512-kHxr2zZpYtdmrN1qDjrrX/Z1rR1kG8Dx+gkpK1G4eXmvXswmcE1hTWBWYUzlraYw1/yZp6YuDY77YtvbN0dmDA==",
+ "dependencies": {
+ "shebang-regex": "^3.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/shebang-regex": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/shebang-regex/-/shebang-regex-3.0.0.tgz",
+ "integrity": "sha512-7++dFhtcx3353uBaq8DDR4NuxBetBzC7ZQOhmTQInHEd6bSrXdiEyzCvG07Z44UYdLShWUyXt5M/yhz8ekcb1A==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/shell-quote": {
+ "version": "1.8.1",
+ "resolved": "https://registry.npmjs.org/shell-quote/-/shell-quote-1.8.1.tgz",
+ "integrity": "sha512-6j1W9l1iAs/4xYBI1SYOVZyFcCis9b4KCLQ8fgAGG07QvzaRLVVRQvAy85yNmmZSjYjg4MWh4gNvlPujU/5LpA==",
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/side-channel": {
+ "version": "1.0.5",
+ "resolved": "https://registry.npmjs.org/side-channel/-/side-channel-1.0.5.tgz",
+ "integrity": "sha512-QcgiIWV4WV7qWExbN5llt6frQB/lBven9pqliLXfGPB+K9ZYXxDozp0wLkHS24kWCm+6YXH/f0HhnObZnZOBnQ==",
+ "dependencies": {
+ "call-bind": "^1.0.6",
+ "es-errors": "^1.3.0",
+ "get-intrinsic": "^1.2.4",
+ "object-inspect": "^1.13.1"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/signal-exit": {
+ "version": "3.0.7",
+ "resolved": "https://registry.npmjs.org/signal-exit/-/signal-exit-3.0.7.tgz",
+ "integrity": "sha512-wnD2ZE+l+SPC/uoS0vXeE9L1+0wuaMqKlfz9AMUo38JsyLSBWSFcHR1Rri62LZc12vLr1gb3jl7iwQhgwpAbGQ=="
+ },
+ "node_modules/sisteransi": {
+ "version": "1.0.5",
+ "resolved": "https://registry.npmjs.org/sisteransi/-/sisteransi-1.0.5.tgz",
+ "integrity": "sha512-bLGGlR1QxBcynn2d5YmDX4MGjlZvy2MRBDRNHLJ8VI6l6+9FUiyTFNJ0IveOSP0bcXgVDPRcfGqA0pjaqUpfVg=="
+ },
+ "node_modules/slash": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/slash/-/slash-3.0.0.tgz",
+ "integrity": "sha512-g9Q1haeby36OSStwb4ntCGGGaKsaVSjQ68fBxoQcutl5fS1vuY18H3wSt3jFyFtrkx+Kz0V1G85A4MyAdDMi2Q==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/slice-ansi": {
+ "version": "5.0.0",
+ "resolved": "https://registry.npmjs.org/slice-ansi/-/slice-ansi-5.0.0.tgz",
+ "integrity": "sha512-FC+lgizVPfie0kkhqUScwRu1O/lF6NOgJmlCgK+/LYxDCTk8sGelYaHDhFcDN+Sn3Cv+3VSa4Byeo+IMCzpMgQ==",
+ "dev": true,
+ "dependencies": {
+ "ansi-styles": "^6.0.0",
+ "is-fullwidth-code-point": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=12"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/slice-ansi?sponsor=1"
+ }
+ },
+ "node_modules/slice-ansi/node_modules/ansi-styles": {
+ "version": "6.2.1",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-6.2.1.tgz",
+ "integrity": "sha512-bN798gFfQX+viw3R7yrGWRqnrN2oRkEkUjjl4JNn4E8GxxbjtG3FbrEIIY3l8/hrwUwIeCZvi4QuOTP4MErVug==",
+ "dev": true,
+ "engines": {
+ "node": ">=12"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/sockjs": {
+ "version": "0.3.24",
+ "resolved": "https://registry.npmjs.org/sockjs/-/sockjs-0.3.24.tgz",
+ "integrity": "sha512-GJgLTZ7vYb/JtPSSZ10hsOYIvEYsjbNU+zPdIHcUaWVNUEPivzxku31865sSSud0Da0W4lEeOPlmw93zLQchuQ==",
+ "dependencies": {
+ "faye-websocket": "^0.11.3",
+ "uuid": "^8.3.2",
+ "websocket-driver": "^0.7.4"
+ }
+ },
+ "node_modules/source-list-map": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/source-list-map/-/source-list-map-2.0.1.tgz",
+ "integrity": "sha512-qnQ7gVMxGNxsiL4lEuJwe/To8UnK7fAnmbGEEH8RpLouuKbeEm0lhbQVFIrNSuB+G7tVrAlVsZgETT5nljf+Iw=="
+ },
+ "node_modules/source-map": {
+ "version": "0.7.4",
+ "resolved": "https://registry.npmjs.org/source-map/-/source-map-0.7.4.tgz",
+ "integrity": "sha512-l3BikUxvPOcn5E74dZiq5BGsTb5yEwhaTSzccU6t4sDOH8NWJCstKO5QT2CvtFoK6F0saL7p9xHAqHOlCPJygA==",
+ "engines": {
+ "node": ">= 8"
+ }
+ },
+ "node_modules/source-map-js": {
+ "version": "1.0.2",
+ "resolved": "https://registry.npmjs.org/source-map-js/-/source-map-js-1.0.2.tgz",
+ "integrity": "sha512-R0XvVJ9WusLiqTCEiGCmICCMplcCkIwwR11mOSD9CR5u+IXYdiseeEuXCVAjS54zqwkLcPNnmU4OeJ6tUrWhDw==",
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/source-map-loader": {
+ "version": "3.0.2",
+ "resolved": "https://registry.npmjs.org/source-map-loader/-/source-map-loader-3.0.2.tgz",
+ "integrity": "sha512-BokxPoLjyl3iOrgkWaakaxqnelAJSS+0V+De0kKIq6lyWrXuiPgYTGp6z3iHmqljKAaLXwZa+ctD8GccRJeVvg==",
+ "dependencies": {
+ "abab": "^2.0.5",
+ "iconv-lite": "^0.6.3",
+ "source-map-js": "^1.0.1"
+ },
+ "engines": {
+ "node": ">= 12.13.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/webpack"
+ },
+ "peerDependencies": {
+ "webpack": "^5.0.0"
+ }
+ },
+ "node_modules/source-map-support": {
+ "version": "0.5.21",
+ "resolved": "https://registry.npmjs.org/source-map-support/-/source-map-support-0.5.21.tgz",
+ "integrity": "sha512-uBHU3L3czsIyYXKX88fdrGovxdSCoTGDRZ6SYXtSRxLZUzHg5P/66Ht6uoUlHu9EZod+inXhKo3qQgwXUT/y1w==",
+ "dependencies": {
+ "buffer-from": "^1.0.0",
+ "source-map": "^0.6.0"
+ }
+ },
+ "node_modules/source-map-support/node_modules/source-map": {
+ "version": "0.6.1",
+ "resolved": "https://registry.npmjs.org/source-map/-/source-map-0.6.1.tgz",
+ "integrity": "sha512-UjgapumWlbMhkBgzT7Ykc5YXUT46F0iKu8SGXq0bcwP5dz/h0Plj6enJqjz1Zbq2l5WaqYnrVbwWOWMyF3F47g==",
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/sourcemap-codec": {
+ "version": "1.4.8",
+ "resolved": "https://registry.npmjs.org/sourcemap-codec/-/sourcemap-codec-1.4.8.tgz",
+ "integrity": "sha512-9NykojV5Uih4lgo5So5dtw+f0JgJX30KCNI8gwhz2J9A15wD0Ml6tjHKwf6fTSa6fAdVBdZeNOs9eJ71qCk8vA==",
+ "deprecated": "Please use @jridgewell/sourcemap-codec instead"
+ },
+ "node_modules/spdy": {
+ "version": "4.0.2",
+ "resolved": "https://registry.npmjs.org/spdy/-/spdy-4.0.2.tgz",
+ "integrity": "sha512-r46gZQZQV+Kl9oItvl1JZZqJKGr+oEkB08A6BzkiR7593/7IbtuncXHd2YoYeTsG4157ZssMu9KYvUHLcjcDoA==",
+ "dependencies": {
+ "debug": "^4.1.0",
+ "handle-thing": "^2.0.0",
+ "http-deceiver": "^1.2.7",
+ "select-hose": "^2.0.0",
+ "spdy-transport": "^3.0.0"
+ },
+ "engines": {
+ "node": ">=6.0.0"
+ }
+ },
+ "node_modules/spdy-transport": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/spdy-transport/-/spdy-transport-3.0.0.tgz",
+ "integrity": "sha512-hsLVFE5SjA6TCisWeJXFKniGGOpBgMLmerfO2aCyCU5s7nJ/rpAepqmFifv/GCbSbueEeAJJnmSQ2rKC/g8Fcw==",
+ "dependencies": {
+ "debug": "^4.1.0",
+ "detect-node": "^2.0.4",
+ "hpack.js": "^2.1.6",
+ "obuf": "^1.1.2",
+ "readable-stream": "^3.0.6",
+ "wbuf": "^1.7.3"
+ }
+ },
+ "node_modules/sprintf-js": {
+ "version": "1.0.3",
+ "resolved": "https://registry.npmjs.org/sprintf-js/-/sprintf-js-1.0.3.tgz",
+ "integrity": "sha512-D9cPgkvLlV3t3IzL0D0YLvGA9Ahk4PcvVwUbN0dSGr1aP0Nrt4AEnTUbuGvquEC0mA64Gqt1fzirlRs5ibXx8g=="
+ },
+ "node_modules/stable": {
+ "version": "0.1.8",
+ "resolved": "https://registry.npmjs.org/stable/-/stable-0.1.8.tgz",
+ "integrity": "sha512-ji9qxRnOVfcuLDySj9qzhGSEFVobyt1kIOSkj1qZzYLzq7Tos/oUUWvotUPQLlrsidqsK6tBH89Bc9kL5zHA6w==",
+ "deprecated": "Modern JS already guarantees Array#sort() is a stable sort, so this library is deprecated. See the compatibility table on MDN: https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Array/sort#browser_compatibility"
+ },
+ "node_modules/stack-utils": {
+ "version": "2.0.6",
+ "resolved": "https://registry.npmjs.org/stack-utils/-/stack-utils-2.0.6.tgz",
+ "integrity": "sha512-XlkWvfIm6RmsWtNJx+uqtKLS8eqFbxUg0ZzLXqY0caEy9l7hruX8IpiDnjsLavoBgqCCR71TqWO8MaXYheJ3RQ==",
+ "dependencies": {
+ "escape-string-regexp": "^2.0.0"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/stack-utils/node_modules/escape-string-regexp": {
+ "version": "2.0.0",
+ "resolved": "https://registry.npmjs.org/escape-string-regexp/-/escape-string-regexp-2.0.0.tgz",
+ "integrity": "sha512-UpzcLCXolUWcNu5HtVMHYdXJjArjsF9C0aNnquZYY4uW/Vu0miy5YoWvbV345HauVvcAUnpRuhMMcqTcGOY2+w==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/stackframe": {
+ "version": "1.3.4",
+ "resolved": "https://registry.npmjs.org/stackframe/-/stackframe-1.3.4.tgz",
+ "integrity": "sha512-oeVtt7eWQS+Na6F//S4kJ2K2VbRlS9D43mAlMyVpVWovy9o+jfgH8O9agzANzaiLjclA0oYzUXEM4PurhSUChw=="
+ },
+ "node_modules/static-eval": {
+ "version": "2.0.2",
+ "resolved": "https://registry.npmjs.org/static-eval/-/static-eval-2.0.2.tgz",
+ "integrity": "sha512-N/D219Hcr2bPjLxPiV+TQE++Tsmrady7TqAJugLy7Xk1EumfDWS/f5dtBbkRCGE7wKKXuYockQoj8Rm2/pVKyg==",
+ "dependencies": {
+ "escodegen": "^1.8.1"
+ }
+ },
+ "node_modules/static-eval/node_modules/escodegen": {
+ "version": "1.14.3",
+ "resolved": "https://registry.npmjs.org/escodegen/-/escodegen-1.14.3.tgz",
+ "integrity": "sha512-qFcX0XJkdg+PB3xjZZG/wKSuT1PnQWx57+TVSjIMmILd2yC/6ByYElPwJnslDsuWuSAp4AwJGumarAAmJch5Kw==",
+ "dependencies": {
+ "esprima": "^4.0.1",
+ "estraverse": "^4.2.0",
+ "esutils": "^2.0.2",
+ "optionator": "^0.8.1"
+ },
+ "bin": {
+ "escodegen": "bin/escodegen.js",
+ "esgenerate": "bin/esgenerate.js"
+ },
+ "engines": {
+ "node": ">=4.0"
+ },
+ "optionalDependencies": {
+ "source-map": "~0.6.1"
+ }
+ },
+ "node_modules/static-eval/node_modules/estraverse": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/estraverse/-/estraverse-4.3.0.tgz",
+ "integrity": "sha512-39nnKffWz8xN1BU/2c79n9nB9HDzo0niYUqx6xyqUnyoAnQyyWpOTdZEeiCch8BBu515t4wp9ZmgVfVhn9EBpw==",
+ "engines": {
+ "node": ">=4.0"
+ }
+ },
+ "node_modules/static-eval/node_modules/levn": {
+ "version": "0.3.0",
+ "resolved": "https://registry.npmjs.org/levn/-/levn-0.3.0.tgz",
+ "integrity": "sha512-0OO4y2iOHix2W6ujICbKIaEQXvFQHue65vUG3pb5EUomzPI90z9hsA1VsO/dbIIpC53J8gxM9Q4Oho0jrCM/yA==",
+ "dependencies": {
+ "prelude-ls": "~1.1.2",
+ "type-check": "~0.3.2"
+ },
+ "engines": {
+ "node": ">= 0.8.0"
+ }
+ },
+ "node_modules/static-eval/node_modules/optionator": {
+ "version": "0.8.3",
+ "resolved": "https://registry.npmjs.org/optionator/-/optionator-0.8.3.tgz",
+ "integrity": "sha512-+IW9pACdk3XWmmTXG8m3upGUJst5XRGzxMRjXzAuJ1XnIFNvfhjjIuYkDvysnPQ7qzqVzLt78BCruntqRhWQbA==",
+ "dependencies": {
+ "deep-is": "~0.1.3",
+ "fast-levenshtein": "~2.0.6",
+ "levn": "~0.3.0",
+ "prelude-ls": "~1.1.2",
+ "type-check": "~0.3.2",
+ "word-wrap": "~1.2.3"
+ },
+ "engines": {
+ "node": ">= 0.8.0"
+ }
+ },
+ "node_modules/static-eval/node_modules/prelude-ls": {
+ "version": "1.1.2",
+ "resolved": "https://registry.npmjs.org/prelude-ls/-/prelude-ls-1.1.2.tgz",
+ "integrity": "sha512-ESF23V4SKG6lVSGZgYNpbsiaAkdab6ZgOxe52p7+Kid3W3u3bxR4Vfd/o21dmN7jSt0IwgZ4v5MUd26FEtXE9w==",
+ "engines": {
+ "node": ">= 0.8.0"
+ }
+ },
+ "node_modules/static-eval/node_modules/source-map": {
+ "version": "0.6.1",
+ "resolved": "https://registry.npmjs.org/source-map/-/source-map-0.6.1.tgz",
+ "integrity": "sha512-UjgapumWlbMhkBgzT7Ykc5YXUT46F0iKu8SGXq0bcwP5dz/h0Plj6enJqjz1Zbq2l5WaqYnrVbwWOWMyF3F47g==",
+ "optional": true,
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/static-eval/node_modules/type-check": {
+ "version": "0.3.2",
+ "resolved": "https://registry.npmjs.org/type-check/-/type-check-0.3.2.tgz",
+ "integrity": "sha512-ZCmOJdvOWDBYJlzAoFkC+Q0+bUyEOS1ltgp1MGU03fqHG+dbi9tBFU2Rd9QKiDZFAYrhPh2JUf7rZRIuHRKtOg==",
+ "dependencies": {
+ "prelude-ls": "~1.1.2"
+ },
+ "engines": {
+ "node": ">= 0.8.0"
+ }
+ },
+ "node_modules/statuses": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/statuses/-/statuses-2.0.1.tgz",
+ "integrity": "sha512-RwNA9Z/7PrK06rYLIzFMlaF+l73iwpzsqRIFgbMLbTcLD6cOao82TaWefPXQvB2fOC4AjuYSEndS7N/mTCbkdQ==",
+ "engines": {
+ "node": ">= 0.8"
+ }
+ },
+ "node_modules/stop-iteration-iterator": {
+ "version": "1.0.0",
+ "resolved": "https://registry.npmjs.org/stop-iteration-iterator/-/stop-iteration-iterator-1.0.0.tgz",
+ "integrity": "sha512-iCGQj+0l0HOdZ2AEeBADlsRC+vsnDsZsbdSiH1yNSjcfKM7fdpCMfqAL/dwF5BLiw/XhRft/Wax6zQbhq2BcjQ==",
+ "dev": true,
+ "dependencies": {
+ "internal-slot": "^1.0.4"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ }
+ },
+ "node_modules/string_decoder": {
+ "version": "1.3.0",
+ "resolved": "https://registry.npmjs.org/string_decoder/-/string_decoder-1.3.0.tgz",
+ "integrity": "sha512-hkRX8U1WjJFd8LsDJ2yQ/wWWxaopEsABU1XfkM8A+j0+85JAGppt16cr1Whg6KIbb4okU6Mql6BOj+uup/wKeA==",
+ "dependencies": {
+ "safe-buffer": "~5.2.0"
+ }
+ },
+ "node_modules/string-argv": {
+ "version": "0.3.2",
+ "resolved": "https://registry.npmjs.org/string-argv/-/string-argv-0.3.2.tgz",
+ "integrity": "sha512-aqD2Q0144Z+/RqG52NeHEkZauTAUWJO8c6yTftGJKO3Tja5tUgIfmIl6kExvhtxSDP7fXB6DvzkfMpCd/F3G+Q==",
+ "dev": true,
+ "engines": {
+ "node": ">=0.6.19"
+ }
+ },
+ "node_modules/string-length": {
+ "version": "4.0.2",
+ "resolved": "https://registry.npmjs.org/string-length/-/string-length-4.0.2.tgz",
+ "integrity": "sha512-+l6rNN5fYHNhZZy41RXsYptCjA2Igmq4EG7kZAYFQI1E1VTXarr6ZPXBg6eq7Y6eK4FEhY6AJlyuFIb/v/S0VQ==",
+ "dependencies": {
+ "char-regex": "^1.0.2",
+ "strip-ansi": "^6.0.0"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/string-natural-compare": {
+ "version": "3.0.1",
+ "resolved": "https://registry.npmjs.org/string-natural-compare/-/string-natural-compare-3.0.1.tgz",
+ "integrity": "sha512-n3sPwynL1nwKi3WJ6AIsClwBMa0zTi54fn2oLU6ndfTSIO05xaznjSf15PcBZU6FNWbmN5Q6cxT4V5hGvB4taw=="
+ },
+ "node_modules/string-width": {
+ "version": "5.1.2",
+ "resolved": "https://registry.npmjs.org/string-width/-/string-width-5.1.2.tgz",
+ "integrity": "sha512-HnLOCR3vjcY8beoNLtcjZ5/nxn2afmME6lhrDrebokqMap+XbeW8n9TXpPDOqdGK5qcI3oT0GKTW6wC7EMiVqA==",
+ "dependencies": {
+ "eastasianwidth": "^0.2.0",
+ "emoji-regex": "^9.2.2",
+ "strip-ansi": "^7.0.1"
+ },
+ "engines": {
+ "node": ">=12"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/string-width-cjs": {
+ "name": "string-width",
+ "version": "4.2.3",
+ "resolved": "https://registry.npmjs.org/string-width/-/string-width-4.2.3.tgz",
+ "integrity": "sha512-wKyQRQpjJ0sIp62ErSZdGsjMJWsap5oRNihHhu6G7JVO/9jIB6UyevL+tXuOqrng8j/cxKTWyWUwvSTriiZz/g==",
+ "dependencies": {
+ "emoji-regex": "^8.0.0",
+ "is-fullwidth-code-point": "^3.0.0",
+ "strip-ansi": "^6.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/string-width-cjs/node_modules/emoji-regex": {
+ "version": "8.0.0",
+ "resolved": "https://registry.npmjs.org/emoji-regex/-/emoji-regex-8.0.0.tgz",
+ "integrity": "sha512-MSjYzcWNOA0ewAHpz0MxpYFvwg6yjy1NG3xteoqz644VCo/RPgnr1/GGt+ic3iJTzQ8Eu3TdM14SawnVUmGE6A=="
+ },
+ "node_modules/string-width-cjs/node_modules/is-fullwidth-code-point": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/is-fullwidth-code-point/-/is-fullwidth-code-point-3.0.0.tgz",
+ "integrity": "sha512-zymm5+u+sCsSWyD9qNaejV3DFvhCKclKdizYaJUuHA83RLjb7nSuGnddCHGv0hk+KY7BMAlsWeK4Ueg6EV6XQg==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/string-width/node_modules/ansi-regex": {
+ "version": "6.0.1",
+ "resolved": "https://registry.npmjs.org/ansi-regex/-/ansi-regex-6.0.1.tgz",
+ "integrity": "sha512-n5M855fKb2SsfMIiFFoVrABHJC8QtHwVx+mHWP3QcEqBHYienj5dHSgjbxtC0WEZXYt4wcD6zrQElDPhFuZgfA==",
+ "engines": {
+ "node": ">=12"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-regex?sponsor=1"
+ }
+ },
+ "node_modules/string-width/node_modules/strip-ansi": {
+ "version": "7.1.0",
+ "resolved": "https://registry.npmjs.org/strip-ansi/-/strip-ansi-7.1.0.tgz",
+ "integrity": "sha512-iq6eVVI64nQQTRYq2KtEg2d2uU7LElhTJwsH4YzIHZshxlgZms/wIc4VoDQTlG/IvVIrBKG06CrZnp0qv7hkcQ==",
+ "dependencies": {
+ "ansi-regex": "^6.0.1"
+ },
+ "engines": {
+ "node": ">=12"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/strip-ansi?sponsor=1"
+ }
+ },
+ "node_modules/string.prototype.matchall": {
+ "version": "4.0.10",
+ "resolved": "https://registry.npmjs.org/string.prototype.matchall/-/string.prototype.matchall-4.0.10.tgz",
+ "integrity": "sha512-rGXbGmOEosIQi6Qva94HUjgPs9vKW+dkG7Y8Q5O2OYkWL6wFaTRZO8zM4mhP94uX55wgyrXzfS2aGtGzUL7EJQ==",
+ "dependencies": {
+ "call-bind": "^1.0.2",
+ "define-properties": "^1.2.0",
+ "es-abstract": "^1.22.1",
+ "get-intrinsic": "^1.2.1",
+ "has-symbols": "^1.0.3",
+ "internal-slot": "^1.0.5",
+ "regexp.prototype.flags": "^1.5.0",
+ "set-function-name": "^2.0.0",
+ "side-channel": "^1.0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/string.prototype.trim": {
+ "version": "1.2.8",
+ "resolved": "https://registry.npmjs.org/string.prototype.trim/-/string.prototype.trim-1.2.8.tgz",
+ "integrity": "sha512-lfjY4HcixfQXOfaqCvcBuOIapyaroTXhbkfJN3gcB1OtyupngWK4sEET9Knd0cXd28kTUqu/kHoV4HKSJdnjiQ==",
+ "dependencies": {
+ "call-bind": "^1.0.2",
+ "define-properties": "^1.2.0",
+ "es-abstract": "^1.22.1"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/string.prototype.trimend": {
+ "version": "1.0.7",
+ "resolved": "https://registry.npmjs.org/string.prototype.trimend/-/string.prototype.trimend-1.0.7.tgz",
+ "integrity": "sha512-Ni79DqeB72ZFq1uH/L6zJ+DKZTkOtPIHovb3YZHQViE+HDouuU4mBrLOLDn5Dde3RF8qw5qVETEjhu9locMLvA==",
+ "dependencies": {
+ "call-bind": "^1.0.2",
+ "define-properties": "^1.2.0",
+ "es-abstract": "^1.22.1"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/string.prototype.trimstart": {
+ "version": "1.0.7",
+ "resolved": "https://registry.npmjs.org/string.prototype.trimstart/-/string.prototype.trimstart-1.0.7.tgz",
+ "integrity": "sha512-NGhtDFu3jCEm7B4Fy0DpLewdJQOZcQ0rGbwQ/+stjnrp2i+rlKeCvos9hOIeCmqwratM47OBxY7uFZzjxHXmrg==",
+ "dependencies": {
+ "call-bind": "^1.0.2",
+ "define-properties": "^1.2.0",
+ "es-abstract": "^1.22.1"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/stringify-object": {
+ "version": "3.3.0",
+ "resolved": "https://registry.npmjs.org/stringify-object/-/stringify-object-3.3.0.tgz",
+ "integrity": "sha512-rHqiFh1elqCQ9WPLIC8I0Q/g/wj5J1eMkyoiD6eoQApWHP0FtlK7rqnhmabL5VUY9JQCcqwwvlOaSuutekgyrw==",
+ "dependencies": {
+ "get-own-enumerable-property-symbols": "^3.0.0",
+ "is-obj": "^1.0.1",
+ "is-regexp": "^1.0.0"
+ },
+ "engines": {
+ "node": ">=4"
+ }
+ },
+ "node_modules/strip-ansi": {
+ "version": "6.0.1",
+ "resolved": "https://registry.npmjs.org/strip-ansi/-/strip-ansi-6.0.1.tgz",
+ "integrity": "sha512-Y38VPSHcqkFrCpFnQ9vuSXmquuv5oXOKpGeT6aGrr3o3Gc9AlVa6JBfUSOCnbxGGZF+/0ooI7KrPuUSztUdU5A==",
+ "dependencies": {
+ "ansi-regex": "^5.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/strip-ansi-cjs": {
+ "name": "strip-ansi",
+ "version": "6.0.1",
+ "resolved": "https://registry.npmjs.org/strip-ansi/-/strip-ansi-6.0.1.tgz",
+ "integrity": "sha512-Y38VPSHcqkFrCpFnQ9vuSXmquuv5oXOKpGeT6aGrr3o3Gc9AlVa6JBfUSOCnbxGGZF+/0ooI7KrPuUSztUdU5A==",
+ "dependencies": {
+ "ansi-regex": "^5.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/strip-bom": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/strip-bom/-/strip-bom-4.0.0.tgz",
+ "integrity": "sha512-3xurFv5tEgii33Zi8Jtp55wEIILR9eh34FAW00PZf+JnSsTmV/ioewSgQl97JHvgjoRGwPShsWm+IdrxB35d0w==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/strip-comments": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/strip-comments/-/strip-comments-2.0.1.tgz",
+ "integrity": "sha512-ZprKx+bBLXv067WTCALv8SSz5l2+XhpYCsVtSqlMnkAXMWDq+/ekVbl1ghqP9rUHTzv6sm/DwCOiYutU/yp1fw==",
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/strip-final-newline": {
+ "version": "2.0.0",
+ "resolved": "https://registry.npmjs.org/strip-final-newline/-/strip-final-newline-2.0.0.tgz",
+ "integrity": "sha512-BrpvfNAE3dcvq7ll3xVumzjKjZQ5tI1sEUIKr3Uoks0XUl45St3FlatVqef9prk4jRDzhW6WZg+3bk93y6pLjA==",
+ "engines": {
+ "node": ">=6"
+ }
+ },
+ "node_modules/strip-indent": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/strip-indent/-/strip-indent-3.0.0.tgz",
+ "integrity": "sha512-laJTa3Jb+VQpaC6DseHhF7dXVqHTfJPCRDaEbid/drOhgitgYku/letMUqOXFoWV0zIIUbjpdH2t+tYj4bQMRQ==",
+ "dev": true,
+ "dependencies": {
+ "min-indent": "^1.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/strip-json-comments": {
+ "version": "3.1.1",
+ "resolved": "https://registry.npmjs.org/strip-json-comments/-/strip-json-comments-3.1.1.tgz",
+ "integrity": "sha512-6fPc+R4ihwqP6N/aIv2f1gMH8lOVtWQHoqC4yK6oSDVVocumAsfCqjkXnqiYMhmMwS/mEHLp7Vehlt3ql6lEig==",
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/style-loader": {
+ "version": "3.3.4",
+ "resolved": "https://registry.npmjs.org/style-loader/-/style-loader-3.3.4.tgz",
+ "integrity": "sha512-0WqXzrsMTyb8yjZJHDqwmnwRJvhALK9LfRtRc6B4UTWe8AijYLZYZ9thuJTZc2VfQWINADW/j+LiJnfy2RoC1w==",
+ "engines": {
+ "node": ">= 12.13.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/webpack"
+ },
+ "peerDependencies": {
+ "webpack": "^5.0.0"
+ }
+ },
+ "node_modules/stylehacks": {
+ "version": "5.1.1",
+ "resolved": "https://registry.npmjs.org/stylehacks/-/stylehacks-5.1.1.tgz",
+ "integrity": "sha512-sBpcd5Hx7G6seo7b1LkpttvTz7ikD0LlH5RmdcBNb6fFR0Fl7LQwHDFr300q4cwUqi+IYrFGmsIHieMBfnN/Bw==",
+ "dependencies": {
+ "browserslist": "^4.21.4",
+ "postcss-selector-parser": "^6.0.4"
+ },
+ "engines": {
+ "node": "^10 || ^12 || >=14.0"
+ },
+ "peerDependencies": {
+ "postcss": "^8.2.15"
+ }
+ },
+ "node_modules/sucrase": {
+ "version": "3.35.0",
+ "resolved": "https://registry.npmjs.org/sucrase/-/sucrase-3.35.0.tgz",
+ "integrity": "sha512-8EbVDiu9iN/nESwxeSxDKe0dunta1GOlHufmSSXxMD2z2/tMZpDMpvXQGsc+ajGo8y2uYUmixaSRUc/QPoQ0GA==",
+ "dependencies": {
+ "@jridgewell/gen-mapping": "^0.3.2",
+ "commander": "^4.0.0",
+ "glob": "^10.3.10",
+ "lines-and-columns": "^1.1.6",
+ "mz": "^2.7.0",
+ "pirates": "^4.0.1",
+ "ts-interface-checker": "^0.1.9"
+ },
+ "bin": {
+ "sucrase": "bin/sucrase",
+ "sucrase-node": "bin/sucrase-node"
+ },
+ "engines": {
+ "node": ">=16 || 14 >=14.17"
+ }
+ },
+ "node_modules/sucrase/node_modules/brace-expansion": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/brace-expansion/-/brace-expansion-2.0.1.tgz",
+ "integrity": "sha512-XnAIvQ8eM+kC6aULx6wuQiwVsnzsi9d3WxzV3FpWTGA19F621kwdbsAcFKXgKUHZWsy+mY6iL1sHTxWEFCytDA==",
+ "dependencies": {
+ "balanced-match": "^1.0.0"
+ }
+ },
+ "node_modules/sucrase/node_modules/commander": {
+ "version": "4.1.1",
+ "resolved": "https://registry.npmjs.org/commander/-/commander-4.1.1.tgz",
+ "integrity": "sha512-NOKm8xhkzAjzFx8B2v5OAHT+u5pRQc2UCa2Vq9jYL/31o2wi9mxBA7LIFs3sV5VSC49z6pEhfbMULvShKj26WA==",
+ "engines": {
+ "node": ">= 6"
+ }
+ },
+ "node_modules/sucrase/node_modules/glob": {
+ "version": "10.3.10",
+ "resolved": "https://registry.npmjs.org/glob/-/glob-10.3.10.tgz",
+ "integrity": "sha512-fa46+tv1Ak0UPK1TOy/pZrIybNNt4HCv7SDzwyfiOZkvZLEbjsZkJBPtDHVshZjbecAoAGSC20MjLDG/qr679g==",
+ "dependencies": {
+ "foreground-child": "^3.1.0",
+ "jackspeak": "^2.3.5",
+ "minimatch": "^9.0.1",
+ "minipass": "^5.0.0 || ^6.0.2 || ^7.0.0",
+ "path-scurry": "^1.10.1"
+ },
+ "bin": {
+ "glob": "dist/esm/bin.mjs"
+ },
+ "engines": {
+ "node": ">=16 || 14 >=14.17"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/isaacs"
+ }
+ },
+ "node_modules/sucrase/node_modules/minimatch": {
+ "version": "9.0.3",
+ "resolved": "https://registry.npmjs.org/minimatch/-/minimatch-9.0.3.tgz",
+ "integrity": "sha512-RHiac9mvaRw0x3AYRgDC1CxAP7HTcNrrECeA8YYJeWnpo+2Q5CegtZjaotWTWxDG3UeGA1coE05iH1mPjT/2mg==",
+ "dependencies": {
+ "brace-expansion": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=16 || 14 >=14.17"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/isaacs"
+ }
+ },
+ "node_modules/supports-color": {
+ "version": "5.5.0",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-5.5.0.tgz",
+ "integrity": "sha512-QjVjwdXIt408MIiAqCX4oUKsgU2EqAGzs2Ppkm4aQYbjm+ZEWEcW4SfFNTr4uMNZma0ey4f5lgLrkB0aX0QMow==",
+ "dependencies": {
+ "has-flag": "^3.0.0"
+ },
+ "engines": {
+ "node": ">=4"
+ }
+ },
+ "node_modules/supports-hyperlinks": {
+ "version": "2.3.0",
+ "resolved": "https://registry.npmjs.org/supports-hyperlinks/-/supports-hyperlinks-2.3.0.tgz",
+ "integrity": "sha512-RpsAZlpWcDwOPQA22aCH4J0t7L8JmAvsCxfOSEwm7cQs3LshN36QaTkwd70DnBOXDWGssw2eUoc8CaRWT0XunA==",
+ "dependencies": {
+ "has-flag": "^4.0.0",
+ "supports-color": "^7.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/supports-hyperlinks/node_modules/has-flag": {
+ "version": "4.0.0",
+ "resolved": "https://registry.npmjs.org/has-flag/-/has-flag-4.0.0.tgz",
+ "integrity": "sha512-EykJT/Q1KjTWctppgIAgfSO0tKVuZUjhgMr17kqTumMl6Afv3EISleU7qZUzoXDFTAHTDC4NOoG/ZxU3EvlMPQ==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/supports-hyperlinks/node_modules/supports-color": {
+ "version": "7.2.0",
+ "resolved": "https://registry.npmjs.org/supports-color/-/supports-color-7.2.0.tgz",
+ "integrity": "sha512-qpCAvRl9stuOHveKsn7HncJRvv501qIacKzQlO/+Lwxc9+0q2wLyv4Dfvt80/DPn2pqOBsJdDiogXGR9+OvwRw==",
+ "dependencies": {
+ "has-flag": "^4.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/supports-preserve-symlinks-flag": {
+ "version": "1.0.0",
+ "resolved": "https://registry.npmjs.org/supports-preserve-symlinks-flag/-/supports-preserve-symlinks-flag-1.0.0.tgz",
+ "integrity": "sha512-ot0WnXS9fgdkgIcePe6RHNk1WA8+muPa6cSjeR3V8K27q9BB1rTE3R1p7Hv0z1ZyAc8s6Vvv8DIyWf681MAt0w==",
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/svg-parser": {
+ "version": "2.0.4",
+ "resolved": "https://registry.npmjs.org/svg-parser/-/svg-parser-2.0.4.tgz",
+ "integrity": "sha512-e4hG1hRwoOdRb37cIMSgzNsxyzKfayW6VOflrwvR+/bzrkyxY/31WkbgnQpgtrNp1SdpJvpUAGTa/ZoiPNDuRQ=="
+ },
+ "node_modules/svgo": {
+ "version": "1.3.2",
+ "resolved": "https://registry.npmjs.org/svgo/-/svgo-1.3.2.tgz",
+ "integrity": "sha512-yhy/sQYxR5BkC98CY7o31VGsg014AKLEPxdfhora76l36hD9Rdy5NZA/Ocn6yayNPgSamYdtX2rFJdcv07AYVw==",
+ "deprecated": "This SVGO version is no longer supported. Upgrade to v2.x.x.",
+ "dependencies": {
+ "chalk": "^2.4.1",
+ "coa": "^2.0.2",
+ "css-select": "^2.0.0",
+ "css-select-base-adapter": "^0.1.1",
+ "css-tree": "1.0.0-alpha.37",
+ "csso": "^4.0.2",
+ "js-yaml": "^3.13.1",
+ "mkdirp": "~0.5.1",
+ "object.values": "^1.1.0",
+ "sax": "~1.2.4",
+ "stable": "^0.1.8",
+ "unquote": "~1.1.1",
+ "util.promisify": "~1.0.0"
+ },
+ "bin": {
+ "svgo": "bin/svgo"
+ },
+ "engines": {
+ "node": ">=4.0.0"
+ }
+ },
+ "node_modules/svgo/node_modules/argparse": {
+ "version": "1.0.10",
+ "resolved": "https://registry.npmjs.org/argparse/-/argparse-1.0.10.tgz",
+ "integrity": "sha512-o5Roy6tNG4SL/FOkCAN6RzjiakZS25RLYFrcMttJqbdd8BWrnA+fGz57iN5Pb06pvBGvl5gQ0B48dJlslXvoTg==",
+ "dependencies": {
+ "sprintf-js": "~1.0.2"
+ }
+ },
+ "node_modules/svgo/node_modules/css-select": {
+ "version": "2.1.0",
+ "resolved": "https://registry.npmjs.org/css-select/-/css-select-2.1.0.tgz",
+ "integrity": "sha512-Dqk7LQKpwLoH3VovzZnkzegqNSuAziQyNZUcrdDM401iY+R5NkGBXGmtO05/yaXQziALuPogeG0b7UAgjnTJTQ==",
+ "dependencies": {
+ "boolbase": "^1.0.0",
+ "css-what": "^3.2.1",
+ "domutils": "^1.7.0",
+ "nth-check": "^1.0.2"
+ }
+ },
+ "node_modules/svgo/node_modules/css-what": {
+ "version": "3.4.2",
+ "resolved": "https://registry.npmjs.org/css-what/-/css-what-3.4.2.tgz",
+ "integrity": "sha512-ACUm3L0/jiZTqfzRM3Hi9Q8eZqd6IK37mMWPLz9PJxkLWllYeRf+EHUSHYEtFop2Eqytaq1FizFVh7XfBnXCDQ==",
+ "engines": {
+ "node": ">= 6"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/fb55"
+ }
+ },
+ "node_modules/svgo/node_modules/dom-serializer": {
+ "version": "0.2.2",
+ "resolved": "https://registry.npmjs.org/dom-serializer/-/dom-serializer-0.2.2.tgz",
+ "integrity": "sha512-2/xPb3ORsQ42nHYiSunXkDjPLBaEj/xTwUO4B7XCZQTRk7EBtTOPaygh10YAAh2OI1Qrp6NWfpAhzswj0ydt9g==",
+ "dependencies": {
+ "domelementtype": "^2.0.1",
+ "entities": "^2.0.0"
+ }
+ },
+ "node_modules/svgo/node_modules/domutils": {
+ "version": "1.7.0",
+ "resolved": "https://registry.npmjs.org/domutils/-/domutils-1.7.0.tgz",
+ "integrity": "sha512-Lgd2XcJ/NjEw+7tFvfKxOzCYKZsdct5lczQ2ZaQY8Djz7pfAD3Gbp8ySJWtreII/vDlMVmxwa6pHmdxIYgttDg==",
+ "dependencies": {
+ "dom-serializer": "0",
+ "domelementtype": "1"
+ }
+ },
+ "node_modules/svgo/node_modules/domutils/node_modules/domelementtype": {
+ "version": "1.3.1",
+ "resolved": "https://registry.npmjs.org/domelementtype/-/domelementtype-1.3.1.tgz",
+ "integrity": "sha512-BSKB+TSpMpFI/HOxCNr1O8aMOTZ8hT3pM3GQ0w/mWRmkhEDSFJkkyzz4XQsBV44BChwGkrDfMyjVD0eA2aFV3w=="
+ },
+ "node_modules/svgo/node_modules/js-yaml": {
+ "version": "3.14.1",
+ "resolved": "https://registry.npmjs.org/js-yaml/-/js-yaml-3.14.1.tgz",
+ "integrity": "sha512-okMH7OXXJ7YrN9Ok3/SXrnu4iX9yOk+25nqX4imS2npuvTYDmo/QEZoqwZkYaIDk3jVvBOTOIEgEhaLOynBS9g==",
+ "dependencies": {
+ "argparse": "^1.0.7",
+ "esprima": "^4.0.0"
+ },
+ "bin": {
+ "js-yaml": "bin/js-yaml.js"
+ }
+ },
+ "node_modules/svgo/node_modules/nth-check": {
+ "version": "1.0.2",
+ "resolved": "https://registry.npmjs.org/nth-check/-/nth-check-1.0.2.tgz",
+ "integrity": "sha512-WeBOdju8SnzPN5vTUJYxYUxLeXpCaVP5i5e0LF8fg7WORF2Wd7wFX/pk0tYZk7s8T+J7VLy0Da6J1+wCT0AtHg==",
+ "dependencies": {
+ "boolbase": "~1.0.0"
+ }
+ },
+ "node_modules/svgo/node_modules/sax": {
+ "version": "1.2.4",
+ "resolved": "https://registry.npmjs.org/sax/-/sax-1.2.4.tgz",
+ "integrity": "sha512-NqVDv9TpANUjFm0N8uM5GxL36UgKi9/atZw+x7YFnQ8ckwFGKrl4xX4yWtrey3UJm5nP1kUbnYgLopqWNSRhWw=="
+ },
+ "node_modules/symbol-tree": {
+ "version": "3.2.4",
+ "resolved": "https://registry.npmjs.org/symbol-tree/-/symbol-tree-3.2.4.tgz",
+ "integrity": "sha512-9QNk5KwDF+Bvz+PyObkmSYjI5ksVUYtjW7AU22r2NKcfLJcXp96hkDWU3+XndOsUb+AQ9QhfzfCT2O+CNWT5Tw=="
+ },
+ "node_modules/tailwindcss": {
+ "version": "3.4.1",
+ "resolved": "https://registry.npmjs.org/tailwindcss/-/tailwindcss-3.4.1.tgz",
+ "integrity": "sha512-qAYmXRfk3ENzuPBakNK0SRrUDipP8NQnEY6772uDhflcQz5EhRdD7JNZxyrFHVQNCwULPBn6FNPp9brpO7ctcA==",
+ "dependencies": {
+ "@alloc/quick-lru": "^5.2.0",
+ "arg": "^5.0.2",
+ "chokidar": "^3.5.3",
+ "didyoumean": "^1.2.2",
+ "dlv": "^1.1.3",
+ "fast-glob": "^3.3.0",
+ "glob-parent": "^6.0.2",
+ "is-glob": "^4.0.3",
+ "jiti": "^1.19.1",
+ "lilconfig": "^2.1.0",
+ "micromatch": "^4.0.5",
+ "normalize-path": "^3.0.0",
+ "object-hash": "^3.0.0",
+ "picocolors": "^1.0.0",
+ "postcss": "^8.4.23",
+ "postcss-import": "^15.1.0",
+ "postcss-js": "^4.0.1",
+ "postcss-load-config": "^4.0.1",
+ "postcss-nested": "^6.0.1",
+ "postcss-selector-parser": "^6.0.11",
+ "resolve": "^1.22.2",
+ "sucrase": "^3.32.0"
+ },
+ "bin": {
+ "tailwind": "lib/cli.js",
+ "tailwindcss": "lib/cli.js"
+ },
+ "engines": {
+ "node": ">=14.0.0"
+ }
+ },
+ "node_modules/tailwindcss/node_modules/lilconfig": {
+ "version": "2.1.0",
+ "resolved": "https://registry.npmjs.org/lilconfig/-/lilconfig-2.1.0.tgz",
+ "integrity": "sha512-utWOt/GHzuUxnLKxB6dk81RoOeoNeHgbrXiuGk4yyF5qlRz+iIVWu56E2fqGHFrXz0QNUhLB/8nKqvRH66JKGQ==",
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/tapable": {
+ "version": "2.2.1",
+ "resolved": "https://registry.npmjs.org/tapable/-/tapable-2.2.1.tgz",
+ "integrity": "sha512-GNzQvQTOIP6RyTfE2Qxb8ZVlNmw0n88vp1szwWRimP02mnTsx3Wtn5qRdqY9w2XduFNUgvOwhNnQsjwCp+kqaQ==",
+ "engines": {
+ "node": ">=6"
+ }
+ },
+ "node_modules/temp-dir": {
+ "version": "2.0.0",
+ "resolved": "https://registry.npmjs.org/temp-dir/-/temp-dir-2.0.0.tgz",
+ "integrity": "sha512-aoBAniQmmwtcKp/7BzsH8Cxzv8OL736p7v1ihGb5e9DJ9kTwGWHrQrVB5+lfVDzfGrdRzXch+ig7LHaY1JTOrg==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/tempy": {
+ "version": "0.6.0",
+ "resolved": "https://registry.npmjs.org/tempy/-/tempy-0.6.0.tgz",
+ "integrity": "sha512-G13vtMYPT/J8A4X2SjdtBTphZlrp1gKv6hZiOjw14RCWg6GbHuQBGtjlx75xLbYV/wEc0D7G5K4rxKP/cXk8Bw==",
+ "dependencies": {
+ "is-stream": "^2.0.0",
+ "temp-dir": "^2.0.0",
+ "type-fest": "^0.16.0",
+ "unique-string": "^2.0.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/tempy/node_modules/type-fest": {
+ "version": "0.16.0",
+ "resolved": "https://registry.npmjs.org/type-fest/-/type-fest-0.16.0.tgz",
+ "integrity": "sha512-eaBzG6MxNzEn9kiwvtre90cXaNLkmadMWa1zQMs3XORCXNbsH/OewwbxC5ia9dCxIxnTAsSxXJaa/p5y8DlvJg==",
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/terminal-link": {
+ "version": "2.1.1",
+ "resolved": "https://registry.npmjs.org/terminal-link/-/terminal-link-2.1.1.tgz",
+ "integrity": "sha512-un0FmiRUQNr5PJqy9kP7c40F5BOfpGlYTrxonDChEZB7pzZxRNp/bt+ymiy9/npwXya9KH99nJ/GXFIiUkYGFQ==",
+ "dependencies": {
+ "ansi-escapes": "^4.2.1",
+ "supports-hyperlinks": "^2.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/terser": {
+ "version": "5.27.1",
+ "resolved": "https://registry.npmjs.org/terser/-/terser-5.27.1.tgz",
+ "integrity": "sha512-29wAr6UU/oQpnTw5HoadwjUZnFQXGdOfj0LjZ4sVxzqwHh/QVkvr7m8y9WoR4iN3FRitVduTc6KdjcW38Npsug==",
+ "dependencies": {
+ "@jridgewell/source-map": "^0.3.3",
+ "acorn": "^8.8.2",
+ "commander": "^2.20.0",
+ "source-map-support": "~0.5.20"
+ },
+ "bin": {
+ "terser": "bin/terser"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/terser-webpack-plugin": {
+ "version": "5.3.10",
+ "resolved": "https://registry.npmjs.org/terser-webpack-plugin/-/terser-webpack-plugin-5.3.10.tgz",
+ "integrity": "sha512-BKFPWlPDndPs+NGGCr1U59t0XScL5317Y0UReNrHaw9/FwhPENlq6bfgs+4yPfyP51vqC1bQ4rp1EfXW5ZSH9w==",
+ "dependencies": {
+ "@jridgewell/trace-mapping": "^0.3.20",
+ "jest-worker": "^27.4.5",
+ "schema-utils": "^3.1.1",
+ "serialize-javascript": "^6.0.1",
+ "terser": "^5.26.0"
+ },
+ "engines": {
+ "node": ">= 10.13.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/webpack"
+ },
+ "peerDependencies": {
+ "webpack": "^5.1.0"
+ },
+ "peerDependenciesMeta": {
+ "@swc/core": {
+ "optional": true
+ },
+ "esbuild": {
+ "optional": true
+ },
+ "uglify-js": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/terser/node_modules/commander": {
+ "version": "2.20.3",
+ "resolved": "https://registry.npmjs.org/commander/-/commander-2.20.3.tgz",
+ "integrity": "sha512-GpVkmM8vF2vQUkj2LvZmD35JxeJOLCwJ9cUkugyk2nuhbv3+mJvpLYYt+0+USMxE+oj+ey/lJEnhZw75x/OMcQ=="
+ },
+ "node_modules/test-exclude": {
+ "version": "6.0.0",
+ "resolved": "https://registry.npmjs.org/test-exclude/-/test-exclude-6.0.0.tgz",
+ "integrity": "sha512-cAGWPIyOHU6zlmg88jwm7VRyXnMN7iV68OGAbYDk/Mh/xC/pzVPlQtY6ngoIH/5/tciuhGfvESU8GrHrcxD56w==",
+ "dependencies": {
+ "@istanbuljs/schema": "^0.1.2",
+ "glob": "^7.1.4",
+ "minimatch": "^3.0.4"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/text-table": {
+ "version": "0.2.0",
+ "resolved": "https://registry.npmjs.org/text-table/-/text-table-0.2.0.tgz",
+ "integrity": "sha512-N+8UisAXDGk8PFXP4HAzVR9nbfmVJ3zYLAWiTIoqC5v5isinhr+r5uaO8+7r3BMfuNIufIsA7RdpVgacC2cSpw=="
+ },
+ "node_modules/thenify": {
+ "version": "3.3.1",
+ "resolved": "https://registry.npmjs.org/thenify/-/thenify-3.3.1.tgz",
+ "integrity": "sha512-RVZSIV5IG10Hk3enotrhvz0T9em6cyHBLkH/YAZuKqd8hRkKhSfCGIcP2KUY0EPxndzANBmNllzWPwak+bheSw==",
+ "dependencies": {
+ "any-promise": "^1.0.0"
+ }
+ },
+ "node_modules/thenify-all": {
+ "version": "1.6.0",
+ "resolved": "https://registry.npmjs.org/thenify-all/-/thenify-all-1.6.0.tgz",
+ "integrity": "sha512-RNxQH/qI8/t3thXJDwcstUO4zeqo64+Uy/+sNVRBx4Xn2OX+OZ9oP+iJnNFqplFra2ZUVeKCSa2oVWi3T4uVmA==",
+ "dependencies": {
+ "thenify": ">= 3.1.0 < 4"
+ },
+ "engines": {
+ "node": ">=0.8"
+ }
+ },
+ "node_modules/throat": {
+ "version": "6.0.2",
+ "resolved": "https://registry.npmjs.org/throat/-/throat-6.0.2.tgz",
+ "integrity": "sha512-WKexMoJj3vEuK0yFEapj8y64V0A6xcuPuK9Gt1d0R+dzCSJc0lHqQytAbSB4cDAK0dWh4T0E2ETkoLE2WZ41OQ=="
+ },
+ "node_modules/through": {
+ "version": "2.3.8",
+ "resolved": "https://registry.npmjs.org/through/-/through-2.3.8.tgz",
+ "integrity": "sha512-w89qg7PI8wAdvX60bMDP+bFoD5Dvhm9oLheFp5O4a2QF0cSBGsBX4qZmadPMvVqlLJBBci+WqGGOAPvcDeNSVg==",
+ "dev": true
+ },
+ "node_modules/thunky": {
+ "version": "1.1.0",
+ "resolved": "https://registry.npmjs.org/thunky/-/thunky-1.1.0.tgz",
+ "integrity": "sha512-eHY7nBftgThBqOyHGVN+l8gF0BucP09fMo0oO/Lb0w1OF80dJv+lDVpXG60WMQvkcxAkNybKsrEIE3ZtKGmPrA=="
+ },
+ "node_modules/tiny-invariant": {
+ "version": "1.3.1",
+ "resolved": "https://registry.npmjs.org/tiny-invariant/-/tiny-invariant-1.3.1.tgz",
+ "integrity": "sha512-AD5ih2NlSssTCwsMznbvwMZpJ1cbhkGd2uueNxzv2jDlEeZdU04JQfRnggJQ8DrcVBGjAsCKwFBbDlVNtEMlzw=="
+ },
+ "node_modules/tiny-warning": {
+ "version": "1.0.3",
+ "resolved": "https://registry.npmjs.org/tiny-warning/-/tiny-warning-1.0.3.tgz",
+ "integrity": "sha512-lBN9zLN/oAf68o3zNXYrdCt1kP8WsiGW8Oo2ka41b2IM5JL/S1CTyX1rW0mb/zSuJun0ZUrDxx4sqvYS2FWzPA=="
+ },
+ "node_modules/tmpl": {
+ "version": "1.0.5",
+ "resolved": "https://registry.npmjs.org/tmpl/-/tmpl-1.0.5.tgz",
+ "integrity": "sha512-3f0uOEAQwIqGuWW2MVzYg8fV/QNnc/IpuJNG837rLuczAaLVHslWHZQj4IGiEl5Hs3kkbhwL9Ab7Hrsmuj+Smw=="
+ },
+ "node_modules/to-fast-properties": {
+ "version": "2.0.0",
+ "resolved": "https://registry.npmjs.org/to-fast-properties/-/to-fast-properties-2.0.0.tgz",
+ "integrity": "sha512-/OaKK0xYrs3DmxRYqL/yDc+FxFUVYhDlXMhRmv3z915w2HF1tnN1omB354j8VUGO/hbRzyD6Y3sA7v7GS/ceog==",
+ "engines": {
+ "node": ">=4"
+ }
+ },
+ "node_modules/to-regex-range": {
+ "version": "5.0.1",
+ "resolved": "https://registry.npmjs.org/to-regex-range/-/to-regex-range-5.0.1.tgz",
+ "integrity": "sha512-65P7iz6X5yEr1cwcgvQxbbIw7Uk3gOy5dIdtZ4rDveLqhrdJP+Li/Hx6tyK0NEb+2GCyneCMJiGqrADCSNk8sQ==",
+ "dependencies": {
+ "is-number": "^7.0.0"
+ },
+ "engines": {
+ "node": ">=8.0"
+ }
+ },
+ "node_modules/toidentifier": {
+ "version": "1.0.1",
+ "resolved": "https://registry.npmjs.org/toidentifier/-/toidentifier-1.0.1.tgz",
+ "integrity": "sha512-o5sSPKEkg/DIQNmH43V0/uerLrpzVedkUh8tGNvaeXpfpuwjKenlSox/2O/BTlZUtEe+JG7s5YhEz608PlAHRA==",
+ "engines": {
+ "node": ">=0.6"
+ }
+ },
+ "node_modules/tough-cookie": {
+ "version": "4.1.3",
+ "resolved": "https://registry.npmjs.org/tough-cookie/-/tough-cookie-4.1.3.tgz",
+ "integrity": "sha512-aX/y5pVRkfRnfmuX+OdbSdXvPe6ieKX/G2s7e98f4poJHnqH3281gDPm/metm6E/WRamfx7WC4HUqkWHfQHprw==",
+ "dependencies": {
+ "psl": "^1.1.33",
+ "punycode": "^2.1.1",
+ "universalify": "^0.2.0",
+ "url-parse": "^1.5.3"
+ },
+ "engines": {
+ "node": ">=6"
+ }
+ },
+ "node_modules/tough-cookie/node_modules/universalify": {
+ "version": "0.2.0",
+ "resolved": "https://registry.npmjs.org/universalify/-/universalify-0.2.0.tgz",
+ "integrity": "sha512-CJ1QgKmNg3CwvAv/kOFmtnEN05f0D/cn9QntgNOQlQF9dgvVTHj3t+8JPdjqawCHk7V/KA+fbUqzZ9XWhcqPUg==",
+ "engines": {
+ "node": ">= 4.0.0"
+ }
+ },
+ "node_modules/tr46": {
+ "version": "2.1.0",
+ "resolved": "https://registry.npmjs.org/tr46/-/tr46-2.1.0.tgz",
+ "integrity": "sha512-15Ih7phfcdP5YxqiB+iDtLoaTz4Nd35+IiAv0kQ5FNKHzXgdWqPoTIqEDDJmXceQt4JZk6lVPT8lnDlPpGDppw==",
+ "dependencies": {
+ "punycode": "^2.1.1"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/tryer": {
+ "version": "1.0.1",
+ "resolved": "https://registry.npmjs.org/tryer/-/tryer-1.0.1.tgz",
+ "integrity": "sha512-c3zayb8/kWWpycWYg87P71E1S1ZL6b6IJxfb5fvsUgsf0S2MVGaDhDXXjDMpdCpfWXqptc+4mXwmiy1ypXqRAA=="
+ },
+ "node_modules/ts-interface-checker": {
+ "version": "0.1.13",
+ "resolved": "https://registry.npmjs.org/ts-interface-checker/-/ts-interface-checker-0.1.13.tgz",
+ "integrity": "sha512-Y/arvbn+rrz3JCKl9C4kVNfTfSm2/mEp5FSz5EsZSANGPSlQrpRI5M4PKF+mJnE52jOO90PnPSc3Ur3bTQw0gA=="
+ },
+ "node_modules/tsconfig-paths": {
+ "version": "3.15.0",
+ "resolved": "https://registry.npmjs.org/tsconfig-paths/-/tsconfig-paths-3.15.0.tgz",
+ "integrity": "sha512-2Ac2RgzDe/cn48GvOe3M+o82pEFewD3UPbyoUHHdKasHwJKjds4fLXWf/Ux5kATBKN20oaFGu+jbElp1pos0mg==",
+ "dependencies": {
+ "@types/json5": "^0.0.29",
+ "json5": "^1.0.2",
+ "minimist": "^1.2.6",
+ "strip-bom": "^3.0.0"
+ }
+ },
+ "node_modules/tsconfig-paths/node_modules/json5": {
+ "version": "1.0.2",
+ "resolved": "https://registry.npmjs.org/json5/-/json5-1.0.2.tgz",
+ "integrity": "sha512-g1MWMLBiz8FKi1e4w0UyVL3w+iJceWAFBAaBnnGKOpNa5f8TLktkbre1+s6oICydWAm+HRUGTmI+//xv2hvXYA==",
+ "dependencies": {
+ "minimist": "^1.2.0"
+ },
+ "bin": {
+ "json5": "lib/cli.js"
+ }
+ },
+ "node_modules/tsconfig-paths/node_modules/strip-bom": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/strip-bom/-/strip-bom-3.0.0.tgz",
+ "integrity": "sha512-vavAMRXOgBVNF6nyEEmL3DBK19iRpDcoIwW+swQ+CbGiu7lju6t+JklA1MHweoWtadgt4ISVUsXLyDq34ddcwA==",
+ "engines": {
+ "node": ">=4"
+ }
+ },
+ "node_modules/tslib": {
+ "version": "2.6.2",
+ "resolved": "https://registry.npmjs.org/tslib/-/tslib-2.6.2.tgz",
+ "integrity": "sha512-AEYxH93jGFPn/a2iVAwW87VuUIkR1FVUKB77NwMF7nBTDkDrrT/Hpt/IrCJ0QXhW27jTBDcf5ZY7w6RiqTMw2Q=="
+ },
+ "node_modules/tsutils": {
+ "version": "3.21.0",
+ "resolved": "https://registry.npmjs.org/tsutils/-/tsutils-3.21.0.tgz",
+ "integrity": "sha512-mHKK3iUXL+3UF6xL5k0PEhKRUBKPBCv/+RkEOpjRWxxx27KKRBmmA60A9pgOUvMi8GKhRMPEmjBRPzs2W7O1OA==",
+ "dependencies": {
+ "tslib": "^1.8.1"
+ },
+ "engines": {
+ "node": ">= 6"
+ },
+ "peerDependencies": {
+ "typescript": ">=2.8.0 || >= 3.2.0-dev || >= 3.3.0-dev || >= 3.4.0-dev || >= 3.5.0-dev || >= 3.6.0-dev || >= 3.6.0-beta || >= 3.7.0-dev || >= 3.7.0-beta"
+ }
+ },
+ "node_modules/tsutils/node_modules/tslib": {
+ "version": "1.14.1",
+ "resolved": "https://registry.npmjs.org/tslib/-/tslib-1.14.1.tgz",
+ "integrity": "sha512-Xni35NKzjgMrwevysHTCArtLDpPvye8zV/0E4EyYn43P7/7qvQwPh9BGkHewbMulVntbigmcT7rdX3BNo9wRJg=="
+ },
+ "node_modules/type-check": {
+ "version": "0.4.0",
+ "resolved": "https://registry.npmjs.org/type-check/-/type-check-0.4.0.tgz",
+ "integrity": "sha512-XleUoc9uwGXqjWwXaUTZAmzMcFZ5858QA2vvx1Ur5xIcixXIP+8LnFDgRplU30us6teqdlskFfu+ae4K79Ooew==",
+ "dependencies": {
+ "prelude-ls": "^1.2.1"
+ },
+ "engines": {
+ "node": ">= 0.8.0"
+ }
+ },
+ "node_modules/type-detect": {
+ "version": "4.0.8",
+ "resolved": "https://registry.npmjs.org/type-detect/-/type-detect-4.0.8.tgz",
+ "integrity": "sha512-0fr/mIH1dlO+x7TlcMy+bIDqKPsw/70tVyeHW787goQjhmqaZe10uwLujubK9q9Lg6Fiho1KUKDYz0Z7k7g5/g==",
+ "engines": {
+ "node": ">=4"
+ }
+ },
+ "node_modules/type-fest": {
+ "version": "0.21.3",
+ "resolved": "https://registry.npmjs.org/type-fest/-/type-fest-0.21.3.tgz",
+ "integrity": "sha512-t0rzBq87m3fVcduHDUFhKmyyX+9eo6WQjZvf51Ea/M0Q7+T374Jp1aUiyUl0GKxp8M/OETVHSDvmkyPgvX+X2w==",
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ },
+ "node_modules/type-is": {
+ "version": "1.6.18",
+ "resolved": "https://registry.npmjs.org/type-is/-/type-is-1.6.18.tgz",
+ "integrity": "sha512-TkRKr9sUTxEH8MdfuCSP7VizJyzRNMjj2J2do2Jr3Kym598JVdEksuzPQCnlFPW4ky9Q+iA+ma9BGm06XQBy8g==",
+ "dependencies": {
+ "media-typer": "0.3.0",
+ "mime-types": "~2.1.24"
+ },
+ "engines": {
+ "node": ">= 0.6"
+ }
+ },
+ "node_modules/typed-array-buffer": {
+ "version": "1.0.1",
+ "resolved": "https://registry.npmjs.org/typed-array-buffer/-/typed-array-buffer-1.0.1.tgz",
+ "integrity": "sha512-RSqu1UEuSlrBhHTWC8O9FnPjOduNs4M7rJ4pRKoEjtx1zUNOPN2sSXHLDX+Y2WPbHIxbvg4JFo2DNAEfPIKWoQ==",
+ "dependencies": {
+ "call-bind": "^1.0.6",
+ "es-errors": "^1.3.0",
+ "is-typed-array": "^1.1.13"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ }
+ },
+ "node_modules/typed-array-byte-length": {
+ "version": "1.0.0",
+ "resolved": "https://registry.npmjs.org/typed-array-byte-length/-/typed-array-byte-length-1.0.0.tgz",
+ "integrity": "sha512-Or/+kvLxNpeQ9DtSydonMxCx+9ZXOswtwJn17SNLvhptaXYDJvkFFP5zbfU/uLmvnBJlI4yrnXRxpdWH/M5tNA==",
+ "dependencies": {
+ "call-bind": "^1.0.2",
+ "for-each": "^0.3.3",
+ "has-proto": "^1.0.1",
+ "is-typed-array": "^1.1.10"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/typed-array-byte-offset": {
+ "version": "1.0.0",
+ "resolved": "https://registry.npmjs.org/typed-array-byte-offset/-/typed-array-byte-offset-1.0.0.tgz",
+ "integrity": "sha512-RD97prjEt9EL8YgAgpOkf3O4IF9lhJFr9g0htQkm0rchFp/Vx7LW5Q8fSXXub7BXAODyUQohRMyOc3faCPd0hg==",
+ "dependencies": {
+ "available-typed-arrays": "^1.0.5",
+ "call-bind": "^1.0.2",
+ "for-each": "^0.3.3",
+ "has-proto": "^1.0.1",
+ "is-typed-array": "^1.1.10"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/typed-array-length": {
+ "version": "1.0.4",
+ "resolved": "https://registry.npmjs.org/typed-array-length/-/typed-array-length-1.0.4.tgz",
+ "integrity": "sha512-KjZypGq+I/H7HI5HlOoGHkWUUGq+Q0TPhQurLbyrVrvnKTBgzLhIJ7j6J/XTQOi0d1RjyZ0wdas8bKs2p0x3Ng==",
+ "dependencies": {
+ "call-bind": "^1.0.2",
+ "for-each": "^0.3.3",
+ "is-typed-array": "^1.1.9"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/typedarray-to-buffer": {
+ "version": "3.1.5",
+ "resolved": "https://registry.npmjs.org/typedarray-to-buffer/-/typedarray-to-buffer-3.1.5.tgz",
+ "integrity": "sha512-zdu8XMNEDepKKR+XYOXAVPtWui0ly0NtohUscw+UmaHiAWT8hrV1rr//H6V+0DvJ3OQ19S979M0laLfX8rm82Q==",
+ "dependencies": {
+ "is-typedarray": "^1.0.0"
+ }
+ },
+ "node_modules/typescript": {
+ "version": "4.9.5",
+ "resolved": "https://registry.npmjs.org/typescript/-/typescript-4.9.5.tgz",
+ "integrity": "sha512-1FXk9E2Hm+QzZQ7z+McJiHL4NW1F2EzMu9Nq9i3zAaGqibafqYwCVU6WyWAuyQRRzOlxou8xZSyXLEN8oKj24g==",
+ "bin": {
+ "tsc": "bin/tsc",
+ "tsserver": "bin/tsserver"
+ },
+ "engines": {
+ "node": ">=4.2.0"
+ }
+ },
+ "node_modules/unbox-primitive": {
+ "version": "1.0.2",
+ "resolved": "https://registry.npmjs.org/unbox-primitive/-/unbox-primitive-1.0.2.tgz",
+ "integrity": "sha512-61pPlCD9h51VoreyJ0BReideM3MDKMKnh6+V9L08331ipq6Q8OFXZYiqP6n/tbHx4s5I9uRhcye6BrbkizkBDw==",
+ "dependencies": {
+ "call-bind": "^1.0.2",
+ "has-bigints": "^1.0.2",
+ "has-symbols": "^1.0.3",
+ "which-boxed-primitive": "^1.0.2"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/underscore": {
+ "version": "1.12.1",
+ "resolved": "https://registry.npmjs.org/underscore/-/underscore-1.12.1.tgz",
+ "integrity": "sha512-hEQt0+ZLDVUMhebKxL4x1BTtDY7bavVofhZ9KZ4aI26X9SRaE+Y3m83XUL1UP2jn8ynjndwCCpEHdUG+9pP1Tw=="
+ },
+ "node_modules/unicode-canonical-property-names-ecmascript": {
+ "version": "2.0.0",
+ "resolved": "https://registry.npmjs.org/unicode-canonical-property-names-ecmascript/-/unicode-canonical-property-names-ecmascript-2.0.0.tgz",
+ "integrity": "sha512-yY5PpDlfVIU5+y/BSCxAJRBIS1Zc2dDG3Ujq+sR0U+JjUevW2JhocOF+soROYDSaAezOzOKuyyixhD6mBknSmQ==",
+ "engines": {
+ "node": ">=4"
+ }
+ },
+ "node_modules/unicode-match-property-ecmascript": {
+ "version": "2.0.0",
+ "resolved": "https://registry.npmjs.org/unicode-match-property-ecmascript/-/unicode-match-property-ecmascript-2.0.0.tgz",
+ "integrity": "sha512-5kaZCrbp5mmbz5ulBkDkbY0SsPOjKqVS35VpL9ulMPfSl0J0Xsm+9Evphv9CoIZFwre7aJoa94AY6seMKGVN5Q==",
+ "dependencies": {
+ "unicode-canonical-property-names-ecmascript": "^2.0.0",
+ "unicode-property-aliases-ecmascript": "^2.0.0"
+ },
+ "engines": {
+ "node": ">=4"
+ }
+ },
+ "node_modules/unicode-match-property-value-ecmascript": {
+ "version": "2.1.0",
+ "resolved": "https://registry.npmjs.org/unicode-match-property-value-ecmascript/-/unicode-match-property-value-ecmascript-2.1.0.tgz",
+ "integrity": "sha512-qxkjQt6qjg/mYscYMC0XKRn3Rh0wFPlfxB0xkt9CfyTvpX1Ra0+rAmdX2QyAobptSEvuy4RtpPRui6XkV+8wjA==",
+ "engines": {
+ "node": ">=4"
+ }
+ },
+ "node_modules/unicode-property-aliases-ecmascript": {
+ "version": "2.1.0",
+ "resolved": "https://registry.npmjs.org/unicode-property-aliases-ecmascript/-/unicode-property-aliases-ecmascript-2.1.0.tgz",
+ "integrity": "sha512-6t3foTQI9qne+OZoVQB/8x8rk2k1eVy1gRXhV3oFQ5T6R1dqQ1xtin3XqSlx3+ATBkliTaR/hHyJBm+LVPNM8w==",
+ "engines": {
+ "node": ">=4"
+ }
+ },
+ "node_modules/unique-string": {
+ "version": "2.0.0",
+ "resolved": "https://registry.npmjs.org/unique-string/-/unique-string-2.0.0.tgz",
+ "integrity": "sha512-uNaeirEPvpZWSgzwsPGtU2zVSTrn/8L5q/IexZmH0eH6SA73CmAA5U4GwORTxQAZs95TAXLNqeLoPPNO5gZfWg==",
+ "dependencies": {
+ "crypto-random-string": "^2.0.0"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/universalify": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/universalify/-/universalify-2.0.1.tgz",
+ "integrity": "sha512-gptHNQghINnc/vTGIk0SOFGFNXw7JVrlRUtConJRlvaw6DuX0wO5Jeko9sWrMBhh+PsYAZ7oXAiOnf/UKogyiw==",
+ "engines": {
+ "node": ">= 10.0.0"
+ }
+ },
+ "node_modules/unpipe": {
+ "version": "1.0.0",
+ "resolved": "https://registry.npmjs.org/unpipe/-/unpipe-1.0.0.tgz",
+ "integrity": "sha512-pjy2bYhSsufwWlKwPc+l3cN7+wuJlK6uz0YdJEOlQDbl6jo/YlPi4mb8agUkVC8BF7V8NuzeyPNqRksA3hztKQ==",
+ "engines": {
+ "node": ">= 0.8"
+ }
+ },
+ "node_modules/unquote": {
+ "version": "1.1.1",
+ "resolved": "https://registry.npmjs.org/unquote/-/unquote-1.1.1.tgz",
+ "integrity": "sha512-vRCqFv6UhXpWxZPyGDh/F3ZpNv8/qo7w6iufLpQg9aKnQ71qM4B5KiI7Mia9COcjEhrO9LueHpMYjYzsWH3OIg=="
+ },
+ "node_modules/upath": {
+ "version": "1.2.0",
+ "resolved": "https://registry.npmjs.org/upath/-/upath-1.2.0.tgz",
+ "integrity": "sha512-aZwGpamFO61g3OlfT7OQCHqhGnW43ieH9WZeP7QxN/G/jS4jfqUkZxoryvJgVPEcrl5NL/ggHsSmLMHuH64Lhg==",
+ "engines": {
+ "node": ">=4",
+ "yarn": "*"
+ }
+ },
+ "node_modules/update-browserslist-db": {
+ "version": "1.0.13",
+ "resolved": "https://registry.npmjs.org/update-browserslist-db/-/update-browserslist-db-1.0.13.tgz",
+ "integrity": "sha512-xebP81SNcPuNpPP3uzeW1NYXxI3rxyJzF3pD6sH4jE7o/IX+WtSpwnVU+qIsDPyk0d3hmFQ7mjqc6AtV604hbg==",
+ "funding": [
+ {
+ "type": "opencollective",
+ "url": "https://opencollective.com/browserslist"
+ },
+ {
+ "type": "tidelift",
+ "url": "https://tidelift.com/funding/github/npm/browserslist"
+ },
+ {
+ "type": "github",
+ "url": "https://github.com/sponsors/ai"
+ }
+ ],
+ "dependencies": {
+ "escalade": "^3.1.1",
+ "picocolors": "^1.0.0"
+ },
+ "bin": {
+ "update-browserslist-db": "cli.js"
+ },
+ "peerDependencies": {
+ "browserslist": ">= 4.21.0"
+ }
+ },
+ "node_modules/uri-js": {
+ "version": "4.4.1",
+ "resolved": "https://registry.npmjs.org/uri-js/-/uri-js-4.4.1.tgz",
+ "integrity": "sha512-7rKUyy33Q1yc98pQ1DAmLtwX109F7TIfWlW1Ydo8Wl1ii1SeHieeh0HHfPeL2fMXK6z0s8ecKs9frCuLJvndBg==",
+ "dependencies": {
+ "punycode": "^2.1.0"
+ }
+ },
+ "node_modules/url-parse": {
+ "version": "1.5.10",
+ "resolved": "https://registry.npmjs.org/url-parse/-/url-parse-1.5.10.tgz",
+ "integrity": "sha512-WypcfiRhfeUP9vvF0j6rw0J3hrWrw6iZv3+22h6iRMJ/8z1Tj6XfLP4DsUix5MhMPnXpiHDoKyoZ/bdCkwBCiQ==",
+ "dependencies": {
+ "querystringify": "^2.1.1",
+ "requires-port": "^1.0.0"
+ }
+ },
+ "node_modules/util-deprecate": {
+ "version": "1.0.2",
+ "resolved": "https://registry.npmjs.org/util-deprecate/-/util-deprecate-1.0.2.tgz",
+ "integrity": "sha512-EPD5q1uXyFxJpCrLnCc1nHnq3gOa6DZBocAIiI2TaSCA7VCJ1UJDMagCzIkXNsUYfD1daK//LTEQ8xiIbrHtcw=="
+ },
+ "node_modules/util.promisify": {
+ "version": "1.0.1",
+ "resolved": "https://registry.npmjs.org/util.promisify/-/util.promisify-1.0.1.tgz",
+ "integrity": "sha512-g9JpC/3He3bm38zsLupWryXHoEcS22YHthuPQSJdMy6KNrzIRzWqcsHzD/WUnqe45whVou4VIsPew37DoXWNrA==",
+ "dependencies": {
+ "define-properties": "^1.1.3",
+ "es-abstract": "^1.17.2",
+ "has-symbols": "^1.0.1",
+ "object.getownpropertydescriptors": "^2.1.0"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/utila": {
+ "version": "0.4.0",
+ "resolved": "https://registry.npmjs.org/utila/-/utila-0.4.0.tgz",
+ "integrity": "sha512-Z0DbgELS9/L/75wZbro8xAnT50pBVFQZ+hUEueGDU5FN51YSCYM+jdxsfCiHjwNP/4LCDD0i/graKpeBnOXKRA=="
+ },
+ "node_modules/utils-merge": {
+ "version": "1.0.1",
+ "resolved": "https://registry.npmjs.org/utils-merge/-/utils-merge-1.0.1.tgz",
+ "integrity": "sha512-pMZTvIkT1d+TFGvDOqodOclx0QWkkgi6Tdoa8gC8ffGAAqz9pzPTZWAybbsHHoED/ztMtkv/VoYTYyShUn81hA==",
+ "engines": {
+ "node": ">= 0.4.0"
+ }
+ },
+ "node_modules/uuid": {
+ "version": "8.3.2",
+ "resolved": "https://registry.npmjs.org/uuid/-/uuid-8.3.2.tgz",
+ "integrity": "sha512-+NYs2QeMWy+GWFOEm9xnn6HCDp0l7QBD7ml8zLUmJ+93Q5NF0NocErnwkTkXVFNiX3/fpC6afS8Dhb/gz7R7eg==",
+ "bin": {
+ "uuid": "dist/bin/uuid"
+ }
+ },
+ "node_modules/v8-to-istanbul": {
+ "version": "8.1.1",
+ "resolved": "https://registry.npmjs.org/v8-to-istanbul/-/v8-to-istanbul-8.1.1.tgz",
+ "integrity": "sha512-FGtKtv3xIpR6BYhvgH8MI/y78oT7d8Au3ww4QIxymrCtZEh5b8gCw2siywE+puhEmuWKDtmfrvF5UlB298ut3w==",
+ "dependencies": {
+ "@types/istanbul-lib-coverage": "^2.0.1",
+ "convert-source-map": "^1.6.0",
+ "source-map": "^0.7.3"
+ },
+ "engines": {
+ "node": ">=10.12.0"
+ }
+ },
+ "node_modules/v8-to-istanbul/node_modules/convert-source-map": {
+ "version": "1.9.0",
+ "resolved": "https://registry.npmjs.org/convert-source-map/-/convert-source-map-1.9.0.tgz",
+ "integrity": "sha512-ASFBup0Mz1uyiIjANan1jzLQami9z1PoYSZCiiYW2FczPbenXc45FZdBZLzOT+r6+iciuEModtmCti+hjaAk0A=="
+ },
+ "node_modules/value-equal": {
+ "version": "1.0.1",
+ "resolved": "https://registry.npmjs.org/value-equal/-/value-equal-1.0.1.tgz",
+ "integrity": "sha512-NOJ6JZCAWr0zlxZt+xqCHNTEKOsrks2HQd4MqhP1qy4z1SkbEP467eNx6TgDKXMvUOb+OENfJCZwM+16n7fRfw=="
+ },
+ "node_modules/vary": {
+ "version": "1.1.2",
+ "resolved": "https://registry.npmjs.org/vary/-/vary-1.1.2.tgz",
+ "integrity": "sha512-BNGbWLfd0eUPabhkXUVm0j8uuvREyTh5ovRa/dyow/BqAbZJyC+5fU+IzQOzmAKzYqYRAISoRhdQr3eIZ/PXqg==",
+ "engines": {
+ "node": ">= 0.8"
+ }
+ },
+ "node_modules/w3c-hr-time": {
+ "version": "1.0.2",
+ "resolved": "https://registry.npmjs.org/w3c-hr-time/-/w3c-hr-time-1.0.2.tgz",
+ "integrity": "sha512-z8P5DvDNjKDoFIHK7q8r8lackT6l+jo/Ye3HOle7l9nICP9lf1Ci25fy9vHd0JOWewkIFzXIEig3TdKT7JQ5fQ==",
+ "deprecated": "Use your platform's native performance.now() and performance.timeOrigin.",
+ "dependencies": {
+ "browser-process-hrtime": "^1.0.0"
+ }
+ },
+ "node_modules/w3c-xmlserializer": {
+ "version": "2.0.0",
+ "resolved": "https://registry.npmjs.org/w3c-xmlserializer/-/w3c-xmlserializer-2.0.0.tgz",
+ "integrity": "sha512-4tzD0mF8iSiMiNs30BiLO3EpfGLZUT2MSX/G+o7ZywDzliWQ3OPtTZ0PTC3B3ca1UAf4cJMHB+2Bf56EriJuRA==",
+ "dependencies": {
+ "xml-name-validator": "^3.0.0"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/walker": {
+ "version": "1.0.8",
+ "resolved": "https://registry.npmjs.org/walker/-/walker-1.0.8.tgz",
+ "integrity": "sha512-ts/8E8l5b7kY0vlWLewOkDXMmPdLcVV4GmOQLyxuSswIJsweeFZtAsMF7k1Nszz+TYBQrlYRmzOnr398y1JemQ==",
+ "dependencies": {
+ "makeerror": "1.0.12"
+ }
+ },
+ "node_modules/warning": {
+ "version": "4.0.3",
+ "resolved": "https://registry.npmjs.org/warning/-/warning-4.0.3.tgz",
+ "integrity": "sha512-rpJyN222KWIvHJ/F53XSZv0Zl/accqHR8et1kpaMTD/fLCRxtV8iX8czMzY7sVZupTI3zcUTg8eycS2kNF9l6w==",
+ "dependencies": {
+ "loose-envify": "^1.0.0"
+ }
+ },
+ "node_modules/watchpack": {
+ "version": "2.4.0",
+ "resolved": "https://registry.npmjs.org/watchpack/-/watchpack-2.4.0.tgz",
+ "integrity": "sha512-Lcvm7MGST/4fup+ifyKi2hjyIAwcdI4HRgtvTpIUxBRhB+RFtUh8XtDOxUfctVCnhVi+QQj49i91OyvzkJl6cg==",
+ "dependencies": {
+ "glob-to-regexp": "^0.4.1",
+ "graceful-fs": "^4.1.2"
+ },
+ "engines": {
+ "node": ">=10.13.0"
+ }
+ },
+ "node_modules/wbuf": {
+ "version": "1.7.3",
+ "resolved": "https://registry.npmjs.org/wbuf/-/wbuf-1.7.3.tgz",
+ "integrity": "sha512-O84QOnr0icsbFGLS0O3bI5FswxzRr8/gHwWkDlQFskhSPryQXvrTMxjxGP4+iWYoauLoBvfDpkrOauZ+0iZpDA==",
+ "dependencies": {
+ "minimalistic-assert": "^1.0.0"
+ }
+ },
+ "node_modules/web-vitals": {
+ "version": "1.1.2",
+ "resolved": "https://registry.npmjs.org/web-vitals/-/web-vitals-1.1.2.tgz",
+ "integrity": "sha512-PFMKIY+bRSXlMxVAQ+m2aw9c/ioUYfDgrYot0YUa+/xa0sakubWhSDyxAKwzymvXVdF4CZI71g06W+mqhzu6ig=="
+ },
+ "node_modules/webidl-conversions": {
+ "version": "6.1.0",
+ "resolved": "https://registry.npmjs.org/webidl-conversions/-/webidl-conversions-6.1.0.tgz",
+ "integrity": "sha512-qBIvFLGiBpLjfwmYAaHPXsn+ho5xZnGvyGvsarywGNc8VyQJUMHJ8OBKGGrPER0okBeMDaan4mNBlgBROxuI8w==",
+ "engines": {
+ "node": ">=10.4"
+ }
+ },
+ "node_modules/webpack": {
+ "version": "5.90.2",
+ "resolved": "https://registry.npmjs.org/webpack/-/webpack-5.90.2.tgz",
+ "integrity": "sha512-ziXu8ABGr0InCMEYFnHrYweinHK2PWrMqnwdHk2oK3rRhv/1B+2FnfwYv5oD+RrknK/Pp/Hmyvu+eAsaMYhzCw==",
+ "dependencies": {
+ "@types/eslint-scope": "^3.7.3",
+ "@types/estree": "^1.0.5",
+ "@webassemblyjs/ast": "^1.11.5",
+ "@webassemblyjs/wasm-edit": "^1.11.5",
+ "@webassemblyjs/wasm-parser": "^1.11.5",
+ "acorn": "^8.7.1",
+ "acorn-import-assertions": "^1.9.0",
+ "browserslist": "^4.21.10",
+ "chrome-trace-event": "^1.0.2",
+ "enhanced-resolve": "^5.15.0",
+ "es-module-lexer": "^1.2.1",
+ "eslint-scope": "5.1.1",
+ "events": "^3.2.0",
+ "glob-to-regexp": "^0.4.1",
+ "graceful-fs": "^4.2.9",
+ "json-parse-even-better-errors": "^2.3.1",
+ "loader-runner": "^4.2.0",
+ "mime-types": "^2.1.27",
+ "neo-async": "^2.6.2",
+ "schema-utils": "^3.2.0",
+ "tapable": "^2.1.1",
+ "terser-webpack-plugin": "^5.3.10",
+ "watchpack": "^2.4.0",
+ "webpack-sources": "^3.2.3"
+ },
+ "bin": {
+ "webpack": "bin/webpack.js"
+ },
+ "engines": {
+ "node": ">=10.13.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/webpack"
+ },
+ "peerDependenciesMeta": {
+ "webpack-cli": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/webpack-dev-middleware": {
+ "version": "5.3.3",
+ "resolved": "https://registry.npmjs.org/webpack-dev-middleware/-/webpack-dev-middleware-5.3.3.tgz",
+ "integrity": "sha512-hj5CYrY0bZLB+eTO+x/j67Pkrquiy7kWepMHmUMoPsmcUaeEnQJqFzHJOyxgWlq746/wUuA64p9ta34Kyb01pA==",
+ "dependencies": {
+ "colorette": "^2.0.10",
+ "memfs": "^3.4.3",
+ "mime-types": "^2.1.31",
+ "range-parser": "^1.2.1",
+ "schema-utils": "^4.0.0"
+ },
+ "engines": {
+ "node": ">= 12.13.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/webpack"
+ },
+ "peerDependencies": {
+ "webpack": "^4.0.0 || ^5.0.0"
+ }
+ },
+ "node_modules/webpack-dev-middleware/node_modules/ajv": {
+ "version": "8.12.0",
+ "resolved": "https://registry.npmjs.org/ajv/-/ajv-8.12.0.tgz",
+ "integrity": "sha512-sRu1kpcO9yLtYxBKvqfTeh9KzZEwO3STyX1HT+4CaDzC6HpTGYhIhPIzj9XuKU7KYDwnaeh5hcOwjy1QuJzBPA==",
+ "dependencies": {
+ "fast-deep-equal": "^3.1.1",
+ "json-schema-traverse": "^1.0.0",
+ "require-from-string": "^2.0.2",
+ "uri-js": "^4.2.2"
+ },
+ "funding": {
+ "type": "github",
+ "url": "https://github.com/sponsors/epoberezkin"
+ }
+ },
+ "node_modules/webpack-dev-middleware/node_modules/ajv-keywords": {
+ "version": "5.1.0",
+ "resolved": "https://registry.npmjs.org/ajv-keywords/-/ajv-keywords-5.1.0.tgz",
+ "integrity": "sha512-YCS/JNFAUyr5vAuhk1DWm1CBxRHW9LbJ2ozWeemrIqpbsqKjHVxYPyi5GC0rjZIT5JxJ3virVTS8wk4i/Z+krw==",
+ "dependencies": {
+ "fast-deep-equal": "^3.1.3"
+ },
+ "peerDependencies": {
+ "ajv": "^8.8.2"
+ }
+ },
+ "node_modules/webpack-dev-middleware/node_modules/fast-deep-equal": {
+ "version": "3.1.3",
+ "resolved": "https://registry.npmjs.org/fast-deep-equal/-/fast-deep-equal-3.1.3.tgz",
+ "integrity": "sha512-f3qQ9oQy9j2AhBe/H9VC91wLmKBCCU/gDOnKNAYG5hswO7BLKj09Hc5HYNz9cGI++xlpDCIgDaitVs03ATR84Q=="
+ },
+ "node_modules/webpack-dev-middleware/node_modules/json-schema-traverse": {
+ "version": "1.0.0",
+ "resolved": "https://registry.npmjs.org/json-schema-traverse/-/json-schema-traverse-1.0.0.tgz",
+ "integrity": "sha512-NM8/P9n3XjXhIZn1lLhkFaACTOURQXjWhV4BA/RnOv8xvgqtqpAX9IO4mRQxSx1Rlo4tqzeqb0sOlruaOy3dug=="
+ },
+ "node_modules/webpack-dev-middleware/node_modules/schema-utils": {
+ "version": "4.2.0",
+ "resolved": "https://registry.npmjs.org/schema-utils/-/schema-utils-4.2.0.tgz",
+ "integrity": "sha512-L0jRsrPpjdckP3oPug3/VxNKt2trR8TcabrM6FOAAlvC/9Phcmm+cuAgTlxBqdBR1WJx7Naj9WHw+aOmheSVbw==",
+ "dependencies": {
+ "@types/json-schema": "^7.0.9",
+ "ajv": "^8.9.0",
+ "ajv-formats": "^2.1.1",
+ "ajv-keywords": "^5.1.0"
+ },
+ "engines": {
+ "node": ">= 12.13.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/webpack"
+ }
+ },
+ "node_modules/webpack-dev-server": {
+ "version": "4.15.1",
+ "resolved": "https://registry.npmjs.org/webpack-dev-server/-/webpack-dev-server-4.15.1.tgz",
+ "integrity": "sha512-5hbAst3h3C3L8w6W4P96L5vaV0PxSmJhxZvWKYIdgxOQm8pNZ5dEOmmSLBVpP85ReeyRt6AS1QJNyo/oFFPeVA==",
+ "dependencies": {
+ "@types/bonjour": "^3.5.9",
+ "@types/connect-history-api-fallback": "^1.3.5",
+ "@types/express": "^4.17.13",
+ "@types/serve-index": "^1.9.1",
+ "@types/serve-static": "^1.13.10",
+ "@types/sockjs": "^0.3.33",
+ "@types/ws": "^8.5.5",
+ "ansi-html-community": "^0.0.8",
+ "bonjour-service": "^1.0.11",
+ "chokidar": "^3.5.3",
+ "colorette": "^2.0.10",
+ "compression": "^1.7.4",
+ "connect-history-api-fallback": "^2.0.0",
+ "default-gateway": "^6.0.3",
+ "express": "^4.17.3",
+ "graceful-fs": "^4.2.6",
+ "html-entities": "^2.3.2",
+ "http-proxy-middleware": "^2.0.3",
+ "ipaddr.js": "^2.0.1",
+ "launch-editor": "^2.6.0",
+ "open": "^8.0.9",
+ "p-retry": "^4.5.0",
+ "rimraf": "^3.0.2",
+ "schema-utils": "^4.0.0",
+ "selfsigned": "^2.1.1",
+ "serve-index": "^1.9.1",
+ "sockjs": "^0.3.24",
+ "spdy": "^4.0.2",
+ "webpack-dev-middleware": "^5.3.1",
+ "ws": "^8.13.0"
+ },
+ "bin": {
+ "webpack-dev-server": "bin/webpack-dev-server.js"
+ },
+ "engines": {
+ "node": ">= 12.13.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/webpack"
+ },
+ "peerDependencies": {
+ "webpack": "^4.37.0 || ^5.0.0"
+ },
+ "peerDependenciesMeta": {
+ "webpack": {
+ "optional": true
+ },
+ "webpack-cli": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/webpack-dev-server/node_modules/ajv": {
+ "version": "8.12.0",
+ "resolved": "https://registry.npmjs.org/ajv/-/ajv-8.12.0.tgz",
+ "integrity": "sha512-sRu1kpcO9yLtYxBKvqfTeh9KzZEwO3STyX1HT+4CaDzC6HpTGYhIhPIzj9XuKU7KYDwnaeh5hcOwjy1QuJzBPA==",
+ "dependencies": {
+ "fast-deep-equal": "^3.1.1",
+ "json-schema-traverse": "^1.0.0",
+ "require-from-string": "^2.0.2",
+ "uri-js": "^4.2.2"
+ },
+ "funding": {
+ "type": "github",
+ "url": "https://github.com/sponsors/epoberezkin"
+ }
+ },
+ "node_modules/webpack-dev-server/node_modules/ajv-keywords": {
+ "version": "5.1.0",
+ "resolved": "https://registry.npmjs.org/ajv-keywords/-/ajv-keywords-5.1.0.tgz",
+ "integrity": "sha512-YCS/JNFAUyr5vAuhk1DWm1CBxRHW9LbJ2ozWeemrIqpbsqKjHVxYPyi5GC0rjZIT5JxJ3virVTS8wk4i/Z+krw==",
+ "dependencies": {
+ "fast-deep-equal": "^3.1.3"
+ },
+ "peerDependencies": {
+ "ajv": "^8.8.2"
+ }
+ },
+ "node_modules/webpack-dev-server/node_modules/fast-deep-equal": {
+ "version": "3.1.3",
+ "resolved": "https://registry.npmjs.org/fast-deep-equal/-/fast-deep-equal-3.1.3.tgz",
+ "integrity": "sha512-f3qQ9oQy9j2AhBe/H9VC91wLmKBCCU/gDOnKNAYG5hswO7BLKj09Hc5HYNz9cGI++xlpDCIgDaitVs03ATR84Q=="
+ },
+ "node_modules/webpack-dev-server/node_modules/html-entities": {
+ "version": "2.4.0",
+ "resolved": "https://registry.npmjs.org/html-entities/-/html-entities-2.4.0.tgz",
+ "integrity": "sha512-igBTJcNNNhvZFRtm8uA6xMY6xYleeDwn3PeBCkDz7tHttv4F2hsDI2aPgNERWzvRcNYHNT3ymRaQzllmXj4YsQ==",
+ "funding": [
+ {
+ "type": "github",
+ "url": "https://github.com/sponsors/mdevils"
+ },
+ {
+ "type": "patreon",
+ "url": "https://patreon.com/mdevils"
+ }
+ ]
+ },
+ "node_modules/webpack-dev-server/node_modules/json-schema-traverse": {
+ "version": "1.0.0",
+ "resolved": "https://registry.npmjs.org/json-schema-traverse/-/json-schema-traverse-1.0.0.tgz",
+ "integrity": "sha512-NM8/P9n3XjXhIZn1lLhkFaACTOURQXjWhV4BA/RnOv8xvgqtqpAX9IO4mRQxSx1Rlo4tqzeqb0sOlruaOy3dug=="
+ },
+ "node_modules/webpack-dev-server/node_modules/schema-utils": {
+ "version": "4.2.0",
+ "resolved": "https://registry.npmjs.org/schema-utils/-/schema-utils-4.2.0.tgz",
+ "integrity": "sha512-L0jRsrPpjdckP3oPug3/VxNKt2trR8TcabrM6FOAAlvC/9Phcmm+cuAgTlxBqdBR1WJx7Naj9WHw+aOmheSVbw==",
+ "dependencies": {
+ "@types/json-schema": "^7.0.9",
+ "ajv": "^8.9.0",
+ "ajv-formats": "^2.1.1",
+ "ajv-keywords": "^5.1.0"
+ },
+ "engines": {
+ "node": ">= 12.13.0"
+ },
+ "funding": {
+ "type": "opencollective",
+ "url": "https://opencollective.com/webpack"
+ }
+ },
+ "node_modules/webpack-dev-server/node_modules/ws": {
+ "version": "8.16.0",
+ "resolved": "https://registry.npmjs.org/ws/-/ws-8.16.0.tgz",
+ "integrity": "sha512-HS0c//TP7Ina87TfiPUz1rQzMhHrl/SG2guqRcTOIUYD2q8uhUdNHZYJUaQ8aTGPzCh+c6oawMKW35nFl1dxyQ==",
+ "engines": {
+ "node": ">=10.0.0"
+ },
+ "peerDependencies": {
+ "bufferutil": "^4.0.1",
+ "utf-8-validate": ">=5.0.2"
+ },
+ "peerDependenciesMeta": {
+ "bufferutil": {
+ "optional": true
+ },
+ "utf-8-validate": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/webpack-manifest-plugin": {
+ "version": "4.1.1",
+ "resolved": "https://registry.npmjs.org/webpack-manifest-plugin/-/webpack-manifest-plugin-4.1.1.tgz",
+ "integrity": "sha512-YXUAwxtfKIJIKkhg03MKuiFAD72PlrqCiwdwO4VEXdRO5V0ORCNwaOwAZawPZalCbmH9kBDmXnNeQOw+BIEiow==",
+ "dependencies": {
+ "tapable": "^2.0.0",
+ "webpack-sources": "^2.2.0"
+ },
+ "engines": {
+ "node": ">=12.22.0"
+ },
+ "peerDependencies": {
+ "webpack": "^4.44.2 || ^5.47.0"
+ }
+ },
+ "node_modules/webpack-manifest-plugin/node_modules/source-map": {
+ "version": "0.6.1",
+ "resolved": "https://registry.npmjs.org/source-map/-/source-map-0.6.1.tgz",
+ "integrity": "sha512-UjgapumWlbMhkBgzT7Ykc5YXUT46F0iKu8SGXq0bcwP5dz/h0Plj6enJqjz1Zbq2l5WaqYnrVbwWOWMyF3F47g==",
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/webpack-manifest-plugin/node_modules/webpack-sources": {
+ "version": "2.3.1",
+ "resolved": "https://registry.npmjs.org/webpack-sources/-/webpack-sources-2.3.1.tgz",
+ "integrity": "sha512-y9EI9AO42JjEcrTJFOYmVywVZdKVUfOvDUPsJea5GIr1JOEGFVqwlY2K098fFoIjOkDzHn2AjRvM8dsBZu+gCA==",
+ "dependencies": {
+ "source-list-map": "^2.0.1",
+ "source-map": "^0.6.1"
+ },
+ "engines": {
+ "node": ">=10.13.0"
+ }
+ },
+ "node_modules/webpack-sources": {
+ "version": "3.2.3",
+ "resolved": "https://registry.npmjs.org/webpack-sources/-/webpack-sources-3.2.3.tgz",
+ "integrity": "sha512-/DyMEOrDgLKKIG0fmvtz+4dUX/3Ghozwgm6iPp8KRhvn+eQf9+Q7GWxVNMk3+uCPWfdXYC4ExGBckIXdFEfH1w==",
+ "engines": {
+ "node": ">=10.13.0"
+ }
+ },
+ "node_modules/webpack/node_modules/eslint-scope": {
+ "version": "5.1.1",
+ "resolved": "https://registry.npmjs.org/eslint-scope/-/eslint-scope-5.1.1.tgz",
+ "integrity": "sha512-2NxwbF/hZ0KpepYN0cNbo+FN6XoK7GaHlQhgx/hIZl6Va0bF45RQOOwhLIy8lQDbuCiadSLCBnH2CFYquit5bw==",
+ "dependencies": {
+ "esrecurse": "^4.3.0",
+ "estraverse": "^4.1.1"
+ },
+ "engines": {
+ "node": ">=8.0.0"
+ }
+ },
+ "node_modules/webpack/node_modules/estraverse": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/estraverse/-/estraverse-4.3.0.tgz",
+ "integrity": "sha512-39nnKffWz8xN1BU/2c79n9nB9HDzo0niYUqx6xyqUnyoAnQyyWpOTdZEeiCch8BBu515t4wp9ZmgVfVhn9EBpw==",
+ "engines": {
+ "node": ">=4.0"
+ }
+ },
+ "node_modules/websocket-driver": {
+ "version": "0.7.4",
+ "resolved": "https://registry.npmjs.org/websocket-driver/-/websocket-driver-0.7.4.tgz",
+ "integrity": "sha512-b17KeDIQVjvb0ssuSDF2cYXSg2iztliJ4B9WdsuB6J952qCPKmnVq4DyW5motImXHDC1cBT/1UezrJVsKw5zjg==",
+ "dependencies": {
+ "http-parser-js": ">=0.5.1",
+ "safe-buffer": ">=5.1.0",
+ "websocket-extensions": ">=0.1.1"
+ },
+ "engines": {
+ "node": ">=0.8.0"
+ }
+ },
+ "node_modules/websocket-extensions": {
+ "version": "0.1.4",
+ "resolved": "https://registry.npmjs.org/websocket-extensions/-/websocket-extensions-0.1.4.tgz",
+ "integrity": "sha512-OqedPIGOfsDlo31UNwYbCFMSaO9m9G/0faIHj5/dZFDMFqPTcx6UwqyOy3COEaEOg/9VsGIpdqn62W5KhoKSpg==",
+ "engines": {
+ "node": ">=0.8.0"
+ }
+ },
+ "node_modules/whatwg-encoding": {
+ "version": "1.0.5",
+ "resolved": "https://registry.npmjs.org/whatwg-encoding/-/whatwg-encoding-1.0.5.tgz",
+ "integrity": "sha512-b5lim54JOPN9HtzvK9HFXvBma/rnfFeqsic0hSpjtDbVxR3dJKLc+KB4V6GgiGOvl7CY/KNh8rxSo9DKQrnUEw==",
+ "dependencies": {
+ "iconv-lite": "0.4.24"
+ }
+ },
+ "node_modules/whatwg-encoding/node_modules/iconv-lite": {
+ "version": "0.4.24",
+ "resolved": "https://registry.npmjs.org/iconv-lite/-/iconv-lite-0.4.24.tgz",
+ "integrity": "sha512-v3MXnZAcvnywkTUEZomIActle7RXXeedOR31wwl7VlyoXO4Qi9arvSenNQWne1TcRwhCL1HwLI21bEqdpj8/rA==",
+ "dependencies": {
+ "safer-buffer": ">= 2.1.2 < 3"
+ },
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/whatwg-fetch": {
+ "version": "3.6.20",
+ "resolved": "https://registry.npmjs.org/whatwg-fetch/-/whatwg-fetch-3.6.20.tgz",
+ "integrity": "sha512-EqhiFU6daOA8kpjOWTL0olhVOF3i7OrFzSYiGsEMB8GcXS+RrzauAERX65xMeNWVqxA6HXH2m69Z9LaKKdisfg=="
+ },
+ "node_modules/whatwg-mimetype": {
+ "version": "2.3.0",
+ "resolved": "https://registry.npmjs.org/whatwg-mimetype/-/whatwg-mimetype-2.3.0.tgz",
+ "integrity": "sha512-M4yMwr6mAnQz76TbJm914+gPpB/nCwvZbJU28cUD6dR004SAxDLOOSUaB1JDRqLtaOV/vi0IC5lEAGFgrjGv/g=="
+ },
+ "node_modules/whatwg-url": {
+ "version": "8.7.0",
+ "resolved": "https://registry.npmjs.org/whatwg-url/-/whatwg-url-8.7.0.tgz",
+ "integrity": "sha512-gAojqb/m9Q8a5IV96E3fHJM70AzCkgt4uXYX2O7EmuyOnLrViCQlsEBmF9UQIu3/aeAIp2U17rtbpZWNntQqdg==",
+ "dependencies": {
+ "lodash": "^4.7.0",
+ "tr46": "^2.1.0",
+ "webidl-conversions": "^6.1.0"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/which": {
+ "version": "2.0.2",
+ "resolved": "https://registry.npmjs.org/which/-/which-2.0.2.tgz",
+ "integrity": "sha512-BLI3Tl1TW3Pvl70l3yq3Y64i+awpwXqsGBYWkkqMtnbXgrMD+yj7rhW0kuEDxzJaYXGjEW5ogapKNMEKNMjibA==",
+ "dependencies": {
+ "isexe": "^2.0.0"
+ },
+ "bin": {
+ "node-which": "bin/node-which"
+ },
+ "engines": {
+ "node": ">= 8"
+ }
+ },
+ "node_modules/which-boxed-primitive": {
+ "version": "1.0.2",
+ "resolved": "https://registry.npmjs.org/which-boxed-primitive/-/which-boxed-primitive-1.0.2.tgz",
+ "integrity": "sha512-bwZdv0AKLpplFY2KZRX6TvyuN7ojjr7lwkg6ml0roIy9YeuSr7JS372qlNW18UQYzgYK9ziGcerWqZOmEn9VNg==",
+ "dependencies": {
+ "is-bigint": "^1.0.1",
+ "is-boolean-object": "^1.1.0",
+ "is-number-object": "^1.0.4",
+ "is-string": "^1.0.5",
+ "is-symbol": "^1.0.3"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/which-builtin-type": {
+ "version": "1.1.3",
+ "resolved": "https://registry.npmjs.org/which-builtin-type/-/which-builtin-type-1.1.3.tgz",
+ "integrity": "sha512-YmjsSMDBYsM1CaFiayOVT06+KJeXf0o5M/CAd4o1lTadFAtacTUM49zoYxr/oroopFDfhvN6iEcBxUyc3gvKmw==",
+ "dependencies": {
+ "function.prototype.name": "^1.1.5",
+ "has-tostringtag": "^1.0.0",
+ "is-async-function": "^2.0.0",
+ "is-date-object": "^1.0.5",
+ "is-finalizationregistry": "^1.0.2",
+ "is-generator-function": "^1.0.10",
+ "is-regex": "^1.1.4",
+ "is-weakref": "^1.0.2",
+ "isarray": "^2.0.5",
+ "which-boxed-primitive": "^1.0.2",
+ "which-collection": "^1.0.1",
+ "which-typed-array": "^1.1.9"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/which-collection": {
+ "version": "1.0.1",
+ "resolved": "https://registry.npmjs.org/which-collection/-/which-collection-1.0.1.tgz",
+ "integrity": "sha512-W8xeTUwaln8i3K/cY1nGXzdnVZlidBcagyNFtBdD5kxnb4TvGKR7FfSIS3mYpwWS1QUCutfKz8IY8RjftB0+1A==",
+ "dependencies": {
+ "is-map": "^2.0.1",
+ "is-set": "^2.0.1",
+ "is-weakmap": "^2.0.1",
+ "is-weakset": "^2.0.1"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/which-typed-array": {
+ "version": "1.1.14",
+ "resolved": "https://registry.npmjs.org/which-typed-array/-/which-typed-array-1.1.14.tgz",
+ "integrity": "sha512-VnXFiIW8yNn9kIHN88xvZ4yOWchftKDsRJ8fEPacX/wl1lOvBrhsJ/OeJCXq7B0AaijRuqgzSKalJoPk+D8MPg==",
+ "dependencies": {
+ "available-typed-arrays": "^1.0.6",
+ "call-bind": "^1.0.5",
+ "for-each": "^0.3.3",
+ "gopd": "^1.0.1",
+ "has-tostringtag": "^1.0.1"
+ },
+ "engines": {
+ "node": ">= 0.4"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/ljharb"
+ }
+ },
+ "node_modules/word-wrap": {
+ "version": "1.2.5",
+ "resolved": "https://registry.npmjs.org/word-wrap/-/word-wrap-1.2.5.tgz",
+ "integrity": "sha512-BN22B5eaMMI9UMtjrGd5g5eCYPpCPDUy0FJXbYsaT5zYxjFOckS53SQDE3pWkVoWpHXVb3BrYcEN4Twa55B5cA==",
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/workbox-background-sync": {
+ "version": "6.6.0",
+ "resolved": "https://registry.npmjs.org/workbox-background-sync/-/workbox-background-sync-6.6.0.tgz",
+ "integrity": "sha512-jkf4ZdgOJxC9u2vztxLuPT/UjlH7m/nWRQ/MgGL0v8BJHoZdVGJd18Kck+a0e55wGXdqyHO+4IQTk0685g4MUw==",
+ "dependencies": {
+ "idb": "^7.0.1",
+ "workbox-core": "6.6.0"
+ }
+ },
+ "node_modules/workbox-broadcast-update": {
+ "version": "6.6.0",
+ "resolved": "https://registry.npmjs.org/workbox-broadcast-update/-/workbox-broadcast-update-6.6.0.tgz",
+ "integrity": "sha512-nm+v6QmrIFaB/yokJmQ/93qIJ7n72NICxIwQwe5xsZiV2aI93MGGyEyzOzDPVz5THEr5rC3FJSsO3346cId64Q==",
+ "dependencies": {
+ "workbox-core": "6.6.0"
+ }
+ },
+ "node_modules/workbox-build": {
+ "version": "6.6.0",
+ "resolved": "https://registry.npmjs.org/workbox-build/-/workbox-build-6.6.0.tgz",
+ "integrity": "sha512-Tjf+gBwOTuGyZwMz2Nk/B13Fuyeo0Q84W++bebbVsfr9iLkDSo6j6PST8tET9HYA58mlRXwlMGpyWO8ETJiXdQ==",
+ "dependencies": {
+ "@apideck/better-ajv-errors": "^0.3.1",
+ "@babel/core": "^7.11.1",
+ "@babel/preset-env": "^7.11.0",
+ "@babel/runtime": "^7.11.2",
+ "@rollup/plugin-babel": "^5.2.0",
+ "@rollup/plugin-node-resolve": "^11.2.1",
+ "@rollup/plugin-replace": "^2.4.1",
+ "@surma/rollup-plugin-off-main-thread": "^2.2.3",
+ "ajv": "^8.6.0",
+ "common-tags": "^1.8.0",
+ "fast-json-stable-stringify": "^2.1.0",
+ "fs-extra": "^9.0.1",
+ "glob": "^7.1.6",
+ "lodash": "^4.17.20",
+ "pretty-bytes": "^5.3.0",
+ "rollup": "^2.43.1",
+ "rollup-plugin-terser": "^7.0.0",
+ "source-map": "^0.8.0-beta.0",
+ "stringify-object": "^3.3.0",
+ "strip-comments": "^2.0.1",
+ "tempy": "^0.6.0",
+ "upath": "^1.2.0",
+ "workbox-background-sync": "6.6.0",
+ "workbox-broadcast-update": "6.6.0",
+ "workbox-cacheable-response": "6.6.0",
+ "workbox-core": "6.6.0",
+ "workbox-expiration": "6.6.0",
+ "workbox-google-analytics": "6.6.0",
+ "workbox-navigation-preload": "6.6.0",
+ "workbox-precaching": "6.6.0",
+ "workbox-range-requests": "6.6.0",
+ "workbox-recipes": "6.6.0",
+ "workbox-routing": "6.6.0",
+ "workbox-strategies": "6.6.0",
+ "workbox-streams": "6.6.0",
+ "workbox-sw": "6.6.0",
+ "workbox-window": "6.6.0"
+ },
+ "engines": {
+ "node": ">=10.0.0"
+ }
+ },
+ "node_modules/workbox-build/node_modules/@apideck/better-ajv-errors": {
+ "version": "0.3.6",
+ "resolved": "https://registry.npmjs.org/@apideck/better-ajv-errors/-/better-ajv-errors-0.3.6.tgz",
+ "integrity": "sha512-P+ZygBLZtkp0qqOAJJVX4oX/sFo5JR3eBWwwuqHHhK0GIgQOKWrAfiAaWX0aArHkRWHMuggFEgAZNxVPwPZYaA==",
+ "dependencies": {
+ "json-schema": "^0.4.0",
+ "jsonpointer": "^5.0.0",
+ "leven": "^3.1.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "peerDependencies": {
+ "ajv": ">=8"
+ }
+ },
+ "node_modules/workbox-build/node_modules/ajv": {
+ "version": "8.12.0",
+ "resolved": "https://registry.npmjs.org/ajv/-/ajv-8.12.0.tgz",
+ "integrity": "sha512-sRu1kpcO9yLtYxBKvqfTeh9KzZEwO3STyX1HT+4CaDzC6HpTGYhIhPIzj9XuKU7KYDwnaeh5hcOwjy1QuJzBPA==",
+ "dependencies": {
+ "fast-deep-equal": "^3.1.1",
+ "json-schema-traverse": "^1.0.0",
+ "require-from-string": "^2.0.2",
+ "uri-js": "^4.2.2"
+ },
+ "funding": {
+ "type": "github",
+ "url": "https://github.com/sponsors/epoberezkin"
+ }
+ },
+ "node_modules/workbox-build/node_modules/fast-deep-equal": {
+ "version": "3.1.3",
+ "resolved": "https://registry.npmjs.org/fast-deep-equal/-/fast-deep-equal-3.1.3.tgz",
+ "integrity": "sha512-f3qQ9oQy9j2AhBe/H9VC91wLmKBCCU/gDOnKNAYG5hswO7BLKj09Hc5HYNz9cGI++xlpDCIgDaitVs03ATR84Q=="
+ },
+ "node_modules/workbox-build/node_modules/fs-extra": {
+ "version": "9.1.0",
+ "resolved": "https://registry.npmjs.org/fs-extra/-/fs-extra-9.1.0.tgz",
+ "integrity": "sha512-hcg3ZmepS30/7BSFqRvoo3DOMQu7IjqxO5nCDt+zM9XWjb33Wg7ziNT+Qvqbuc3+gWpzO02JubVyk2G4Zvo1OQ==",
+ "dependencies": {
+ "at-least-node": "^1.0.0",
+ "graceful-fs": "^4.2.0",
+ "jsonfile": "^6.0.1",
+ "universalify": "^2.0.0"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/workbox-build/node_modules/json-schema-traverse": {
+ "version": "1.0.0",
+ "resolved": "https://registry.npmjs.org/json-schema-traverse/-/json-schema-traverse-1.0.0.tgz",
+ "integrity": "sha512-NM8/P9n3XjXhIZn1lLhkFaACTOURQXjWhV4BA/RnOv8xvgqtqpAX9IO4mRQxSx1Rlo4tqzeqb0sOlruaOy3dug=="
+ },
+ "node_modules/workbox-build/node_modules/source-map": {
+ "version": "0.8.0-beta.0",
+ "resolved": "https://registry.npmjs.org/source-map/-/source-map-0.8.0-beta.0.tgz",
+ "integrity": "sha512-2ymg6oRBpebeZi9UUNsgQ89bhx01TcTkmNTGnNO88imTmbSgy4nfujrgVEFKWpMTEGA11EDkTt7mqObTPdigIA==",
+ "dependencies": {
+ "whatwg-url": "^7.0.0"
+ },
+ "engines": {
+ "node": ">= 8"
+ }
+ },
+ "node_modules/workbox-build/node_modules/tr46": {
+ "version": "1.0.1",
+ "resolved": "https://registry.npmjs.org/tr46/-/tr46-1.0.1.tgz",
+ "integrity": "sha512-dTpowEjclQ7Kgx5SdBkqRzVhERQXov8/l9Ft9dVM9fmg0W0KQSVaXX9T4i6twCPNtYiZM53lpSSUAwJbFPOHxA==",
+ "dependencies": {
+ "punycode": "^2.1.0"
+ }
+ },
+ "node_modules/workbox-build/node_modules/webidl-conversions": {
+ "version": "4.0.2",
+ "resolved": "https://registry.npmjs.org/webidl-conversions/-/webidl-conversions-4.0.2.tgz",
+ "integrity": "sha512-YQ+BmxuTgd6UXZW3+ICGfyqRyHXVlD5GtQr5+qjiNW7bF0cqrzX500HVXPBOvgXb5YnzDd+h0zqyv61KUD7+Sg=="
+ },
+ "node_modules/workbox-build/node_modules/whatwg-url": {
+ "version": "7.1.0",
+ "resolved": "https://registry.npmjs.org/whatwg-url/-/whatwg-url-7.1.0.tgz",
+ "integrity": "sha512-WUu7Rg1DroM7oQvGWfOiAK21n74Gg+T4elXEQYkOhtyLeWiJFoOGLXPKI/9gzIie9CtwVLm8wtw6YJdKyxSjeg==",
+ "dependencies": {
+ "lodash.sortby": "^4.7.0",
+ "tr46": "^1.0.1",
+ "webidl-conversions": "^4.0.2"
+ }
+ },
+ "node_modules/workbox-cacheable-response": {
+ "version": "6.6.0",
+ "resolved": "https://registry.npmjs.org/workbox-cacheable-response/-/workbox-cacheable-response-6.6.0.tgz",
+ "integrity": "sha512-JfhJUSQDwsF1Xv3EV1vWzSsCOZn4mQ38bWEBR3LdvOxSPgB65gAM6cS2CX8rkkKHRgiLrN7Wxoyu+TuH67kHrw==",
+ "deprecated": "workbox-background-sync@6.6.0",
+ "dependencies": {
+ "workbox-core": "6.6.0"
+ }
+ },
+ "node_modules/workbox-core": {
+ "version": "6.6.0",
+ "resolved": "https://registry.npmjs.org/workbox-core/-/workbox-core-6.6.0.tgz",
+ "integrity": "sha512-GDtFRF7Yg3DD859PMbPAYPeJyg5gJYXuBQAC+wyrWuuXgpfoOrIQIvFRZnQ7+czTIQjIr1DhLEGFzZanAT/3bQ=="
+ },
+ "node_modules/workbox-expiration": {
+ "version": "6.6.0",
+ "resolved": "https://registry.npmjs.org/workbox-expiration/-/workbox-expiration-6.6.0.tgz",
+ "integrity": "sha512-baplYXcDHbe8vAo7GYvyAmlS4f6998Jff513L4XvlzAOxcl8F620O91guoJ5EOf5qeXG4cGdNZHkkVAPouFCpw==",
+ "dependencies": {
+ "idb": "^7.0.1",
+ "workbox-core": "6.6.0"
+ }
+ },
+ "node_modules/workbox-google-analytics": {
+ "version": "6.6.0",
+ "resolved": "https://registry.npmjs.org/workbox-google-analytics/-/workbox-google-analytics-6.6.0.tgz",
+ "integrity": "sha512-p4DJa6OldXWd6M9zRl0H6vB9lkrmqYFkRQ2xEiNdBFp9U0LhsGO7hsBscVEyH9H2/3eZZt8c97NB2FD9U2NJ+Q==",
+ "deprecated": "It is not compatible with newer versions of GA starting with v4, as long as you are using GAv3 it should be ok, but the package is not longer being maintained",
+ "dependencies": {
+ "workbox-background-sync": "6.6.0",
+ "workbox-core": "6.6.0",
+ "workbox-routing": "6.6.0",
+ "workbox-strategies": "6.6.0"
+ }
+ },
+ "node_modules/workbox-navigation-preload": {
+ "version": "6.6.0",
+ "resolved": "https://registry.npmjs.org/workbox-navigation-preload/-/workbox-navigation-preload-6.6.0.tgz",
+ "integrity": "sha512-utNEWG+uOfXdaZmvhshrh7KzhDu/1iMHyQOV6Aqup8Mm78D286ugu5k9MFD9SzBT5TcwgwSORVvInaXWbvKz9Q==",
+ "dependencies": {
+ "workbox-core": "6.6.0"
+ }
+ },
+ "node_modules/workbox-precaching": {
+ "version": "6.6.0",
+ "resolved": "https://registry.npmjs.org/workbox-precaching/-/workbox-precaching-6.6.0.tgz",
+ "integrity": "sha512-eYu/7MqtRZN1IDttl/UQcSZFkHP7dnvr/X3Vn6Iw6OsPMruQHiVjjomDFCNtd8k2RdjLs0xiz9nq+t3YVBcWPw==",
+ "dependencies": {
+ "workbox-core": "6.6.0",
+ "workbox-routing": "6.6.0",
+ "workbox-strategies": "6.6.0"
+ }
+ },
+ "node_modules/workbox-range-requests": {
+ "version": "6.6.0",
+ "resolved": "https://registry.npmjs.org/workbox-range-requests/-/workbox-range-requests-6.6.0.tgz",
+ "integrity": "sha512-V3aICz5fLGq5DpSYEU8LxeXvsT//mRWzKrfBOIxzIdQnV/Wj7R+LyJVTczi4CQ4NwKhAaBVaSujI1cEjXW+hTw==",
+ "dependencies": {
+ "workbox-core": "6.6.0"
+ }
+ },
+ "node_modules/workbox-recipes": {
+ "version": "6.6.0",
+ "resolved": "https://registry.npmjs.org/workbox-recipes/-/workbox-recipes-6.6.0.tgz",
+ "integrity": "sha512-TFi3kTgYw73t5tg73yPVqQC8QQjxJSeqjXRO4ouE/CeypmP2O/xqmB/ZFBBQazLTPxILUQ0b8aeh0IuxVn9a6A==",
+ "dependencies": {
+ "workbox-cacheable-response": "6.6.0",
+ "workbox-core": "6.6.0",
+ "workbox-expiration": "6.6.0",
+ "workbox-precaching": "6.6.0",
+ "workbox-routing": "6.6.0",
+ "workbox-strategies": "6.6.0"
+ }
+ },
+ "node_modules/workbox-routing": {
+ "version": "6.6.0",
+ "resolved": "https://registry.npmjs.org/workbox-routing/-/workbox-routing-6.6.0.tgz",
+ "integrity": "sha512-x8gdN7VDBiLC03izAZRfU+WKUXJnbqt6PG9Uh0XuPRzJPpZGLKce/FkOX95dWHRpOHWLEq8RXzjW0O+POSkKvw==",
+ "dependencies": {
+ "workbox-core": "6.6.0"
+ }
+ },
+ "node_modules/workbox-strategies": {
+ "version": "6.6.0",
+ "resolved": "https://registry.npmjs.org/workbox-strategies/-/workbox-strategies-6.6.0.tgz",
+ "integrity": "sha512-eC07XGuINAKUWDnZeIPdRdVja4JQtTuc35TZ8SwMb1ztjp7Ddq2CJ4yqLvWzFWGlYI7CG/YGqaETntTxBGdKgQ==",
+ "dependencies": {
+ "workbox-core": "6.6.0"
+ }
+ },
+ "node_modules/workbox-streams": {
+ "version": "6.6.0",
+ "resolved": "https://registry.npmjs.org/workbox-streams/-/workbox-streams-6.6.0.tgz",
+ "integrity": "sha512-rfMJLVvwuED09CnH1RnIep7L9+mj4ufkTyDPVaXPKlhi9+0czCu+SJggWCIFbPpJaAZmp2iyVGLqS3RUmY3fxg==",
+ "dependencies": {
+ "workbox-core": "6.6.0",
+ "workbox-routing": "6.6.0"
+ }
+ },
+ "node_modules/workbox-sw": {
+ "version": "6.6.0",
+ "resolved": "https://registry.npmjs.org/workbox-sw/-/workbox-sw-6.6.0.tgz",
+ "integrity": "sha512-R2IkwDokbtHUE4Kus8pKO5+VkPHD2oqTgl+XJwh4zbF1HyjAbgNmK/FneZHVU7p03XUt9ICfuGDYISWG9qV/CQ=="
+ },
+ "node_modules/workbox-webpack-plugin": {
+ "version": "6.6.0",
+ "resolved": "https://registry.npmjs.org/workbox-webpack-plugin/-/workbox-webpack-plugin-6.6.0.tgz",
+ "integrity": "sha512-xNZIZHalboZU66Wa7x1YkjIqEy1gTR+zPM+kjrYJzqN7iurYZBctBLISyScjhkJKYuRrZUP0iqViZTh8rS0+3A==",
+ "dependencies": {
+ "fast-json-stable-stringify": "^2.1.0",
+ "pretty-bytes": "^5.4.1",
+ "upath": "^1.2.0",
+ "webpack-sources": "^1.4.3",
+ "workbox-build": "6.6.0"
+ },
+ "engines": {
+ "node": ">=10.0.0"
+ },
+ "peerDependencies": {
+ "webpack": "^4.4.0 || ^5.9.0"
+ }
+ },
+ "node_modules/workbox-webpack-plugin/node_modules/source-map": {
+ "version": "0.6.1",
+ "resolved": "https://registry.npmjs.org/source-map/-/source-map-0.6.1.tgz",
+ "integrity": "sha512-UjgapumWlbMhkBgzT7Ykc5YXUT46F0iKu8SGXq0bcwP5dz/h0Plj6enJqjz1Zbq2l5WaqYnrVbwWOWMyF3F47g==",
+ "engines": {
+ "node": ">=0.10.0"
+ }
+ },
+ "node_modules/workbox-webpack-plugin/node_modules/webpack-sources": {
+ "version": "1.4.3",
+ "resolved": "https://registry.npmjs.org/webpack-sources/-/webpack-sources-1.4.3.tgz",
+ "integrity": "sha512-lgTS3Xhv1lCOKo7SA5TjKXMjpSM4sBjNV5+q2bqesbSPs5FjGmU6jjtBSkX9b4qW87vDIsCIlUPOEhbZrMdjeQ==",
+ "dependencies": {
+ "source-list-map": "^2.0.0",
+ "source-map": "~0.6.1"
+ }
+ },
+ "node_modules/workbox-window": {
+ "version": "6.6.0",
+ "resolved": "https://registry.npmjs.org/workbox-window/-/workbox-window-6.6.0.tgz",
+ "integrity": "sha512-L4N9+vka17d16geaJXXRjENLFldvkWy7JyGxElRD0JvBxvFEd8LOhr+uXCcar/NzAmIBRv9EZ+M+Qr4mOoBITw==",
+ "dependencies": {
+ "@types/trusted-types": "^2.0.2",
+ "workbox-core": "6.6.0"
+ }
+ },
+ "node_modules/wrap-ansi": {
+ "version": "7.0.0",
+ "resolved": "https://registry.npmjs.org/wrap-ansi/-/wrap-ansi-7.0.0.tgz",
+ "integrity": "sha512-YVGIj2kamLSTxw6NsZjoBxfSwsn0ycdesmc4p+Q21c5zPuZ1pl+NfxVdxPtdHvmNVOQ6XSYG4AUtyt/Fi7D16Q==",
+ "dependencies": {
+ "ansi-styles": "^4.0.0",
+ "string-width": "^4.1.0",
+ "strip-ansi": "^6.0.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/wrap-ansi?sponsor=1"
+ }
+ },
+ "node_modules/wrap-ansi-cjs": {
+ "name": "wrap-ansi",
+ "version": "7.0.0",
+ "resolved": "https://registry.npmjs.org/wrap-ansi/-/wrap-ansi-7.0.0.tgz",
+ "integrity": "sha512-YVGIj2kamLSTxw6NsZjoBxfSwsn0ycdesmc4p+Q21c5zPuZ1pl+NfxVdxPtdHvmNVOQ6XSYG4AUtyt/Fi7D16Q==",
+ "dependencies": {
+ "ansi-styles": "^4.0.0",
+ "string-width": "^4.1.0",
+ "strip-ansi": "^6.0.0"
+ },
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/wrap-ansi?sponsor=1"
+ }
+ },
+ "node_modules/wrap-ansi-cjs/node_modules/ansi-styles": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-4.3.0.tgz",
+ "integrity": "sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==",
+ "dependencies": {
+ "color-convert": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/wrap-ansi-cjs/node_modules/color-convert": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-2.0.1.tgz",
+ "integrity": "sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==",
+ "dependencies": {
+ "color-name": "~1.1.4"
+ },
+ "engines": {
+ "node": ">=7.0.0"
+ }
+ },
+ "node_modules/wrap-ansi-cjs/node_modules/color-name": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.4.tgz",
+ "integrity": "sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA=="
+ },
+ "node_modules/wrap-ansi-cjs/node_modules/emoji-regex": {
+ "version": "8.0.0",
+ "resolved": "https://registry.npmjs.org/emoji-regex/-/emoji-regex-8.0.0.tgz",
+ "integrity": "sha512-MSjYzcWNOA0ewAHpz0MxpYFvwg6yjy1NG3xteoqz644VCo/RPgnr1/GGt+ic3iJTzQ8Eu3TdM14SawnVUmGE6A=="
+ },
+ "node_modules/wrap-ansi-cjs/node_modules/is-fullwidth-code-point": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/is-fullwidth-code-point/-/is-fullwidth-code-point-3.0.0.tgz",
+ "integrity": "sha512-zymm5+u+sCsSWyD9qNaejV3DFvhCKclKdizYaJUuHA83RLjb7nSuGnddCHGv0hk+KY7BMAlsWeK4Ueg6EV6XQg==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/wrap-ansi-cjs/node_modules/string-width": {
+ "version": "4.2.3",
+ "resolved": "https://registry.npmjs.org/string-width/-/string-width-4.2.3.tgz",
+ "integrity": "sha512-wKyQRQpjJ0sIp62ErSZdGsjMJWsap5oRNihHhu6G7JVO/9jIB6UyevL+tXuOqrng8j/cxKTWyWUwvSTriiZz/g==",
+ "dependencies": {
+ "emoji-regex": "^8.0.0",
+ "is-fullwidth-code-point": "^3.0.0",
+ "strip-ansi": "^6.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/wrap-ansi/node_modules/ansi-styles": {
+ "version": "4.3.0",
+ "resolved": "https://registry.npmjs.org/ansi-styles/-/ansi-styles-4.3.0.tgz",
+ "integrity": "sha512-zbB9rCJAT1rbjiVDb2hqKFHNYLxgtk8NURxZ3IZwD3F6NtxbXZQCnnSi1Lkx+IDohdPlFp222wVALIheZJQSEg==",
+ "dependencies": {
+ "color-convert": "^2.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ },
+ "funding": {
+ "url": "https://github.com/chalk/ansi-styles?sponsor=1"
+ }
+ },
+ "node_modules/wrap-ansi/node_modules/color-convert": {
+ "version": "2.0.1",
+ "resolved": "https://registry.npmjs.org/color-convert/-/color-convert-2.0.1.tgz",
+ "integrity": "sha512-RRECPsj7iu/xb5oKYcsFHSppFNnsj/52OVTRKb4zP5onXwVF3zVmmToNcOfGC+CRDpfK/U584fMg38ZHCaElKQ==",
+ "dependencies": {
+ "color-name": "~1.1.4"
+ },
+ "engines": {
+ "node": ">=7.0.0"
+ }
+ },
+ "node_modules/wrap-ansi/node_modules/color-name": {
+ "version": "1.1.4",
+ "resolved": "https://registry.npmjs.org/color-name/-/color-name-1.1.4.tgz",
+ "integrity": "sha512-dOy+3AuW3a2wNbZHIuMZpTcgjGuLU/uBL/ubcZF9OXbDo8ff4O8yVp5Bf0efS8uEoYo5q4Fx7dY9OgQGXgAsQA=="
+ },
+ "node_modules/wrap-ansi/node_modules/emoji-regex": {
+ "version": "8.0.0",
+ "resolved": "https://registry.npmjs.org/emoji-regex/-/emoji-regex-8.0.0.tgz",
+ "integrity": "sha512-MSjYzcWNOA0ewAHpz0MxpYFvwg6yjy1NG3xteoqz644VCo/RPgnr1/GGt+ic3iJTzQ8Eu3TdM14SawnVUmGE6A=="
+ },
+ "node_modules/wrap-ansi/node_modules/is-fullwidth-code-point": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/is-fullwidth-code-point/-/is-fullwidth-code-point-3.0.0.tgz",
+ "integrity": "sha512-zymm5+u+sCsSWyD9qNaejV3DFvhCKclKdizYaJUuHA83RLjb7nSuGnddCHGv0hk+KY7BMAlsWeK4Ueg6EV6XQg==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/wrap-ansi/node_modules/string-width": {
+ "version": "4.2.3",
+ "resolved": "https://registry.npmjs.org/string-width/-/string-width-4.2.3.tgz",
+ "integrity": "sha512-wKyQRQpjJ0sIp62ErSZdGsjMJWsap5oRNihHhu6G7JVO/9jIB6UyevL+tXuOqrng8j/cxKTWyWUwvSTriiZz/g==",
+ "dependencies": {
+ "emoji-regex": "^8.0.0",
+ "is-fullwidth-code-point": "^3.0.0",
+ "strip-ansi": "^6.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/wrappy": {
+ "version": "1.0.2",
+ "resolved": "https://registry.npmjs.org/wrappy/-/wrappy-1.0.2.tgz",
+ "integrity": "sha512-l4Sp/DRseor9wL6EvV2+TuQn63dMkPjZ/sp9XkghTEbV9KlPS1xUsZ3u7/IQO4wxtcFB4bgpQPRcR3QCvezPcQ=="
+ },
+ "node_modules/write-file-atomic": {
+ "version": "3.0.3",
+ "resolved": "https://registry.npmjs.org/write-file-atomic/-/write-file-atomic-3.0.3.tgz",
+ "integrity": "sha512-AvHcyZ5JnSfq3ioSyjrBkH9yW4m7Ayk8/9My/DD9onKeu/94fwrMocemO2QAJFAlnnDN+ZDS+ZjAR5ua1/PV/Q==",
+ "dependencies": {
+ "imurmurhash": "^0.1.4",
+ "is-typedarray": "^1.0.0",
+ "signal-exit": "^3.0.2",
+ "typedarray-to-buffer": "^3.1.5"
+ }
+ },
+ "node_modules/ws": {
+ "version": "7.5.9",
+ "resolved": "https://registry.npmjs.org/ws/-/ws-7.5.9.tgz",
+ "integrity": "sha512-F+P9Jil7UiSKSkppIiD94dN07AwvFixvLIj1Og1Rl9GGMuNipJnV9JzjD6XuqmAeiswGvUmNLjr5cFuXwNS77Q==",
+ "engines": {
+ "node": ">=8.3.0"
+ },
+ "peerDependencies": {
+ "bufferutil": "^4.0.1",
+ "utf-8-validate": "^5.0.2"
+ },
+ "peerDependenciesMeta": {
+ "bufferutil": {
+ "optional": true
+ },
+ "utf-8-validate": {
+ "optional": true
+ }
+ }
+ },
+ "node_modules/xml-name-validator": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/xml-name-validator/-/xml-name-validator-3.0.0.tgz",
+ "integrity": "sha512-A5CUptxDsvxKJEU3yO6DuWBSJz/qizqzJKOMIfUJHETbBw/sFaDxgd6fxm1ewUaM0jZ444Fc5vC5ROYurg/4Pw=="
+ },
+ "node_modules/xmlchars": {
+ "version": "2.2.0",
+ "resolved": "https://registry.npmjs.org/xmlchars/-/xmlchars-2.2.0.tgz",
+ "integrity": "sha512-JZnDKK8B0RCDw84FNdDAIpZK+JuJw+s7Lz8nksI7SIuU3UXJJslUthsi+uWBUYOwPFwW7W7PRLRfUKpxjtjFCw=="
+ },
+ "node_modules/y18n": {
+ "version": "5.0.8",
+ "resolved": "https://registry.npmjs.org/y18n/-/y18n-5.0.8.tgz",
+ "integrity": "sha512-0pfFzegeDWJHJIAmTLRP2DwHjdF5s7jo9tuztdQxAhINCdvS+3nGINqPd00AphqJR/0LhANUS6/+7SCb98YOfA==",
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/yallist": {
+ "version": "3.1.1",
+ "resolved": "https://registry.npmjs.org/yallist/-/yallist-3.1.1.tgz",
+ "integrity": "sha512-a4UGQaWPH59mOXUYnAG2ewncQS4i4F43Tv3JoAM+s2VDAmS9NsK8GpDMLrCHPksFT7h3K6TOoUNn2pb7RoXx4g=="
+ },
+ "node_modules/yaml": {
+ "version": "1.10.2",
+ "resolved": "https://registry.npmjs.org/yaml/-/yaml-1.10.2.tgz",
+ "integrity": "sha512-r3vXyErRCYJ7wg28yvBY5VSoAF8ZvlcW9/BwUzEtUsjvX/DKs24dIkuwjtuprwJJHsbyUbLApepYTR1BN4uHrg==",
+ "engines": {
+ "node": ">= 6"
+ }
+ },
+ "node_modules/yargs": {
+ "version": "16.2.0",
+ "resolved": "https://registry.npmjs.org/yargs/-/yargs-16.2.0.tgz",
+ "integrity": "sha512-D1mvvtDG0L5ft/jGWkLpG1+m0eQxOfaBvTNELraWj22wSVUMWxZUvYgJYcKh6jGGIkJFhH4IZPQhR4TKpc8mBw==",
+ "dependencies": {
+ "cliui": "^7.0.2",
+ "escalade": "^3.1.1",
+ "get-caller-file": "^2.0.5",
+ "require-directory": "^2.1.1",
+ "string-width": "^4.2.0",
+ "y18n": "^5.0.5",
+ "yargs-parser": "^20.2.2"
+ },
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/yargs-parser": {
+ "version": "20.2.9",
+ "resolved": "https://registry.npmjs.org/yargs-parser/-/yargs-parser-20.2.9.tgz",
+ "integrity": "sha512-y11nGElTIV+CT3Zv9t7VKl+Q3hTQoT9a1Qzezhhl6Rp21gJ/IVTW7Z3y9EWXhuUBC2Shnf+DX0antecpAwSP8w==",
+ "engines": {
+ "node": ">=10"
+ }
+ },
+ "node_modules/yargs/node_modules/emoji-regex": {
+ "version": "8.0.0",
+ "resolved": "https://registry.npmjs.org/emoji-regex/-/emoji-regex-8.0.0.tgz",
+ "integrity": "sha512-MSjYzcWNOA0ewAHpz0MxpYFvwg6yjy1NG3xteoqz644VCo/RPgnr1/GGt+ic3iJTzQ8Eu3TdM14SawnVUmGE6A=="
+ },
+ "node_modules/yargs/node_modules/is-fullwidth-code-point": {
+ "version": "3.0.0",
+ "resolved": "https://registry.npmjs.org/is-fullwidth-code-point/-/is-fullwidth-code-point-3.0.0.tgz",
+ "integrity": "sha512-zymm5+u+sCsSWyD9qNaejV3DFvhCKclKdizYaJUuHA83RLjb7nSuGnddCHGv0hk+KY7BMAlsWeK4Ueg6EV6XQg==",
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/yargs/node_modules/string-width": {
+ "version": "4.2.3",
+ "resolved": "https://registry.npmjs.org/string-width/-/string-width-4.2.3.tgz",
+ "integrity": "sha512-wKyQRQpjJ0sIp62ErSZdGsjMJWsap5oRNihHhu6G7JVO/9jIB6UyevL+tXuOqrng8j/cxKTWyWUwvSTriiZz/g==",
+ "dependencies": {
+ "emoji-regex": "^8.0.0",
+ "is-fullwidth-code-point": "^3.0.0",
+ "strip-ansi": "^6.0.1"
+ },
+ "engines": {
+ "node": ">=8"
+ }
+ },
+ "node_modules/yocto-queue": {
+ "version": "0.1.0",
+ "resolved": "https://registry.npmjs.org/yocto-queue/-/yocto-queue-0.1.0.tgz",
+ "integrity": "sha512-rVksvsnNCdJ/ohGc6xgPwyN8eheCxsiLM8mxuE/t/mOVqJewPuO1miLpTHQiRgTKCLexL4MeAFVagts7HmNZ2Q==",
+ "engines": {
+ "node": ">=10"
+ },
+ "funding": {
+ "url": "https://github.com/sponsors/sindresorhus"
+ }
+ }
+ }
+}
diff --git a/pkgs/by-name/di/dim/package.nix b/pkgs/by-name/di/dim/package.nix
new file mode 100644
index 000000000000..9b59329e766a
--- /dev/null
+++ b/pkgs/by-name/di/dim/package.nix
@@ -0,0 +1,100 @@
+{
+ lib,
+ stdenv,
+ rustPlatform,
+ fetchFromGitHub,
+ buildNpmPackage,
+ makeWrapper,
+ ffmpeg_5,
+ git,
+ pkg-config,
+ sqlite,
+ libvaSupport ? stdenv.hostPlatform.isLinux,
+ libva,
+}:
+rustPlatform.buildRustPackage rec {
+ pname = "dim";
+ version = "0-unstable-2023-12-29";
+ src = fetchFromGitHub {
+ owner = "Dusk-Labs";
+ repo = "dim";
+ rev = "3ccb4ab05fc1d7dbd4ebbba9ff2de0ecc9139b27";
+ hash = "sha256-1mgbrDnIkIdWy78uj4EjjgwBQxw/rIS1LCFNscXXPbk=";
+ };
+
+ frontend = buildNpmPackage {
+ pname = "dim-ui";
+ inherit version;
+ src = "${src}/ui";
+
+ postPatch = ''
+ ln -s ${./package-lock.json} package-lock.json
+ '';
+
+ npmDepsHash = "sha256-6oSm3H6RItHOrBIvP6uvR7sBboBRWFuP3VwU38GMfgQ=";
+
+ installPhase = ''
+ runHook preInstall
+ cp -r build $out
+ runHook postInstall
+ '';
+ };
+
+ patches = [
+ # Upstream uses a 'ffpath' function to look for config directory and
+ # (ffmpeg) binaries in the same directory as the binary. Patch it to use
+ # the working dir and PATH instead.
+ ./relative-paths.diff
+ ];
+
+ postConfigure = ''
+ ln -ns $frontend ui/build
+ '';
+
+ nativeBuildInputs = [
+ makeWrapper
+ pkg-config
+ git
+ ];
+
+ buildInputs = [
+ sqlite
+ ] ++ lib.optional libvaSupport libva;
+
+ buildFeatures = lib.optional libvaSupport "vaapi";
+
+ cargoLock = {
+ lockFile = ./Cargo.lock;
+ outputHashes = {
+ "mp4-0.8.2" = "sha256-OtVRtOTU/yoxxoRukpUghpfiEgkKoJZNflMQ3L26Cno=";
+ "nightfall-0.3.12-rc4" = "sha256-DtSXdIDg7XBgzEYzHdzjrHdM1ESKTQdgByeerH5TWwU=";
+ };
+ };
+
+ checkFlags = [
+ # Requires network
+ "--skip=tmdb::tests::johhny_test_seasons"
+ "--skip=tmdb::tests::once_upon_get_year"
+ "--skip=tmdb::tests::tmdb_get_cast"
+ "--skip=tmdb::tests::tmdb_get_details"
+ "--skip=tmdb::tests::tmdb_get_episodes"
+ "--skip=tmdb::tests::tmdb_get_seasons"
+ "--skip=tmdb::tests::tmdb_search"
+ # Broken doctest
+ "--skip=dim-utils/src/lib.rs"
+ ];
+
+ postInstall = ''
+ wrapProgram $out/bin/dim \
+ --prefix PATH : ${lib.makeBinPath [ffmpeg_5]}
+ '';
+
+ meta = {
+ homepage = "https://github.com/Dusk-Labs/dim";
+ description = "Self-hosted media manager";
+ license = lib.licenses.agpl3Only;
+ mainProgram = "dim";
+ maintainers = [ lib.maintainers.misterio77 ];
+ platforms = lib.platforms.unix;
+ };
+}
diff --git a/pkgs/by-name/di/dim/relative-paths.diff b/pkgs/by-name/di/dim/relative-paths.diff
new file mode 100644
index 000000000000..e5f27c7f1715
--- /dev/null
+++ b/pkgs/by-name/di/dim/relative-paths.diff
@@ -0,0 +1,173 @@
+diff --git a/dim-core/src/routes/settings.rs b/dim-core/src/routes/settings.rs
+index f577eaf6..67da9448 100644
+--- a/dim-core/src/routes/settings.rs
++++ b/dim-core/src/routes/settings.rs
+@@ -1,5 +1,3 @@
+-use crate::utils::ffpath;
+-
+ use std::error::Error;
+ use std::fs::File;
+ use std::fs::OpenOptions;
+@@ -49,7 +47,7 @@ impl Default for GlobalSettings {
+ }
+ }
+ },
+- metadata_dir: ffpath("config/metadata"),
++ metadata_dir: "config/metadata".into(),
+ quiet_boot: false,
+ disable_auth: false,
+ verbose: false,
+@@ -69,7 +67,7 @@ pub fn get_global_settings() -> GlobalSettings {
+ }
+
+ pub fn init_global_settings(path: Option) -> Result<(), Box> {
+- let path = path.unwrap_or(ffpath("config/config.toml"));
++ let path = path.unwrap_or("config/config.toml".into());
+ let _ = SETTINGS_PATH.set(path.clone());
+ let mut content = String::new();
+
+@@ -94,7 +92,7 @@ pub fn set_global_settings(settings: GlobalSettings) -> Result<(), Box Result<(), Box>>> = Arc::new(RwLock::new(HashMap::new()));
+- pub static ref FFMPEG_BIN: &'static str = Box::leak(ffpath("utils/ffmpeg").into_boxed_str());
+- pub static ref FFPROBE_BIN: &'static str = {
+- cfg_if! {
+- if #[cfg(test)] {
+- "/usr/bin/ffprobe"
+- } else if #[cfg(bench)] {
+- "/usr/bin/ffprobe"
+- } else {
+- Box::leak(ffpath("utils/ffprobe").into_boxed_str())
+- }
+- }
+- };
++ pub static ref FFMPEG_BIN: &'static str = "ffmpeg";
++ pub static ref FFPROBE_BIN: &'static str = "ffprobe";
+ }
+
+ use std::process::Command;
+diff --git a/dim-database/src/lib.rs b/dim-database/src/lib.rs
+index de99a5e4..ac9731be 100644
+--- a/dim-database/src/lib.rs
++++ b/dim-database/src/lib.rs
+@@ -1,8 +1,6 @@
+ // FIXME: We have a shim in dim/utils but we cant depend on dim because itd be a circular dep.
+ #![deny(warnings)]
+
+-use crate::utils::ffpath;
+-
+ use std::str::FromStr;
+ use std::sync::atomic::AtomicBool;
+ use std::sync::atomic::Ordering;
+@@ -157,13 +155,13 @@ pub async fn get_conn_logged() -> sqlx::Result {
+ async fn internal_get_conn() -> sqlx::Result {
+ let rw_only = sqlx::sqlite::SqliteConnectOptions::new()
+ .create_if_missing(true)
+- .filename(ffpath("config/dim.db"))
++ .filename("config/dim.db")
+ .connect()
+ .await?;
+
+ let rd_only = sqlx::pool::PoolOptions::new()
+ .connect_with(
+- sqlx::sqlite::SqliteConnectOptions::from_str(ffpath("config/dim.db"))?
++ sqlx::sqlite::SqliteConnectOptions::from_str("config/dim.db")?
+ .read_only(true)
+ .synchronous(sqlx::sqlite::SqliteSynchronous::Normal)
+ .create_if_missing(true),
+diff --git a/dim-database/src/utils.rs b/dim-database/src/utils.rs
+index 35e25c6c..e1e56e01 100644
+--- a/dim-database/src/utils.rs
++++ b/dim-database/src/utils.rs
+@@ -16,17 +16,3 @@ macro_rules! opt_update {
+ }
+ }
+ }
+-
+-#[cfg(not(debug_assertions))]
+-pub fn ffpath(bin: impl AsRef) -> &'static str {
+- let mut path = std::env::current_exe().expect("Failed to grab path to the `dim` binary.");
+- path.pop(); // remove the dim bin to get the dir of `dim`
+- path.push(bin.as_ref());
+-
+- Box::leak(path.to_string_lossy().to_string().into_boxed_str())
+-}
+-
+-#[cfg(debug_assertions)]
+-pub fn ffpath(bin: impl AsRef) -> &'static str {
+- Box::leak(bin.as_ref().to_string().into_boxed_str())
+-}
+diff --git a/dim-utils/src/lib.rs b/dim-utils/src/lib.rs
+index 816bfe82..6dddc9aa 100644
+--- a/dim-utils/src/lib.rs
++++ b/dim-utils/src/lib.rs
+@@ -400,20 +400,6 @@ pub fn secs_to_pretty(t: u64) -> String {
+ tag
+ }
+
+-#[cfg(not(debug_assertions))]
+-pub fn ffpath(bin: impl AsRef) -> String {
+- let mut path = std::env::current_exe().expect("Failed to grab path to the `dim` binary.");
+- path.pop(); // remove the dim bin to get the dir of `dim`
+- path.push(bin.as_ref());
+-
+- path.to_string_lossy().to_string()
+-}
+-
+-#[cfg(debug_assertions)]
+-pub fn ffpath(bin: impl AsRef) -> String {
+- bin.as_ref().to_string()
+-}
+-
+ pub fn codec_pretty(codec: &str) -> String {
+ match codec {
+ "h264" => "H.264".into(),
+diff --git a/dim/src/main.rs b/dim/src/main.rs
+index 867d64de..e683b441 100644
+--- a/dim/src/main.rs
++++ b/dim/src/main.rs
+@@ -18,12 +18,12 @@ struct Args {
+
+ fn main() {
+ let args = Args::parse();
+- let _ = std::fs::create_dir_all(dim::utils::ffpath("config"));
++ let _ = std::fs::create_dir_all("config");
+
+ let config_path = args
+ .config
+ .map(|x| x.to_string_lossy().to_string())
+- .unwrap_or(dim::utils::ffpath("config/config.toml"));
++ .unwrap_or("config/config.toml".into());
+
+ // initialize global settings.
+ dim::init_global_settings(Some(config_path)).expect("Failed to initialize global settings.");
diff --git a/pkgs/by-name/di/dirbuster/package.nix b/pkgs/by-name/di/dirbuster/package.nix
new file mode 100644
index 000000000000..59b41efab6ea
--- /dev/null
+++ b/pkgs/by-name/di/dirbuster/package.nix
@@ -0,0 +1,72 @@
+{ lib
+, stdenv
+, fetchurl
+, makeBinaryWrapper
+, copyDesktopItems
+, makeDesktopItem
+, unzip
+, jdk8
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "dirbuster";
+ version = "1.0-RC1";
+
+ src = fetchurl {
+ url = "mirror://sourceforge/dirbuster/DirBuster%20(jar%20%2B%20lists)/${finalAttrs.version}/DirBuster-${finalAttrs.version}.tar.bz2";
+ hash = "sha256-UoEt1NkaLsKux3lr+AB+TZCCshQs2hIo63igT39V68E=";
+ };
+
+ desktopItems = [
+ (makeDesktopItem {
+ name = "dirbuster";
+ desktopName = "OWASP DirBuster";
+ exec = "dirbuster";
+ icon = "dirbuster";
+ comment = "Web Application Brute Forcing";
+ categories = [ "Network" ];
+ })
+ ];
+
+ nativeBuildInputs = [
+ makeBinaryWrapper
+ copyDesktopItems
+ unzip
+ ];
+
+ installPhase = ''
+ runHook preInstall
+
+ export JAR=$out/share/java/dirbuster.jar
+ install -Dm444 DirBuster-${finalAttrs.version}.jar $JAR
+ makeWrapper ${jdk8}/bin/java $out/bin/dirbuster \
+ --add-flags "-Duser.dir=$out/share/dirbuster/" \
+ --add-flags "-Xmx256M" \
+ --add-flags "-jar $JAR"
+
+ cp -r lib/ $out/share/java/lib/
+
+ # Copy wordlists
+ mkdir -p $out/share/dirbuster
+ for f in *.txt; do
+ cp $f $out/share/dirbuster/
+ done
+
+ # Extract embedded desktop icon
+ mkdir -p $out/share/pixmaps
+ unzip $JAR
+ strings com/sittinglittleduck/DirBuster/ImageCreator.class | grep iVBORw0KG | base64 -d > $out/share/pixmaps/dirbuster.png
+
+ runHook postInstall
+ '';
+
+ meta = {
+ description = "Brute force directories and files names on web/application servers";
+ homepage = "https://wiki.owasp.org/index.php/Category:OWASP_DirBuster_Project";
+ license = lib.licenses.lgpl21Only;
+ mainProgram = "dirbuster";
+ maintainers = with lib.maintainers; [ emilytrau ];
+ platforms = lib.platforms.all;
+ sourceProvenance = with lib.sourceTypes; [ binaryBytecode ];
+ };
+})
diff --git a/pkgs/by-name/di/discordchatexporter-cli/deps.nix b/pkgs/by-name/di/discordchatexporter-cli/deps.nix
new file mode 100644
index 000000000000..c75966de85c3
--- /dev/null
+++ b/pkgs/by-name/di/discordchatexporter-cli/deps.nix
@@ -0,0 +1,23 @@
+# This file was automatically generated by passthru.fetch-deps.
+# Please dont edit it manually, your changes might get overwritten!
+
+{ fetchNuGet }: [
+ (fetchNuGet { pname = "AdvancedStringBuilder"; version = "0.1.0"; sha256 = "1lpv5sggdxza0bmcqmzf5r4i340f0m7nr5073lac18naj5697q5g"; })
+ (fetchNuGet { pname = "AngleSharp"; version = "1.0.7"; sha256 = "1f0sb4jknw7f9mhg4f5khk1q257mn97b9qyy017jjljhqyxp449f"; })
+ (fetchNuGet { pname = "AsyncKeyedLock"; version = "6.2.4"; sha256 = "1sizwdkj7ysk7nvdrnnnvl67r4smyq45k6ih4si38kxm27sqwhjw"; })
+ (fetchNuGet { pname = "CliFx"; version = "2.3.5"; sha256 = "0rlbv93ssw0d8kvhnvrz2f06ka66gz4gbz1va2q135dab99cmrin"; })
+ (fetchNuGet { pname = "CSharpier.MsBuild"; version = "0.26.7"; sha256 = "1pa96gci9nwav1g93vxq4mc0h1bjasax9j6giya1ms6rdmqxxlyn"; })
+ (fetchNuGet { pname = "Deorcify"; version = "1.0.2"; sha256 = "0nwxyrl4rd5x621i2hs5fl3w7fxpm13lkdssxr9fd5042px2gqbm"; })
+ (fetchNuGet { pname = "DotnetRuntimeBootstrapper"; version = "2.5.2"; sha256 = "0j3z9wdhn6d4np0cjxv2wb5n9blm9frgbxs1p6zdafbxr98qzb73"; })
+ (fetchNuGet { pname = "Gress"; version = "2.1.1"; sha256 = "1svz1flhyl26h3xjch0acjjinympgf6bhj5vpb188njfih3ip4ck"; })
+ (fetchNuGet { pname = "JsonExtensions"; version = "1.2.0"; sha256 = "0g54hibabbqqfhxjlnxwv1rxagpali5agvnpymp2w3dk8h6q66xy"; })
+ (fetchNuGet { pname = "Polly"; version = "8.2.0"; sha256 = "0gxdi4sf60vpxsb258v592ykkq9a3dq2awayp99yy9djys8bglks"; })
+ (fetchNuGet { pname = "Polly.Core"; version = "8.2.0"; sha256 = "00b4jbyiyslqvswy4j2lfw0rl0gq8m4v5fj2asb96i6l224bs7d3"; })
+ (fetchNuGet { pname = "RazorBlade"; version = "0.5.0"; sha256 = "11s68yqvpp65yam954f281vw9pmb2c5mxnk0n5j6xv1xylng4x5b"; })
+ (fetchNuGet { pname = "Spectre.Console"; version = "0.48.0"; sha256 = "0v3zijim9k5lcmhn0ajlsix0japvx3c20r9b7x7f7gvraa8w3gl6"; })
+ (fetchNuGet { pname = "Superpower"; version = "3.0.0"; sha256 = "0p6riay4732j1fahc081dzgs9q4z3n2fpxrin4zfpj6q2226dhz4"; })
+ (fetchNuGet { pname = "System.Memory"; version = "4.5.5"; sha256 = "08jsfwimcarfzrhlyvjjid61j02irx6xsklf32rv57x2aaikvx0h"; })
+ (fetchNuGet { pname = "System.Text.Encoding.CodePages"; version = "8.0.0"; sha256 = "1lgdd78cik4qyvp2fggaa0kzxasw6kc9a6cjqw46siagrm0qnc3y"; })
+ (fetchNuGet { pname = "WebMarkupMin.Core"; version = "2.14.0"; sha256 = "0c41zw1bwz6ybxagq5vr26cx7najd17rrdbqjpn8mabynq380ayr"; })
+ (fetchNuGet { pname = "YoutubeExplode"; version = "6.3.10"; sha256 = "0b3n8mfxa4l7bfk0c1s7yfw4m1kvnm2r5pqfvr6s20gjq3wzfih5"; })
+]
diff --git a/pkgs/tools/backup/discordchatexporter-cli/default.nix b/pkgs/by-name/di/discordchatexporter-cli/package.nix
similarity index 85%
rename from pkgs/tools/backup/discordchatexporter-cli/default.nix
rename to pkgs/by-name/di/discordchatexporter-cli/package.nix
index 518113e0b217..9f3d709fd166 100644
--- a/pkgs/tools/backup/discordchatexporter-cli/default.nix
+++ b/pkgs/by-name/di/discordchatexporter-cli/package.nix
@@ -8,19 +8,19 @@
buildDotnetModule rec {
pname = "discordchatexporter-cli";
- version = "2.41.2";
+ version = "2.42.8";
src = fetchFromGitHub {
owner = "tyrrrz";
repo = "discordchatexporter";
rev = version;
- hash = "sha256-8ETEIZXIo7Tx6Vb9Id/E/8IklpcvO9OpcrYD+mHRX3o=";
+ hash = "sha256-54NTeIs0a8hd2xKQkAxwfyGwEPUlSSXXvDamGLfa9ls=";
};
projectFile = "DiscordChatExporter.Cli/DiscordChatExporter.Cli.csproj";
nugetDeps = ./deps.nix;
- dotnet-sdk = dotnetCorePackages.sdk_7_0;
- dotnet-runtime = dotnetCorePackages.runtime_7_0;
+ dotnet-sdk = dotnetCorePackages.sdk_8_0;
+ dotnet-runtime = dotnetCorePackages.runtime_8_0;
postFixup = ''
ln -s $out/bin/DiscordChatExporter.Cli $out/bin/discordchatexporter-cli
diff --git a/pkgs/tools/backup/discordchatexporter-cli/updater.sh b/pkgs/by-name/di/discordchatexporter-cli/updater.sh
similarity index 88%
rename from pkgs/tools/backup/discordchatexporter-cli/updater.sh
rename to pkgs/by-name/di/discordchatexporter-cli/updater.sh
index cc81761b93d9..d61235ef5c6f 100755
--- a/pkgs/tools/backup/discordchatexporter-cli/updater.sh
+++ b/pkgs/by-name/di/discordchatexporter-cli/updater.sh
@@ -4,7 +4,7 @@ set -eo pipefail
cd "$(dirname "${BASH_SOURCE[0]}")"
new_version="$(curl -s "https://api.github.com/repos/tyrrrz/DiscordChatExporter/releases?per_page=1" | jq -r '.[0].name')"
-old_version="$(sed -nE 's/\s*version = "(.*)".*/\1/p' ./default.nix)"
+old_version="$(sed -nE 's/\s*version = "(.*)".*/\1/p' ./package.nix)"
if [[ "$new_version" == "$old_version" ]]; then
echo "Up to date"
exit 0
diff --git a/pkgs/by-name/di/disko/package.nix b/pkgs/by-name/di/disko/package.nix
index 0ac7101283eb..0d03005736a5 100644
--- a/pkgs/by-name/di/disko/package.nix
+++ b/pkgs/by-name/di/disko/package.nix
@@ -4,29 +4,38 @@
, fetchFromGitHub
, bash
, nix
+, coreutils
}:
stdenvNoCC.mkDerivation (finalAttrs: {
name = "disko";
- version = "1.3.0";
+ version = "1.4.1";
src = fetchFromGitHub {
owner = "nix-community";
repo = "disko";
rev = "v${finalAttrs.version}";
- hash = "sha256-wOIJwAsnZhM0NlFRwYJRgO4Lldh8j9viyzwQXtrbNtM=";
+ hash = "sha256-HeWFrRuHpnAiPmIr26OKl2g142HuGerwoO/XtW53pcI=";
};
nativeBuildInputs = [ makeWrapper ];
buildInputs = [ bash ];
installPhase = ''
+ runHook preInstall
mkdir -p $out/bin $out/share/disko
- cp -r cli.nix default.nix disk-deactivate lib $out/share/disko
- sed -e "s|libexec_dir=\".*\"|libexec_dir=\"$out/share/disko\"|" disko > $out/bin/disko
- chmod 755 $out/bin/disko
- wrapProgram $out/bin/disko --prefix PATH : ${lib.makeBinPath [ nix ]}
+ cp -r install-cli.nix cli.nix default.nix disk-deactivate lib $out/share/disko
+
+ for i in disko disko-install; do
+ sed -e "s|libexec_dir=\".*\"|libexec_dir=\"$out/share/disko\"|" "$i" > "$out/bin/$i"
+ chmod 755 "$out/bin/$i"
+ wrapProgram "$out/bin/$i" --prefix PATH : ${lib.makeBinPath [ nix coreutils ]}
+ done
+ runHook postInstall
'';
doInstallCheck = true;
installCheckPhase = ''
+ runHook preInstallCheck
$out/bin/disko --help
+ $out/bin/disko-install --help
+ runHook postInstallCheck
'';
meta = {
homepage = "https://github.com/nix-community/disko";
diff --git a/pkgs/applications/graphics/displaycal/default.nix b/pkgs/by-name/di/displaycal/package.nix
similarity index 94%
rename from pkgs/applications/graphics/displaycal/default.nix
rename to pkgs/by-name/di/displaycal/package.nix
index 0eef148398cb..a48355fbb825 100644
--- a/pkgs/applications/graphics/displaycal/default.nix
+++ b/pkgs/by-name/di/displaycal/package.nix
@@ -10,13 +10,13 @@
python3.pkgs.buildPythonApplication rec {
pname = "displaycal";
- version = "3.9.11";
+ version = "3.9.12";
format = "setuptools";
src = fetchPypi {
pname = "DisplayCAL";
inherit version;
- hash = "sha256-zAZW2eMjwRYevlz8KEzTxzGO8vx5AydfY3vGTapNo1c=";
+ hash = "sha256-0NZ+fr3ilnyWE6+Xa8xqpccNe7WVvvQfQEYvdQ8rf/Q=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/audio/gtkcord4/default.nix b/pkgs/by-name/di/dissent/package.nix
similarity index 61%
rename from pkgs/applications/audio/gtkcord4/default.nix
rename to pkgs/by-name/di/dissent/package.nix
index a70d1bf2fcbf..efcb1b946ce0 100644
--- a/pkgs/applications/audio/gtkcord4/default.nix
+++ b/pkgs/by-name/di/dissent/package.nix
@@ -17,14 +17,14 @@
}:
buildGoModule rec {
- pname = "gtkcord4";
- version = "0.0.18";
+ pname = "dissent";
+ version = "0.0.22";
src = fetchFromGitHub {
owner = "diamondburned";
- repo = pname;
+ repo = "dissent";
rev = "v${version}";
- hash = "sha256-J76MkbXtlrRIyQEbNlHFNpAW9+mXcOcrx9ahMQ61NL4=";
+ hash = "sha256-HNNTF/a+sLFp+HCxltYRuDssoLnIhzEXuDLKTPxWzeM=";
};
nativeBuildInputs = [
@@ -52,17 +52,17 @@ buildGoModule rec {
];
postInstall = ''
- install -D -m 444 -t $out/share/applications nix/so.libdb.gtkcord4.desktop
- install -D -m 444 internal/icons/hicolor/scalable/apps/logo.svg $out/share/icons/hicolor/scalable/apps/gtkcord4.svg
+ install -D -m 444 -t $out/share/applications nix/so.libdb.dissent.desktop
+ install -D -m 444 internal/icons/hicolor/scalable/apps/so.libdb.dissent.svg $out/share/icons/hicolor/scalable/apps/so.libdb.dissent.svg
'';
- vendorHash = "sha256-BDR67P4Gxveg2FpxijT0eWjUciGDO+l02QmBUxVb99c=";
+ vendorHash = "sha256-mwY1M81EWfbF/gYXQl5bcEXxN9N1npD+GgUSMc7gy90=";
meta = with lib; {
- description = "GTK4 Discord client in Go, attempt #4";
- homepage = "https://github.com/diamondburned/gtkcord4";
+ description = "GTK4 Discord client in Go, attempt #4 (formerly gtkcord4)";
+ homepage = "https://github.com/diamondburned/dissent";
license = licenses.gpl3Only;
- mainProgram = "gtkcord4";
+ mainProgram = "dissent";
maintainers = with maintainers; [ hmenke urandom aleksana ];
};
}
diff --git a/pkgs/by-name/dj/djent/package.nix b/pkgs/by-name/dj/djent/package.nix
index 3559410fbd29..06cff41ec358 100644
--- a/pkgs/by-name/dj/djent/package.nix
+++ b/pkgs/by-name/dj/djent/package.nix
@@ -40,6 +40,7 @@ stdenv.mkDerivation rec {
A reimplementation of the Fourmilab/John Walker random number test program
ent with several improvements
'';
+ mainProgram = "djent";
license = lib.licenses.gpl2Only;
platforms = lib.platforms.all;
maintainers = with lib.maintainers; [ orichter thillux ];
diff --git a/pkgs/by-name/dm/dmarc-report-converter/package.nix b/pkgs/by-name/dm/dmarc-report-converter/package.nix
index 54c19d90d0d1..5fff732e84fc 100644
--- a/pkgs/by-name/dm/dmarc-report-converter/package.nix
+++ b/pkgs/by-name/dm/dmarc-report-converter/package.nix
@@ -7,13 +7,13 @@
buildGoModule rec {
pname = "dmarc-report-converter";
- version = "0.6.5";
+ version = "0.7.1";
src = fetchFromGitHub {
owner = "tierpod";
repo = "dmarc-report-converter";
rev = "v${version}";
- hash = "sha256-4rAQhZmqYldilCKomBfuyqS0vcUg5yS4nqp84XSjam4=";
+ hash = "sha256-cP96tiBpMFNEHuIF0sovi+Q4yW8wMUqr138RyMOFoho=";
};
vendorHash = null;
diff --git a/pkgs/by-name/dm/dmenu-bluetooth/package.nix b/pkgs/by-name/dm/dmenu-bluetooth/package.nix
index 63a46f1e6e50..64d853229022 100644
--- a/pkgs/by-name/dm/dmenu-bluetooth/package.nix
+++ b/pkgs/by-name/dm/dmenu-bluetooth/package.nix
@@ -35,6 +35,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
description = "A script that generates a dmenu menu that uses bluetoothctl to connect to bluetooth devices and display status info";
+ mainProgram = "dmenu-bluetooth";
homepage = "https://github.com/Layerex/dmenu-bluetooth";
license = lib.licenses.gpl3Only;
maintainers = with lib.maintainers; [ ludovicopiero ];
diff --git a/pkgs/by-name/dn/dns2tcp/package.nix b/pkgs/by-name/dn/dns2tcp/package.nix
new file mode 100644
index 000000000000..2cbd2149d0e5
--- /dev/null
+++ b/pkgs/by-name/dn/dns2tcp/package.nix
@@ -0,0 +1,40 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, fetchpatch
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "dns2tcp";
+ version = "0.5.2";
+
+ src = fetchFromGitHub {
+ owner = "alex-sector";
+ repo = "dns2tcp";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-oBKkuQGVQNVzx8pds3qkZkZpwg8b44g1ovonrq2nqKw=";
+ };
+
+ patches = [
+ # fixes gcc-10 build issues.
+ (fetchpatch {
+ url = "https://salsa.debian.org/debian/dns2tcp/-/raw/86b518ce169e88488d71c6b0270d4fc814dc1fbc/debian/patches/01_fix_gcc10_issues.patch.";
+ hash = "sha256-IGpUIajkhruou7meZZJEJ5nnsQ/hVflyPfAuh3J0otI=";
+ })
+ # fixes some spelling errors.
+ (fetchpatch {
+ url = "https://salsa.debian.org/debian/dns2tcp/-/raw/13481f37b7184e52b83cc0c41edfc6b20a5debed/debian/patches/fix_spelling_errors.patch";
+ hash = "sha256-b65olctlwLOY2GnVb7i7axGFiR0iLoTYstXdtVkU3vQ=";
+ })
+ ];
+
+
+ meta = with lib; {
+ description = "A tool for relaying TCP connections over DNS";
+ homepage = "https://github.com/alex-sector/dns2tcp";
+ license = licenses.gpl2Plus;
+ mainProgram = "dns2tcpc";
+ maintainers = with maintainers; [ d3vil0p3r ];
+ platforms = platforms.unix;
+ };
+})
diff --git a/pkgs/by-name/do/docfd/package.nix b/pkgs/by-name/do/docfd/package.nix
index 37df7724c26a..8baa36826a70 100644
--- a/pkgs/by-name/do/docfd/package.nix
+++ b/pkgs/by-name/do/docfd/package.nix
@@ -1,8 +1,13 @@
-{ lib, ocamlPackages, fetchFromGitHub, python3, dune_3, makeWrapper, poppler_utils, fzf }:
+{ lib
+, ocamlPackages
+, fetchFromGitHub
+, python3
+, dune_3
+}:
ocamlPackages.buildDunePackage rec {
pname = "docfd";
- version = "2.1.0";
+ version = "3.0.0";
minimalOCamlVersion = "5.1";
@@ -10,27 +15,37 @@ ocamlPackages.buildDunePackage rec {
owner = "darrenldl";
repo = "docfd";
rev = version;
- hash = "sha256-1DobGm6nI14951KNKEE0D3AF1TFsWQUEhe4L1PdWBDw=";
+ hash = "sha256-pJ5LlOfC+9NRfY7ng9LAxEnjr+mtJmhRNTo9Im6Lkbo=";
};
- nativeBuildInputs = [ python3 dune_3 makeWrapper ];
- buildInputs = with ocamlPackages; [ oseq spelll notty nottui lwd cmdliner domainslib digestif yojson eio_main containers-data timedesc ];
-
- postInstall = ''
- # docfd needs pdftotext from popler_utils to allow pdf search
- # also fzf for "docfd ?" usage
- wrapProgram $out/bin/docfd --prefix PATH : "${lib.makeBinPath [ poppler_utils fzf ]}"
- '';
+ nativeBuildInputs = [ python3 dune_3 ];
+ buildInputs = with ocamlPackages; [
+ cmdliner
+ containers-data
+ digestif
+ domainslib
+ eio_main
+ lwd
+ nottui
+ notty
+ ocolor
+ oseq
+ spelll
+ timedesc
+ yojson
+ ];
meta = with lib; {
description = "TUI multiline fuzzy document finder";
longDescription = ''
- TUI multiline fuzzy document finder.
- Think interactive grep for both text files and PDFs, but word/token based
- instead of regex and line based, so you can search across lines easily.
- Docfd aims to provide good UX via integration with common text editors
- and PDF viewers, so you can jump directly to a search result with a
- single key press.
+ Think interactive grep for both text and other document files, but
+ word/token based instead of regex and line based, so you can search
+ across lines easily. Aims to provide good UX via integration with
+ common text editors and other file viewers.
+ Optional dependencies:
+ fzf - for fuzzy file picker with "docfd ?".
+ poppler_utils - for pdf search.
+ pandoc - for .epub, .odt, .docx, .fb2, .ipynb, .html, & .htm files.
'';
homepage = "https://github.com/darrenldl/docfd";
license = licenses.mit;
diff --git a/pkgs/by-name/do/doggo/package.nix b/pkgs/by-name/do/doggo/package.nix
index cdd19bc37b90..2fe817010bf3 100644
--- a/pkgs/by-name/do/doggo/package.nix
+++ b/pkgs/by-name/do/doggo/package.nix
@@ -33,6 +33,7 @@ buildGoModule rec {
meta = with lib; {
homepage = "https://github.com/mr-karan/doggo";
description = "Command-line DNS Client for Humans. Written in Golang";
+ mainProgram = "doggo";
longDescription = ''
doggo is a modern command-line DNS client (like dig) written in Golang.
It outputs information in a neat concise manner and supports protocols like DoH, DoT, DoQ, and DNSCrypt as well
diff --git a/pkgs/by-name/do/donpapi/package.nix b/pkgs/by-name/do/donpapi/package.nix
new file mode 100644
index 000000000000..1271d67d398f
--- /dev/null
+++ b/pkgs/by-name/do/donpapi/package.nix
@@ -0,0 +1,53 @@
+{ lib
+, python3
+, fetchFromGitHub
+}:
+
+python3.pkgs.buildPythonApplication rec {
+ pname = "donpapi";
+ version = "1.2.0";
+ pyproject = true;
+
+ src = fetchFromGitHub {
+ owner = "login-securite";
+ repo = "DonPAPI";
+ rev = "refs/tags/V${version}";
+ hash = "sha256-60aGnsr36X3mf91nH9ud0xyLBqKgzZ4ALucrLGpAuzQ=";
+ };
+
+ pythonRelaxDeps = [
+ "cryptography"
+ "impacket"
+ "pyasn1"
+ ];
+
+ nativeBuildInputs = with python3.pkgs; [
+ poetry-core
+ pythonRelaxDepsHook
+ ];
+
+ propagatedBuildInputs = with python3.pkgs; [
+ cryptography
+ impacket
+ lnkparse3
+ pyasn1
+ pyjwt
+ setuptools
+ ];
+
+ # Project has no tests
+ doCheck = false;
+
+ pythonImportsCheck = [
+ "donpapi"
+ ];
+
+ meta = with lib; {
+ description = "Tool for dumping DPAPI credentials remotely";
+ homepage = "https://github.com/login-securite/DonPAPI";
+ changelog = "https://github.com/login-securite/DonPAPI/releases/tag/V${version}";
+ license = licenses.gpl3Only;
+ maintainers = with maintainers; [ fab ];
+ mainProgram = "donpapi";
+ };
+}
diff --git a/pkgs/by-name/do/door-knocker/package.nix b/pkgs/by-name/do/door-knocker/package.nix
index d1a5b214ee26..98635e0abf96 100644
--- a/pkgs/by-name/do/door-knocker/package.nix
+++ b/pkgs/by-name/do/door-knocker/package.nix
@@ -14,14 +14,14 @@
stdenv.mkDerivation (finalAttrs: {
pname = "door-knocker";
- version = "0.4.3";
+ version = "0.4.4";
src = fetchFromGitea {
domain = "codeberg.org";
owner = "tytan652";
repo = "door-knocker";
rev = finalAttrs.version;
- hash = "sha256-/C5dNoEAKf0kok+L6/GaA02yrc8e1PUwK9HYaOwjqIM=";
+ hash = "sha256-pC/Fv+GzHw0PTzJoDAUK/EzVVWXha2cflAqiznM9ZYM=";
};
nativeBuildInputs = [
diff --git a/pkgs/by-name/do/dorion/package.nix b/pkgs/by-name/do/dorion/package.nix
index 450a4644ac09..9e77085ac9e2 100644
--- a/pkgs/by-name/do/dorion/package.nix
+++ b/pkgs/by-name/do/dorion/package.nix
@@ -13,11 +13,11 @@
stdenv.mkDerivation (finalAttrs: {
name = "dorion";
- version = "4.1.2";
+ version = "4.1.3";
src = fetchurl {
url = "https://github.com/SpikeHD/Dorion/releases/download/v${finalAttrs.version }/Dorion_${finalAttrs.version}_amd64.deb";
- hash = "sha256-hpZF83QPRcRqI0wCnIu6CsNBe8b9H0KrDyp6CDYkOfQ=";
+ hash = "sha256-O6KXOouutrNla5dkHRQeT0kp8DQO9MLoJrIMuqam/60=";
};
unpackCmd = ''
diff --git a/pkgs/by-name/do/dotbot/package.nix b/pkgs/by-name/do/dotbot/package.nix
index a2b979f67139..77d98148434b 100644
--- a/pkgs/by-name/do/dotbot/package.nix
+++ b/pkgs/by-name/do/dotbot/package.nix
@@ -27,6 +27,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "A tool that bootstraps your dotfiles";
+ mainProgram = "dotbot";
longDescription = ''
Dotbot is designed to be lightweight and self-contained, with no external
dependencies and no installation required. Dotbot can also be a drop-in
diff --git a/pkgs/by-name/do/dotslash/package.nix b/pkgs/by-name/do/dotslash/package.nix
new file mode 100644
index 000000000000..788d6ea17aa0
--- /dev/null
+++ b/pkgs/by-name/do/dotslash/package.nix
@@ -0,0 +1,31 @@
+{ lib, rustPlatform, fetchCrate }:
+
+rustPlatform.buildRustPackage rec {
+ pname = "dotslash";
+ version = "0.2.0";
+
+ src = fetchCrate {
+ inherit pname version;
+ hash = "sha256-4y4GH+YR6QpZj7gYycJcm7K5tE7dCvYm3UQuCKF3cfQ=";
+ };
+
+ cargoHash = "sha256-L/ahRDLVOWsg7mHWlLYqP/+6zS9JwXGQXn66UsIIARk=";
+ doCheck = false; # http tests
+
+ meta = with lib; {
+ homepage = "https://dotslash-cli.com";
+ description = "Simplified multi-platform executable deployment";
+ longDescription = ''
+ DotSlash is a command-line tool that is designed to facilitate fetching an
+ executable, verifying it, and then running it. It maintains a local cache
+ of fetched executables so that subsequent invocations are fast.
+
+ DotSlash helps keeps heavyweight binaries out of your repo while ensuring
+ your developers seamlessly get the tools they need, ensuring consistent
+ builds across platforms.
+ '';
+ license = with licenses; [ asl20 /* or */ mit ];
+ mainProgram = "dotslash";
+ maintainers = with maintainers; [ thoughtpolice ];
+ };
+}
diff --git a/pkgs/by-name/do/doublecmd/package.nix b/pkgs/by-name/do/doublecmd/package.nix
index f245a68f26dd..2b8c27fc8470 100644
--- a/pkgs/by-name/do/doublecmd/package.nix
+++ b/pkgs/by-name/do/doublecmd/package.nix
@@ -13,13 +13,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "doublecmd";
- version = "1.1.9";
+ version = "1.1.11";
src = fetchFromGitHub {
owner = "doublecmd";
repo = "doublecmd";
rev = "v${finalAttrs.version}";
- hash = "sha256-NgCN72yACSzsnQdDxBM4QQCE8m5+FT31Ia51yEiXBfY=";
+ hash = "sha256-8kxaJp9mSJ6fHOgVD5iFjecUU0Kt10KCAVSYMQt+bSw=";
};
nativeBuildInputs = [
diff --git a/pkgs/by-name/ds/dsda-doom/package.nix b/pkgs/by-name/ds/dsda-doom/package.nix
index cedf7c0524f5..c45c0ff37988 100644
--- a/pkgs/by-name/ds/dsda-doom/package.nix
+++ b/pkgs/by-name/ds/dsda-doom/package.nix
@@ -55,6 +55,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/kraflab/dsda-doom";
description = "An advanced Doom source port with a focus on speedrunning, successor of PrBoom+";
+ mainProgram = "dsda-doom";
license = licenses.gpl2Plus;
platforms = platforms.linux;
maintainers = [ maintainers.Gliczy ];
diff --git a/pkgs/by-name/ds/dsda-launcher/package.nix b/pkgs/by-name/ds/dsda-launcher/package.nix
index 8a83fb43a6f5..8e73ae10e74f 100644
--- a/pkgs/by-name/ds/dsda-launcher/package.nix
+++ b/pkgs/by-name/ds/dsda-launcher/package.nix
@@ -40,6 +40,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/Pedro-Beirao/dsda-launcher";
description = "This is a launcher GUI for the dsda-doom source port";
+ mainProgram = "dsda-launcher";
license = licenses.gpl3;
platforms = platforms.linux;
maintainers = [ maintainers.Gliczy ];
diff --git a/pkgs/applications/misc/dunst/default.nix b/pkgs/by-name/du/dunst/package.nix
similarity index 91%
rename from pkgs/applications/misc/dunst/default.nix
rename to pkgs/by-name/du/dunst/package.nix
index 47dbaa62c3c1..f89bcd196ab3 100644
--- a/pkgs/applications/misc/dunst/default.nix
+++ b/pkgs/by-name/du/dunst/package.nix
@@ -6,15 +6,15 @@
, testers, dunst
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "dunst";
- version = "1.9.2";
+ version = "1.10.0";
src = fetchFromGitHub {
owner = "dunst-project";
repo = "dunst";
- rev = "v${version}";
- sha256 = "sha256-8IH0WTPSaAundhYh4l7gQR66nyT38H4DstRTm+Xh+Z8=";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-6smFUdWqOuYB0btsDgHtIpDBfHhkpIQfjyZ8wtRg1bQ=";
};
nativeBuildInputs = [ perl pkg-config which systemd makeWrapper ];
@@ -59,4 +59,4 @@ stdenv.mkDerivation rec {
maintainers = with maintainers; [ domenkozar ];
mainProgram = "dunst";
};
-}
+})
diff --git a/pkgs/by-name/dx/dxvk/package.nix b/pkgs/by-name/dx/dxvk/package.nix
index 88b7e5b104fb..d3639215057f 100644
--- a/pkgs/by-name/dx/dxvk/package.nix
+++ b/pkgs/by-name/dx/dxvk/package.nix
@@ -43,6 +43,7 @@ stdenvNoCC.mkDerivation (finalAttrs:
meta = {
description = "Setup script for DXVK";
+ mainProgram = "setup_dxvk.sh";
homepage = "https://github.com/doitsujin/dxvk";
changelog = "https://github.com/doitsujin/dxvk/releases";
maintainers = [ lib.maintainers.reckenrode ];
diff --git a/pkgs/by-name/dy/dynamodb-local/package.nix b/pkgs/by-name/dy/dynamodb-local/package.nix
index 2c9b2c041091..b22a5c2fd8c7 100644
--- a/pkgs/by-name/dy/dynamodb-local/package.nix
+++ b/pkgs/by-name/dy/dynamodb-local/package.nix
@@ -4,6 +4,13 @@
, jdk_headless
, jre_minimal
, makeBinaryWrapper
+, curl
+, jq
+, yq
+, dynamodb-local
+, testers
+, common-updater-scripts
+, writeShellScript
}:
let
jre = jre_minimal.override {
@@ -18,11 +25,11 @@ let
in
stdenvNoCC.mkDerivation (finalAttrs: {
pname = "dynamodb-local";
- version = "2023-12-14";
+ version = "2.3.0";
src = fetchurl {
- url = "https://d1ni2b6xgvw0s0.cloudfront.net/v2.x/dynamodb_local_${finalAttrs.version}.tar.gz";
- hash = "sha256-F9xTcLNAVFVbH7l0FlMuVNoLBrJS/UcHKXTkJh1n40w=";
+ url = "https://d1ni2b6xgvw0s0.cloudfront.net/v2.x/dynamodb_local_2024-03-14.tar.gz";
+ hash = "sha256-BmLbmT63CaETgu1a/Tcf0KyF+Xwol67yKVwSMa9fJbw=";
};
sourceRoot = ".";
@@ -41,6 +48,29 @@ stdenvNoCC.mkDerivation (finalAttrs: {
runHook postInstall
'';
+ passthru = {
+ tests.version = testers.testVersion {
+ package = dynamodb-local;
+ };
+ updateScript = writeShellScript "update-dynamodb-local" ''
+ set -o errexit
+ export PATH="${lib.makeBinPath [ curl jq yq common-updater-scripts ]}:$PATH"
+
+ NEW_VERSION=$(curl -s https://repo1.maven.org/maven2/com/amazonaws/DynamoDBLocal/maven-metadata.xml | xq -r '.metadata.versioning.latest')
+ NEW_VERSION_DATE=$(curl -s https://repo1.maven.org/maven2/com/amazonaws/DynamoDBLocal/maven-metadata.xml | xq -r '.metadata.versioning.lastUpdated | "\(.[:4])-\(.[4:6])-\(.[6:8])"')
+
+ if [[ "${finalAttrs.version}" = "$NEW_VERSION" ]]; then
+ echo "The new version same as the old version."
+ exit 0
+ fi
+
+ DOWNLOAD_URL="https://d1ni2b6xgvw0s0.cloudfront.net/v2.x/dynamodb_local_$NEW_VERSION_DATE.tar.gz"
+ NIX_HASH=$(nix hash to-sri sha256:$(nix-prefetch-url $DOWNLOAD_URL))
+
+ update-source-version "dynamodb-local" "$NEW_VERSION" "$NIX_HASH" "$DOWNLOAD_URL"
+ '';
+ };
+
meta = with lib; {
description = "DynamoDB Local is a small client-side database and server that mimics the DynamoDB service.";
homepage = "https://docs.aws.amazon.com/amazondynamodb/latest/developerguide/DynamoDBLocal.html";
diff --git a/pkgs/by-name/ec/ecc/package.nix b/pkgs/by-name/ec/ecc/package.nix
index b79fa4bd18c8..40597fe0fd99 100644
--- a/pkgs/by-name/ec/ecc/package.nix
+++ b/pkgs/by-name/ec/ecc/package.nix
@@ -117,6 +117,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
homepage = "https://eunomia.dev";
description = "the eBPF compile toolchain for eunomia-bpf";
+ mainProgram = "ecc-rs";
maintainers = with maintainers; [ oluceps ];
platforms = platforms.linux;
license = licenses.mit;
diff --git a/pkgs/applications/editors/edbrowse/0001-small-fixes.patch b/pkgs/by-name/ed/edbrowse/0001-small-fixes.patch
similarity index 100%
rename from pkgs/applications/editors/edbrowse/0001-small-fixes.patch
rename to pkgs/by-name/ed/edbrowse/0001-small-fixes.patch
diff --git a/pkgs/applications/editors/edbrowse/default.nix b/pkgs/by-name/ed/edbrowse/package.nix
similarity index 66%
rename from pkgs/applications/editors/edbrowse/default.nix
rename to pkgs/by-name/ed/edbrowse/package.nix
index 0f51e016c0ea..154095e57cc8 100644
--- a/pkgs/applications/editors/edbrowse/default.nix
+++ b/pkgs/by-name/ed/edbrowse/package.nix
@@ -1,8 +1,7 @@
{ lib
-, stdenv
-, fetchFromGitHub
, curl
, duktape
+, fetchFromGitHub
, html-tidy
, openssl
, pcre
@@ -10,24 +9,45 @@
, pkg-config
, quickjs
, readline
+, stdenv
+, unixODBC
, which
+, withODBC ? true
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "edbrowse";
version = "3.8.0";
src = fetchFromGitHub {
owner = "CMB";
- repo = pname;
- rev = "v${version}";
+ repo = "edbrowse";
+ rev = "v${finalAttrs.version}";
hash = "sha256-ZXxzQBAmu7kM3sjqg/rDLBXNucO8sFRFKXV8UxQVQZU=";
};
+ sourceRoot = "${finalAttrs.src.name}/src";
+
+ patches = [
+ # Fixes some small annoyances on src/makefile
+ ./0001-small-fixes.patch
+ ];
+
+ patchFlags = [
+ "-p2"
+ ];
+
+ postPatch = ''
+ for file in $(find ./tools/ -type f ! -name '*.c'); do
+ patchShebangs $file
+ done
+ '';
+
nativeBuildInputs = [
pkg-config
which
];
+
buildInputs = [
curl
duktape
@@ -37,27 +57,23 @@ stdenv.mkDerivation rec {
perl
quickjs
readline
+ ] ++ lib.optionals withODBC [
+ unixODBC
];
- patches = [
- # Fixes some small annoyances on src/makefile
- ./0001-small-fixes.patch
- ];
-
- postPatch = ''
- substituteInPlace src/makefile --replace\
- '-L/usr/local/lib/quickjs' '-L${quickjs}/lib/quickjs'
- for i in $(find ./tools/ -type f ! -name '*.c'); do
- patchShebangs $i
- done
- '';
-
makeFlags = [
- "-C" "src"
"PREFIX=${placeholder "out"}"
];
- meta = with lib; {
+ preBuild = ''
+ buildFlagsArray+=(
+ BUILD_EDBR_ODBC=${if withODBC then "on" else "off"}
+ EBDEMIN=on
+ QUICKJS_LDFLAGS="-L${quickjs}/lib/quickjs -lquickjs -ldl -latomic"
+ )
+ '';
+
+ meta = {
homepage = "https://edbrowse.org/";
description = "Command Line Editor Browser";
longDescription = ''
@@ -71,10 +87,14 @@ stdenv.mkDerivation rec {
send email, with no human intervention whatsoever. edbrowse can also tap
into databases through odbc. It was primarily written by Karl Dahlke.
'';
- license = licenses.gpl1Plus;
- maintainers = with maintainers; [ schmitthenner vrthra equirosa ];
- platforms = platforms.linux;
+ license = with lib.licenses; [ gpl1Plus ];
mainProgram = "edbrowse";
+ maintainers = with lib.maintainers; [
+ schmitthenner
+ equirosa
+ AndersonTorres
+ ];
+ platforms = lib.platforms.linux;
};
-}
+})
# TODO: send the patch to upstream developers
diff --git a/pkgs/by-name/ei/eigenlayer/package.nix b/pkgs/by-name/ei/eigenlayer/package.nix
index e0db71808db6..2438d4896912 100644
--- a/pkgs/by-name/ei/eigenlayer/package.nix
+++ b/pkgs/by-name/ei/eigenlayer/package.nix
@@ -6,16 +6,16 @@
}:
buildGoModule rec {
pname = "eigenlayer";
- version = "0.6.1";
+ version = "0.6.3";
src = fetchFromGitHub {
owner = "Layr-Labs";
repo = "eigenlayer-cli";
rev = "v${version}";
- hash = "sha256-PN1VB01NyBrDNIDpUIQlzhdwKoy17X1GdfQfRrN3bWo=";
+ hash = "sha256-v2d6+jxEYXgJjZOFRc+teQWq161v4FLO+KjYq96XwTs=";
};
- vendorHash = "sha256-VcXjYiJ9nwSCQJvQd7UYduZKJISRfoEXjziiX6Z3w6Q=";
+ vendorHash = "sha256-pJYs9jD3EmmXlkPO6gkuB2FYsgX9Yn6S6QYb2dQ1fY4=";
ldflags = ["-s" "-w"];
subPackages = ["cmd/eigenlayer"];
diff --git a/pkgs/by-name/ei/eiwd/package.nix b/pkgs/by-name/ei/eiwd/package.nix
index 8aa11c83e34b..738ba347cf9c 100644
--- a/pkgs/by-name/ei/eiwd/package.nix
+++ b/pkgs/by-name/ei/eiwd/package.nix
@@ -11,13 +11,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "eiwd";
- version = "2.10-1";
+ version = "2.16-1";
src = fetchFromGitHub {
owner = "illiliti";
repo = "eiwd";
rev = finalAttrs.version;
- hash = "sha256-AB4NBwfELy0yjzxS0rCcF641CGEdyM9tTB+ZWaM+erg=";
+ hash = "sha256-TQA9aVdXGX2hje7lRQ8T9QDpSTYGpB5cIDlNYsUg/dM=";
fetchSubmodules = true;
};
diff --git a/pkgs/by-name/ek/eksctl/package.nix b/pkgs/by-name/ek/eksctl/package.nix
index e4b00212265b..76082342338c 100644
--- a/pkgs/by-name/ek/eksctl/package.nix
+++ b/pkgs/by-name/ek/eksctl/package.nix
@@ -6,16 +6,16 @@
buildGoModule rec {
pname = "eksctl";
- version = "0.171.0";
+ version = "0.175.0";
src = fetchFromGitHub {
owner = "weaveworks";
repo = pname;
rev = version;
- hash = "sha256-+kM/RKC/HxGRH3qOzlhkzaxj1l60D+6aNGIkrDuTk7A=";
+ hash = "sha256-Cz6zOQumkhxyMkkOJbzOUUB15godryDC8Uwid33+8TU=";
};
- vendorHash = "sha256-cuLzn0OZ5VC+RWGsJ8DCdJN8wm0DrsjH55K/cnyuqB8=";
+ vendorHash = "sha256-wdH4+s9SU2WgIy7bJ4YrT53URvHC4vw7RZpllT2DfV8=";
doCheck = false;
diff --git a/pkgs/by-name/el/elf-info/package.nix b/pkgs/by-name/el/elf-info/package.nix
new file mode 100644
index 000000000000..ac633286606c
--- /dev/null
+++ b/pkgs/by-name/el/elf-info/package.nix
@@ -0,0 +1,29 @@
+{ lib
+, rustPlatform
+, fetchFromGitHub
+, nix-update-script
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "elf-info";
+ version = "0.3.0";
+
+ src = fetchFromGitHub {
+ owner = "kevin-lesenechal";
+ repo = "elf-info";
+ rev = "v${version}";
+ hash = "sha256-wbFVuoarOoxV9FqmuHJ9eZlG4rRqy1rsnuqbGorC2Rk=";
+ };
+
+ cargoHash = "sha256-r4GcJhQn9x5c2hbL+813mS3HbIg8OwNDsMg/fHQoL9Y=";
+
+ passthru.updateScript = nix-update-script { };
+
+ meta = {
+ description = "Inspect and dissect an ELF file with pretty formatting";
+ homepage = "https://github.com/kevin-lesenechal/elf-info";
+ license = lib.licenses.gpl3Only;
+ maintainers = with lib.maintainers; [ viperML ];
+ mainProgram = "elf";
+ };
+}
diff --git a/pkgs/by-name/el/elf2nucleus/package.nix b/pkgs/by-name/el/elf2nucleus/package.nix
index c6cafbfc4578..08ec2e8f0a23 100644
--- a/pkgs/by-name/el/elf2nucleus/package.nix
+++ b/pkgs/by-name/el/elf2nucleus/package.nix
@@ -32,6 +32,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "Integrate micronucleus into the cargo buildsystem, flash an AVR firmware from an elf file";
+ mainProgram = "elf2nucleus";
homepage = "https://github.com/kpcyrd/elf2nucleus";
license = licenses.gpl3Plus;
maintainers = [ maintainers.marble ];
diff --git a/pkgs/by-name/el/elvish/package.nix b/pkgs/by-name/el/elvish/package.nix
index 408923b50cb0..4363657fcced 100644
--- a/pkgs/by-name/el/elvish/package.nix
+++ b/pkgs/by-name/el/elvish/package.nix
@@ -6,7 +6,7 @@
let
pname = "elvish";
- version = "0.20.0";
+ version = "0.20.1";
in
buildGoModule {
inherit pname version;
@@ -15,7 +15,7 @@ buildGoModule {
owner = "elves";
repo = "elvish";
rev = "v${version}";
- hash = "sha256-aaj2P1V31FnRehrUh+aqpPa8QwRrUezKwAa8WBa4X0w=";
+ hash = "sha256-lKrX38gVUhYwwuNF25LcZ+TytP4vx/GO7ay6Au4BBZA=";
};
vendorHash = "sha256-sgVGqpncV7Ylok5FRcV01a3MCX6UdZvTt3nfVh5L2so=";
@@ -42,6 +42,7 @@ buildGoModule {
meta = {
homepage = "https://elv.sh/";
description = "A friendly and expressive command shell";
+ mainProgram = "elvish";
longDescription = ''
Elvish is a friendly interactive shell and an expressive programming
language. It runs on Linux, BSDs, macOS and Windows. Despite its pre-1.0
diff --git a/pkgs/by-name/em/emacsclient-commands/package.nix b/pkgs/by-name/em/emacsclient-commands/package.nix
new file mode 100644
index 000000000000..8bc939fc32eb
--- /dev/null
+++ b/pkgs/by-name/em/emacsclient-commands/package.nix
@@ -0,0 +1,34 @@
+{ lib
+, fetchFromGitHub
+, buildGoModule
+, gnumake
+}:
+
+buildGoModule {
+ pname = "emacsclient-commands";
+ version = "unstable-2023-09-22";
+
+ src = fetchFromGitHub {
+ owner = "szermatt";
+ repo = "emacsclient-commands";
+ rev = "8f5c8a877794ed51f8225036e36fd5ce272b17f3";
+ hash = "sha256-OlcB5VqWYdl0wz1y8nmG6Xgdf5IPOUQ31UG1TDxQAis=";
+ };
+
+ vendorHash = "sha256-8oREed2Igz5UvUTDdOFwW5wQQy3H8Xj8epxo6gqnZFA=";
+
+ buildInputs = [ gnumake ];
+
+ buildPhase = ''
+ runHook preBuild
+ DESTDIR=$out/ make install
+ runHook postBuild
+ '';
+
+ meta = with lib; {
+ description = "A collection of small shell utilities that connect to a local Emacs server";
+ homepage = "https://github.com/szermatt/emacsclient-commands";
+ license = licenses.gpl2Only;
+ maintainers = with maintainers; [ binarycat ];
+ };
+}
diff --git a/pkgs/applications/editors/emacs/elisp-packages/manual-packages/emacspeak/default.nix b/pkgs/by-name/em/emacspeak/package.nix
similarity index 62%
rename from pkgs/applications/editors/emacs/elisp-packages/manual-packages/emacspeak/default.nix
rename to pkgs/by-name/em/emacspeak/package.nix
index 5294623584da..6c18af7807ea 100644
--- a/pkgs/applications/editors/emacs/elisp-packages/manual-packages/emacspeak/default.nix
+++ b/pkgs/by-name/em/emacspeak/package.nix
@@ -1,34 +1,37 @@
{ lib
-, stdenv
+, emacs
+, espeak-ng
, fetchFromGitHub
, makeWrapper
-, emacs
+, stdenv
, tcl
, tclx
-, espeak-ng
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "emacspeak";
- version = "58.0";
+ version = "59.0";
src = fetchFromGitHub {
owner = "tvraman";
- repo = pname;
- rev = version;
- hash= "sha256-5pWC17nvy3ZuG0bR//LqDVpKsH5hFSFf63Q33a1BfBk=";
+ repo = "emacspeak";
+ rev = finalAttrs.version;
+ hash = "sha256-npS/wlqI7nBde/2S/rzp79jdfYXIIhgsVs5VizxEDAQ=";
};
nativeBuildInputs = [
emacs
makeWrapper
];
+
buildInputs = [
espeak-ng
tcl
tclx
];
+ strictDeps = true;
+
preConfigure = ''
make config
'';
@@ -44,17 +47,21 @@ stdenv.mkDerivation rec {
cp -a . "$d"
find "$d" \( -type d -or \( -type f -executable \) \) -execdir chmod 755 {} +
find "$d" -type f -not -executable -execdir chmod 644 {} +
- makeWrapper ${emacs}/bin/emacs $out/bin/emacspeak \
+ makeWrapper ${lib.getExe emacs} $out/bin/emacspeak \
--set DTK_PROGRAM "${placeholder "out"}/share/emacs/site-lisp/emacspeak/servers/espeak" \
--set TCLLIBPATH "${tclx}/lib" \
--add-flags '-l "${placeholder "out"}/share/emacs/site-lisp/emacspeak/lisp/emacspeak-setup.elc"'
'';
- meta = with lib; {
+ meta = {
homepage = "https://github.com/tvraman/emacspeak/";
description = "Emacs extension that provides spoken output";
- license = licenses.gpl2Plus;
- maintainers = [ maintainers.AndersonTorres ];
- platforms = platforms.linux;
+ changelog = "https://github.com/tvraman/emacspeak/blob/${finalAttrs.src.rev}/etc/NEWS";
+ license = with lib.licenses; [ gpl2Plus ];
+ mainProgram = "emacspeak";
+ maintainers = with lib.maintainers; [ AndersonTorres ];
+ platforms = lib.platforms.linux;
+ # Emacspeak requires a minimal Emacs version; let's use the broken flag
+ broken = lib.versionOlder (lib.getVersion emacs) "29.1";
};
-}
+})
diff --git a/pkgs/by-name/en/encled/package.nix b/pkgs/by-name/en/encled/package.nix
index 3b4817bfab8e..f75b75cd6a02 100644
--- a/pkgs/by-name/en/encled/package.nix
+++ b/pkgs/by-name/en/encled/package.nix
@@ -20,6 +20,7 @@ stdenv.mkDerivation {
meta = {
description = "Control fault/locate indicators in disk slots in enclosures";
+ mainProgram = "encled";
homepage = "https://github.com/amarao/sdled";
license = lib.licenses.gpl2Plus;
maintainers = [ lib.maintainers.lheckemann ];
diff --git a/pkgs/by-name/en/engage/package.nix b/pkgs/by-name/en/engage/package.nix
index ccfc963ab665..b873b81155ac 100644
--- a/pkgs/by-name/en/engage/package.nix
+++ b/pkgs/by-name/en/engage/package.nix
@@ -38,6 +38,7 @@ rustPlatform.buildRustPackage {
meta = {
description = "A task runner with DAG-based parallelism";
+ mainProgram = "engage";
homepage = "https://or.computer.surgery/charles/engage";
changelog = "https://or.computer.surgery/charles/engage/-/blob/v${version}/CHANGELOG.md";
license = with lib.licenses; [ asl20 mit ];
diff --git a/pkgs/by-name/en/envio/package.nix b/pkgs/by-name/en/envio/package.nix
index eebe13711e71..0424bcf4e9bd 100644
--- a/pkgs/by-name/en/envio/package.nix
+++ b/pkgs/by-name/en/envio/package.nix
@@ -13,16 +13,16 @@ let
in
rustPlatform.buildRustPackage rec {
pname = "envio";
- version = "0.5.0";
+ version = "0.5.1";
src = fetchFromGitHub {
owner = "envio-cli";
repo = "envio";
rev = "v${version}";
- hash = "sha256-HVu2Ua1iu7Z14RUbdDQ4ElOGnfYjZCekFvAolu2lM7w=";
+ hash = "sha256-KhjHd+1IeKdASeYP2rPtyTmtkPcBbaruylmOwTPtFgo=";
};
- cargoHash = "sha256-AVbAHaLARMKGf5ZIygyWWSkg4U1Xkfjwm9XPNZNtUsE=";
+ cargoHash = "sha256-qmJUARwsGln07RAX1Ab0cNDgJq7NkezuT0tZsyd48Mw=";
nativeBuildInputs = [ pkg-config ];
@@ -40,6 +40,7 @@ rustPlatform.buildRustPackage rec {
homepage = "https://envio-cli.github.io/home";
changelog = "https://github.com/envio-cli/envio/blob/${version}/CHANGELOG.md";
description = "Modern and secure CLI tool for managing environment variables";
+ mainProgram = "envio";
longDescription = ''
Envio is a command-line tool that simplifies the management of
environment variables across multiple profiles. It allows users to easily
diff --git a/pkgs/by-name/er/eris-go/package.nix b/pkgs/by-name/er/eris-go/package.nix
index 50a37c008ffd..5823756e301d 100644
--- a/pkgs/by-name/er/eris-go/package.nix
+++ b/pkgs/by-name/er/eris-go/package.nix
@@ -2,7 +2,7 @@
buildGoModule rec {
pname = "eris-go";
- version = "20231219";
+ version = "20240128";
outputs = [ "out" "man" ];
src = fetchFromGitea {
@@ -10,7 +10,7 @@ buildGoModule rec {
owner = "eris";
repo = "eris-go";
rev = version;
- hash = "sha256-eXLfBkJgG51ZjR1qXRE2BgTrIpQsPW5SKeMlGd3J1NE=";
+ hash = "sha256-mS5PMrp6rBR8ehlpDAZaTQL8vhFSpcztMaQF5zjozxc=";
};
vendorHash = "sha256-pA/fz7JpDwdTRFfLDY0M6p9TeBOK68byhy/0Cw53p4M=";
@@ -23,10 +23,10 @@ buildGoModule rec {
'';
postBuild = "tupBuild";
postInstall = ''
- install -D *.1.man -t $man/share/man/man1
+ install -D *.1.gz -t $man/share/man/man1
'';
- skipNetworkTests = true;
+ env.skipNetworkTests = true;
passthru.tests = { inherit (nixosTests) eris-server; };
diff --git a/pkgs/by-name/er/errands/package.nix b/pkgs/by-name/er/errands/package.nix
new file mode 100644
index 000000000000..36a988472a91
--- /dev/null
+++ b/pkgs/by-name/er/errands/package.nix
@@ -0,0 +1,67 @@
+{ lib
+, fetchFromGitHub
+, python3Packages
+, gobject-introspection
+, libadwaita
+, wrapGAppsHook
+, meson
+, ninja
+, desktop-file-utils
+, pkg-config
+, appstream
+, libsecret
+, gtk4
+, gtksourceview5
+}:
+
+python3Packages.buildPythonApplication rec {
+ pname = "errands";
+ version = "45.1.9";
+
+ pyproject = false;
+
+ src = fetchFromGitHub {
+ owner = "mrvladus";
+ repo = "Errands";
+ rev = "refs/tags/${version}";
+ hash = "sha256-q8vmT7XUx3XJjPfbEd/c3HrTENfopl1MqwT0x5OuG0c=";
+ };
+
+ nativeBuildInputs = [
+ gobject-introspection
+ wrapGAppsHook
+ desktop-file-utils
+ meson
+ ninja
+ pkg-config
+ appstream
+ gtk4
+ ];
+
+ buildInputs = [
+ libadwaita
+ libsecret
+ gtksourceview5
+ ];
+
+ propagatedBuildInputs = with python3Packages; [
+ pygobject3
+ lxml
+ caldav
+ pycryptodomex
+ ];
+
+ dontWrapGApps = true;
+
+ preFixup = ''
+ makeWrapperArgs+=("''${gappsWrapperArgs[@]}")
+ '';
+
+ meta = with lib; {
+ description = "Manage your tasks";
+ homepage = "https://github.com/mrvladus/Errands";
+ license = licenses.mit;
+ mainProgram = "errands";
+ maintainers = with maintainers; [ sund3RRR ];
+ };
+}
diff --git a/pkgs/by-name/es/espflash/package.nix b/pkgs/by-name/es/espflash/package.nix
index c56f347b4709..47ebfba8bd54 100644
--- a/pkgs/by-name/es/espflash/package.nix
+++ b/pkgs/by-name/es/espflash/package.nix
@@ -3,6 +3,7 @@
, rustPlatform
, fetchFromGitHub
, pkg-config
+, installShellFiles
, udev
, stdenv
, Security
@@ -24,6 +25,7 @@ rustPlatform.buildRustPackage rec {
nativeBuildInputs = [
pkg-config
+ installShellFiles
];
# Needed to get openssl-sys to use pkg-config.
@@ -38,6 +40,13 @@ rustPlatform.buildRustPackage rec {
cargoHash = "sha256-Xj5FVTssC3e+mMhDHmKqV6lUQgaIv3aVc1yewbQSy9E=";
+ postInstall = lib.optionalString (stdenv.buildPlatform.canExecute stdenv.hostPlatform) ''
+ installShellCompletion --cmd espflash \
+ --bash <($out/bin/espflash completions bash) \
+ --zsh <($out/bin/espflash completions zsh) \
+ --fish <($out/bin/espflash completions fish)
+ '';
+
passthru.updateScript = nix-update-script { };
meta = with lib; {
diff --git a/pkgs/games/etlegacy/default.nix b/pkgs/by-name/et/etlegacy/package.nix
similarity index 96%
rename from pkgs/games/etlegacy/default.nix
rename to pkgs/by-name/et/etlegacy/package.nix
index befbbc5ed291..5dcdc3765a44 100644
--- a/pkgs/games/etlegacy/default.nix
+++ b/pkgs/by-name/et/etlegacy/package.nix
@@ -15,7 +15,7 @@
, libogg
, libpng
, libtheora
-, lua
+, lua5_4
, minizip
, openal
, SDL2
@@ -23,7 +23,7 @@
, zlib
}:
let
- version = "2.81.1";
+ version = "2.82.0";
fetchAsset = { asset, hash }: fetchurl {
url = "https://mirror.etlegacy.com/etmain/${asset}";
@@ -63,7 +63,7 @@ stdenv.mkDerivation {
owner = "etlegacy";
repo = "etlegacy";
rev = "refs/tags/v${version}";
- hash = "sha256-CGXtc51vaId/SHbD34ZeT0gPsrl7p2DEw/Kp+GBZIaA="; # 2.81.1
+ hash = "sha256-yNVVEa+3+Swm3hgwm9cSLV0K88E37TgVVjh1uUl8O2o=";
};
nativeBuildInputs = [
@@ -83,7 +83,7 @@ stdenv.mkDerivation {
libogg
libpng
libtheora
- lua
+ lua5_4
minizip
openal
SDL2
diff --git a/pkgs/by-name/ex/extest/package.nix b/pkgs/by-name/ex/extest/package.nix
new file mode 100644
index 000000000000..a0df42265da5
--- /dev/null
+++ b/pkgs/by-name/ex/extest/package.nix
@@ -0,0 +1,26 @@
+{ lib
+, fetchFromGitHub
+, rustPlatform
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "extest";
+ version = "1.0.2";
+
+ src = fetchFromGitHub {
+ owner = "Supreeeme";
+ repo = "extest";
+ rev = version;
+ hash = "sha256-qdTF4n3uhkl3WFT+7bAlwCjxBx3ggTN6i3WzFg+8Jrw=";
+ };
+
+ cargoHash = "sha256-JZPiTzr9KaaqiXKhsGOYmYMtjNzPQzKhqyfSlbeqME8=";
+
+ meta = with lib; {
+ description = "X11 XTEST reimplementation primarily for Steam Controller on Wayland";
+ homepage = "https://github.com/Supreeeme/extest";
+ platforms = platforms.linux;
+ license = licenses.mit;
+ maintainers = [ maintainers.puffnfresh ];
+ };
+}
diff --git a/pkgs/by-name/ex/extractpdfmark/package.nix b/pkgs/by-name/ex/extractpdfmark/package.nix
new file mode 100644
index 000000000000..9b95e6e101ed
--- /dev/null
+++ b/pkgs/by-name/ex/extractpdfmark/package.nix
@@ -0,0 +1,39 @@
+{ autoreconfHook
+, fetchFromGitHub
+, ghostscript
+, lib
+, pkg-config
+, poppler
+, stdenv
+, texlive
+}:
+
+stdenv.mkDerivation rec {
+ pname = "extractpdfmark";
+ version = "1.1.1";
+
+ src = fetchFromGitHub {
+ owner = "trueroad";
+ repo = "extractpdfmark";
+ rev = "v${version}";
+ hash = "sha256-pNc/SWAtQWMbB2+lIQkJdBYSZ97iJXK71mS59qQa7Hs=";
+ };
+
+ nativeBuildInputs = [ autoreconfHook pkg-config ];
+ buildInputs = [ ghostscript poppler texlive.combined.scheme-minimal ];
+
+ postPatch = ''
+ touch config.rpath
+ '';
+
+ doCheck = true;
+
+ meta = with lib; {
+ homepage = "https://github.com/trueroad/extractpdfmark";
+ description = "Extract page mode and named destinations as PDFmark from PDF";
+ license = licenses.gpl3Plus;
+ maintainers = [ maintainers.samueltardieu ];
+ platforms = platforms.all;
+ mainProgram = "extractpdfmark";
+ };
+}
diff --git a/pkgs/by-name/ez/eza/package.nix b/pkgs/by-name/ez/eza/package.nix
index 02eb0c397905..76064b122cbc 100644
--- a/pkgs/by-name/ez/eza/package.nix
+++ b/pkgs/by-name/ez/eza/package.nix
@@ -17,16 +17,16 @@
rustPlatform.buildRustPackage rec {
pname = "eza";
- version = "0.18.2";
+ version = "0.18.8";
src = fetchFromGitHub {
owner = "eza-community";
repo = "eza";
rev = "v${version}";
- hash = "sha256-gVpgI/I91ounqSrEIM7BWJKR4NyRuEU2iK+g8T9L6YY=";
+ hash = "sha256-VKBiISHZmSqLf/76svKHqmQtsj+Trz41GhCJxgGY76Y=";
};
- cargoHash = "sha256-q2xVSB3lpsur8P8KF7jDVrEj24q6FRVJbh7bL4teOqQ=";
+ cargoHash = "sha256-xFLnd0Pw3AtA4Nrg5rlqJj0fYOZ2xeNtS5vnAMWk4sc=";
nativeBuildInputs = [ cmake pkg-config installShellFiles pandoc ];
buildInputs = [ zlib ]
diff --git a/pkgs/by-name/fa/fanbox-dl/package.nix b/pkgs/by-name/fa/fanbox-dl/package.nix
index 7d3a5fb3a8b3..1ed77441237f 100644
--- a/pkgs/by-name/fa/fanbox-dl/package.nix
+++ b/pkgs/by-name/fa/fanbox-dl/package.nix
@@ -2,22 +2,23 @@
buildGoModule rec {
pname = "fanbox-dl";
- version = "0.18.2";
+ version = "0.20.0";
src = fetchFromGitHub {
owner = "hareku";
repo = "fanbox-dl";
rev = "v${version}";
- hash = "sha256-hHjkV/wv+UMO4pyWDyMio3XbiyM6M02eLcT2rauvh/A=";
+ hash = "sha256-OC0buWQlb9sb4SXokOpeUHcQKMLeYN3ZVQGXtoiIMVM=";
};
- vendorHash = "sha256-o1DFHwSpHtbuU8BFcrk18hPRJJkeoPkYnybIz22Blfk=";
+ vendorHash = "sha256-qsdFfAgA72T3iOZRJdteo6T92lGi3yzcKcxj837YnG4=";
# pings websites during testing
doCheck = false;
meta = with lib; {
description = "Pixiv FANBOX Downloader";
+ mainProgram = "fanbox-dl";
homepage = "https://github.com/hareku/fanbox-dl";
license = licenses.mit;
maintainers = [ maintainers.moni ];
diff --git a/pkgs/by-name/fa/fangfrisch/package.nix b/pkgs/by-name/fa/fangfrisch/package.nix
index 139e22fe8e9a..9ee116133873 100644
--- a/pkgs/by-name/fa/fangfrisch/package.nix
+++ b/pkgs/by-name/fa/fangfrisch/package.nix
@@ -3,7 +3,7 @@
, fetchFromGitHub
}:
let
- version = "1.7.0";
+ version = "1.9.0";
in
python3.pkgs.buildPythonApplication {
pname = "fangfrisch";
@@ -14,7 +14,7 @@ python3.pkgs.buildPythonApplication {
owner = "rseichter";
repo = "fangfrisch";
rev = "refs/tags/${version}";
- hash = "sha256-s55W5/ppwr008ZIjDgQa90N+Ax9cwnvc+mXRetFdy+4=";
+ hash = "sha256-B2fVXVYzrtWMh/WjgFBOqrq8Jt+jqudbtpY/w4rJG08=";
};
nativeBuildInputs = [
diff --git a/pkgs/by-name/fa/fantomas/package.nix b/pkgs/by-name/fa/fantomas/package.nix
index 8461ab3c29cf..b0e3677a9230 100644
--- a/pkgs/by-name/fa/fantomas/package.nix
+++ b/pkgs/by-name/fa/fantomas/package.nix
@@ -10,7 +10,7 @@ buildDotnetGlobalTool {
description = "F# source code formatter";
homepage = "https://github.com/fsprojects/fantomas";
license = licenses.asl20;
- platforms = platforms.linux;
+ platforms = platforms.linux ++ platforms.darwin;
maintainers = with maintainers; [ mikaelfangel ];
mainProgram = "fantomas";
};
diff --git a/pkgs/by-name/fa/fast-float/package.nix b/pkgs/by-name/fa/fast-float/package.nix
index 7afc65b35e1e..3ed19d0a5e67 100644
--- a/pkgs/by-name/fa/fast-float/package.nix
+++ b/pkgs/by-name/fa/fast-float/package.nix
@@ -6,13 +6,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "fast-float";
- version = "6.1.0";
+ version = "6.1.1";
src = fetchFromGitHub {
owner = "fastfloat";
repo = "fast_float";
rev = "v${finalAttrs.version}";
- hash = "sha256-17GFUHm9FQAf3egqcQwQWqEgs2vH8K17GH2V1/DP8S0=";
+ hash = "sha256-acaTUI+SWKSgmyJ+J4PzR5U7UtunbRiVuf5OsTf1Hko=";
};
nativeBuildInputs = [
diff --git a/pkgs/development/libraries/fastcdr/0001-Do-not-require-wget-and-unzip.patch b/pkgs/by-name/fa/fastcdr/0001-Do-not-require-wget-and-unzip.patch
similarity index 100%
rename from pkgs/development/libraries/fastcdr/0001-Do-not-require-wget-and-unzip.patch
rename to pkgs/by-name/fa/fastcdr/0001-Do-not-require-wget-and-unzip.patch
diff --git a/pkgs/development/libraries/fastcdr/default.nix b/pkgs/by-name/fa/fastcdr/package.nix
similarity index 79%
rename from pkgs/development/libraries/fastcdr/default.nix
rename to pkgs/by-name/fa/fastcdr/package.nix
index a5f87ec7b312..ef9042b0982e 100644
--- a/pkgs/development/libraries/fastcdr/default.nix
+++ b/pkgs/by-name/fa/fastcdr/package.nix
@@ -10,27 +10,19 @@
stdenv.mkDerivation (finalAttrs: {
pname = "fastcdr";
- version = "2.1.3";
+ version = "2.2.0";
src = fetchFromGitHub {
owner = "eProsima";
repo = "Fast-CDR";
rev = "v${finalAttrs.version}";
- hash = "sha256-eSf6LNTVsGEBXjTmTBjjWKBqs68pbnVcw1p2bi1Asgg=";
+ hash = "sha256-hhYNgBLJCTZV/fgHEH7rxlTy+qpShAykxHLbPtPA/Uw=";
};
patches = [
./0001-Do-not-require-wget-and-unzip.patch
];
- # Fix doc generation error with doxygen >= 1.10.0
- # see https://github.com/eProsima/Fast-CDR/issues/193
- postPatch = ''
- substituteInPlace ./doxyfile.in --replace \
- "WARN_AS_ERROR = YES" \
- "WARN_AS_ERROR = NO"
- '';
-
cmakeFlags = lib.optional (stdenv.hostPlatform.isStatic) "-DBUILD_SHARED_LIBS=OFF"
# upstream turns BUILD_TESTING=OFF by default and doesn't honor cmake's default (=ON)
++ lib.optional (finalAttrs.finalPackage.doCheck) "-DBUILD_TESTING=ON"
diff --git a/pkgs/by-name/fc/fcitx5-rose-pine/package.nix b/pkgs/by-name/fc/fcitx5-rose-pine/package.nix
new file mode 100644
index 000000000000..b1f11a1784a9
--- /dev/null
+++ b/pkgs/by-name/fc/fcitx5-rose-pine/package.nix
@@ -0,0 +1,34 @@
+{ stdenvNoCC
+, fetchFromGitHub
+, lib
+}:
+
+stdenvNoCC.mkDerivation {
+ pname = "fcitx5-rose-pine";
+ version = "0-unstable-2024-03-01";
+
+ src = fetchFromGitHub {
+ owner = "rose-pine";
+ repo = "fcitx5";
+ rev = "148de09929c2e2f948376bb23bc25d72006403bc";
+ hash = "sha256-SpQ5ylHSDF5KCwKttAlXgrte3GA1cCCy/0OKNT1a3D8=";
+ };
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -pv $out/share/fcitx5/themes/
+ cp -rv rose-pine* $out/share/fcitx5/themes/
+
+ runHook postInstall
+ '';
+
+
+ meta = {
+ description = "Fcitx5 themes based on Rosé Pine";
+ homepage = "https://github.com/rose-pine/fcitx5";
+ maintainers = with lib.maintainers; [ rosehobgoblin ];
+ platforms = lib.platforms.all;
+ license = lib.licenses.unfree;
+ };
+}
diff --git a/pkgs/by-name/fe/feather/package.nix b/pkgs/by-name/fe/feather/package.nix
index adb6e2ffe35f..de680e74caf2 100644
--- a/pkgs/by-name/fe/feather/package.nix
+++ b/pkgs/by-name/fe/feather/package.nix
@@ -21,13 +21,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "feather";
- version = "2.6.2";
+ version = "2.6.4";
src = fetchFromGitHub {
owner = "feather-wallet";
repo = "feather";
rev = finalAttrs.version;
- hash = "sha256-23rG+12pAw33rm+jDu9pp8TsumNYh+UbnbeEKs4yB+M=";
+ hash = "sha256-NFFIpHyie8jABfmiJP38VbPFjZgaNc+i5JcpbRr+mBU=";
fetchSubmodules = true;
};
diff --git a/pkgs/by-name/ff/ffsubsync/package.nix b/pkgs/by-name/ff/ffsubsync/package.nix
index 8165e30c504d..759de944a6e3 100644
--- a/pkgs/by-name/ff/ffsubsync/package.nix
+++ b/pkgs/by-name/ff/ffsubsync/package.nix
@@ -1,21 +1,25 @@
{ lib
-, python3Packages
, fetchFromGitHub
+, python3
}:
-python3Packages.buildPythonApplication rec {
+python3.pkgs.buildPythonApplication rec {
pname = "ffsubsync";
version = "0.4.25";
- format = "pyproject";
+ pyproject = true;
src = fetchFromGitHub {
owner = "smacke";
repo = "ffsubsync";
- rev = version;
+ rev = "refs/tags/${version}";
hash = "sha256-ZdKZeKfAUe/FXLOur9Btb5RgXewmy3EHunQphqlxpIc=";
};
- propagatedBuildInputs = with python3Packages; [
+ nativeBuildInputs = with python3.pkgs; [
+ setuptools
+ ];
+
+ propagatedBuildInputs = with python3.pkgs; [
auditok
charset-normalizer
faust-cchardet
@@ -32,9 +36,13 @@ python3Packages.buildPythonApplication rec {
webrtcvad
];
- nativeCheckInputs = with python3Packages; [ pytestCheckHook ];
+ nativeCheckInputs = with python3.pkgs; [
+ pytestCheckHook
+ ];
- pythonImportsCheck = [ "ffsubsync" ];
+ pythonImportsCheck = [
+ "ffsubsync"
+ ];
meta = with lib; {
homepage = "https://github.com/smacke/ffsubsync";
diff --git a/pkgs/by-name/fi/figurine/package.nix b/pkgs/by-name/fi/figurine/package.nix
new file mode 100644
index 000000000000..5c1fa255565b
--- /dev/null
+++ b/pkgs/by-name/fi/figurine/package.nix
@@ -0,0 +1,33 @@
+{ lib
+, fetchFromGitHub
+, buildGoModule
+}:
+
+buildGoModule rec {
+ pname = "figurine";
+ version = "1.3.0";
+
+ src = fetchFromGitHub {
+ owner = "arsham";
+ repo = "figurine";
+ rev = "v${version}";
+ hash = "sha256-1q6Y7oEntd823nWosMcKXi6c3iWsBTxPnSH4tR6+XYs=";
+ };
+
+ vendorHash = "sha256-mLdAaYkQH2RHcZft27rDW1AoFCWKiUZhh2F0DpqZELw=";
+
+ ldflags = [
+ "-s"
+ "-w"
+ "-X main.version=${version}"
+ "-X main.currentSha=${src.rev}"
+ ];
+
+ meta = with lib; {
+ homepage = "https://github.com/arsham/figurine";
+ description = "Print your name in style";
+ mainProgram = "figurine";
+ license = licenses.asl20;
+ maintainers = with maintainers; [ ironicbadger ];
+ };
+}
diff --git a/pkgs/by-name/fi/files-cli/package.nix b/pkgs/by-name/fi/files-cli/package.nix
index bd6fb6d13c6c..89b7854bc4f5 100644
--- a/pkgs/by-name/fi/files-cli/package.nix
+++ b/pkgs/by-name/fi/files-cli/package.nix
@@ -7,16 +7,16 @@
buildGoModule rec {
pname = "files-cli";
- version = "2.12.31";
+ version = "2.12.44";
src = fetchFromGitHub {
repo = "files-cli";
owner = "files-com";
rev = "v${version}";
- hash = "sha256-PWKN3AOtiA/XYJiXVpslmEUIuAa6KVrz/NuJg6cYEYU=";
+ hash = "sha256-6Y+MJCPDa64vCbg7aIqWuT6HaIFf36g++7STIVKj6GU=";
};
- vendorHash = "sha256-KNS/D3h374h3Td3adce4u/JQaR1JfA0TWQaOql+ojRg=";
+ vendorHash = "sha256-gP41EI5rZuiGzPkzWOGB69o57YSVYIvpDaKHAHtFaHM=";
ldflags = [
"-s"
diff --git a/pkgs/by-name/fi/firewalk/package.nix b/pkgs/by-name/fi/firewalk/package.nix
index 8909a61062c7..13497d99c443 100644
--- a/pkgs/by-name/fi/firewalk/package.nix
+++ b/pkgs/by-name/fi/firewalk/package.nix
@@ -19,6 +19,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "Gateway ACL scanner";
+ mainProgram = "firewalk";
homepage = "http://packetfactory.openwall.net/projects/firewalk/";
license = licenses.bsd2;
maintainers = with maintainers; [ tochiaha ];
diff --git a/pkgs/by-name/fi/fitsverify/package.nix b/pkgs/by-name/fi/fitsverify/package.nix
index 35184352819a..b755e71616f8 100644
--- a/pkgs/by-name/fi/fitsverify/package.nix
+++ b/pkgs/by-name/fi/fitsverify/package.nix
@@ -31,6 +31,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "FITS File Format-Verification Tool";
+ mainProgram = "fitsverify";
longDescription = ''
Fitsverify is a computer program that rigorously checks whether a FITS
(Flexible Image Transport System) data file conforms to all the
diff --git a/pkgs/by-name/fl/flake-checker/package.nix b/pkgs/by-name/fl/flake-checker/package.nix
new file mode 100644
index 000000000000..de64552764b7
--- /dev/null
+++ b/pkgs/by-name/fl/flake-checker/package.nix
@@ -0,0 +1,34 @@
+{ lib
+, rustPlatform
+, fetchFromGitHub
+, stdenv
+, darwin
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "flake-checker";
+ version = "0.1.17";
+
+ src = fetchFromGitHub {
+ owner = "DeterminateSystems";
+ repo = "flake-checker";
+ rev = "v${version}";
+ hash = "sha256-DSqyM+TY+nNi84hfzXx7F4hpwjX3/SL5mA2pKlY/454=";
+ };
+
+ cargoHash = "sha256-dN1wSLNU+5CR6pngMpIsrKY+4rwkNy7UAcROTBv2GB0=";
+
+ buildInputs = lib.optionals stdenv.isDarwin (with darwin.apple_sdk.frameworks; [
+ Security
+ SystemConfiguration
+ ]);
+
+ meta = with lib; {
+ description = "Health checks for your Nix flakes";
+ homepage = "https://github.com/${src.owner}/${src.repo}";
+ changelog = "https://github.com/${src.owner}/${src.repo}/releases/tag/${src.rev}";
+ license = licenses.asl20;
+ maintainers = with maintainers; [ lucperkins ];
+ mainProgram = "flake-checker";
+ };
+}
diff --git a/pkgs/by-name/fl/flarectl/package.nix b/pkgs/by-name/fl/flarectl/package.nix
index e73f0741b0fe..05b2493557bb 100644
--- a/pkgs/by-name/fl/flarectl/package.nix
+++ b/pkgs/by-name/fl/flarectl/package.nix
@@ -5,16 +5,16 @@
buildGoModule rec {
pname = "flarectl";
- version = "0.88.0";
+ version = "0.90.0";
src = fetchFromGitHub {
owner = "cloudflare";
repo = "cloudflare-go";
rev = "v${version}";
- hash = "sha256-nFTE6RCVpfF/CPcwKM0wK15/1KMXAuvSdmtrt+Xrp8E=";
+ hash = "sha256-4FgRK8tsds+4EFwYpZB2HrPvXN6LdZjehG2oilhOkVw=";
};
- vendorHash = "sha256-AxBvmDB3mfgkv7U+BzR0Khdgx1hrDI61CSxr45pRZqg=";
+ vendorHash = "sha256-F1fwzzBg60E7B9iPV0gziGB3WE1tcZ/6nMpnEyTjV1g=";
subPackages = [ "cmd/flarectl" ];
diff --git a/pkgs/tools/misc/flashrom-stable/default.nix b/pkgs/by-name/fl/flashprog/package.nix
similarity index 64%
rename from pkgs/tools/misc/flashrom-stable/default.nix
rename to pkgs/by-name/fl/flashprog/package.nix
index 81beb2346e34..7cf95b62e5c2 100644
--- a/pkgs/tools/misc/flashrom-stable/default.nix
+++ b/pkgs/by-name/fl/flashprog/package.nix
@@ -11,13 +11,13 @@
}:
stdenv.mkDerivation rec {
- pname = "flashrom-stable";
- version = "1.1";
+ pname = "flashprog";
+ version = "1.0.1";
src = fetchgit {
- url = "https://review.coreboot.org/flashrom-stable";
- rev = "272aae888ce5abf5e999d750ee4577407db32246";
- hash = "sha256-DR4PAING69+TMsyycGxt1cu0ba1tTlG36+H/pJ0oP4E=";
+ url = "https://review.sourcearcade.org/flashprog";
+ rev = "2ca11f9a4101ea230081d448ab2b570425b7f0bd";
+ hash = "sha256-pm9g9iOJAKnzzY9couzt8RmqZFbIpKcO++zsUJ9o49U=";
};
nativeBuildInputs = [
@@ -34,15 +34,16 @@ stdenv.mkDerivation rec {
pciutils
];
- makeFlags = [ "PREFIX=$(out)" "libinstall" ] ++ lib.optionals stdenv.isDarwin [ "CONFIG_ENABLE_LIBPCI_PROGRAMMERS=no" ]
+ makeFlags = [ "PREFIX=$(out)" "libinstall" ]
+ ++ lib.optionals stdenv.isDarwin [ "CONFIG_ENABLE_LIBPCI_PROGRAMMERS=no" ]
++ lib.optionals (stdenv.isDarwin && stdenv.isx86_64) [ "CONFIG_INTERNAL_X86=no" "CONFIG_INTERNAL_DMI=no" "CONFIG_RAYER_SPI=0" ];
meta = with lib; {
- homepage = "https://www.flashrom.org";
+ homepage = "https://flashprog.org";
description = "Utility for reading, writing, erasing and verifying flash ROM chips";
license = with licenses; [ gpl2 gpl2Plus ];
maintainers = with maintainers; [ felixsinger ];
platforms = platforms.all;
- mainProgram = "flashrom";
+ mainProgram = "flashprog";
};
}
diff --git a/pkgs/by-name/fl/flatter/package.nix b/pkgs/by-name/fl/flatter/package.nix
index 8f7cd7e65791..bd8d5605edaa 100644
--- a/pkgs/by-name/fl/flatter/package.nix
+++ b/pkgs/by-name/fl/flatter/package.nix
@@ -13,13 +13,13 @@
stdenv.mkDerivation {
pname = "flatter";
- version = "0-unstable-2023-08-10";
+ version = "0-unstable-2024-03-04";
src = fetchFromGitHub {
owner = "keeganryan";
repo = "flatter";
- rev = "500e31df6b7308e8101b2a4a9cc816bf8f483417";
- hash = "sha256-STYx7cXvkcF+KqrG32pN16HWfEScc0zxkmOmfv43zIw=";
+ rev = "c2ed0ee94b6d281df7bcbce31ca275197ef9a562";
+ hash = "sha256-1Pjn0lANXaMOqlwwdOx6X/7jtAvfa2ZWa0nDfS3T5XU=";
};
strictDeps = true;
diff --git a/pkgs/by-name/fl/fleng/package.nix b/pkgs/by-name/fl/fleng/package.nix
index 3f825bde28cc..e7010f3882bd 100644
--- a/pkgs/by-name/fl/fleng/package.nix
+++ b/pkgs/by-name/fl/fleng/package.nix
@@ -5,11 +5,11 @@
stdenv.mkDerivation (finalAttrs: {
pname = "fleng";
- version = "19";
+ version = "20";
src = fetchurl {
url = "http://www.call-with-current-continuation.org/fleng/fleng-${finalAttrs.version}.tgz";
- hash = "sha256-xYMNGS3avZ9JsR8kJlSHz+C2XsLmhsDO/KTr5JquRK8=";
+ hash = "sha256-kkouDNbdVGE7vskmu8kISA/RHIGed5vLY/ch4qgew3g=";
};
doCheck = true;
diff --git a/pkgs/by-name/fl/flet-client-flutter/package.nix b/pkgs/by-name/fl/flet-client-flutter/package.nix
new file mode 100644
index 000000000000..ed2c1b26be3a
--- /dev/null
+++ b/pkgs/by-name/fl/flet-client-flutter/package.nix
@@ -0,0 +1,64 @@
+{ lib
+, fetchFromGitHub
+, pkg-config
+, flutter
+, gst_all_1
+, libunwind
+, makeWrapper
+, mimalloc
+, orc
+, nix-update-script
+, mpv-unwrapped
+, libplacebo
+}:
+
+flutter.buildFlutterApplication rec {
+ pname = "flet-client-flutter";
+ version = "0.21.1";
+
+ src = fetchFromGitHub {
+ owner = "flet-dev";
+ repo = "flet";
+ rev = "v${version}";
+ hash = "sha256-7zAcjek4iZRsNRVA85KBtU7PGbnLDZjnEO8Q5xwBiwM=";
+ };
+
+ sourceRoot = "${src.name}/client";
+
+ cmakeFlags = [
+ "-DMIMALLOC_LIB=${mimalloc}/lib/mimalloc.o"
+ ];
+
+ pubspecLock = lib.importJSON ./pubspec.lock.json;
+
+ nativeBuildInputs = [
+ makeWrapper
+ mimalloc
+ pkg-config
+ ];
+
+ buildInputs = [
+ mpv-unwrapped
+ gst_all_1.gst-libav
+ gst_all_1.gst-plugins-base
+ gst_all_1.gst-vaapi
+ gst_all_1.gstreamer
+ libunwind
+ orc
+ mimalloc
+ ]
+ ++ mpv-unwrapped.buildInputs
+ ++ libplacebo.buildInputs
+ ;
+
+ passthru.updateScript = nix-update-script { };
+
+ meta = {
+ description = "A framework that enables you to easily build realtime web, mobile, and desktop apps in Python. The frontend part";
+ homepage = "https://flet.dev/";
+ changelog = "https://github.com/flet-dev/flet/releases/tag/v${version}";
+ license = lib.licenses.asl20;
+ maintainers = with lib.maintainers; [ heyimnova lucasew ];
+ mainProgram = "flet";
+ };
+}
diff --git a/pkgs/by-name/fl/flet-client-flutter/pubspec.lock.json b/pkgs/by-name/fl/flet-client-flutter/pubspec.lock.json
new file mode 100644
index 000000000000..a0743aec8a77
--- /dev/null
+++ b/pkgs/by-name/fl/flet-client-flutter/pubspec.lock.json
@@ -0,0 +1,1524 @@
+{
+ "packages": {
+ "archive": {
+ "dependency": "transitive",
+ "description": {
+ "name": "archive",
+ "sha256": "22600aa1e926be775fa5fe7e6894e7fb3df9efda8891c73f70fb3262399a432d",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.4.10"
+ },
+ "args": {
+ "dependency": "transitive",
+ "description": {
+ "name": "args",
+ "sha256": "eef6c46b622e0494a36c5a12d10d77fb4e855501a91c1b9ef9339326e58f0596",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.4.2"
+ },
+ "async": {
+ "dependency": "transitive",
+ "description": {
+ "name": "async",
+ "sha256": "947bfcf187f74dbc5e146c9eb9c0f10c9f8b30743e341481c1e2ed3ecc18c20c",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.11.0"
+ },
+ "audioplayers": {
+ "dependency": "transitive",
+ "description": {
+ "name": "audioplayers",
+ "sha256": "c05c6147124cd63e725e861335a8b4d57300b80e6e92cea7c145c739223bbaef",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "5.2.1"
+ },
+ "audioplayers_android": {
+ "dependency": "transitive",
+ "description": {
+ "name": "audioplayers_android",
+ "sha256": "b00e1a0e11365d88576320ec2d8c192bc21f1afb6c0e5995d1c57ae63156acb5",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "4.0.3"
+ },
+ "audioplayers_darwin": {
+ "dependency": "transitive",
+ "description": {
+ "name": "audioplayers_darwin",
+ "sha256": "3034e99a6df8d101da0f5082dcca0a2a99db62ab1d4ddb3277bed3f6f81afe08",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "5.0.2"
+ },
+ "audioplayers_linux": {
+ "dependency": "transitive",
+ "description": {
+ "name": "audioplayers_linux",
+ "sha256": "60787e73fefc4d2e0b9c02c69885402177e818e4e27ef087074cf27c02246c9e",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.1.0"
+ },
+ "audioplayers_platform_interface": {
+ "dependency": "transitive",
+ "description": {
+ "name": "audioplayers_platform_interface",
+ "sha256": "365c547f1bb9e77d94dd1687903a668d8f7ac3409e48e6e6a3668a1ac2982adb",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "6.1.0"
+ },
+ "audioplayers_web": {
+ "dependency": "transitive",
+ "description": {
+ "name": "audioplayers_web",
+ "sha256": "22cd0173e54d92bd9b2c80b1204eb1eb159ece87475ab58c9788a70ec43c2a62",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "4.1.0"
+ },
+ "audioplayers_windows": {
+ "dependency": "transitive",
+ "description": {
+ "name": "audioplayers_windows",
+ "sha256": "9536812c9103563644ada2ef45ae523806b0745f7a78e89d1b5fb1951de90e1a",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.1.0"
+ },
+ "boolean_selector": {
+ "dependency": "transitive",
+ "description": {
+ "name": "boolean_selector",
+ "sha256": "6cfb5af12253eaf2b368f07bacc5a80d1301a071c73360d746b7f2e32d762c66",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.1.1"
+ },
+ "characters": {
+ "dependency": "transitive",
+ "description": {
+ "name": "characters",
+ "sha256": "04a925763edad70e8443c99234dc3328f442e811f1d8fd1a72f1c8ad0f69a605",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.3.0"
+ },
+ "checked_yaml": {
+ "dependency": "transitive",
+ "description": {
+ "name": "checked_yaml",
+ "sha256": "feb6bed21949061731a7a75fc5d2aa727cf160b91af9a3e464c5e3a32e28b5ff",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.0.3"
+ },
+ "cli_util": {
+ "dependency": "transitive",
+ "description": {
+ "name": "cli_util",
+ "sha256": "c05b7406fdabc7a49a3929d4af76bcaccbbffcbcdcf185b082e1ae07da323d19",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.4.1"
+ },
+ "clock": {
+ "dependency": "transitive",
+ "description": {
+ "name": "clock",
+ "sha256": "cb6d7f03e1de671e34607e909a7213e31d7752be4fb66a86d29fe1eb14bfb5cf",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.1.1"
+ },
+ "collection": {
+ "dependency": "transitive",
+ "description": {
+ "name": "collection",
+ "sha256": "ee67cb0715911d28db6bf4af1026078bd6f0128b07a5f66fb2ed94ec6783c09a",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.18.0"
+ },
+ "convert": {
+ "dependency": "transitive",
+ "description": {
+ "name": "convert",
+ "sha256": "0f08b14755d163f6e2134cb58222dd25ea2a2ee8a195e53983d57c075324d592",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.1.1"
+ },
+ "crypto": {
+ "dependency": "transitive",
+ "description": {
+ "name": "crypto",
+ "sha256": "ff625774173754681d66daaf4a448684fb04b78f902da9cb3d308c19cc5e8bab",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.0.3"
+ },
+ "cupertino_icons": {
+ "dependency": "direct main",
+ "description": {
+ "name": "cupertino_icons",
+ "sha256": "d57953e10f9f8327ce64a508a355f0b1ec902193f66288e8cb5070e7c47eeb2d",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.0.6"
+ },
+ "dbus": {
+ "dependency": "transitive",
+ "description": {
+ "name": "dbus",
+ "sha256": "365c771ac3b0e58845f39ec6deebc76e3276aa9922b0cc60840712094d9047ac",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.7.10"
+ },
+ "equatable": {
+ "dependency": "transitive",
+ "description": {
+ "name": "equatable",
+ "sha256": "c2b87cb7756efdf69892005af546c56c0b5037f54d2a88269b4f347a505e3ca2",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.0.5"
+ },
+ "fake_async": {
+ "dependency": "transitive",
+ "description": {
+ "name": "fake_async",
+ "sha256": "511392330127add0b769b75a987850d136345d9227c6b94c96a04cf4a391bf78",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.3.1"
+ },
+ "ffi": {
+ "dependency": "transitive",
+ "description": {
+ "name": "ffi",
+ "sha256": "493f37e7df1804778ff3a53bd691d8692ddf69702cf4c1c1096a2e41b4779e21",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.1.2"
+ },
+ "file": {
+ "dependency": "transitive",
+ "description": {
+ "name": "file",
+ "sha256": "5fc22d7c25582e38ad9a8515372cd9a93834027aacf1801cf01164dac0ffa08c",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "7.0.0"
+ },
+ "file_picker": {
+ "dependency": "transitive",
+ "description": {
+ "name": "file_picker",
+ "sha256": "4e42aacde3b993c5947467ab640882c56947d9d27342a5b6f2895b23956954a6",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "6.1.1"
+ },
+ "fixnum": {
+ "dependency": "transitive",
+ "description": {
+ "name": "fixnum",
+ "sha256": "25517a4deb0c03aa0f32fd12db525856438902d9c16536311e76cdc57b31d7d1",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.1.0"
+ },
+ "fl_chart": {
+ "dependency": "transitive",
+ "description": {
+ "name": "fl_chart",
+ "sha256": "5a74434cc83bf64346efb562f1a06eefaf1bcb530dc3d96a104f631a1eff8d79",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.65.0"
+ },
+ "flet": {
+ "dependency": "direct main",
+ "description": {
+ "path": "../packages/flet",
+ "relative": true
+ },
+ "source": "path",
+ "version": "0.21.1"
+ },
+ "flet_audio": {
+ "dependency": "direct main",
+ "description": {
+ "path": "../packages/flet_audio",
+ "relative": true
+ },
+ "source": "path",
+ "version": "0.21.1"
+ },
+ "flet_audio_recorder": {
+ "dependency": "direct main",
+ "description": {
+ "path": "../packages/flet_audio_recorder",
+ "relative": true
+ },
+ "source": "path",
+ "version": "0.21.1"
+ },
+ "flet_lottie": {
+ "dependency": "direct main",
+ "description": {
+ "path": "../packages/flet_lottie",
+ "relative": true
+ },
+ "source": "path",
+ "version": "0.21.1"
+ },
+ "flet_video": {
+ "dependency": "direct main",
+ "description": {
+ "path": "../packages/flet_video",
+ "relative": true
+ },
+ "source": "path",
+ "version": "0.21.1"
+ },
+ "flet_webview": {
+ "dependency": "direct main",
+ "description": {
+ "path": "../packages/flet_webview",
+ "relative": true
+ },
+ "source": "path",
+ "version": "0.21.1"
+ },
+ "flutter": {
+ "dependency": "direct main",
+ "description": "flutter",
+ "source": "sdk",
+ "version": "0.0.0"
+ },
+ "flutter_driver": {
+ "dependency": "transitive",
+ "description": "flutter",
+ "source": "sdk",
+ "version": "0.0.0"
+ },
+ "flutter_highlight": {
+ "dependency": "transitive",
+ "description": {
+ "name": "flutter_highlight",
+ "sha256": "7b96333867aa07e122e245c033b8ad622e4e3a42a1a2372cbb098a2541d8782c",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.7.0"
+ },
+ "flutter_launcher_icons": {
+ "dependency": "direct dev",
+ "description": {
+ "name": "flutter_launcher_icons",
+ "sha256": "526faf84284b86a4cb36d20a5e45147747b7563d921373d4ee0559c54fcdbcea",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.13.1"
+ },
+ "flutter_lints": {
+ "dependency": "direct dev",
+ "description": {
+ "name": "flutter_lints",
+ "sha256": "b543301ad291598523947dc534aaddc5aaad597b709d2426d3a0e0d44c5cb493",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.0.4"
+ },
+ "flutter_markdown": {
+ "dependency": "transitive",
+ "description": {
+ "name": "flutter_markdown",
+ "sha256": "21b085a1c185e46701373866144ced56cfb7a0c33f63c916bb8fe2d0c1491278",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.6.19"
+ },
+ "flutter_plugin_android_lifecycle": {
+ "dependency": "transitive",
+ "description": {
+ "name": "flutter_plugin_android_lifecycle",
+ "sha256": "b068ffc46f82a55844acfa4fdbb61fad72fa2aef0905548419d97f0f95c456da",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.0.17"
+ },
+ "flutter_redux": {
+ "dependency": "transitive",
+ "description": {
+ "name": "flutter_redux",
+ "sha256": "3b20be9e08d0038e1452fbfa1fdb1ea0a7c3738c997734530b3c6d0bb5fcdbdc",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.10.0"
+ },
+ "flutter_svg": {
+ "dependency": "transitive",
+ "description": {
+ "name": "flutter_svg",
+ "sha256": "d39e7f95621fc84376bc0f7d504f05c3a41488c562f4a8ad410569127507402c",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.0.9"
+ },
+ "flutter_test": {
+ "dependency": "direct dev",
+ "description": "flutter",
+ "source": "sdk",
+ "version": "0.0.0"
+ },
+ "flutter_web_plugins": {
+ "dependency": "transitive",
+ "description": "flutter",
+ "source": "sdk",
+ "version": "0.0.0"
+ },
+ "fuchsia_remote_debug_protocol": {
+ "dependency": "transitive",
+ "description": "flutter",
+ "source": "sdk",
+ "version": "0.0.0"
+ },
+ "highlight": {
+ "dependency": "transitive",
+ "description": {
+ "name": "highlight",
+ "sha256": "5353a83ffe3e3eca7df0abfb72dcf3fa66cc56b953728e7113ad4ad88497cf21",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.7.0"
+ },
+ "http": {
+ "dependency": "transitive",
+ "description": {
+ "name": "http",
+ "sha256": "761a297c042deedc1ffbb156d6e2af13886bb305c2a343a4d972504cd67dd938",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.2.1"
+ },
+ "http_parser": {
+ "dependency": "transitive",
+ "description": {
+ "name": "http_parser",
+ "sha256": "2aa08ce0341cc9b354a498388e30986515406668dbcc4f7c950c3e715496693b",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "4.0.2"
+ },
+ "image": {
+ "dependency": "transitive",
+ "description": {
+ "name": "image",
+ "sha256": "4c68bfd5ae83e700b5204c1e74451e7bf3cf750e6843c6e158289cf56bda018e",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "4.1.7"
+ },
+ "integration_test": {
+ "dependency": "direct main",
+ "description": "flutter",
+ "source": "sdk",
+ "version": "0.0.0"
+ },
+ "js": {
+ "dependency": "transitive",
+ "description": {
+ "name": "js",
+ "sha256": "f2c445dce49627136094980615a031419f7f3eb393237e4ecd97ac15dea343f3",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.6.7"
+ },
+ "json_annotation": {
+ "dependency": "transitive",
+ "description": {
+ "name": "json_annotation",
+ "sha256": "b10a7b2ff83d83c777edba3c6a0f97045ddadd56c944e1a23a3fdf43a1bf4467",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "4.8.1"
+ },
+ "leak_tracker": {
+ "dependency": "transitive",
+ "description": {
+ "name": "leak_tracker",
+ "sha256": "78eb209deea09858f5269f5a5b02be4049535f568c07b275096836f01ea323fa",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "10.0.0"
+ },
+ "leak_tracker_flutter_testing": {
+ "dependency": "transitive",
+ "description": {
+ "name": "leak_tracker_flutter_testing",
+ "sha256": "b46c5e37c19120a8a01918cfaf293547f47269f7cb4b0058f21531c2465d6ef0",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.0.1"
+ },
+ "leak_tracker_testing": {
+ "dependency": "transitive",
+ "description": {
+ "name": "leak_tracker_testing",
+ "sha256": "a597f72a664dbd293f3bfc51f9ba69816f84dcd403cdac7066cb3f6003f3ab47",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.0.1"
+ },
+ "lints": {
+ "dependency": "transitive",
+ "description": {
+ "name": "lints",
+ "sha256": "a2c3d198cb5ea2e179926622d433331d8b58374ab8f29cdda6e863bd62fd369c",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.0.1"
+ },
+ "logging": {
+ "dependency": "transitive",
+ "description": {
+ "name": "logging",
+ "sha256": "623a88c9594aa774443aa3eb2d41807a48486b5613e67599fb4c41c0ad47c340",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.2.0"
+ },
+ "lottie": {
+ "dependency": "transitive",
+ "description": {
+ "name": "lottie",
+ "sha256": "1f0ce68112072d66ea271a9841994fa8d16442e23d8cf8996c9fa74174e58b4e",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.0.0"
+ },
+ "markdown": {
+ "dependency": "transitive",
+ "description": {
+ "name": "markdown",
+ "sha256": "1b134d9f8ff2da15cb298efe6cd8b7d2a78958c1b00384ebcbdf13fe340a6c90",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "7.2.1"
+ },
+ "matcher": {
+ "dependency": "transitive",
+ "description": {
+ "name": "matcher",
+ "sha256": "d2323aa2060500f906aa31a895b4030b6da3ebdcc5619d14ce1aada65cd161cb",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.12.16+1"
+ },
+ "material_color_utilities": {
+ "dependency": "transitive",
+ "description": {
+ "name": "material_color_utilities",
+ "sha256": "0e0a020085b65b6083975e499759762399b4475f766c21668c4ecca34ea74e5a",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.8.0"
+ },
+ "media_kit": {
+ "dependency": "transitive",
+ "description": {
+ "name": "media_kit",
+ "sha256": "3289062540e3b8b9746e5c50d95bd78a9289826b7227e253dff806d002b9e67a",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.1.10+1"
+ },
+ "media_kit_libs_android_video": {
+ "dependency": "transitive",
+ "description": {
+ "name": "media_kit_libs_android_video",
+ "sha256": "9dd8012572e4aff47516e55f2597998f0a378e3d588d0fad0ca1f11a53ae090c",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.3.6"
+ },
+ "media_kit_libs_ios_video": {
+ "dependency": "transitive",
+ "description": {
+ "name": "media_kit_libs_ios_video",
+ "sha256": "b5382994eb37a4564c368386c154ad70ba0cc78dacdd3fb0cd9f30db6d837991",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.1.4"
+ },
+ "media_kit_libs_linux": {
+ "dependency": "transitive",
+ "description": {
+ "name": "media_kit_libs_linux",
+ "sha256": "e186891c31daa6bedab4d74dcdb4e8adfccc7d786bfed6ad81fe24a3b3010310",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.1.3"
+ },
+ "media_kit_libs_macos_video": {
+ "dependency": "transitive",
+ "description": {
+ "name": "media_kit_libs_macos_video",
+ "sha256": "f26aa1452b665df288e360393758f84b911f70ffb3878032e1aabba23aa1032d",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.1.4"
+ },
+ "media_kit_libs_video": {
+ "dependency": "transitive",
+ "description": {
+ "name": "media_kit_libs_video",
+ "sha256": "3688e0c31482074578652bf038ce6301a5d21e1eda6b54fc3117ffeb4bdba067",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.0.4"
+ },
+ "media_kit_libs_windows_video": {
+ "dependency": "transitive",
+ "description": {
+ "name": "media_kit_libs_windows_video",
+ "sha256": "7bace5f35d9afcc7f9b5cdadb7541d2191a66bb3fc71bfa11c1395b3360f6122",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.0.9"
+ },
+ "media_kit_native_event_loop": {
+ "dependency": "transitive",
+ "description": {
+ "name": "media_kit_native_event_loop",
+ "sha256": "a605cf185499d14d58935b8784955a92a4bf0ff4e19a23de3d17a9106303930e",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.0.8"
+ },
+ "media_kit_video": {
+ "dependency": "transitive",
+ "description": {
+ "name": "media_kit_video",
+ "sha256": "c048d11a19e379aebbe810647636e3fc6d18374637e2ae12def4ff8a4b99a882",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.2.4"
+ },
+ "meta": {
+ "dependency": "transitive",
+ "description": {
+ "name": "meta",
+ "sha256": "d584fa6707a52763a52446f02cc621b077888fb63b93bbcb1143a7be5a0c0c04",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.11.0"
+ },
+ "package_info_plus": {
+ "dependency": "transitive",
+ "description": {
+ "name": "package_info_plus",
+ "sha256": "7e76fad405b3e4016cd39d08f455a4eb5199723cf594cd1b8916d47140d93017",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "4.2.0"
+ },
+ "package_info_plus_platform_interface": {
+ "dependency": "transitive",
+ "description": {
+ "name": "package_info_plus_platform_interface",
+ "sha256": "9bc8ba46813a4cc42c66ab781470711781940780fd8beddd0c3da62506d3a6c6",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.0.1"
+ },
+ "path": {
+ "dependency": "transitive",
+ "description": {
+ "name": "path",
+ "sha256": "087ce49c3f0dc39180befefc60fdb4acd8f8620e5682fe2476afd0b3688bb4af",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.9.0"
+ },
+ "path_parsing": {
+ "dependency": "transitive",
+ "description": {
+ "name": "path_parsing",
+ "sha256": "e3e67b1629e6f7e8100b367d3db6ba6af4b1f0bb80f64db18ef1fbabd2fa9ccf",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.0.1"
+ },
+ "path_provider": {
+ "dependency": "transitive",
+ "description": {
+ "name": "path_provider",
+ "sha256": "b27217933eeeba8ff24845c34003b003b2b22151de3c908d0e679e8fe1aa078b",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.1.2"
+ },
+ "path_provider_android": {
+ "dependency": "transitive",
+ "description": {
+ "name": "path_provider_android",
+ "sha256": "477184d672607c0a3bf68fbbf601805f92ef79c82b64b4d6eb318cbca4c48668",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.2.2"
+ },
+ "path_provider_foundation": {
+ "dependency": "transitive",
+ "description": {
+ "name": "path_provider_foundation",
+ "sha256": "5a7999be66e000916500be4f15a3633ebceb8302719b47b9cc49ce924125350f",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.3.2"
+ },
+ "path_provider_linux": {
+ "dependency": "transitive",
+ "description": {
+ "name": "path_provider_linux",
+ "sha256": "f7a1fe3a634fe7734c8d3f2766ad746ae2a2884abe22e241a8b301bf5cac3279",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.2.1"
+ },
+ "path_provider_platform_interface": {
+ "dependency": "transitive",
+ "description": {
+ "name": "path_provider_platform_interface",
+ "sha256": "88f5779f72ba699763fa3a3b06aa4bf6de76c8e5de842cf6f29e2e06476c2334",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.1.2"
+ },
+ "path_provider_windows": {
+ "dependency": "transitive",
+ "description": {
+ "name": "path_provider_windows",
+ "sha256": "8bc9f22eee8690981c22aa7fc602f5c85b497a6fb2ceb35ee5a5e5ed85ad8170",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.2.1"
+ },
+ "petitparser": {
+ "dependency": "transitive",
+ "description": {
+ "name": "petitparser",
+ "sha256": "c15605cd28af66339f8eb6fbe0e541bfe2d1b72d5825efc6598f3e0a31b9ad27",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "6.0.2"
+ },
+ "platform": {
+ "dependency": "transitive",
+ "description": {
+ "name": "platform",
+ "sha256": "12220bb4b65720483f8fa9450b4332347737cf8213dd2840d8b2c823e47243ec",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.1.4"
+ },
+ "plugin_platform_interface": {
+ "dependency": "transitive",
+ "description": {
+ "name": "plugin_platform_interface",
+ "sha256": "4820fbfdb9478b1ebae27888254d445073732dae3d6ea81f0b7e06d5dedc3f02",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.1.8"
+ },
+ "pointycastle": {
+ "dependency": "transitive",
+ "description": {
+ "name": "pointycastle",
+ "sha256": "43ac87de6e10afabc85c445745a7b799e04de84cebaa4fd7bf55a5e1e9604d29",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.7.4"
+ },
+ "process": {
+ "dependency": "transitive",
+ "description": {
+ "name": "process",
+ "sha256": "21e54fd2faf1b5bdd5102afd25012184a6793927648ea81eea80552ac9405b32",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "5.0.2"
+ },
+ "record": {
+ "dependency": "transitive",
+ "description": {
+ "name": "record",
+ "sha256": "5c8e12c692a4800b33f5f8b6c821ea083b12bfdbd031b36ba9322c40a4eeecc9",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "5.0.4"
+ },
+ "record_android": {
+ "dependency": "transitive",
+ "description": {
+ "name": "record_android",
+ "sha256": "805ecaa232a671aff2ee9ec4730ef6addb97c548d2db6b1fbd5197f1d4f47a5a",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.0.4"
+ },
+ "record_darwin": {
+ "dependency": "transitive",
+ "description": {
+ "name": "record_darwin",
+ "sha256": "ee8cb1bb1712d7ce38140ecabe70e5c286c02f05296d66043bee865ace7eb1b9",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.0.1"
+ },
+ "record_linux": {
+ "dependency": "transitive",
+ "description": {
+ "name": "record_linux",
+ "sha256": "7d0e70cd51635128fe9d37d89bafd6011d7cbba9af8dc323079ae60f23546aef",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.7.1"
+ },
+ "record_platform_interface": {
+ "dependency": "transitive",
+ "description": {
+ "name": "record_platform_interface",
+ "sha256": "3a4b56e94ecd2a0b2b43eb1fa6f94c5b8484334f5d38ef43959c4bf97fb374cf",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.0.2"
+ },
+ "record_web": {
+ "dependency": "transitive",
+ "description": {
+ "name": "record_web",
+ "sha256": "24847cdbcf999f7a5762170792f622ac844858766becd0f2370ec8ae22f7526e",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.0.5"
+ },
+ "record_windows": {
+ "dependency": "transitive",
+ "description": {
+ "name": "record_windows",
+ "sha256": "39998b3ea7d8d28b04159d82220e6e5e32a7c357c6fb2794f5736beea272f6c3",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.0.2"
+ },
+ "redux": {
+ "dependency": "transitive",
+ "description": {
+ "name": "redux",
+ "sha256": "1e86ed5b1a9a717922d0a0ca41f9bf49c1a587d50050e9426fc65b14e85ec4d7",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "5.0.0"
+ },
+ "safe_local_storage": {
+ "dependency": "transitive",
+ "description": {
+ "name": "safe_local_storage",
+ "sha256": "ede4eb6cb7d88a116b3d3bf1df70790b9e2038bc37cb19112e381217c74d9440",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.0.2"
+ },
+ "screen_brightness": {
+ "dependency": "transitive",
+ "description": {
+ "name": "screen_brightness",
+ "sha256": "ed8da4a4511e79422fc1aa88138e920e4008cd312b72cdaa15ccb426c0faaedd",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.2.2+1"
+ },
+ "screen_brightness_android": {
+ "dependency": "transitive",
+ "description": {
+ "name": "screen_brightness_android",
+ "sha256": "3df10961e3a9e968a5e076fe27e7f4741fa8a1d3950bdeb48cf121ed529d0caf",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.1.0+2"
+ },
+ "screen_brightness_ios": {
+ "dependency": "transitive",
+ "description": {
+ "name": "screen_brightness_ios",
+ "sha256": "99adc3ca5490b8294284aad5fcc87f061ad685050e03cf45d3d018fe398fd9a2",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.1.0"
+ },
+ "screen_brightness_macos": {
+ "dependency": "transitive",
+ "description": {
+ "name": "screen_brightness_macos",
+ "sha256": "64b34e7e3f4900d7687c8e8fb514246845a73ecec05ab53483ed025bd4a899fd",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.1.0+1"
+ },
+ "screen_brightness_platform_interface": {
+ "dependency": "transitive",
+ "description": {
+ "name": "screen_brightness_platform_interface",
+ "sha256": "b211d07f0c96637a15fb06f6168617e18030d5d74ad03795dd8547a52717c171",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.1.0"
+ },
+ "screen_brightness_windows": {
+ "dependency": "transitive",
+ "description": {
+ "name": "screen_brightness_windows",
+ "sha256": "9261bf33d0fc2707d8cf16339ce25768100a65e70af0fcabaf032fc12408ba86",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.1.3"
+ },
+ "screen_retriever": {
+ "dependency": "transitive",
+ "description": {
+ "name": "screen_retriever",
+ "sha256": "6ee02c8a1158e6dae7ca430da79436e3b1c9563c8cf02f524af997c201ac2b90",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.1.9"
+ },
+ "sensors_plus": {
+ "dependency": "transitive",
+ "description": {
+ "name": "sensors_plus",
+ "sha256": "8e7fa79b4940442bb595bfc0ee9da4af5a22a0fe6ebacc74998245ee9496a82d",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "4.0.2"
+ },
+ "sensors_plus_platform_interface": {
+ "dependency": "transitive",
+ "description": {
+ "name": "sensors_plus_platform_interface",
+ "sha256": "bc472d6cfd622acb4f020e726433ee31788b038056691ba433fec80e448a094f",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.2.0"
+ },
+ "shared_preferences": {
+ "dependency": "transitive",
+ "description": {
+ "name": "shared_preferences",
+ "sha256": "81429e4481e1ccfb51ede496e916348668fd0921627779233bd24cc3ff6abd02",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.2.2"
+ },
+ "shared_preferences_android": {
+ "dependency": "transitive",
+ "description": {
+ "name": "shared_preferences_android",
+ "sha256": "8568a389334b6e83415b6aae55378e158fbc2314e074983362d20c562780fb06",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.2.1"
+ },
+ "shared_preferences_foundation": {
+ "dependency": "transitive",
+ "description": {
+ "name": "shared_preferences_foundation",
+ "sha256": "7708d83064f38060c7b39db12aefe449cb8cdc031d6062280087bc4cdb988f5c",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.3.5"
+ },
+ "shared_preferences_linux": {
+ "dependency": "transitive",
+ "description": {
+ "name": "shared_preferences_linux",
+ "sha256": "9f2cbcf46d4270ea8be39fa156d86379077c8a5228d9dfdb1164ae0bb93f1faa",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.3.2"
+ },
+ "shared_preferences_platform_interface": {
+ "dependency": "transitive",
+ "description": {
+ "name": "shared_preferences_platform_interface",
+ "sha256": "22e2ecac9419b4246d7c22bfbbda589e3acf5c0351137d87dd2939d984d37c3b",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.3.2"
+ },
+ "shared_preferences_web": {
+ "dependency": "transitive",
+ "description": {
+ "name": "shared_preferences_web",
+ "sha256": "d762709c2bbe80626ecc819143013cc820fa49ca5e363620ee20a8b15a3e3daf",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.2.1"
+ },
+ "shared_preferences_windows": {
+ "dependency": "transitive",
+ "description": {
+ "name": "shared_preferences_windows",
+ "sha256": "841ad54f3c8381c480d0c9b508b89a34036f512482c407e6df7a9c4aa2ef8f59",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.3.2"
+ },
+ "sky_engine": {
+ "dependency": "transitive",
+ "description": "flutter",
+ "source": "sdk",
+ "version": "0.0.99"
+ },
+ "source_span": {
+ "dependency": "transitive",
+ "description": {
+ "name": "source_span",
+ "sha256": "53e943d4206a5e30df338fd4c6e7a077e02254531b138a15aec3bd143c1a8b3c",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.10.0"
+ },
+ "sprintf": {
+ "dependency": "transitive",
+ "description": {
+ "name": "sprintf",
+ "sha256": "1fc9ffe69d4df602376b52949af107d8f5703b77cda567c4d7d86a0693120f23",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "7.0.0"
+ },
+ "stack_trace": {
+ "dependency": "transitive",
+ "description": {
+ "name": "stack_trace",
+ "sha256": "73713990125a6d93122541237550ee3352a2d84baad52d375a4cad2eb9b7ce0b",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.11.1"
+ },
+ "stream_channel": {
+ "dependency": "transitive",
+ "description": {
+ "name": "stream_channel",
+ "sha256": "ba2aa5d8cc609d96bbb2899c28934f9e1af5cddbd60a827822ea467161eb54e7",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.1.2"
+ },
+ "string_scanner": {
+ "dependency": "transitive",
+ "description": {
+ "name": "string_scanner",
+ "sha256": "556692adab6cfa87322a115640c11f13cb77b3f076ddcc5d6ae3c20242bedcde",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.2.0"
+ },
+ "sync_http": {
+ "dependency": "transitive",
+ "description": {
+ "name": "sync_http",
+ "sha256": "7f0cd72eca000d2e026bcd6f990b81d0ca06022ef4e32fb257b30d3d1014a961",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.3.1"
+ },
+ "synchronized": {
+ "dependency": "transitive",
+ "description": {
+ "name": "synchronized",
+ "sha256": "539ef412b170d65ecdafd780f924e5be3f60032a1128df156adad6c5b373d558",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.1.0+1"
+ },
+ "term_glyph": {
+ "dependency": "transitive",
+ "description": {
+ "name": "term_glyph",
+ "sha256": "a29248a84fbb7c79282b40b8c72a1209db169a2e0542bce341da992fe1bc7e84",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.2.1"
+ },
+ "test_api": {
+ "dependency": "transitive",
+ "description": {
+ "name": "test_api",
+ "sha256": "5c2f730018264d276c20e4f1503fd1308dfbbae39ec8ee63c5236311ac06954b",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.6.1"
+ },
+ "typed_data": {
+ "dependency": "transitive",
+ "description": {
+ "name": "typed_data",
+ "sha256": "facc8d6582f16042dd49f2463ff1bd6e2c9ef9f3d5da3d9b087e244a7b564b3c",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.3.2"
+ },
+ "universal_platform": {
+ "dependency": "transitive",
+ "description": {
+ "name": "universal_platform",
+ "sha256": "d315be0f6641898b280ffa34e2ddb14f3d12b1a37882557869646e0cc363d0cc",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.0.0+1"
+ },
+ "uri_parser": {
+ "dependency": "transitive",
+ "description": {
+ "name": "uri_parser",
+ "sha256": "6543c9fd86d2862fac55d800a43e67c0dcd1a41677cb69c2f8edfe73bbcf1835",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.0.2"
+ },
+ "url_launcher": {
+ "dependency": "transitive",
+ "description": {
+ "name": "url_launcher",
+ "sha256": "c512655380d241a337521703af62d2c122bf7b77a46ff7dd750092aa9433499c",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "6.2.4"
+ },
+ "url_launcher_android": {
+ "dependency": "transitive",
+ "description": {
+ "name": "url_launcher_android",
+ "sha256": "d4ed0711849dd8e33eb2dd69c25db0d0d3fdc37e0a62e629fe32f57a22db2745",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "6.3.0"
+ },
+ "url_launcher_ios": {
+ "dependency": "transitive",
+ "description": {
+ "name": "url_launcher_ios",
+ "sha256": "75bb6fe3f60070407704282a2d295630cab232991eb52542b18347a8a941df03",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "6.2.4"
+ },
+ "url_launcher_linux": {
+ "dependency": "transitive",
+ "description": {
+ "name": "url_launcher_linux",
+ "sha256": "ab360eb661f8879369acac07b6bb3ff09d9471155357da8443fd5d3cf7363811",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.1.1"
+ },
+ "url_launcher_macos": {
+ "dependency": "transitive",
+ "description": {
+ "name": "url_launcher_macos",
+ "sha256": "b7244901ea3cf489c5335bdacda07264a6e960b1c1b1a9f91e4bc371d9e68234",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.1.0"
+ },
+ "url_launcher_platform_interface": {
+ "dependency": "transitive",
+ "description": {
+ "name": "url_launcher_platform_interface",
+ "sha256": "552f8a1e663569be95a8190206a38187b531910283c3e982193e4f2733f01029",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.3.2"
+ },
+ "url_launcher_web": {
+ "dependency": "transitive",
+ "description": {
+ "name": "url_launcher_web",
+ "sha256": "7fd2f55fe86cea2897b963e864dc01a7eb0719ecc65fcef4c1cc3d686d718bb2",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.2.0"
+ },
+ "url_launcher_windows": {
+ "dependency": "transitive",
+ "description": {
+ "name": "url_launcher_windows",
+ "sha256": "ecf9725510600aa2bb6d7ddabe16357691b6d2805f66216a97d1b881e21beff7",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.1.1"
+ },
+ "url_strategy": {
+ "dependency": "direct main",
+ "description": {
+ "name": "url_strategy",
+ "sha256": "42b68b42a9864c4d710401add17ad06e28f1c1d5500c93b98c431f6b0ea4ab87",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.2.0"
+ },
+ "uuid": {
+ "dependency": "transitive",
+ "description": {
+ "name": "uuid",
+ "sha256": "cd210a09f7c18cbe5a02511718e0334de6559871052c90a90c0cca46a4aa81c8",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "4.3.3"
+ },
+ "vector_graphics": {
+ "dependency": "transitive",
+ "description": {
+ "name": "vector_graphics",
+ "sha256": "4ac59808bbfca6da38c99f415ff2d3a5d7ca0a6b4809c71d9cf30fba5daf9752",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.1.10+1"
+ },
+ "vector_graphics_codec": {
+ "dependency": "transitive",
+ "description": {
+ "name": "vector_graphics_codec",
+ "sha256": "f3247e7ab0ec77dc759263e68394990edc608fb2b480b80db8aa86ed09279e33",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.1.10+1"
+ },
+ "vector_graphics_compiler": {
+ "dependency": "transitive",
+ "description": {
+ "name": "vector_graphics_compiler",
+ "sha256": "18489bdd8850de3dd7ca8a34e0c446f719ec63e2bab2e7a8cc66a9028dd76c5a",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.1.10+1"
+ },
+ "vector_math": {
+ "dependency": "transitive",
+ "description": {
+ "name": "vector_math",
+ "sha256": "80b3257d1492ce4d091729e3a67a60407d227c27241d6927be0130c98e741803",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.1.4"
+ },
+ "vm_service": {
+ "dependency": "transitive",
+ "description": {
+ "name": "vm_service",
+ "sha256": "b3d56ff4341b8f182b96aceb2fa20e3dcb336b9f867bc0eafc0de10f1048e957",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "13.0.0"
+ },
+ "volume_controller": {
+ "dependency": "transitive",
+ "description": {
+ "name": "volume_controller",
+ "sha256": "189bdc7a554f476b412e4c8b2f474562b09d74bc458c23667356bce3ca1d48c9",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.0.7"
+ },
+ "wakelock_plus": {
+ "dependency": "transitive",
+ "description": {
+ "name": "wakelock_plus",
+ "sha256": "f268ca2116db22e57577fb99d52515a24bdc1d570f12ac18bb762361d43b043d",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.1.4"
+ },
+ "wakelock_plus_platform_interface": {
+ "dependency": "transitive",
+ "description": {
+ "name": "wakelock_plus_platform_interface",
+ "sha256": "40fabed5da06caff0796dc638e1f07ee395fb18801fbff3255a2372db2d80385",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.1.0"
+ },
+ "web": {
+ "dependency": "transitive",
+ "description": {
+ "name": "web",
+ "sha256": "1d9158c616048c38f712a6646e317a3426da10e884447626167240d45209cbad",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.5.0"
+ },
+ "web_socket_channel": {
+ "dependency": "transitive",
+ "description": {
+ "name": "web_socket_channel",
+ "sha256": "1d8e795e2a8b3730c41b8a98a2dff2e0fb57ae6f0764a1c46ec5915387d257b2",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.4.4"
+ },
+ "webdriver": {
+ "dependency": "transitive",
+ "description": {
+ "name": "webdriver",
+ "sha256": "003d7da9519e1e5f329422b36c4dcdf18d7d2978d1ba099ea4e45ba490ed845e",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.0.3"
+ },
+ "webview_flutter": {
+ "dependency": "transitive",
+ "description": {
+ "name": "webview_flutter",
+ "sha256": "25e1b6e839e8cbfbd708abc6f85ed09d1727e24e08e08c6b8590d7c65c9a8932",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "4.7.0"
+ },
+ "webview_flutter_android": {
+ "dependency": "transitive",
+ "description": {
+ "name": "webview_flutter_android",
+ "sha256": "3e5f4e9d818086b0d01a66fb1ff9cc72ab0cc58c71980e3d3661c5685ea0efb0",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.15.0"
+ },
+ "webview_flutter_platform_interface": {
+ "dependency": "transitive",
+ "description": {
+ "name": "webview_flutter_platform_interface",
+ "sha256": "d937581d6e558908d7ae3dc1989c4f87b786891ab47bb9df7de548a151779d8d",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.10.0"
+ },
+ "webview_flutter_wkwebview": {
+ "dependency": "transitive",
+ "description": {
+ "name": "webview_flutter_wkwebview",
+ "sha256": "9bf168bccdf179ce90450b5f37e36fe263f591c9338828d6bf09b6f8d0f57f86",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.12.0"
+ },
+ "win32": {
+ "dependency": "transitive",
+ "description": {
+ "name": "win32",
+ "sha256": "464f5674532865248444b4c3daca12bd9bf2d7c47f759ce2617986e7229494a8",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "5.2.0"
+ },
+ "window_manager": {
+ "dependency": "transitive",
+ "description": {
+ "name": "window_manager",
+ "sha256": "b3c895bdf936c77b83c5254bec2e6b3f066710c1f89c38b20b8acc382b525494",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.3.8"
+ },
+ "window_to_front": {
+ "dependency": "transitive",
+ "description": {
+ "name": "window_to_front",
+ "sha256": "7aef379752b7190c10479e12b5fd7c0b9d92adc96817d9e96c59937929512aee",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.0.3"
+ },
+ "xdg_directories": {
+ "dependency": "transitive",
+ "description": {
+ "name": "xdg_directories",
+ "sha256": "faea9dee56b520b55a566385b84f2e8de55e7496104adada9962e0bd11bcff1d",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.0.4"
+ },
+ "xml": {
+ "dependency": "transitive",
+ "description": {
+ "name": "xml",
+ "sha256": "b015a8ad1c488f66851d762d3090a21c600e479dc75e68328c52774040cf9226",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "6.5.0"
+ },
+ "yaml": {
+ "dependency": "transitive",
+ "description": {
+ "name": "yaml",
+ "sha256": "75769501ea3489fca56601ff33454fe45507ea3bfb014161abc3b43ae25989d5",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.1.2"
+ }
+ },
+ "sdks": {
+ "dart": ">=3.3.0 <4.0.0",
+ "flutter": ">=3.16.6"
+ }
+}
diff --git a/pkgs/by-name/fl/flottbot/package.nix b/pkgs/by-name/fl/flottbot/package.nix
new file mode 100644
index 000000000000..ba0321fbdd5b
--- /dev/null
+++ b/pkgs/by-name/fl/flottbot/package.nix
@@ -0,0 +1,44 @@
+{ lib
+, buildGoModule
+, fetchFromGitHub
+, nix-update-script
+, substituteAll
+}:
+buildGoModule rec {
+ pname = "flottbot";
+ version = "0.13.1";
+
+ src = fetchFromGitHub {
+ owner = "target";
+ repo = "flottbot";
+ rev = version;
+ hash = "sha256-Fv4ZBCQA7gwt11ULIiyFwn+QgoMNgu+1TM9yy2Jz7og=";
+ };
+
+ patches = [
+ # patch out debug.ReadBuidlInfo since version information is not available with buildGoModule
+ (substituteAll {
+ src = ./version.patch;
+ version = version;
+ vcsHash = version; # Maybe there is a way to get the git ref from src? idk.
+ })
+ ];
+
+ vendorHash = "sha256-wOUQKFd2Xm/2rvLw8kw8Ejbcq/JUvup/BzZs0fllBYY=";
+
+ subPackages = [ "cmd/flottbot" ];
+
+ passthru = {
+ updateScript = nix-update-script { };
+ };
+
+ meta = with lib; {
+ description = "A chatbot framework written in Go";
+ homepage = "https://github.com/target/flottbot";
+ license = licenses.asl20;
+ maintainers = with maintainers; [ bryanhonof ];
+ sourceProvenance = [ sourceTypes.fromSource ];
+ mainProgram = "flottbot";
+ platforms = platforms.unix;
+ };
+}
diff --git a/pkgs/by-name/fl/flottbot/version.patch b/pkgs/by-name/fl/flottbot/version.patch
new file mode 100644
index 000000000000..eaec6eec5fdb
--- /dev/null
+++ b/pkgs/by-name/fl/flottbot/version.patch
@@ -0,0 +1,37 @@
+diff --git a/version/version.go b/version/version.go
+index 9ca8aba..d9bd968 100644
+--- a/version/version.go
++++ b/version/version.go
+@@ -4,9 +4,6 @@ package version
+
+ import (
+ "fmt"
+- "runtime/debug"
+-
+- "github.com/Masterminds/semver/v3"
+ )
+
+ // Version supplies the semantic version.
+@@ -14,20 +11,8 @@ var Version string
+
+ // String prints the build information for the bot.
+ func String() string {
+- hash := "unknown"
+-
+- _, err := semver.NewVersion(Version)
+- if err != nil {
+- Version = "dev"
+- }
+-
+- if info, ok := debug.ReadBuildInfo(); ok {
+- for _, s := range info.Settings {
+- if s.Key == "vcs.revision" {
+- hash = s.Value
+- }
+- }
+- }
++ Version = "@version@"
++ hash := "@vcsHash@"
+
+ return fmt.Sprintf("Version : %s\nGit Hash: %s\n", Version, hash)
+ }
diff --git a/pkgs/by-name/fm/fm-go/package.nix b/pkgs/by-name/fm/fm-go/package.nix
new file mode 100644
index 000000000000..204250e7c907
--- /dev/null
+++ b/pkgs/by-name/fm/fm-go/package.nix
@@ -0,0 +1,31 @@
+{ lib
+, buildGoModule
+, fetchFromGitHub
+, stdenv
+}:
+
+let
+ finalAttrs = {
+ pname = "fm";
+ version = "0.16.0";
+
+ src = fetchFromGitHub {
+ owner = "mistakenelf";
+ repo = "fm";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-wiACaszbkO9jBYmIfeQpcx984RY41Emyu911nkJxUFY=";
+ };
+
+ vendorHash = "sha256-AfRGoKiVZGVIbsDj5pV1zCkp2FpcfWKS0t+cTU51RRc=";
+
+ meta = {
+ homepage = "https://github.com/mistakenelf/fm";
+ description = "A terminal based file manager";
+ changelog = "https://github.com/mistakenelf/fm/releases/tag/${finalAttrs.src.rev}";
+ license = with lib.licenses; [ mit ];
+ mainProgram = "fm";
+ maintainers = with lib.maintainers; [ AndersonTorres ];
+ };
+ };
+in
+buildGoModule finalAttrs
diff --git a/pkgs/by-name/fo/folio/package.nix b/pkgs/by-name/fo/folio/package.nix
new file mode 100644
index 000000000000..08030d25def2
--- /dev/null
+++ b/pkgs/by-name/fo/folio/package.nix
@@ -0,0 +1,51 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, meson
+, ninja
+, pkg-config
+, vala
+, blueprint-compiler
+, wrapGAppsHook4
+, desktop-file-utils
+, libadwaita
+, libgee
+, gtksourceview5
+}:
+
+stdenv.mkDerivation rec {
+ pname = "folio";
+ version = "24.08";
+
+ src = fetchFromGitHub {
+ owner = "toolstack";
+ repo = "Folio";
+ rev = version;
+ hash = "sha256-IwcLz5b2aEVUE0YS3qZ3238ksucQFpPl3eQGIjQo+pA=";
+ };
+
+ nativeBuildInputs = [
+ meson
+ ninja
+ pkg-config
+ vala
+ blueprint-compiler
+ wrapGAppsHook4
+ desktop-file-utils
+ ];
+
+ buildInputs = [
+ libadwaita
+ libgee
+ gtksourceview5
+ ];
+
+ meta = with lib; {
+ description = "A beautiful markdown note-taking app for GNOME (forked from Paper)";
+ homepage = "https://github.com/toolstack/Folio";
+ license = licenses.gpl3Only;
+ mainProgram = "com.toolstack.Folio";
+ maintainers = with maintainers; [ aleksana ];
+ platforms = platforms.unix;
+ };
+}
diff --git a/pkgs/by-name/fo/foonathan-memory/package.nix b/pkgs/by-name/fo/foonathan-memory/package.nix
index 66177fabc22a..7e2141ef042c 100644
--- a/pkgs/by-name/fo/foonathan-memory/package.nix
+++ b/pkgs/by-name/fo/foonathan-memory/package.nix
@@ -48,6 +48,7 @@ stdenv.mkDerivation (finalAttrs: {
homepage = "https://github.com/foonathan/memory";
changelog = "https://github.com/foonathan/memory/releases/tag/${finalAttrs.src.rev}";
description = "STL compatible C++ memory allocator library";
+ mainProgram = "nodesize_dbg";
longDescription = ''
The C++ STL allocator model has various flaws. For example, they are
diff --git a/pkgs/by-name/fr/frankenphp/package.nix b/pkgs/by-name/fr/frankenphp/package.nix
index 423a1dd1f2e5..90d10fc87f40 100644
--- a/pkgs/by-name/fr/frankenphp/package.nix
+++ b/pkgs/by-name/fr/frankenphp/package.nix
@@ -35,7 +35,7 @@ in buildGoModule rec {
hash = "sha256-tQ35GZuw7Ag1YfmOUarVY45yk4yugNLJetEV4m2w3GE=";
};
- sourceRoot = "source/caddy";
+ sourceRoot = "${src.name}/caddy";
# frankenphp requires C code that would be removed with `go mod tidy`
# https://github.com/golang/go/issues/26366
diff --git a/pkgs/by-name/fr/free42/package.nix b/pkgs/by-name/fr/free42/package.nix
index a552921153d3..415f6f6f8b9d 100644
--- a/pkgs/by-name/fr/free42/package.nix
+++ b/pkgs/by-name/fr/free42/package.nix
@@ -10,13 +10,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "free42";
- version = "3.1.4";
+ version = "3.1.5";
src = fetchFromGitHub {
owner = "thomasokken";
repo = "free42";
rev = "v${finalAttrs.version}";
- hash = "sha256-XAYi4CBOx5KkqJyz6WkPlWC+bfbEReyaSv9SRCe6TDw=";
+ hash = "sha256-YFTmEyOd/r8Pbj+PzD+VYkkB0gqDJ4wteLBTdwa1qcE=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/graphics/freecad/0001-NIXOS-don-t-ignore-PYTHONPATH.patch b/pkgs/by-name/fr/freecad/0001-NIXOS-don-t-ignore-PYTHONPATH.patch
similarity index 100%
rename from pkgs/applications/graphics/freecad/0001-NIXOS-don-t-ignore-PYTHONPATH.patch
rename to pkgs/by-name/fr/freecad/0001-NIXOS-don-t-ignore-PYTHONPATH.patch
diff --git a/pkgs/applications/graphics/freecad/default.nix b/pkgs/by-name/fr/freecad/package.nix
similarity index 92%
rename from pkgs/applications/graphics/freecad/default.nix
rename to pkgs/by-name/fr/freecad/package.nix
index 1ef114c9c496..436d94bd0f98 100644
--- a/pkgs/applications/graphics/freecad/default.nix
+++ b/pkgs/by-name/fr/freecad/package.nix
@@ -1,14 +1,10 @@
{ lib
-, fmt
-, stdenv
-, fetchFromGitHub
, cmake
-, doxygen
-, ninja
-, gitpython
-, boost
, coin3d
+, doxygen
, eigen
+, fetchFromGitHub
+, fmt
, freecad # for passthru.tests
, gfortran
, gts
@@ -17,38 +13,48 @@
, libXmu
, libf2c
, libredwg
+, libsForQt5
, libspnav
-, matplotlib
, medfile
, mpi
+, ninja
, ode
, opencascade-occt
-, pivy
, pkg-config
-, ply
-, pycollada
-, pyside2
-, pyside2-tools
-, python
-, pyyaml
-, qtbase
-, qttools
-, qtwebengine
-, qtx11extras
-, qtxmlpatterns
+, python3Packages
, runCommand # for passthru.tests
-, scipy
-, shiboken2
-, soqt
, spaceNavSupport ? stdenv.isLinux
+, stdenv
, swig
, vtk
-, wrapQtAppsHook
, wrapGAppsHook
, xercesc
, zlib
}:
+let
+ boost = python3Packages.boost;
+ inherit (libsForQt5)
+ qtbase
+ qttools
+ qtwebengine
+ qtx11extras
+ qtxmlpatterns
+ soqt
+ wrapQtAppsHook;
+ inherit (python3Packages)
+ gitpython
+ matplotlib
+ pivy
+ ply
+ pycollada
+ pyside2
+ pyside2-tools
+ python
+ pyyaml
+ scipy
+ shiboken2;
+in
stdenv.mkDerivation (finalAttrs: {
pname = "freecad";
version = "0.21.2";
diff --git a/pkgs/by-name/fr/freefilesync/curl-8.6.0.patch b/pkgs/by-name/fr/freefilesync/curl-8.6.0.patch
deleted file mode 100644
index 60004b3f1ba6..000000000000
--- a/pkgs/by-name/fr/freefilesync/curl-8.6.0.patch
+++ /dev/null
@@ -1,16 +0,0 @@
-diff --git a/libcurl/curl_wrap.cpp b/libcurl/curl_wrap.cpp
-index 11ac9dd..93edd44 100644
---- a/libcurl/curl_wrap.cpp
-+++ b/libcurl/curl_wrap.cpp
-@@ -401,9 +401,10 @@ std::wstring zen::formatCurlStatusCode(CURLcode sc)
- ZEN_CHECK_CASE_FOR_CONSTANT(CURLE_PROXY);
- ZEN_CHECK_CASE_FOR_CONSTANT(CURLE_SSL_CLIENTCERT);
- ZEN_CHECK_CASE_FOR_CONSTANT(CURLE_UNRECOVERABLE_POLL);
-+ ZEN_CHECK_CASE_FOR_CONSTANT(CURLE_TOO_LARGE);
- ZEN_CHECK_CASE_FOR_CONSTANT(CURL_LAST);
- }
-- static_assert(CURL_LAST == CURLE_UNRECOVERABLE_POLL + 1);
-+ static_assert(CURL_LAST == CURLE_TOO_LARGE + 1);
-
- return replaceCpy(L"Curl status %x", L"%x", numberTo(static_cast(sc)));
- }
diff --git a/pkgs/by-name/fr/freefilesync/package.nix b/pkgs/by-name/fr/freefilesync/package.nix
index 73a00b815987..eb8e8cf9b295 100644
--- a/pkgs/by-name/fr/freefilesync/package.nix
+++ b/pkgs/by-name/fr/freefilesync/package.nix
@@ -18,7 +18,7 @@
stdenv.mkDerivation (finalAttrs: {
pname = "freefilesync";
- version = "13.3";
+ version = "13.4";
src = fetchurl {
url = "https://freefilesync.org/download/FreeFileSync_${finalAttrs.version}_Source.zip";
@@ -27,7 +27,7 @@ stdenv.mkDerivation (finalAttrs: {
rm -f $out
tryDownload "$url"
'';
- hash = "sha256-mpCCecG1teBjIJqCzB3pGAQKT6t8bMKbK8KihMXOn3g=";
+ hash = "sha256-0c4HYlah9aHsMMyCz/TjgA59pTce4hogz5n6Xf9Myho=";
};
sourceRoot = ".";
@@ -56,14 +56,6 @@ stdenv.mkDerivation (finalAttrs: {
patch = "Disable_wxWidgets_uncaught_exception_handling.patch";
hash = "sha256-Fem7eDDKSqPFU/t12Jco8OmYC8FM9JgB4/QVy/ouvbI=";
})
- # Fix gui freeze
- (fetchDebianPatch {
- pname = "freefilesync";
- version = "13.3";
- debianRevision = "1";
- patch = "revert_buggy_gtk3_change_in_12.1.patch";
- hash = "sha256-eqush3zXxypQUxtO5110GoOJ30F5LZcF8XIC/Y8/fgM=";
- })
# Disable update patch
(fetchDebianPatch {
pname = "freefilesync";
@@ -72,8 +64,6 @@ stdenv.mkDerivation (finalAttrs: {
patch = "ffs_no_check_updates.patch";
hash = "sha256-lPyHpxhZz8BSnDI8QfAzKpKwVkp2jiF49RWjKNuZGII=";
})
- # Fix build with curl 8.6.0
- ./curl-8.6.0.patch
];
nativeBuildInputs = [
diff --git a/pkgs/by-name/fr/frequest/package.nix b/pkgs/by-name/fr/frequest/package.nix
new file mode 100644
index 000000000000..cd157115ecfa
--- /dev/null
+++ b/pkgs/by-name/fr/frequest/package.nix
@@ -0,0 +1,60 @@
+{ lib, stdenv, fetchFromGitHub, qt5 }:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "frequest";
+ version = "1.2a";
+
+ srcs = [
+ (fetchFromGitHub {
+ owner = "fabiobento512";
+ name = "frequest";
+ repo = "FRequest";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-fdn3MK5GWBOhJjpMtRaytO9EsVzz6KJknDhqWtAyXCc=";
+ })
+ # The application depends on hard-coded relative paths to ../CommonLibs and ../CommonUtils.
+ # See https://github.com/fabiobento512/FRequest/wiki/Building-FRequest for more info.
+ # Upstream provides no tags for these dependencies, use latest commit on their `master` branch.
+ # Changing the name of these srcs will break the build.
+ (fetchFromGitHub {
+ owner = "fabiobento512";
+ name = "CommonLibs";
+ repo = "CommonLibs";
+ rev = "d3906931bb06ddf4194ff711a59e1dcff80fa82f";
+ hash = "sha256-iLJJ95yJ+VjNPuk8fNEDvYBI0db0rcfJF12a9azGv1Y=";
+ })
+ (fetchFromGitHub {
+ owner = "fabiobento512";
+ name = "CommonUtils";
+ repo = "CommonUtils";
+ rev = "53970984f6538d78350be1b9426032bcb5bcf818";
+ hash = "sha256-nRv9DriSOuAiWhy+KkOVNEz5oSgNNNJZqk8sNwgbx8U=";
+ })
+ ];
+ sourceRoot = "frequest";
+
+ buildInputs = [
+ qt5.qtbase
+ ];
+
+ nativeBuildInputs = [
+ qt5.wrapQtAppsHook
+ qt5.qmake
+ ];
+
+ # Without this, nothing gets installed in $out.
+ postInstall = ''
+ install -D FRequest $out/bin/FRequest
+ install -D LinuxAppImageDeployment/frequest.desktop $out/share/applications/frequest.desktop
+ install -D LinuxAppImageDeployment/frequest_icon.png $out/share/icons/hicolor/128x128/apps/frequest_icon.png
+ '';
+
+ meta = {
+ description = "A fast, lightweight and opensource desktop application to make HTTP(s) requests";
+ homepage = "https://fabiobento512.github.io/FRequest";
+ license = lib.licenses.gpl3Plus;
+ maintainers = with lib.maintainers; [ eliandoran ];
+ platforms = lib.platforms.linux;
+ mainProgram = "frequest";
+ };
+})
diff --git a/pkgs/by-name/fr/fretboard/package.nix b/pkgs/by-name/fr/fretboard/package.nix
index 093ad1ac8d67..fa772d61867f 100644
--- a/pkgs/by-name/fr/fretboard/package.nix
+++ b/pkgs/by-name/fr/fretboard/package.nix
@@ -1,6 +1,7 @@
{ lib
, blueprint-compiler
, cargo
+, darwin
, desktop-file-utils
, fetchFromGitHub
, glib
@@ -17,19 +18,19 @@
stdenv.mkDerivation rec {
pname = "fretboard";
- version = "5.3";
+ version = "5.4";
src = fetchFromGitHub {
owner = "bragefuglseth";
- repo = pname;
+ repo = "fretboard";
rev = "v${version}";
- hash = "sha256-wwq4Xq6IVLF2hICk9HfCpfxpWer8PNWywD8p3wQdp6U=";
+ hash = "sha256-GqnwAB7hmg2QLwSWqrZtTp6+FybK8/v4GZx/lMi0dGY=";
};
cargoDeps = rustPlatform.fetchCargoTarball {
inherit src;
name = "${pname}-${version}";
- hash = "sha256-H/dAKaYHxRmldny8EoasrcDROZhLo5UbHPAoMicDehA=";
+ hash = "sha256-sGvb1+HKIqNSgCV9UzkCrkGrpjA34Pe9eq2/w3K/w/E=";
};
nativeBuildInputs = [
@@ -48,8 +49,14 @@ stdenv.mkDerivation rec {
glib
gtk4
libadwaita
+ ] ++ lib.optionals stdenv.isDarwin [
+ darwin.apple_sdk.frameworks.Foundation
];
+ env.NIX_CFLAGS_COMPILE = toString (lib.optionals stdenv.cc.isClang [
+ "-Wno-error=incompatible-function-pointer-types"
+ ]);
+
meta = with lib; {
description = "Look up guitar chords";
homepage = "https://github.com/bragefuglseth/fretboard";
@@ -57,6 +64,6 @@ stdenv.mkDerivation rec {
license = licenses.gpl3Plus;
maintainers = with maintainers; [ michaelgrahamevans ];
mainProgram = "fretboard";
- platforms = platforms.linux;
+ platforms = platforms.unix;
};
}
diff --git a/pkgs/by-name/fr/fritz-exporter/console-script.patch b/pkgs/by-name/fr/fritz-exporter/console-script.patch
deleted file mode 100644
index e13e78f2d184..000000000000
--- a/pkgs/by-name/fr/fritz-exporter/console-script.patch
+++ /dev/null
@@ -1,14 +0,0 @@
-diff --git a/pyproject.toml b/pyproject.toml
-index ffad1a4..e7551da 100644
---- a/pyproject.toml
-+++ b/pyproject.toml
-@@ -44,6 +44,9 @@ coverage = ">=6.4.4,<8.0.0"
- pytest-cov = ">=3,<5"
- ruff = "^0.1.7"
-
-+[tool.poetry.scripts]
-+fritzexporter = "fritzexporter.__main__:main"
-+
- [build-system]
- requires = ["poetry-core"]
- build-backend = "poetry.core.masonry.api"
diff --git a/pkgs/by-name/fr/fritz-exporter/package.nix b/pkgs/by-name/fr/fritz-exporter/package.nix
index 3306802b840f..f9b779f0eec5 100644
--- a/pkgs/by-name/fr/fritz-exporter/package.nix
+++ b/pkgs/by-name/fr/fritz-exporter/package.nix
@@ -5,21 +5,16 @@
python3.pkgs.buildPythonApplication rec {
pname = "fritz-exporter";
- version = "2.3.1";
+ version = "2.4.3";
pyproject = true;
src = fetchFromGitHub {
owner = "pdreker";
repo = "fritz_exporter";
rev = "fritzexporter-v${version}";
- hash = "sha256-Dv/2Og1OJV7canZ8Y5Pai5gPRUvcRDYmSGoD2pnAkSs=";
+ hash = "sha256-2A8hw2XkdxkauG+lMlKfObEvLHUQk79xWmlp0hlrXYM=";
};
- patches = [
- # https://github.com/pdreker/fritz_exporter/pull/282
- ./console-script.patch
- ];
-
postPatch = ''
# don't test coverage
sed -i "/^addopts/d" pyproject.toml
@@ -31,6 +26,7 @@ python3.pkgs.buildPythonApplication rec {
propagatedBuildInputs = with python3.pkgs; [
attrs
+ defusedxml
fritzconnection
prometheus-client
pyyaml
diff --git a/pkgs/applications/emulators/fs-uae/launcher.nix b/pkgs/by-name/fs/fsuae-launcher/package.nix
similarity index 73%
rename from pkgs/applications/emulators/fs-uae/launcher.nix
rename to pkgs/by-name/fs/fsuae-launcher/package.nix
index 51349182832a..da6eb5ed35b8 100644
--- a/pkgs/applications/emulators/fs-uae/launcher.nix
+++ b/pkgs/by-name/fs/fsuae-launcher/package.nix
@@ -1,33 +1,35 @@
{ lib
-, stdenv
, fetchurl
-, gettext
-, python3
-, wrapQtAppsHook
, fsuae
+, gettext
+, python3Packages
+, stdenv
+, libsForQt5
}:
stdenv.mkDerivation (finalAttrs: {
pname = "fs-uae-launcher";
- version = "3.1.68";
+ version = "3.1.70";
src = fetchurl {
url = "https://fs-uae.net/files/FS-UAE-Launcher/Stable/${finalAttrs.version}/fs-uae-launcher-${finalAttrs.version}.tar.xz";
- hash = "sha256-42EERC2yeODx0HPbwr4vmpN80z6WSWi3WzJMOT+OwDA=";
+ hash = "sha256-yvJ8sa44V13SEUJ6C9SgS+N2ZFH5+20TTL2ICY9A36c=";
};
nativeBuildInputs = [
gettext
- python3
- wrapQtAppsHook
+ python3Packages.python
+ libsForQt5.wrapQtAppsHook
];
- buildInputs = with python3.pkgs; [
+ buildInputs = with python3Packages; [
pyqt5
requests
setuptools
];
+ strictDeps = true;
+
makeFlags = [ "prefix=$(out)" ];
dontWrapQtApps = true;
@@ -47,8 +49,9 @@ stdenv.mkDerivation (finalAttrs: {
homepage = "https://fs-uae.net";
description = "Graphical front-end for the FS-UAE emulator";
license = lib.licenses.gpl2Plus;
+ mainProgram = "fs-uae-launcher";
maintainers = with lib.maintainers; [ sander AndersonTorres ];
- platforms = [ "i686-linux" "x86_64-linux" ];
+ platforms = with lib.systems.inspect;
+ patternLogicalAnd patterns.isx86 patterns.isLinux;
};
})
-
diff --git a/pkgs/applications/emulators/fs-uae/default.nix b/pkgs/by-name/fs/fsuae/package.nix
similarity index 76%
rename from pkgs/applications/emulators/fs-uae/default.nix
rename to pkgs/by-name/fs/fsuae/package.nix
index 8f22a86283ed..9d8beaad4114 100644
--- a/pkgs/applications/emulators/fs-uae/default.nix
+++ b/pkgs/by-name/fs/fsuae/package.nix
@@ -1,8 +1,7 @@
{ lib
-, stdenv
-, fetchFromGitHub
, SDL2
, autoreconfHook
+, fetchFromGitHub
, freetype
, gettext
, glib
@@ -13,6 +12,8 @@
, lua
, openal
, pkg-config
+, strip-nondeterminism
+, stdenv
, zip
, zlib
}:
@@ -31,6 +32,8 @@ stdenv.mkDerivation (finalAttrs:{
nativeBuildInputs = [
autoreconfHook
pkg-config
+ strip-nondeterminism
+ zip
];
buildInputs = [
@@ -44,10 +47,16 @@ stdenv.mkDerivation (finalAttrs:{
libmpeg2
lua
openal
- zip
zlib
];
+ strictDeps = true;
+
+ # Make sure that the build timestamp is not included in the archive
+ postFixup = ''
+ strip-nondeterminism --type zip $out/share/fs-uae/fs-uae.dat
+ '';
+
meta = {
homepage = "https://fs-uae.net";
description = "An accurate, customizable Amiga Emulator";
@@ -58,7 +67,9 @@ stdenv.mkDerivation (finalAttrs:{
Amigas.
'';
license = lib.licenses.gpl2Plus;
+ mainProgram = "fs-uae";
maintainers = with lib.maintainers; [ AndersonTorres ];
- platforms = [ "i686-linux" "x86_64-linux" ];
+ platforms = with lib.systems.inspect;
+ patternLogicalAnd patterns.isx86 patterns.isLinux;
};
})
diff --git a/pkgs/by-name/fw/fwupd/package.nix b/pkgs/by-name/fw/fwupd/package.nix
index 532ba9bb2537..6de468ab47b5 100644
--- a/pkgs/by-name/fw/fwupd/package.nix
+++ b/pkgs/by-name/fw/fwupd/package.nix
@@ -120,7 +120,7 @@ let
in
stdenv.mkDerivation (finalAttrs: {
pname = "fwupd";
- version = "1.9.13";
+ version = "1.9.15";
# libfwupd goes to lib
# daemon, plug-ins and libfwupdplugin go to out
@@ -131,7 +131,7 @@ stdenv.mkDerivation (finalAttrs: {
owner = "fwupd";
repo = "fwupd";
rev = finalAttrs.version;
- hash = "sha256-h2e9MFTb777xbNRlzKWXc5GUdu/BHSkJTaogEE5byjo=";
+ hash = "sha256-w0egw5FKNAOnIYjp2RUx74taivnClQmRfhaFHdKOGZc=";
};
patches = [
diff --git a/pkgs/by-name/fy/fypp/package.nix b/pkgs/by-name/fy/fypp/package.nix
index 7785b67eda26..fe6cd3267bbb 100644
--- a/pkgs/by-name/fy/fypp/package.nix
+++ b/pkgs/by-name/fy/fypp/package.nix
@@ -16,6 +16,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "Python powered Fortran preprocessor";
+ mainProgram = "fypp";
homepage = "https://github.com/aradi/fypp";
license = licenses.gpl3Only;
maintainers = [ maintainers.sheepforce ];
diff --git a/pkgs/by-name/g3/g3kb-switch/package.nix b/pkgs/by-name/g3/g3kb-switch/package.nix
new file mode 100644
index 000000000000..91994eb36624
--- /dev/null
+++ b/pkgs/by-name/g3/g3kb-switch/package.nix
@@ -0,0 +1,34 @@
+{ lib
+, stdenv
+, cmake
+, pkg-config
+, glib
+, fetchFromGitHub
+}:
+stdenv.mkDerivation rec {
+ pname = "g3kb-switch";
+ version = "1.4";
+ src = fetchFromGitHub {
+ owner = "lyokha";
+ repo = "g3kb-switch";
+ rev = version;
+ sha256 = "sha256-mcZduHcteZ+nS0YEZG5DfmpA8xrnLhwxumq6hLuLPIs=";
+ };
+
+ nativeBuildInputs = [
+ cmake
+ pkg-config
+ ];
+ buildInputs = [
+ glib
+ ];
+
+ meta = with lib; {
+ homepage = "https://github.com/lyokha/g3kb-switch";
+ description = "CLI keyboard layout switcher for GNOME Shell";
+ mainProgram = "g3kb-switch";
+ license = licenses.bsd2;
+ maintainers = with maintainers; [ Freed-Wu ];
+ platforms = platforms.unix;
+ };
+}
diff --git a/pkgs/by-name/ga/galaxis/package.nix b/pkgs/by-name/ga/galaxis/package.nix
new file mode 100644
index 000000000000..788a7453e20f
--- /dev/null
+++ b/pkgs/by-name/ga/galaxis/package.nix
@@ -0,0 +1,71 @@
+{ lib
+, asciidoctor
+, fetchFromGitLab
+, ncurses
+, stdenv
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "galaxis";
+ version = "1.11";
+
+ src = fetchFromGitLab {
+ owner = "esr";
+ repo = "galaxis";
+ rev = finalAttrs.version;
+ hash = "sha256-fSzifGoSdWyFGt99slzAsqCMDoeLbBqQGXujX8QAfGc=";
+ };
+
+ outputs = [ "out" "man" ];
+
+ nativeBuildInputs = [
+ asciidoctor
+ ];
+
+ buildInputs = [
+ ncurses
+ ];
+
+ strictDeps = true;
+
+ makeFlags = [
+ "CC=${stdenv.cc.targetPrefix}cc"
+ "galaxis"
+ "galaxis.6"
+ ];
+
+ postPatch = ''
+ sed -i -E '/[[:space:]]*xmlto/ s|xmlto|xmlto --skip-validation|' Makefile
+ '';
+
+ # This is better than sed-patch the Makefile
+ installPhase = ''
+ runHook preInstall
+ mkdir -p $out/bin $man/share/man/man6
+ install -Dm755 galaxis -t $out/bin/
+ install -Dm644 galaxis.6 -t $man/share/man/man6
+ runHook postInstall
+ '';
+
+ meta = {
+ description = "Rescue lifeboats lost in interstellar space";
+ longDescription = ''
+ Lifeboats from a crippled interstellar liner are adrift in a starfield. To
+ find them, you can place probes that look in all eight compass directions
+ and tell you how many lifeboats they see. If you drop a probe directly on
+ a lifeboat it will be revealed immediately. Your objective: find the
+ lifeboats as quickly as possible, before the stranded passengers run out
+ of oxygen!
+
+ This is a UNIX-hosted, curses-based clone of the nifty little Macintosh
+ freeware game Galaxis. It doesn't have the super-simple, point-and-click
+ interface of the original, but compensates by automating away some of the
+ game's simpler deductions.
+ '';
+ homepage = "http://catb.org/~esr/galaxis/";
+ license = with lib.licenses; [ gpl2Plus ];
+ mainProgram = "galaxis";
+ maintainers = with lib.maintainers; [ AndersonTorres ];
+ platforms = lib.platforms.linux;
+ };
+})
diff --git a/pkgs/by-name/ga/galleta/package.nix b/pkgs/by-name/ga/galleta/package.nix
index 9127d5293c3c..651a8eafa326 100644
--- a/pkgs/by-name/ga/galleta/package.nix
+++ b/pkgs/by-name/ga/galleta/package.nix
@@ -1,6 +1,7 @@
{ lib
, stdenv
, fetchzip
+, fetchpatch
}:
stdenv.mkDerivation (finalAttrs: {
@@ -12,8 +13,30 @@ stdenv.mkDerivation (finalAttrs: {
hash = "sha256-tc5XLToyQZutb51ZoBlGWXDpsSqdJ89bjzJwY8kRncA=";
};
+ patches = [
+ # fix some GCC warnings.
+ (fetchpatch {
+ url = "https://salsa.debian.org/pkg-security-team/galleta/-/raw/998470d8151b2f3a4bec71ae340c30f252d03a9b/debian/patches/10_fix-gcc-warnings.patch";
+ hash = "sha256-b8VJGSAoSnWteyUbC2Ue3tqkpho7gyn+E/yrN2O3G9c=";
+ })
+ # make Makefile compliant with Debian and add GCC hardening.
+ (fetchpatch {
+ url = "https://salsa.debian.org/pkg-security-team/galleta/-/raw/553c237a34995d9f7fc0383ee547d4f5cd004d5b/debian/patches/20_fix-makefile.patch";
+ hash = "sha256-+rnoTrlXtWl9zmZlkvqbJ+YlIXFCpKOqvxIkN8xxtsg=";
+ })
+ # Fix cross compilation.
+ # Galleta fails to cross build from source, because the upstream
+ # Makefile hard codes the build architecture compiler. The patch
+ # makes the compiler substitutable and galleta cross buildable.
+ (fetchpatch {
+ url = "https://salsa.debian.org/pkg-security-team/galleta/-/raw/f0f51a5a9e5adc0279f78872461fa57ee90d6842/debian/patches/30-fix-FTBS-cross-compilation.patch";
+ hash = "sha256-ZwymEVJy7KvLFvNOcVZqDtJPxEcpQBVg+u+G+kSDZBo=";
+ })
+ ];
+
makeFlags = [
"-C src"
+ "CC=cc"
];
enableParallelBuilding = true;
@@ -21,7 +44,7 @@ stdenv.mkDerivation (finalAttrs: {
installPhase = ''
runHook preInstall
mkdir -p $out/bin
- cp bin/galleta $out/bin
+ cp src/galleta $out/bin
runHook postInstall
'';
diff --git a/pkgs/by-name/ga/gamja/package.nix b/pkgs/by-name/ga/gamja/package.nix
new file mode 100644
index 000000000000..d72934038a8a
--- /dev/null
+++ b/pkgs/by-name/ga/gamja/package.nix
@@ -0,0 +1,37 @@
+{
+ lib,
+ fetchFromSourcehut,
+ buildNpmPackage,
+ writeText,
+ # https://git.sr.ht/~emersion/gamja/tree/master/doc/config-file.md
+ gamjaConfig ? null,
+}:
+buildNpmPackage rec {
+ pname = "gamja";
+ version = "1.0.0-beta.9";
+
+ src = fetchFromSourcehut {
+ owner = "~emersion";
+ repo = "gamja";
+ rev = "v${version}";
+ hash = "sha256-09rCj9oMzldRrxMGH4rUnQ6wugfhfmJP3rHET5b+NC8=";
+ };
+
+ npmDepsHash = "sha256-LxShwZacCctKAfMNCUMyrSaI1hIVN80Wseq/d8WITkc=";
+
+ installPhase = ''
+ runHook preInstall
+
+ cp -r dist $out
+ ${lib.optionalString (gamjaConfig != null) "cp ${writeText "gamja-config" (builtins.toJSON gamjaConfig)} $out/config.json"}
+
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ description = "A simple IRC web client";
+ homepage = "https://git.sr.ht/~emersion/gamja";
+ license = licenses.agpl3Only;
+ maintainers = with maintainers; [motiejus apfelkuchen6];
+ };
+}
diff --git a/pkgs/by-name/ga/gash/package.nix b/pkgs/by-name/ga/gash/package.nix
index 49dca7962907..cd6136dbc90f 100644
--- a/pkgs/by-name/ga/gash/package.nix
+++ b/pkgs/by-name/ga/gash/package.nix
@@ -27,6 +27,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "POSIX-compatible shell written in Guile Scheme";
+ mainProgram = "gash";
homepage = "https://savannah.nongnu.org/projects/gash/";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ wegank ];
diff --git a/pkgs/by-name/ga/gatus/package.nix b/pkgs/by-name/ga/gatus/package.nix
index bfd1f2c9685d..311d0ff8ce63 100644
--- a/pkgs/by-name/ga/gatus/package.nix
+++ b/pkgs/by-name/ga/gatus/package.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "gatus";
- version = "5.7.0";
+ version = "5.8.0";
src = fetchFromGitHub {
owner = "TwiN";
repo = "gatus";
rev = "v${version}";
- hash = "sha256-GG5p2sAIameGo6IFt3IBwFuLfVFRbfHjrQrG6Ei9odA=";
+ hash = "sha256-FFnrtdJiN7B27sJXzoGsbPKX3NeuHOtA34WQrw6pvEI=";
};
- vendorHash = "sha256-VYHBqVFXX7fUuW2UqPOlbRDEfcysYvjSlfm0UJ2mMGM=";
+ vendorHash = "sha256-VICVo7XYeHs/43knHA4CMSgHloyYSjOFe1TUb4u+egE=";
subPackages = [ "." ];
diff --git a/pkgs/by-name/gc/gcs/package.nix b/pkgs/by-name/gc/gcs/package.nix
index 3ded7802d674..406db2018624 100644
--- a/pkgs/by-name/gc/gcs/package.nix
+++ b/pkgs/by-name/gc/gcs/package.nix
@@ -59,11 +59,6 @@ buildGoModule rec {
flags = [ "-a -trimpath" ];
ldflags = [ "-s" "-w" "-X github.com/richardwilkes/toolbox/cmdline.AppVersion=${version}" ];
- # Workaround for https://github.com/NixOS/nixpkgs/issues/166205
- env = lib.optionalAttrs (stdenv.cc.libcxx != null) {
- NIX_LDFLAGS = "-l${stdenv.cc.libcxx.cxxabi.libName}";
- };
-
installPhase = ''
runHook preInstall
install -Dm755 $GOPATH/bin/gcs -t $out/bin
diff --git a/pkgs/by-name/ge/gerbolyze/package.nix b/pkgs/by-name/ge/gerbolyze/package.nix
new file mode 100644
index 000000000000..0e61a64dd94b
--- /dev/null
+++ b/pkgs/by-name/ge/gerbolyze/package.nix
@@ -0,0 +1,92 @@
+{ lib
+, stdenv
+, python3Packages
+, fetchFromGitHub
+, resvg
+}:
+
+let
+ version = "3.1.7";
+ src = fetchFromGitHub {
+ owner = "jaseg";
+ repo = "gerbolyze";
+ rev = "v${version}";
+ hash = "sha256-0iTelSlUJUafclRowwsUAoO44nc/AXaOKXnZKfKOIaE=";
+ fetchSubmodules = true;
+ };
+
+ svg-flatten = stdenv.mkDerivation rec {
+ inherit version src;
+ pname = "svg-flatten";
+
+ sourceRoot = "${src.name}/svg-flatten";
+
+ postPatch = ''
+ substituteInPlace Makefile \
+ --replace "$(INSTALL) $(BUILDDIR)/$(BINARY) $(PREFIX)/bin" \
+ "$(INSTALL) $(BUILDDIR)/$(BINARY) $(PREFIX)/bin/svg-flatten" \
+ '';
+
+ installPhase = ''
+ runHook preInstall
+ mkdir -p $out/bin
+ PREFIX=$out make install
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ description = "svg-flatten SVG downconverter";
+ homepage = "https://github.com/jaseg/gerbolyze";
+ license = with licenses; [ agpl3Plus ];
+ maintainers = with maintainers; [ wulfsta ];
+ mainProgram = "svg-flatten";
+ platforms = platforms.linux;
+ };
+ };
+in python3Packages.buildPythonApplication rec {
+ inherit version src;
+ pname = "gerbolyze";
+
+ format = "setuptools";
+
+ nativeBuildInputs = [
+ python3Packages.setuptools
+ ];
+
+ propagatedBuildInputs = [
+ python3Packages.beautifulsoup4
+ python3Packages.click
+ python3Packages.numpy
+ python3Packages.scipy
+ python3Packages.python-slugify
+ python3Packages.lxml
+ python3Packages.gerbonara
+ resvg
+ svg-flatten
+ ];
+
+ preConfigure = ''
+ # setup.py tries to execute a call to git in a subprocess, this avoids it.
+ substituteInPlace setup.py \
+ --replace "version = get_version()," \
+ "version = '${version}'," \
+
+ # setup.py tries to execute a call to git in a subprocess, this avoids it.
+ substituteInPlace setup.py \
+ --replace "long_description=format_readme_for_pypi()," \
+ "long_description='\n'.join(Path('README.rst').read_text().splitlines()),"
+ '';
+
+ pythonImportsCheck = [ "gerbolyze" ];
+
+ nativeCheckInputs = [ python3Packages.pytestCheckHook resvg svg-flatten ];
+
+ meta = with lib; {
+ description = "Directly render SVG overlays into Gerber and Excellon files";
+ homepage = "https://github.com/jaseg/gerbolyze";
+ license = with licenses; [ agpl3Plus ];
+ maintainers = with maintainers; [ wulfsta ];
+ mainProgram = "gerbolyze";
+ platforms = platforms.linux;
+ };
+}
diff --git a/pkgs/by-name/ge/get-google-fonts/package.nix b/pkgs/by-name/ge/get-google-fonts/package.nix
index 64c4197e8761..0939c43443e3 100644
--- a/pkgs/by-name/ge/get-google-fonts/package.nix
+++ b/pkgs/by-name/ge/get-google-fonts/package.nix
@@ -30,6 +30,7 @@ buildNpmPackage {
meta = with lib; {
description = "Downloads and adapts Google fonts to working offline";
+ mainProgram = "get-google-fonts";
homepage = "https://github.com/MrMaxie/get-google-fonts";
license = licenses.asl20;
maintainers = with maintainers; [ SuperSandro2000 ];
diff --git a/pkgs/by-name/ge/geticons/package.nix b/pkgs/by-name/ge/geticons/package.nix
index f3afda0f136d..5d8d7d2d1df8 100644
--- a/pkgs/by-name/ge/geticons/package.nix
+++ b/pkgs/by-name/ge/geticons/package.nix
@@ -20,6 +20,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "CLI utility to get icons for apps on your system or other generic icons by name";
+ mainProgram = "geticons";
homepage = "https://git.sr.ht/~zethra/geticons";
license = with licenses; [ gpl3Plus ];
maintainers = with maintainers; [ Madouura ];
diff --git a/pkgs/by-name/gg/gg/package.nix b/pkgs/by-name/gg/gg/package.nix
new file mode 100644
index 000000000000..c07dd9bc99d5
--- /dev/null
+++ b/pkgs/by-name/gg/gg/package.nix
@@ -0,0 +1,41 @@
+{ lib
+, buildGoModule
+, fetchFromGitHub
+, installShellFiles
+}:
+buildGoModule rec{
+ pname = "gg";
+ version = "0.2.18";
+
+ src = fetchFromGitHub {
+ owner = "mzz2017";
+ repo = "gg";
+ rev = "v${version}";
+ hash = "sha256-07fP3dVFs4MZrFOH/8/4e3LHjFGZd7pNu6J3LBOWAd8=";
+ };
+
+ vendorHash = "sha256-fnM4ycqDyruCdCA1Cr4Ki48xeQiTG4l5dLVuAafEm14=";
+
+ ldflags = [
+ "-s"
+ "-w"
+ ];
+
+ nativeBuildInputs = [ installShellFiles ];
+
+ postInstall = ''
+ installShellCompletion --cmd gg \
+ --bash completion/bash/gg \
+ --fish completion/fish/gg.fish \
+ --zsh completion/zsh/_gg
+ '';
+
+ meta = with lib; {
+ homepage = "https://github.com/mzz2017/gg";
+ description = "Command-line tool for one-click proxy in your research and development";
+ license = licenses.agpl3Only;
+ mainProgram = "gg";
+ maintainers = with maintainers; [ oluceps ];
+ platforms = platforms.linux;
+ };
+}
diff --git a/pkgs/by-name/gh/gh-copilot/package.nix b/pkgs/by-name/gh/gh-copilot/package.nix
new file mode 100644
index 000000000000..42f0f489bdda
--- /dev/null
+++ b/pkgs/by-name/gh/gh-copilot/package.nix
@@ -0,0 +1,59 @@
+{ stdenv
+, lib
+, fetchurl
+}:
+let
+ inherit (stdenv.hostPlatform) system;
+ throwSystem = throw "Unsupported system: ${system}";
+
+ systemToPlatform = {
+ "x86_64-linux" = {
+ name = "linux-amd64";
+ hash = "sha256-FKzvERcVYkyy1aNYHZIftC2WvSHRxFqSG/g7gpTTvoo=";
+ };
+ "aarch64-linux" = {
+ name = "linux-arm64";
+ hash = "sha256-4vX9On0upgfjM/IL/UzQj5ioeVnSsd2rUgIz6w4szZM=";
+ };
+ "x86_64-darwin" = {
+ name = "darwin-amd64";
+ hash = "sha256-W4ElKXsMo47dVRNJEnLzH2rpvkua56lj/NkJd3R8CCE=";
+ };
+ "aarch64-darwin" = {
+ name = "darwin-arm64";
+ hash = "sha256-F2OA66h/ptkjLZ2oQgkbZlDo31YDZzhk5Pre36TkHvI=";
+ };
+ };
+ platform = systemToPlatform.${system} or throwSystem;
+in
+stdenv.mkDerivation (finalAttrs: {
+ pname = "gh-copilot";
+ version = "0.5.4-beta";
+
+ src = fetchurl {
+ name = "gh-copilot";
+ url = "https://github.com/github/gh-copilot/releases/download/v${finalAttrs.version}/${platform.name}";
+ hash = platform.hash;
+ };
+
+ dontUnpack = true;
+
+ installPhase = ''
+ runHook preInstall
+
+ install -m755 -D $src $out/bin/gh-copilot
+
+ runHook postInstall
+ '';
+
+ meta = {
+ changelog = "https://github.com/github/gh-copilot/releases/tag/v${finalAttrs.version}";
+ description = "Ask for assistance right in your terminal.";
+ homepage = "https://github.com/github/gh-copilot";
+ license = lib.licenses.unfree;
+ mainProgram = "gh-copilot";
+ maintainers = with lib.maintainers; [ perchun ];
+ platforms = lib.attrNames systemToPlatform;
+ sourceProvenance = with lib.sourceTypes; [ binaryNativeCode ];
+ };
+})
diff --git a/pkgs/by-name/gh/gh-poi/package.nix b/pkgs/by-name/gh/gh-poi/package.nix
new file mode 100644
index 000000000000..e10a74a1c9c1
--- /dev/null
+++ b/pkgs/by-name/gh/gh-poi/package.nix
@@ -0,0 +1,33 @@
+{ lib
+, fetchFromGitHub
+, buildGoModule
+}:
+
+buildGoModule rec {
+ pname = "gh-poi";
+ version = "0.9.8";
+
+ src = fetchFromGitHub {
+ owner = "seachicken";
+ repo = "gh-poi";
+ rev = "v${version}";
+ hash = "sha256-QpUZxho9hzmgbCFgNxwwKi6hhfyqc4b/JYKH3rP4Eb8=";
+ };
+
+ ldflags = [ "-s" "-w" ];
+
+ vendorHash = "sha256-D/YZLwwGJWCekq9mpfCECzJyJ/xSlg7fC6leJh+e8i0=";
+
+ # Skip checks because some of test suites require fixture.
+ # See: https://github.com/seachicken/gh-poi/blob/v0.9.8/.github/workflows/contract-test.yml#L28-L29
+ doCheck = false;
+
+ meta = with lib; {
+ changelog = "https://github.com/seachicken/gh-poi/releases/tag/${src.rev}";
+ description = "GitHub CLI extension to safely clean up your local branches";
+ homepage = "https://github.com/seachicken/gh-poi";
+ license = licenses.mit;
+ maintainers = with maintainers; [ aspulse ];
+ mainProgram = "gh-poi";
+ };
+}
diff --git a/pkgs/by-name/gh/ghunt/package.nix b/pkgs/by-name/gh/ghunt/package.nix
index ff4e7340409f..337104efa42b 100644
--- a/pkgs/by-name/gh/ghunt/package.nix
+++ b/pkgs/by-name/gh/ghunt/package.nix
@@ -5,16 +5,23 @@
python3.pkgs.buildPythonApplication rec {
pname = "ghunt";
- version = "2.0.1";
- format = "setuptools";
+ version = "2.1.0";
+ pyproject = true;
src = fetchFromGitHub {
owner = "mxrch";
repo = "ghunt";
rev = "refs/tags/v${version}";
- hash = "sha256-7awLKX+1fVbufg3++lUUCZg4p07c2yGeefiPFcE1Ij4=";
+ hash = "sha256-UeHVATTyAH3Xdm/NVSUhiicM+tZ4UnLeJsy1jSLK3v8=";
};
+ pythonRelaxDeps = true;
+
+ nativeBuildInputs = with python3.pkgs; [
+ pythonRelaxDepsHook
+ setuptools
+ ];
+
propagatedBuildInputs = with python3.pkgs; [
alive-progress
autoslot
@@ -42,6 +49,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "Offensive Google framework";
+ mainProgram = "ghunt";
homepage = "https://github.com/mxrch/ghunt";
changelog = "https://github.com/mxrch/GHunt/releases/tag/v${version}";
license = licenses.agpl3Only;
diff --git a/pkgs/by-name/gi/gickup/package.nix b/pkgs/by-name/gi/gickup/package.nix
index b30e7fe11d8f..55ae72c6e94c 100644
--- a/pkgs/by-name/gi/gickup/package.nix
+++ b/pkgs/by-name/gi/gickup/package.nix
@@ -6,16 +6,16 @@
buildGoModule rec {
pname = "gickup";
- version = "0.10.26";
+ version = "0.10.28";
src = fetchFromGitHub {
owner = "cooperspencer";
repo = "gickup";
rev = "refs/tags/v${version}";
- hash = "sha256-GYYmoGNYiwarMZw1w8tdH8zKl19XQ2R+EaJFK8iacwI=";
+ hash = "sha256-IGzwMSpbGiUjlO7AtxL20m72VXYW3MJemLpO5BN2rMo=";
};
- vendorHash = "sha256-vyDzGho9vcdCmBP7keccp5w3tXWHlSaFoncS1hqnBoc=";
+ vendorHash = "sha256-sINmTwUERhxZ/qEAhKiJratWV6fDxrP21cJg97RBKVc=";
ldflags = ["-X main.version=${version}"];
diff --git a/pkgs/by-name/gi/git-agecrypt/package.nix b/pkgs/by-name/gi/git-agecrypt/package.nix
new file mode 100644
index 000000000000..146134463fe5
--- /dev/null
+++ b/pkgs/by-name/gi/git-agecrypt/package.nix
@@ -0,0 +1,38 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, rustPlatform
+, darwin
+, libgit2
+, git
+, pkg-config
+, zlib
+}:
+
+rustPlatform.buildRustPackage {
+ pname = "git-agecrypt";
+ version = "unstable-2024-03-11";
+
+ src = fetchFromGitHub {
+ owner = "vlaci";
+ repo = "git-agecrypt";
+ rev = "126be86c515466c5878a60561f754a9ab4af6ee8";
+ hash = "sha256-cmnBW/691mmLHq8tWpD3+zwCf7Wph5fcVdSxQGxqd1k=";
+ };
+
+ cargoHash = "sha256-FmlJeWMIIyTsg3TTLUia14et+aTgFCTkOr1J5dp0SGY=";
+
+ nativeBuildInputs = [ pkg-config git ];
+
+ buildInputs = [ libgit2 zlib ]
+ ++ lib.optional stdenv.isDarwin darwin.apple_sdk.frameworks.Security;
+
+
+ meta = with lib; {
+ description = "Alternative to git-crypt using age instead of GPG.";
+ homepage = "https://github.com/vlaci/git-agecrypt";
+ license = licenses.mpl20;
+ maintainers = with maintainers; [ kuznetsss ];
+ mainProgram = "git-agecrypt";
+ };
+}
diff --git a/pkgs/by-name/gi/git-instafix/package.nix b/pkgs/by-name/gi/git-instafix/package.nix
new file mode 100644
index 000000000000..b44411570091
--- /dev/null
+++ b/pkgs/by-name/gi/git-instafix/package.nix
@@ -0,0 +1,43 @@
+{ git
+, lib
+, libgit2
+, makeWrapper
+, rustPlatform
+, stdenv
+, fetchFromGitHub
+}:
+let
+ inherit
+ (lib)
+ licenses
+ maintainers
+ ;
+
+ version = "0.2.1";
+in
+rustPlatform.buildRustPackage {
+ pname = "git-instafix";
+ inherit version;
+
+ src = fetchFromGitHub {
+ owner = "quodlibetor";
+ repo = "git-instafix";
+ rev = "v${version}";
+ hash = "sha256-rWHiaZji3GECsiMqvEGC/tF+K29ZLlY6+TCNxtixHQo=";
+ };
+
+ cargoHash = "sha256-t5vlr3YxjRPqMHwPqVjWul4RdSg0hiTWUQxcJmGKiTQ=";
+
+ buildInputs = [ libgit2 ];
+ nativeCheckInputs = [ git ];
+
+ meta = {
+ description = "Quickly fix up an old commit using your currently-staged changes";
+ mainProgram = "git-instafix";
+ homepage = "https://github.com/quodlibetor/git-instafix";
+ license = with licenses; [ mit asl20 ];
+ maintainers = with maintainers; [ mightyiam ];
+ changelog = "https://github.com/quodlibetor/git-instafix/releases/tag/v${version}";
+ broken = stdenv.isDarwin;
+ };
+}
diff --git a/pkgs/by-name/gi/git-releaser/package.nix b/pkgs/by-name/gi/git-releaser/package.nix
index f5be82cec293..10cf95a2ec7e 100644
--- a/pkgs/by-name/gi/git-releaser/package.nix
+++ b/pkgs/by-name/gi/git-releaser/package.nix
@@ -6,16 +6,16 @@
buildGoModule rec {
pname = "git-releaser";
- version = "0.1.2";
+ version = "0.1.7";
src = fetchFromGitHub {
owner = "git-releaser";
repo = "git-releaser";
rev = "refs/tags/v${version}";
- hash = "sha256-rgnOXon68QMfVbyYhERy5z2pUlLCBwum7a/U9kdp5M0=";
+ hash = "sha256-bXW2/FpZnYV/zZ/DlaW2pUe2RUHLElPwqHm/J5gKJZI=";
};
- vendorHash = "sha256-O6Rqdf6yZvW8aix51oIziip+WcVIiyDZZ2VOQfwP8Fs=";
+ vendorHash = "sha256-RROA+nvdZnGfkUuB+ksUWGG16E8tqdyMQss2z/XWGd8=";
ldflags = [ "-X main.version=${version}" ];
diff --git a/pkgs/by-name/gi/git-together/package.nix b/pkgs/by-name/gi/git-together/package.nix
new file mode 100644
index 000000000000..1fd062760de0
--- /dev/null
+++ b/pkgs/by-name/gi/git-together/package.nix
@@ -0,0 +1,35 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, rustPlatform
+, openssl
+, pkg-config
+, darwin
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "git-together";
+ version = "v0.1.0-alpha.26";
+
+ src = fetchFromGitHub {
+ owner = "kejadlen";
+ repo = "git-together";
+ rev = version;
+ hash = "sha256-2HgOaqlX0mmmvRlALHm90NAdIhby/jWUJO63bQFqc+4=";
+ };
+
+ nativeBuildInputs = [ pkg-config ];
+ buildInputs = [ openssl ] ++ lib.optional stdenv.isDarwin darwin.Security;
+
+ OPENSSL_NO_VENDOR = true;
+
+ cargoHash = "sha256-mIkhXVuSgcsQf4be7NT0R8rkN9tdgim41gqjbq3ndPA=";
+
+ meta = with lib; {
+ description = "Better commit attribution while pairing without messing with your git workflow";
+ homepage = "https://github.com/kejadlen/git-together";
+ license = licenses.mit;
+ maintainers = with maintainers; [ sentientmonkey ];
+ mainProgram = "git-together";
+ };
+}
diff --git a/pkgs/by-name/gi/gitlab-ci-local/package.nix b/pkgs/by-name/gi/gitlab-ci-local/package.nix
new file mode 100644
index 000000000000..40466b99d2da
--- /dev/null
+++ b/pkgs/by-name/gi/gitlab-ci-local/package.nix
@@ -0,0 +1,41 @@
+{ buildNpmPackage
+, fetchFromGitHub
+, lib
+, nix-update-script
+}:
+
+buildNpmPackage rec {
+ pname = "gitlab-ci-local";
+ version = "4.47.0";
+
+ src = fetchFromGitHub {
+ owner = "firecow";
+ repo = "gitlab-ci-local";
+ rev = version;
+ hash = "sha256-AMqifAdC4aPra/KfM0Z8L1mF6+lA0dv9tt/cXSd3Ov4=";
+ };
+
+ npmDepsHash = "sha256-GVqGA4aMfA08j/+fy+DA6udi52lmfDeAkE59d9CMAqg=";
+
+ postPatch = ''
+ # remove cleanup which runs git commands
+ substituteInPlace package.json \
+ --replace-fail "npm run cleanup" "true"
+ '';
+
+ passthru.updateScript = nix-update-script { };
+
+ meta = with lib;{
+ description = "Run gitlab pipelines locally as shell executor or docker executor";
+ mainProgram = "gitlab-ci-local";
+ longDescription = ''
+ Tired of pushing to test your .gitlab-ci.yml?
+ Run gitlab pipelines locally as shell executor or docker executor.
+ Get rid of all those dev specific shell scripts and make files.
+ '';
+ homepage = "https://github.com/firecow/gitlab-ci-local";
+ license = licenses.mit;
+ maintainers = with maintainers; [ pineapplehunter ];
+ platforms = platforms.all;
+ };
+}
diff --git a/pkgs/by-name/gi/gitu/package.nix b/pkgs/by-name/gi/gitu/package.nix
new file mode 100644
index 000000000000..c990d82bd1ce
--- /dev/null
+++ b/pkgs/by-name/gi/gitu/package.nix
@@ -0,0 +1,50 @@
+{ lib
+, rustPlatform
+, fetchFromGitHub
+, pkg-config
+, libgit2
+, openssl
+, zlib
+, stdenv
+, darwin
+, git
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "gitu";
+ version = "0.8.0";
+
+ src = fetchFromGitHub {
+ owner = "altsem";
+ repo = "gitu";
+ rev = "v${version}";
+ hash = "sha256-L5i+CkU4J/qLJ2zk9QJ6KCuF4qOzKidWSzVOVvRNG20=";
+ };
+
+ cargoHash = "sha256-g65nbBhBZe6q5A2OQaWuiM6RRjxL6qom9ht8m+BmROk=";
+
+ nativeBuildInputs = [
+ pkg-config
+ ];
+
+ buildInputs = [
+ libgit2
+ openssl
+ zlib
+ ] ++ lib.optionals stdenv.isDarwin [
+ darwin.apple_sdk.frameworks.Security
+ ];
+
+ nativeCheckInputs = [
+ git
+ ];
+
+ meta = with lib; {
+ description = "A TUI Git client inspired by Magit";
+ homepage = "https://github.com/altsem/gitu";
+ changelog = "https://github.com/altsem/gitu/blob/${src.rev}/CHANGELOG.md";
+ license = licenses.mit;
+ maintainers = with maintainers; [ evanrichter ];
+ mainProgram = "gitu";
+ };
+}
diff --git a/pkgs/by-name/gl/glasskube/package.nix b/pkgs/by-name/gl/glasskube/package.nix
new file mode 100644
index 000000000000..e9130bb321da
--- /dev/null
+++ b/pkgs/by-name/gl/glasskube/package.nix
@@ -0,0 +1,47 @@
+{ lib
+, buildGoModule
+, fetchFromGitHub
+, nix-update-script
+, installShellFiles
+}:
+
+buildGoModule rec {
+ pname = "glasskube";
+ version = "0.0.4";
+
+ src = fetchFromGitHub {
+ owner = "glasskube";
+ repo = "glasskube";
+ rev = "refs/tags/v${version}";
+ hash = "sha256-+5SinF85bU113C9B025DM83v8ApaXqLV4n1P9zZP3ns=";
+ };
+
+ vendorHash = "sha256-DBqO2EyB1TydsdK2GWJoFGGgTS+E62GogysPX4WtzYU=";
+
+ CGO_ENABLED = 0;
+
+ ldflags = [ "-s" "-w" "-X github.com/glasskube/glasskube/internal/config.Version=${version}" "-X github.com/glasskube/glasskube/internal/config.Commit=${src.rev}" ];
+
+ subPackages = [ "cmd/${pname}" "cmd/package-operator" ];
+
+ nativeBuildInputs = [ installShellFiles ];
+
+ postInstall = ''
+ # Completions
+ installShellCompletion --cmd glasskube \
+ --bash <($out/bin/glasskube completion bash) \
+ --fish <($out/bin/glasskube completion fish) \
+ --zsh <($out/bin/glasskube completion zsh)
+ '';
+
+ passthru.updateScript = nix-update-script { };
+
+ meta = with lib; {
+ description = "The missing Package Manager for Kubernetes featuring a GUI and a CLI";
+ homepage = "https://github.com/glasskube/glasskube";
+ changelog = "https://github.com/glasskube/glasskube/releases/tag/v${version}";
+ maintainers = with maintainers; [ jakuzure ];
+ license = licenses.asl20;
+ mainProgram = "glasskube";
+ };
+}
diff --git a/pkgs/by-name/gl/glauth/package.nix b/pkgs/by-name/gl/glauth/package.nix
index 97fc0be03e18..f049321e114b 100644
--- a/pkgs/by-name/gl/glauth/package.nix
+++ b/pkgs/by-name/gl/glauth/package.nix
@@ -7,13 +7,13 @@
buildGoModule rec {
pname = "glauth";
- version = "2.3.1";
+ version = "2.3.2";
src = fetchFromGitHub {
owner = "glauth";
repo = "glauth";
rev = "v${version}";
- hash = "sha256-OkkiB1AGO7r7ehpnSJ+cB00crVpZ5Cwy4rAT55LUUdE=";
+ hash = "sha256-FOhtL8nIm5kuKRxFtkrDyUU2z1K22ZdHaes3GY0KmfQ=";
};
vendorHash = "sha256-MfauZRufl3kxr1fqatxTmiIvLJ+5JhbpSnbTHiujME8=";
diff --git a/pkgs/by-name/gl/glide-media-player/package.nix b/pkgs/by-name/gl/glide-media-player/package.nix
index dc2475c768d6..4ccb8197eca5 100644
--- a/pkgs/by-name/gl/glide-media-player/package.nix
+++ b/pkgs/by-name/gl/glide-media-player/package.nix
@@ -14,30 +14,33 @@
, gst_all_1
, glib-networking
, darwin
-, libsoup_3
}:
stdenv.mkDerivation rec {
pname = "glide-media-player";
- version = "0.6.1";
+ version = "0.6.2";
src = fetchFromGitHub {
owner = "philn";
repo = "glide";
rev = version;
- hash = "sha256-dIXuWaoTeyVBhzr6VWxYBsn+CnUYG/KzhzNJtLLdRuI=";
+ hash = "sha256-SN/1Yf4fHlDbJ2X6DGktsn1GFW8bbkeznlO1S8sBZyg=";
};
cargoDeps = rustPlatform.fetchCargoTarball {
inherit src;
name = "${pname}-${version}";
- hash = "sha256-azvxW40fuKuF/N0qwzofFk1bZiNxyTN6YBFU5qHQkCA=";
+ hash = "sha256-2Ma7ZAKFiAQXFWFze4RLwGu33d/vC6FVW6fJdqwED20=";
};
postPatch = ''
substituteInPlace scripts/meson_post_install.py \
- --replace "gtk-update-icon-cache" "gtk4-update-icon-cache"
- patchShebangs --build scripts/meson_post_install.py
+ --replace-warn "gtk-update-icon-cache" "gtk4-update-icon-cache"
+ substituteInPlace data/net.baseart.Glide.desktop \
+ --replace-warn "Icon=net.baseart.Glide.svg" "Icon=net.baseart.Glide"
+ patchShebangs --build \
+ scripts/meson_post_install.py \
+ build-aux/cargo-build.py
'' + lib.optionalString stdenv.isDarwin ''
sed -i "/wayland,x11egl,x11glx/d" meson.build
'';
@@ -65,12 +68,6 @@ stdenv.mkDerivation rec {
darwin.apple_sdk_11_0.frameworks.IOKit
];
- # FIXME: gst-plugins-good missing libsoup breaks streaming
- # (https://github.com/nixos/nixpkgs/issues/271960)
- preFixup = ''
- gappsWrapperArgs+=(--prefix LD_LIBRARY_PATH : "${lib.makeLibraryPath [ libsoup_3 ]}")
- '';
-
meta = with lib; {
description = "Linux/macOS media player based on GStreamer and GTK";
homepage = "https://philn.github.io/glide";
diff --git a/pkgs/by-name/gm/gmetronome/package.nix b/pkgs/by-name/gm/gmetronome/package.nix
new file mode 100644
index 000000000000..64d0c19a966c
--- /dev/null
+++ b/pkgs/by-name/gm/gmetronome/package.nix
@@ -0,0 +1,43 @@
+{ lib
+, stdenv
+, fetchFromGitLab
+, pkg-config
+, autoreconfHook
+, wrapGAppsHook
+, gtkmm3
+, libpulseaudio
+}:
+
+stdenv.mkDerivation rec {
+ pname = "gmetronome";
+ version = "0.3.3";
+
+ src = fetchFromGitLab {
+ domain = "gitlab.gnome.org";
+ owner = "dqpb";
+ repo = "gmetronome";
+ rev = version;
+ hash = "sha256-ilFO1HwleWIQ51Bkzck1sm1Yu3ugqkvZrpxPOYzXydM=";
+ };
+
+ nativeBuildInputs = [
+ pkg-config
+ autoreconfHook
+ wrapGAppsHook
+ ];
+
+ buildInputs = [
+ gtkmm3
+ libpulseaudio
+ ];
+
+ meta = with lib; {
+ description = "A free software metronome and tempo measurement tool";
+ homepage = "https://gitlab.gnome.org/dqpb/gmetronome";
+ license = licenses.gpl3Plus;
+ platforms = platforms.unix;
+ maintainers = with maintainers; [ aleksana ];
+ mainProgram = "gmetronome";
+ broken = stdenv.isDarwin;
+ };
+}
diff --git a/pkgs/tools/graphics/gmic-qt/default.nix b/pkgs/by-name/gm/gmic-qt/package.nix
similarity index 84%
rename from pkgs/tools/graphics/gmic-qt/default.nix
rename to pkgs/by-name/gm/gmic-qt/package.nix
index a67479e4ffd5..48e4d24ef4c3 100644
--- a/pkgs/tools/graphics/gmic-qt/default.nix
+++ b/pkgs/by-name/gm/gmic-qt/package.nix
@@ -1,10 +1,9 @@
{ lib
-, stdenv
-, fetchzip
, cimg
, cmake
, coreutils
, curl
+, fetchzip
, fftw
, gimp
, gimpPlugins
@@ -14,14 +13,13 @@
, graphicsmagick
, libjpeg
, libpng
+, libsForQt5
, libtiff
, ninja
, nix-update
, openexr
, pkg-config
-, qtbase
-, qttools
-, wrapQtAppsHook
+, stdenv
, writeShellScript
, zlib
, variant ? "standalone"
@@ -38,6 +36,7 @@ let
};
standalone = {
+ extraDeps = []; # Just to keep uniformity and avoid test-for-null
description = "Versatile front-end to the image processing framework G'MIC";
};
};
@@ -49,42 +48,41 @@ assert lib.assertMsg
"gmic-qt variant \"${variant}\" is not supported. Please use one of ${lib.concatStringsSep ", " (builtins.attrNames variants)}.";
assert lib.assertMsg
- (builtins.all (d: d != null) variants.${variant}.extraDeps or [])
+ (builtins.all (d: d != null) variants.${variant}.extraDeps)
"gmic-qt variant \"${variant}\" is missing one of its dependencies.";
stdenv.mkDerivation (finalAttrs: {
pname = "gmic-qt${lib.optionalString (variant != "standalone") "-${variant}"}";
- version = "3.3.3";
+ version = "3.3.5";
src = fetchzip {
url = "https://gmic.eu/files/source/gmic_${finalAttrs.version}.tar.gz";
- hash = "sha256-LkWQ3fSHJSaXztX+soGZ+pl3MnXNgw6tV09356bAfYY=";
+ hash = "sha256-71i8vk9XR6Q8SSEWvGXMcAOIE6DoIVJkylS4SiZLUBY=";
};
+ sourceRoot = "${finalAttrs.src.name}/gmic-qt";
+
nativeBuildInputs = [
cmake
- pkg-config
+ libsForQt5.wrapQtAppsHook
ninja
- wrapQtAppsHook
+ pkg-config
];
buildInputs = [
+ curl
+ fftw
gmic
+ graphicsmagick
+ libjpeg
+ libpng
+ libtiff
+ openexr
+ zlib
+ ] ++ (with libsForQt5; [
qtbase
qttools
- fftw
- zlib
- libjpeg
- libtiff
- libpng
- openexr
- graphicsmagick
- curl
- ] ++ variants.${variant}.extraDeps or [];
-
- preConfigure = ''
- cd gmic-qt
- '';
+ ]) ++ variants.${variant}.extraDeps;
postPatch = ''
patchShebangs \
@@ -93,9 +91,9 @@ stdenv.mkDerivation (finalAttrs: {
'';
cmakeFlags = [
- (lib.cmakeFeature "GMIC_QT_HOST" (if variant == "standalone" then "none" else variant))
- (lib.cmakeBool "ENABLE_SYSTEM_GMIC" true)
(lib.cmakeBool "ENABLE_DYNAMIC_LINKING" true)
+ (lib.cmakeBool "ENABLE_SYSTEM_GMIC" true)
+ (lib.cmakeFeature "GMIC_QT_HOST" (if variant == "standalone" then "none" else variant))
];
postFixup = lib.optionalString (variant == "gimp") ''
@@ -105,8 +103,8 @@ stdenv.mkDerivation (finalAttrs: {
passthru = {
tests = {
+ # They need to be update in lockstep.
gimp-plugin = gimpPlugins.gmic;
- # Needs to update them all in lockstep.
inherit cimg gmic;
};
@@ -134,10 +132,7 @@ stdenv.mkDerivation (finalAttrs: {
inherit (variants.${variant}) description;
license = lib.licenses.gpl3Plus;
mainProgram = "gmic_qt";
- maintainers = [
- lib.maintainers.AndersonTorres
- lib.maintainers.lilyinstarlight
- ];
+ maintainers = with lib.maintainers; [ AndersonTorres lilyinstarlight ];
platforms = lib.platforms.unix;
};
})
diff --git a/pkgs/by-name/gm/gmic/package.nix b/pkgs/by-name/gm/gmic/package.nix
index d505faa8f4b6..70c5a29d737f 100644
--- a/pkgs/by-name/gm/gmic/package.nix
+++ b/pkgs/by-name/gm/gmic/package.nix
@@ -26,7 +26,7 @@
stdenv.mkDerivation (finalAttrs: {
pname = "gmic";
- version = "3.3.1";
+ version = "3.3.5";
outputs = [ "out" "lib" "dev" "man" ];
@@ -34,15 +34,15 @@ stdenv.mkDerivation (finalAttrs: {
owner = "GreycLab";
repo = "gmic";
rev = "v.${finalAttrs.version}";
- hash = "sha256-HagGabJ1jkg5SkMlr0Y5rGFw64jPW8QLuR0I2idM1N0=";
+ hash = "sha256-881+o6Wz4yNf92JNNLQn9x44SSjXAp/cZLkBGCfM6DY=";
};
# TODO: build this from source
- # Reference: src/Makefile, directive gmic_stdlib.h
+ # Reference: src/Makefile, directive gmic_stdlib_community.h
gmic_stdlib = fetchurl {
- name = "gmic_stdlib.h";
- url = "http://gmic.eu/gmic_stdlib${lib.replaceStrings ["."] [""] finalAttrs.version}.h";
- hash = "sha256-7JzFU4HvAtC5Nz5vusKCnJ8VMuKfSi1yFmjj0Hh+vA4=";
+ name = "gmic_stdlib_community.h";
+ url = "http://gmic.eu/gmic_stdlib_community${lib.replaceStrings ["."] [""] finalAttrs.version}.h";
+ hash = "sha256-UZzCAs+x9dVMeaeEvPgyVZ5S6UO0yhJWVMgBvBiW2ME=";
};
nativeBuildInputs = [
@@ -71,10 +71,7 @@ stdenv.mkDerivation (finalAttrs: {
];
postPatch = ''
- cp -r ${finalAttrs.gmic_stdlib} src/gmic_stdlib.h
-
- # CMake build files were moved to subdirectory.
- mv resources/CMakeLists.txt resources/cmake .
+ cp -r ${finalAttrs.gmic_stdlib} src/gmic_stdlib_community.h
''
+ lib.optionalString stdenv.isDarwin ''
substituteInPlace CMakeLists.txt \
@@ -114,6 +111,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
homepage = "https://gmic.eu/";
description = "Open and full-featured framework for image processing";
+ mainProgram = "gmic";
license = lib.licenses.cecill21;
maintainers = [
lib.maintainers.AndersonTorres
diff --git a/pkgs/by-name/gn/gnome-graphs/package.nix b/pkgs/by-name/gn/gnome-graphs/package.nix
new file mode 100644
index 000000000000..fb8f5bc1ae43
--- /dev/null
+++ b/pkgs/by-name/gn/gnome-graphs/package.nix
@@ -0,0 +1,71 @@
+{ lib
+, python3Packages
+, fetchFromGitLab
+, meson
+, ninja
+, vala
+, pkg-config
+, gobject-introspection
+, blueprint-compiler
+, wrapGAppsHook4
+, desktop-file-utils
+, shared-mime-info
+, libadwaita
+}:
+
+python3Packages.buildPythonApplication rec {
+ pname = "gnome-graphs";
+ version = "1.7.2";
+ pyproject = false;
+
+ src = fetchFromGitLab {
+ domain = "gitlab.gnome.org";
+ owner = "World";
+ repo = "Graphs";
+ rev = "v${version}";
+ hash = "sha256-CgCLOkKrMEN0Jnib5NZyVa+s3ico2ANt0ALGa4we3Ak=";
+ };
+
+ nativeBuildInputs = [
+ meson
+ ninja
+ vala
+ pkg-config
+ gobject-introspection
+ blueprint-compiler
+ wrapGAppsHook4
+ desktop-file-utils
+ shared-mime-info
+ ];
+
+ buildInputs = [
+ libadwaita
+ ];
+
+ propagatedBuildInputs = with python3Packages; [
+ pygobject3
+ numpy
+ numexpr
+ sympy
+ scipy
+ matplotlib
+ ];
+
+ dontWrapGApps = true;
+
+ preFixup = ''
+ makeWrapperArgs+=(
+ "''${gappsWrapperArgs[@]}"
+ --prefix LD_LIBRARY_PATH : $out/lib
+ )
+ '';
+
+ meta = with lib; {
+ description = "A simple, yet powerful tool that allows you to plot and manipulate your data with ease";
+ homepage = "https://apps.gnome.org/Graphs";
+ license = licenses.gpl3Plus;
+ mainProgram = "graphs";
+ maintainers = with maintainers; [ aleksana ];
+ platforms = platforms.linux; # locale.bindtextdomain only available on linux
+ };
+}
diff --git a/pkgs/by-name/gn/gnucap/modelgen-verilog.nix b/pkgs/by-name/gn/gnucap/modelgen-verilog.nix
new file mode 100644
index 000000000000..c22cd368bee6
--- /dev/null
+++ b/pkgs/by-name/gn/gnucap/modelgen-verilog.nix
@@ -0,0 +1,37 @@
+{ lib
+, stdenv
+, fetchurl
+, gnucap
+}:
+
+stdenv.mkDerivation rec {
+ pname = "gnucap-modelgen-verilog";
+ version = "20240130-dev";
+
+ src = fetchurl {
+ url = "https://git.savannah.gnu.org/cgit/gnucap/gnucap-modelgen-verilog.git/snapshot/${pname}-${version}.tar.gz";
+ hash = "sha256-7w0eWUJKVRYFicQgDvKrJTkZ6fzgwxvcCKj78KrHj8E=";
+ };
+
+ propagatedBuildInputs = [ gnucap ];
+
+ doCheck = true;
+
+ preInstall = ''
+ export GNUCAP_EXEC_PREFIX=$out
+ export GNUCAP_DATA=$out/share/gnucap
+ mkdir -p $out/include/gnucap
+ export GNUCAP_INCLUDEDIR=$out/include/gnucap
+ export GNUCAP_PKGLIBDIR=$out/lib/gnucap
+ '';
+
+ meta = with lib; {
+ description = "gnucap modelgen to preprocess, parse and dump vams files.";
+ homepage = "http://www.gnucap.org/";
+ changelog = "https://git.savannah.gnu.org/cgit/gnucap.git/plain/NEWS?h=v${version}";
+ mainProgram = "gnucap-mg-vams";
+ license = licenses.gpl3Plus;
+ platforms = platforms.all;
+ maintainers = [ maintainers.raboof ];
+ };
+}
diff --git a/pkgs/by-name/gn/gnucap/package.nix b/pkgs/by-name/gn/gnucap/package.nix
new file mode 100644
index 000000000000..d50e6eb8712d
--- /dev/null
+++ b/pkgs/by-name/gn/gnucap/package.nix
@@ -0,0 +1,73 @@
+{ lib
+, stdenv
+, fetchurl
+, readline
+, termcap
+, gnucap
+, callPackage
+, writeScript
+}:
+
+let
+ version = "20240130-dev";
+ meta = with lib; {
+ description = "Gnu Circuit Analysis Package";
+ longDescription = ''
+Gnucap is a modern general purpose circuit simulator with several advantages over Spice derivatives.
+It performs nonlinear dc and transient analyses, fourier analysis, and ac analysis.
+ '';
+ homepage = "http://www.gnucap.org/";
+ changelog = "https://git.savannah.gnu.org/cgit/gnucap.git/plain/NEWS?h=v${version}";
+ license = licenses.gpl3Plus;
+ platforms = platforms.all;
+ broken = stdenv.isDarwin; # Relies on LD_LIBRARY_PATH
+ maintainers = [ maintainers.raboof ];
+ mainProgram = "gnucap";
+ };
+in
+stdenv.mkDerivation rec {
+ pname = "gnucap";
+ inherit version;
+
+ src = fetchurl {
+ url = "https://git.savannah.gnu.org/cgit/gnucap.git/snapshot/${pname}-${version}.tar.gz";
+ hash = "sha256-MUCtGw3BxGWgXgUwzklq5T1y9kjBTnFBa0/GK0hhl0E=";
+ };
+
+ buildInputs = [
+ readline
+ termcap
+ ];
+
+ doCheck = true;
+
+ inherit meta;
+} // {
+ plugins = callPackage ./plugins.nix {};
+ withPlugins = p:
+ let
+ selectedPlugins = p gnucap.plugins;
+ wrapper = writeScript "gnucap" ''
+ export GNUCAP_PLUGPATH=${gnucap}/lib/gnucap
+ for plugin in ${builtins.concatStringsSep " " selectedPlugins}; do
+ export GNUCAP_PLUGPATH=$plugin/lib/gnucap:$GNUCAP_PLUGPATH
+ done
+ ${lib.getExe gnucap}
+ '';
+ in
+ stdenv.mkDerivation {
+ pname = "gnucap-with-plugins";
+ inherit version;
+
+ propagatedBuildInputs = selectedPlugins;
+
+ phases = [ "installPhase" "fixupPhase" ];
+
+ installPhase = ''
+ mkdir -p $out/bin
+ cp ${wrapper} $out/bin/gnucap
+ '';
+
+ inherit meta;
+ };
+}
diff --git a/pkgs/by-name/gn/gnucap/plugins.nix b/pkgs/by-name/gn/gnucap/plugins.nix
new file mode 100644
index 000000000000..fbdcd9548b33
--- /dev/null
+++ b/pkgs/by-name/gn/gnucap/plugins.nix
@@ -0,0 +1,6 @@
+{ callPackage
+}:
+
+{
+ verilog = callPackage ./modelgen-verilog.nix {};
+}
diff --git a/pkgs/by-name/go/go-camo/package.nix b/pkgs/by-name/go/go-camo/package.nix
index cae992068712..4080f17577df 100644
--- a/pkgs/by-name/go/go-camo/package.nix
+++ b/pkgs/by-name/go/go-camo/package.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "go-camo";
- version = "2.4.8";
+ version = "2.4.10";
src = fetchFromGitHub {
owner = "cactus";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-Y2Zhr8MhIN13AYMq0t9QASfd2Mgp4tiFmrpc6VTIUq0=";
+ sha256 = "sha256-cWML306a4mI1O99Mf58reGBNEqot9lG+i3mgU9jVhuk=";
};
- vendorHash = "sha256-O3JatOmQrNZRxKa9dTYQpVoPUIuFIbnEXpak3PXJquA=";
+ vendorHash = "sha256-Xj9bPxv3/GarNdNSCiMbZo2/brSkLQ1nlZtFMxlpWT4=";
ldflags = [ "-s" "-w" "-X=main.ServerVersion=${version}" ];
diff --git a/pkgs/by-name/go/go-critic/package.nix b/pkgs/by-name/go/go-critic/package.nix
index 82299f5ae7a0..ea5912541063 100644
--- a/pkgs/by-name/go/go-critic/package.nix
+++ b/pkgs/by-name/go/go-critic/package.nix
@@ -8,16 +8,16 @@
buildGoModule rec {
pname = "go-critic";
- version = "0.11.0";
+ version = "0.11.2";
src = fetchFromGitHub {
owner = "go-critic";
repo = "go-critic";
rev = "v${version}";
- hash = "sha256-jL/z1GtHmEbS8vsIYG1jEZOxySXqU92WIq9p+GDTP8E=";
+ hash = "sha256-xej9ROsJYrjvlitxnAjUKPsp0kb8INvFnkdNfYiycz8=";
};
- vendorHash = "sha256-qQO4JWMU8jfc64CBPaMRYRbUsgLQZx9P5AKbSPyHnRE=";
+ vendorHash = "sha256-pYdnZjCGx+skF/kqA1QO3NuVqOfsMJNVhFBpwtdZhIA=";
subPackages = [
"cmd/gocritic"
diff --git a/pkgs/by-name/go/go-errorlint/package.nix b/pkgs/by-name/go/go-errorlint/package.nix
new file mode 100644
index 000000000000..5c67519c4ea5
--- /dev/null
+++ b/pkgs/by-name/go/go-errorlint/package.nix
@@ -0,0 +1,29 @@
+{ lib
+, buildGoModule
+, fetchFromGitHub
+}:
+
+buildGoModule rec {
+ pname = "go-errorlint";
+ version = "1.4.5";
+
+ src = fetchFromGitHub {
+ owner = "polyfloyd";
+ repo = "go-errorlint";
+ rev = "v${version}";
+ hash = "sha256-BU+3sLUGBCFA1JYFxTEyIan+iWB7Y7SaMFVomfNObMg=";
+ };
+
+ vendorHash = "sha256-xn7Ou4l8vbPD44rsN0mdFjTzOvkfv6QN6i5XR1XPxTE=";
+
+ ldflags = [ "-s" "-w" ];
+
+ meta = with lib; {
+ description = "A source code linter that can be used to find code that will cause problems with Go's error wrapping scheme";
+ homepage = "https://github.com/polyfloyd/go-errorlint";
+ changelog = "https://github.com/polyfloyd/go-errorlint/blob/${src.rev}/CHANGELOG.md";
+ license = licenses.mit;
+ maintainers = with maintainers; [ meain ];
+ mainProgram = "go-errorlint";
+ };
+}
diff --git a/pkgs/tools/networking/godns/default.nix b/pkgs/by-name/go/godns/package.nix
similarity index 51%
rename from pkgs/tools/networking/godns/default.nix
rename to pkgs/by-name/go/godns/package.nix
index c50fa68a068b..0e5e155523d6 100644
--- a/pkgs/tools/networking/godns/default.nix
+++ b/pkgs/by-name/go/godns/package.nix
@@ -1,25 +1,50 @@
{ lib
, buildGoModule
, fetchFromGitHub
+, nodejs
+, npmHooks
+, fetchNpmDeps
, nix-update-script
}:
buildGoModule rec {
pname = "godns";
- version = "3.0.7";
+ version = "3.1.5";
src = fetchFromGitHub {
owner = "TimothyYe";
repo = "godns";
rev = "refs/tags/v${version}";
- hash = "sha256-7zgvrEVt8xg54NijcqnXoZcXetzOu9h3Ucw7w03YagU=";
+ hash = "sha256-kdClyeU0hR0ymVLn9xe/kYVJE/9P/hAz/5UwRAQ2KCU=";
};
- vendorHash = "sha256-veDrGB6gjUa8G/UyKzEgH2ItGGEPlXDePahq2XP2nAo=";
+ vendorHash = "sha256-kSREFNIGH0MXiyKMp1LmrLkhKBhovvNRz46LTXT2XME=";
+ npmDeps = fetchNpmDeps {
+ src = "${src}/web";
+ hash = "sha256-2yeqLly0guU/kpX+yH/QOoDGzyJTxkTaCt8EleJhybU=";
+ };
+
+ npmRoot = "web";
+ nativeBuildInputs = [
+ nodejs
+ npmHooks.npmConfigHook
+ ];
+
+ overrideModAttrs = oldAttrs: {
+ # Do not add `npmConfigHook` to `goModules`
+ nativeBuildInputs = lib.remove npmHooks.npmConfigHook oldAttrs.nativeBuildInputs;
+ # Do not run `preBuild` when building `goModules`
+ preBuild = null;
+ };
# Some tests require internet access, broken in sandbox
doCheck = false;
+ preBuild = ''
+ npm --prefix="$npmRoot" run build
+ go generate ./...
+ '';
+
ldflags = [
"-s"
"-w"
@@ -34,5 +59,6 @@ buildGoModule rec {
changelog = "https://github.com/TimothyYe/godns/releases/tag/v${version}";
license = licenses.asl20;
maintainers = with maintainers; [ yinfeng ];
+ mainProgram = "godns";
};
}
diff --git a/pkgs/by-name/go/goldwarden/package.nix b/pkgs/by-name/go/goldwarden/package.nix
index edb7f892efd9..35b18ab1e51c 100644
--- a/pkgs/by-name/go/goldwarden/package.nix
+++ b/pkgs/by-name/go/goldwarden/package.nix
@@ -4,22 +4,22 @@
, makeBinaryWrapper
, libfido2
, dbus
-, pinentry
+, pinentry-gnome3
, nix-update-script
}:
buildGoModule rec {
pname = "goldwarden";
- version = "0.2.10";
+ version = "0.2.13";
src = fetchFromGitHub {
owner = "quexten";
repo = "goldwarden";
rev = "v${version}";
- hash = "sha256-NYK9H9BCjUweip8HjxHqN2wjUGmg0zicJSC/S1hpvx8=";
+ hash = "sha256-4KxPtsIEW46p+cFx6yeSdNlsffy9U31k+ZSkE6V0AFc=";
};
- vendorHash = "sha256-AiYgI2dBhVYxGNU7t4dywi8KWiffO6V05KFYoGzA0t4=";
+ vendorHash = "sha256-IH0p7t1qInA9rNYv6ekxDN/BT5Kguhh4cZfmL+iqwVU=";
ldflags = [ "-s" "-w" ];
@@ -29,7 +29,7 @@ buildGoModule rec {
postInstall = ''
wrapProgram $out/bin/goldwarden \
- --suffix PATH : ${lib.makeBinPath [dbus pinentry]}
+ --suffix PATH : ${lib.makeBinPath [dbus pinentry-gnome3]}
install -Dm644 $src/resources/com.quexten.goldwarden.policy -t $out/share/polkit-1/actions
'';
diff --git a/pkgs/by-name/go/gosmore/package.nix b/pkgs/by-name/go/gosmore/package.nix
index 2bc778ff9cb2..daa5553d0c0a 100644
--- a/pkgs/by-name/go/gosmore/package.nix
+++ b/pkgs/by-name/go/gosmore/package.nix
@@ -28,6 +28,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Open Street Map viewer";
+ mainProgram = "gosmore";
homepage = "https://sourceforge.net/projects/gosmore/";
maintainers = with maintainers; [
raskin
diff --git a/pkgs/by-name/gp/gpt4all-chat/package.nix b/pkgs/by-name/gp/gpt4all/package.nix
similarity index 77%
rename from pkgs/by-name/gp/gpt4all-chat/package.nix
rename to pkgs/by-name/gp/gpt4all/package.nix
index 272738a381da..83705d390637 100644
--- a/pkgs/by-name/gp/gpt4all-chat/package.nix
+++ b/pkgs/by-name/gp/gpt4all/package.nix
@@ -10,12 +10,12 @@
}:
stdenv.mkDerivation (finalAttrs: {
- pname = "gpt4all-chat";
- version = "2.7.0";
+ pname = "gpt4all";
+ version = "2.7.3";
src = fetchFromGitHub {
fetchSubmodules = true;
- hash = "sha256-l9Do58Cld9n89J+px8RPjyioIa0Bo3qGSQe7QEGcZr8=";
+ hash = "sha256-hIfeADP3tiooGZr/OMVFIkOAniMWXj9AsVzMPlVbucE=";
owner = "nomic-ai";
repo = "gpt4all";
rev = "v${finalAttrs.version}";
@@ -25,7 +25,7 @@ stdenv.mkDerivation (finalAttrs: {
postPatch = ''
substituteInPlace CMakeLists.txt \
- --replace 'set(CMAKE_INSTALL_PREFIX ''${CMAKE_BINARY_DIR}/install)' ""
+ --replace-fail 'set(CMAKE_INSTALL_PREFIX ''${CMAKE_BINARY_DIR}/install)' ""
'';
nativeBuildInputs = [
@@ -59,14 +59,15 @@ stdenv.mkDerivation (finalAttrs: {
install -m 444 -D $src/gpt4all-chat/flatpak-manifest/io.gpt4all.gpt4all.desktop $out/share/applications/io.gpt4all.gpt4all.desktop
install -m 444 -D $src/gpt4all-chat/icons/logo.svg $out/share/icons/hicolor/scalable/apps/io.gpt4all.gpt4all.svg
substituteInPlace $out/share/applications/io.gpt4all.gpt4all.desktop \
- --replace 'Exec=chat' 'Exec=${finalAttrs.meta.mainProgram}'
+ --replace-fail 'Exec=chat' 'Exec=${finalAttrs.meta.mainProgram}'
'';
meta = {
+ changelog = "https://github.com/nomic-ai/gpt4all/releases/tag/v${finalAttrs.version}";
description = "A free-to-use, locally running, privacy-aware chatbot. No GPU or internet required";
- homepage = "https://github.com/nomic-ai/gpt4all-chat";
+ homepage = "https://github.com/nomic-ai/gpt4all";
license = lib.licenses.mit;
- mainProgram = "gpt4all-chat";
+ mainProgram = "gpt4all";
maintainers = with lib.maintainers; [ drupol polygon ];
};
})
diff --git a/pkgs/by-name/gp/gptscript/package.nix b/pkgs/by-name/gp/gptscript/package.nix
new file mode 100644
index 000000000000..0cd7af6cb221
--- /dev/null
+++ b/pkgs/by-name/gp/gptscript/package.nix
@@ -0,0 +1,37 @@
+{
+ lib,
+ buildGo122Module,
+ fetchFromGitHub,
+}:
+buildGo122Module rec {
+ pname = "gptscript";
+ version = "0.1.1";
+
+ src = fetchFromGitHub {
+ owner = "gptscript-ai";
+ repo = pname;
+ rev = "refs/tags/v${version}";
+ hash = "sha256-zG75L10WvfkmjwW3ifBHaTkHNXqXvNO0PaXejCc2tls=";
+ };
+
+ vendorHash = "sha256-LV9uLLwdtLJTIxaBB1Jew92S0QjQsceyLEfSrDeDnR4=";
+
+ ldflags = [
+ "-s"
+ "-w"
+ "-X main.Version=${version}"
+ "-X main.Commit=${version}"
+ ];
+
+ # Requires network access
+ doCheck = false;
+
+ meta = with lib; {
+ homepage = "https://gptscript.ai";
+ changelog = "https://github.com/gptscript-ai/gptscript/releases/tag/v{version}";
+ description = "Natural Language Programming";
+ license = with licenses; [asl20];
+ maintainers = with maintainers; [jamiemagee];
+ mainProgram = "gptscript";
+ };
+}
diff --git a/pkgs/by-name/gr/graphite-cli/package-lock.json b/pkgs/by-name/gr/graphite-cli/package-lock.json
index 7ca424bbf432..a39c0cc0c796 100644
--- a/pkgs/by-name/gr/graphite-cli/package-lock.json
+++ b/pkgs/by-name/gr/graphite-cli/package-lock.json
@@ -1,12 +1,12 @@
{
"name": "@withgraphite/graphite-cli",
- "version": "1.1.5",
+ "version": "1.2.3",
"lockfileVersion": 3,
"requires": true,
"packages": {
"": {
"name": "@withgraphite/graphite-cli",
- "version": "1.1.5",
+ "version": "1.2.3",
"license": "None",
"dependencies": {
"chalk": "^4.1.2",
diff --git a/pkgs/by-name/gr/graphite-cli/package.nix b/pkgs/by-name/gr/graphite-cli/package.nix
index 8ebe2d01c02f..abcd808919bc 100644
--- a/pkgs/by-name/gr/graphite-cli/package.nix
+++ b/pkgs/by-name/gr/graphite-cli/package.nix
@@ -7,14 +7,14 @@
buildNpmPackage rec {
pname = "graphite-cli";
- version = "1.1.5";
+ version = "1.2.3";
src = fetchurl {
url = "https://registry.npmjs.org/@withgraphite/graphite-cli/-/graphite-cli-${version}.tgz";
- hash = "sha256-/JnhUjrZq1iiXwqCSXZH250gu3yh6gJt6JjZRJ2OQd8=";
+ hash = "sha256-T18D4JkH9B0BcJt5rgfKJsiTRhgNBBu70l6MDtPMoHQ=";
};
- npmDepsHash = "sha256-oQLombXIZRyjnKA04xuDZoZf2NO/0/xFfuXXmp46OaI=";
+ npmDepsHash = "sha256-AouEmq4wCzDxk34cjRv2vL+Me+LgeSH8S/sAAvw0Fks=";
postPatch = ''
ln -s ${./package-lock.json} package-lock.json
@@ -42,6 +42,6 @@ buildNpmPackage rec {
homepage = "https://graphite.dev/docs/graphite-cli";
license = lib.licenses.unfree; # no license specified
mainProgram = "gt";
- maintainers = with lib.maintainers; [ ];
+ maintainers = with lib.maintainers; [ diegs ];
};
}
diff --git a/pkgs/by-name/gr/grun/package.nix b/pkgs/by-name/gr/grun/package.nix
index aef41add611d..54b6a5715ddb 100644
--- a/pkgs/by-name/gr/grun/package.nix
+++ b/pkgs/by-name/gr/grun/package.nix
@@ -32,6 +32,7 @@ stdenv.mkDerivation {
meta = {
description = "An application launcher written in C and using GTK for the interface";
+ mainProgram = "grun";
homepage = "https://github.com/lrgc/grun";
platforms = lib.platforms.linux;
license = with lib.licenses; [ gpl2Only ];
diff --git a/pkgs/by-name/gt/gtimelog/package.nix b/pkgs/by-name/gt/gtimelog/package.nix
index 05a0c7e3dd99..138575132945 100644
--- a/pkgs/by-name/gt/gtimelog/package.nix
+++ b/pkgs/by-name/gt/gtimelog/package.nix
@@ -45,6 +45,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "A time tracking app";
+ mainProgram = "gtimelog";
longDescription = ''
GTimeLog is a small time tracking application for GNOME.
It's main goal is to be as unintrusive as possible.
diff --git a/pkgs/by-name/gx/gxml/package.nix b/pkgs/by-name/gx/gxml/package.nix
new file mode 100644
index 000000000000..7ff34db4327d
--- /dev/null
+++ b/pkgs/by-name/gx/gxml/package.nix
@@ -0,0 +1,60 @@
+{ stdenv
+, lib
+, fetchFromGitLab
+, gobject-introspection
+, meson
+, ninja
+, pkg-config
+, vala
+, glib
+, libgee
+, libxml2
+, gitUpdater
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "gxml";
+ version = "0.20.3";
+
+ outputs = [ "out" "dev" "devdoc" ];
+
+ src = fetchFromGitLab {
+ domain = "gitlab.gnome.org";
+ owner = "GNOME";
+ repo = "gxml";
+ rev = finalAttrs.version;
+ hash = "sha256-GlctGxsLyQ2kPV3oBmusRiouG4PPncBTh3vgxhVaQOo=";
+ };
+
+ nativeBuildInputs = [
+ gobject-introspection
+ meson
+ ninja
+ pkg-config
+ vala
+ ];
+
+ propagatedBuildInputs = [
+ glib
+ libgee
+ libxml2
+ ];
+
+ postPatch = ''
+ # https://gitlab.gnome.org/GNOME/gxml/-/merge_requests/24
+ substituteInPlace gxml/gxml.pc.in \
+ --replace-fail "includedir=@prefix@/include" "includedir=${placeholder "dev"}/include"
+ '';
+
+ doCheck = true;
+
+ passthru.updateScript = gitUpdater { };
+
+ meta = with lib; {
+ description = "GXml provides a GObject API for manipulating XML and a Serializable framework from GObject to XML";
+ homepage = "https://gitlab.gnome.org/GNOME/gxml";
+ license = licenses.lgpl21Plus;
+ platforms = platforms.linux;
+ maintainers = with maintainers; [ jmarmstrong1207 ] ++ teams.gnome.members;
+ };
+})
diff --git a/pkgs/by-name/ha/handlr-regex/package.nix b/pkgs/by-name/ha/handlr-regex/package.nix
index 253f821647a7..a3d5b886874b 100644
--- a/pkgs/by-name/ha/handlr-regex/package.nix
+++ b/pkgs/by-name/ha/handlr-regex/package.nix
@@ -2,16 +2,16 @@
rustPlatform.buildRustPackage rec {
pname = "handlr-regex";
- version = "0.9.0";
+ version = "0.10.0";
src = fetchFromGitHub {
owner = "Anomalocaridid";
repo = pname;
rev = "v${version}";
- hash = "sha256-ndFe5GlSWVUPdGRRWuImcLtcuOMoMXMyGGIa+CXfCug=";
+ hash = "sha256-RCMTRf/mrLCDrmJSAofTgCHKK4GogkdGXnN4lFFQMA8=";
};
- cargoHash = "sha256-lCClE8U4188q5rWEEkUt0peLEmYvLoE7vJ6Q9uB5HWg=";
+ cargoHash = "sha256-GHRryBeofZQbVTyOwMwYKVAymui8VvsUQhiwGu0+HEE=";
nativeBuildInputs = [ installShellFiles shared-mime-info ];
buildInputs = [ libiconv ];
diff --git a/pkgs/by-name/ha/hare/002-dont-build-haredoc.patch b/pkgs/by-name/ha/hare/002-dont-build-haredoc.patch
new file mode 100644
index 000000000000..0e921477766e
--- /dev/null
+++ b/pkgs/by-name/ha/hare/002-dont-build-haredoc.patch
@@ -0,0 +1,43 @@
+diff --git a/Makefile b/Makefile
+index 2482be1f..9d58bc81 100644
+--- a/Makefile
++++ b/Makefile
+@@ -5,7 +5,7 @@ all:
+ include config.mk
+ include makefiles/$(PLATFORM).$(ARCH).mk
+
+-all: $(BINOUT)/hare $(BINOUT)/haredoc docs
++all: $(BINOUT)/hare docs
+
+ HARE_DEFINES = \
+ -D PLATFORM:str='"$(PLATFORM)"' \
+@@ -79,11 +79,10 @@ docs: \
+ docs/haredoc.1 \
+ docs/hare-run.1 \
+ docs/hare-test.1 \
+- docs/haredoc.5 \
+ docs/hare-module.5
+
+-MAN1 = hare hare-build hare-cache hare-deps haredoc hare-run hare-test
+-MAN5 = haredoc hare-module
++MAN1 = hare hare-build hare-cache hare-deps hare-run hare-test
++MAN5 = hare-module
+
+ bootstrap:
+ @BINOUT=$(BINOUT) ./scripts/genbootstrap
+@@ -104,7 +103,6 @@ install-cmd:
+ '$(DESTDIR)$(BINDIR)' '$(DESTDIR)$(MANDIR)/man1' \
+ '$(DESTDIR)$(BINDIR)' '$(DESTDIR)$(MANDIR)/man5'
+ install -m755 '$(BINOUT)/hare' '$(DESTDIR)$(BINDIR)/hare'
+- install -m755 '$(BINOUT)/haredoc' '$(DESTDIR)$(BINDIR)/haredoc'
+ for i in $(MAN1); do install -m644 docs/$$i.1 '$(DESTDIR)$(MANDIR)'/man1/$$i.1; done
+ for i in $(MAN5); do install -m644 docs/$$i.5 '$(DESTDIR)$(MANDIR)'/man5/$$i.5; done
+
+@@ -115,7 +113,6 @@ install-mods:
+
+ uninstall:
+ rm -- '$(DESTDIR)$(BINDIR)/hare'
+- rm -- '$(DESTDIR)$(BINDIR)/haredoc'
+ for i in $(MAN1); do rm -- '$(DESTDIR)$(MANDIR)'/man1/$$i.1; done
+ for i in $(MAN5); do rm -- '$(DESTDIR)$(MANDIR)'/man5/$$i.5; done
+ rm -r -- '$(DESTDIR)$(STDLIB)'
diff --git a/pkgs/by-name/ha/hare/package.nix b/pkgs/by-name/ha/hare/package.nix
index 6f163a98d8cc..49e4d91db7f5 100644
--- a/pkgs/by-name/ha/hare/package.nix
+++ b/pkgs/by-name/ha/hare/package.nix
@@ -5,9 +5,11 @@
, harec
, makeWrapper
, qbe
+, gitUpdater
, scdoc
, tzdata
, substituteAll
+, fetchpatch
, callPackage
, enableCrossCompilation ? (stdenv.hostPlatform.isLinux && stdenv.hostPlatform.is64bit)
, pkgsCross
@@ -30,10 +32,12 @@ in
'';
let
- # We use harec's override of qbe until 1.2 is released, but the `qbe` argument
- # is kept to avoid breakage.
- qbe = harec.qbeUnstable;
arch = stdenv.hostPlatform.uname.processor;
+ qbePlatform = {
+ x86_64 = "amd64_sysv";
+ aarch64 = "arm64";
+ riscv64 = "rv64";
+ }.${arch};
platform = lib.toLower stdenv.hostPlatform.uname.system;
embeddedOnBinaryTools =
let
@@ -59,15 +63,15 @@ let
in
stdenv.mkDerivation (finalAttrs: {
pname = "hare";
- version = "unstable-2024-02-08";
+ version = "0.24.0";
outputs = [ "out" "man" ];
src = fetchFromSourcehut {
owner = "~sircmpwn";
repo = "hare";
- rev = "5f65a5c112dd15efc0f0223ee895c2582e8f4915";
- hash = "sha256-Ic/2Gn3ZIJ5wKXBsNS4MHoBUfvbH3ZqAsuj7tOlDtW4=";
+ rev = finalAttrs.version;
+ hash = "sha256-3T+BdNj+Th8QXrcsPMWlN9GBfuMF1ulneWHpDEtyBU8=";
};
patches = [
@@ -76,6 +80,14 @@ stdenv.mkDerivation (finalAttrs: {
src = ./001-tzdata.patch;
inherit tzdata;
})
+ # Use correct comment syntax for debug+riscv64.
+ (fetchpatch {
+ url = "https://git.sr.ht/~sircmpwn/hare/commit/80e45e4d931a6e90d999846b86471cac00d2a6d5.patch";
+ hash = "sha256-S7nXpiO0tYnKpmpj+fLkolGeHb1TrmgKlMF0+j0qLPQ=";
+ })
+ # Don't build haredoc since it uses the build `hare` bin, which breaks
+ # cross-compilation.
+ ./002-dont-build-haredoc.patch
];
nativeBuildInputs = [
@@ -96,6 +108,11 @@ stdenv.mkDerivation (finalAttrs: {
"HARECACHE=.harecache"
"PREFIX=${builtins.placeholder "out"}"
"ARCH=${arch}"
+ "VERSION=${finalAttrs.version}-nixpkgs"
+ "QBEFLAGS=-t${qbePlatform}"
+ "CC=${stdenv.cc.targetPrefix}cc"
+ "AS=${stdenv.cc.targetPrefix}as"
+ "LD=${stdenv.cc.targetPrefix}ld"
# Strip the variable of an empty $(SRCDIR)/hare/third-party, since nix does
# not follow the FHS.
"HAREPATH=$(SRCDIR)/hare/stdlib"
@@ -132,6 +149,7 @@ stdenv.mkDerivation (finalAttrs: {
setupHook = ./setup-hook.sh;
passthru = {
+ updateScript = gitUpdater { };
tests = lib.optionalAttrs enableCrossCompilation {
crossCompilation = callPackage ./cross-compilation-tests.nix {
hare = finalAttrs.finalPackage;
diff --git a/pkgs/by-name/ha/harec/package.nix b/pkgs/by-name/ha/harec/package.nix
index e870e9ebce33..e95ad7ed7095 100644
--- a/pkgs/by-name/ha/harec/package.nix
+++ b/pkgs/by-name/ha/harec/package.nix
@@ -2,46 +2,44 @@
, stdenv
, fetchFromSourcehut
, qbe
-, fetchgit
+, gitUpdater
}:
let
- # harec needs the dbgfile and dbgloc features implemented up to this commit.
- # This can be dropped once 1.2 is released. For a possible release date, see:
- # https://lists.sr.ht/~mpu/qbe/%3CZPkmHE9KLohoEohE%40cloudsdale.the-delta.net.eu.org%3E
- qbe' = qbe.overrideAttrs (_old: {
- version = "1.1-unstable-2024-01-12";
- src = fetchgit {
- url = "git://c9x.me/qbe.git";
- rev = "85287081c4a25785dec1ec48c488a5879b3c37ac";
- hash = "sha256-7bVbxUU/HXJXLtAxhoK0URmPtjGwMSZrPkx8WKl52Mg=";
- };
- });
-
platform = lib.toLower stdenv.hostPlatform.uname.system;
arch = stdenv.hostPlatform.uname.processor;
+ qbePlatform = {
+ x86_64 = "amd64_sysv";
+ aarch64 = "arm64";
+ riscv64 = "rv64";
+ }.${arch};
in
stdenv.mkDerivation (finalAttrs: {
pname = "harec";
- version = "unstable-2024-02-03";
+ version = "0.24.0";
src = fetchFromSourcehut {
owner = "~sircmpwn";
repo = "harec";
- rev = "09cb18990266eef814917d8211d38b82e0896532";
- hash = "sha256-cxWRqGipoDATN1+V9s9S2WJ3sLMcTqIJmhP5XTld3AU=";
+ rev = finalAttrs.version;
+ hash = "sha256-NOfoCT/wKZ3CXYzXZq7plXcun+MXQicfzBOmetXN7Qs=";
};
nativeBuildInputs = [
- qbe'
+ qbe
];
buildInputs = [
- qbe'
+ qbe
];
makeFlags = [
"PREFIX=${builtins.placeholder "out"}"
"ARCH=${arch}"
+ "VERSION=${finalAttrs.version}-nixpkgs"
+ "QBEFLAGS=-t${qbePlatform}"
+ "CC=${stdenv.cc.targetPrefix}cc"
+ "AS=${stdenv.cc.targetPrefix}as"
+ "LD=${stdenv.cc.targetPrefix}ld"
];
strictDeps = true;
@@ -55,9 +53,7 @@ stdenv.mkDerivation (finalAttrs: {
'';
passthru = {
- # We create this attribute so that the `hare` package can access the
- # overwritten `qbe`.
- qbeUnstable = qbe';
+ updateScript = gitUpdater { };
};
meta = {
diff --git a/pkgs/by-name/ha/haredoc/package.nix b/pkgs/by-name/ha/haredoc/package.nix
new file mode 100644
index 000000000000..2476e7d937c5
--- /dev/null
+++ b/pkgs/by-name/ha/haredoc/package.nix
@@ -0,0 +1,55 @@
+{ lib
+, stdenv
+, scdoc
+, hare
+}:
+let
+ arch = stdenv.hostPlatform.uname.processor;
+in
+stdenv.mkDerivation {
+ pname = "haredoc";
+ outputs = [ "out" "man" ];
+ inherit (hare) version src;
+
+ strictDeps = true;
+ enableParallelBuilding = true;
+
+ nativeBuildInputs = [
+ scdoc
+ hare
+ ];
+
+ preBuild = ''
+ HARECACHE="$(mktemp -d)"
+ export HARECACHE
+ '';
+
+ buildPhase = ''
+ runHook preBuild
+
+ hare build -qR -a ${arch} -o haredoc ./cmd/haredoc
+ scdoc haredoc.1
+ scdoc haredoc.5
+
+ runHook postBuild
+ '';
+
+ installPhase = ''
+ runHook preInstall
+
+ install -Dm0755 ./haredoc $out/bin/haredoc
+ install -Dm0644 ./haredoc.1 $out/share/man/man1/haredoc.1
+ install -Dm0644 ./haredoc.5 $out/share/man/man5/haredoc.5
+
+ runHook postInstall
+ '';
+
+ meta = {
+ homepage = "https://harelang.org/";
+ description = "Hare's documentation tool";
+ license = lib.licenses.gpl3Only;
+ maintainers = with lib.maintainers; [ onemoresuza ];
+ mainProgram = "haredoc";
+ inherit (hare.meta) platforms badPlatforms;
+ };
+}
diff --git a/pkgs/by-name/ha/haunt/package.nix b/pkgs/by-name/ha/haunt/package.nix
index 989fce392b28..d15fd5e95726 100644
--- a/pkgs/by-name/ha/haunt/package.nix
+++ b/pkgs/by-name/ha/haunt/package.nix
@@ -66,6 +66,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
homepage = "https://dthompson.us/projects/haunt.html";
description = "Guile-based static site generator";
+ mainProgram = "haunt";
longDescription = ''
Haunt is a simple, functional, hackable static site generator that gives
authors the ability to treat websites as Scheme programs.
diff --git a/pkgs/by-name/hd/hdrop/package.nix b/pkgs/by-name/hd/hdrop/package.nix
index 2fcea6d600c1..23f9070a3125 100755
--- a/pkgs/by-name/hd/hdrop/package.nix
+++ b/pkgs/by-name/hd/hdrop/package.nix
@@ -9,17 +9,18 @@
, libnotify
, withHyprland ? true
, hyprland
+, gawk
}:
stdenvNoCC.mkDerivation rec {
pname = "hdrop";
- version = "0.4.4";
+ version = "0.5.0";
src = fetchFromGitHub {
owner = "Schweber";
repo = "hdrop";
rev = "v${version}";
- hash = "sha256-eLOu7xmFphTxCtyyXdM9VkNcUpefefuZMAQtOV4FVtU=";
+ hash = "sha256-iginpMlgANSPWgFxNC2TYMjf2NKSSzzrjIN8lIsAvX8=";
};
nativeBuildInputs = [
@@ -36,6 +37,7 @@ stdenvNoCC.mkDerivation rec {
util-linux
jq
libnotify
+ gawk
]
++ lib.optional withHyprland hyprland)}"
'';
@@ -44,7 +46,7 @@ stdenvNoCC.mkDerivation rec {
description = "Emulate 'tdrop' in Hyprland (run, show and hide specific programs per keybind)";
homepage = "https://github.com/Schweber/hdrop";
changelog = "https://github.com/Schweber/hdrop/releases/tag/v${version}";
- license = licenses.agpl3;
+ license = licenses.agpl3Only;
platforms = platforms.linux;
maintainers = with maintainers; [ Schweber ];
mainProgram = "hdrop";
diff --git a/pkgs/by-name/he/hekatomb/package.nix b/pkgs/by-name/he/hekatomb/package.nix
new file mode 100644
index 000000000000..1d9df3a03215
--- /dev/null
+++ b/pkgs/by-name/he/hekatomb/package.nix
@@ -0,0 +1,49 @@
+{ lib
+, python3
+, fetchFromGitHub
+}:
+
+python3.pkgs.buildPythonApplication rec {
+ pname = "hekatomb";
+ version = "1.5.14-unstable-2024-02-14";
+ pyproject = true;
+
+ src = fetchFromGitHub {
+ owner = "ProcessusT";
+ repo = "HEKATOMB";
+ rev = "8cd372fd5d93e8b43c2cbe2ab2cada635f00e9dd";
+ hash = "sha256-2juP2SuCfY4z2J27BlodrsP+29BjGxKDIDOW0mmwCPY=";
+ };
+
+ pythonRelaxDeps = [
+ "impacket"
+ ];
+
+ nativeBuildInputs = with python3.pkgs; [
+ poetry-core
+ pythonRelaxDepsHook
+ ];
+
+ propagatedBuildInputs = with python3.pkgs; [
+ chardet
+ dnspython
+ impacket
+ ldap3
+ pycryptodomex
+ ];
+
+ # Project has no tests
+ doCheck = false;
+
+ pythonImportsCheck = [
+ "hekatomb"
+ ];
+
+ meta = with lib; {
+ description = "Tool to connect to LDAP directory to retrieve informations";
+ homepage = "https://github.com/ProcessusT/HEKATOMB";
+ license = licenses.gpl3Only;
+ maintainers = with maintainers; [ fab ];
+ mainProgram = "hekatomb";
+ };
+}
diff --git a/pkgs/by-name/he/helix-gpt/package.nix b/pkgs/by-name/he/helix-gpt/package.nix
index 6e77d5e8a8d4..d95c9689f1f7 100644
--- a/pkgs/by-name/he/helix-gpt/package.nix
+++ b/pkgs/by-name/he/helix-gpt/package.nix
@@ -56,6 +56,7 @@ stdenv.mkDerivation {
meta = with lib; {
homepage = "https://github.com/leona/helix-gpt";
description = "Code completion LSP for Helix with support for Copilot + OpenAI";
+ mainProgram = "helix-gpt";
maintainers = with maintainers; [ happysalada ];
license = with licenses; [ mit ];
platforms = [ "x86_64-linux" "x86_64-darwin" "aarch64-darwin" ];
diff --git a/pkgs/by-name/he/helix-gpt/pin.json b/pkgs/by-name/he/helix-gpt/pin.json
index 7d355f743953..2b116278fe23 100644
--- a/pkgs/by-name/he/helix-gpt/pin.json
+++ b/pkgs/by-name/he/helix-gpt/pin.json
@@ -1,7 +1,7 @@
{
- "version": "0.27",
- "srcHash": "sha256-sGkK3SaQmzprgTiABgKfRZ3pUNFZNrt/8aNANH1RES8=",
+ "version": "0.31",
+ "srcHash": "sha256-wDVeX1tHw9pTxYLRkr8BJlF5XIee0/e0f5hzes4ui/o=",
"x86_64-linux": "sha256-h6wGkOfSbB8Rwm7eFvcowDdH1RdS6eFaxgf+SdYvYt8=",
- "x86_64-darwin": "sha256-A8T1FNPS1CguSmRyGl+i0o/DGy+LyTnKYKdNc8kTKS8=",
- "aarch64-darwin": "sha256-A8T1FNPS1CguSmRyGl+i0o/DGy+LyTnKYKdNc8kTKS8="
+ "x86_64-darwin": "sha256-Sjt/JkyPRCzRpAqJOmBKCBAGWSeV7RYOOokCLYCqg+8=",
+ "aarch64-darwin": "sha256-Sjt/JkyPRCzRpAqJOmBKCBAGWSeV7RYOOokCLYCqg+8="
}
diff --git a/pkgs/by-name/he/hermitcli/package.nix b/pkgs/by-name/he/hermitcli/package.nix
new file mode 100644
index 000000000000..02437daec92e
--- /dev/null
+++ b/pkgs/by-name/he/hermitcli/package.nix
@@ -0,0 +1,34 @@
+{ buildGoModule
+, fetchFromGitHub
+, lib
+}:
+
+buildGoModule rec {
+ pname = "hermit";
+ version = "0.38.2";
+
+ src = fetchFromGitHub {
+ rev = "v${version}";
+ owner = "cashapp";
+ repo = "hermit";
+ hash = "sha256-cBVTIpY85lrKJ1bX1mIlUW1oWEHgg8wjdUh+0FHUp80=";
+ };
+
+ vendorHash = "sha256-W8n7WA1gHx73jHF69apoKnDCIKlbWkj5f1wVITt7F+M=";
+
+ subPackages = [ "cmd/hermit" ];
+
+ ldflags = [
+ "-X main.version=${version}"
+ "-X main.channel=stable"
+ ];
+
+ meta = with lib; {
+ homepage = "https://cashapp.github.io/hermit";
+ description = "Manages isolated, self-bootstrapping sets of tools in software projects.";
+ license = licenses.asl20;
+ maintainers = with maintainers; [ cbrewster ];
+ platforms = platforms.unix;
+ mainProgram = "hermit";
+ };
+}
diff --git a/pkgs/by-name/hi/hifiscan/package.nix b/pkgs/by-name/hi/hifiscan/package.nix
new file mode 100644
index 000000000000..fbaea667f9ae
--- /dev/null
+++ b/pkgs/by-name/hi/hifiscan/package.nix
@@ -0,0 +1,28 @@
+{ lib
+, python3Packages
+, fetchPypi
+}:
+let
+ pname = "hifiscan";
+ version = "1.5.2";
+ hash = "sha256-8eystqjNdDP2X9beogRcsa+Wqu50uMHZv59jdc5GjUc=";
+in
+ python3Packages.buildPythonApplication {
+ inherit pname version;
+
+ pythonPath = with python3Packages; [ eventkit numpy sounddevice pyqt6 pyqt6-sip pyqtgraph ];
+
+ dontUseSetuptoolsCheck = true;
+
+ src = fetchPypi {
+ inherit pname version hash;
+ };
+
+ meta = with lib; {
+ homepage = "https://github.com/erdewit/HiFiScan";
+ description = "Optimize the audio quality of your loudspeakers";
+ license = licenses.bsd2;
+ maintainers = with maintainers; [ cab404 ];
+ mainProgram = "hifiscan";
+ };
+ }
diff --git a/pkgs/by-name/hi/highs/package.nix b/pkgs/by-name/hi/highs/package.nix
new file mode 100644
index 000000000000..4023d3e52afb
--- /dev/null
+++ b/pkgs/by-name/hi/highs/package.nix
@@ -0,0 +1,41 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, clang
+, cmake
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "highs";
+ version = "1.7.0";
+
+ src = fetchFromGitHub {
+ owner = "ERGO-Code";
+ repo = "HiGHS";
+ rev = "v${finalAttrs.version}";
+ sha256 = "sha256-2dYKXckZ+npj1rA2mmBRuRcGI1YNcaiITSMjE2/TA2g=";
+ };
+
+ strictDeps = true;
+
+ outputs = [ "out" ];
+
+ doInstallCheck = true;
+
+ installCheckPhase = ''
+ "$out/bin/highs" --version
+ '';
+
+ nativeBuildInputs = [ clang cmake ];
+
+ enableParallelBuilding = true;
+
+ meta = with lib; {
+ homepage = "https://github.com/ERGO-Code/HiGHS";
+ description = "Linear optimization software";
+ license = licenses.mit;
+ platforms = platforms.all;
+ mainProgram = "highs";
+ maintainers = with maintainers; [ silky ];
+ };
+})
diff --git a/pkgs/tools/package-management/home-manager/default.nix b/pkgs/by-name/ho/home-manager/package.nix
similarity index 93%
rename from pkgs/tools/package-management/home-manager/default.nix
rename to pkgs/by-name/ho/home-manager/package.nix
index d369760f5977..f58be650c845 100644
--- a/pkgs/tools/package-management/home-manager/default.nix
+++ b/pkgs/by-name/ho/home-manager/package.nix
@@ -1,29 +1,29 @@
{ lib
-, stdenvNoCC
-, fetchFromGitHub
, bash
, coreutils
+, fetchFromGitHub
, findutils
, gettext
, gnused
+, installShellFiles
, less
, ncurses
, nixos-option
+, stdenvNoCC
, unixtools
-, installShellFiles
, unstableGitUpdater
}:
stdenvNoCC.mkDerivation (finalAttrs: {
pname = "home-manager";
- version = "unstable-2024-02-11";
+ version = "unstable-2024-03-19";
src = fetchFromGitHub {
name = "home-manager-source";
owner = "nix-community";
repo = "home-manager";
- rev = "bfd0ae29a86eff4603098683b516c67e22184511";
- hash = "sha256-hj/RgQMTvCWQVInkZwiMMieumkfOjHXhtWhfuXHop/8=";
+ rev = "022464438a85450abb23d93b91aa82e0addd71fb";
+ hash = "sha256-2bNMraoRB4pdw/HtxgYTFeMhEekBZeQ53/a8xkqpbZc=";
};
nativeBuildInputs = [
@@ -40,6 +40,21 @@ stdenvNoCC.mkDerivation (finalAttrs: {
install -D -m755 home-manager/home-manager $out/bin/home-manager
install -D -m755 lib/bash/home-manager.sh $out/share/bash/home-manager.sh
+ installShellCompletion --bash --name home-manager.bash home-manager/completion.bash
+ installShellCompletion --fish --name home-manager.fish home-manager/completion.fish
+ installShellCompletion --zsh --name _home-manager home-manager/completion.zsh
+
+ for pofile in home-manager/po/*.po; do
+ lang="''${pofile##*/}"
+ lang="''${lang%%.*}"
+ mkdir -p "$out/share/locale/$lang/LC_MESSAGES"
+ msgfmt -o "$out/share/locale/$lang/LC_MESSAGES/home-manager.mo" "$pofile"
+ done
+
+ runHook postInstall
+ '';
+
+ postFixup = ''
substituteInPlace $out/bin/home-manager \
--subst-var-by bash "${bash}" \
--subst-var-by DEP_PATH "${
@@ -57,19 +72,6 @@ stdenvNoCC.mkDerivation (finalAttrs: {
--subst-var-by HOME_MANAGER_LIB '${placeholder "out"}/share/bash/home-manager.sh' \
--subst-var-by HOME_MANAGER_PATH "${finalAttrs.src}" \
--subst-var-by OUT '${placeholder "out"}'
-
- installShellCompletion --bash --name home-manager.bash home-manager/completion.bash
- installShellCompletion --fish --name home-manager.fish home-manager/completion.fish
- installShellCompletion --zsh --name _home-manager home-manager/completion.zsh
-
- for pofile in home-manager/po/*.po; do
- lang="''${pofile##*/}"
- lang="''${lang%%.*}"
- mkdir -p "$out/share/locale/$lang/LC_MESSAGES"
- msgfmt -o "$out/share/locale/$lang/LC_MESSAGES/home-manager.mo" "$pofile"
- done
-
- runHook postInstall
'';
passthru.updateScript = unstableGitUpdater {
@@ -86,8 +88,8 @@ stdenvNoCC.mkDerivation (finalAttrs: {
(non global) packages and dotfiles.
'';
license = lib.licenses.mit;
+ mainProgram = "home-manager";
maintainers = with lib.maintainers; [ AndersonTorres ];
platforms = lib.platforms.unix;
- mainProgram = "home-manager";
};
})
diff --git a/pkgs/by-name/ho/hoppscotch/package.nix b/pkgs/by-name/ho/hoppscotch/package.nix
new file mode 100644
index 000000000000..e7d1dbdc274b
--- /dev/null
+++ b/pkgs/by-name/ho/hoppscotch/package.nix
@@ -0,0 +1,72 @@
+{ lib
+, stdenv
+, fetchurl
+, appimageTools
+, undmg
+, nix-update-script
+}:
+
+let
+ pname = "hoppscotch";
+ version = "23.12.5";
+
+ src = fetchurl {
+ aarch64-darwin = {
+ url = "https://github.com/hoppscotch/releases/releases/download/v${version}-1/Hoppscotch_mac_aarch64.dmg";
+ hash = "sha256-WUJW38vQ7o5KEmCxhVnJ03/f5tPOTYcczrEcmt6NSCY=";
+ };
+ x86_64-darwin = {
+ url = "https://github.com/hoppscotch/releases/releases/download/v${version}-1/Hoppscotch_mac_x64.dmg";
+ hash = "sha256-bQFD+9IoelinWYUndzbVvPNaRde6ACPvw9ifX9mYdno=";
+ };
+ x86_64-linux = {
+ url = "https://github.com/hoppscotch/releases/releases/download/v${version}-1/Hoppscotch_linux_x64.AppImage";
+ hash = "sha256-MYQ7SRm+CUPIXROZxejbbZ0/wH+U5DQO4YGbE/HQAj8=";
+ };
+ }.${stdenv.system} or (throw "Unsupported system: ${stdenv.system}");
+
+ meta = {
+ description = "Open source API development ecosystem";
+ mainProgram = "hoppscotch";
+ homepage = "https://hoppscotch.com";
+ changelog = "https://github.com/hoppscotch/hoppscotch/releases/tag/${version}";
+ platforms = [ "aarch64-darwin" "x86_64-darwin" "x86_64-linux" ];
+ license = lib.licenses.mit;
+ maintainers = with lib.maintainers; [ DataHearth ];
+ };
+in
+if stdenv.isDarwin then stdenv.mkDerivation
+{
+ inherit pname version src meta;
+
+ sourceRoot = ".";
+
+ nativeBuildInputs = [ undmg ];
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p "$out/Applications"
+ mv Hoppscotch.app $out/Applications/
+
+ runHook postInstall
+ '';
+}
+else appimageTools.wrapType2 {
+ inherit pname version src meta;
+
+ extraPkgs = pkgs:
+ appimageTools.defaultFhsEnvArgs.multiPkgs pkgs;
+
+ extraInstallCommands =
+ let
+ appimageContents = appimageTools.extractType2 { inherit pname version src; };
+ in
+ ''
+ mv $out/bin/${pname}-${version} $out/bin/${pname}
+
+ # Install .desktop files
+ install -Dm444 ${appimageContents}/hoppscotch.desktop -t $out/share/applications
+ install -Dm444 ${appimageContents}/hoppscotch.png -t $out/share/pixmaps
+ '';
+}
diff --git a/pkgs/by-name/ht/htb-toolkit/package.nix b/pkgs/by-name/ht/htb-toolkit/package.nix
index 9930f02e38bd..b833fed50580 100644
--- a/pkgs/by-name/ht/htb-toolkit/package.nix
+++ b/pkgs/by-name/ht/htb-toolkit/package.nix
@@ -61,9 +61,10 @@ rustPlatform.buildRustPackage {
meta = with lib; {
description = "Play Hack The Box directly on your system";
- homepage = "https://github.com/D3vil0p3r/htb-toolkit";
- license = licenses.gpl3Plus;
- maintainers = with maintainers; [ d3vil0p3r ];
mainProgram = "htb-toolkit";
+ homepage = "https://github.com/D3vil0p3r/htb-toolkit";
+ maintainers = with maintainers; [ d3vil0p3r ];
+ platforms = platforms.unix;
+ license = licenses.gpl3Plus;
};
}
diff --git a/pkgs/by-name/hu/hugo/package.nix b/pkgs/by-name/hu/hugo/package.nix
index 9ef270fac1cd..32832da0a6f2 100644
--- a/pkgs/by-name/hu/hugo/package.nix
+++ b/pkgs/by-name/hu/hugo/package.nix
@@ -10,16 +10,16 @@
buildGoModule rec {
pname = "hugo";
- version = "0.122.0";
+ version = "0.124.0";
src = fetchFromGitHub {
owner = "gohugoio";
repo = "hugo";
rev = "refs/tags/v${version}";
- hash = "sha256-pnsQo+nSuIlQ6KKTP1z/BZ74zEu9HjYP66hGStPc0pc=";
+ hash = "sha256-LLjIKkNtrnnpIUjdJZJlrsyP4O634/B+cyn8DEYfRdk=";
};
- vendorHash = "sha256-aYy0TOfNIqx44UBXJhewvxi+oSAWjmi/32WvI3HJ3MM=";
+ vendorHash = "sha256-LQLrbFJUW3X4F6L7JxQJRmkQFvuFraHJRBoGLt/ObSg=";
doCheck = false;
diff --git a/pkgs/by-name/hy/hyprcursor/package.nix b/pkgs/by-name/hy/hyprcursor/package.nix
new file mode 100644
index 000000000000..37add539a530
--- /dev/null
+++ b/pkgs/by-name/hy/hyprcursor/package.nix
@@ -0,0 +1,52 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, cmake
+, pkg-config
+, cairo
+, hyprlang
+, librsvg
+, libzip
+, nix-update-script
+}:
+stdenv.mkDerivation (finalAttrs: {
+ pname = "hyprcursor";
+ version = "0.1.4";
+
+ src = fetchFromGitHub {
+ owner = "hyprwm";
+ repo = "hyprcursor";
+ rev = "refs/tags/v${finalAttrs.version}";
+ hash = "sha256-m5I69a5t+xXxNMQrFuzKgPR6nrFiWDEDnEqlVwTy4C4=";
+ };
+
+ nativeBuildInputs = [
+ cmake
+ pkg-config
+ ];
+
+ buildInputs = [
+ cairo
+ hyprlang
+ librsvg
+ libzip
+ ];
+
+ outputs = [
+ "out"
+ "dev"
+ "lib"
+ ];
+
+ passthru.updateScript = nix-update-script { };
+
+ meta = {
+ homepage = "https://github.com/hyprwm/hyprcursor";
+ description = "The hyprland cursor format, library and utilities";
+ changelog = "https://github.com/hyprwm/hyprcursor/releases/tag/v${finalAttrs.version}";
+ license = lib.licenses.bsd3;
+ maintainers = with lib.maintainers; [ iynaix ];
+ mainProgram = "hyprcursor-util";
+ platforms = lib.platforms.linux;
+ };
+})
diff --git a/pkgs/applications/misc/hyprdim/default.nix b/pkgs/by-name/hy/hyprdim/package.nix
similarity index 73%
rename from pkgs/applications/misc/hyprdim/default.nix
rename to pkgs/by-name/hy/hyprdim/package.nix
index 10938330196a..ee0df4f9fc03 100644
--- a/pkgs/applications/misc/hyprdim/default.nix
+++ b/pkgs/by-name/hy/hyprdim/package.nix
@@ -1,8 +1,9 @@
-{ lib
-, rustPlatform
-, fetchFromGitHub
-, installShellFiles
-, nix-update-script
+{
+ lib,
+ rustPlatform,
+ fetchFromGitHub,
+ installShellFiles,
+ nix-update-script,
}:
rustPlatform.buildRustPackage rec {
@@ -18,17 +19,15 @@ rustPlatform.buildRustPackage rec {
cargoHash = "sha256-hgcGzRLB1L3yxJjw1ECDJPmbl1W+2OS4KDojclyVYrc=";
- nativeBuildInputs = [
- installShellFiles
- ];
+ nativeBuildInputs = [ installShellFiles ];
postInstall = ''
installManPage target/man/hyprdim.1
installShellCompletion --cmd hyprdim \
- --bash <(cat target/completions/hyprdim.bash) \
- --fish <(cat target/completions/hyprdim.fish) \
- --zsh <(cat target/completions/_hyprdim)
+ --bash target/completions/hyprdim.bash \
+ --fish target/completions/hyprdim.fish \
+ --zsh target/completions/_hyprdim
'';
passthru.updateScript = nix-update-script { };
diff --git a/pkgs/by-name/hy/hypridle/package.nix b/pkgs/by-name/hy/hypridle/package.nix
new file mode 100644
index 000000000000..0526d741dd9d
--- /dev/null
+++ b/pkgs/by-name/hy/hypridle/package.nix
@@ -0,0 +1,45 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, pkg-config
+, cmake
+, wayland
+, wayland-protocols
+, hyprlang
+, sdbus-cpp
+, systemd
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "hypridle";
+ version = "0.1.1";
+
+ src = fetchFromGitHub {
+ owner = "hyprwm";
+ repo = "hypridle";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-YayFU0PZkwnKn1RSV3+i2HlSha/IFkG5osXcT0b/EUw=";
+ };
+
+ nativeBuildInputs = [
+ cmake
+ pkg-config
+ ];
+
+ buildInputs = [
+ hyprlang
+ sdbus-cpp
+ systemd
+ wayland
+ wayland-protocols
+ ];
+
+ meta = {
+ description = "Hyprland's idle daemon";
+ homepage = "https://github.com/hyprwm/hypridle";
+ license = lib.licenses.bsd3;
+ maintainers = with lib.maintainers; [ iogamaster ];
+ mainProgram = "hypridle";
+ platforms = [ "aarch64-linux" "x86_64-linux" ];
+ };
+})
diff --git a/pkgs/by-name/hy/hyprlang/package.nix b/pkgs/by-name/hy/hyprlang/package.nix
index b09b3e88cd95..9a4c26583bde 100644
--- a/pkgs/by-name/hy/hyprlang/package.nix
+++ b/pkgs/by-name/hy/hyprlang/package.nix
@@ -4,27 +4,34 @@
fetchFromGitHub,
cmake,
}:
+
stdenv.mkDerivation (finalAttrs: {
pname = "hyprlang";
- version = "0.3.1";
+ version = "0.5.0";
src = fetchFromGitHub {
owner = "hyprwm";
repo = "hyprlang";
rev = "v${finalAttrs.version}";
- hash = "sha256-JZmXxLHYB7t95B5iJdiZml0APJn4nKrGU8M88e8Dkgs=";
+ hash = "sha256-bR4o3mynoTa1Wi4ZTjbnsZ6iqVcPGriXp56bZh5UFTk=";
};
- nativeBuildInputs = [cmake];
+ nativeBuildInputs = [
+ cmake
+ ];
- outputs = ["out" "dev"];
+ outputs = [
+ "out"
+ "dev"
+ ];
doCheck = true;
meta = with lib; {
homepage = "https://github.com/hyprwm/hyprlang";
description = "The official implementation library for the hypr config language";
- license = licenses.gpl3Plus;
+ license = licenses.lgpl3Only;
platforms = platforms.linux;
+ maintainers = with maintainers; [ iogamaster fufexan ];
};
})
diff --git a/pkgs/by-name/hy/hyprlock/package.nix b/pkgs/by-name/hy/hyprlock/package.nix
new file mode 100644
index 000000000000..5bac39c63d49
--- /dev/null
+++ b/pkgs/by-name/hy/hyprlock/package.nix
@@ -0,0 +1,60 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, cmake
+, pkg-config
+, libGL
+, libxkbcommon
+, hyprlang
+, pam
+, wayland
+, wayland-protocols
+, cairo
+, pango
+, libdrm
+, mesa
+, nix-update-script
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "hyprlock";
+ version = "0.2.0";
+
+ src = fetchFromGitHub {
+ owner = "hyprwm";
+ repo = "hyprlock";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-1p6Y/8+ETaz7GQ8wsXLUTrk2dD0YN9ySOfwjRp2TSG4=";
+ };
+
+ strictDeps = true;
+
+ nativeBuildInputs = [
+ cmake
+ pkg-config
+ ];
+
+ buildInputs = [
+ cairo
+ hyprlang
+ libdrm
+ libGL
+ libxkbcommon
+ mesa
+ pam
+ pango
+ wayland
+ wayland-protocols
+ ];
+
+ passthru.updateScript = nix-update-script { };
+
+ meta = {
+ description = "Hyprland's GPU-accelerated screen locking utility";
+ homepage = "https://github.com/hyprwm/hyprlock";
+ license = lib.licenses.bsd3;
+ maintainers = with lib.maintainers; [ eclairevoyant ];
+ mainProgram = "hyprlock";
+ platforms = [ "aarch64-linux" "x86_64-linux" ];
+ };
+})
diff --git a/pkgs/applications/misc/hyprnome/default.nix b/pkgs/by-name/hy/hyprnome/package.nix
similarity index 73%
rename from pkgs/applications/misc/hyprnome/default.nix
rename to pkgs/by-name/hy/hyprnome/package.nix
index d8d6ba70c8f7..691ebc574a43 100644
--- a/pkgs/applications/misc/hyprnome/default.nix
+++ b/pkgs/by-name/hy/hyprnome/package.nix
@@ -1,8 +1,9 @@
-{ lib
-, rustPlatform
-, fetchFromGitHub
-, installShellFiles
-, nix-update-script
+{
+ lib,
+ rustPlatform,
+ fetchFromGitHub,
+ installShellFiles,
+ nix-update-script,
}:
rustPlatform.buildRustPackage rec {
@@ -18,17 +19,15 @@ rustPlatform.buildRustPackage rec {
cargoHash = "sha256-DpbRs97sr5wpJSrYF99ZiQ0SZOZdoQjfaLhKIAU95HA=";
- nativeBuildInputs = [
- installShellFiles
- ];
+ nativeBuildInputs = [ installShellFiles ];
postInstall = ''
installManPage target/man/hyprnome.1
installShellCompletion --cmd hyprnome \
- --bash <(cat target/completions/hyprnome.bash) \
- --fish <(cat target/completions/hyprnome.fish) \
- --zsh <(cat target/completions/_hyprnome)
+ --bash target/completions/hyprnome.bash \
+ --fish target/completions/hyprnome.fish \
+ --zsh target/completions/_hyprnome
'';
passthru.updateScript = nix-update-script { };
diff --git a/pkgs/by-name/ic/icewm/package.nix b/pkgs/by-name/ic/icewm/package.nix
index 9f64d08ca771..66759cebed51 100644
--- a/pkgs/by-name/ic/icewm/package.nix
+++ b/pkgs/by-name/ic/icewm/package.nix
@@ -41,13 +41,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "icewm";
- version = "3.4.5";
+ version = "3.4.6";
src = fetchFromGitHub {
owner = "ice-wm";
repo = "icewm";
rev = finalAttrs.version;
- hash = "sha256-Auuu+hRYVziAF3hXH7XSOyNlDehEKg6QmSJicY+XQLk=";
+ hash = "sha256-j4o4/Q+WWuVPZM/rij2miC7ApWrBNhzve2TAPEXIU20=";
};
nativeBuildInputs = [
diff --git a/pkgs/by-name/id/idb-companion/package.nix b/pkgs/by-name/id/idb-companion/package.nix
new file mode 100644
index 000000000000..f133373acfa6
--- /dev/null
+++ b/pkgs/by-name/id/idb-companion/package.nix
@@ -0,0 +1,35 @@
+{ lib
+, stdenv
+, fetchurl
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "idb-companion";
+ version = "1.1.8";
+
+ src = fetchurl {
+ url = "https://github.com/facebook/idb/releases/download/v${finalAttrs.version}/idb-companion.universal.tar.gz";
+ hash = "sha256-O3LMappbGiKhiCBahAkNOilDR6hGGA79dVzxo8hI4+c=";
+ };
+
+ sourceRoot = "idb-companion.universal";
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p $out
+ cp -r . $out/
+
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ description = "A powerful command line tool for automating iOS simulators and devices";
+ homepage = "https://github.com/facebook/idb";
+ license = licenses.mit;
+ platforms = platforms.darwin;
+ mainProgram = "idb_companion";
+ sourceProvenance = with sourceTypes; [ binaryNativeCode ];
+ maintainers = with maintainers; [ siddarthkay ];
+ };
+})
diff --git a/pkgs/by-name/if/ifrextractor-rs/package.nix b/pkgs/by-name/if/ifrextractor-rs/package.nix
index 48ab01d352fa..2d525771d85f 100644
--- a/pkgs/by-name/if/ifrextractor-rs/package.nix
+++ b/pkgs/by-name/if/ifrextractor-rs/package.nix
@@ -24,6 +24,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "Rust utility to extract UEFI IFR data into human-readable text";
+ mainProgram = "ifrextractor";
homepage = "https://github.com/LongSoft/IFRExtractor-RS";
license = licenses.bsd2;
maintainers = with maintainers; [ jiegec ];
diff --git a/pkgs/by-name/ig/igir/package.nix b/pkgs/by-name/ig/igir/package.nix
index 80e22a5e4334..892b18414630 100644
--- a/pkgs/by-name/ig/igir/package.nix
+++ b/pkgs/by-name/ig/igir/package.nix
@@ -10,16 +10,16 @@
buildNpmPackage rec {
pname = "igir";
- version = "2.2.1";
+ version = "2.5.2";
src = fetchFromGitHub {
owner = "emmercm";
repo = "igir";
rev = "v${version}";
- hash = "sha256-MlLnnwlqFkzSZi+6OGS/ZPYRPjV7CY/piFvilwhhR9A=";
+ hash = "sha256-z70oPKUTVKWQ/BME2ourQZWNmFYRTvSRs+2mKVfoIh8=";
};
- npmDepsHash = "sha256-yVo2ZKu2lEOYG12Gk5GQXamprkP5jEyKlSTZdPjNWQM=";
+ npmDepsHash = "sha256-lTqAUtUv0WmS/TUkPZQyCOYhY5BFz4ZCXqJN5I6l/cI=";
# I have no clue why I have to do this
postPatch = ''
@@ -36,6 +36,7 @@ buildNpmPackage rec {
meta = with lib; {
description = "A video game ROM collection manager to help filter, sort, patch, archive, and report on collections on any OS";
+ mainProgram = "igir";
homepage = "https://igir.io";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ TheBrainScrambler ];
diff --git a/pkgs/by-name/ig/ignite-cli/package.nix b/pkgs/by-name/ig/ignite-cli/package.nix
index 8ee9e6f57d04..b48af94d7fb8 100644
--- a/pkgs/by-name/ig/ignite-cli/package.nix
+++ b/pkgs/by-name/ig/ignite-cli/package.nix
@@ -8,16 +8,16 @@
buildGoModule rec {
pname = "ignite-cli";
- version = "28.2.0";
+ version = "28.3.0";
src = fetchFromGitHub {
repo = "cli";
owner = "ignite";
rev = "v${version}";
- hash = "sha256-FRujRghSPSc2fq2Eiv4Hco4RIcv3D4zNI82NEhCGFhM=";
+ hash = "sha256-VLbVXZRKunvqM2gZlFrRdpIG558w6WFIRa/XHZwqA7s=";
};
- vendorHash = "sha256-cH6zwkRMvUjYb6yh/6S/e4ky8f4GvhCAOnCJMfDTmrE=";
+ vendorHash = "sha256-pT9WQuoA1LfeA2MO1giuO2hfwydiWqjyYXdSbqfHdhs=";
nativeBuildInputs = [ makeWrapper ];
diff --git a/pkgs/by-name/im/imhex/package.nix b/pkgs/by-name/im/imhex/package.nix
index 2f1a6b927df2..7ee075ae1888 100644
--- a/pkgs/by-name/im/imhex/package.nix
+++ b/pkgs/by-name/im/imhex/package.nix
@@ -3,6 +3,7 @@
, cmake
, llvm
, fetchFromGitHub
+, fetchpatch
, mbedtls
, gtk3
, pkg-config
@@ -22,16 +23,14 @@
}:
let
- # FIXME: unstable, stable needs #252945 (details in #258964)
- # Next version bump should be stabilized
- version = "unstable-2023-10-01";
- patterns_version = "1.31.0";
+ version = "1.32.2";
+ patterns_version = "1.32.2";
patterns_src = fetchFromGitHub {
owner = "WerWolv";
repo = "ImHex-Patterns";
rev = "ImHex-v${patterns_version}";
- hash = "sha256-lTTXu9RxoD582lXWI789gNcWvJmxmBIlBRIiyY3DseM=";
+ hash = "sha256-K+LiQvykCrOwhEVy37lh7VSf5YJyBQtLz8AGFsuRznQ=";
};
in
@@ -43,10 +42,20 @@ stdenv.mkDerivation rec {
fetchSubmodules = true;
owner = "WerWolv";
repo = pname;
- rev = "a62ede784018f9d5aaf40587f71a1271429ab50b";
- hash = "sha256-L3ncmM7Ro60DvOF/Y0fjo2Smlw2LL8cPa8H6yVGdGAk=";
+ rev = "v${version}";
+ hash = "sha256-MYOZHQMYbbP01z0FyoCgTzwY1/71eUCmJYYfYvN9+so=";
};
+ patches = [
+ # Backport fixes (and fix to fix) for default plugin not being loaded.
+ (fetchpatch {
+ url = "https://github.com/WerWolv/PatternLanguage/compare/ImHex-v1.32.2..1adcdd358d3772681242267ddd3459c9d0913796.patch";
+ stripLen = 1;
+ extraPrefix = "lib/external/pattern_language/";
+ hash = "sha256-aGvt7vQ6PtFE3sw4rAXUP7Pq8cL29LEKyC0rJKkxOZI=";
+ })
+ ];
+
nativeBuildInputs = [ cmake llvm python3 perl pkg-config rsync ];
buildInputs = [
diff --git a/pkgs/by-name/im/immersed-vr/darwin.nix b/pkgs/by-name/im/immersed-vr/darwin.nix
new file mode 100644
index 000000000000..c43d92ccfda1
--- /dev/null
+++ b/pkgs/by-name/im/immersed-vr/darwin.nix
@@ -0,0 +1,27 @@
+{ stdenv
+, pname
+, version
+, src
+, meta
+, undmg
+}:
+
+stdenv.mkDerivation {
+ inherit pname version src meta;
+
+ nativeBuildInputs = [ undmg ];
+
+ sourceRoot = ".";
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p $out/Applications
+ cp -r *.app $out/Applications
+
+ runHook postInstall
+ '';
+
+ # Immersed is notarized.
+ dontFixup = true;
+}
diff --git a/pkgs/by-name/im/immersed-vr/linux.nix b/pkgs/by-name/im/immersed-vr/linux.nix
new file mode 100644
index 000000000000..fa4332e9146c
--- /dev/null
+++ b/pkgs/by-name/im/immersed-vr/linux.nix
@@ -0,0 +1,14 @@
+{ pname
+, version
+, src
+, meta
+, appimageTools
+}:
+appimageTools.wrapType2 rec {
+ inherit pname version src meta;
+ name = "${pname}-${version}";
+
+ extraInstallCommands = ''
+ mv $out/bin/{${name},${pname}}
+ '';
+}
diff --git a/pkgs/by-name/im/immersed-vr/package.nix b/pkgs/by-name/im/immersed-vr/package.nix
index 70cce2b97630..f9968e4485c3 100644
--- a/pkgs/by-name/im/immersed-vr/package.nix
+++ b/pkgs/by-name/im/immersed-vr/package.nix
@@ -1,27 +1,36 @@
{ lib
, appimageTools
+, callPackage
, fetchurl
+, stdenv
}:
-appimageTools.wrapType2 rec {
+let
pname = "immersed-vr";
- version = "9.6";
- name = "${pname}-${version}";
+ version = "9.10";
- src = fetchurl {
- url = "http://web.archive.org/web/20231011083250/https://static.immersed.com/dl/Immersed-x86_64.AppImage";
- hash = "sha256-iA0SQlPktETFXEqCbSoWV9NaWVahkPa6qO4Cfju0aBQ=";
+ sources = rec {
+ x86_64-linux = {
+ url = "https://web.archive.org/web/20240210075929/https://static.immersed.com/dl/Immersed-x86_64.AppImage";
+ hash = "sha256-Mx8UnV4fZSebj9ah650ZqsL/EIJpM6jl8tYmXJZiJpA=";
+ };
+ x86_64-darwin = {
+ url = "https://web.archive.org/web/20240210075929/https://static.immersed.com/dl/Immersed.dmg";
+ hash = "sha256-CR2KylovlS7zerZIEScnadm4+ENNhib5QnS6z5Ihv1Y=";
+ };
+ aarch64-darwin = x86_64-darwin;
};
- extraInstallCommands = ''
- mv $out/bin/{${name},${pname}}
- '';
+ src = fetchurl (sources.${stdenv.system} or (throw "Unsupported system: ${stdenv.system}"));
meta = with lib; {
description = "A VR coworking platform";
homepage = "https://immersed.com";
license = licenses.unfree;
maintainers = with maintainers; [ haruki7049 ];
- platforms = [ "x86_64-linux" ];
+ platforms = builtins.attrNames sources;
sourceProvenance = with sourceTypes; [ binaryNativeCode ];
};
-}
+
+in if stdenv.isDarwin
+then callPackage ./darwin.nix { inherit pname version src meta; }
+else callPackage ./linux.nix { inherit pname version src meta; }
diff --git a/pkgs/by-name/im/impression/package.nix b/pkgs/by-name/im/impression/package.nix
index 5d20c2e5a570..dea3d287468f 100644
--- a/pkgs/by-name/im/impression/package.nix
+++ b/pkgs/by-name/im/impression/package.nix
@@ -20,21 +20,21 @@
, pango
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "impression";
- version = "3.0.1";
+ version = "3.1.0";
src = fetchFromGitLab {
owner = "adhami3310";
repo = "Impression";
- rev = "v${version}";
- hash = "sha256-xxPclDjHdXWo43cwvSuF9MpNlMTJANNXScLY1mkQTqY=";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-5hBpe8D3DVXP6Hq5L4OUL9rCml0MoLdK7uZzbIIkNh0=";
};
cargoDeps = rustPlatform.fetchCargoTarball {
- inherit src;
- name = "${pname}-${version}";
- hash = "sha256-LDYckpKwNvkIdpPijTRIZPNfb4d9MZzxVFdSXarhFl0=";
+ inherit (finalAttrs) src;
+ name = "${finalAttrs.pname}-${finalAttrs.version}";
+ hash = "sha256-lbpbggf4DEjpXJ52aM7qNd01XCEY3xj8dKGMfCZ9i3A=";
};
nativeBuildInputs = [
@@ -68,4 +68,4 @@ stdenv.mkDerivation rec {
maintainers = with lib.maintainers; [ dotlambda ];
platforms = lib.platforms.linux;
};
-}
+})
diff --git a/pkgs/by-name/in/incus/client.nix b/pkgs/by-name/in/incus/client.nix
index 46bf691c6951..76f792377b10 100644
--- a/pkgs/by-name/in/incus/client.nix
+++ b/pkgs/by-name/in/incus/client.nix
@@ -3,12 +3,13 @@
lib,
buildGoModule,
+ fetchpatch,
fetchFromGitHub,
installShellFiles,
}:
let
releaseFile = if lts then ./lts.nix else ./latest.nix;
- inherit (import releaseFile) version hash vendorHash;
+ inherit (import releaseFile { inherit fetchpatch; }) version hash vendorHash;
in
buildGoModule rec {
diff --git a/pkgs/by-name/in/incus/latest.nix b/pkgs/by-name/in/incus/latest.nix
index 0c0c32ba9af3..78c09a857488 100644
--- a/pkgs/by-name/in/incus/latest.nix
+++ b/pkgs/by-name/in/incus/latest.nix
@@ -1,5 +1,12 @@
+{ fetchpatch }:
{
- hash = "sha256-3eWkQT2P69ZfN62H9B4WLnmlUOGkpzRR0rctgchP+6A=";
- version = "0.5.1";
- vendorHash = "sha256-2ZJU7WshN4UIbJv55bFeo9qiAQ/wxu182mnz7pE60xA=";
+ hash = "sha256-tGuAS0lZvoYb+TvmCklQ8TADZhbm4w/lhdI0ycS4/0o=";
+ version = "0.6.0";
+ vendorHash = "sha256-+WmgLOEBJ/7GF596iiTgyTPxn8l+hE6RVqjLKfCi5rs=";
+ patches = [
+ (fetchpatch {
+ url = "https://github.com/lxc/incus/pull/529.patch";
+ hash = "sha256-2aaPrzW/LVJidWeom0rqYOGpT2gvuV1yHLJN/TwQ1fk=";
+ })
+ ];
}
diff --git a/pkgs/by-name/in/incus/lts.nix b/pkgs/by-name/in/incus/lts.nix
index d70793f94dfa..a78deb48e23b 100644
--- a/pkgs/by-name/in/incus/lts.nix
+++ b/pkgs/by-name/in/incus/lts.nix
@@ -1,3 +1,3 @@
# this release doesn't exist yet, but satisfay the by-name checks
# will be added as incus-lts in all-packages.nix once ready
-{ }
+_: { }
diff --git a/pkgs/by-name/in/incus/package.nix b/pkgs/by-name/in/incus/package.nix
index 8a10f6eef7fd..2958ab036ac9 100644
--- a/pkgs/by-name/in/incus/package.nix
+++ b/pkgs/by-name/in/incus/package.nix
@@ -81,20 +81,11 @@ let
ovmf-2mb = OVMF.override {
secureBoot = true;
- csmSupport = false;
fdSize2MB = true;
};
ovmf-4mb = OVMF.override {
secureBoot = true;
- csmSupport = false;
- fdSize4MB = true;
- };
-
- ovmf-4mb-csm = OVMF.override {
- secureBoot = true;
- csmSupport = false;
- fdSize2MB = false;
fdSize4MB = true;
};
@@ -107,10 +98,6 @@ let
name = "OVMF_CODE.2MB.fd";
path = "${ovmf-2mb.fd}/FV/${ovmf-prefix}_CODE.fd";
}
- {
- name = "OVMF_CODE.4MB.CSM.fd";
- path = "${ovmf-4mb-csm.fd}/FV/${ovmf-prefix}_CODE.fd";
- }
{
name = "OVMF_CODE.4MB.fd";
path = "${ovmf-4mb.fd}/FV/${ovmf-prefix}_CODE.fd";
@@ -128,10 +115,6 @@ let
name = "OVMF_VARS.2MB.ms.fd";
path = "${ovmf-2mb.fd}/FV/${ovmf-prefix}_VARS.fd";
}
- {
- name = "OVMF_VARS.4MB.CSM.fd";
- path = "${ovmf-4mb-csm.fd}/FV/${ovmf-prefix}_VARS.fd";
- }
{
name = "OVMF_VARS.4MB.fd";
path = "${ovmf-4mb.fd}/FV/${ovmf-prefix}_VARS.fd";
@@ -165,6 +148,7 @@ symlinkJoin {
passthru = {
inherit client unwrapped;
+ ui = callPackage ./ui.nix {};
inherit (unwrapped) tests;
};
diff --git a/pkgs/by-name/in/incus/ui.nix b/pkgs/by-name/in/incus/ui.nix
new file mode 100644
index 000000000000..705d715f0cfd
--- /dev/null
+++ b/pkgs/by-name/in/incus/ui.nix
@@ -0,0 +1,87 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, fetchYarnDeps
+, nodejs
+, prefetch-yarn-deps
+, yarn
+, nixosTests
+, git
+}:
+
+stdenv.mkDerivation rec {
+ pname = "incus-ui";
+ version = "0.6";
+
+ src = fetchFromGitHub {
+ owner = "canonical";
+ repo = "lxd-ui";
+ rev = "refs/tags/${version}";
+ hash = "sha256-3Ts6lKyzpMDVATCKD1fFIGTskWzWpQUT9S8cPFnlEOs=";
+ };
+
+ offlineCache = fetchYarnDeps {
+ yarnLock = "${src}/yarn.lock";
+ hash = "sha256-0pyxwMGGqogEe1w3sail8NUDHtxLQZU9Wg8E6rQNy4o=";
+ };
+
+ zabbly = fetchFromGitHub {
+ owner = "zabbly";
+ repo = "incus";
+ rev = "3eabc1960e99e7e515916e3ea7068a412a8c420b";
+ hash = "sha256-Kw53Qjurc6WPswB38v6wuRhuuGE34uYxNoAKH4UmTBE=";
+ };
+
+ patchPhase = ''
+ for p in $zabbly/patches/ui-canonical*patch; do
+ echo "applying patch $p"
+ git apply -p1 "$p"
+ done
+ sed -i -f "$zabbly/patches/ui-canonical-renames.sed" src/*/*.ts* src/*/*/*.ts* src/*/*/*/*.ts*
+ '';
+
+ nativeBuildInputs = [
+ nodejs
+ prefetch-yarn-deps
+ yarn
+ git
+ ];
+
+ configurePhase = ''
+ runHook preConfigure
+
+ export HOME=$(mktemp -d)
+ yarn config --offline set yarn-offline-mirror "$offlineCache"
+ fixup-yarn-lock yarn.lock
+ yarn --offline --frozen-lockfile --ignore-platform --ignore-scripts --no-progress --non-interactive install
+ patchShebangs node_modules
+
+ runHook postConfigure
+ '';
+
+ buildPhase = ''
+ runHook preBuild
+
+ yarn --offline build
+
+ runHook postBuild
+ '';
+
+ installPhase = ''
+ runHook preInstall
+
+ cp -r build/ui/ $out
+
+ runHook postInstall
+ '';
+
+ passthru.tests.default = nixosTests.incus.ui;
+
+ meta = {
+ description = "Web user interface for Incus, based on LXD webui";
+ homepage = "https://github.com/canonical/lxd-ui";
+ license = lib.licenses.gpl3;
+ maintainers = lib.teams.lxc.members;
+ platforms = lib.platforms.linux;
+ };
+}
diff --git a/pkgs/by-name/in/incus/unwrapped.nix b/pkgs/by-name/in/incus/unwrapped.nix
index 73257c583940..7a28737ea390 100644
--- a/pkgs/by-name/in/incus/unwrapped.nix
+++ b/pkgs/by-name/in/incus/unwrapped.nix
@@ -3,6 +3,7 @@
lib,
buildGoModule,
+ fetchpatch,
fetchFromGitHub,
writeShellScript,
acl,
@@ -19,14 +20,19 @@
let
releaseFile = if lts then ./lts.nix else ./latest.nix;
- inherit (import releaseFile) version hash vendorHash;
+ inherit (import releaseFile { inherit fetchpatch; })
+ version
+ hash
+ patches
+ vendorHash
+ ;
name = "incus${lib.optionalString lts "-lts"}";
in
-buildGoModule rec {
+buildGoModule {
pname = "${name}-unwrapped";
- inherit vendorHash version;
+ inherit patches vendorHash version;
src = fetchFromGitHub {
owner = "lxc";
diff --git a/pkgs/by-name/in/indiepass-desktop/package.nix b/pkgs/by-name/in/indiepass-desktop/package.nix
deleted file mode 100644
index b028755fa67a..000000000000
--- a/pkgs/by-name/in/indiepass-desktop/package.nix
+++ /dev/null
@@ -1,58 +0,0 @@
-{ lib
-, buildNpmPackage
-, fetchFromGitHub
-, makeDesktopItem
-, copyDesktopItems
-, makeWrapper
-, electron
-}:
-
-buildNpmPackage rec {
- pname = "indiepass-desktop";
- version = "1.4.0-unstable-2023-05-19";
-
- src = fetchFromGitHub {
- owner = "indiepass";
- repo = "indiepass-desktop";
- rev = "751660324d6bfc6f95af08bf9bc92e892841f2b2";
- hash = "sha256-cQqL8eNb23NFMWrK9xh6bZcr0EoYbyJiid+xXQRPqMk=";
- };
-
- npmDepsHash = "sha256-gp77eDxturBib0JRNVNSd+nDxQyVTJVKEj4ydB7eICE=";
-
- env.ELECTRON_SKIP_BINARY_DOWNLOAD = "1";
-
- dontNpmBuild = true;
-
- desktopItems = [
- (makeDesktopItem {
- name = pname;
- exec = "indiepass";
- icon = "indiepass";
- comment = meta.description;
- desktopName = "Indiepass";
- genericName = "Feed Reader";
- })
- ];
-
- nativeBuildInputs = [
- copyDesktopItems
- makeWrapper
- ];
-
- postInstall = ''
- install -Dm 644 $out/lib/node_modules/indiepass/images/icon.png $out/share/pixmaps/indiepass.png
-
- makeWrapper ${electron}/bin/electron $out/bin/indiepass \
- --add-flags $out/lib/node_modules/indiepass/main.js
- '';
-
- meta = with lib; {
- description = "IndieWeb app with extensions for sharing to/reading from micropub endpoints";
- homepage = "https://github.com/IndiePass/indiepass-desktop";
- license = licenses.gpl3Only;
- maintainers = with maintainers; [ wolfangaukang ];
- mainProgram = "indiepass";
- platforms = [ "x86_64-linux" ];
- };
-}
diff --git a/pkgs/by-name/in/influxdb-cxx/package.nix b/pkgs/by-name/in/influxdb-cxx/package.nix
new file mode 100644
index 000000000000..cc97be1c6874
--- /dev/null
+++ b/pkgs/by-name/in/influxdb-cxx/package.nix
@@ -0,0 +1,45 @@
+{ lib, stdenv, fetchFromGitHub, fetchpatch, cmake, boost, catch2_3, libcpr, trompeloeil }:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "influxdb-cxx";
+ version = "0.7.2";
+
+ src = fetchFromGitHub {
+ owner = "offa";
+ repo = "influxdb-cxx";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-DFslPrbgqS3JGx62oWlsC+AN5J2CsFjGcDaDRCadw7E=";
+ };
+
+ patches = [
+ # Fix unclosed test case tag
+ (fetchpatch {
+ url = "https://github.com/offa/influxdb-cxx/commit/b31f94982fd1d50e89ce04f66c694bec108bf470.patch";
+ hash = "sha256-oSdpNlWV744VpzfiWzp0ziNKaReLTlyfJ+SF2qyH+TU=";
+ })
+ ];
+
+ postPatch = ''
+ substituteInPlace CMakeLists.txt --replace "-Werror" ""
+ '';
+
+ nativeBuildInputs = [ cmake ];
+
+ buildInputs = [ boost libcpr ]
+ ++ lib.optionals finalAttrs.doCheck [ catch2_3 trompeloeil ];
+
+ cmakeFlags = [
+ (lib.cmakeBool "INFLUXCXX_TESTING" finalAttrs.doCheck)
+ (lib.cmakeFeature "CMAKE_CTEST_ARGUMENTS" "-E;BoostSupportTest") # requires network access
+ ];
+
+ doCheck = true;
+
+ meta = with lib; {
+ description = "InfluxDB C++ client library";
+ homepage = "https://github.com/offa/influxdb-cxx";
+ license = licenses.mit;
+ maintainers = with maintainers; [ sikmir ];
+ platforms = platforms.unix;
+ };
+})
diff --git a/pkgs/by-name/in/intiface-central/package.nix b/pkgs/by-name/in/intiface-central/package.nix
index 889ef7a874d0..180d4feefc66 100644
--- a/pkgs/by-name/in/intiface-central/package.nix
+++ b/pkgs/by-name/in/intiface-central/package.nix
@@ -27,7 +27,7 @@ flutter.buildFlutterApplication rec {
cargoDeps = rustPlatform.fetchCargoTarball {
name = "${pname}-${version}-cargo-deps";
inherit src;
- sourceRoot = "source/intiface-engine-flutter-bridge";
+ sourceRoot = "${src.name}/intiface-engine-flutter-bridge";
hash = "sha256-0sCHa3rMaLYaUG3E3fmsLi0dSdb9vGyv7qNR3JQkXuU=";
};
cargoRoot = "intiface-engine-flutter-bridge";
diff --git a/pkgs/by-name/in/intune-portal/package.nix b/pkgs/by-name/in/intune-portal/package.nix
index fa8e7b5871a4..6e60df8bde46 100644
--- a/pkgs/by-name/in/intune-portal/package.nix
+++ b/pkgs/by-name/in/intune-portal/package.nix
@@ -23,11 +23,11 @@
}:
stdenv.mkDerivation rec {
pname = "intune-portal";
- version = "1.2312.35-jammy";
+ version = "1.2402.12-jammy";
src = fetchurl {
url = "https://packages.microsoft.com/ubuntu/22.04/prod/pool/main/i/${pname}/${pname}_${version}_amd64.deb";
- hash = "sha256-mgcnqj/+4ffMf4PhMW4ovCotLilyudGOpn0qqXZCmzc=";
+ hash = "sha256-S5+Ddm13OJ4eQTQ9Q8OgsCqnpM6GxuZ1hEycZCy6//g=";
};
nativeBuildInputs = [ dpkg ];
diff --git a/pkgs/by-name/in/invidtui/package.nix b/pkgs/by-name/in/invidtui/package.nix
index 378280bf95f3..d141398a2f9d 100644
--- a/pkgs/by-name/in/invidtui/package.nix
+++ b/pkgs/by-name/in/invidtui/package.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "invidtui";
- version = "0.4.2";
+ version = "0.4.4";
src = fetchFromGitHub {
owner = "darkhz";
repo = "invidtui";
rev = "refs/tags/v${version}";
- hash = "sha256-/HsoV8HdMffD7dzRblSSBMv7kBPRpxUarM5WZoYVxvQ=";
+ hash = "sha256-nNJ2bjrHRIzcPs+jbZpgaHBxSWRzSRIsT6xx9EsbISg=";
};
- vendorHash = "sha256-T/muFaQQp/joOCehNZQc5CWmyGakoRaGAsO2mTOODJA=";
+ vendorHash = "sha256-C7O2GJuEdO8geRPfHx1Sq6ZveDE/u65JBx/Egh3cnK4=";
doCheck = true;
diff --git a/pkgs/by-name/io/ioq3-scion/package.nix b/pkgs/by-name/io/ioq3-scion/package.nix
new file mode 100644
index 000000000000..bb28ff198903
--- /dev/null
+++ b/pkgs/by-name/io/ioq3-scion/package.nix
@@ -0,0 +1,19 @@
+{ ioquake3, fetchFromGitHub, pan-bindings, libsodium, lib }:
+ioquake3.overrideAttrs (old: {
+ pname = "ioq3-scion";
+ version = "unstable-2024-03-03";
+ buildInputs = old.buildInputs ++ [
+ pan-bindings
+ libsodium
+ ];
+ src = fetchFromGitHub {
+ owner = "lschulz";
+ repo = "ioq3-scion";
+ rev = "9f06abd5030c51cd4582ba3d24ba87531e3eadbc";
+ hash = "sha256-+zoSlNT+oqozQFnhA26PiMo1NnzJJY/r4tcm2wOCBP0=";
+ };
+ meta = {
+ description = "ioquake3 with support for path aware networking";
+ maintainers = with lib.maintainers; [ matthewcroughan ];
+ };
+})
diff --git a/pkgs/by-name/ip/ipam/package.nix b/pkgs/by-name/ip/ipam/package.nix
new file mode 100644
index 000000000000..ec54b78e6e9d
--- /dev/null
+++ b/pkgs/by-name/ip/ipam/package.nix
@@ -0,0 +1,42 @@
+{ lib
+, buildGoModule
+, fetchFromGitea
+, installShellFiles
+}:
+
+buildGoModule rec {
+ pname = "ipam";
+ version = "0.3.0-1";
+
+ src = fetchFromGitea {
+ domain = "codeberg.org";
+ owner = "lauralani";
+ repo = "ipam";
+ rev = "v${version}";
+ hash = "sha256-6gOkBjXgaMMWFRXFTSBY9YaNPdMRyLl8wy7BT/5vHio=";
+ };
+
+ nativeBuildInputs = [
+ installShellFiles
+ ];
+
+ vendorHash = "sha256-l8eeeYv41yUPQ1dyJY4Jo3uvULrc1B/buGlMxYSdhCA=";
+
+ ldflags = [ "-s" "-w" ];
+
+ postInstall = ''
+ installShellCompletion --cmd ipam \
+ --bash <($out/bin/ipam completion bash) \
+ --fish <($out/bin/ipam completion fish) \
+ --zsh <($out/bin/ipam completion zsh)
+ '';
+
+ meta = with lib; {
+ description = "A cli based IPAM written in Go with PowerDNS support";
+ homepage = "https://ipam.lauka.net/";
+ changelog = "https://codeberg.org/lauralani/ipam/releases/tag/v${version}";
+ license = licenses.gpl3Plus;
+ maintainers = with maintainers; [ janik ];
+ mainProgram = "ipam";
+ };
+}
diff --git a/pkgs/by-name/ip/iplan/package.nix b/pkgs/by-name/ip/iplan/package.nix
new file mode 100644
index 000000000000..c58a23d88ec1
--- /dev/null
+++ b/pkgs/by-name/ip/iplan/package.nix
@@ -0,0 +1,55 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, cargo
+, meson
+, ninja
+, pkg-config
+, rustPlatform
+, rustc
+, wrapGAppsHook4
+, desktop-file-utils
+, libadwaita
+}:
+
+stdenv.mkDerivation rec {
+ pname = "iplan";
+ version = "1.9.2";
+
+ src = fetchFromGitHub {
+ owner = "iman-salmani";
+ repo = "iplan";
+ rev = "v${version}";
+ hash = "sha256-BIoxaE8c3HmvPjgj4wcZK9YFTZ0wr9338AIdYEoAiqs=";
+ };
+
+ cargoDeps = rustPlatform.fetchCargoTarball {
+ inherit src;
+ name = "${pname}-${version}";
+ hash = "sha256-p8ETWWvjtP9f/lc347ORPqTai5p/TWQCCMRe+c0FyFk=";
+ };
+
+ nativeBuildInputs = [
+ cargo
+ meson
+ ninja
+ pkg-config
+ rustPlatform.cargoSetupHook
+ rustc
+ wrapGAppsHook4
+ desktop-file-utils
+ ];
+
+ buildInputs = [
+ libadwaita
+ ];
+
+ meta = with lib; {
+ description = "Your plan for improving personal life and workflow";
+ homepage = "https://github.com/iman-salmani/iplan";
+ license = licenses.gpl3Plus;
+ mainProgram = "iplan";
+ maintainers = with maintainers; [ aleksana ];
+ platforms = platforms.linux;
+ };
+}
diff --git a/pkgs/games/itch/default.nix b/pkgs/by-name/it/itch/package.nix
similarity index 75%
rename from pkgs/games/itch/default.nix
rename to pkgs/by-name/it/itch/package.nix
index 897d8f3941c4..3ba0c6cb4350 100644
--- a/pkgs/games/itch/default.nix
+++ b/pkgs/by-name/it/itch/package.nix
@@ -11,27 +11,27 @@
}:
stdenvNoCC.mkDerivation rec {
pname = "itch";
- version = "26.1.2";
+ version = "26.1.3";
# TODO: Using kitch instead of itch, revert when possible
src = fetchzip {
- url = "https://broth.itch.ovh/k${pname}/linux-amd64/${version}/archive/default#.zip";
+ url = "https://broth.itch.ovh/kitch/linux-amd64/${version}/archive/default#.zip";
stripRoot = false;
- sha256 = "sha256-thXe+glpltSiKNGIRgvOZQZPJWfDHWo3dLdziyp2BM4=";
+ hash = "sha256-FHwbzLPMzIpyg6KyYTq6/rSNRH76dytwb9D5f9vNKkU=";
};
itch-setup = fetchzip {
url = "https://broth.itch.ovh/itch-setup/linux-amd64/1.26.0/itch-setup.zip";
stripRoot = false;
- sha256 = "sha256-5MP6X33Jfu97o5R1n6Og64Bv4ZMxVM0A8lXeQug+bNA=";
+ hash = "sha256-5MP6X33Jfu97o5R1n6Og64Bv4ZMxVM0A8lXeQug+bNA=";
};
icons = let sparseCheckout = "/release/images/itch-icons"; in
fetchFromGitHub {
owner = "itchio";
- repo = pname;
+ repo = "itch";
rev = "v${version}-canary";
- sha256 = "sha256-veZiKs9qHge+gCEpJ119bAT56ssXJAH3HBcYkEHqBFg=";
+ hash = "sha256-0AMyDZ5oI7/pSvudoEqXnMZJtpcKVlUSR6YVm+s4xv0=";
sparseCheckout = [ sparseCheckout ];
} + sparseCheckout;
@@ -39,11 +39,11 @@ stdenvNoCC.mkDerivation rec {
desktopItems = [
(makeDesktopItem {
- name = pname;
+ name = "itch";
exec = "itch %U";
- tryExec = pname;
- icon = pname;
- desktopName = pname;
+ tryExec = "itch";
+ icon = "itch";
+ desktopName = "itch";
mimeTypes = [ "x-scheme-handler/itchio" "x-scheme-handler/itch" ];
comment = "Install and play itch.io games easily";
categories = [ "Game" ];
@@ -58,8 +58,8 @@ stdenvNoCC.mkDerivation rec {
substituteInPlace ./resources/app/package.json \
--replace "kitch" "itch"
- mkdir -p $out/bin $out/share/${pname}/resources/app
- cp -r resources/app "$out/share/${pname}/resources/"
+ mkdir -p $out/bin $out/share/itch/resources/app
+ cp -r resources/app "$out/share/itch/resources/"
install -Dm644 LICENSE -t "$out/share/licenses/$pkgname/"
install -Dm644 LICENSES.chromium.html -t "$out/share/licenses/$pkgname/"
@@ -76,9 +76,9 @@ stdenvNoCC.mkDerivation rec {
'';
postFixup = ''
- makeWrapper ${steam-run}/bin/steam-run $out/bin/${pname} \
+ makeWrapper ${steam-run}/bin/steam-run $out/bin/itch \
--add-flags ${electron}/bin/electron \
- --add-flags $out/share/${pname}/resources/app \
+ --add-flags $out/share/itch/resources/app \
--set BROTH_USE_LOCAL butler,itch-setup \
--prefix PATH : ${butler}/bin/:${itch-setup}
'';
@@ -90,5 +90,6 @@ stdenvNoCC.mkDerivation rec {
platforms = platforms.linux;
sourceProvenance = [ lib.sourceTypes.binaryBytecode ];
maintainers = with maintainers; [ pasqui23 ];
+ mainProgram = "itch";
};
}
diff --git a/pkgs/by-name/ja/jackass/package.nix b/pkgs/by-name/ja/jackass/package.nix
new file mode 100644
index 000000000000..700ba4254091
--- /dev/null
+++ b/pkgs/by-name/ja/jackass/package.nix
@@ -0,0 +1,66 @@
+{ lib, stdenv, fetchFromGitHub, fetchzip, pkg-config, wine64, enableJackAssWine64 ? false }:
+
+let
+ # equal to vst-sdk in ../oxefmsynth/default.nix
+ vst-sdk = stdenv.mkDerivation (finalAttrs: {
+ name = "vstsdk3610_11_06_2018_build_37";
+ src = fetchzip {
+ url = "https://web.archive.org/web/20181016150224if_/https://download.steinberg.net/sdk_downloads/${finalAttrs.name}.zip";
+ hash = "sha256-cjYakxnqSDqSZ32FPK3OUhDpslOlavHh5SAVpng0QTU=";
+ };
+ installPhase = ''
+ runHook preInstall
+ cp -r . $out
+ runHook postInstall
+ '';
+ });
+
+in
+stdenv.mkDerivation (finalAttrs: {
+ pname = "jackass";
+ version = "1.1";
+
+ src = fetchFromGitHub {
+ owner = "falkTX";
+ repo = "JackAss";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-6mqG4H6iGvDbGnmMeP/vcvSnvUGClZUl06XpKovt50E=";
+ };
+
+ postPatch = ''
+ cp -r ${vst-sdk}/VST2_SDK/{public.sdk,pluginterfaces} vstsdk2.4
+ '';
+
+ nativeBuildInputs = [ pkg-config ] ++ lib.optionals enableJackAssWine64 [ wine64 ];
+
+ makeFlags = [ "linux" ] ++ lib.optionals enableJackAssWine64 [ "wine64" ];
+
+ installPhase = ''
+ runHook preInstall
+
+ install_dir="$out/lib/vst"
+ mkdir -p $install_dir
+ for file in JackAss.so JackAssWine64.dll; do
+ if test -f "$file"; then
+ cp $file $install_dir
+ fi
+ done
+
+ runHook postInstall
+ '';
+
+ enableParallelBuilding = true;
+
+ meta = with lib; {
+ description = "JackAss is a VST plugin that provides JACK-MIDI support for VST hosts.";
+ longDescription = ''
+ Simply load the plugin in your favourite host to get a JACK-MIDI port.
+ Optionally includes a special Wine build for running in Wine
+ applications. Set enableJackAssWine64 to true to enable this output.
+ '';
+ homepage = "https://github.com/falkTX/JackAss";
+ maintainers = with maintainers; [ PowerUser64 ];
+ license = with licenses; [ mit unfree ];
+ platforms = platforms.linux;
+ };
+})
diff --git a/pkgs/by-name/ja/jan/package.nix b/pkgs/by-name/ja/jan/package.nix
index 2566dc09bc65..76daa73b20e6 100644
--- a/pkgs/by-name/ja/jan/package.nix
+++ b/pkgs/by-name/ja/jan/package.nix
@@ -5,10 +5,10 @@
let
pname = "jan";
- version = "0.4.6";
+ version = "0.4.9";
src = fetchurl {
url = "https://github.com/janhq/jan/releases/download/v${version}/jan-linux-x86_64-${version}.AppImage";
- hash = "sha256-/FYaFyp028CeEFfrxNnj67/z7FoOwU0wC2V56mACD5Q=";
+ hash = "sha256-6XnDrr+AkZH69zXf0OKdi8R6LoRWWMZNqWilZhLGynk=";
};
appimageContents = appimageTools.extractType2 { inherit pname version src; };
diff --git a/pkgs/by-name/ja/jankyborders/package.nix b/pkgs/by-name/ja/jankyborders/package.nix
new file mode 100644
index 000000000000..51ce3ded630e
--- /dev/null
+++ b/pkgs/by-name/ja/jankyborders/package.nix
@@ -0,0 +1,58 @@
+{ lib
+, fetchFromGitHub
+, pkg-config
+, pkgs
+, overrideSDK
+, darwin
+, testers
+}:
+let
+ stdenv = overrideSDK pkgs.stdenv "11.0";
+in
+stdenv.mkDerivation (finalAttrs: {
+ pname = "JankyBorders";
+ version = "1.6.0";
+
+ src = fetchFromGitHub {
+ owner = "FelixKratz";
+ repo = "JankyBorders";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-DX1d228UCOI+JU+RxenhiGyn3AiqpsGe0aCtr091szs=";
+ };
+
+ nativeBuildInputs = [
+ pkg-config
+ ];
+
+ buildInputs = with darwin.apple_sdk.frameworks; [
+ AppKit
+ ApplicationServices
+ CoreFoundation
+ CoreGraphics
+ SkyLight
+ ];
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p $out/bin
+ cp ./bin/borders $out/bin/borders
+
+ runHook postInstall
+ '';
+
+ passthru.tests.version = testers.testVersion {
+ package = finalAttrs.finalPackage;
+ version = "borders-v${finalAttrs.version}";
+ };
+
+ meta = {
+ description = "JankyBorders is a lightweight tool designed to add colored borders to user windows on macOS 14.0+";
+ longDescription = "It enhances the user experience by visually highlighting the currently focused window without relying on the accessibility API, thereby being faster than comparable tools.";
+ homepage = "https://github.com/FelixKratz/JankyBorders";
+ license = lib.licenses.gpl3;
+ mainProgram = "borders";
+ maintainers = with lib.maintainers; [ khaneliman ];
+ platforms = lib.platforms.darwin;
+ };
+})
diff --git a/pkgs/by-name/ja/jasp-desktop/package.nix b/pkgs/by-name/ja/jasp-desktop/package.nix
index 395b980da880..208589e45695 100644
--- a/pkgs/by-name/ja/jasp-desktop/package.nix
+++ b/pkgs/by-name/ja/jasp-desktop/package.nix
@@ -110,7 +110,7 @@ stdenv.mkDerivation {
changelog = "https://jasp-stats.org/release-notes";
description = "A complete statistical package for both Bayesian and Frequentist statistical methods";
homepage = "https://github.com/jasp-stats/jasp-desktop";
- license = lib.licenses.agpl3;
+ license = lib.licenses.agpl3Plus;
mainProgram = "JASP";
maintainers = with lib.maintainers; [ tomasajt ];
# JASP's cmake build steps are really different on Darwin
diff --git a/pkgs/by-name/ja/jasper/package.nix b/pkgs/by-name/ja/jasper/package.nix
index 47a8cf5b85a1..e399268a202c 100644
--- a/pkgs/by-name/ja/jasper/package.nix
+++ b/pkgs/by-name/ja/jasper/package.nix
@@ -1,33 +1,56 @@
{ lib
-, stdenv
-, fetchFromGitHub
, cmake
+, fetchFromGitHub
+, freeglut
+, libGL
+, libheif
+, libjpeg
, pkg-config
+, stdenv
+, enableHEIFCodec ? true
+, enableJPGCodec ? true
+, enableOpenGL ? true
}:
stdenv.mkDerivation (finalAttrs: {
pname = "jasper";
- version = "4.1.2";
+ version = "4.2.2";
src = fetchFromGitHub {
owner = "jasper-software";
repo = "jasper";
rev = "version-${finalAttrs.version}";
- hash = "sha256-tTgoRLthNLqRO8fDrmGHVCB9QXpmPmTr9uqSFwkIK+s=";
+ hash = "sha256-dcE9Cc+L/nLp/JCvYuGLRnkxL1i3dLIB9cSILWaZWn4=";
};
- outputs = [ "out" "doc" "man" ];
+ outputs = [ "out" "dev" "doc" "lib" "man" ];
nativeBuildInputs = [
cmake
pkg-config
];
+ buildInputs = [
+ ] ++ lib.optionals enableHEIFCodec [
+ libheif
+ ] ++ lib.optionals enableJPGCodec [
+ libjpeg
+ ] ++ lib.optionals enableOpenGL [
+ freeglut
+ libGL
+ ];
+
# Since "build" already exists and is populated, cmake tries to use it,
# throwing uncomprehensible error messages...
cmakeBuildDir = "build-directory";
cmakeFlags = [
(lib.cmakeBool "ALLOW_IN_SOURCE_BUILD" true)
+ (lib.cmakeBool "JAS_ENABLE_HEIC_CODEC" enableHEIFCodec)
+ (lib.cmakeBool "JAS_INCLUDE_HEIC_CODEC" enableHEIFCodec)
+ (lib.cmakeBool "JAS_ENABLE_JPG_CODEC" enableJPGCodec)
+ (lib.cmakeBool "JAS_INCLUDE_JPG_CODEC" enableJPGCodec)
+ (lib.cmakeBool "JAS_ENABLE_MIF_CODEC" false) # Dangerous!
+ (lib.cmakeBool "JAS_ENABLE_OPENGL" enableOpenGL)
];
strictDeps = true;
@@ -52,11 +75,13 @@ stdenv.mkDerivation (finalAttrs: {
was chosen primarily due to the availability of C development environments
for most computing platforms when JasPer was first developed, circa 1999.
'';
- license = lib.licenses.mit;
+ license = with lib.licenses; [ mit ];
+ mainProgram = "jasper";
maintainers = with lib.maintainers; [ AndersonTorres ];
platforms = lib.platforms.unix;
-
- # The value of __STDC_VERSION__ cannot be automatically determined when cross-compiling.
+ # The value of __STDC_VERSION__ cannot be automatically determined when
+ # cross-compiling.
broken = stdenv.buildPlatform != stdenv.hostPlatform;
};
})
+# TODO: investigate opengl support
diff --git a/pkgs/by-name/ji/jigmo/package.nix b/pkgs/by-name/ji/jigmo/package.nix
new file mode 100644
index 000000000000..18c84916dbf5
--- /dev/null
+++ b/pkgs/by-name/ji/jigmo/package.nix
@@ -0,0 +1,27 @@
+{ lib, stdenvNoCC, fetchzip }:
+
+stdenvNoCC.mkDerivation rec {
+ pname = "jigmo";
+ version = "20230816";
+
+ src = fetchzip {
+ url = "https://kamichikoichi.github.io/jigmo/Jigmo-${version}.zip";
+ hash = "sha256-wBec7IiUneqCEyY704Wi6F6WG0Z1KK7gBGcJhRjrRDc=";
+ };
+
+ installPhase = ''
+ runHook preInstall
+
+ install -Dm644 *.ttf -t $out/share/fonts/truetype/
+
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ description = "Japanese Kanji font set which is the official successor to Hanazono Mincho";
+ homepage = "https://kamichikoichi.github.io/jigmo/";
+ license = licenses.cc0;
+ maintainers = [ maintainers.marsam ];
+ platforms = platforms.all;
+ };
+}
diff --git a/pkgs/by-name/jn/jnv/package.nix b/pkgs/by-name/jn/jnv/package.nix
new file mode 100644
index 000000000000..bb81b8b70bff
--- /dev/null
+++ b/pkgs/by-name/jn/jnv/package.nix
@@ -0,0 +1,35 @@
+{ lib
+, rustPlatform
+, fetchFromGitHub
+, autoconf
+, automake
+, libtool
+}:
+rustPlatform.buildRustPackage rec {
+ pname = "jnv";
+ version = "0.1.2";
+
+ src = fetchFromGitHub {
+ owner = "ynqa";
+ repo = "jnv";
+ rev = "v${version}";
+ hash = "sha256-22aoK1s8DhKttGGR9ouNDIWhYCv6dghT/jfAC0VX8Sw=";
+ };
+
+ cargoHash = "sha256-CmupwWwopXpnPm8R17JVfAoGt4QEos5I+3qumDKEyM8=";
+
+ nativeBuildInputs = [
+ autoconf
+ automake
+ libtool
+ rustPlatform.bindgenHook
+ ];
+
+ meta = with lib; {
+ description = "Interactive JSON filter using jq";
+ mainProgram = "jnv";
+ homepage = "https://github.com/ynqa/jnv";
+ license = with licenses; [ mit ];
+ maintainers = with maintainers; [ nealfennimore nshalman ];
+ };
+}
diff --git a/pkgs/by-name/jo/jogl/package.nix b/pkgs/by-name/jo/jogl/package.nix
index 611b85acd42e..5157fe679771 100644
--- a/pkgs/by-name/jo/jogl/package.nix
+++ b/pkgs/by-name/jo/jogl/package.nix
@@ -5,6 +5,7 @@
, jdk11
, git
, xmlstarlet
+, stripJavaArchivesHook
, xcbuild
, udev
, xorg
@@ -38,7 +39,24 @@ stdenv.mkDerivation {
unpackCmd = "cp -r $curSrc \${curSrc##*-}";
- postPatch = lib.optionalString stdenv.isDarwin ''
+ postPatch = ''
+ substituteInPlace gluegen/src/java/com/jogamp/common/util/IOUtil.java \
+ --replace-fail '#!/bin/true' '#!${coreutils}/bin/true'
+ ''
+ # prevent looking for native libraries in /usr/lib
+ + ''
+ substituteInPlace jogl/make/build-*.xml \
+ --replace-warn 'dir="''${TARGET_PLATFORM_USRLIBS}"' ""
+ ''
+ # force way to do disfunctional "ant -Dsetup.addNativeBroadcom=false" and disable dependency on raspberrypi drivers
+ # if arm/aarch64 support will be added, this block might be commented out on those platforms
+ # on x86 compiling with default "setup.addNativeBroadcom=true" leads to unsatisfied import "vc_dispmanx_resource_delete" in libnewt.so
+ + ''
+ xmlstarlet ed --inplace \
+ --delete '//*[@if="setup.addNativeBroadcom"]' \
+ jogl/make/build-newt.xml
+ ''
+ + lib.optionalString stdenv.isDarwin ''
sed -i '/if="use.macos/d' gluegen/make/gluegen-cpptasks-base.xml
rm -r jogl/oculusvr-sdk
'';
@@ -48,6 +66,7 @@ stdenv.mkDerivation {
jdk11
git
xmlstarlet
+ stripJavaArchivesHook
] ++ lib.optionals stdenv.isDarwin [
xcbuild
];
@@ -67,46 +86,35 @@ stdenv.mkDerivation {
darwin.apple_sdk_11_0.frameworks.Cocoa
];
- # Workaround build failure on -fno-common toolchains:
- # ld: ../obj/Bindingtest1p1Impl_JNI.o:(.bss+0x8): multiple definition of
- # `unsigned_size_t_1'; ../obj/TK_Surface_JNI.o:(.bss+0x8): first defined here
- NIX_CFLAGS_COMPILE = "-fcommon"; # copied from 2.3.2, is this still needed?
+ env = {
+ SOURCE_LEVEL = "1.8";
+ TARGET_LEVEL = "1.8";
+ TARGET_RT_JAR = "null.jar";
+ # error: incompatible pointer to integer conversion returning 'GLhandleARB' (aka 'void *') from a function with result type 'jlong' (aka 'long long')
+ NIX_CFLAGS_COMPILE = lib.optionalString stdenv.cc.isClang "-Wno-int-conversion";
+ };
buildPhase = ''
- ( cd gluegen/make
- substituteInPlace ../src/java/com/jogamp/common/util/IOUtil.java --replace '#!/bin/true' '#!${coreutils}/bin/true'
+ runHook preBuild
- # set timestamp of files in jar to a fixed point in time
- xmlstarlet ed --inplace \
- --append //jar --type attr -n modificationtime --value 1980-01-01T00:00Z \
- build.xml gluegen-cpptasks-base.xml
+ for f in gluegen jogl; do
+ pushd $f/make
+ ant
+ popd
+ done
- ant -Dtarget.sourcelevel=8 -Dtarget.targetlevel=8 -Dtarget.rt.jar='null.jar' )
-
- ( cd jogl/make
-
- # prevent looking for native libraries in /usr/lib
- substituteInPlace build-*.xml \
- --replace 'dir="''${TARGET_PLATFORM_USRLIBS}"' ""
-
- # force way to do disfunctional "ant -Dsetup.addNativeBroadcom=false" and disable dependency on raspberrypi drivers
- # if arm/aarch64 support will be added, this block might be commented out on those platforms
- # on x86 compiling with default "setup.addNativeBroadcom=true" leads to unsatisfied import "vc_dispmanx_resource_delete" in libnewt.so
- xmlstarlet ed --inplace --delete '//*[@if="setup.addNativeBroadcom"]' build-newt.xml
-
- # set timestamp of files in jar to a fixed point in time
- xmlstarlet ed --inplace \
- --append //jar --type attr -n modificationtime --value 1980-01-01T00:00Z \
- build.xml build-nativewindow.xml build-jogl.xml
-
- ant -Dtarget.sourcelevel=8 -Dtarget.targetlevel=8 -Dtarget.rt.jar='null.jar' )
+ runHook postBuild
'';
installPhase = ''
+ runHook preInstall
+
mkdir -p $out/share/java
cp -v $NIX_BUILD_TOP/gluegen/build/gluegen-rt{,-natives-linux-*}.jar $out/share/java/
cp -v $NIX_BUILD_TOP/jogl/build/jar/jogl-all{,-natives-linux-*}.jar $out/share/java/
cp -v $NIX_BUILD_TOP/jogl/build/nativewindow/nativewindow{,-awt,-natives-linux-*,-os-drm,-os-x11}.jar $out/share/java/
+
+ runHook postInstall
'';
meta = with lib; {
diff --git a/pkgs/applications/file-managers/joshuto/default.nix b/pkgs/by-name/jo/joshuto/package.nix
similarity index 86%
rename from pkgs/applications/file-managers/joshuto/default.nix
rename to pkgs/by-name/jo/joshuto/package.nix
index f2bb67292306..08f5429fbb5c 100644
--- a/pkgs/applications/file-managers/joshuto/default.nix
+++ b/pkgs/by-name/jo/joshuto/package.nix
@@ -8,16 +8,16 @@
rustPlatform.buildRustPackage rec {
pname = "joshuto";
- version = "0.9.6";
+ version = "0.9.8";
src = fetchFromGitHub {
owner = "kamiyaa";
repo = "joshuto";
rev = "v${version}";
- hash = "sha256-d2r8xPGnH/299wjEijilgqy3u/xJgtRmwzJdHt0sA+o=";
+ hash = "sha256-8OvaL6HqsJjBAbksR4EpC/ZgvdBSKlB37PP77p3T3PY=";
};
- cargoHash = "sha256-amgqoL7NYfl3WzTtgvDoBX46rsL9248rbCis6MHVQhE=";
+ cargoHash = "sha256-zGqOmebD7kZAsWunWSB2NFOSg0cu8aM1dyhEIQz1j4I=";
nativeBuildInputs = [ installShellFiles ];
diff --git a/pkgs/by-name/js/jsoncons/package.nix b/pkgs/by-name/js/jsoncons/package.nix
new file mode 100644
index 000000000000..57908ded4a16
--- /dev/null
+++ b/pkgs/by-name/js/jsoncons/package.nix
@@ -0,0 +1,24 @@
+{ lib, stdenv, fetchFromGitHub, cmake }:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "jsoncons";
+ version = "0.173.4";
+
+ src = fetchFromGitHub {
+ owner = "danielaparker";
+ repo = "jsoncons";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-Mf3kvfYAcwNrwbvGyMP6PQmk5e5Mz7b0qCZ6yi95ksk=";
+ };
+
+ nativeBuildInputs = [ cmake ];
+
+ meta = with lib; {
+ description = "A C++, header-only library for constructing JSON and JSON-like data formats";
+ homepage = "https://danielaparker.github.io/jsoncons/";
+ changelog = "https://github.com/danielaparker/jsoncons/blob/${finalAttrs.src.rev}/CHANGELOG.md";
+ license = licenses.boost;
+ maintainers = with maintainers; [ sikmir ];
+ platforms = platforms.all;
+ };
+})
diff --git a/pkgs/development/tools/just/default.nix b/pkgs/by-name/ju/just/package.nix
similarity index 93%
rename from pkgs/development/tools/just/default.nix
rename to pkgs/by-name/ju/just/package.nix
index 991da4278499..c613853ef3d9 100644
--- a/pkgs/development/tools/just/default.nix
+++ b/pkgs/by-name/ju/just/package.nix
@@ -11,17 +11,17 @@
rustPlatform.buildRustPackage rec {
pname = "just";
- version = "1.24.0";
+ version = "1.25.2";
outputs = [ "out" "man" "doc" ];
src = fetchFromGitHub {
owner = "casey";
repo = pname;
rev = "refs/tags/${version}";
- hash = "sha256-S5L8efxYpsZn51JvNVeBmA1+KtzpdYcHj7OVaG4Sckc=";
+ hash = "sha256-w7tHLjIFnlvyuTw5yG6zxJtQ7oDNdKRXHIRKY638vTo=";
};
- cargoHash = "sha256-wlCG3evv5VxtlfznCZSTtorZYLMiH3Wr+t6ZMq+VqFk=";
+ cargoHash = "sha256-VL2uNbEtqOv3xmLukhdCmo3lrfx5yFwOAMGwgBlgAVw=";
nativeBuildInputs = [ installShellFiles mdbook ];
buildInputs = lib.optionals stdenv.isDarwin [ libiconv ];
diff --git a/pkgs/development/tools/just/setup-hook.sh b/pkgs/by-name/ju/just/setup-hook.sh
similarity index 100%
rename from pkgs/development/tools/just/setup-hook.sh
rename to pkgs/by-name/ju/just/setup-hook.sh
diff --git a/pkgs/by-name/ju/justbuild/package.nix b/pkgs/by-name/ju/justbuild/package.nix
index 0f2098aa148d..42510b0ade0b 100644
--- a/pkgs/by-name/ju/justbuild/package.nix
+++ b/pkgs/by-name/ju/justbuild/package.nix
@@ -170,7 +170,7 @@ stdenv.mkDerivation rec {
'';
meta = with lib; {
- broken = stdenv.isDarwin;
+ broken = true; # last successful build 2024-01-26
description = "a generic build tool";
homepage = "https://github.com/just-buildsystem/justbuild";
license = licenses.asl20;
diff --git a/pkgs/by-name/ka/kana/package.nix b/pkgs/by-name/ka/kana/package.nix
new file mode 100644
index 000000000000..3fc7e8d3c257
--- /dev/null
+++ b/pkgs/by-name/ka/kana/package.nix
@@ -0,0 +1,74 @@
+{ lib
+, stdenv
+, fetchFromGitLab
+, rustPlatform
+, meson
+, ninja
+, pkg-config
+, rustc
+, cargo
+, wrapGAppsHook4
+, desktop-file-utils
+, libadwaita
+, gst_all_1
+, darwin
+}:
+
+stdenv.mkDerivation rec {
+ pname = "kana";
+ version = "1.4";
+
+ src = fetchFromGitLab {
+ domain = "gitlab.gnome.org";
+ owner = "fkinoshita";
+ repo = "Kana";
+ rev = "v${version}";
+ hash = "sha256-/Ri723ub8LMlhbPObC83bay63JuWIQpgxAT5UUYuwZI=";
+ };
+
+ cargoDeps = rustPlatform.fetchCargoTarball {
+ inherit src;
+ name = "kana-${version}";
+ hash = "sha256-Z7DpPe8/Tt8AcLjCwKbwzQTsLe6YvWBCG7DlDkkklew=";
+ };
+
+ nativeBuildInputs = [
+ meson
+ ninja
+ pkg-config
+ rustPlatform.cargoSetupHook
+ rustc
+ cargo
+ wrapGAppsHook4
+ desktop-file-utils
+ ];
+
+ buildInputs = [
+ libadwaita
+ ] ++ (with gst_all_1; [
+ gstreamer
+ gst-plugins-base
+ gst-plugins-bad
+ gst-plugins-good
+ ]) ++ lib.optionals stdenv.isDarwin [
+ darwin.apple_sdk.frameworks.Foundation
+ ];
+
+ # Workaround for the gettext-sys issue
+ # https://github.com/Koka/gettext-rs/issues/114
+ env.NIX_CFLAGS_COMPILE = lib.optionalString
+ (
+ stdenv.cc.isClang &&
+ lib.versionAtLeast stdenv.cc.version "16"
+ )
+ "-Wno-error=incompatible-function-pointer-types";
+
+ meta = with lib; {
+ description = "Learn Japanese hiragana and katakana characters";
+ homepage = "https://gitlab.gnome.org/fkinoshita/kana";
+ license = licenses.gpl3Plus;
+ mainProgram = "kana";
+ maintainers = with maintainers; [ aleksana ];
+ platforms = platforms.unix;
+ };
+}
diff --git a/pkgs/by-name/ka/kanagawa-gtk-theme/package.nix b/pkgs/by-name/ka/kanagawa-gtk-theme/package.nix
new file mode 100644
index 000000000000..bf714e0f301f
--- /dev/null
+++ b/pkgs/by-name/ka/kanagawa-gtk-theme/package.nix
@@ -0,0 +1,42 @@
+{ lib
+, stdenvNoCC
+, fetchFromGitHub
+, gtk3
+, gtk-engine-murrine
+}:
+stdenvNoCC.mkDerivation {
+ pname = "kanagawa-gtk-theme";
+ version = "0-unstable-2023-07-03";
+
+ src = fetchFromGitHub {
+ owner = "Fausto-Korpsvart";
+ repo = "Kanagawa-GKT-Theme";
+ rev = "35936a1e3bbd329339991b29725fc1f67f192c1e";
+ hash = "sha256-BZRmjVas8q6zsYbXFk4bCk5Ec/3liy9PQ8fqFGHAXe0=";
+ };
+
+ nativeBuildInputs = [
+ gtk3
+ ];
+
+ propagatedUserEnvPkgs = [
+ gtk-engine-murrine
+ ];
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p $out/share/themes
+ cp -a themes/* $out/share/themes
+
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ description = "A GTK theme with the Kanagawa colour palette";
+ homepage = "https://github.com/Fausto-Korpsvart/Kanagawa-GKT-Theme";
+ license = licenses.gpl3Only;
+ maintainers = with maintainers; [ iynaix ];
+ platforms = gtk3.meta.platforms;
+ };
+}
diff --git a/pkgs/by-name/ka/kanagawa-icon-theme/package.nix b/pkgs/by-name/ka/kanagawa-icon-theme/package.nix
new file mode 100644
index 000000000000..b2d2517ae630
--- /dev/null
+++ b/pkgs/by-name/ka/kanagawa-icon-theme/package.nix
@@ -0,0 +1,47 @@
+{ lib
+, stdenvNoCC
+, fetchFromGitHub
+, gtk3
+, hicolor-icon-theme
+}:
+stdenvNoCC.mkDerivation {
+ pname = "kanagawa-icon-theme";
+ version = "0-unstable-2023-07-03";
+
+ src = fetchFromGitHub {
+ owner = "Fausto-Korpsvart";
+ repo = "Kanagawa-GKT-Theme";
+ rev = "35936a1e3bbd329339991b29725fc1f67f192c1e";
+ hash = "sha256-BZRmjVas8q6zsYbXFk4bCk5Ec/3liy9PQ8fqFGHAXe0=";
+ };
+
+ nativeBuildInputs = [
+ gtk3
+ ];
+
+ propagatedBuildInputs = [
+ hicolor-icon-theme
+ ];
+
+ dontDropIconThemeCache = true;
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p $out/share/icons
+ cp -a icons/* $out/share/icons
+ for theme in $out/share/icons/*; do
+ gtk-update-icon-cache -f $theme
+ done
+
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ description = "An icon theme for the Kanagawa colour palette";
+ homepage = "https://github.com/Fausto-Korpsvart/Kanagawa-GKT-Theme";
+ license = licenses.gpl3Only;
+ maintainers = with maintainers; [ iynaix ];
+ platforms = gtk3.meta.platforms;
+ };
+}
diff --git a/pkgs/by-name/ka/kas/package.nix b/pkgs/by-name/ka/kas/package.nix
index d2b062226794..f17b7bfed3b0 100644
--- a/pkgs/by-name/ka/kas/package.nix
+++ b/pkgs/by-name/ka/kas/package.nix
@@ -2,13 +2,13 @@
python3.pkgs.buildPythonApplication rec {
pname = "kas";
- version = "4.1";
+ version = "4.2";
src = fetchFromGitHub {
owner = "siemens";
repo = pname;
rev = "refs/tags/${version}";
- hash = "sha256-EYz9V45b7fSKoI8w9X0LcSTojErpJHfzxcdE4I4qD2k=";
+ hash = "sha256-NjNPcCqmjFeydTgNdN8QRrFG5Mys2jL4I8TiznO2rSA=";
};
propagatedBuildInputs = with python3.pkgs; [ setuptools kconfiglib jsonschema distro pyyaml ];
diff --git a/pkgs/by-name/kc/kchat/package.nix b/pkgs/by-name/kc/kchat/package.nix
new file mode 100644
index 000000000000..bb806d5aa0a3
--- /dev/null
+++ b/pkgs/by-name/kc/kchat/package.nix
@@ -0,0 +1,43 @@
+{ lib
+, fetchurl
+, appimageTools
+}:
+
+appimageTools.wrapType2 rec {
+ pname = "kchat";
+ version = "2.4.0";
+
+ src = fetchurl {
+ url = "https://download.storage5.infomaniak.com/kchat/kchat-desktop-${version}-linux-x86_64.AppImage";
+ name = "kchat-${version}.AppImage";
+ hash = "sha256-8mkkHod7iBhHVAL/vQCVnmwVlPGikdHhtiEaFVIayrU=";
+ };
+
+ extraInstallCommands =
+ let
+ contents = appimageTools.extractType2 { inherit pname version src; };
+ in
+ ''
+ mkdir -p "$out/share/applications"
+ mkdir -p "$out/share/lib/kchat"
+ cp -r ${contents}/{locales,resources} "$out/share/lib/kchat"
+ cp -r ${contents}/usr/* "$out"
+ cp "${contents}/kchat-desktop.desktop" "$out/share/applications/"
+ mv "$out/bin/kchat-${version}" "$out/bin/${meta.mainProgram}"
+ substituteInPlace $out/share/applications/kchat-desktop.desktop --replace 'Exec=AppRun' 'Exec=${meta.mainProgram}'
+ '';
+
+ meta = with lib; {
+ description = "Instant messaging service part of Infomaniak KSuite";
+ homepage = "https://www.infomaniak.com/en/apps/download-kchat";
+ license = licenses.unfree;
+ maintainers = [ maintainers.vinetos ];
+ mainProgram = "kchat";
+ platforms = [ "x86_64-linux" ];
+ sourceProvenance = [ lib.sourceTypes.binaryNativeCode ];
+ longDescription = ''
+ kChat is an instant messaging service which enables you to discuss, share and coordinate your teams in complete
+ security via your Internet browser, mobile phone, tablet or computer.
+ '';
+ };
+}
diff --git a/pkgs/by-name/ke/keep-sorted/package.nix b/pkgs/by-name/ke/keep-sorted/package.nix
index c103e77dd0fc..1ee3c5bcf608 100644
--- a/pkgs/by-name/ke/keep-sorted/package.nix
+++ b/pkgs/by-name/ke/keep-sorted/package.nix
@@ -1,17 +1,18 @@
{ lib
, buildGoModule
, fetchFromGitHub
+, nix-update-script
}:
buildGoModule rec {
pname = "keep-sorted";
- version = "0.3.1";
+ version = "0.4.0";
src = fetchFromGitHub {
owner = "google";
repo = "keep-sorted";
rev = "v${version}";
- hash = "sha256-wXR471Iuo+4oZUNa2MN4N5q0n7vEpYtoTaJHvdGIDHw=";
+ hash = "sha256-yeps+StUA7h12Jlra24Po2zNzjIPNIQCOyWLazC8F8M=";
};
vendorHash = "sha256-tPTWWvr+/8wWUnQcI4Ycco2OEgA2mDQt15OGCk/ZjrQ=";
@@ -25,6 +26,8 @@ buildGoModule rec {
"-skip=^TestGoldens"
];
+ passthru.updateScript = nix-update-script { };
+
meta = {
changelog = "https://github.com/google/keep-sorted/releases/tag/v${version}";
description = "Language-agnostic formatter that sorts lines between two markers in a larger file";
diff --git a/pkgs/by-name/ke/keepass/package.nix b/pkgs/by-name/ke/keepass/package.nix
index c1a88d044d42..9b17ca09af8f 100644
--- a/pkgs/by-name/ke/keepass/package.nix
+++ b/pkgs/by-name/ke/keepass/package.nix
@@ -5,11 +5,11 @@
stdenv.mkDerivation (finalAttrs: {
pname = "keepass";
- version = "2.55";
+ version = "2.56";
src = fetchurl {
url = "mirror://sourceforge/keepass/KeePass-${finalAttrs.version}-Source.zip";
- hash = "sha256-XZf/5b+rwASB41DP3It3g8UUPIHWEtZBXGk+Qrjw1Bc=";
+ hash = "sha256-e6+z3M36LiS0/UonJOvD3q6+Ic31uMixL8DoML0UhEQ=";
};
sourceRoot = ".";
diff --git a/pkgs/by-name/ke/keepassxc-go/package.nix b/pkgs/by-name/ke/keepassxc-go/package.nix
new file mode 100644
index 000000000000..86fb02a25ca4
--- /dev/null
+++ b/pkgs/by-name/ke/keepassxc-go/package.nix
@@ -0,0 +1,38 @@
+{ lib
+, buildGoModule
+, fetchFromGitHub
+, installShellFiles
+}:
+
+buildGoModule rec {
+ pname = "keepassxc-go";
+ version = "1.5.1";
+
+ src = fetchFromGitHub {
+ owner = "MarkusFreitag";
+ repo = "keepassxc-go";
+ rev = "v${version}";
+ hash = "sha256-seCeHNEj5GxAI7BVMPzh+YuoxivmTwvhVCqY5LKHpQk=";
+ };
+
+ nativeBuildInputs = [ installShellFiles ];
+
+ vendorHash = "sha256-jscyNyVr+RDN1EaxIOc3aYCAVT+1eO/c+dxEsIorDIs=";
+
+ postInstall = ''
+ local INSTALL="$out/bin/keepassxc-go"
+ installShellCompletion --cmd keepassxc-go \
+ --bash <($out/bin/keepassxc-go completion bash) \
+ --fish <($out/bin/keepassxc-go completion fish) \
+ --zsh <($out/bin/keepassxc-go completion zsh)
+ '';
+
+ meta = with lib; {
+ description = "Library and basic CLI tool to interact with KeepassXC via unix socket";
+ homepage = "https://github.com/MarkusFreitag/keepassxc-go";
+ changelog = "https://github.com/MarkusFreitag/keepassxc-go/releases/tag/v${version}";
+ license = licenses.mit;
+ maintainers = with maintainers; [ xgwq ];
+ mainProgram = "keepassxc-go";
+ };
+}
diff --git a/pkgs/by-name/ke/kernel-hardening-checker/package.nix b/pkgs/by-name/ke/kernel-hardening-checker/package.nix
new file mode 100644
index 000000000000..ef202db574dd
--- /dev/null
+++ b/pkgs/by-name/ke/kernel-hardening-checker/package.nix
@@ -0,0 +1,25 @@
+{ lib
+, python3Packages
+, fetchFromGitHub
+}:
+
+python3Packages.buildPythonApplication rec {
+ pname = "kernel-hardening-checker";
+ version = "0.6.6";
+
+ src = fetchFromGitHub {
+ owner = "a13xp0p0v";
+ repo = pname;
+ rev = "v${version}";
+ hash = "sha256-xpVazB9G0cdc0GglGpna80EWHZXfTd5mc5mTvvvoPfE=";
+ };
+
+ meta = with lib; {
+ description = "A tool for checking the security hardening options of the Linux kernel";
+ homepage = "https://github.com/a13xp0p0v/kernel-hardening-checker";
+ license = licenses.gpl3Only;
+ platforms = platforms.all;
+ maintainers = with maintainers; [ erdnaxe ];
+ mainProgram = "kernel-hardening-checker";
+ };
+}
diff --git a/pkgs/by-name/ki/kikit/default.nix b/pkgs/by-name/ki/kikit/default.nix
index e34f12652ede..e8356fd0a0ff 100644
--- a/pkgs/by-name/ki/kikit/default.nix
+++ b/pkgs/by-name/ki/kikit/default.nix
@@ -10,6 +10,7 @@
, numpy
, click
, markdown2
+, openscad
, pytestCheckHook
, commentjson
, wxpython
@@ -23,7 +24,7 @@ let
in
buildPythonApplication rec {
pname = "kikit";
- version = "1.4.0";
+ version = "1.5.0";
format = "setuptools";
disabled = pythonOlder "3.7";
@@ -32,7 +33,7 @@ buildPythonApplication rec {
owner = "yaqwsx";
repo = "KiKit";
rev = "refs/tags/v${version}";
- hash = "sha256-88/1bL3MtawR/8P8U1jHatMbq+JxF1qb+plH3rYh1qU=";
+ hash = "sha256-f8FB6EEy9Ch4LcMKd9PADXV9QrSb7e22Ui86G6AnQKE=";
};
propagatedBuildInputs = [
@@ -40,6 +41,9 @@ buildPythonApplication rec {
numpy
click
markdown2
+ # OpenSCAD is an optional dependency (see
+ # https://github.com/yaqwsx/KiKit/blob/v1.5.0/docs/installation/intro.md#optional-dependencies).
+ openscad
commentjson
# https://github.com/yaqwsx/KiKit/issues/575
wxpython
diff --git a/pkgs/by-name/ki/killerbee/package.nix b/pkgs/by-name/ki/killerbee/package.nix
new file mode 100644
index 000000000000..d483da652ff9
--- /dev/null
+++ b/pkgs/by-name/ki/killerbee/package.nix
@@ -0,0 +1,44 @@
+{ lib
+, fetchFromGitHub
+, libgcrypt
+, python3
+}:
+
+python3.pkgs.buildPythonApplication rec {
+ pname = "killerbee";
+ version = "3.0.0-beta.2";
+ pyproject = true;
+
+ src = fetchFromGitHub {
+ owner = "riverloopsec";
+ repo = "killerbee";
+ rev = "refs/tags/${version}";
+ hash = "sha256-WM0Z6sd8S71F8FfhhoUq3MSD/2uvRTY/FsBP7VGGtb0=";
+ };
+
+ nativeBuildInputs = with python3.pkgs; [
+ setuptools
+ ];
+
+ buildInputs = with python3.pkgs; [
+ libgcrypt
+ ];
+
+ propagatedBuildInputs = with python3.pkgs; [
+ pyserial
+ pyusb
+ rangeparser
+ scapy
+ ];
+
+ pythonImportsCheck = [
+ "killerbee"
+ ];
+
+ meta = with lib; {
+ description = "IEEE 802.15.4/ZigBee Security Research Toolkit";
+ homepage = "https://github.com/riverloopsec/killerbee";
+ license = licenses.bsd3;
+ maintainers = with maintainers; [ fab ];
+ };
+}
diff --git a/pkgs/by-name/km/kminion/package.nix b/pkgs/by-name/km/kminion/package.nix
new file mode 100644
index 000000000000..04f66333e43c
--- /dev/null
+++ b/pkgs/by-name/km/kminion/package.nix
@@ -0,0 +1,31 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, buildGoModule
+}:
+
+buildGoModule rec {
+ pname = "kafka-minion";
+ version = "2.2.7";
+
+ src = fetchFromGitHub {
+ owner = "redpanda-data";
+ repo = "kminion";
+ rev = "0c90d4301ed4600d1aaf3345b6f16587d2f282fc";
+ hash = "sha256-CWjX46Sfc9Xj+R7+CZeMuTY0iUStzyZXI4FotwqR44M=";
+ };
+
+ vendorHash = "sha256-6yfQVoY/bHMA4s0IN5ltnQdHWnE3kIKza36uEcGa11U=";
+
+ doCheck = false;
+
+ passthru.updateScript = ./update.sh;
+
+ meta = with lib; {
+ description = "KMinion is a feature-rich Prometheus exporter for Apache Kafka written in Go";
+ license = licenses.mit;
+ platforms = platforms.linux;
+ maintainers = with maintainers; [ cafkafk ];
+ mainProgram = "kminion";
+ };
+}
diff --git a/pkgs/by-name/km/kminion/update.sh b/pkgs/by-name/km/kminion/update.sh
new file mode 100644
index 000000000000..38173cd69ffc
--- /dev/null
+++ b/pkgs/by-name/km/kminion/update.sh
@@ -0,0 +1,4 @@
+#!/usr/bin/env nix-shell
+#!nix-shell -i bash -p nix-update
+
+nix-update kminion
diff --git a/pkgs/by-name/kn/knossosnet/deps.nix b/pkgs/by-name/kn/knossosnet/deps.nix
new file mode 100644
index 000000000000..233d43f5c0fe
--- /dev/null
+++ b/pkgs/by-name/kn/knossosnet/deps.nix
@@ -0,0 +1,115 @@
+# This file was automatically generated by passthru.fetch-deps.
+# Please dont edit it manually, your changes might get overwritten!
+
+{ fetchNuGet }: [
+ (fetchNuGet { pname = "Avalonia"; version = "11.0.5"; sha256 = "1l8vpw7dmkgll197i42r98ikkl0g08469wkl1kxkcv8f0allgah6"; })
+ (fetchNuGet { pname = "Avalonia.Angle.Windows.Natives"; version = "2.1.0.2023020321"; sha256 = "1az4s1g22ipak9a3xfh55z2h3rm6lpqh7svbpw6ag4ysrgsjjsjd"; })
+ (fetchNuGet { pname = "Avalonia.BuildServices"; version = "0.0.29"; sha256 = "05mm7f0jssih3gbzqfgjnfq5cnqa85ihsg0z1897ciihv8qd3waq"; })
+ (fetchNuGet { pname = "Avalonia.Controls.ColorPicker"; version = "11.0.5"; sha256 = "0w1909yjg1s1h6zzxbfw1dazvlknpgk9v7d03ik7ihd14lxzr1i2"; })
+ (fetchNuGet { pname = "Avalonia.Controls.DataGrid"; version = "11.0.5"; sha256 = "14nr767zhxcqwis901sn5s9qala0wf2ip4pic3ncdvkhyhq6w9fs"; })
+ (fetchNuGet { pname = "Avalonia.Desktop"; version = "11.0.5"; sha256 = "1zqp8whkvm95zxhjpwska7rhkbxjfkv2fz3821pn782931pn59ah"; })
+ (fetchNuGet { pname = "Avalonia.Diagnostics"; version = "11.0.5"; sha256 = "1plr03dgq24gjlcx39qlbcg2ywh7in58yfkkq9snvnagh8yk3ifi"; })
+ (fetchNuGet { pname = "Avalonia.FreeDesktop"; version = "11.0.5"; sha256 = "0sn6c3mqvc62vhy8ssmz515wbcaq418qfrck67zysp2qzw5iyv9v"; })
+ (fetchNuGet { pname = "Avalonia.HtmlRenderer"; version = "11.0.0"; sha256 = "1glnc82dasxcajb84h41273cfaa5apxwfrh12bgd294hfzbza40c"; })
+ (fetchNuGet { pname = "Avalonia.Native"; version = "11.0.5"; sha256 = "1n41g1z36sgvhfl7bdavc3j7ccr3qkbqjc4znimqazzyfifh0m99"; })
+ (fetchNuGet { pname = "Avalonia.Remote.Protocol"; version = "11.0.5"; sha256 = "0a6a8lbpna3z5bcall7a953r3xjibcl90ic21gimwhipyp29sfn1"; })
+ (fetchNuGet { pname = "Avalonia.Skia"; version = "11.0.5"; sha256 = "008pqpim91i6mya0nfn3g9gclh0dw5mqmhi2fdalbh62sa8a18xc"; })
+ (fetchNuGet { pname = "Avalonia.Themes.Fluent"; version = "11.0.5"; sha256 = "1zhg11c8iah06gkv6gk7vzs0q9lbx1whfma5p2s00k3kyhv9lcqi"; })
+ (fetchNuGet { pname = "Avalonia.Themes.Simple"; version = "11.0.5"; sha256 = "1i6xpihpw32i9mywzzhw0nyc2gkifmri6ylila21y8xb0jdazdyv"; })
+ (fetchNuGet { pname = "Avalonia.Win32"; version = "11.0.5"; sha256 = "03rbx4msnl8jvw1017wi88rxvgg8iz7idy7wajp3nzk9m0c4pilx"; })
+ (fetchNuGet { pname = "Avalonia.X11"; version = "11.0.5"; sha256 = "1bixdr5yzd9spyjc4n2kf1bwg52q3p5akj9xsr25xp310j3kgyxf"; })
+ (fetchNuGet { pname = "CommunityToolkit.Mvvm"; version = "8.2.2"; sha256 = "01kkwhz3r7l9d70p6mcgpdc0f05dad0lv6dmcj4sq9ry9ic6pnmx"; })
+ (fetchNuGet { pname = "HarfBuzzSharp"; version = "2.8.2.3"; sha256 = "115aybicqs9ijjlcv6k6r5v0agkjm1bm1nkd0rj3jglv8s0xvmp2"; })
+ (fetchNuGet { pname = "HarfBuzzSharp.NativeAssets.Linux"; version = "2.8.2.3"; sha256 = "1f18ahwkaginrg0vwsi6s56lvnqvvxv7pzklfs5lnknasxy1a76z"; })
+ (fetchNuGet { pname = "HarfBuzzSharp.NativeAssets.macOS"; version = "2.8.2.3"; sha256 = "052d8frpkj4ijs6fm6xp55xbv95b1s9biqwa0w8zp3rgm88m9236"; })
+ (fetchNuGet { pname = "HarfBuzzSharp.NativeAssets.WebAssembly"; version = "2.8.2.3"; sha256 = "043hv36bg5240znbm8x5la7py17m4jfzy57q3ka32f6zjld83j36"; })
+ (fetchNuGet { pname = "HarfBuzzSharp.NativeAssets.Win32"; version = "2.8.2.3"; sha256 = "08khd2jqm8sw58ljz5srangzfm2sz3gd2q1jzc5fr80lj8rv6r74"; })
+ (fetchNuGet { pname = "ini-parser-netstandard"; version = "2.5.2"; sha256 = "14alsxh7ik07xl9xab8bdi108f4xhz8vcchxvxy1k5w3zf3gdml9"; })
+ (fetchNuGet { pname = "MicroCom.Runtime"; version = "0.11.0"; sha256 = "0p9c3m0zk59x9dcqw077hzd2yk60myisbacvm36mnwpcjwzjkp2m"; })
+ (fetchNuGet { pname = "Microsoft.CodeAnalysis.Analyzers"; version = "3.0.0"; sha256 = "0bbl0jpqywqmzz2gagld1p2gvdfldjfjmm25hil9wj2nq1zc4di8"; })
+ (fetchNuGet { pname = "Microsoft.CodeAnalysis.Common"; version = "3.8.0"; sha256 = "12n7rvr39bzkf2maw7zplw8rwpxpxss4ich3bb2pw770rx4nyvyw"; })
+ (fetchNuGet { pname = "Microsoft.CodeAnalysis.CSharp"; version = "3.8.0"; sha256 = "1kmry65csvfn72zzc16vj1nfbfwam28wcmlrk3m5rzb8ydbzgylb"; })
+ (fetchNuGet { pname = "Microsoft.CodeAnalysis.CSharp.Scripting"; version = "3.8.0"; sha256 = "0w0yx0lpg54iw5jazqk46h48gx43ij32gwac8iywdj6kxfxm03vw"; })
+ (fetchNuGet { pname = "Microsoft.CodeAnalysis.Scripting.Common"; version = "3.8.0"; sha256 = "0hjgxcsj5zy27lqk0986m59n5dbplx2vjjla2lsvg4bwg8qa7bpk"; })
+ (fetchNuGet { pname = "Microsoft.CSharp"; version = "4.3.0"; sha256 = "0gw297dgkh0al1zxvgvncqs0j15lsna9l1wpqas4rflmys440xvb"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.DependencyInjection"; version = "7.0.0"; sha256 = "121zs4jp8iimgbpzm3wsglhjwkc06irg1pxy8c1zcdlsg34cfq1p"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.DependencyInjection.Abstractions"; version = "7.0.0"; sha256 = "181d7mp9307fs17lyy42f8cxnjwysddmpsalky4m0pqxcimnr6g7"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.Http"; version = "7.0.0"; sha256 = "196b13zkkq0fhfgigkhwcw1hhaj4dj5pc27z7d5niaizzx6ycwiw"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.Logging"; version = "7.0.0"; sha256 = "1bqd3pqn5dacgnkq0grc17cgb2i0w8z1raw12nwm3p3zhrfcvgxf"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.Logging.Abstractions"; version = "7.0.0"; sha256 = "1gn7d18i1wfy13vrwhmdv1rmsb4vrk26kqdld4cgvh77yigj90xs"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.Options"; version = "7.0.0"; sha256 = "0b90zkrsk5dw3wr749rbynhpxlg4bgqdnd7d5vdlw2g9c7zlhgx6"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.Primitives"; version = "7.0.0"; sha256 = "1b4km9fszid9vp2zb3gya5ni9fn8bq62bzaas2ck2r7gs0sdys80"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.Platforms"; version = "1.1.0"; sha256 = "08vh1r12g6ykjygq5d3vq09zylgb84l63k49jc4v8faw9g93iqqm"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.Platforms"; version = "2.1.2"; sha256 = "1507hnpr9my3z4w1r6xk5n0s1j3y6a2c2cnynj76za7cphxi1141"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.Targets"; version = "1.1.0"; sha256 = "193xwf33fbm0ni3idxzbr5fdq3i2dlfgihsac9jj7whj0gd902nh"; })
+ (fetchNuGet { pname = "Microsoft.Win32.SystemEvents"; version = "6.0.0"; sha256 = "0c6pcj088g1yd1vs529q3ybgsd2vjlk5y1ic6dkmbhvrp5jibl9p"; })
+ (fetchNuGet { pname = "runtime.any.System.Collections"; version = "4.3.0"; sha256 = "0bv5qgm6vr47ynxqbnkc7i797fdi8gbjjxii173syrx14nmrkwg0"; })
+ (fetchNuGet { pname = "runtime.any.System.Globalization"; version = "4.3.0"; sha256 = "1daqf33hssad94lamzg01y49xwndy2q97i2lrb7mgn28656qia1x"; })
+ (fetchNuGet { pname = "runtime.any.System.IO"; version = "4.3.0"; sha256 = "0l8xz8zn46w4d10bcn3l4yyn4vhb3lrj2zw8llvz7jk14k4zps5x"; })
+ (fetchNuGet { pname = "runtime.any.System.Reflection"; version = "4.3.0"; sha256 = "02c9h3y35pylc0zfq3wcsvc5nqci95nrkq0mszifc0sjx7xrzkly"; })
+ (fetchNuGet { pname = "runtime.any.System.Reflection.Extensions"; version = "4.3.0"; sha256 = "0zyri97dfc5vyaz9ba65hjj1zbcrzaffhsdlpxc9bh09wy22fq33"; })
+ (fetchNuGet { pname = "runtime.any.System.Reflection.Primitives"; version = "4.3.0"; sha256 = "0x1mm8c6iy8rlxm8w9vqw7gb7s1ljadrn049fmf70cyh42vdfhrf"; })
+ (fetchNuGet { pname = "runtime.any.System.Resources.ResourceManager"; version = "4.3.0"; sha256 = "03kickal0iiby82wa5flar18kyv82s9s6d4xhk5h4bi5kfcyfjzl"; })
+ (fetchNuGet { pname = "runtime.any.System.Runtime"; version = "4.3.0"; sha256 = "1cqh1sv3h5j7ixyb7axxbdkqx6cxy00p4np4j91kpm492rf4s25b"; })
+ (fetchNuGet { pname = "runtime.any.System.Runtime.Handles"; version = "4.3.0"; sha256 = "0bh5bi25nk9w9xi8z23ws45q5yia6k7dg3i4axhfqlnj145l011x"; })
+ (fetchNuGet { pname = "runtime.any.System.Runtime.InteropServices"; version = "4.3.0"; sha256 = "0c3g3g3jmhlhw4klrc86ka9fjbl7i59ds1fadsb2l8nqf8z3kb19"; })
+ (fetchNuGet { pname = "runtime.any.System.Text.Encoding"; version = "4.3.0"; sha256 = "0aqqi1v4wx51h51mk956y783wzags13wa7mgqyclacmsmpv02ps3"; })
+ (fetchNuGet { pname = "runtime.any.System.Threading.Tasks"; version = "4.3.0"; sha256 = "03mnvkhskbzxddz4hm113zsch1jyzh2cs450dk3rgfjp8crlw1va"; })
+ (fetchNuGet { pname = "runtime.debian.8-x64.runtime.native.System.Security.Cryptography.OpenSsl"; version = "4.3.0"; sha256 = "16rnxzpk5dpbbl1x354yrlsbvwylrq456xzpsha1n9y3glnhyx9d"; })
+ (fetchNuGet { pname = "runtime.fedora.23-x64.runtime.native.System.Security.Cryptography.OpenSsl"; version = "4.3.0"; sha256 = "0hkg03sgm2wyq8nqk6dbm9jh5vcq57ry42lkqdmfklrw89lsmr59"; })
+ (fetchNuGet { pname = "runtime.fedora.24-x64.runtime.native.System.Security.Cryptography.OpenSsl"; version = "4.3.0"; sha256 = "0c2p354hjx58xhhz7wv6div8xpi90sc6ibdm40qin21bvi7ymcaa"; })
+ (fetchNuGet { pname = "runtime.native.System"; version = "4.3.0"; sha256 = "15hgf6zaq9b8br2wi1i3x0zvmk410nlmsmva9p0bbg73v6hml5k4"; })
+ (fetchNuGet { pname = "runtime.native.System.Security.Cryptography.OpenSsl"; version = "4.3.0"; sha256 = "18pzfdlwsg2nb1jjjjzyb5qlgy6xjxzmhnfaijq5s2jw3cm3ab97"; })
+ (fetchNuGet { pname = "runtime.opensuse.13.2-x64.runtime.native.System.Security.Cryptography.OpenSsl"; version = "4.3.0"; sha256 = "0qyynf9nz5i7pc26cwhgi8j62ps27sqmf78ijcfgzab50z9g8ay3"; })
+ (fetchNuGet { pname = "runtime.opensuse.42.1-x64.runtime.native.System.Security.Cryptography.OpenSsl"; version = "4.3.0"; sha256 = "1klrs545awhayryma6l7g2pvnp9xy4z0r1i40r80zb45q3i9nbyf"; })
+ (fetchNuGet { pname = "runtime.osx.10.10-x64.runtime.native.System.Security.Cryptography.OpenSsl"; version = "4.3.0"; sha256 = "0zcxjv5pckplvkg0r6mw3asggm7aqzbdjimhvsasb0cgm59x09l3"; })
+ (fetchNuGet { pname = "runtime.rhel.7-x64.runtime.native.System.Security.Cryptography.OpenSsl"; version = "4.3.0"; sha256 = "0vhynn79ih7hw7cwjazn87rm9z9fj0rvxgzlab36jybgcpcgphsn"; })
+ (fetchNuGet { pname = "runtime.ubuntu.14.04-x64.runtime.native.System.Security.Cryptography.OpenSsl"; version = "4.3.0"; sha256 = "160p68l2c7cqmyqjwxydcvgw7lvl1cr0znkw8fp24d1by9mqc8p3"; })
+ (fetchNuGet { pname = "runtime.ubuntu.16.04-x64.runtime.native.System.Security.Cryptography.OpenSsl"; version = "4.3.0"; sha256 = "15zrc8fgd8zx28hdghcj5f5i34wf3l6bq5177075m2bc2j34jrqy"; })
+ (fetchNuGet { pname = "runtime.ubuntu.16.10-x64.runtime.native.System.Security.Cryptography.OpenSsl"; version = "4.3.0"; sha256 = "1p4dgxax6p7rlgj4q73k73rslcnz4wdcv8q2flg1s8ygwcm58ld5"; })
+ (fetchNuGet { pname = "runtime.unix.System.Diagnostics.Debug"; version = "4.3.0"; sha256 = "1lps7fbnw34bnh3lm31gs5c0g0dh7548wfmb8zz62v0zqz71msj5"; })
+ (fetchNuGet { pname = "runtime.unix.System.Private.Uri"; version = "4.3.0"; sha256 = "1jx02q6kiwlvfksq1q9qr17fj78y5v6mwsszav4qcz9z25d5g6vk"; })
+ (fetchNuGet { pname = "runtime.unix.System.Runtime.Extensions"; version = "4.3.0"; sha256 = "0pnxxmm8whx38dp6yvwgmh22smknxmqs5n513fc7m4wxvs1bvi4p"; })
+ (fetchNuGet { pname = "SharpCompress"; version = "0.33.0"; sha256 = "1j94hfjvkygpp97svv75jay0rmnx9ygg86d5syyahl9hayns4ig9"; })
+ (fetchNuGet { pname = "SkiaSharp"; version = "2.88.6"; sha256 = "0xs11zjw9ha68maw3l825kfwlrid43qwy0mswljxhpjh0y1k6k6b"; })
+ (fetchNuGet { pname = "SkiaSharp.NativeAssets.Linux"; version = "2.88.6"; sha256 = "0cg38xgddww1y93xrnbfn40sin63yl39j5zm7gm5pdgp5si0cf2n"; })
+ (fetchNuGet { pname = "SkiaSharp.NativeAssets.macOS"; version = "2.88.6"; sha256 = "1fp9h8c8k6sbsh48b69dc6461isd4dajq7yw5i7j6fhkas78q4zf"; })
+ (fetchNuGet { pname = "SkiaSharp.NativeAssets.WebAssembly"; version = "2.88.6"; sha256 = "02wpxwqwknhdhkl00in766samqfzi7r6jmhxs4d047v0fmygv1h8"; })
+ (fetchNuGet { pname = "SkiaSharp.NativeAssets.Win32"; version = "2.88.6"; sha256 = "1w2mwcwkqvrg4x4ybc4674xnkqwh1n2ihg520gqgpnqfc11ghc4n"; })
+ (fetchNuGet { pname = "System.Collections"; version = "4.3.0"; sha256 = "19r4y64dqyrq6k4706dnyhhw7fs24kpp3awak7whzss39dakpxk9"; })
+ (fetchNuGet { pname = "System.Collections.Immutable"; version = "5.0.0"; sha256 = "1kvcllagxz2q92g81zkz81djkn2lid25ayjfgjalncyc68i15p0r"; })
+ (fetchNuGet { pname = "System.ComponentModel.Annotations"; version = "4.5.0"; sha256 = "1jj6f6g87k0iwsgmg3xmnn67a14mq88np0l1ys5zkxhkvbc8976p"; })
+ (fetchNuGet { pname = "System.Diagnostics.Debug"; version = "4.3.0"; sha256 = "00yjlf19wjydyr6cfviaph3vsjzg3d5nvnya26i2fvfg53sknh3y"; })
+ (fetchNuGet { pname = "System.Drawing.Common"; version = "6.0.0"; sha256 = "02n8rzm58dac2np8b3xw8ychbvylja4nh6938l5k2fhyn40imlgz"; })
+ (fetchNuGet { pname = "System.Dynamic.Runtime"; version = "4.3.0"; sha256 = "1d951hrvrpndk7insiag80qxjbf2y0y39y8h5hnq9612ws661glk"; })
+ (fetchNuGet { pname = "System.Globalization"; version = "4.3.0"; sha256 = "1cp68vv683n6ic2zqh2s1fn4c2sd87g5hpp6l4d4nj4536jz98ki"; })
+ (fetchNuGet { pname = "System.IO"; version = "4.3.0"; sha256 = "05l9qdrzhm4s5dixmx68kxwif4l99ll5gqmh7rqgw554fx0agv5f"; })
+ (fetchNuGet { pname = "System.IO.Pipelines"; version = "6.0.0"; sha256 = "08211lvckdsdbd67xz4f6cyk76cli565j0dby1grlc4k9bhwby65"; })
+ (fetchNuGet { pname = "System.Linq"; version = "4.3.0"; sha256 = "1w0gmba695rbr80l1k2h4mrwzbzsyfl2z4klmpbsvsg5pm4a56s7"; })
+ (fetchNuGet { pname = "System.Linq.Expressions"; version = "4.3.0"; sha256 = "0ky2nrcvh70rqq88m9a5yqabsl4fyd17bpr63iy2mbivjs2nyypv"; })
+ (fetchNuGet { pname = "System.Memory"; version = "4.5.4"; sha256 = "14gbbs22mcxwggn0fcfs1b062521azb9fbb7c113x0mq6dzq9h6y"; })
+ (fetchNuGet { pname = "System.Numerics.Vectors"; version = "4.5.0"; sha256 = "1kzrj37yzawf1b19jq0253rcs8hsq1l2q8g69d7ipnhzb0h97m59"; })
+ (fetchNuGet { pname = "System.ObjectModel"; version = "4.3.0"; sha256 = "191p63zy5rpqx7dnrb3h7prvgixmk168fhvvkkvhlazncf8r3nc2"; })
+ (fetchNuGet { pname = "System.Private.Uri"; version = "4.3.0"; sha256 = "04r1lkdnsznin0fj4ya1zikxiqr0h6r6a1ww2dsm60gqhdrf0mvx"; })
+ (fetchNuGet { pname = "System.Reflection"; version = "4.3.0"; sha256 = "0xl55k0mw8cd8ra6dxzh974nxif58s3k1rjv1vbd7gjbjr39j11m"; })
+ (fetchNuGet { pname = "System.Reflection.Emit"; version = "4.3.0"; sha256 = "11f8y3qfysfcrscjpjym9msk7lsfxkk4fmz9qq95kn3jd0769f74"; })
+ (fetchNuGet { pname = "System.Reflection.Emit.ILGeneration"; version = "4.3.0"; sha256 = "0w1n67glpv8241vnpz1kl14sy7zlnw414aqwj4hcx5nd86f6994q"; })
+ (fetchNuGet { pname = "System.Reflection.Emit.Lightweight"; version = "4.3.0"; sha256 = "0ql7lcakycrvzgi9kxz1b3lljd990az1x6c4jsiwcacrvimpib5c"; })
+ (fetchNuGet { pname = "System.Reflection.Extensions"; version = "4.3.0"; sha256 = "02bly8bdc98gs22lqsfx9xicblszr2yan7v2mmw3g7hy6miq5hwq"; })
+ (fetchNuGet { pname = "System.Reflection.Metadata"; version = "5.0.0"; sha256 = "17qsl5nanlqk9iz0l5wijdn6ka632fs1m1fvx18dfgswm258r3ss"; })
+ (fetchNuGet { pname = "System.Reflection.Primitives"; version = "4.3.0"; sha256 = "04xqa33bld78yv5r93a8n76shvc8wwcdgr1qvvjh959g3rc31276"; })
+ (fetchNuGet { pname = "System.Reflection.TypeExtensions"; version = "4.3.0"; sha256 = "0y2ssg08d817p0vdag98vn238gyrrynjdj4181hdg780sif3ykp1"; })
+ (fetchNuGet { pname = "System.Resources.ResourceManager"; version = "4.3.0"; sha256 = "0sjqlzsryb0mg4y4xzf35xi523s4is4hz9q4qgdvlvgivl7qxn49"; })
+ (fetchNuGet { pname = "System.Runtime"; version = "4.3.0"; sha256 = "066ixvgbf2c929kgknshcxqj6539ax7b9m570cp8n179cpfkapz7"; })
+ (fetchNuGet { pname = "System.Runtime.CompilerServices.Unsafe"; version = "4.7.1"; sha256 = "119br3pd85lq8zcgh4f60jzmv1g976q1kdgi3hvqdlhfbw6siz2j"; })
+ (fetchNuGet { pname = "System.Runtime.CompilerServices.Unsafe"; version = "6.0.0"; sha256 = "0qm741kh4rh57wky16sq4m0v05fxmkjjr87krycf5vp9f0zbahbc"; })
+ (fetchNuGet { pname = "System.Runtime.Extensions"; version = "4.3.0"; sha256 = "1ykp3dnhwvm48nap8q23893hagf665k0kn3cbgsqpwzbijdcgc60"; })
+ (fetchNuGet { pname = "System.Runtime.Handles"; version = "4.3.0"; sha256 = "0sw2gfj2xr7sw9qjn0j3l9yw07x73lcs97p8xfc9w1x9h5g5m7i8"; })
+ (fetchNuGet { pname = "System.Runtime.InteropServices"; version = "4.3.0"; sha256 = "00hywrn4g7hva1b2qri2s6rabzwgxnbpw9zfxmz28z09cpwwgh7j"; })
+ (fetchNuGet { pname = "System.Text.Encoding"; version = "4.3.0"; sha256 = "1f04lkir4iladpp51sdgmis9dj4y8v08cka0mbmsy0frc9a4gjqr"; })
+ (fetchNuGet { pname = "System.Text.Encoding.CodePages"; version = "4.5.1"; sha256 = "1z21qyfs6sg76rp68qdx0c9iy57naan89pg7p6i3qpj8kyzn921w"; })
+ (fetchNuGet { pname = "System.Threading"; version = "4.3.0"; sha256 = "0rw9wfamvhayp5zh3j7p1yfmx9b5khbf4q50d8k5rk993rskfd34"; })
+ (fetchNuGet { pname = "System.Threading.Tasks"; version = "4.3.0"; sha256 = "134z3v9abw3a6jsw17xl3f6hqjpak5l682k2vz39spj4kmydg6k7"; })
+ (fetchNuGet { pname = "System.Threading.Tasks.Extensions"; version = "4.5.4"; sha256 = "0y6ncasgfcgnjrhynaf0lwpkpkmv4a07sswwkwbwb5h7riisj153"; })
+ (fetchNuGet { pname = "Tmds.DBus.Protocol"; version = "0.15.0"; sha256 = "0d99kcs7r9cp6gpyc7z230czkkyx4164x86dhy0mca73f2ykc2g2"; })
+]
diff --git a/pkgs/by-name/kn/knossosnet/package.nix b/pkgs/by-name/kn/knossosnet/package.nix
new file mode 100644
index 000000000000..19f45b93b7b9
--- /dev/null
+++ b/pkgs/by-name/kn/knossosnet/package.nix
@@ -0,0 +1,36 @@
+{
+ buildDotnetModule
+ , fetchFromGitHub
+ , fontconfig
+ , lib
+ , openal
+ , stdenv
+ , xorg
+}:
+
+buildDotnetModule rec {
+ pname = "knossosnet";
+ version = "1.0.0";
+
+ src = fetchFromGitHub {
+ owner = "KnossosNET";
+ repo = "Knossos.NET";
+ rev = "v${version}";
+ hash = "sha256-Wq4WeRf+ELTxZhQyQf6XAOPmbJwX06qQ5GxRnnK3lyI=";
+ };
+
+ patches = [ ./targetframework.patch ];
+
+ nugetDeps = ./deps.nix;
+ executables = [ "Knossos.NET" ];
+
+ runtimeDeps = [ fontconfig openal xorg.libX11 xorg.libICE xorg.libSM ];
+
+ meta = with lib; {
+ homepage = "https://github.com/KnossosNET/Knossos.NET";
+ description = "A multi-platform launcher for Freespace 2 Open";
+ license = licenses.gpl3Only;
+ mainProgram = "Knossos.NET";
+ maintainers = with maintainers; [ cdombroski ];
+ };
+}
diff --git a/pkgs/by-name/kn/knossosnet/targetframework.patch b/pkgs/by-name/kn/knossosnet/targetframework.patch
new file mode 100644
index 000000000000..2802a18053c4
--- /dev/null
+++ b/pkgs/by-name/kn/knossosnet/targetframework.patch
@@ -0,0 +1,12 @@
+diff -Naur Knossos.NET-0.1.4/IonKiwi.lz4/IonKiwi.lz4.csproj Knossos.NET-0.1.4.new/IonKiwi.lz4/IonKiwi.lz4.csproj
+--- Knossos.NET-0.1.4/IonKiwi.lz4/IonKiwi.lz4.csproj 2023-10-18 15:17:20.000000000 -0400
++++ Knossos.NET-0.1.4.new/IonKiwi.lz4/IonKiwi.lz4.csproj 2024-01-03 17:39:56.809971086 -0500
+@@ -1,7 +1,7 @@
+
+
+
+- net60
++ net6.0
+ true
+ IonKiwi.lz4.managed
+ 1.0.7
diff --git a/pkgs/by-name/ko/konbucase/package.nix b/pkgs/by-name/ko/konbucase/package.nix
index 75876d990661..56a977eeeb13 100644
--- a/pkgs/by-name/ko/konbucase/package.nix
+++ b/pkgs/by-name/ko/konbucase/package.nix
@@ -12,13 +12,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "konbucase";
- version = "4.1.1";
+ version = "4.1.2";
src = fetchFromGitHub {
owner = "ryonakano";
repo = "konbucase";
rev = finalAttrs.version;
- hash = "sha256-g3EDa9EXymi6c8dRHFZYGEAT7k8M2TXUAzZVKTnLzyk=";
+ hash = "sha256-md7drxg1JuW6TRJauKOk4Aqjx/V1RVZ+POa5v6DtKwk=";
fetchSubmodules = true;
};
diff --git a/pkgs/applications/video/kooha/default.nix b/pkgs/by-name/ko/kooha/package.nix
similarity index 89%
rename from pkgs/applications/video/kooha/default.nix
rename to pkgs/by-name/ko/kooha/package.nix
index bda712cac113..bc9fdf70d9e5 100644
--- a/pkgs/applications/video/kooha/default.nix
+++ b/pkgs/by-name/ko/kooha/package.nix
@@ -22,19 +22,19 @@
stdenv.mkDerivation rec {
pname = "kooha";
- version = "2.2.3";
+ version = "2.2.4";
src = fetchFromGitHub {
owner = "SeaDve";
repo = "Kooha";
rev = "v${version}";
- hash = "sha256-vLgBuP0DncBIb05R3484WozS+Nl+S7YBJUYek2CkJkQ=";
+ hash = "sha256-D/+tsIfcXrlwwL6vSLRsiAp7wMVtIgzjNNd2uk+9bco=";
};
cargoDeps = rustPlatform.fetchCargoTarball {
inherit src;
name = "${pname}-${version}";
- hash = "sha256-NPh603/5yZDUdTegAzFvjRn5tuzyrcNzbbKQr6NxXso=";
+ hash = "sha256-iDyhK2k2RB7CvtW+91isVzIFOl2/Loh+Bvneu4TGfn0=";
};
nativeBuildInputs = [
diff --git a/pkgs/by-name/ko/kor/package.nix b/pkgs/by-name/ko/kor/package.nix
index 0a31e1adb1ff..7fe5937dfba8 100644
--- a/pkgs/by-name/ko/kor/package.nix
+++ b/pkgs/by-name/ko/kor/package.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "kor";
- version = "0.3.4";
+ version = "0.3.6";
src = fetchFromGitHub {
owner = "yonahd";
repo = pname;
rev = "v${version}";
- hash = "sha256-GeGttcvAhCRLbScxgcV9DrNZbvlsVRyOcA4xFUlHCyI=";
+ hash = "sha256-Q2VUc91ecBRr/m9DGYWwuSsH2prB+EKmBoQrekgPvTE=";
};
- vendorHash = "sha256-x3XlqyaNPafBbCOq6leUHmBzz2poxgT0mVJ8UM0aRzg=";
+ vendorHash = "sha256-DRbwM6fKTIlefD0rUmNLlUXrK+t3vNCl4rxHF7m8W10=";
preCheck = ''
HOME=$(mktemp -d)
diff --git a/pkgs/by-name/kr/krbjack/package.nix b/pkgs/by-name/kr/krbjack/package.nix
new file mode 100644
index 000000000000..59a58f289b00
--- /dev/null
+++ b/pkgs/by-name/kr/krbjack/package.nix
@@ -0,0 +1,48 @@
+{ lib
+, fetchFromGitHub
+, python3
+}:
+
+python3.pkgs.buildPythonApplication rec {
+ pname = "krbjack";
+ version = "0-unstable-2024-02-08";
+ pyproject = true;
+
+ src = fetchFromGitHub {
+ owner = "almandin";
+ repo = "krbjack";
+ rev = "0abaf7039c11fe735120c44a9420a311b42f7551";
+ hash = "sha256-rvK0I8WlXqJtau9f+6ximfzYCjX21dPIyDN56IMI0gE=";
+ };
+
+ pythonRelaxDeps = [
+ "impacket"
+ ];
+
+ nativeBuildInputs = with python3.pkgs; [
+ poetry-core
+ pythonRelaxDepsHook
+ ];
+
+ propagatedBuildInputs = with python3.pkgs; [
+ colorama
+ dnspython
+ impacket
+ scapy
+ ];
+
+ # Project has no tests
+ doCheck = false;
+
+ pythonImportsCheck = [
+ "krbjack"
+ ];
+
+ meta = with lib; {
+ description = "Kerberos AP-REQ hijacking tool with DNS unsecure updates abuse";
+ homepage = "https://github.com/almandin/krbjack";
+ license = licenses.beerware;
+ maintainers = with maintainers; [ fab ];
+ mainProgram = "krbjack";
+ };
+}
diff --git a/pkgs/by-name/kr/krr/package.nix b/pkgs/by-name/kr/krr/package.nix
new file mode 100644
index 000000000000..f919f8c0d226
--- /dev/null
+++ b/pkgs/by-name/kr/krr/package.nix
@@ -0,0 +1,72 @@
+{ lib
+, python3
+, fetchFromGitHub
+, testers
+, krr
+}:
+
+python3.pkgs.buildPythonPackage rec {
+ pname = "krr";
+ version = "1.7.1";
+ pyproject = true;
+
+ src = fetchFromGitHub {
+ owner = "robusta-dev";
+ repo = "krr";
+ rev = "refs/tags/v${version}";
+ hash = "sha256-Bc1Ql3z/UmOXE2RJYC5/sE4a3MFdE06I3HwKY+SdSlk=";
+ };
+
+ postPatch = ''
+ substituteInPlace robusta_krr/__init__.py \
+ --replace-warn '1.7.0-dev' '${version}'
+
+ substituteInPlace pyproject.toml \
+ --replace-warn '1.7.0-dev' '${version}' \
+ --replace-fail 'aiostream = "^0.4.5"' 'aiostream = "*"' \
+ --replace-fail 'kubernetes = "^26.1.0"' 'kubernetes = "*"' \
+ --replace-fail 'pydantic = "1.10.7"' 'pydantic = "*"' \
+ --replace-fail 'typer = { extras = ["all"], version = "^0.7.0" }' 'typer = { extras = ["all"], version = "*" }'
+ '';
+
+ propagatedBuildInputs = with python3.pkgs; [
+ aiostream
+ alive-progress
+ kubernetes
+ numpy
+ poetry-core
+ prometheus-api-client
+ prometrix
+ pydantic_1
+ slack-sdk
+ typer
+ ] ++ typer.optional-dependencies.all;
+
+ nativeCheckInputs = with python3.pkgs; [
+ pytestCheckHook
+ ];
+
+ pythonImportsCheck = [
+ "robusta_krr"
+ ];
+
+ passthru.tests.version = testers.testVersion {
+ package = krr;
+ command = "krr version";
+ };
+
+ meta = with lib; {
+ description = "Prometheus-based Kubernetes resource recommendations";
+ longDescription = ''
+ Robusta KRR (Kubernetes Resource Recommender) is a CLI tool for optimizing
+ resource allocation in Kubernetes clusters. It gathers Pod usage data from
+ Prometheus and recommends requests and limits for CPU and memory. This
+ reduces costs and improves performance.
+ '';
+ homepage = "https://github.com/robusta-dev/krr";
+ changelog = "https://github.com/robusta-dev/krr/releases/tag/v${src.rev}";
+ license = licenses.mit;
+ maintainers = with lib.maintainers; [ azahi ];
+ mainProgram = "krr";
+ };
+}
diff --git a/pkgs/by-name/ku/kubectl-neat/package.nix b/pkgs/by-name/ku/kubectl-neat/package.nix
index c0ce31abb926..82ba45377ac4 100644
--- a/pkgs/by-name/ku/kubectl-neat/package.nix
+++ b/pkgs/by-name/ku/kubectl-neat/package.nix
@@ -21,6 +21,7 @@ buildGoModule rec {
meta = with lib; {
description = "Clean up Kubernetes yaml and json output to make it readable";
+ mainProgram = "kubectl-neat";
homepage = "https://github.com/itaysk/kubectl-neat";
changelog = "https://github.com/itaysk/kubectl-neat/releases/tag/v${version}";
license = licenses.asl20;
diff --git a/pkgs/by-name/ku/kubectl-validate/package.nix b/pkgs/by-name/ku/kubectl-validate/package.nix
new file mode 100644
index 000000000000..f01b05b18b21
--- /dev/null
+++ b/pkgs/by-name/ku/kubectl-validate/package.nix
@@ -0,0 +1,40 @@
+{ lib
+, buildGoModule
+, fetchFromGitHub
+, nix-update-script
+}:
+let
+ version = "0.0.3";
+in
+buildGoModule {
+ inherit version;
+ pname = "kubectl-validate";
+
+ src = fetchFromGitHub {
+ owner = "kubernetes-sigs";
+ repo = "kubectl-validate";
+ rev = "v${version}";
+ hash = "sha256-k2+2QIPjNw6C/Do1WhPN39VZhZX4PZ6ZsKDKGJ9E5QA=";
+ };
+
+ vendorHash = null;
+
+ # Disable the download tool.
+ # Disable network based tests.
+ preBuild = ''
+ mv cmd/download-builtin-schemas/main.go cmd/download-builtin-schemas/_main.go
+ mv pkg/openapiclient/github_builtins_test.go pkg/openapiclient/_github_builtins_test.go
+ '';
+
+ passthru.updateScript = nix-update-script { };
+
+ meta = {
+ platforms = lib.platforms.all;
+ mainProgram = "kubectl-validate";
+ description = "A tool for local validation of resources for native Kubernetes types and CRDs";
+ homepage = "https://github.com/kubernetes-sigs/kubectl-validate";
+ changelog = "https://github.com/kubernetes-sigs/kubectl-validate/releases/tag/v${version}";
+ license = lib.licenses.asl20;
+ maintainers = with lib.maintainers; [ fd ];
+ };
+}
diff --git a/pkgs/by-name/ku/kubo/package.nix b/pkgs/by-name/ku/kubo/package.nix
index e9a81a90b234..6b8369c02bff 100644
--- a/pkgs/by-name/ku/kubo/package.nix
+++ b/pkgs/by-name/ku/kubo/package.nix
@@ -7,7 +7,7 @@
buildGoModule rec {
pname = "kubo";
- version = "0.26.0"; # When updating, also check if the repo version changed and adjust repoVersion below
+ version = "0.27.0"; # When updating, also check if the repo version changed and adjust repoVersion below
rev = "v${version}";
passthru.repoVersion = "15"; # Also update kubo-migrator when changing the repo version
@@ -15,7 +15,7 @@ buildGoModule rec {
# Kubo makes changes to its source tarball that don't match the git source.
src = fetchurl {
url = "https://github.com/ipfs/kubo/releases/download/${rev}/kubo-source.tar.gz";
- hash = "sha256-qvn5VqEPLkehFWamtPGRuDLJ06bd5bn1qZRp05jP2AY=";
+ hash = "sha256-xWVV2AUpogZaMb3v0w/C+DXvR2rmbOj1Bpyb3on2hfY=";
};
# tarball contains multiple files/directories
diff --git a/pkgs/by-name/ky/kyverno-chainsaw/package.nix b/pkgs/by-name/ky/kyverno-chainsaw/package.nix
new file mode 100644
index 000000000000..0853481fe1ed
--- /dev/null
+++ b/pkgs/by-name/ky/kyverno-chainsaw/package.nix
@@ -0,0 +1,38 @@
+{ lib, buildGoModule, fetchFromGitHub }:
+
+buildGoModule rec {
+ pname = "kyverno-chainsaw";
+ version = "0.1.9";
+
+ src = fetchFromGitHub {
+ owner = "kyverno";
+ repo = "chainsaw";
+ rev = "v${version}";
+ hash = "sha256-qn5EjddLVRhN90SICa39A28giXQ24Ol1nfbxNH5TXhc=";
+ };
+
+ vendorHash = "sha256-R9qaG19Vp+1a7AL0q8Cl1jN89cbXzLwbnN163WMWAEw=";
+
+ ldflags = [
+ "-s" "-w"
+ "-X github.com/kyverno/chainsaw/pkg/version.BuildVersion=v${version}"
+ "-X github.com/kyverno/chainsaw/pkg/version.BuildHash=${version}"
+ "-X github.com/kyverno/chainsaw/pkg/version.BuildTime=1970-01-01_00:00:00"
+ ];
+
+ doCheck = false; # requires running kubernetes
+
+ meta = {
+ changelog = "https://github.com/kyverno/chainsaw/releases/tag/v${version}";
+ description = "Declarative approach to test Kubernetes operators and controllers";
+ homepage = "https://kyverno.github.io/chainsaw/";
+ license = lib.licenses.asl20;
+ longDescription = ''
+ Chainsaw is meant to test Kubernetes operators work as expected by running a sequence of test steps for:
+ * Creating resources
+ * Asserting operators react (or not) the way they should
+ '';
+ mainProgram = "chainsaw";
+ maintainers = with lib.maintainers; [ Sanskarzz ];
+ };
+}
diff --git a/pkgs/by-name/la/labelife-label-printer/package.nix b/pkgs/by-name/la/labelife-label-printer/package.nix
new file mode 100644
index 000000000000..fb73aa24d572
--- /dev/null
+++ b/pkgs/by-name/la/labelife-label-printer/package.nix
@@ -0,0 +1,60 @@
+{ lib
+, stdenv
+, fetchurl
+, cups
+, autoPatchelfHook
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "labelife-label-printer";
+ version = "1.2.1";
+
+ arch =
+ if stdenv.hostPlatform.system == "x86_64-linux" then "x86_64"
+ else if stdenv.hostPlatform.system == "i686-linux" then "i386"
+ else throw "Unsupported system: ${stdenv.hostPlatform.system}";
+
+ src = fetchurl {
+ url = "https://oss.saas.aimocloud.com/saas/Lablife/bag/LabelPrinter-${finalAttrs.version}.tar.gz";
+ hash = "sha256-twnIFMBMyEM3xGlsuk3763C3emz3mgpEnlfvnL0XRWw=";
+ };
+
+ nativeBuildInputs = [ autoPatchelfHook ];
+ buildInputs = [ cups ];
+
+ installPhase =
+ ''
+ runHook preInstall
+ # Install the CUPS filter with executable permissions
+ install -Dm755 ./${finalAttrs.arch}/rastertolabeltspl $out/lib/cups/filter/rastertolabeltspl
+
+ # Install all PPD files with read and write permissions for owner, and read for group and others
+ for ppd in ./ppds/*.ppd; do
+ install -Dm644 $ppd $out/share/cups/model/label/$(basename $ppd)
+ done
+ runHook postInstall
+ '';
+
+ meta = {
+ description = "CUPS driver for several Labelife-compatible thermal label printers";
+ downloadPage = "https://labelife.net/#/chart";
+ homepage = "https://labelife.net";
+ license = lib.licenses.unfree;
+ longDescription = ''
+ Supported printer models include:
+ - D520 & D520BT
+ - PM-201
+ - PM-241 & PM-241-BT
+ - PM-246 & PM-246S
+
+ Brands using Labelife drivers include:
+ - Phomemo
+ - Itari
+ - Omezizy
+ - Aimo
+ '';
+ maintainers = with lib.maintainers; [ daniel-fahey ];
+ platforms = with lib; [ "i686-linux" "x86_64-linux" ];
+ sourceProvenance = with lib.sourceTypes; [ binaryNativeCode ];
+ };
+})
diff --git a/pkgs/by-name/la/labwc-menu-generator/package.nix b/pkgs/by-name/la/labwc-menu-generator/package.nix
index 331936ea5373..1d84dbd4eeb9 100644
--- a/pkgs/by-name/la/labwc-menu-generator/package.nix
+++ b/pkgs/by-name/la/labwc-menu-generator/package.nix
@@ -9,13 +9,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "labwc-menu-generator";
- version = "unstable-2023-10-31";
+ version = "unstable-2024-03-12";
src = fetchFromGitHub {
owner = "labwc";
repo = "labwc-menu-generator";
- rev = "d7c81071f8b121ef83da32ae3fa16155d1a2ced9";
- hash = "sha256-gZ0TuSVJwcKW4orawSmRQvoCfrpb8yLXlv81qCR86MU=";
+ rev = "85a014db7214103c14c2bfbb5fc09a349ad64992";
+ hash = "sha256-nt/K00cr1dKEk547J/6w1j6O3WSgGqVt1+Jdw95K28s=";
};
nativeBuildInputs = [
diff --git a/pkgs/by-name/la/labwc/package.nix b/pkgs/by-name/la/labwc/package.nix
index e28176a7d1cd..36aa398e8767 100644
--- a/pkgs/by-name/la/labwc/package.nix
+++ b/pkgs/by-name/la/labwc/package.nix
@@ -1,7 +1,6 @@
{ lib
-, stdenv
-, fetchFromGitHub
, cairo
+, fetchFromGitHub
, gettext
, glib
, libdrm
@@ -16,6 +15,7 @@
, pango
, pkg-config
, scdoc
+, stdenv
, wayland
, wayland-protocols
, wayland-scanner
@@ -26,15 +26,17 @@
stdenv.mkDerivation (finalAttrs: {
pname = "labwc";
- version = "0.7.0";
+ version = "0.7.1";
src = fetchFromGitHub {
owner = "labwc";
repo = "labwc";
rev = finalAttrs.version;
- hash = "sha256-/z2Wo9zhuEVIpk8jHYwg2JbBqkX7tfDP2KTZ9yzj454=";
+ hash = "sha256-6l+pYEMFQT8P0j40IcujSzlKgXzR5GIyuxkAJi65RiY=";
};
+ outputs = [ "out" "man" ];
+
nativeBuildInputs = [
gettext
meson
@@ -62,8 +64,6 @@ stdenv.mkDerivation (finalAttrs: {
xwayland
];
- outputs = [ "out" "man" ];
-
strictDeps = true;
mesonFlags = [
@@ -77,8 +77,9 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
homepage = "https://github.com/labwc/labwc";
description = "A Wayland stacking compositor, inspired by Openbox";
- changelog = "https://raw.githubusercontent.com/labwc/labwc/${finalAttrs.version}/NEWS.md";
- license = lib.licenses.gpl2Plus;
+ changelog = "https://github.com/labwc/labwc/blob/${finalAttrs.src.rev}/NEWS.md";
+ license = with lib.licenses; [ gpl2Plus ];
+ mainProgram = "labwc";
maintainers = with lib.maintainers; [ AndersonTorres ];
inherit (wayland.meta) platforms;
};
diff --git a/pkgs/by-name/la/lacus/package.nix b/pkgs/by-name/la/lacus/package.nix
new file mode 100644
index 000000000000..1bef9b506093
--- /dev/null
+++ b/pkgs/by-name/la/lacus/package.nix
@@ -0,0 +1,39 @@
+{ lib
+, fetchFromGitHub
+, python3
+}:
+
+python3.pkgs.buildPythonApplication rec {
+ pname = "lacus";
+ version = "1.8.0";
+ pyproject = true;
+
+ src = fetchFromGitHub {
+ owner = "ail-project";
+ repo = "lacus";
+ rev = "refs/tags/v${version}";
+ hash = "sha256-3sgTt3SsasltChCqHAWyujtUvoLwHWZzfWwlfnJA+zE=";
+ };
+
+ nativeBuildInputs = with python3.pkgs; [
+ poetry-core
+ ];
+
+ propagatedBuildInputs = with python3.pkgs; [
+ flask-restx
+ gunicorn
+ lacuscore
+ psutil
+ redis
+ rich
+ werkzeug
+ ];
+
+ meta = with lib; {
+ description = "Capturing system using playwright";
+ homepage = "https://github.com/ail-project/lacus";
+ changelog = "https://github.com/ail-project/lacus/releases/tag/v${version}";
+ license = licenses.bsd3;
+ maintainers = with maintainers; [ fab ];
+ };
+}
diff --git a/pkgs/by-name/la/lan-mouse/Cargo.lock b/pkgs/by-name/la/lan-mouse/Cargo.lock
new file mode 100644
index 000000000000..a348b139f449
--- /dev/null
+++ b/pkgs/by-name/la/lan-mouse/Cargo.lock
@@ -0,0 +1,2616 @@
+# This file is automatically @generated by Cargo.
+# It is not intended for manual editing.
+version = 3
+
+[[package]]
+name = "addr2line"
+version = "0.21.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8a30b2e23b9e17a9f90641c7ab1549cd9b44f296d3ccbf309d2863cfe398a0cb"
+dependencies = [
+ "gimli",
+]
+
+[[package]]
+name = "adler"
+version = "1.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f26201604c87b1e01bd3d98f8d5d9a8fcbb815e8cedb41ffccbeb4bf593a35fe"
+
+[[package]]
+name = "aho-corasick"
+version = "1.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8e60d3430d3a69478ad0993f19238d2df97c507009a52b3c10addcd7f6bcb916"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "anstream"
+version = "0.6.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d96bd03f33fe50a863e394ee9718a706f988b9079b20c3784fb726e7678b62fb"
+dependencies = [
+ "anstyle",
+ "anstyle-parse",
+ "anstyle-query",
+ "anstyle-wincon",
+ "colorchoice",
+ "utf8parse",
+]
+
+[[package]]
+name = "anstyle"
+version = "1.0.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8901269c6307e8d93993578286ac0edf7f195079ffff5ebdeea6a59ffb7e36bc"
+
+[[package]]
+name = "anstyle-parse"
+version = "0.2.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c75ac65da39e5fe5ab759307499ddad880d724eed2f6ce5b5e8a26f4f387928c"
+dependencies = [
+ "utf8parse",
+]
+
+[[package]]
+name = "anstyle-query"
+version = "1.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e28923312444cdd728e4738b3f9c9cac739500909bb3d3c94b43551b16517648"
+dependencies = [
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "anstyle-wincon"
+version = "3.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1cd54b81ec8d6180e24654d0b371ad22fc3dd083b6ff8ba325b72e00c87660a7"
+dependencies = [
+ "anstyle",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "anyhow"
+version = "1.0.81"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0952808a6c2afd1aa8947271f3a60f1a6763c7b912d210184c5149b5cf147247"
+
+[[package]]
+name = "arraydeque"
+version = "0.4.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f0ffd3d69bd89910509a5d31d1f1353f38ccffdd116dd0099bbd6627f7bd8ad8"
+
+[[package]]
+name = "arrayvec"
+version = "0.4.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cd9fd44efafa8690358b7408d253adf110036b88f55672a933f01d616ad9b1b9"
+dependencies = [
+ "nodrop",
+]
+
+[[package]]
+name = "ashpd"
+version = "0.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dd884d7c72877a94102c3715f3b1cd09ff4fac28221add3e57cfbe25c236d093"
+dependencies = [
+ "enumflags2",
+ "futures-channel",
+ "futures-util",
+ "rand",
+ "serde",
+ "serde_repr",
+ "tokio",
+ "url",
+ "zbus",
+]
+
+[[package]]
+name = "async-broadcast"
+version = "0.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "258b52a1aa741b9f09783b2d86cf0aeeb617bbf847f6933340a39644227acbdb"
+dependencies = [
+ "event-listener 5.2.0",
+ "event-listener-strategy 0.5.0",
+ "futures-core",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "async-channel"
+version = "2.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f28243a43d821d11341ab73c80bed182dc015c514b951616cf79bd4af39af0c3"
+dependencies = [
+ "concurrent-queue",
+ "event-listener 5.2.0",
+ "event-listener-strategy 0.5.0",
+ "futures-core",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "async-io"
+version = "2.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dcccb0f599cfa2f8ace422d3555572f47424da5648a4382a9dd0310ff8210884"
+dependencies = [
+ "async-lock 3.3.0",
+ "cfg-if",
+ "concurrent-queue",
+ "futures-io",
+ "futures-lite",
+ "parking",
+ "polling",
+ "rustix",
+ "slab",
+ "tracing",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "async-lock"
+version = "2.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "287272293e9d8c41773cec55e365490fe034813a2f172f502d6ddcf75b2f582b"
+dependencies = [
+ "event-listener 2.5.3",
+]
+
+[[package]]
+name = "async-lock"
+version = "3.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d034b430882f8381900d3fe6f0aaa3ad94f2cb4ac519b429692a1bc2dda4ae7b"
+dependencies = [
+ "event-listener 4.0.3",
+ "event-listener-strategy 0.4.0",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "async-process"
+version = "2.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "451e3cf68011bd56771c79db04a9e333095ab6349f7e47592b788e9b98720cc8"
+dependencies = [
+ "async-channel",
+ "async-io",
+ "async-lock 3.3.0",
+ "async-signal",
+ "blocking",
+ "cfg-if",
+ "event-listener 5.2.0",
+ "futures-lite",
+ "rustix",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "async-recursion"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "30c5ef0ede93efbf733c1a727f3b6b5a1060bbedd5600183e66f6e4be4af0ec5"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.53",
+]
+
+[[package]]
+name = "async-signal"
+version = "0.2.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9e47d90f65a225c4527103a8d747001fc56e375203592b25ad103e1ca13124c5"
+dependencies = [
+ "async-io",
+ "async-lock 2.8.0",
+ "atomic-waker",
+ "cfg-if",
+ "futures-core",
+ "futures-io",
+ "rustix",
+ "signal-hook-registry",
+ "slab",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "async-task"
+version = "4.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fbb36e985947064623dbd357f727af08ffd077f93d696782f3c56365fa2e2799"
+
+[[package]]
+name = "async-trait"
+version = "0.1.78"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "461abc97219de0eaaf81fe3ef974a540158f3d079c2ab200f891f1a2ef201e85"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.53",
+]
+
+[[package]]
+name = "atomic-waker"
+version = "1.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1505bd5d3d116872e7271a6d4e16d81d0c8570876c8de68093a09ac269d8aac0"
+
+[[package]]
+name = "autocfg"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d468802bab17cbc0cc575e9b053f41e72aa36bfa6b7f55e3529ffa43161b97fa"
+
+[[package]]
+name = "backtrace"
+version = "0.3.69"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2089b7e3f35b9dd2d0ed921ead4f6d318c27680d4a5bd167b3ee120edb105837"
+dependencies = [
+ "addr2line",
+ "cc",
+ "cfg-if",
+ "libc",
+ "miniz_oxide",
+ "object",
+ "rustc-demangle",
+]
+
+[[package]]
+name = "bitflags"
+version = "1.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a"
+
+[[package]]
+name = "bitflags"
+version = "2.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cf4b9d6a944f767f8e5e0db018570623c85f3d925ac718db4e06d0187adb21c1"
+
+[[package]]
+name = "block-buffer"
+version = "0.10.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3078c7629b62d3f0439517fa394996acacc5cbc91c5a20d8c658e77abd503a71"
+dependencies = [
+ "generic-array",
+]
+
+[[package]]
+name = "blocking"
+version = "1.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6a37913e8dc4ddcc604f0c6d3bf2887c995153af3611de9e23c352b44c1b9118"
+dependencies = [
+ "async-channel",
+ "async-lock 3.3.0",
+ "async-task",
+ "fastrand",
+ "futures-io",
+ "futures-lite",
+ "piper",
+ "tracing",
+]
+
+[[package]]
+name = "bytes"
+version = "1.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a2bd12c1caf447e69cd4528f47f94d203fd2582878ecb9e9465484c4148a8223"
+
+[[package]]
+name = "cairo-rs"
+version = "0.19.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2650f66005301bd33cc486dec076e1293c4cecf768bc7ba9bf5d2b1be339b99c"
+dependencies = [
+ "bitflags 2.5.0",
+ "cairo-sys-rs",
+ "glib",
+ "libc",
+ "thiserror",
+]
+
+[[package]]
+name = "cairo-sys-rs"
+version = "0.19.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fd3bb3119664efbd78b5e6c93957447944f16bdbced84c17a9f41c7829b81e64"
+dependencies = [
+ "glib-sys",
+ "libc",
+ "system-deps",
+]
+
+[[package]]
+name = "cc"
+version = "1.0.90"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8cd6604a82acf3039f1144f54b8eb34e91ffba622051189e71b781822d5ee1f5"
+
+[[package]]
+name = "cfg-expr"
+version = "0.15.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fa50868b64a9a6fda9d593ce778849ea8715cd2a3d2cc17ffdb4a2f2f2f1961d"
+dependencies = [
+ "smallvec",
+ "target-lexicon",
+]
+
+[[package]]
+name = "cfg-if"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd"
+
+[[package]]
+name = "cfg_aliases"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fd16c4719339c4530435d38e511904438d07cce7950afa3718a84ac36c10e89e"
+
+[[package]]
+name = "clap"
+version = "4.5.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "949626d00e063efc93b6dca932419ceb5432f99769911c0b995f7e884c778813"
+dependencies = [
+ "clap_builder",
+ "clap_derive",
+]
+
+[[package]]
+name = "clap_builder"
+version = "4.5.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ae129e2e766ae0ec03484e609954119f123cc1fe650337e155d03b022f24f7b4"
+dependencies = [
+ "anstream",
+ "anstyle",
+ "clap_lex",
+ "strsim",
+]
+
+[[package]]
+name = "clap_derive"
+version = "4.5.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "90239a040c80f5e14809ca132ddc4176ab33d5e17e49691793296e3fcb34d72f"
+dependencies = [
+ "heck 0.5.0",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.53",
+]
+
+[[package]]
+name = "clap_lex"
+version = "0.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "98cc8fbded0c607b7ba9dd60cd98df59af97e84d24e49c8557331cfc26d301ce"
+
+[[package]]
+name = "colorchoice"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "acbf1af155f9b9ef647e42cdc158db4b64a1b61f743629225fde6f3e0be2a7c7"
+
+[[package]]
+name = "concurrent-queue"
+version = "2.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d16048cd947b08fa32c24458a22f5dc5e835264f689f4f5653210c69fd107363"
+dependencies = [
+ "crossbeam-utils",
+]
+
+[[package]]
+name = "core-foundation"
+version = "0.9.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "91e195e091a93c46f7102ec7818a2aa394e1e1771c3ab4825963fa03e45afb8f"
+dependencies = [
+ "core-foundation-sys",
+ "libc",
+]
+
+[[package]]
+name = "core-foundation-sys"
+version = "0.8.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "06ea2b9bc92be3c2baa9334a323ebca2d6f074ff852cd1d7b11064035cd3868f"
+
+[[package]]
+name = "core-graphics"
+version = "0.23.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "970a29baf4110c26fedbc7f82107d42c23f7e88e404c4577ed73fe99ff85a212"
+dependencies = [
+ "bitflags 1.3.2",
+ "core-foundation",
+ "core-graphics-types",
+ "foreign-types",
+ "libc",
+]
+
+[[package]]
+name = "core-graphics-types"
+version = "0.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "45390e6114f68f718cc7a830514a96f903cccd70d02a8f6d9f643ac4ba45afaf"
+dependencies = [
+ "bitflags 1.3.2",
+ "core-foundation",
+ "libc",
+]
+
+[[package]]
+name = "cpufeatures"
+version = "0.2.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "53fe5e26ff1b7aef8bca9c6080520cfb8d9333c7568e1829cef191a9723e5504"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "crossbeam-utils"
+version = "0.8.19"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "248e3bacc7dc6baa3b21e405ee045c3047101a49145e7e9eca583ab4c2ca5345"
+
+[[package]]
+name = "crypto-common"
+version = "0.1.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1bfb12502f3fc46cca1bb51ac28df9d618d813cdc3d2f25b9fe775a34af26bb3"
+dependencies = [
+ "generic-array",
+ "typenum",
+]
+
+[[package]]
+name = "data-encoding"
+version = "2.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7e962a19be5cfc3f3bf6dd8f61eb50107f356ad6270fbb3ed41476571db78be5"
+
+[[package]]
+name = "derivative"
+version = "2.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fcc3dd5e9e9c0b295d6e1e4d811fb6f157d5ffd784b8d202fc62eac8035a770b"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "digest"
+version = "0.10.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9ed9a281f7bc9b7576e61468ba615a66a5c8cfdff42420a70aa82701a3b1e292"
+dependencies = [
+ "block-buffer",
+ "crypto-common",
+]
+
+[[package]]
+name = "dlib"
+version = "0.5.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "330c60081dcc4c72131f8eb70510f1ac07223e5d4163db481a04a0befcffa412"
+dependencies = [
+ "libloading",
+]
+
+[[package]]
+name = "downcast-rs"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9ea835d29036a4087793836fa931b08837ad5e957da9e23886b29586fb9b6650"
+
+[[package]]
+name = "endi"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a3d8a32ae18130a3c84dd492d4215c3d913c3b07c6b63c2eb3eb7ff1101ab7bf"
+
+[[package]]
+name = "enum-as-inner"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5ffccbb6966c05b32ef8fbac435df276c4ae4d3dc55a8cd0eb9745e6c12f546a"
+dependencies = [
+ "heck 0.4.1",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.53",
+]
+
+[[package]]
+name = "enumflags2"
+version = "0.7.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3278c9d5fb675e0a51dabcf4c0d355f692b064171535ba72361be1528a9d8e8d"
+dependencies = [
+ "enumflags2_derive",
+ "serde",
+]
+
+[[package]]
+name = "enumflags2_derive"
+version = "0.7.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5c785274071b1b420972453b306eeca06acf4633829db4223b58a2a8c5953bc4"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.53",
+]
+
+[[package]]
+name = "env_filter"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a009aa4810eb158359dda09d0c87378e4bbb89b5a801f016885a4707ba24f7ea"
+dependencies = [
+ "log",
+ "regex",
+]
+
+[[package]]
+name = "env_logger"
+version = "0.11.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "38b35839ba51819680ba087cd351788c9a3c476841207e0b8cee0b04722343b9"
+dependencies = [
+ "anstream",
+ "anstyle",
+ "env_filter",
+ "humantime",
+ "log",
+]
+
+[[package]]
+name = "equivalent"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5443807d6dff69373d433ab9ef5378ad8df50ca6298caf15de6e52e24aaf54d5"
+
+[[package]]
+name = "errno"
+version = "0.3.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a258e46cdc063eb8519c00b9fc845fc47bcfca4130e2f08e88665ceda8474245"
+dependencies = [
+ "libc",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "event-listener"
+version = "2.5.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0206175f82b8d6bf6652ff7d71a1e27fd2e4efde587fd368662814d6ec1d9ce0"
+
+[[package]]
+name = "event-listener"
+version = "4.0.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "67b215c49b2b248c855fb73579eb1f4f26c38ffdc12973e20e07b91d78d5646e"
+dependencies = [
+ "concurrent-queue",
+ "parking",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "event-listener"
+version = "5.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2b5fb89194fa3cad959b833185b3063ba881dbfc7030680b314250779fb4cc91"
+dependencies = [
+ "concurrent-queue",
+ "parking",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "event-listener-strategy"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "958e4d70b6d5e81971bebec42271ec641e7ff4e170a6fa605f2b8a8b65cb97d3"
+dependencies = [
+ "event-listener 4.0.3",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "event-listener-strategy"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "feedafcaa9b749175d5ac357452a9d41ea2911da598fde46ce1fe02c37751291"
+dependencies = [
+ "event-listener 5.2.0",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "fastrand"
+version = "2.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "25cbce373ec4653f1a01a31e8a5e5ec0c622dc27ff9c4e6606eefef5cbbed4a5"
+
+[[package]]
+name = "field-offset"
+version = "0.3.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "38e2275cc4e4fc009b0669731a1e5ab7ebf11f469eaede2bab9309a5b4d6057f"
+dependencies = [
+ "memoffset",
+ "rustc_version",
+]
+
+[[package]]
+name = "foreign-types"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d737d9aa519fb7b749cbc3b962edcf310a8dd1f4b67c91c4f83975dbdd17d965"
+dependencies = [
+ "foreign-types-macros",
+ "foreign-types-shared",
+]
+
+[[package]]
+name = "foreign-types-macros"
+version = "0.2.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1a5c6c585bc94aaf2c7b51dd4c2ba22680844aba4c687be581871a6f518c5742"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.53",
+]
+
+[[package]]
+name = "foreign-types-shared"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "aa9a19cbb55df58761df49b23516a86d432839add4af60fc256da840f66ed35b"
+
+[[package]]
+name = "form_urlencoded"
+version = "1.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e13624c2627564efccf4934284bdd98cbaa14e79b0b5a141218e507b3a823456"
+dependencies = [
+ "percent-encoding",
+]
+
+[[package]]
+name = "futures"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "645c6916888f6cb6350d2550b80fb63e734897a8498abe35cfb732b6487804b0"
+dependencies = [
+ "futures-channel",
+ "futures-core",
+ "futures-executor",
+ "futures-io",
+ "futures-sink",
+ "futures-task",
+ "futures-util",
+]
+
+[[package]]
+name = "futures-channel"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eac8f7d7865dcb88bd4373ab671c8cf4508703796caa2b1985a9ca867b3fcb78"
+dependencies = [
+ "futures-core",
+ "futures-sink",
+]
+
+[[package]]
+name = "futures-core"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dfc6580bb841c5a68e9ef15c77ccc837b40a7504914d52e47b8b0e9bbda25a1d"
+
+[[package]]
+name = "futures-executor"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a576fc72ae164fca6b9db127eaa9a9dda0d61316034f33a0a0d4eda41f02b01d"
+dependencies = [
+ "futures-core",
+ "futures-task",
+ "futures-util",
+]
+
+[[package]]
+name = "futures-io"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a44623e20b9681a318efdd71c299b6b222ed6f231972bfe2f224ebad6311f0c1"
+
+[[package]]
+name = "futures-lite"
+version = "2.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "52527eb5074e35e9339c6b4e8d12600c7128b68fb25dcb9fa9dec18f7c25f3a5"
+dependencies = [
+ "fastrand",
+ "futures-core",
+ "futures-io",
+ "parking",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "futures-macro"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "87750cf4b7a4c0625b1529e4c543c2182106e4dedc60a2a6455e00d212c489ac"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.53",
+]
+
+[[package]]
+name = "futures-sink"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9fb8e00e87438d937621c1c6269e53f536c14d3fbd6a042bb24879e57d474fb5"
+
+[[package]]
+name = "futures-task"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "38d84fa142264698cdce1a9f9172cf383a0c82de1bddcf3092901442c4097004"
+
+[[package]]
+name = "futures-util"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3d6401deb83407ab3da39eba7e33987a73c3df0c82b4bb5813ee871c19c41d48"
+dependencies = [
+ "futures-channel",
+ "futures-core",
+ "futures-io",
+ "futures-macro",
+ "futures-sink",
+ "futures-task",
+ "memchr",
+ "pin-project-lite",
+ "pin-utils",
+ "slab",
+]
+
+[[package]]
+name = "gdk-pixbuf"
+version = "0.19.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f6a23f8a0b5090494fd04924662d463f8386cc678dd3915015a838c1a3679b92"
+dependencies = [
+ "gdk-pixbuf-sys",
+ "gio",
+ "glib",
+ "libc",
+]
+
+[[package]]
+name = "gdk-pixbuf-sys"
+version = "0.19.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3dcbd04c1b2c4834cc008b4828bc917d062483b88d26effde6342e5622028f96"
+dependencies = [
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps",
+]
+
+[[package]]
+name = "gdk4"
+version = "0.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9100b25604183f2fd97f55ef087fae96ab4934d7215118a35303e422688e6e4b"
+dependencies = [
+ "cairo-rs",
+ "gdk-pixbuf",
+ "gdk4-sys",
+ "gio",
+ "glib",
+ "libc",
+ "pango",
+]
+
+[[package]]
+name = "gdk4-sys"
+version = "0.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d0b76874c40bb8d1c7d03a7231e23ac75fa577a456cd53af32ec17ec8f121626"
+dependencies = [
+ "cairo-sys-rs",
+ "gdk-pixbuf-sys",
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "pango-sys",
+ "pkg-config",
+ "system-deps",
+]
+
+[[package]]
+name = "generic-array"
+version = "0.14.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "85649ca51fd72272d7821adaf274ad91c288277713d9c18820d8499a7ff69e9a"
+dependencies = [
+ "typenum",
+ "version_check",
+]
+
+[[package]]
+name = "getrandom"
+version = "0.2.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "190092ea657667030ac6a35e305e62fc4dd69fd98ac98631e5d3a2b1575a12b5"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "wasi",
+]
+
+[[package]]
+name = "gimli"
+version = "0.28.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4271d37baee1b8c7e4b708028c57d816cf9d2434acb33a549475f78c181f6253"
+
+[[package]]
+name = "gio"
+version = "0.19.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c64947d08d7fbb03bf8ad1f25a8ac6cf4329bc772c9b7e5abe7bf9493c81194f"
+dependencies = [
+ "futures-channel",
+ "futures-core",
+ "futures-io",
+ "futures-util",
+ "gio-sys",
+ "glib",
+ "libc",
+ "pin-project-lite",
+ "smallvec",
+ "thiserror",
+]
+
+[[package]]
+name = "gio-sys"
+version = "0.19.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bcf8e1d9219bb294636753d307b030c1e8a032062cba74f493c431a5c8b81ce4"
+dependencies = [
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "glib"
+version = "0.19.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "01e191cc1af1f35b9699213107068cd3fe05d9816275ac118dc785a0dd8faebf"
+dependencies = [
+ "bitflags 2.5.0",
+ "futures-channel",
+ "futures-core",
+ "futures-executor",
+ "futures-task",
+ "futures-util",
+ "gio-sys",
+ "glib-macros",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "memchr",
+ "smallvec",
+ "thiserror",
+]
+
+[[package]]
+name = "glib-build-tools"
+version = "0.19.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "108f374fff60efd14b0d70d8916e7213aed18d7dd071ba3e9334ed2dac1dc86a"
+dependencies = [
+ "gio",
+]
+
+[[package]]
+name = "glib-macros"
+version = "0.19.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9972bb91643d589c889654693a4f1d07697fdcb5d104b5c44fb68649ba1bf68d"
+dependencies = [
+ "heck 0.5.0",
+ "proc-macro-crate",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.53",
+]
+
+[[package]]
+name = "glib-sys"
+version = "0.19.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "630f097773d7c7a0bb3258df4e8157b47dc98bbfa0e60ad9ab56174813feced4"
+dependencies = [
+ "libc",
+ "system-deps",
+]
+
+[[package]]
+name = "gobject-sys"
+version = "0.19.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c85e2b1080b9418dd0c58b498da3a5c826030343e0ef07bde6a955d28de54979"
+dependencies = [
+ "glib-sys",
+ "libc",
+ "system-deps",
+]
+
+[[package]]
+name = "graphene-rs"
+version = "0.19.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "99e4d388e96c5f29e2b2f67045d229ddf826d0a8d6d282f94ed3b34452222c91"
+dependencies = [
+ "glib",
+ "graphene-sys",
+ "libc",
+]
+
+[[package]]
+name = "graphene-sys"
+version = "0.19.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "236ed66cc9b18d8adf233716f75de803d0bf6fc806f60d14d948974a12e240d0"
+dependencies = [
+ "glib-sys",
+ "libc",
+ "pkg-config",
+ "system-deps",
+]
+
+[[package]]
+name = "gsk4"
+version = "0.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c65036fc8f99579e8cb37b12487969b707ab23ec8ab953682ff347cbd15d396e"
+dependencies = [
+ "cairo-rs",
+ "gdk4",
+ "glib",
+ "graphene-rs",
+ "gsk4-sys",
+ "libc",
+ "pango",
+]
+
+[[package]]
+name = "gsk4-sys"
+version = "0.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bd24c814379f9c3199dc53e52253ee8d0f657eae389ab282c330505289d24738"
+dependencies = [
+ "cairo-sys-rs",
+ "gdk4-sys",
+ "glib-sys",
+ "gobject-sys",
+ "graphene-sys",
+ "libc",
+ "pango-sys",
+ "system-deps",
+]
+
+[[package]]
+name = "gtk4"
+version = "0.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "aa82753b8c26277e4af1446c70e35b19aad4fb794a7b143859e7eeb9a4025d83"
+dependencies = [
+ "cairo-rs",
+ "field-offset",
+ "futures-channel",
+ "gdk-pixbuf",
+ "gdk4",
+ "gio",
+ "glib",
+ "graphene-rs",
+ "gsk4",
+ "gtk4-macros",
+ "gtk4-sys",
+ "libc",
+ "pango",
+]
+
+[[package]]
+name = "gtk4-macros"
+version = "0.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "40300bf071d2fcd4c94eacc09e84ec6fe73129d2ceb635cf7e55b026b5443567"
+dependencies = [
+ "anyhow",
+ "proc-macro-crate",
+ "proc-macro-error",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "gtk4-sys"
+version = "0.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0db1b104138f087ccdc81d2c332de5dd049b89de3d384437cc1093b17cd2da18"
+dependencies = [
+ "cairo-sys-rs",
+ "gdk-pixbuf-sys",
+ "gdk4-sys",
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "graphene-sys",
+ "gsk4-sys",
+ "libc",
+ "pango-sys",
+ "system-deps",
+]
+
+[[package]]
+name = "hashbrown"
+version = "0.14.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "290f1a1d9242c78d09ce40a5e87e7554ee637af1351968159f4952f028f75604"
+
+[[package]]
+name = "heck"
+version = "0.3.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6d621efb26863f0e9924c6ac577e8275e5e6b77455db64ffa6c65c904e9e132c"
+dependencies = [
+ "unicode-segmentation",
+]
+
+[[package]]
+name = "heck"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "95505c38b4572b2d910cecb0281560f54b440a19336cbbcb27bf6ce6adc6f5a8"
+
+[[package]]
+name = "heck"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2304e00983f87ffb38b55b444b5e3b60a884b5d30c0fca7d82fe33449bbe55ea"
+
+[[package]]
+name = "hermit-abi"
+version = "0.3.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d231dfb89cfffdbc30e7fc41579ed6066ad03abda9e567ccafae602b97ec5024"
+
+[[package]]
+name = "hex"
+version = "0.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f24254aa9a54b5c858eaee2f5bccdb46aaf0e486a595ed5fd8f86ba55232a70"
+
+[[package]]
+name = "hostname"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3c731c3e10504cc8ed35cfe2f1db4c9274c3d35fa486e3b31df46f068ef3e867"
+dependencies = [
+ "libc",
+ "match_cfg",
+ "winapi",
+]
+
+[[package]]
+name = "humantime"
+version = "2.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9a3a5bfb195931eeb336b2a7b4d761daec841b97f947d34394601737a7bba5e4"
+
+[[package]]
+name = "idna"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7d20d6b07bfbc108882d88ed8e37d39636dcc260e15e30c45e6ba089610b917c"
+dependencies = [
+ "unicode-bidi",
+ "unicode-normalization",
+]
+
+[[package]]
+name = "idna"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "634d9b1461af396cad843f47fdba5597a4f9e6ddd4bfb6ff5d85028c25cb12f6"
+dependencies = [
+ "unicode-bidi",
+ "unicode-normalization",
+]
+
+[[package]]
+name = "indexmap"
+version = "2.2.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7b0b929d511467233429c45a44ac1dcaa21ba0f5ba11e4879e6ed28ddb4f9df4"
+dependencies = [
+ "equivalent",
+ "hashbrown",
+]
+
+[[package]]
+name = "ipconfig"
+version = "0.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b58db92f96b720de98181bbbe63c831e87005ab460c1bf306eb2622b4707997f"
+dependencies = [
+ "socket2",
+ "widestring",
+ "windows-sys 0.48.0",
+ "winreg",
+]
+
+[[package]]
+name = "ipnet"
+version = "2.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f518f335dce6725a761382244631d86cf0ccb2863413590b31338feb467f9c3"
+
+[[package]]
+name = "itoa"
+version = "1.0.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b1a46d1a171d865aa5f83f92695765caa047a9b4cbae2cbf37dbd613a793fd4c"
+
+[[package]]
+name = "keycode"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b07873c3182aec8a0eb1a5a4e7b197d42e9d167ba78497a6ee932a82d94673ed"
+dependencies = [
+ "arraydeque",
+ "arrayvec",
+ "bitflags 1.3.2",
+ "keycode_macro",
+]
+
+[[package]]
+name = "keycode_macro"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e521ea802f5b3c7194e169d75cab431b0ff08d022f2b6047b08754b4988b89df"
+dependencies = [
+ "anyhow",
+ "heck 0.3.3",
+ "proc-macro2",
+ "quote",
+]
+
+[[package]]
+name = "lan-mouse"
+version = "0.7.3"
+dependencies = [
+ "anyhow",
+ "ashpd",
+ "async-channel",
+ "async-trait",
+ "clap",
+ "core-graphics",
+ "env_logger",
+ "futures",
+ "futures-core",
+ "glib-build-tools",
+ "gtk4",
+ "keycode",
+ "libadwaita",
+ "libc",
+ "log",
+ "memmap",
+ "once_cell",
+ "reis",
+ "serde",
+ "serde_json",
+ "tempfile",
+ "tokio",
+ "toml",
+ "trust-dns-resolver",
+ "wayland-client",
+ "wayland-protocols",
+ "wayland-protocols-misc",
+ "wayland-protocols-wlr",
+ "windows",
+ "x11",
+]
+
+[[package]]
+name = "libadwaita"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "91b4990248b9e1ec5e72094a2ccaea70ec3809f88f6fd52192f2af306b87c5d9"
+dependencies = [
+ "gdk-pixbuf",
+ "gdk4",
+ "gio",
+ "glib",
+ "gtk4",
+ "libadwaita-sys",
+ "libc",
+ "pango",
+]
+
+[[package]]
+name = "libadwaita-sys"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "23a748e4e92be1265cd9e93d569c0b5dfc7814107985aa6743d670ab281ea1a8"
+dependencies = [
+ "gdk4-sys",
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "gtk4-sys",
+ "libc",
+ "pango-sys",
+ "system-deps",
+]
+
+[[package]]
+name = "libc"
+version = "0.2.153"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9c198f91728a82281a64e1f4f9eeb25d82cb32a5de251c6bd1b5154d63a8e7bd"
+
+[[package]]
+name = "libloading"
+version = "0.8.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0c2a198fb6b0eada2a8df47933734e6d35d350665a33a3593d7164fa52c75c19"
+dependencies = [
+ "cfg-if",
+ "windows-targets 0.52.4",
+]
+
+[[package]]
+name = "linked-hash-map"
+version = "0.5.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0717cef1bc8b636c6e1c1bbdefc09e6322da8a9321966e8928ef80d20f7f770f"
+
+[[package]]
+name = "linux-raw-sys"
+version = "0.4.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "01cda141df6706de531b6c46c3a33ecca755538219bd484262fa09410c13539c"
+
+[[package]]
+name = "lock_api"
+version = "0.4.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3c168f8615b12bc01f9c17e2eb0cc07dcae1940121185446edc3744920e8ef45"
+dependencies = [
+ "autocfg",
+ "scopeguard",
+]
+
+[[package]]
+name = "log"
+version = "0.4.21"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "90ed8c1e510134f979dbc4f070f87d4313098b704861a105fe34231c70a3901c"
+
+[[package]]
+name = "lru-cache"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "31e24f1ad8321ca0e8a1e0ac13f23cb668e6f5466c2c57319f6a5cf1cc8e3b1c"
+dependencies = [
+ "linked-hash-map",
+]
+
+[[package]]
+name = "match_cfg"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ffbee8634e0d45d258acb448e7eaab3fce7a0a467395d4d9f228e3c1f01fb2e4"
+
+[[package]]
+name = "memchr"
+version = "2.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "523dc4f511e55ab87b694dc30d0f820d60906ef06413f93d4d7a1385599cc149"
+
+[[package]]
+name = "memmap"
+version = "0.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6585fd95e7bb50d6cc31e20d4cf9afb4e2ba16c5846fc76793f11218da9c475b"
+dependencies = [
+ "libc",
+ "winapi",
+]
+
+[[package]]
+name = "memoffset"
+version = "0.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5a634b1c61a95585bd15607c6ab0c4e5b226e695ff2800ba0cdccddf208c406c"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "miniz_oxide"
+version = "0.7.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9d811f3e15f28568be3407c8e7fdb6514c1cda3cb30683f15b6a1a1dc4ea14a7"
+dependencies = [
+ "adler",
+]
+
+[[package]]
+name = "mio"
+version = "0.8.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a4a650543ca06a924e8b371db273b2756685faae30f8487da1b56505a8f78b0c"
+dependencies = [
+ "libc",
+ "wasi",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "nix"
+version = "0.28.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ab2156c4fce2f8df6c499cc1c763e4394b7482525bf2a9701c9d79d215f519e4"
+dependencies = [
+ "bitflags 2.5.0",
+ "cfg-if",
+ "cfg_aliases",
+ "libc",
+ "memoffset",
+]
+
+[[package]]
+name = "nodrop"
+version = "0.1.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "72ef4a56884ca558e5ddb05a1d1e7e1bfd9a68d9ed024c21704cc98872dae1bb"
+
+[[package]]
+name = "num_cpus"
+version = "1.16.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4161fcb6d602d4d2081af7c3a45852d875a03dd337a6bfdd6e06407b61342a43"
+dependencies = [
+ "hermit-abi",
+ "libc",
+]
+
+[[package]]
+name = "object"
+version = "0.32.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a6a622008b6e321afc04970976f62ee297fdbaa6f95318ca343e3eebb9648441"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "once_cell"
+version = "1.19.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3fdb12b2476b595f9358c5161aa467c2438859caa136dec86c26fdd2efe17b92"
+
+[[package]]
+name = "ordered-stream"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9aa2b01e1d916879f73a53d01d1d6cee68adbb31d6d9177a8cfce093cced1d50"
+dependencies = [
+ "futures-core",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "pango"
+version = "0.19.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b1264d13deb823cc652f26cfe59afb1ec4b9db2a5bd27c41b738c879cc1bfaa1"
+dependencies = [
+ "gio",
+ "glib",
+ "libc",
+ "pango-sys",
+]
+
+[[package]]
+name = "pango-sys"
+version = "0.19.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f52ef6a881c19fbfe3b1484df5cad411acaaba29dbec843941c3110d19f340ea"
+dependencies = [
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps",
+]
+
+[[package]]
+name = "parking"
+version = "2.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bb813b8af86854136c6922af0598d719255ecb2179515e6e7730d468f05c9cae"
+
+[[package]]
+name = "parking_lot"
+version = "0.12.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3742b2c103b9f06bc9fff0a37ff4912935851bee6d36f3c02bcc755bcfec228f"
+dependencies = [
+ "lock_api",
+ "parking_lot_core",
+]
+
+[[package]]
+name = "parking_lot_core"
+version = "0.9.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4c42a9226546d68acdd9c0a280d17ce19bfe27a46bf68784e4066115788d008e"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "redox_syscall",
+ "smallvec",
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "percent-encoding"
+version = "2.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e3148f5046208a5d56bcfc03053e3ca6334e51da8dfb19b6cdc8b306fae3283e"
+
+[[package]]
+name = "pin-project-lite"
+version = "0.2.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8afb450f006bf6385ca15ef45d71d2288452bc3683ce2e2cacc0d18e4be60b58"
+
+[[package]]
+name = "pin-utils"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8b870d8c151b6f2fb93e84a13146138f05d02ed11c7e7c54f8826aaaf7c9f184"
+
+[[package]]
+name = "piper"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "668d31b1c4eba19242f2088b2bf3316b82ca31082a8335764db4e083db7485d4"
+dependencies = [
+ "atomic-waker",
+ "fastrand",
+ "futures-io",
+]
+
+[[package]]
+name = "pkg-config"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d231b230927b5e4ad203db57bbcbee2802f6bce620b1e4a9024a07d94e2907ec"
+
+[[package]]
+name = "polling"
+version = "3.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "24f040dee2588b4963afb4e420540439d126f73fdacf4a9c486a96d840bac3c9"
+dependencies = [
+ "cfg-if",
+ "concurrent-queue",
+ "pin-project-lite",
+ "rustix",
+ "tracing",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "ppv-lite86"
+version = "0.2.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5b40af805b3121feab8a3c29f04d8ad262fa8e0561883e7653e024ae4479e6de"
+
+[[package]]
+name = "proc-macro-crate"
+version = "3.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6d37c51ca738a55da99dc0c4a34860fd675453b8b36209178c2249bb13651284"
+dependencies = [
+ "toml_edit 0.21.1",
+]
+
+[[package]]
+name = "proc-macro-error"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "da25490ff9892aab3fcf7c36f08cfb902dd3e71ca0f9f9517bea02a73a5ce38c"
+dependencies = [
+ "proc-macro-error-attr",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+ "version_check",
+]
+
+[[package]]
+name = "proc-macro-error-attr"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a1be40180e52ecc98ad80b184934baf3d0d29f979574e439af5a55274b35f869"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "version_check",
+]
+
+[[package]]
+name = "proc-macro2"
+version = "1.0.79"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e835ff2298f5721608eb1a980ecaee1aef2c132bf95ecc026a11b7bf3c01c02e"
+dependencies = [
+ "unicode-ident",
+]
+
+[[package]]
+name = "quick-error"
+version = "1.2.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a1d01941d82fa2ab50be1e79e6714289dd7cde78eba4c074bc5a4374f650dfe0"
+
+[[package]]
+name = "quick-xml"
+version = "0.31.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1004a344b30a54e2ee58d66a71b32d2db2feb0a31f9a2d302bf0536f15de2a33"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "quote"
+version = "1.0.35"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "291ec9ab5efd934aaf503a6466c5d5251535d108ee747472c3977cc5acc868ef"
+dependencies = [
+ "proc-macro2",
+]
+
+[[package]]
+name = "rand"
+version = "0.8.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "34af8d1a0e25924bc5b7c43c079c942339d8f0a8b57c39049bef581b46327404"
+dependencies = [
+ "libc",
+ "rand_chacha",
+ "rand_core",
+]
+
+[[package]]
+name = "rand_chacha"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e6c10a63a0fa32252be49d21e7709d4d4baf8d231c2dbce1eaa8141b9b127d88"
+dependencies = [
+ "ppv-lite86",
+ "rand_core",
+]
+
+[[package]]
+name = "rand_core"
+version = "0.6.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ec0be4795e2f6a28069bec0b5ff3e2ac9bafc99e6a9a7dc3547996c5c816922c"
+dependencies = [
+ "getrandom",
+]
+
+[[package]]
+name = "redox_syscall"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4722d768eff46b75989dd134e5c353f0d6296e5aaa3132e776cbdb56be7731aa"
+dependencies = [
+ "bitflags 1.3.2",
+]
+
+[[package]]
+name = "regex"
+version = "1.10.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b62dbe01f0b06f9d8dc7d49e05a0785f153b00b2c227856282f671e0318c9b15"
+dependencies = [
+ "aho-corasick",
+ "memchr",
+ "regex-automata",
+ "regex-syntax",
+]
+
+[[package]]
+name = "regex-automata"
+version = "0.4.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "86b83b8b9847f9bf95ef68afb0b8e6cdb80f498442f5179a29fad448fcc1eaea"
+dependencies = [
+ "aho-corasick",
+ "memchr",
+ "regex-syntax",
+]
+
+[[package]]
+name = "regex-syntax"
+version = "0.8.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c08c74e62047bb2de4ff487b251e4a92e24f48745648451635cec7d591162d9f"
+
+[[package]]
+name = "reis"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "635de3608f72e8d067f8972f9401619ac7a3f34a0a17340fa0e3f9db57e067a3"
+dependencies = [
+ "futures",
+ "rustix",
+ "tokio",
+]
+
+[[package]]
+name = "resolv-conf"
+version = "0.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "52e44394d2086d010551b14b53b1f24e31647570cd1deb0379e2c21b329aba00"
+dependencies = [
+ "hostname",
+ "quick-error",
+]
+
+[[package]]
+name = "rustc-demangle"
+version = "0.1.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d626bb9dae77e28219937af045c257c28bfd3f69333c512553507f5f9798cb76"
+
+[[package]]
+name = "rustc_version"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bfa0f585226d2e68097d4f95d113b15b83a82e819ab25717ec0590d9584ef366"
+dependencies = [
+ "semver",
+]
+
+[[package]]
+name = "rustix"
+version = "0.38.32"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "65e04861e65f21776e67888bfbea442b3642beaa0138fdb1dd7a84a52dffdb89"
+dependencies = [
+ "bitflags 2.5.0",
+ "errno",
+ "libc",
+ "linux-raw-sys",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "ryu"
+version = "1.0.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e86697c916019a8588c99b5fac3cead74ec0b4b819707a682fd4d23fa0ce1ba1"
+
+[[package]]
+name = "scoped-tls"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e1cf6437eb19a8f4a6cc0f7dca544973b0b78843adbfeb3683d1a94a0024a294"
+
+[[package]]
+name = "scopeguard"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "94143f37725109f92c262ed2cf5e59bce7498c01bcc1502d7b9afe439a4e9f49"
+
+[[package]]
+name = "semver"
+version = "1.0.22"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "92d43fe69e652f3df9bdc2b85b2854a0825b86e4fb76bc44d945137d053639ca"
+
+[[package]]
+name = "serde"
+version = "1.0.197"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3fb1c873e1b9b056a4dc4c0c198b24c3ffa059243875552b2bd0933b1aee4ce2"
+dependencies = [
+ "serde_derive",
+]
+
+[[package]]
+name = "serde_derive"
+version = "1.0.197"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7eb0b34b42edc17f6b7cac84a52a1c5f0e1bb2227e997ca9011ea3dd34e8610b"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.53",
+]
+
+[[package]]
+name = "serde_json"
+version = "1.0.114"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c5f09b1bd632ef549eaa9f60a1f8de742bdbc698e6cee2095fc84dde5f549ae0"
+dependencies = [
+ "itoa",
+ "ryu",
+ "serde",
+]
+
+[[package]]
+name = "serde_repr"
+version = "0.1.18"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0b2e6b945e9d3df726b65d6ee24060aff8e3533d431f677a9695db04eff9dfdb"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.53",
+]
+
+[[package]]
+name = "serde_spanned"
+version = "0.6.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eb3622f419d1296904700073ea6cc23ad690adbd66f13ea683df73298736f0c1"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "sha1"
+version = "0.10.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e3bf829a2d51ab4a5ddf1352d8470c140cadc8301b2ae1789db023f01cedd6ba"
+dependencies = [
+ "cfg-if",
+ "cpufeatures",
+ "digest",
+]
+
+[[package]]
+name = "signal-hook-registry"
+version = "1.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d8229b473baa5980ac72ef434c4415e70c4b5e71b423043adb4ba059f89c99a1"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "slab"
+version = "0.4.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f92a496fb766b417c996b9c5e57daf2f7ad3b0bebe1ccfca4856390e3d3bb67"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "smallvec"
+version = "1.13.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3c5e1a9a646d36c3599cd173a41282daf47c44583ad367b8e6837255952e5c67"
+
+[[package]]
+name = "socket2"
+version = "0.5.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "05ffd9c0a93b7543e062e759284fcf5f5e3b098501104bfbdde4d404db792871"
+dependencies = [
+ "libc",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "static_assertions"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a2eb9349b6444b326872e140eb1cf5e7c522154d69e7a0ffb0fb81c06b37543f"
+
+[[package]]
+name = "strsim"
+version = "0.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5ee073c9e4cd00e28217186dbe12796d692868f432bf2e97ee73bed0c56dfa01"
+
+[[package]]
+name = "syn"
+version = "1.0.109"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "72b64191b275b66ffe2469e8af2c1cfe3bafa67b529ead792a6d0160888b4237"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "unicode-ident",
+]
+
+[[package]]
+name = "syn"
+version = "2.0.53"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7383cd0e49fff4b6b90ca5670bfd3e9d6a733b3f90c686605aa7eec8c4996032"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "unicode-ident",
+]
+
+[[package]]
+name = "system-deps"
+version = "6.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a3e535eb8dded36d55ec13eddacd30dec501792ff23a0b1682c38601b8cf2349"
+dependencies = [
+ "cfg-expr",
+ "heck 0.5.0",
+ "pkg-config",
+ "toml",
+ "version-compare",
+]
+
+[[package]]
+name = "target-lexicon"
+version = "0.12.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e1fc403891a21bcfb7c37834ba66a547a8f402146eba7265b5a6d88059c9ff2f"
+
+[[package]]
+name = "tempfile"
+version = "3.10.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "85b77fafb263dd9d05cbeac119526425676db3784113aa9295c88498cbf8bff1"
+dependencies = [
+ "cfg-if",
+ "fastrand",
+ "rustix",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "thiserror"
+version = "1.0.58"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "03468839009160513471e86a034bb2c5c0e4baae3b43f79ffc55c4a5427b3297"
+dependencies = [
+ "thiserror-impl",
+]
+
+[[package]]
+name = "thiserror-impl"
+version = "1.0.58"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c61f3ba182994efc43764a46c018c347bc492c79f024e705f46567b418f6d4f7"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.53",
+]
+
+[[package]]
+name = "tinyvec"
+version = "1.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "87cc5ceb3875bb20c2890005a4e226a4651264a5c75edb2421b52861a0a0cb50"
+dependencies = [
+ "tinyvec_macros",
+]
+
+[[package]]
+name = "tinyvec_macros"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20"
+
+[[package]]
+name = "tokio"
+version = "1.36.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "61285f6515fa018fb2d1e46eb21223fff441ee8db5d0f1435e8ab4f5cdb80931"
+dependencies = [
+ "backtrace",
+ "bytes",
+ "libc",
+ "mio",
+ "num_cpus",
+ "pin-project-lite",
+ "signal-hook-registry",
+ "socket2",
+ "tokio-macros",
+ "tracing",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "tokio-macros"
+version = "2.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5b8a1e28f2deaa14e508979454cb3a223b10b938b45af148bc0986de36f1923b"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.53",
+]
+
+[[package]]
+name = "toml"
+version = "0.8.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e9dd1545e8208b4a5af1aa9bbd0b4cf7e9ea08fabc5d0a5c67fcaafa17433aa3"
+dependencies = [
+ "serde",
+ "serde_spanned",
+ "toml_datetime",
+ "toml_edit 0.22.9",
+]
+
+[[package]]
+name = "toml_datetime"
+version = "0.6.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3550f4e9685620ac18a50ed434eb3aec30db8ba93b0287467bca5826ea25baf1"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "toml_edit"
+version = "0.21.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6a8534fd7f78b5405e860340ad6575217ce99f38d4d5c8f2442cb5ecb50090e1"
+dependencies = [
+ "indexmap",
+ "toml_datetime",
+ "winnow 0.5.40",
+]
+
+[[package]]
+name = "toml_edit"
+version = "0.22.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8e40bb779c5187258fd7aad0eb68cb8706a0a81fa712fbea808ab43c4b8374c4"
+dependencies = [
+ "indexmap",
+ "serde",
+ "serde_spanned",
+ "toml_datetime",
+ "winnow 0.6.5",
+]
+
+[[package]]
+name = "tracing"
+version = "0.1.40"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c3523ab5a71916ccf420eebdf5521fcef02141234bbc0b8a49f2fdc4544364ef"
+dependencies = [
+ "pin-project-lite",
+ "tracing-attributes",
+ "tracing-core",
+]
+
+[[package]]
+name = "tracing-attributes"
+version = "0.1.27"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "34704c8d6ebcbc939824180af020566b01a7c01f80641264eba0999f6c2b6be7"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.53",
+]
+
+[[package]]
+name = "tracing-core"
+version = "0.1.32"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c06d3da6113f116aaee68e4d601191614c9053067f9ab7f6edbcb161237daa54"
+dependencies = [
+ "once_cell",
+]
+
+[[package]]
+name = "trust-dns-proto"
+version = "0.23.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3119112651c157f4488931a01e586aa459736e9d6046d3bd9105ffb69352d374"
+dependencies = [
+ "async-trait",
+ "cfg-if",
+ "data-encoding",
+ "enum-as-inner",
+ "futures-channel",
+ "futures-io",
+ "futures-util",
+ "idna 0.4.0",
+ "ipnet",
+ "once_cell",
+ "rand",
+ "smallvec",
+ "thiserror",
+ "tinyvec",
+ "tokio",
+ "tracing",
+ "url",
+]
+
+[[package]]
+name = "trust-dns-resolver"
+version = "0.23.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "10a3e6c3aff1718b3c73e395d1f35202ba2ffa847c6a62eea0db8fb4cfe30be6"
+dependencies = [
+ "cfg-if",
+ "futures-util",
+ "ipconfig",
+ "lru-cache",
+ "once_cell",
+ "parking_lot",
+ "rand",
+ "resolv-conf",
+ "smallvec",
+ "thiserror",
+ "tokio",
+ "tracing",
+ "trust-dns-proto",
+]
+
+[[package]]
+name = "typenum"
+version = "1.17.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "42ff0bf0c66b8238c6f3b578df37d0b7848e55df8577b3f74f92a69acceeb825"
+
+[[package]]
+name = "uds_windows"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "89daebc3e6fd160ac4aa9fc8b3bf71e1f74fbf92367ae71fb83a037e8bf164b9"
+dependencies = [
+ "memoffset",
+ "tempfile",
+ "winapi",
+]
+
+[[package]]
+name = "unicode-bidi"
+version = "0.3.15"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "08f95100a766bf4f8f28f90d77e0a5461bbdb219042e7679bebe79004fed8d75"
+
+[[package]]
+name = "unicode-ident"
+version = "1.0.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3354b9ac3fae1ff6755cb6db53683adb661634f67557942dea4facebec0fee4b"
+
+[[package]]
+name = "unicode-normalization"
+version = "0.1.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a56d1686db2308d901306f92a263857ef59ea39678a5458e7cb17f01415101f5"
+dependencies = [
+ "tinyvec",
+]
+
+[[package]]
+name = "unicode-segmentation"
+version = "1.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d4c87d22b6e3f4a18d4d40ef354e97c90fcb14dd91d7dc0aa9d8a1172ebf7202"
+
+[[package]]
+name = "url"
+version = "2.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "31e6302e3bb753d46e83516cae55ae196fc0c309407cf11ab35cc51a4c2a4633"
+dependencies = [
+ "form_urlencoded",
+ "idna 0.5.0",
+ "percent-encoding",
+ "serde",
+]
+
+[[package]]
+name = "utf8parse"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "711b9620af191e0cdc7468a8d14e709c3dcdb115b36f838e601583af800a370a"
+
+[[package]]
+name = "version-compare"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "852e951cb7832cb45cb1169900d19760cfa39b82bc0ea9c0e5a14ae88411c98b"
+
+[[package]]
+name = "version_check"
+version = "0.9.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "49874b5167b65d7193b8aba1567f5c7d93d001cafc34600cee003eda787e483f"
+
+[[package]]
+name = "wasi"
+version = "0.11.0+wasi-snapshot-preview1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
+
+[[package]]
+name = "wayland-backend"
+version = "0.3.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9d50fa61ce90d76474c87f5fc002828d81b32677340112b4ef08079a9d459a40"
+dependencies = [
+ "cc",
+ "downcast-rs",
+ "rustix",
+ "scoped-tls",
+ "smallvec",
+ "wayland-sys",
+]
+
+[[package]]
+name = "wayland-client"
+version = "0.31.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "82fb96ee935c2cea6668ccb470fb7771f6215d1691746c2d896b447a00ad3f1f"
+dependencies = [
+ "bitflags 2.5.0",
+ "rustix",
+ "wayland-backend",
+ "wayland-scanner",
+]
+
+[[package]]
+name = "wayland-protocols"
+version = "0.31.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f81f365b8b4a97f422ac0e8737c438024b5951734506b0e1d775c73030561f4"
+dependencies = [
+ "bitflags 2.5.0",
+ "wayland-backend",
+ "wayland-client",
+ "wayland-scanner",
+]
+
+[[package]]
+name = "wayland-protocols-misc"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bfa5933740b200188c9b4c38601b8212e8c154d7de0d2cb171944e137a77de1e"
+dependencies = [
+ "bitflags 2.5.0",
+ "wayland-backend",
+ "wayland-client",
+ "wayland-protocols",
+ "wayland-scanner",
+]
+
+[[package]]
+name = "wayland-protocols-wlr"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ad1f61b76b6c2d8742e10f9ba5c3737f6530b4c243132c2a2ccc8aa96fe25cd6"
+dependencies = [
+ "bitflags 2.5.0",
+ "wayland-backend",
+ "wayland-client",
+ "wayland-protocols",
+ "wayland-scanner",
+]
+
+[[package]]
+name = "wayland-scanner"
+version = "0.31.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "63b3a62929287001986fb58c789dce9b67604a397c15c611ad9f747300b6c283"
+dependencies = [
+ "proc-macro2",
+ "quick-xml",
+ "quote",
+]
+
+[[package]]
+name = "wayland-sys"
+version = "0.31.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "15a0c8eaff5216d07f226cb7a549159267f3467b289d9a2e52fd3ef5aae2b7af"
+dependencies = [
+ "dlib",
+ "log",
+ "pkg-config",
+]
+
+[[package]]
+name = "widestring"
+version = "1.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "653f141f39ec16bba3c5abe400a0c60da7468261cc2cbf36805022876bc721a8"
+
+[[package]]
+name = "winapi"
+version = "0.3.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5c839a674fcd7a98952e593242ea400abe93992746761e38641405d28b00f419"
+dependencies = [
+ "winapi-i686-pc-windows-gnu",
+ "winapi-x86_64-pc-windows-gnu",
+]
+
+[[package]]
+name = "winapi-i686-pc-windows-gnu"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ac3b87c63620426dd9b991e5ce0329eff545bccbbb34f3be09ff6fb6ab51b7b6"
+
+[[package]]
+name = "winapi-x86_64-pc-windows-gnu"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
+
+[[package]]
+name = "windows"
+version = "0.54.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9252e5725dbed82865af151df558e754e4a3c2c30818359eb17465f1346a1b49"
+dependencies = [
+ "windows-core",
+ "windows-targets 0.52.4",
+]
+
+[[package]]
+name = "windows-core"
+version = "0.54.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "12661b9c89351d684a50a8a643ce5f608e20243b9fb84687800163429f161d65"
+dependencies = [
+ "windows-result",
+ "windows-targets 0.52.4",
+]
+
+[[package]]
+name = "windows-result"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cd19df78e5168dfb0aedc343d1d1b8d422ab2db6756d2dc3fef75035402a3f64"
+dependencies = [
+ "windows-targets 0.52.4",
+]
+
+[[package]]
+name = "windows-sys"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "677d2418bec65e3338edb076e806bc1ec15693c5d0104683f2efe857f61056a9"
+dependencies = [
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "windows-sys"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "282be5f36a8ce781fad8c8ae18fa3f9beff57ec1b52cb3de0789201425d9a33d"
+dependencies = [
+ "windows-targets 0.52.4",
+]
+
+[[package]]
+name = "windows-targets"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9a2fa6e2155d7247be68c096456083145c183cbbbc2764150dda45a87197940c"
+dependencies = [
+ "windows_aarch64_gnullvm 0.48.5",
+ "windows_aarch64_msvc 0.48.5",
+ "windows_i686_gnu 0.48.5",
+ "windows_i686_msvc 0.48.5",
+ "windows_x86_64_gnu 0.48.5",
+ "windows_x86_64_gnullvm 0.48.5",
+ "windows_x86_64_msvc 0.48.5",
+]
+
+[[package]]
+name = "windows-targets"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7dd37b7e5ab9018759f893a1952c9420d060016fc19a472b4bb20d1bdd694d1b"
+dependencies = [
+ "windows_aarch64_gnullvm 0.52.4",
+ "windows_aarch64_msvc 0.52.4",
+ "windows_i686_gnu 0.52.4",
+ "windows_i686_msvc 0.52.4",
+ "windows_x86_64_gnu 0.52.4",
+ "windows_x86_64_gnullvm 0.52.4",
+ "windows_x86_64_msvc 0.52.4",
+]
+
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2b38e32f0abccf9987a4e3079dfb67dcd799fb61361e53e2882c3cbaf0d905d8"
+
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bcf46cf4c365c6f2d1cc93ce535f2c8b244591df96ceee75d8e83deb70a9cac9"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dc35310971f3b2dbbf3f0690a219f40e2d9afcf64f9ab7cc1be722937c26b4bc"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "da9f259dd3bcf6990b55bffd094c4f7235817ba4ceebde8e6d11cd0c5633b675"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a75915e7def60c94dcef72200b9a8e58e5091744960da64ec734a6c6e9b3743e"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b474d8268f99e0995f25b9f095bc7434632601028cf86590aea5c8a5cb7801d3"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f55c233f70c4b27f66c523580f78f1004e8b5a8b659e05a4eb49d4166cca406"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1515e9a29e5bed743cb4415a9ecf5dfca648ce85ee42e15873c3cd8610ff8e02"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "53d40abd2583d23e4718fddf1ebec84dbff8381c07cae67ff7768bbf19c6718e"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5eee091590e89cc02ad514ffe3ead9eb6b660aedca2183455434b93546371a03"
+
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0b7b52767868a23d5bab768e390dc5f5c55825b6d30b86c844ff2dc7414044cc"
+
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "77ca79f2451b49fa9e2af39f0747fe999fcda4f5e241b2898624dca97a1f2177"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ed94fce61571a4006852b7389a063ab983c02eb1bb37b47f8272ce92d06d9538"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "32b752e52a2da0ddfbdbcc6fceadfeede4c939ed16d13e648833a61dfb611ed8"
+
+[[package]]
+name = "winnow"
+version = "0.5.40"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f593a95398737aeed53e489c785df13f3618e41dbcd6718c6addbf1395aa6876"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "winnow"
+version = "0.6.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dffa400e67ed5a4dd237983829e66475f0a4a26938c4b04c21baede6262215b8"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "winreg"
+version = "0.50.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "524e57b2c537c0f9b1e69f1965311ec12182b4122e45035b1508cd24d2adadb1"
+dependencies = [
+ "cfg-if",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "x11"
+version = "2.21.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "502da5464ccd04011667b11c435cb992822c2c0dbde1770c988480d312a0db2e"
+dependencies = [
+ "libc",
+ "pkg-config",
+]
+
+[[package]]
+name = "xdg-home"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "21e5a325c3cb8398ad6cf859c1135b25dd29e186679cf2da7581d9679f63b38e"
+dependencies = [
+ "libc",
+ "winapi",
+]
+
+[[package]]
+name = "zbus"
+version = "4.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c9ff46f2a25abd690ed072054733e0bc3157e3d4c45f41bd183dce09c2ff8ab9"
+dependencies = [
+ "async-broadcast",
+ "async-process",
+ "async-recursion",
+ "async-trait",
+ "derivative",
+ "enumflags2",
+ "event-listener 5.2.0",
+ "futures-core",
+ "futures-sink",
+ "futures-util",
+ "hex",
+ "nix",
+ "ordered-stream",
+ "rand",
+ "serde",
+ "serde_repr",
+ "sha1",
+ "static_assertions",
+ "tokio",
+ "tracing",
+ "uds_windows",
+ "windows-sys 0.52.0",
+ "xdg-home",
+ "zbus_macros",
+ "zbus_names",
+ "zvariant",
+]
+
+[[package]]
+name = "zbus_macros"
+version = "4.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4e0e3852c93dcdb49c9462afe67a2a468f7bd464150d866e861eaf06208633e0"
+dependencies = [
+ "proc-macro-crate",
+ "proc-macro2",
+ "quote",
+ "regex",
+ "syn 1.0.109",
+ "zvariant_utils",
+]
+
+[[package]]
+name = "zbus_names"
+version = "3.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4b9b1fef7d021261cc16cba64c351d291b715febe0fa10dc3a443ac5a5022e6c"
+dependencies = [
+ "serde",
+ "static_assertions",
+ "zvariant",
+]
+
+[[package]]
+name = "zvariant"
+version = "4.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2c1b3ca6db667bfada0f1ebfc94b2b1759ba25472ee5373d4551bb892616389a"
+dependencies = [
+ "endi",
+ "enumflags2",
+ "serde",
+ "static_assertions",
+ "url",
+ "zvariant_derive",
+]
+
+[[package]]
+name = "zvariant_derive"
+version = "4.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b7a4b236063316163b69039f77ce3117accb41a09567fd24c168e43491e521bc"
+dependencies = [
+ "proc-macro-crate",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+ "zvariant_utils",
+]
+
+[[package]]
+name = "zvariant_utils"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "00bedb16a193cc12451873fee2a1bc6550225acece0e36f333e68326c73c8172"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
diff --git a/pkgs/by-name/la/lan-mouse/package.nix b/pkgs/by-name/la/lan-mouse/package.nix
new file mode 100644
index 000000000000..b5f8e7d35163
--- /dev/null
+++ b/pkgs/by-name/la/lan-mouse/package.nix
@@ -0,0 +1,54 @@
+{ stdenv
+, rustPlatform
+, fetchFromGitHub
+, lib
+, darwin
+, glib
+, gtk4
+, libadwaita
+, libX11
+, libXtst
+, pkg-config
+, wrapGAppsHook4
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "lan-mouse";
+ version = "0.7.3";
+
+ src = fetchFromGitHub {
+ owner = "feschber";
+ repo = "lan-mouse";
+ rev = "v${version}";
+ hash = "sha256-W4TCA8umcr2hCIc50GFdvDVZaJGSNRNi7iDe8DJ5PHs=";
+ };
+
+ nativeBuildInputs = [
+ glib # needed in both {b,nativeB}uildInptus
+ pkg-config
+ wrapGAppsHook4
+ ];
+
+ buildInputs = [
+ glib
+ gtk4
+ libadwaita
+ libX11
+ libXtst
+ ]
+ ++ lib.optional stdenv.isDarwin darwin.apple_sdk.frameworks.CoreGraphics;
+
+ cargoLock = {
+ lockFile = ./Cargo.lock;
+ };
+
+ meta = {
+ description = "A software KVM switch for sharing a mouse and keyboard with multiple hosts through the network";
+ homepage = "https://github.com/feschber/lan-mouse";
+ changelog = "https://github.com/feschber/lan-mouse/releases/tag/v${version}";
+ license = lib.licenses.gpl3Only;
+ mainProgram = "lan-mouse";
+ maintainers = with lib.maintainers; [ pedrohlc ];
+ platforms = lib.platforms.unix ++ lib.platforms.windows;
+ };
+}
diff --git a/pkgs/by-name/la/lanzaboote-tool/package.nix b/pkgs/by-name/la/lanzaboote-tool/package.nix
index 919ab7d68f2c..05ea3e4391eb 100644
--- a/pkgs/by-name/la/lanzaboote-tool/package.nix
+++ b/pkgs/by-name/la/lanzaboote-tool/package.nix
@@ -18,7 +18,7 @@ rustPlatform.buildRustPackage rec {
hash = "sha256-Fb5TeRTdvUlo/5Yi2d+FC8a6KoRLk2h1VE0/peMhWPs=";
};
- sourceRoot = "source/rust/tool";
+ sourceRoot = "${src.name}/rust/tool";
cargoHash = "sha256-g4WzqfH6DZVUuNb0jV3MFdm3h7zy2bQ6d3agrXesWgc=";
env.TEST_SYSTEMD = systemd;
diff --git a/pkgs/by-name/la/lazymc/Cargo.lock b/pkgs/by-name/la/lazymc/Cargo.lock
index b604ac700665..00cdf22820ab 100644
--- a/pkgs/by-name/la/lazymc/Cargo.lock
+++ b/pkgs/by-name/la/lazymc/Cargo.lock
@@ -2,6 +2,15 @@
# It is not intended for manual editing.
version = 3
+[[package]]
+name = "addr2line"
+version = "0.21.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8a30b2e23b9e17a9f90641c7ab1549cd9b44f296d3ccbf309d2863cfe398a0cb"
+dependencies = [
+ "gimli",
+]
+
[[package]]
name = "adler"
version = "1.0.2"
@@ -10,13 +19,19 @@ checksum = "f26201604c87b1e01bd3d98f8d5d9a8fcbb815e8cedb41ffccbeb4bf593a35fe"
[[package]]
name = "aho-corasick"
-version = "0.7.20"
+version = "1.1.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cc936419f96fa211c1b9166887b38e5e40b19958e5b895be7c1f93adec7071ac"
+checksum = "b2969dcb958b36655471fc61f7e416fa76033bdd4bfed0678d8fee1e2d07a1f0"
dependencies = [
"memchr",
]
+[[package]]
+name = "android-tzdata"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e999941b234f3131b00bc13c22d06e8c5ff726d1b6318ac7eb276997bbb4fef0"
+
[[package]]
name = "android_system_properties"
version = "0.1.5"
@@ -26,139 +41,59 @@ dependencies = [
"libc",
]
+[[package]]
+name = "anstream"
+version = "0.6.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d96bd03f33fe50a863e394ee9718a706f988b9079b20c3784fb726e7678b62fb"
+dependencies = [
+ "anstyle",
+ "anstyle-parse",
+ "anstyle-query",
+ "anstyle-wincon",
+ "colorchoice",
+ "utf8parse",
+]
+
+[[package]]
+name = "anstyle"
+version = "1.0.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8901269c6307e8d93993578286ac0edf7f195079ffff5ebdeea6a59ffb7e36bc"
+
+[[package]]
+name = "anstyle-parse"
+version = "0.2.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c75ac65da39e5fe5ab759307499ddad880d724eed2f6ce5b5e8a26f4f387928c"
+dependencies = [
+ "utf8parse",
+]
+
+[[package]]
+name = "anstyle-query"
+version = "1.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e28923312444cdd728e4738b3f9c9cac739500909bb3d3c94b43551b16517648"
+dependencies = [
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "anstyle-wincon"
+version = "3.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1cd54b81ec8d6180e24654d0b371ad22fc3dd083b6ff8ba325b72e00c87660a7"
+dependencies = [
+ "anstyle",
+ "windows-sys 0.52.0",
+]
+
[[package]]
name = "anyhow"
-version = "1.0.69"
+version = "1.0.81"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "224afbd727c3d6e4b90103ece64b8d1b67fbb1973b1046c2281eed3f3803f800"
-
-[[package]]
-name = "async-channel"
-version = "1.8.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cf46fee83e5ccffc220104713af3292ff9bc7c64c7de289f66dae8e38d826833"
-dependencies = [
- "concurrent-queue",
- "event-listener",
- "futures-core",
-]
-
-[[package]]
-name = "async-executor"
-version = "1.5.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "17adb73da160dfb475c183343c8cccd80721ea5a605d3eb57125f0a7b7a92d0b"
-dependencies = [
- "async-lock",
- "async-task",
- "concurrent-queue",
- "fastrand",
- "futures-lite",
- "slab",
-]
-
-[[package]]
-name = "async-global-executor"
-version = "2.3.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f1b6f5d7df27bd294849f8eec66ecfc63d11814df7a4f5d74168a2394467b776"
-dependencies = [
- "async-channel",
- "async-executor",
- "async-io",
- "async-lock",
- "blocking",
- "futures-lite",
- "once_cell",
-]
-
-[[package]]
-name = "async-io"
-version = "1.12.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8c374dda1ed3e7d8f0d9ba58715f924862c63eae6849c92d3a18e7fbde9e2794"
-dependencies = [
- "async-lock",
- "autocfg 1.1.0",
- "concurrent-queue",
- "futures-lite",
- "libc",
- "log",
- "parking",
- "polling",
- "slab",
- "socket2",
- "waker-fn",
- "windows-sys 0.42.0",
-]
-
-[[package]]
-name = "async-lock"
-version = "2.6.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c8101efe8695a6c17e02911402145357e718ac92d3ff88ae8419e84b1707b685"
-dependencies = [
- "event-listener",
- "futures-lite",
-]
-
-[[package]]
-name = "async-std"
-version = "1.12.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "62565bb4402e926b29953c785397c6dc0391b7b446e45008b0049eb43cec6f5d"
-dependencies = [
- "async-channel",
- "async-global-executor",
- "async-io",
- "async-lock",
- "crossbeam-utils",
- "futures-channel",
- "futures-core",
- "futures-io",
- "futures-lite",
- "gloo-timers",
- "kv-log-macro",
- "log",
- "memchr",
- "once_cell",
- "pin-project-lite",
- "pin-utils",
- "slab",
- "wasm-bindgen-futures",
-]
-
-[[package]]
-name = "async-task"
-version = "4.3.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7a40729d2133846d9ed0ea60a8b9541bccddab49cd30f0715a1da672fe9a2524"
-
-[[package]]
-name = "atomic-waker"
-version = "1.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "debc29dde2e69f9e47506b525f639ed42300fc014a3e007832592448fa8e4599"
-
-[[package]]
-name = "atty"
-version = "0.2.14"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d9b39be18770d11421cdb1b9947a45dd3f37e93092cbf377614828a319d5fee8"
-dependencies = [
- "hermit-abi 0.1.19",
- "libc",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "autocfg"
-version = "0.1.8"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0dde43e75fd43e8a1bf86103336bc699aa8d17ad1be60c76c0bdfd4828e19b78"
-dependencies = [
- "autocfg 1.1.0",
-]
+checksum = "0952808a6c2afd1aa8947271f3a60f1a6763c7b912d210184c5149b5cf147247"
[[package]]
name = "autocfg"
@@ -167,10 +102,25 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "d468802bab17cbc0cc575e9b053f41e72aa36bfa6b7f55e3529ffa43161b97fa"
[[package]]
-name = "base64"
-version = "0.21.0"
+name = "backtrace"
+version = "0.3.69"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a4a4ddaa51a5bc52a6948f74c06d20aaaddb71924eab79b8c97a8c556e942d6a"
+checksum = "2089b7e3f35b9dd2d0ed921ead4f6d318c27680d4a5bd167b3ee120edb105837"
+dependencies = [
+ "addr2line",
+ "cc",
+ "cfg-if 1.0.0",
+ "libc",
+ "miniz_oxide",
+ "object",
+ "rustc-demangle",
+]
+
+[[package]]
+name = "base64"
+version = "0.22.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9475866fec1451be56a3c2400fd081ff546538961565ccb5b7142cbd22bc7a51"
[[package]]
name = "bitflags"
@@ -179,51 +129,43 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a"
[[package]]
-name = "block-buffer"
-version = "0.10.3"
+name = "bitflags"
+version = "2.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "69cce20737498f97b993470a6e536b8523f0af7892a4f928cceb1ac5e52ebe7e"
+checksum = "ed570934406eb16438a4e976b1b4500774099c13b8cb96eec99f620f05090ddf"
+
+[[package]]
+name = "block-buffer"
+version = "0.10.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3078c7629b62d3f0439517fa394996acacc5cbc91c5a20d8c658e77abd503a71"
dependencies = [
"generic-array",
]
-[[package]]
-name = "blocking"
-version = "1.3.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3c67b173a56acffd6d2326fb7ab938ba0b00a71480e14902b2591c87bc5741e8"
-dependencies = [
- "async-channel",
- "async-lock",
- "async-task",
- "atomic-waker",
- "fastrand",
- "futures-lite",
-]
-
[[package]]
name = "bumpalo"
-version = "3.12.0"
+version = "3.15.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0d261e256854913907f67ed06efbc3338dfe6179796deefc1ff763fc1aee5535"
+checksum = "7ff69b9dd49fd426c69a0db9fc04dd934cdb6645ff000864d98f7e2af8830eaa"
[[package]]
name = "byteorder"
-version = "1.4.3"
+version = "1.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "14c189c53d098945499cdfa7ecc63567cf3886b3332b312a5b4585d8d3a6a610"
+checksum = "1fd0f2584146f6f2ef48085050886acf353beff7305ebd1ae69500e27c67f64b"
[[package]]
name = "bytes"
-version = "1.4.0"
+version = "1.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "89b2fd2a0dcf38d7971e2194b6b6eebab45ae01067456a7fd93d5547a61b70be"
+checksum = "a2bd12c1caf447e69cd4528f47f94d203fd2582878ecb9e9465484c4148a8223"
[[package]]
name = "cc"
-version = "1.0.79"
+version = "1.0.90"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "50d30906286121d95be3d479533b458f87493b30a4b5f79a607db8f5d11aa91f"
+checksum = "8cd6604a82acf3039f1144f54b8eb34e91ffba622051189e71b781822d5ee1f5"
[[package]]
name = "cesu8"
@@ -244,104 +186,81 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd"
[[package]]
-name = "chrono"
-version = "0.4.23"
+name = "cfg_aliases"
+version = "0.1.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "16b0a3d9ed01224b22057780a37bb8c5dbfe1be8ba48678e7bf57ec4b385411f"
+checksum = "fd16c4719339c4530435d38e511904438d07cce7950afa3718a84ac36c10e89e"
+
+[[package]]
+name = "chrono"
+version = "0.4.35"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8eaf5903dcbc0a39312feb77df2ff4c76387d591b9fc7b04a238dcf8bb62639a"
dependencies = [
+ "android-tzdata",
"iana-time-zone",
"js-sys",
- "num-integer",
"num-traits",
- "time",
"wasm-bindgen",
- "winapi 0.3.9",
+ "windows-targets 0.52.4",
]
[[package]]
name = "clap"
-version = "4.1.4"
+version = "4.5.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f13b9c79b5d1dd500d20ef541215a6423c75829ef43117e1b4d17fd8af0b5d76"
+checksum = "949626d00e063efc93b6dca932419ceb5432f99769911c0b995f7e884c778813"
dependencies = [
- "bitflags",
+ "clap_builder",
+]
+
+[[package]]
+name = "clap_builder"
+version = "4.5.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ae129e2e766ae0ec03484e609954119f123cc1fe650337e155d03b022f24f7b4"
+dependencies = [
+ "anstream",
+ "anstyle",
"clap_lex",
- "is-terminal",
- "once_cell",
- "strsim",
- "termcolor",
+ "strsim 0.11.0",
"unicase",
"unicode-width",
]
[[package]]
name = "clap_lex"
-version = "0.3.1"
+version = "0.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "783fe232adfca04f90f56201b26d79682d4cd2625e0bc7290b95123afe558ade"
-dependencies = [
- "os_str_bytes",
-]
+checksum = "98cc8fbded0c607b7ba9dd60cd98df59af97e84d24e49c8557331cfc26d301ce"
[[package]]
-name = "cloudabi"
-version = "0.0.3"
+name = "colorchoice"
+version = "1.0.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ddfc5b9aa5d4507acaf872de71051dfd0e309860e88966e1051e462a077aac4f"
-dependencies = [
- "bitflags",
-]
-
-[[package]]
-name = "codespan-reporting"
-version = "0.11.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3538270d33cc669650c4b093848450d380def10c331d38c768e34cac80576e6e"
-dependencies = [
- "termcolor",
- "unicode-width",
-]
+checksum = "acbf1af155f9b9ef647e42cdc158db4b64a1b61f743629225fde6f3e0be2a7c7"
[[package]]
name = "colored"
-version = "2.0.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b3616f750b84d8f0de8a58bda93e08e2a81ad3f523089b05f1dffecab48c6cbd"
-dependencies = [
- "atty",
- "lazy_static",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "concurrent-queue"
version = "2.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c278839b831783b70278b14df4d45e1beb1aad306c07bb796637de9a0e323e8e"
+checksum = "cbf2150cce219b664a8a70df7a1f933836724b503f8a413af9365b4dcc4d90b8"
dependencies = [
- "crossbeam-utils",
+ "lazy_static",
+ "windows-sys 0.48.0",
]
[[package]]
name = "core-foundation-sys"
-version = "0.8.3"
+version = "0.8.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5827cebf4670468b8772dd191856768aedcb1b0278a04f989f7766351917b9dc"
+checksum = "06ea2b9bc92be3c2baa9334a323ebca2d6f074ff852cd1d7b11064035cd3868f"
[[package]]
name = "crc32fast"
-version = "1.3.2"
+version = "1.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b540bd8bc810d3885c6ea91e2018302f68baba2129ab3e88f32389ee9370880d"
-dependencies = [
- "cfg-if 1.0.0",
-]
-
-[[package]]
-name = "crossbeam-utils"
-version = "0.8.14"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4fb766fa798726286dbbb842f174001dab8abc7b627a1dd86e0b7222a95d929f"
+checksum = "b3855a8a784b474f333699ef2bbca9db2c4a1f6d9088a90a2d25b1eb53111eaa"
dependencies = [
"cfg-if 1.0.0",
]
@@ -356,65 +275,11 @@ dependencies = [
"typenum",
]
-[[package]]
-name = "ctor"
-version = "0.1.26"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6d2301688392eb071b0bf1a37be05c469d3cc4dbbd95df672fe28ab021e6a096"
-dependencies = [
- "quote",
- "syn",
-]
-
-[[package]]
-name = "cxx"
-version = "1.0.90"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "90d59d9acd2a682b4e40605a242f6670eaa58c5957471cbf85e8aa6a0b97a5e8"
-dependencies = [
- "cc",
- "cxxbridge-flags",
- "cxxbridge-macro",
- "link-cplusplus",
-]
-
-[[package]]
-name = "cxx-build"
-version = "1.0.90"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ebfa40bda659dd5c864e65f4c9a2b0aff19bea56b017b9b77c73d3766a453a38"
-dependencies = [
- "cc",
- "codespan-reporting",
- "once_cell",
- "proc-macro2",
- "quote",
- "scratch",
- "syn",
-]
-
-[[package]]
-name = "cxxbridge-flags"
-version = "1.0.90"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "457ce6757c5c70dc6ecdbda6925b958aae7f959bda7d8fb9bde889e34a09dc03"
-
-[[package]]
-name = "cxxbridge-macro"
-version = "1.0.90"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ebf883b7aacd7b2aeb2a7b338648ee19f57c140d4ee8e52c68979c6b2f7f2263"
-dependencies = [
- "proc-macro2",
- "quote",
- "syn",
-]
-
[[package]]
name = "darling"
-version = "0.14.3"
+version = "0.20.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c0808e1bd8671fb44a113a14e13497557533369847788fa2ae912b6ebfce9fa8"
+checksum = "54e36fcd13ed84ffdfda6f5be89b31287cbb80c439841fe69e04841435464391"
dependencies = [
"darling_core",
"darling_macro",
@@ -422,65 +287,65 @@ dependencies = [
[[package]]
name = "darling_core"
-version = "0.14.3"
+version = "0.20.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "001d80444f28e193f30c2f293455da62dcf9a6b29918a4253152ae2b1de592cb"
+checksum = "9c2cf1c23a687a1feeb728783b993c4e1ad83d99f351801977dd809b48d0a70f"
dependencies = [
"fnv",
"ident_case",
"proc-macro2",
"quote",
- "strsim",
- "syn",
+ "strsim 0.10.0",
+ "syn 2.0.52",
]
[[package]]
name = "darling_macro"
-version = "0.14.3"
+version = "0.20.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b36230598a2d5de7ec1c6f51f72d8a99a9208daff41de2084d06e3fd3ea56685"
+checksum = "a668eda54683121533a393014d8692171709ff57a7d61f187b6e782719f8933f"
dependencies = [
"darling_core",
"quote",
- "syn",
+ "syn 2.0.52",
]
[[package]]
name = "derive_builder"
-version = "0.12.0"
+version = "0.20.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8d67778784b508018359cbc8696edb3db78160bab2c2a28ba7f56ef6932997f8"
+checksum = "0350b5cb0331628a5916d6c5c0b72e97393b8b6b03b47a9284f4e7f5a405ffd7"
dependencies = [
"derive_builder_macro",
]
[[package]]
name = "derive_builder_core"
-version = "0.12.0"
+version = "0.20.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c11bdc11a0c47bc7d37d582b5285da6849c96681023680b906673c5707af7b0f"
+checksum = "d48cda787f839151732d396ac69e3473923d54312c070ee21e9effcaa8ca0b1d"
dependencies = [
"darling",
"proc-macro2",
"quote",
- "syn",
+ "syn 2.0.52",
]
[[package]]
name = "derive_builder_macro"
-version = "0.12.0"
+version = "0.20.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ebcda35c7a396850a55ffeac740804b40ffec779b98fffbb1738f4033f0ee79e"
+checksum = "206868b8242f27cecce124c19fd88157fbd0dd334df2587f36417bafbc85097b"
dependencies = [
"derive_builder_core",
- "syn",
+ "syn 2.0.52",
]
[[package]]
name = "digest"
-version = "0.10.6"
+version = "0.10.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8168378f4e5023e7218c89c891c0fd8ecdb5e5e4f18cb78f38cf245dd021e76f"
+checksum = "9ed9a281f7bc9b7576e61468ba615a66a5c8cfdff42420a70aa82701a3b1e292"
dependencies = [
"block-buffer",
"crypto-common",
@@ -500,17 +365,23 @@ checksum = "77c90badedccf4105eca100756a0b1289e191f6fcbdadd3cee1d2f614f97da8f"
[[package]]
name = "env_logger"
-version = "0.7.1"
+version = "0.10.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "44533bbbb3bb3c1fa17d9f2e4e38bbbaf8396ba82193c4cb1b6445d711445d36"
+checksum = "4cd405aab171cb85d6735e5c8d9db038c17d3ca007a4d2c25f337935c3d90580"
dependencies = [
- "atty",
"humantime",
+ "is-terminal",
"log",
"regex",
"termcolor",
]
+[[package]]
+name = "equivalent"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5443807d6dff69373d433ab9ef5378ad8df50ca6298caf15de6e52e24aaf54d5"
+
[[package]]
name = "err-derive"
version = "0.3.1"
@@ -521,63 +392,27 @@ dependencies = [
"proc-macro2",
"quote",
"rustversion",
- "syn",
+ "syn 1.0.109",
"synstructure",
]
-[[package]]
-name = "errno"
-version = "0.2.8"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f639046355ee4f37944e44f60642c6f3a7efa3cf6b78c78a0d989a8ce6c396a1"
-dependencies = [
- "errno-dragonfly",
- "libc",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "errno-dragonfly"
-version = "0.1.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "aa68f1b12764fab894d2755d2518754e71b4fd80ecfb822714a1206c2aab39bf"
-dependencies = [
- "cc",
- "libc",
-]
-
-[[package]]
-name = "event-listener"
-version = "2.5.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0206175f82b8d6bf6652ff7d71a1e27fd2e4efde587fd368662814d6ec1d9ce0"
-
-[[package]]
-name = "fastrand"
-version = "1.9.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e51093e27b0797c359783294ca4f0a911c270184cb10f85783b118614a1501be"
-dependencies = [
- "instant",
-]
-
[[package]]
name = "filetime"
-version = "0.2.20"
+version = "0.2.23"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8a3de6e8d11b22ff9edc6d916f890800597d60f8b2da1caf2955c274638d6412"
+checksum = "1ee447700ac8aa0b2f2bd7bc4462ad686ba06baa6727ac149a2d6277f0d240fd"
dependencies = [
"cfg-if 1.0.0",
"libc",
"redox_syscall",
- "windows-sys 0.45.0",
+ "windows-sys 0.52.0",
]
[[package]]
name = "flate2"
-version = "1.0.25"
+version = "1.0.28"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a8a2db397cb1c8772f31494cb8917e48cd1e64f0fa7efac59fbd741a0a8ce841"
+checksum = "46303f565772937ffe1d394a4fac6f411c6013172fadde9dcdb1e147a086940e"
dependencies = [
"crc32fast",
"miniz_oxide",
@@ -595,7 +430,7 @@ version = "0.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "5ab7d1bd1bd33cc98b0889831b72da23c0aa4df9cec7e0702f46ecea04b35db6"
dependencies = [
- "bitflags",
+ "bitflags 1.3.2",
"fsevent-sys",
]
@@ -608,19 +443,13 @@ dependencies = [
"libc",
]
-[[package]]
-name = "fuchsia-cprng"
-version = "0.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a06f77d526c1a601b7c4cdd98f54b5eaabffc14d5f2f0296febdc7f357c6d3ba"
-
[[package]]
name = "fuchsia-zircon"
version = "0.3.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "2e9763c69ebaae630ba35f74888db465e49e259ba1bc0eda7d06f4a067615d82"
dependencies = [
- "bitflags",
+ "bitflags 1.3.2",
"fuchsia-zircon-sys",
]
@@ -632,9 +461,9 @@ checksum = "3dcaa9ae7725d12cdb85b3ad99a434db70b468c09ded17e012d86b5c1010f7a7"
[[package]]
name = "futures"
-version = "0.3.26"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "13e2792b0ff0340399d58445b88fd9770e3489eff258a4cbc1523418f12abf84"
+checksum = "645c6916888f6cb6350d2550b80fb63e734897a8498abe35cfb732b6487804b0"
dependencies = [
"futures-channel",
"futures-core",
@@ -647,9 +476,9 @@ dependencies = [
[[package]]
name = "futures-channel"
-version = "0.3.26"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2e5317663a9089767a1ec00a487df42e0ca174b61b4483213ac24448e4664df5"
+checksum = "eac8f7d7865dcb88bd4373ab671c8cf4508703796caa2b1985a9ca867b3fcb78"
dependencies = [
"futures-core",
"futures-sink",
@@ -657,15 +486,15 @@ dependencies = [
[[package]]
name = "futures-core"
-version = "0.3.26"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ec90ff4d0fe1f57d600049061dc6bb68ed03c7d2fbd697274c41805dcb3f8608"
+checksum = "dfc6580bb841c5a68e9ef15c77ccc837b40a7504914d52e47b8b0e9bbda25a1d"
[[package]]
name = "futures-executor"
-version = "0.3.26"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e8de0a35a6ab97ec8869e32a2473f4b1324459e14c29275d14b10cb1fd19b50e"
+checksum = "a576fc72ae164fca6b9db127eaa9a9dda0d61316034f33a0a0d4eda41f02b01d"
dependencies = [
"futures-core",
"futures-task",
@@ -674,42 +503,27 @@ dependencies = [
[[package]]
name = "futures-io"
-version = "0.3.26"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bfb8371b6fb2aeb2d280374607aeabfc99d95c72edfe51692e42d3d7f0d08531"
-
-[[package]]
-name = "futures-lite"
-version = "1.12.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7694489acd39452c77daa48516b894c153f192c3578d5a839b62c58099fcbf48"
-dependencies = [
- "fastrand",
- "futures-core",
- "futures-io",
- "memchr",
- "parking",
- "pin-project-lite",
- "waker-fn",
-]
+checksum = "a44623e20b9681a318efdd71c299b6b222ed6f231972bfe2f224ebad6311f0c1"
[[package]]
name = "futures-sink"
-version = "0.3.26"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f310820bb3e8cfd46c80db4d7fb8353e15dfff853a127158425f31e0be6c8364"
+checksum = "9fb8e00e87438d937621c1c6269e53f536c14d3fbd6a042bb24879e57d474fb5"
[[package]]
name = "futures-task"
-version = "0.3.26"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dcf79a1bf610b10f42aea489289c5a2c478a786509693b80cd39c44ccd936366"
+checksum = "38d84fa142264698cdce1a9f9172cf383a0c82de1bddcf3092901442c4097004"
[[package]]
name = "futures-util"
-version = "0.3.26"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9c1d6de3acfef38d2be4b1f543f553131788603495be83da675e180c8d6b7bd1"
+checksum = "3d6401deb83407ab3da39eba7e33987a73c3df0c82b4bb5813ee871c19c41d48"
dependencies = [
"futures-channel",
"futures-core",
@@ -724,9 +538,9 @@ dependencies = [
[[package]]
name = "generic-array"
-version = "0.14.6"
+version = "0.14.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bff49e947297f3312447abdca79f45f4738097cc82b06e72054d2223f601f1b9"
+checksum = "85649ca51fd72272d7821adaf274ad91c288277713d9c18820d8499a7ff69e9a"
dependencies = [
"typenum",
"version_check",
@@ -734,82 +548,60 @@ dependencies = [
[[package]]
name = "getrandom"
-version = "0.2.8"
+version = "0.2.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c05aeb6a22b8f62540c194aac980f2115af067bfe15a0734d7277a768d396b31"
+checksum = "190092ea657667030ac6a35e305e62fc4dd69fd98ac98631e5d3a2b1575a12b5"
dependencies = [
"cfg-if 1.0.0",
"libc",
- "wasi 0.11.0+wasi-snapshot-preview1",
+ "wasi",
]
[[package]]
-name = "gloo-timers"
-version = "0.2.6"
+name = "gimli"
+version = "0.28.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9b995a66bb87bebce9a0f4a95aed01daca4872c050bfcb21653361c03bc35e5c"
-dependencies = [
- "futures-channel",
- "futures-core",
- "js-sys",
- "wasm-bindgen",
-]
+checksum = "4271d37baee1b8c7e4b708028c57d816cf9d2434acb33a549475f78c181f6253"
+
+[[package]]
+name = "hashbrown"
+version = "0.14.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "290f1a1d9242c78d09ce40a5e87e7554ee637af1351968159f4952f028f75604"
[[package]]
name = "hermit-abi"
-version = "0.1.19"
+version = "0.3.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "62b467343b94ba476dcb2500d242dadbb39557df889310ac77c5d99100aaac33"
-dependencies = [
- "libc",
-]
-
-[[package]]
-name = "hermit-abi"
-version = "0.2.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ee512640fe35acbfb4bb779db6f0d80704c2cacfa2e39b601ef3e3f47d1ae4c7"
-dependencies = [
- "libc",
-]
-
-[[package]]
-name = "hermit-abi"
-version = "0.3.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fed44880c466736ef9a5c5b5facefb5ed0785676d0c02d612db14e54f0d84286"
+checksum = "d231dfb89cfffdbc30e7fc41579ed6066ad03abda9e567ccafae602b97ec5024"
[[package]]
name = "humantime"
-version = "1.3.0"
+version = "2.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "df004cfca50ef23c36850aaaa59ad52cc70d0e90243c3c7737a4dd32dc7a3c4f"
-dependencies = [
- "quick-error",
-]
+checksum = "9a3a5bfb195931eeb336b2a7b4d761daec841b97f947d34394601737a7bba5e4"
[[package]]
name = "iana-time-zone"
-version = "0.1.53"
+version = "0.1.60"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "64c122667b287044802d6ce17ee2ddf13207ed924c712de9a66a5814d5b64765"
+checksum = "e7ffbb5a1b541ea2561f8c41c087286cc091e21e556a4f09a8f6cbf17b69b141"
dependencies = [
"android_system_properties",
"core-foundation-sys",
"iana-time-zone-haiku",
"js-sys",
"wasm-bindgen",
- "winapi 0.3.9",
+ "windows-core",
]
[[package]]
name = "iana-time-zone-haiku"
-version = "0.1.1"
+version = "0.1.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0703ae284fc167426161c2e3f1da3ea71d94b21bedbcc9494e92b28e334e3dca"
+checksum = "f31827a206f56af32e590ba56d5d2d085f558508192593743f16b2306495269f"
dependencies = [
- "cxx",
- "cxx-build",
+ "cc",
]
[[package]]
@@ -818,13 +610,23 @@ version = "1.0.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "b9e0384b61958566e926dc50660321d12159025e767c18e043daf26b70104c39"
+[[package]]
+name = "indexmap"
+version = "2.2.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7b0b929d511467233429c45a44ac1dcaa21ba0f5ba11e4879e6ed28ddb4f9df4"
+dependencies = [
+ "equivalent",
+ "hashbrown",
+]
+
[[package]]
name = "inotify"
version = "0.7.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "4816c66d2c8ae673df83366c18341538f234a26d65a9ecea5c348b453ac1d02f"
dependencies = [
- "bitflags",
+ "bitflags 1.3.2",
"inotify-sys",
"libc",
]
@@ -838,25 +640,6 @@ dependencies = [
"libc",
]
-[[package]]
-name = "instant"
-version = "0.1.12"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7a5bbe824c507c5da5956355e86a746d82e0e1464f65d862cc5e71da70e94b2c"
-dependencies = [
- "cfg-if 1.0.0",
-]
-
-[[package]]
-name = "io-lifetimes"
-version = "1.0.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1abeb7a0dd0f8181267ff8adc397075586500b81b28a73e8a0208b00fc170fb3"
-dependencies = [
- "libc",
- "windows-sys 0.45.0",
-]
-
[[package]]
name = "iovec"
version = "0.1.4"
@@ -868,27 +651,26 @@ dependencies = [
[[package]]
name = "is-terminal"
-version = "0.4.3"
+version = "0.4.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "22e18b0a45d56fe973d6db23972bf5bc46f988a4a2385deac9cc29572f09daef"
+checksum = "f23ff5ef2b80d608d61efee834934d862cd92461afc0560dedf493e4c033738b"
dependencies = [
- "hermit-abi 0.3.1",
- "io-lifetimes",
- "rustix",
- "windows-sys 0.45.0",
+ "hermit-abi",
+ "libc",
+ "windows-sys 0.52.0",
]
[[package]]
name = "itoa"
-version = "1.0.5"
+version = "1.0.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fad582f4b9e86b6caa621cabeb0963332d92eea04729ab12892c2533951e6440"
+checksum = "b1a46d1a171d865aa5f83f92695765caa047a9b4cbae2cbf37dbd613a793fd4c"
[[package]]
name = "js-sys"
-version = "0.3.61"
+version = "0.3.69"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "445dde2150c55e483f3d8416706b97ec8e8237c307e5b7b4b8dd15e6af2a0730"
+checksum = "29c15563dc2726973df627357ce0c9ddddbea194836909d655df6a75d2cf296d"
dependencies = [
"wasm-bindgen",
]
@@ -903,15 +685,6 @@ dependencies = [
"winapi-build",
]
-[[package]]
-name = "kv-log-macro"
-version = "1.0.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0de8b303297635ad57c9f5059fd9cee7a47f8e8daa09df0fcd07dd39fb22977f"
-dependencies = [
- "log",
-]
-
[[package]]
name = "lazy_static"
version = "1.4.0"
@@ -926,10 +699,9 @@ checksum = "830d08ce1d1d941e6b30645f1a0eb5643013d835ce3779a5fc208261dbe10f55"
[[package]]
name = "lazymc"
-version = "0.2.10"
+version = "0.2.11"
dependencies = [
"anyhow",
- "async-std",
"base64",
"bytes",
"chrono",
@@ -949,7 +721,7 @@ dependencies = [
"pretty_env_logger",
"proxy-protocol",
"quartz_nbt",
- "rand 0.8.5",
+ "rand",
"rcon",
"serde",
"serde_json",
@@ -964,18 +736,9 @@ dependencies = [
[[package]]
name = "libc"
-version = "0.2.139"
+version = "0.2.153"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "201de327520df007757c1f0adce6e827fe8562fbc28bfd9c15571c66ca1f5f79"
-
-[[package]]
-name = "link-cplusplus"
-version = "1.0.8"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ecd207c9c713c34f95a097a5b029ac2ce6010530c7b49d7fea24d977dede04f5"
-dependencies = [
- "cc",
-]
+checksum = "9c198f91728a82281a64e1f4f9eeb25d82cb32a5de251c6bd1b5154d63a8e7bd"
[[package]]
name = "linked-hash-map"
@@ -983,56 +746,32 @@ version = "0.5.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "0717cef1bc8b636c6e1c1bbdefc09e6322da8a9321966e8928ef80d20f7f770f"
-[[package]]
-name = "linux-raw-sys"
-version = "0.1.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f051f77a7c8e6957c0696eac88f26b0117e54f52d3fc682ab19397a8812846a4"
-
[[package]]
name = "log"
-version = "0.4.17"
+version = "0.4.21"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "abb12e687cfb44aa40f41fc3978ef76448f9b6038cad6aef4259d3c095a2382e"
-dependencies = [
- "cfg-if 1.0.0",
- "value-bag",
-]
+checksum = "90ed8c1e510134f979dbc4f070f87d4313098b704861a105fe34231c70a3901c"
[[package]]
name = "md-5"
-version = "0.10.5"
+version = "0.10.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6365506850d44bff6e2fbcb5176cf63650e48bd45ef2fe2665ae1570e0f4b9ca"
+checksum = "d89e7ee0cfbedfc4da3340218492196241d89eefb6dab27de5df917a6d2e78cf"
dependencies = [
+ "cfg-if 1.0.0",
"digest",
]
-[[package]]
-name = "md5"
-version = "0.6.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7e6bcd6433cff03a4bfc3d9834d504467db1f1cf6d0ea765d37d330249ed629d"
-
[[package]]
name = "memchr"
-version = "2.5.0"
+version = "2.7.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2dffe52ecf27772e601905b7522cb4ef790d2cc203488bbd0e2fe85fcb74566d"
-
-[[package]]
-name = "memoffset"
-version = "0.7.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5de893c32cde5f383baa4c04c5d6dbdd735cfd4a794b0debdb2bb1b421da5ff4"
-dependencies = [
- "autocfg 1.1.0",
-]
+checksum = "523dc4f511e55ab87b694dc30d0f820d60906ef06413f93d4d7a1385599cc149"
[[package]]
name = "minecraft-protocol"
version = "0.1.0"
-source = "git+https://github.com/timvisee/rust-minecraft-protocol?rev=edfdf87#edfdf876c0c21be02afdd885e3400983f3137ec9"
+source = "git+https://github.com/timvisee/rust-minecraft-protocol?rev=4f93bb3#4f93bb3438d25fd23410d7c30964971e59cfb327"
dependencies = [
"byteorder",
"minecraft-protocol-derive",
@@ -1045,18 +784,18 @@ dependencies = [
[[package]]
name = "minecraft-protocol-derive"
version = "0.0.0"
-source = "git+https://github.com/timvisee/rust-minecraft-protocol?rev=edfdf87#edfdf876c0c21be02afdd885e3400983f3137ec9"
+source = "git+https://github.com/timvisee/rust-minecraft-protocol?rev=4f93bb3#4f93bb3438d25fd23410d7c30964971e59cfb327"
dependencies = [
"proc-macro2",
"quote",
- "syn",
+ "syn 1.0.109",
]
[[package]]
name = "miniz_oxide"
-version = "0.6.2"
+version = "0.7.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b275950c28b37e794e8c55d88aeb5e139d0ce23fdbbeda68f8d7174abdf9e8fa"
+checksum = "9d811f3e15f28568be3407c8e7fdb6514c1cda3cb30683f15b6a1a1dc4ea14a7"
dependencies = [
"adler",
]
@@ -1082,14 +821,13 @@ dependencies = [
[[package]]
name = "mio"
-version = "0.8.6"
+version = "0.8.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5b9d9a46eff5b4ff64b45a9e316a6d1e0bc719ef429cbec4dc630684212bfdf9"
+checksum = "a4a650543ca06a924e8b371db273b2756685faae30f8487da1b56505a8f78b0c"
dependencies = [
"libc",
- "log",
- "wasi 0.11.0+wasi-snapshot-preview1",
- "windows-sys 0.45.0",
+ "wasi",
+ "windows-sys 0.48.0",
]
[[package]]
@@ -1129,9 +867,9 @@ dependencies = [
[[package]]
name = "net2"
-version = "0.2.38"
+version = "0.2.39"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "74d0df99cfcd2530b2e694f6e17e7f37b8e26bb23983ac530c0c97408837c631"
+checksum = "b13b648036a2339d06de780866fbdfda0dde886de7b3af2ddeba8b14f4ee34ac"
dependencies = [
"cfg-if 0.1.10",
"libc",
@@ -1140,16 +878,14 @@ dependencies = [
[[package]]
name = "nix"
-version = "0.26.2"
+version = "0.28.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bfdda3d196821d6af13126e40375cdf7da646a96114af134d5f417a9a1dc8e1a"
+checksum = "ab2156c4fce2f8df6c499cc1c763e4394b7482525bf2a9701c9d79d215f519e4"
dependencies = [
- "bitflags",
+ "bitflags 2.4.2",
"cfg-if 1.0.0",
+ "cfg_aliases",
"libc",
- "memoffset",
- "pin-utils",
- "static_assertions",
]
[[package]]
@@ -1158,7 +894,7 @@ version = "4.0.17"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "ae03c8c853dba7bfd23e571ff0cff7bc9dceb40a4cd684cd1681824183f45257"
dependencies = [
- "bitflags",
+ "bitflags 1.3.2",
"filetime",
"fsevent",
"fsevent-sys",
@@ -1170,58 +906,45 @@ dependencies = [
"winapi 0.3.9",
]
-[[package]]
-name = "num-integer"
-version = "0.1.45"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "225d3389fb3509a24c93f5c29eb6bde2586b98d9f016636dff58d7c6f7569cd9"
-dependencies = [
- "autocfg 1.1.0",
- "num-traits",
-]
-
[[package]]
name = "num-traits"
-version = "0.2.15"
+version = "0.2.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "578ede34cf02f8924ab9447f50c28075b4d3e5b269972345e7e0372b38c6cdcd"
+checksum = "da0df0e5185db44f69b44f26786fe401b6c293d1907744beaa7fa62b2e5a517a"
dependencies = [
- "autocfg 1.1.0",
+ "autocfg",
]
[[package]]
name = "num_cpus"
-version = "1.15.0"
+version = "1.16.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0fac9e2da13b5eb447a6ce3d392f23a29d8694bff781bf03a16cd9ac8697593b"
+checksum = "4161fcb6d602d4d2081af7c3a45852d875a03dd337a6bfdd6e06407b61342a43"
dependencies = [
- "hermit-abi 0.2.6",
+ "hermit-abi",
"libc",
]
+[[package]]
+name = "object"
+version = "0.32.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a6a622008b6e321afc04970976f62ee297fdbaa6f95318ca343e3eebb9648441"
+dependencies = [
+ "memchr",
+]
+
[[package]]
name = "once_cell"
-version = "1.17.0"
+version = "1.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6f61fba1741ea2b3d6a1e3178721804bb716a68a6aeba1149b5d52e3d464ea66"
-
-[[package]]
-name = "os_str_bytes"
-version = "6.4.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9b7820b9daea5457c9f21c69448905d723fbd21136ccf521748f23fd49e723ee"
-
-[[package]]
-name = "parking"
-version = "2.0.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "427c3892f9e783d91cc128285287e70a59e206ca452770ece88a76f7a3eddd72"
+checksum = "3fdb12b2476b595f9358c5161aa467c2438859caa136dec86c26fdd2efe17b92"
[[package]]
name = "pin-project-lite"
-version = "0.2.9"
+version = "0.2.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e0a7ae3ac2f1173085d398531c705756c94a4c56843785df85a60c1a0afac116"
+checksum = "8afb450f006bf6385ca15ef45d71d2288452bc3683ce2e2cacc0d18e4be60b58"
[[package]]
name = "pin-utils"
@@ -1229,20 +952,6 @@ version = "0.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "8b870d8c151b6f2fb93e84a13146138f05d02ed11c7e7c54f8826aaaf7c9f184"
-[[package]]
-name = "polling"
-version = "2.5.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "22122d5ec4f9fe1b3916419b76be1e80bcb93f618d071d2edf841b137b2a2bd6"
-dependencies = [
- "autocfg 1.1.0",
- "cfg-if 1.0.0",
- "libc",
- "log",
- "wepoll-ffi",
- "windows-sys 0.42.0",
-]
-
[[package]]
name = "ppv-lite86"
version = "0.2.17"
@@ -1251,9 +960,9 @@ checksum = "5b40af805b3121feab8a3c29f04d8ad262fa8e0561883e7653e024ae4479e6de"
[[package]]
name = "pretty_env_logger"
-version = "0.4.0"
+version = "0.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "926d36b9553851b8b0005f1275891b392ee4d2d833852c417ed025477350fb9d"
+checksum = "865724d4dbe39d9f3dd3b52b88d859d66bcb2d6a0acfd5ea68a65fb66d4bdc1c"
dependencies = [
"env_logger",
"log",
@@ -1268,7 +977,7 @@ dependencies = [
"proc-macro-error-attr",
"proc-macro2",
"quote",
- "syn",
+ "syn 1.0.109",
"version_check",
]
@@ -1285,9 +994,9 @@ dependencies = [
[[package]]
name = "proc-macro2"
-version = "1.0.51"
+version = "1.0.79"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5d727cae5b39d21da60fa540906919ad737832fe0b1c165da3a34d6548c849d6"
+checksum = "e835ff2298f5721608eb1a980ecaee1aef2c132bf95ecc026a11b7bf3c01c02e"
dependencies = [
"unicode-ident",
]
@@ -1304,9 +1013,9 @@ dependencies = [
[[package]]
name = "quartz_nbt"
-version = "0.2.6"
+version = "0.2.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "348031720b71761481d77969dcb3c89ab06f04132ee1503aca1bd9313eef5e67"
+checksum = "72ae154b7a8bb706ea9712d29dc3b6817ec38d6e665038bb6acd38fe98e3e1d9"
dependencies = [
"anyhow",
"byteorder",
@@ -1323,43 +1032,18 @@ checksum = "289baa0c8a4d1f840d2de528a7f8c29e0e9af48b3018172b3edad4f716e8daed"
dependencies = [
"proc-macro2",
"quote",
- "syn",
+ "syn 1.0.109",
]
-[[package]]
-name = "quick-error"
-version = "1.2.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a1d01941d82fa2ab50be1e79e6714289dd7cde78eba4c074bc5a4374f650dfe0"
-
[[package]]
name = "quote"
-version = "1.0.23"
+version = "1.0.35"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8856d8364d252a14d474036ea1358d63c9e6965c8e5c1885c18f73d70bff9c7b"
+checksum = "291ec9ab5efd934aaf503a6466c5d5251535d108ee747472c3977cc5acc868ef"
dependencies = [
"proc-macro2",
]
-[[package]]
-name = "rand"
-version = "0.6.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6d71dacdc3c88c1fde3885a3be3fbab9f35724e6ce99467f7d9c5026132184ca"
-dependencies = [
- "autocfg 0.1.8",
- "libc",
- "rand_chacha 0.1.1",
- "rand_core 0.4.2",
- "rand_hc",
- "rand_isaac",
- "rand_jitter",
- "rand_os",
- "rand_pcg",
- "rand_xorshift",
- "winapi 0.3.9",
-]
-
[[package]]
name = "rand"
version = "0.8.5"
@@ -1367,18 +1051,8 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "34af8d1a0e25924bc5b7c43c079c942339d8f0a8b57c39049bef581b46327404"
dependencies = [
"libc",
- "rand_chacha 0.3.1",
- "rand_core 0.6.4",
-]
-
-[[package]]
-name = "rand_chacha"
-version = "0.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "556d3a1ca6600bfcbab7c7c91ccb085ac7fbbcd70e008a98742e7847f4f7bcef"
-dependencies = [
- "autocfg 0.1.8",
- "rand_core 0.3.1",
+ "rand_chacha",
+ "rand_core",
]
[[package]]
@@ -1388,24 +1062,9 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "e6c10a63a0fa32252be49d21e7709d4d4baf8d231c2dbce1eaa8141b9b127d88"
dependencies = [
"ppv-lite86",
- "rand_core 0.6.4",
+ "rand_core",
]
-[[package]]
-name = "rand_core"
-version = "0.3.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7a6fdeb83b075e8266dcc8762c22776f6877a63111121f5f8c7411e5be7eed4b"
-dependencies = [
- "rand_core 0.4.2",
-]
-
-[[package]]
-name = "rand_core"
-version = "0.4.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9c33a3c44ca05fa6f1807d8e6743f3824e8509beca625669633be0acbdf509dc"
-
[[package]]
name = "rand_core"
version = "0.6.4"
@@ -1415,102 +1074,42 @@ dependencies = [
"getrandom",
]
-[[package]]
-name = "rand_hc"
-version = "0.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7b40677c7be09ae76218dc623efbf7b18e34bced3f38883af07bb75630a21bc4"
-dependencies = [
- "rand_core 0.3.1",
-]
-
-[[package]]
-name = "rand_isaac"
-version = "0.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ded997c9d5f13925be2a6fd7e66bf1872597f759fd9dd93513dd7e92e5a5ee08"
-dependencies = [
- "rand_core 0.3.1",
-]
-
-[[package]]
-name = "rand_jitter"
-version = "0.1.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1166d5c91dc97b88d1decc3285bb0a99ed84b05cfd0bc2341bdf2d43fc41e39b"
-dependencies = [
- "libc",
- "rand_core 0.4.2",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "rand_os"
-version = "0.1.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7b75f676a1e053fc562eafbb47838d67c84801e38fc1ba459e8f180deabd5071"
-dependencies = [
- "cloudabi",
- "fuchsia-cprng",
- "libc",
- "rand_core 0.4.2",
- "rdrand",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "rand_pcg"
-version = "0.1.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "abf9b09b01790cfe0364f52bf32995ea3c39f4d2dd011eac241d2914146d0b44"
-dependencies = [
- "autocfg 0.1.8",
- "rand_core 0.4.2",
-]
-
-[[package]]
-name = "rand_xorshift"
-version = "0.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cbf7e9e623549b0e21f6e97cf8ecf247c1a8fd2e8a992ae265314300b2455d5c"
-dependencies = [
- "rand_core 0.3.1",
-]
-
[[package]]
name = "rcon"
-version = "0.5.2"
+version = "0.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6b7fdd146f86bd90fa2d4cf83a28b45f058e90bcf11ed0cce134e757928771e6"
+checksum = "618babf41c041e7d6f4baf27f6d92434be58c1eb637edac7f9af7900f247417b"
dependencies = [
- "async-std",
- "bytes",
"err-derive",
-]
-
-[[package]]
-name = "rdrand"
-version = "0.4.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "678054eb77286b51581ba43620cc911abf02758c91f93f479767aed0f90458b2"
-dependencies = [
- "rand_core 0.3.1",
+ "tokio",
]
[[package]]
name = "redox_syscall"
-version = "0.2.16"
+version = "0.4.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fb5a58c1855b4b6819d59012155603f0b22ad30cad752600aadfcb695265519a"
+checksum = "4722d768eff46b75989dd134e5c353f0d6296e5aaa3132e776cbdb56be7731aa"
dependencies = [
- "bitflags",
+ "bitflags 1.3.2",
]
[[package]]
name = "regex"
-version = "1.7.1"
+version = "1.10.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "48aaa5748ba571fb95cd2c85c09f629215d3a6ece942baa100950af03a34f733"
+checksum = "b62dbe01f0b06f9d8dc7d49e05a0785f153b00b2c227856282f671e0318c9b15"
+dependencies = [
+ "aho-corasick",
+ "memchr",
+ "regex-automata",
+ "regex-syntax",
+]
+
+[[package]]
+name = "regex-automata"
+version = "0.4.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "86b83b8b9847f9bf95ef68afb0b8e6cdb80f498442f5179a29fad448fcc1eaea"
dependencies = [
"aho-corasick",
"memchr",
@@ -1519,35 +1118,27 @@ dependencies = [
[[package]]
name = "regex-syntax"
-version = "0.6.28"
+version = "0.8.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "456c603be3e8d448b072f410900c09faf164fbce2d480456f50eea6e25f9c848"
+checksum = "c08c74e62047bb2de4ff487b251e4a92e24f48745648451635cec7d591162d9f"
[[package]]
-name = "rustix"
-version = "0.36.8"
+name = "rustc-demangle"
+version = "0.1.23"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f43abb88211988493c1abb44a70efa56ff0ce98f233b7b276146f1f3f7ba9644"
-dependencies = [
- "bitflags",
- "errno",
- "io-lifetimes",
- "libc",
- "linux-raw-sys",
- "windows-sys 0.45.0",
-]
+checksum = "d626bb9dae77e28219937af045c257c28bfd3f69333c512553507f5f9798cb76"
[[package]]
name = "rustversion"
-version = "1.0.11"
+version = "1.0.14"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5583e89e108996506031660fe09baa5011b9dd0341b89029313006d1fb508d70"
+checksum = "7ffc183a10b4478d04cbbbfc96d0873219d962dd5accaff2ffbd4ceb7df837f4"
[[package]]
name = "ryu"
-version = "1.0.12"
+version = "1.0.17"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7b4b9743ed687d4b4bcedf9ff5eaa7398495ae14e61cba0a295704edbc7decde"
+checksum = "e86697c916019a8588c99b5fac3cead74ec0b4b819707a682fd4d23fa0ce1ba1"
[[package]]
name = "same-file"
@@ -1558,37 +1149,31 @@ dependencies = [
"winapi-util",
]
-[[package]]
-name = "scratch"
-version = "1.0.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ddccb15bcce173023b3fedd9436f882a0739b8dfb45e4f6b6002bee5929f61b2"
-
[[package]]
name = "serde"
-version = "1.0.152"
+version = "1.0.197"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bb7d1f0d3021d347a83e556fc4683dea2ea09d87bccdf88ff5c12545d89d5efb"
+checksum = "3fb1c873e1b9b056a4dc4c0c198b24c3ffa059243875552b2bd0933b1aee4ce2"
dependencies = [
"serde_derive",
]
[[package]]
name = "serde_derive"
-version = "1.0.152"
+version = "1.0.197"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "af487d118eecd09402d70a5d72551860e788df87b464af30e5ea6a38c75c541e"
+checksum = "7eb0b34b42edc17f6b7cac84a52a1c5f0e1bb2227e997ca9011ea3dd34e8610b"
dependencies = [
"proc-macro2",
"quote",
- "syn",
+ "syn 2.0.52",
]
[[package]]
name = "serde_json"
-version = "1.0.93"
+version = "1.0.114"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cad406b69c91885b5107daf2c29572f6c8cdb3c66826821e286c533490c0bc76"
+checksum = "c5f09b1bd632ef549eaa9f60a1f8de742bdbc698e6cee2095fc84dde5f549ae0"
dependencies = [
"itoa",
"ryu",
@@ -1596,10 +1181,19 @@ dependencies = [
]
[[package]]
-name = "shlex"
-version = "1.1.0"
+name = "serde_spanned"
+version = "0.6.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "43b2853a4d09f215c24cc5489c992ce46052d359b5109343cbafbf26bc62f8a3"
+checksum = "eb3622f419d1296904700073ea6cc23ad690adbd66f13ea683df73298736f0c1"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "shlex"
+version = "1.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0fda2ff0d084019ba4d7c6f371c95d8fd75ce3524c3cb8fb653a3023f6323e64"
[[package]]
name = "signal-hook-registry"
@@ -1612,11 +1206,11 @@ dependencies = [
[[package]]
name = "slab"
-version = "0.4.7"
+version = "0.4.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4614a76b2a8be0058caa9dbbaf66d988527d86d003c11a94fbd335d7661edcef"
+checksum = "8f92a496fb766b417c996b9c5e57daf2f7ad3b0bebe1ccfca4856390e3d3bb67"
dependencies = [
- "autocfg 1.1.0",
+ "autocfg",
]
[[package]]
@@ -1637,25 +1231,19 @@ checksum = "1508efa03c362e23817f96cde18abed596a25219a8b2c66e8db33c03543d315b"
dependencies = [
"proc-macro2",
"quote",
- "syn",
+ "syn 1.0.109",
]
[[package]]
name = "socket2"
-version = "0.4.7"
+version = "0.5.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "02e2d2db9033d13a1567121ddd7a095ee144db4e1ca1b1bda3419bc0da294ebd"
+checksum = "05ffd9c0a93b7543e062e759284fcf5f5e3b098501104bfbdde4d404db792871"
dependencies = [
"libc",
- "winapi 0.3.9",
+ "windows-sys 0.52.0",
]
-[[package]]
-name = "static_assertions"
-version = "1.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a2eb9349b6444b326872e140eb1cf5e7c522154d69e7a0ffb0fb81c06b37543f"
-
[[package]]
name = "strsim"
version = "0.10.0"
@@ -1663,10 +1251,27 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "73473c0e59e6d5812c5dfe2a064a6444949f089e20eec9a2e5506596494e4623"
[[package]]
-name = "syn"
-version = "1.0.107"
+name = "strsim"
+version = "0.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1f4064b5b16e03ae50984a5a8ed5d4f8803e6bc1fd170a3cda91a1be4b18e3f5"
+checksum = "5ee073c9e4cd00e28217186dbe12796d692868f432bf2e97ee73bed0c56dfa01"
+
+[[package]]
+name = "syn"
+version = "1.0.109"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "72b64191b275b66ffe2469e8af2c1cfe3bafa67b529ead792a6d0160888b4237"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "unicode-ident",
+]
+
+[[package]]
+name = "syn"
+version = "2.0.52"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b699d15b36d1f02c3e7c69f8ffef53de37aefae075d8488d4ba1a7788d574a07"
dependencies = [
"proc-macro2",
"quote",
@@ -1681,115 +1286,128 @@ checksum = "f36bdaa60a83aca3921b5259d5400cbf5e90fc51931376a9bd4a0eb79aa7210f"
dependencies = [
"proc-macro2",
"quote",
- "syn",
+ "syn 1.0.109",
"unicode-xid",
]
[[package]]
name = "termcolor"
-version = "1.2.0"
+version = "1.4.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "be55cf8942feac5c765c2c993422806843c9a9a45d4d5c407ad6dd2ea95eb9b6"
+checksum = "06794f8f6c5c898b3275aebefa6b8a1cb24cd2c6c79397ab15774837a0bc5755"
dependencies = [
"winapi-util",
]
[[package]]
name = "thiserror"
-version = "1.0.38"
+version = "1.0.58"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6a9cd18aa97d5c45c6603caea1da6628790b37f7a34b6ca89522331c5180fed0"
+checksum = "03468839009160513471e86a034bb2c5c0e4baae3b43f79ffc55c4a5427b3297"
dependencies = [
"thiserror-impl",
]
[[package]]
name = "thiserror-impl"
-version = "1.0.38"
+version = "1.0.58"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1fb327af4685e4d03fa8cbcf1716380da910eeb2bb8be417e7f9fd3fb164f36f"
+checksum = "c61f3ba182994efc43764a46c018c347bc492c79f024e705f46567b418f6d4f7"
dependencies = [
"proc-macro2",
"quote",
- "syn",
-]
-
-[[package]]
-name = "time"
-version = "0.1.45"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1b797afad3f312d1c66a56d11d0316f916356d11bd158fbc6ca6389ff6bf805a"
-dependencies = [
- "libc",
- "wasi 0.10.0+wasi-snapshot-preview1",
- "winapi 0.3.9",
+ "syn 2.0.52",
]
[[package]]
name = "tokio"
-version = "1.25.0"
+version = "1.36.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c8e00990ebabbe4c14c08aca901caed183ecd5c09562a12c824bb53d3c3fd3af"
+checksum = "61285f6515fa018fb2d1e46eb21223fff441ee8db5d0f1435e8ab4f5cdb80931"
dependencies = [
- "autocfg 1.1.0",
+ "backtrace",
"bytes",
"libc",
- "memchr",
- "mio 0.8.6",
+ "mio 0.8.11",
"num_cpus",
"pin-project-lite",
"signal-hook-registry",
"socket2",
"tokio-macros",
- "windows-sys 0.42.0",
+ "windows-sys 0.48.0",
]
[[package]]
name = "tokio-macros"
-version = "1.8.2"
+version = "2.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d266c00fde287f55d3f1c3e96c500c362a2b8c695076ec180f27918820bc6df8"
+checksum = "5b8a1e28f2deaa14e508979454cb3a223b10b938b45af148bc0986de36f1923b"
dependencies = [
"proc-macro2",
"quote",
- "syn",
+ "syn 2.0.52",
]
[[package]]
name = "toml"
-version = "0.5.11"
+version = "0.8.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f4f7f0dd8d50a853a531c426359045b1998f04219d88799810762cd4ad314234"
+checksum = "af06656561d28735e9c1cd63dfd57132c8155426aa6af24f36a00a351f88c48e"
+dependencies = [
+ "serde",
+ "serde_spanned",
+ "toml_datetime",
+ "toml_edit",
+]
+
+[[package]]
+name = "toml_datetime"
+version = "0.6.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3550f4e9685620ac18a50ed434eb3aec30db8ba93b0287467bca5826ea25baf1"
dependencies = [
"serde",
]
[[package]]
-name = "typenum"
-version = "1.16.0"
+name = "toml_edit"
+version = "0.22.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "497961ef93d974e23eb6f433eb5fe1b7930b659f06d12dec6fc44a8f554c0bba"
+checksum = "18769cd1cec395d70860ceb4d932812a0b4d06b1a4bb336745a4d21b9496e992"
+dependencies = [
+ "indexmap",
+ "serde",
+ "serde_spanned",
+ "toml_datetime",
+ "winnow",
+]
+
+[[package]]
+name = "typenum"
+version = "1.17.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "42ff0bf0c66b8238c6f3b578df37d0b7848e55df8577b3f74f92a69acceeb825"
[[package]]
name = "unicase"
-version = "2.6.0"
+version = "2.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "50f37be617794602aabbeee0be4f259dc1778fabe05e2d67ee8f79326d5cb4f6"
+checksum = "f7d2d4dafb69621809a81864c9c1b864479e1235c0dd4e199924b9742439ed89"
dependencies = [
"version_check",
]
[[package]]
name = "unicode-ident"
-version = "1.0.6"
+version = "1.0.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "84a22b9f218b40614adcb3f4ff08b703773ad44fa9423e4e0d346d5db86e4ebc"
+checksum = "3354b9ac3fae1ff6755cb6db53683adb661634f67557942dea4facebec0fee4b"
[[package]]
name = "unicode-width"
-version = "0.1.10"
+version = "0.1.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c0edd1e5b14653f783770bce4a4dabb4a5108a5370a5f5d8cfe8710c361f6c8b"
+checksum = "e51733f11c9c4f72aa0c160008246859e340b00807569a0da0e7a1079b27ba85"
[[package]]
name = "unicode-xid"
@@ -1798,31 +1416,27 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "f962df74c8c05a667b5ee8bcf162993134c104e96440b663c8daa176dc772d8c"
[[package]]
-name = "uuid"
-version = "0.7.4"
+name = "utf8parse"
+version = "0.2.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "90dbc611eb48397705a6b0f6e917da23ae517e4d127123d2cf7674206627d32a"
+checksum = "711b9620af191e0cdc7468a8d14e709c3dcdb115b36f838e601583af800a370a"
+
+[[package]]
+name = "uuid"
+version = "1.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f00cc9702ca12d3c81455259621e676d0f7251cec66a21e98fe2e9a37db93b2a"
dependencies = [
- "md5",
- "rand 0.6.5",
+ "getrandom",
+ "md-5",
"serde",
]
-[[package]]
-name = "value-bag"
-version = "1.0.0-alpha.9"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2209b78d1249f7e6f3293657c9779fe31ced465df091bbd433a1cf88e916ec55"
-dependencies = [
- "ctor",
- "version_check",
-]
-
[[package]]
name = "version-compare"
-version = "0.1.1"
+version = "0.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "579a42fc0b8e0c63b76519a339be31bed574929511fa53c1a3acae26eb258f29"
+checksum = "852e951cb7832cb45cb1169900d19760cfa39b82bc0ea9c0e5a14ae88411c98b"
[[package]]
name = "version_check"
@@ -1830,29 +1444,16 @@ version = "0.9.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "49874b5167b65d7193b8aba1567f5c7d93d001cafc34600cee003eda787e483f"
-[[package]]
-name = "waker-fn"
-version = "1.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9d5b2c62b4012a3e1eca5a7e077d13b3bf498c4073e33ccd58626607748ceeca"
-
[[package]]
name = "walkdir"
-version = "2.3.2"
+version = "2.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "808cf2735cd4b6866113f648b791c6adc5714537bc222d9347bb203386ffda56"
+checksum = "29790946404f91d9c5d06f9874efddea1dc06c5efe94541a7d6863108e3a5e4b"
dependencies = [
"same-file",
- "winapi 0.3.9",
"winapi-util",
]
-[[package]]
-name = "wasi"
-version = "0.10.0+wasi-snapshot-preview1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1a143597ca7c7793eff794def352d41792a93c481eb1042423ff7ff72ba2c31f"
-
[[package]]
name = "wasi"
version = "0.11.0+wasi-snapshot-preview1"
@@ -1861,9 +1462,9 @@ checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
[[package]]
name = "wasm-bindgen"
-version = "0.2.84"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "31f8dcbc21f30d9b8f2ea926ecb58f6b91192c17e9d33594b3df58b2007ca53b"
+checksum = "4be2531df63900aeb2bca0daaaddec08491ee64ceecbee5076636a3b026795a8"
dependencies = [
"cfg-if 1.0.0",
"wasm-bindgen-macro",
@@ -1871,36 +1472,24 @@ dependencies = [
[[package]]
name = "wasm-bindgen-backend"
-version = "0.2.84"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "95ce90fd5bcc06af55a641a86428ee4229e44e07033963a2290a8e241607ccb9"
+checksum = "614d787b966d3989fa7bb98a654e369c762374fd3213d212cfc0251257e747da"
dependencies = [
"bumpalo",
"log",
"once_cell",
"proc-macro2",
"quote",
- "syn",
+ "syn 2.0.52",
"wasm-bindgen-shared",
]
-[[package]]
-name = "wasm-bindgen-futures"
-version = "0.4.34"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f219e0d211ba40266969f6dbdd90636da12f75bee4fc9d6c23d1260dadb51454"
-dependencies = [
- "cfg-if 1.0.0",
- "js-sys",
- "wasm-bindgen",
- "web-sys",
-]
-
[[package]]
name = "wasm-bindgen-macro"
-version = "0.2.84"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4c21f77c0bedc37fd5dc21f897894a5ca01e7bb159884559461862ae90c0b4c5"
+checksum = "a1f8823de937b71b9460c0c34e25f3da88250760bec0ebac694b49997550d726"
dependencies = [
"quote",
"wasm-bindgen-macro-support",
@@ -1908,41 +1497,22 @@ dependencies = [
[[package]]
name = "wasm-bindgen-macro-support"
-version = "0.2.84"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2aff81306fcac3c7515ad4e177f521b5c9a15f2b08f4e32d823066102f35a5f6"
+checksum = "e94f17b526d0a461a191c78ea52bbce64071ed5c04c9ffe424dcb38f74171bb7"
dependencies = [
"proc-macro2",
"quote",
- "syn",
+ "syn 2.0.52",
"wasm-bindgen-backend",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-shared"
-version = "0.2.84"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0046fef7e28c3804e5e38bfa31ea2a0f73905319b677e57ebe37e49358989b5d"
-
-[[package]]
-name = "web-sys"
-version = "0.3.61"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e33b99f4b23ba3eec1a53ac264e35a755f00e966e0065077d6027c0f575b0b97"
-dependencies = [
- "js-sys",
- "wasm-bindgen",
-]
-
-[[package]]
-name = "wepoll-ffi"
-version = "0.1.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d743fdedc5c64377b5fc2bc036b01c7fd642205a0d96356034ae3404d49eb7fb"
-dependencies = [
- "cc",
-]
+checksum = "af190c94f2773fdb3729c55b007a722abb5384da03bc0986df4c289bf5567e96"
[[package]]
name = "winapi"
@@ -1974,9 +1544,9 @@ checksum = "ac3b87c63620426dd9b991e5ce0329eff545bccbbb34f3be09ff6fb6ab51b7b6"
[[package]]
name = "winapi-util"
-version = "0.1.5"
+version = "0.1.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "70ec6ce85bb158151cae5e5c87f95a8e97d2c0c4b001223f33a334e3ce5de178"
+checksum = "f29e6f9198ba0d26b4c9f07dbe6f9ed633e1f3d5b8b414090084349e46a52596"
dependencies = [
"winapi 0.3.9",
]
@@ -1988,85 +1558,154 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
[[package]]
-name = "windows-sys"
-version = "0.42.0"
+name = "windows-core"
+version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5a3e1820f08b8513f676f7ab6c1f99ff312fb97b553d30ff4dd86f9f15728aa7"
+checksum = "33ab640c8d7e35bf8ba19b884ba838ceb4fba93a4e8c65a9059d08afcfc683d9"
dependencies = [
- "windows_aarch64_gnullvm",
- "windows_aarch64_msvc",
- "windows_i686_gnu",
- "windows_i686_msvc",
- "windows_x86_64_gnu",
- "windows_x86_64_gnullvm",
- "windows_x86_64_msvc",
+ "windows-targets 0.52.4",
]
[[package]]
name = "windows-sys"
-version = "0.45.0"
+version = "0.48.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "75283be5efb2831d37ea142365f009c02ec203cd29a3ebecbc093d52315b66d0"
+checksum = "677d2418bec65e3338edb076e806bc1ec15693c5d0104683f2efe857f61056a9"
dependencies = [
- "windows-targets",
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "windows-sys"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "282be5f36a8ce781fad8c8ae18fa3f9beff57ec1b52cb3de0789201425d9a33d"
+dependencies = [
+ "windows-targets 0.52.4",
]
[[package]]
name = "windows-targets"
-version = "0.42.1"
+version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8e2522491fbfcd58cc84d47aeb2958948c4b8982e9a2d8a2a35bbaed431390e7"
+checksum = "9a2fa6e2155d7247be68c096456083145c183cbbbc2764150dda45a87197940c"
dependencies = [
- "windows_aarch64_gnullvm",
- "windows_aarch64_msvc",
- "windows_i686_gnu",
- "windows_i686_msvc",
- "windows_x86_64_gnu",
- "windows_x86_64_gnullvm",
- "windows_x86_64_msvc",
+ "windows_aarch64_gnullvm 0.48.5",
+ "windows_aarch64_msvc 0.48.5",
+ "windows_i686_gnu 0.48.5",
+ "windows_i686_msvc 0.48.5",
+ "windows_x86_64_gnu 0.48.5",
+ "windows_x86_64_gnullvm 0.48.5",
+ "windows_x86_64_msvc 0.48.5",
+]
+
+[[package]]
+name = "windows-targets"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7dd37b7e5ab9018759f893a1952c9420d060016fc19a472b4bb20d1bdd694d1b"
+dependencies = [
+ "windows_aarch64_gnullvm 0.52.4",
+ "windows_aarch64_msvc 0.52.4",
+ "windows_i686_gnu 0.52.4",
+ "windows_i686_msvc 0.52.4",
+ "windows_x86_64_gnu 0.52.4",
+ "windows_x86_64_gnullvm 0.52.4",
+ "windows_x86_64_msvc 0.52.4",
]
[[package]]
name = "windows_aarch64_gnullvm"
-version = "0.42.1"
+version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8c9864e83243fdec7fc9c5444389dcbbfd258f745e7853198f365e3c4968a608"
+checksum = "2b38e32f0abccf9987a4e3079dfb67dcd799fb61361e53e2882c3cbaf0d905d8"
+
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bcf46cf4c365c6f2d1cc93ce535f2c8b244591df96ceee75d8e83deb70a9cac9"
[[package]]
name = "windows_aarch64_msvc"
-version = "0.42.1"
+version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4c8b1b673ffc16c47a9ff48570a9d85e25d265735c503681332589af6253c6c7"
+checksum = "dc35310971f3b2dbbf3f0690a219f40e2d9afcf64f9ab7cc1be722937c26b4bc"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "da9f259dd3bcf6990b55bffd094c4f7235817ba4ceebde8e6d11cd0c5633b675"
[[package]]
name = "windows_i686_gnu"
-version = "0.42.1"
+version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "de3887528ad530ba7bdbb1faa8275ec7a1155a45ffa57c37993960277145d640"
+checksum = "a75915e7def60c94dcef72200b9a8e58e5091744960da64ec734a6c6e9b3743e"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b474d8268f99e0995f25b9f095bc7434632601028cf86590aea5c8a5cb7801d3"
[[package]]
name = "windows_i686_msvc"
-version = "0.42.1"
+version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bf4d1122317eddd6ff351aa852118a2418ad4214e6613a50e0191f7004372605"
+checksum = "8f55c233f70c4b27f66c523580f78f1004e8b5a8b659e05a4eb49d4166cca406"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1515e9a29e5bed743cb4415a9ecf5dfca648ce85ee42e15873c3cd8610ff8e02"
[[package]]
name = "windows_x86_64_gnu"
-version = "0.42.1"
+version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c1040f221285e17ebccbc2591ffdc2d44ee1f9186324dd3e84e99ac68d699c45"
+checksum = "53d40abd2583d23e4718fddf1ebec84dbff8381c07cae67ff7768bbf19c6718e"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5eee091590e89cc02ad514ffe3ead9eb6b660aedca2183455434b93546371a03"
[[package]]
name = "windows_x86_64_gnullvm"
-version = "0.42.1"
+version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "628bfdf232daa22b0d64fdb62b09fcc36bb01f05a3939e20ab73aaf9470d0463"
+checksum = "0b7b52767868a23d5bab768e390dc5f5c55825b6d30b86c844ff2dc7414044cc"
+
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "77ca79f2451b49fa9e2af39f0747fe999fcda4f5e241b2898624dca97a1f2177"
[[package]]
name = "windows_x86_64_msvc"
-version = "0.42.1"
+version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "447660ad36a13288b1db4d4248e857b510e8c3a225c822ba4fb748c0aafecffd"
+checksum = "ed94fce61571a4006852b7389a063ab983c02eb1bb37b47f8272ce92d06d9538"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "32b752e52a2da0ddfbdbcc6fceadfeede4c939ed16d13e648833a61dfb611ed8"
+
+[[package]]
+name = "winnow"
+version = "0.6.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dffa400e67ed5a4dd237983829e66475f0a4a26938c4b04c21baede6262215b8"
+dependencies = [
+ "memchr",
+]
[[package]]
name = "ws2_32-sys"
diff --git a/pkgs/by-name/la/lazymc/package.nix b/pkgs/by-name/la/lazymc/package.nix
index ea79235245f3..9202d32b2e8a 100644
--- a/pkgs/by-name/la/lazymc/package.nix
+++ b/pkgs/by-name/la/lazymc/package.nix
@@ -9,19 +9,19 @@
rustPlatform.buildRustPackage rec {
pname = "lazymc";
- version = "0.2.10";
+ version = "0.2.11";
src = fetchFromGitHub {
owner = "timvisee";
repo = "lazymc";
rev = "v${version}";
- hash = "sha256-IObLjxuMJDjZ3M6M1DaPvmoRqAydbLKdpTQ3Vs+B9Oo=";
+ hash = "sha256-uMjM3w78qWnB/sNXRcxl30KJRm0I3BPEOr5IRU8FI0s=";
};
cargoLock = {
lockFile = ./Cargo.lock;
outputHashes = {
- "minecraft-protocol-0.1.0" = "sha256-vSFS1yVxTBSpx/ZhzA3EjcZyOWHbmoGARl0eMn1fJ+4=";
+ "minecraft-protocol-0.1.0" = "sha256-3eDMj8+Ug46WOl3zRqNxUa+SZr2qlhyi8OSewLu+gI8=";
};
};
diff --git a/pkgs/by-name/lb/lbreakout2/package.nix b/pkgs/by-name/lb/lbreakout2/package.nix
new file mode 100644
index 000000000000..bd88ac2a21f2
--- /dev/null
+++ b/pkgs/by-name/lb/lbreakout2/package.nix
@@ -0,0 +1,49 @@
+{ lib
+, SDL
+, SDL_mixer
+, fetchpatch
+, fetchurl
+, libintl
+, libpng
+, stdenv
+, zlib
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "lbreakout2";
+ version = "2.6.5";
+
+ src = fetchurl {
+ url = "mirror://sourceforge/lgames/lbreakout2-${finalAttrs.version}.tar.gz";
+ hash = "sha256-kQTWF1VT2jRC3GpfxAemaeL1r/Pu3F0wQJ6wA7enjW8=";
+ };
+
+ patches = [
+ (fetchpatch {
+ url = "https://sources.debian.org/data/main/l/lbreakout2/2.6.5-2/debian/patches/sdl_fix_pauses.patch";
+ hash = "sha256-ycsuxfokpOblLky42MwtJowdEp7v5dZRMFIR4id4ZBI=";
+ })
+ ];
+
+ buildInputs = [
+ SDL
+ SDL_mixer
+ libintl
+ libpng
+ zlib
+ ];
+
+ # With fortify it crashes at runtime:
+ # *** buffer overflow detected ***: terminated
+ # Aborted (core dumped)
+ hardeningDisable = [ "fortify" ];
+
+ meta = {
+ homepage = "http://lgames.sourceforge.net/LBreakout2/";
+ description = "Breakout clone from the LGames series";
+ license = with lib.licenses; [ gpl2Plus ];
+ mainProgram = "lbreakout2";
+ maintainers = with lib.maintainers; [ AndersonTorres ciil ];
+ platforms = lib.platforms.unix;
+ };
+})
diff --git a/pkgs/games/lgames/lbreakouthd/default.nix b/pkgs/by-name/lb/lbreakouthd/package.nix
similarity index 96%
rename from pkgs/games/lgames/lbreakouthd/default.nix
rename to pkgs/by-name/lb/lbreakouthd/package.nix
index 64be757c35ad..0160d7e2033b 100644
--- a/pkgs/games/lgames/lbreakouthd/default.nix
+++ b/pkgs/by-name/lb/lbreakouthd/package.nix
@@ -1,11 +1,11 @@
{ lib
-, stdenv
-, fetchurl
-, directoryListingUpdater
, SDL2
, SDL2_image
, SDL2_mixer
, SDL2_ttf
+, directoryListingUpdater
+, fetchurl
+, stdenv
}:
stdenv.mkDerivation (finalAttrs: {
@@ -36,6 +36,7 @@ stdenv.mkDerivation (finalAttrs: {
homepage = "https://lgames.sourceforge.io/LBreakoutHD/";
description = "A widescreen Breakout clone";
license = lib.licenses.gpl2Plus;
+ mainProgram = "lbreakouthd";
maintainers = with lib.maintainers; [ AndersonTorres ];
inherit (SDL2.meta) platforms;
broken = stdenv.isDarwin;
diff --git a/pkgs/by-name/le/ledger/package.nix b/pkgs/by-name/le/ledger/package.nix
index 2e8336660be7..89a614247ff9 100644
--- a/pkgs/by-name/le/ledger/package.nix
+++ b/pkgs/by-name/le/ledger/package.nix
@@ -46,6 +46,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A double-entry accounting system with a command-line reporting interface";
+ mainProgram = "ledger";
homepage = "https://www.ledger-cli.org/";
changelog = "https://github.com/ledger/ledger/raw/v${version}/NEWS.md";
license = licenses.bsd3;
diff --git a/pkgs/by-name/le/lefthook/package.nix b/pkgs/by-name/le/lefthook/package.nix
index 256701a004f0..8f396aed7486 100644
--- a/pkgs/by-name/le/lefthook/package.nix
+++ b/pkgs/by-name/le/lefthook/package.nix
@@ -6,7 +6,7 @@
let
pname = "lefthook";
- version = "1.6.1";
+ version = "1.6.7";
in
buildGoModule {
inherit pname version;
@@ -15,10 +15,10 @@ buildGoModule {
owner = "evilmartians";
repo = "lefthook";
rev = "v${version}";
- hash = "sha256-015tIgu9L62uZm4ae1JzU/GAK6fwX8BI9HGYhc+4jQQ=";
+ hash = "sha256-4nbAT4g5tnq0bL7i9PsUKbSGoeaWHdApARYE4oWuwNk=";
};
- vendorHash = "sha256-/VLS7+nPERjIU7V2CzqXH69Z3/y+GKZbAFn+KcRKRuA=";
+ vendorHash = "sha256-b+1Y75CG4ayDmnhYfPwpzMFrHCPmZ0FMbMsLiToac5c=";
nativeBuildInputs = [ installShellFiles ];
diff --git a/pkgs/by-name/le/lemminx/package.nix b/pkgs/by-name/le/lemminx/package.nix
index df946d3b4dea..e4c17d6e2daf 100644
--- a/pkgs/by-name/le/lemminx/package.nix
+++ b/pkgs/by-name/le/lemminx/package.nix
@@ -98,6 +98,7 @@ maven.buildMavenPackage rec {
meta = with lib; {
description = "XML Language Server";
+ mainProgram = "lemminx";
homepage = "https://github.com/eclipse/lemminx";
license = licenses.epl20;
maintainers = with maintainers; [ tricktron ];
diff --git a/pkgs/by-name/li/liana/package.nix b/pkgs/by-name/li/liana/package.nix
index 043c35770955..8082161ce930 100644
--- a/pkgs/by-name/li/liana/package.nix
+++ b/pkgs/by-name/li/liana/package.nix
@@ -61,7 +61,7 @@ rustPlatform.buildRustPackage rec {
systemd
];
- sourceRoot = "source/gui";
+ sourceRoot = "${src.name}/gui";
postInstall = ''
install -Dm0644 ./ui/static/logos/liana-app-icon.svg $out/share/icons/hicolor/scalable/apps/liana.svg
diff --git a/pkgs/by-name/li/libappimage/package.nix b/pkgs/by-name/li/libappimage/package.nix
new file mode 100644
index 000000000000..8d2a1013aff8
--- /dev/null
+++ b/pkgs/by-name/li/libappimage/package.nix
@@ -0,0 +1,87 @@
+{
+ lib,
+ stdenv,
+ fetchFromGitHub,
+ fetchpatch,
+ cmake,
+ autoconf,
+ automake,
+ libtool,
+ pkg-config,
+ boost,
+ cairo,
+ fuse,
+ glib,
+ libarchive,
+ librsvg,
+ squashfuse,
+ xdg-utils-cxx,
+ zlib,
+}:
+stdenv.mkDerivation rec {
+ pname = "libappimage";
+ version = "1.0.4-5";
+
+ src = fetchFromGitHub {
+ owner = "AppImageCommunity";
+ repo = "libappimage";
+ rev = "v${version}";
+ hash = "sha256-V9Ilo0zFo9Urke+jCA4CSQB5tpzLC/S5jmon+bA+TEU=";
+ };
+
+ patches = [
+ # Fix build with GCC 13
+ # FIXME: remove in next release
+ (fetchpatch {
+ url = "https://github.com/AppImageCommunity/libappimage/commit/1e0515b23b90588ce406669134feca56ddcbbe43.patch";
+ hash = "sha256-WIMvXNqC1stgPiBTRpXHWq3edIRnQomtRSW2qO52TRo=";
+ })
+ ];
+
+ postPatch = ''
+ substituteInPlace cmake/libappimage.pc.in \
+ --replace 'libdir=''${prefix}/@CMAKE_INSTALL_LIBDIR@' 'libdir=@CMAKE_INSTALL_FULL_LIBDIR@' \
+ --replace 'includedir=''${prefix}/@CMAKE_INSTALL_INCLUDEDIR@' 'includedir=@CMAKE_INSTALL_FULL_INCLUDEDIR@'
+ '';
+
+ cmakeFlags = [
+ "-DUSE_SYSTEM_BOOST=1"
+ "-DUSE_SYSTEM_LIBARCHIVE=1"
+ "-DUSE_SYSTEM_SQUASHFUSE=1"
+ "-DUSE_SYSTEM_XDGUTILS=1"
+ "-DUSE_SYSTEM_XZ=1"
+ ];
+
+ nativeBuildInputs = [
+ cmake
+ autoconf
+ automake
+ libtool
+ pkg-config
+ ];
+
+ buildInputs = [
+ boost
+ fuse
+ libarchive
+ squashfuse
+ xdg-utils-cxx
+ ];
+
+ propagatedBuildInputs = [
+ cairo
+ glib
+ librsvg
+ zlib
+ ];
+
+ strictDeps = true;
+
+ meta = with lib; {
+ description = "Implements functionality for dealing with AppImage files";
+ homepage = "https://github.com/AppImageCommunity/libappimage/";
+ license = licenses.mit;
+ maintainers = with maintainers; [ k900 ];
+ platforms = platforms.linux;
+ };
+}
diff --git a/pkgs/by-name/li/libbgcode/package.nix b/pkgs/by-name/li/libbgcode/package.nix
index 6a28df1dce61..c2ddb4f6ddf4 100644
--- a/pkgs/by-name/li/libbgcode/package.nix
+++ b/pkgs/by-name/li/libbgcode/package.nix
@@ -34,6 +34,7 @@ stdenv.mkDerivation {
meta = with lib; {
homepage = "https://github.com/prusa3d/libbgcode";
description = "Prusa Block & Binary G-code reader / writer / converter";
+ mainProgram = "bgcode";
license = licenses.agpl3Only;
maintainers = with maintainers; [ lach ];
platforms = platforms.unix;
diff --git a/pkgs/by-name/li/libcpuid/package.nix b/pkgs/by-name/li/libcpuid/package.nix
index 56df13aabd2a..7e63d25a53f3 100644
--- a/pkgs/by-name/li/libcpuid/package.nix
+++ b/pkgs/by-name/li/libcpuid/package.nix
@@ -16,6 +16,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://libcpuid.sourceforge.net/";
description = "A small C library for x86 CPU detection and feature extraction";
+ mainProgram = "cpuid_tool";
changelog = "https://raw.githubusercontent.com/anrieff/libcpuid/master/ChangeLog";
license = licenses.bsd2;
maintainers = with maintainers; [ orivej artuuge ];
diff --git a/pkgs/by-name/li/libdjinterop/package.nix b/pkgs/by-name/li/libdjinterop/package.nix
new file mode 100644
index 000000000000..93bf2bc8a7ec
--- /dev/null
+++ b/pkgs/by-name/li/libdjinterop/package.nix
@@ -0,0 +1,45 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, boost
+, cmake
+, ninja
+, pkg-config
+, sqlite
+, zlib
+}:
+
+stdenv.mkDerivation {
+ name = "libdjinterop";
+
+ version = "unstable";
+
+ src = fetchFromGitHub {
+ owner = "xsco";
+ repo = "libdjinterop";
+ rev = "0.20.1";
+ hash = "sha256-/iXSRJVFPWqUTVz7z0BNWCq5LOqdNuTI+wx/34JAZfc=";
+ };
+
+ nativeBuildInputs = [
+ cmake
+ ninja
+ pkg-config
+ ];
+
+ outputs = [ "out" "dev" ];
+
+ buildInputs = [
+ boost
+ sqlite
+ zlib
+ ];
+
+ meta = with lib; {
+ homepage = "https://github.com/xsco/libdjinterop";
+ description = "C++ library for access to DJ record libraries";
+ license = licenses.lgpl3;
+ maintainers = with maintainers; [ benley ];
+ platforms = platforms.unix;
+ };
+}
diff --git a/pkgs/development/libraries/libedit/01-cygwin.patch b/pkgs/by-name/li/libedit/01-cygwin.patch
similarity index 100%
rename from pkgs/development/libraries/libedit/01-cygwin.patch
rename to pkgs/by-name/li/libedit/01-cygwin.patch
diff --git a/pkgs/by-name/li/libedit/package.nix b/pkgs/by-name/li/libedit/package.nix
new file mode 100644
index 000000000000..aeb79811d6e7
--- /dev/null
+++ b/pkgs/by-name/li/libedit/package.nix
@@ -0,0 +1,56 @@
+{ lib
+, stdenv
+, fetchurl
+, ncurses
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "libedit";
+ version = "20230828-3.1";
+
+ src = fetchurl {
+ url = "https://thrysoee.dk/editline/libedit-${finalAttrs.version}.tar.gz";
+ hash = "sha256-TugYK25WkpDn0fRPD3jayHFrNfZWt2Uo9pnGnJiBTa0=";
+ };
+
+ outputs = [ "out" "dev" "man" ];
+
+ patches = [
+ ./01-cygwin.patch
+ ];
+
+ propagatedBuildInputs = [
+ ncurses
+ ];
+
+ # GCC automatically include `stdc-predefs.h` while Clang does not do this by
+ # default. While Musl is ISO 10646 compliant, it does not define
+ # __STDC_ISO_10646__.
+ # This definition is in `stdc-predefs.h` -- that's why libedit builds just
+ # fine with GCC and Musl.
+ # There is a DR to fix this issue with Clang which is not merged yet.
+ # https://reviews.llvm.org/D137043
+ env.NIX_CFLAGS_COMPILE =
+ lib.optionalString (stdenv.targetPlatform.isMusl && stdenv.cc.isClang)
+ "-D__STDC_ISO_10646__=201103L";
+
+ postFixup = ''
+ find $out/lib -type f | \
+ grep '\.\(la\|pc\)''$' | \
+ xargs sed -i -e 's,-lncurses[a-z]*,-L${ncurses.out}/lib -lncursesw,g'
+ '';
+
+ meta = {
+ homepage = "http://www.thrysoee.dk/editline/";
+ description = "A port of the NetBSD Editline library (libedit)";
+ longDescription = ''
+ This is an autotool- and libtoolized port of the NetBSD Editline library
+ (libedit). This Berkeley-style licensed command line editor library
+ provides generic line editing, history, and tokenization functions,
+ similar to those found in GNU Readline.
+ '';
+ license = with lib.licenses; [ bsd3 ];
+ maintainers = with lib.maintainers; [ AndersonTorres ];
+ platforms = lib.platforms.all;
+ };
+})
diff --git a/pkgs/by-name/li/libgrapheme/package.nix b/pkgs/by-name/li/libgrapheme/package.nix
new file mode 100644
index 000000000000..1aaed5f1a604
--- /dev/null
+++ b/pkgs/by-name/li/libgrapheme/package.nix
@@ -0,0 +1,25 @@
+{ lib, stdenv, fetchurl, buildPackages }:
+
+stdenv.mkDerivation rec {
+ pname = "libgrapheme";
+ version = "2.0.2";
+
+ src = fetchurl {
+ url = "https://dl.suckless.org/libgrapheme/libgrapheme-${version}.tar.gz";
+ hash = "sha256-pou93edr1Vul1kEWzl5CoT3wRcgcCFLemrYIlqoUMSU=";
+ };
+
+ depsBuildBuild = [ buildPackages.stdenv.cc ];
+
+ makeFlags = [ "AR:=$(AR)" "CC:=$(CC)" "RANLIB:=$(RANLIB)" "BUILD_CC=$(CC_FOR_BUILD)" ];
+
+ installFlags = [ "PREFIX=$(out)" "LDCONFIG=" ];
+
+ meta = with lib; {
+ description = "Unicode string library";
+ homepage = "https://libs.suckless.org/libgrapheme/";
+ license = licenses.isc;
+ platforms = platforms.unix;
+ maintainers = with maintainers; [ sikmir ];
+ };
+}
diff --git a/pkgs/by-name/li/libipuz/package.nix b/pkgs/by-name/li/libipuz/package.nix
new file mode 100644
index 000000000000..5cc94ca9a657
--- /dev/null
+++ b/pkgs/by-name/li/libipuz/package.nix
@@ -0,0 +1,42 @@
+{ lib
+, stdenv
+, fetchFromGitLab
+, meson
+, ninja
+, pkg-config
+, glib
+, json-glib
+}:
+
+stdenv.mkDerivation rec {
+ pname = "libipuz";
+ version = "0.4.5";
+
+ src = fetchFromGitLab {
+ domain = "gitlab.gnome.org";
+ owner = "jrb";
+ repo = "libipuz";
+ rev = version;
+ hash = "sha256-psC2cFqSTlToCtCxwosXyJbmX/96AEI0xqzXtlc/HQE=";
+ };
+
+ nativeBuildInputs = [
+ meson
+ ninja
+ pkg-config
+ glib
+ ];
+
+ buildInputs = [
+ glib
+ json-glib
+ ];
+
+ meta = with lib; {
+ description = "Library for parsing .ipuz puzzle files";
+ homepage = "https://gitlab.gnome.org/jrb/libipuz";
+ license = licenses.lgpl21Plus;
+ maintainers = with maintainers; [ aleksana ];
+ platforms = platforms.unix;
+ };
+}
diff --git a/pkgs/by-name/li/libmbd/package.nix b/pkgs/by-name/li/libmbd/package.nix
index ccb42ef49cfb..5322bdfa942e 100644
--- a/pkgs/by-name/li/libmbd/package.nix
+++ b/pkgs/by-name/li/libmbd/package.nix
@@ -14,13 +14,13 @@ assert !lapack.isILP64;
stdenv.mkDerivation rec {
pname = "libMBD";
- version = "0.12.7";
+ version = "0.12.8";
src = fetchFromGitHub {
owner = "libmbd";
repo = pname;
rev = version;
- hash = "sha256-39cvOUTAuuWLGOLdapR5trmCttCnijOWvPhSBTeTxTA=";
+ hash = "sha256-ctUaBLPaZHoV1rU3u1idvPLGbvC9Z17YBxYKCaL7EMk=";
};
preConfigure = ''
diff --git a/pkgs/by-name/li/libnghttp2_asio/package.nix b/pkgs/by-name/li/libnghttp2_asio/package.nix
new file mode 100644
index 000000000000..7d286b2ffcb5
--- /dev/null
+++ b/pkgs/by-name/li/libnghttp2_asio/package.nix
@@ -0,0 +1,45 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, cmake
+, nghttp2
+, openssl
+, boost
+}:
+
+stdenv.mkDerivation rec {
+ pname = "libnghttp2_asio";
+ version = "unstable-2022-08-11";
+
+ outputs = [ "out" "dev" "doc" ];
+
+ src = fetchFromGitHub {
+ owner = "nghttp2";
+ repo = "nghttp2-asio";
+ rev = "e877868abe06a83ed0a6ac6e245c07f6f20866b5";
+ sha256 = "sha256-XQXRHLz0kvaIQq1nbqkJnETHR51FXMB1P9F/hQeZh6A=";
+ };
+
+ nativeBuildInputs = [
+ cmake
+ ];
+
+ buildInputs = [
+ boost
+ nghttp2
+ openssl
+ ];
+
+ meta = with lib; {
+ description = "High level HTTP/2 C++ library";
+ longDescription = ''
+ libnghttp2_asio is C++ library built on top of libnghttp2
+ and provides high level abstraction API to build HTTP/2
+ applications. It depends on the Boost::ASIO library and
+ OpenSSL. libnghttp2_asio provides both client and server APIs.
+ '';
+ homepage = "https://github.com/nghttp2/nghttp2-asio";
+ license = with licenses; [ mit ];
+ maintainers = with maintainers; [ izorkin ];
+ };
+}
diff --git a/pkgs/by-name/li/librum/package.nix b/pkgs/by-name/li/librum/package.nix
new file mode 100644
index 000000000000..81cd81d68019
--- /dev/null
+++ b/pkgs/by-name/li/librum/package.nix
@@ -0,0 +1,68 @@
+{ lib
+, mupdf
+, stdenv
+, fetchFromGitHub
+, substituteAll
+, cmake
+, qt6
+, desktopToDarwinBundle
+}:
+
+let
+ mupdf-cxx = mupdf.override { enableCxx = true; };
+in
+stdenv.mkDerivation rec {
+ pname = "librum";
+ version = "0.12.1";
+
+ src = fetchFromGitHub {
+ owner = "Librum-Reader";
+ repo = "Librum";
+ rev = "v.${version}";
+ fetchSubmodules = true;
+ hash = "sha256-/QxTWlTMoXykPe3z+mmn6eaGRJDu2IX8BJPcXi1gUqQ=";
+ };
+
+ patches = [
+ (substituteAll {
+ src = ./use_mupdf_in_nixpkgs.patch;
+ nixMupdfLibPath = "${mupdf-cxx.out}/lib";
+ nixMupdfIncludePath = "${mupdf-cxx.dev}/include";
+ })
+ ];
+
+ nativeBuildInputs = [
+ cmake
+ qt6.qttools
+ qt6.wrapQtAppsHook
+ ] ++ lib.optionals stdenv.isDarwin [
+ desktopToDarwinBundle
+ ];
+
+ buildInputs = [
+ qt6.qtbase
+ qt6.qtsvg
+ ] ++ lib.optionals stdenv.isLinux [
+ qt6.qtwayland
+ ];
+
+ meta = with lib; {
+ description = "An application designed to make reading enjoyable and straightforward";
+ longDescription = ''
+ Librum is an application designed to make reading enjoyable
+ and straightforward for everyone. It's not just an e-book
+ reader. With Librum, you can manage your own online library
+ and access it from any device anytime, anywhere. It has
+ features like note-taking, AI tooling, and highlighting,
+ while offering customization to make it as personal as you
+ want! Librum also provides free access to over 70,000 books
+ and personal reading statistics while being free and
+ completely open source.
+ '';
+ homepage = "https://librumreader.com";
+ license = licenses.gpl3Plus;
+ mainProgram = "librum";
+ maintainers = with maintainers; [ aleksana oluceps ];
+ platforms = platforms.unix;
+ };
+}
diff --git a/pkgs/by-name/li/librum/use_mupdf_in_nixpkgs.patch b/pkgs/by-name/li/librum/use_mupdf_in_nixpkgs.patch
new file mode 100644
index 000000000000..0458279b7d68
--- /dev/null
+++ b/pkgs/by-name/li/librum/use_mupdf_in_nixpkgs.patch
@@ -0,0 +1,109 @@
+diff --git a/CMakeLists.txt b/CMakeLists.txt
+index 191ff732..de46f35b 100644
+--- a/CMakeLists.txt
++++ b/CMakeLists.txt
+@@ -71,7 +71,7 @@ endif()
+
+ # Dependencies
+ add_subdirectory(libs/rapidfuzz-cpp)
+-
++include_directories(@nixMupdfIncludePath@)
+
+ # Build
+ add_subdirectory(src/)
+diff --git a/src/application/CMakeLists.txt b/src/application/CMakeLists.txt
+index bf122a66..64415be3 100644
+--- a/src/application/CMakeLists.txt
++++ b/src/application/CMakeLists.txt
+@@ -102,10 +102,9 @@ if(ANDROID)
+ endif()
+
+ if(UNIX)
+- set(MUPDF_OUTPUT_DIR "${PROJECT_SOURCE_DIR}/libs/mupdf/build/$,shared-debug,shared-release>")
++ set(MUPDF_OUTPUT_DIR "@nixMupdfLibPath@")
+ set(MUPDF_OUTPUT "${MUPDF_OUTPUT_DIR}/libmupdfcpp.so")
+ set(MUPDF_OUTPUT "${MUPDF_OUTPUT_DIR}/libmupdfcpp.so" PARENT_SCOPE)
+- set(MUPDF_BUILD_COMMAND ./scripts/mupdfwrap.py ${VENV_OPTION} -d build/$,shared-debug,shared-release> -b --m-target libs ${EXTRA_MAKE_AGRS} -j 0 m01)
+ elseif(WIN32)
+ set(MUPDF_OUTPUT_DIR "${PROJECT_SOURCE_DIR}/libs/mupdf/platform/win32/x64/$,Debug,Release>")
+ set(MUPDF_OUTPUT "${MUPDF_OUTPUT_DIR}/mupdfcpp64.lib" PARENT_SCOPE)
+@@ -113,8 +112,6 @@ elseif(WIN32)
+ set(MUPDF_BUILD_COMMAND python scripts/mupdfwrap.py ${VENV_OPTION} -d build/$,shared-debug,shared-release> -b -j 0 m01)
+ endif()
+
+-message("MuPdf build command: " ${MUPDF_BUILD_COMMAND})
+-
+
+ set(CC_COMMAND "${CMAKE_C_COMPILER}")
+ set(CXX_COMMAND "${CMAKE_CXX_COMPILER}")
+@@ -135,18 +132,6 @@ else()
+ endif()
+
+
+-add_custom_target(mupdf
+- COMMAND ${CMAKE_COMMAND} -E env
+- ${ANDROID_COMPILERS}
+- "USE_SYSTEM_LIBJPEG=${USE_SYSTEM_LIBJPEG_VALUE}"
+- "USE_SONAME=no"
+- ${MUPDF_BUILD_COMMAND}
+- BYPRODUCTS ${MUPDF_OUTPUT}
+- WORKING_DIRECTORY ${PROJECT_SOURCE_DIR}/libs/mupdf
+- COMMENT "Building mupdf (This takes a while) ..."
+-)
+-
+-
+ #Copy the mupdf dlls to the build directory for windows
+ if(WIN32)
+ add_custom_command(
+@@ -168,8 +153,6 @@ add_library(application
+ interfaces/utility/i_book_getter.hpp
+ )
+
+-add_dependencies(application mupdf) # Ensure the mupdf target is built before the application target
+-
+ target_compile_definitions(application PRIVATE APPLICATION_LIBRARY)
+
+ target_include_directories(application
+@@ -188,12 +171,6 @@ target_include_directories(application
+ ${CMAKE_CURRENT_SOURCE_DIR}/core/utils
+ )
+
+-# Make sure to ignore warnings from mupdf by adding it as a system include directory
+-target_include_directories(application SYSTEM PUBLIC
+- ${PROJECT_SOURCE_DIR}/libs/mupdf/platform/c++/include
+- ${PROJECT_SOURCE_DIR}/libs/mupdf/include
+-)
+-
+ target_compile_definitions(application
+ PRIVATE
+ $<$,$>:QT_QML_DEBUG>
+@@ -236,29 +213,10 @@ if(LINUX)
+ install(TARGETS application
+ DESTINATION lib
+ )
+-
+- # Install mupdf's shared libraries
+- install(FILES ${MUPDF_OUTPUT_DIR}/libmupdfcpp.so
+- ${MUPDF_OUTPUT_DIR}/libmupdf.so
+- DESTINATION lib)
+-
+- # Install links with correct permissions
+- if(EXISTS "${MUPDF_OUTPUT_DIR}/libmupdfcpp.so.24.0")
+- install(FILES ${MUPDF_OUTPUT_DIR}/libmupdfcpp.so.24.0
+- ${MUPDF_OUTPUT_DIR}/libmupdf.so.24.0
+- PERMISSIONS OWNER_READ OWNER_WRITE OWNER_EXECUTE
+- GROUP_READ GROUP_EXECUTE
+- WORLD_READ WORLD_EXECUTE
+- DESTINATION lib)
+- endif()
+
+ elseif(APPLE)
+ install(TARGETS application
+ DESTINATION lib
+ )
+
+- # Install mupdf's shared libraries
+- install(FILES ${MUPDF_OUTPUT_DIR}/libmupdfcpp.so
+- ${MUPDF_OUTPUT_DIR}/libmupdf.dylib
+- DESTINATION lib)
+ endif()
diff --git a/pkgs/by-name/li/libsignal-ffi/Cargo.lock b/pkgs/by-name/li/libsignal-ffi/Cargo.lock
index 06d583b5e13a..3f48ef1121a3 100644
--- a/pkgs/by-name/li/libsignal-ffi/Cargo.lock
+++ b/pkgs/by-name/li/libsignal-ffi/Cargo.lock
@@ -100,9 +100,9 @@ checksum = "4b46cbb362ab8752921c97e041f5e366ee6297bd428a31275b9fcf1e380f7299"
[[package]]
name = "anstream"
-version = "0.6.7"
+version = "0.6.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4cd2405b3ac1faab2990b74d728624cd9fd115651fcecc7c2d8daf01376275ba"
+checksum = "6e2e1ebcb11de5c03c67de28a7df593d32191b44939c482e97702baaaa6ab6a5"
dependencies = [
"anstyle",
"anstyle-parse",
@@ -154,9 +154,9 @@ checksum = "080e9890a082662b09c1ad45f567faeeb47f22b5fb23895fbe1e651e718e25ca"
[[package]]
name = "argon2"
-version = "0.5.2"
+version = "0.5.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "17ba4cac0a46bc1d2912652a751c47f2a9f3a7fe89bcae2275d418f5270402f9"
+checksum = "3c3610892ee6e0cbce8ae2700349fcf8f98adb0dbfbee85aec3c9179d29cc072"
dependencies = [
"base64ct",
"blake2",
@@ -203,6 +203,21 @@ dependencies = [
"syn 2.0.48",
]
+[[package]]
+name = "assert_cmd"
+version = "2.0.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "00ad3f3a942eee60335ab4342358c161ee296829e0d16ff42fc1d6cb07815467"
+dependencies = [
+ "anstyle",
+ "bstr",
+ "doc-comment",
+ "predicates",
+ "predicates-core",
+ "predicates-tree",
+ "wait-timeout",
+]
+
[[package]]
name = "assert_matches"
version = "1.5.0"
@@ -211,9 +226,9 @@ checksum = "9b34d609dfbaf33d6889b2b7106d3ca345eacad44200913df5ba02bfd31d2ba9"
[[package]]
name = "async-compression"
-version = "0.4.5"
+version = "0.4.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bc2d0cfb2a7388d34f590e76686704c494ed7aaceed62ee1ba35cbf363abc2a5"
+checksum = "a116f46a969224200a0a97f29cfd4c50e7534e4b4826bd23ea2c3c533039c82c"
dependencies = [
"flate2",
"futures-core",
@@ -238,7 +253,7 @@ name = "attest"
version = "0.1.0"
dependencies = [
"asn1",
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"boring",
"chacha20poly1305",
"chrono",
@@ -311,7 +326,7 @@ version = "0.66.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "f2b84e06fc203107bfbad243f4aba2af864eb7db3b1cf46ea0a023b0b433d2a7"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"cexpr",
"clang-sys",
"lazy_static",
@@ -348,9 +363,9 @@ checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a"
[[package]]
name = "bitflags"
-version = "2.4.1"
+version = "2.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "327762f6e5a765692301e5bb513e0d9fef63be86bbc14528052b1cd3e6f03e07"
+checksum = "ed570934406eb16438a4e976b1b4500774099c13b8cb96eec99f620f05090ddf"
[[package]]
name = "bitstream-io"
@@ -390,7 +405,7 @@ name = "boring"
version = "3.1.0"
source = "git+https://github.com/signalapp/boring?branch=libsignal#8245063ae6eb97d909982b89fad45bb7f0a2a1a0"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"boring-sys",
"foreign-types",
"libc",
@@ -408,6 +423,35 @@ dependencies = [
"fslock",
]
+[[package]]
+name = "breakpad-symbols"
+version = "0.20.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9898874a43aed8d3ee52d223ba232424e9da1f9af0e6a2cfa49b506b4ad99616"
+dependencies = [
+ "async-trait",
+ "cachemap2",
+ "circular",
+ "debugid",
+ "futures-util",
+ "minidump-common",
+ "nom",
+ "range-map",
+ "thiserror",
+ "tracing",
+]
+
+[[package]]
+name = "bstr"
+version = "1.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c48f0051a4b4c5e0b6d365cd04af53aeaa209e3cc15ec2cdb69e73cc87fbd0dc"
+dependencies = [
+ "memchr",
+ "regex-automata",
+ "serde",
+]
+
[[package]]
name = "bumpalo"
version = "3.14.0"
@@ -432,6 +476,12 @@ version = "1.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "a2bd12c1caf447e69cd4528f47f94d203fd2582878ecb9e9465484c4148a8223"
+[[package]]
+name = "cachemap2"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d7bba2f68a9fefca870fed897de7c655f9d5c1eaf1cd9517db96c9a3861f648b"
+
[[package]]
name = "cast"
version = "0.3.0"
@@ -504,9 +554,9 @@ dependencies = [
[[package]]
name = "chrono"
-version = "0.4.31"
+version = "0.4.32"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7f2c685bad3eb3d45a01354cedb7d5faa66194d1d58ba6e267a8de788f79db38"
+checksum = "41daef31d7a747c5c847246f36de49ced6f7403b4cdabc807a97b5cc184cda7a"
dependencies = [
"android-tzdata",
"iana-time-zone",
@@ -514,7 +564,7 @@ dependencies = [
"num-traits",
"serde",
"wasm-bindgen",
- "windows-targets 0.48.5",
+ "windows-targets 0.52.0",
]
[[package]]
@@ -555,6 +605,12 @@ dependencies = [
"zeroize",
]
+[[package]]
+name = "circular"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b0fc239e0f6cb375d2402d48afb92f76f5404fd1df208a41930ec81eda078bea"
+
[[package]]
name = "clang-sys"
version = "1.7.0"
@@ -563,14 +619,14 @@ checksum = "67523a3b4be3ce1989d607a828d036249522dd9c1c8de7f4dd2dae43a37369d1"
dependencies = [
"glob",
"libc",
- "libloading 0.8.1",
+ "libloading",
]
[[package]]
name = "clap"
-version = "4.4.16"
+version = "4.4.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "58e54881c004cec7895b0068a0a954cd5d62da01aef83fa35b1e594497bf5445"
+checksum = "1e578d6ec4194633722ccf9544794b71b1385c3c027efe0c55db226fc880865c"
dependencies = [
"clap_builder",
"clap_derive",
@@ -587,9 +643,9 @@ dependencies = [
[[package]]
name = "clap_builder"
-version = "4.4.16"
+version = "4.4.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "59cb82d7f531603d2fd1f507441cdd35184fa81beff7bd489570de7f773460bb"
+checksum = "4df4df40ec50c46000231c914968278b1eb05098cf8f1b3a518a95030e71d1c7"
dependencies = [
"anstream",
"anstyle",
@@ -640,6 +696,12 @@ dependencies = [
"memchr",
]
+[[package]]
+name = "const-str"
+version = "0.5.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "aca749d3d3f5b87a0d6100509879f9cf486ab510803a4a4e1001da1ff61c2bd6"
+
[[package]]
name = "convert_case"
version = "0.4.0"
@@ -830,6 +892,24 @@ version = "2.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "7e962a19be5cfc3f3bf6dd8f61eb50107f356ad6270fbb3ed41476571db78be5"
+[[package]]
+name = "debugid"
+version = "0.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bef552e6f588e446098f6ba40d89ac146c8c7b64aade83c051ee00bb5d2bc18d"
+dependencies = [
+ "uuid",
+]
+
+[[package]]
+name = "deranged"
+version = "0.3.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b42b6fa04a440b495c8b04d0e71b707c585f83cb9cb28cf8cd0d976c315e31b4"
+dependencies = [
+ "powerfmt",
+]
+
[[package]]
name = "derive-where"
version = "1.2.7"
@@ -894,6 +974,12 @@ dependencies = [
"libc",
]
+[[package]]
+name = "difflib"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6184e33543162437515c2e2b48714794e37845ec9851711914eec9d308f6ebe8"
+
[[package]]
name = "digest"
version = "0.10.7"
@@ -905,6 +991,27 @@ dependencies = [
"subtle",
]
+[[package]]
+name = "dir-test"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "786d8143e44a15668bec3989643621c8cdcbbc53387dec04c2c0801c0d07c2db"
+dependencies = [
+ "dir-test-macros",
+]
+
+[[package]]
+name = "dir-test-macros"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "07ff4ea493e7938a68a1b71386e0328b96d4f2a17bd4dad7706a7493eb03fd27"
+dependencies = [
+ "glob",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
[[package]]
name = "displaydoc"
version = "0.2.4"
@@ -916,6 +1023,12 @@ dependencies = [
"syn 2.0.48",
]
+[[package]]
+name = "doc-comment"
+version = "0.3.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fea41bba32d969b513997752735605054bc0dfa92b4c56bf1189f2e174be7a10"
+
[[package]]
name = "downcast-rs"
version = "1.2.0"
@@ -972,9 +1085,9 @@ dependencies = [
[[package]]
name = "env_logger"
-version = "0.10.1"
+version = "0.10.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "95b3f3e67048839cb0d0781f445682a35113da7121f7c949db0e2be96a4fbece"
+checksum = "4cd405aab171cb85d6735e5c8d9db038c17d3ca007a4d2c25f337935c3d90580"
dependencies = [
"humantime",
"is-terminal",
@@ -1220,9 +1333,9 @@ checksum = "d2fabcfbdc87f4758337ca535fb41a6d701b65693ce38287d856d1674551ec9b"
[[package]]
name = "h2"
-version = "0.3.23"
+version = "0.3.24"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b553656127a00601c8ae5590fcfdc118e4083a7924b6cf4ffc1ea4b99dc429d7"
+checksum = "bb2c4422095b67ee78da96fbb51a4cc413b3b25883c7717ff7ca1ab31022c9c9"
dependencies = [
"bytes",
"fnv",
@@ -1239,9 +1352,9 @@ dependencies = [
[[package]]
name = "h2"
-version = "0.4.1"
+version = "0.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "991910e35c615d8cab86b5ab04be67e6ad24d2bf5f4f11fdbbed26da999bbeab"
+checksum = "31d030e59af851932b72ceebadf4a2b5986dba4c3b99dd2493f8273a0f151943"
dependencies = [
"bytes",
"fnv",
@@ -1315,9 +1428,9 @@ checksum = "95505c38b4572b2d910cecb0281560f54b440a19336cbbcb27bf6ce6adc6f5a8"
[[package]]
name = "hermit-abi"
-version = "0.3.3"
+version = "0.3.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d77f7ec81a6d05a3abb01ab6eb7590f6083d08449fe5a1c8b1e620283546ccb7"
+checksum = "5d3d0e0f38255e7fa3cf31335b3a56f05febd18025f4db5ef7a0cfb4f8da651f"
[[package]]
name = "hex"
@@ -1445,7 +1558,7 @@ dependencies = [
"futures-channel",
"futures-core",
"futures-util",
- "h2 0.3.23",
+ "h2 0.3.24",
"http 0.2.11",
"http-body 0.4.6",
"httparse",
@@ -1468,7 +1581,7 @@ dependencies = [
"bytes",
"futures-channel",
"futures-util",
- "h2 0.4.1",
+ "h2 0.4.2",
"http 1.0.0",
"http-body 1.0.0",
"httparse",
@@ -1576,6 +1689,15 @@ dependencies = [
"either",
]
+[[package]]
+name = "itertools"
+version = "0.12.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "25db6b064527c5d482d0423354fcd07a89a2dfe07b67892e62411946db7f07b0"
+dependencies = [
+ "either",
+]
+
[[package]]
name = "itoa"
version = "1.0.10"
@@ -1640,16 +1762,6 @@ version = "0.2.152"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "13e3bf6590cbc649f4d1a3eefc9d5d6eb746f5200ffb04e5e142700b8faa56e7"
-[[package]]
-name = "libloading"
-version = "0.6.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "351a32417a12d5f7e82c368a66781e307834dae04c6ce0cd4456d52989229883"
-dependencies = [
- "cfg-if",
- "winapi",
-]
-
[[package]]
name = "libloading"
version = "0.8.1"
@@ -1673,21 +1785,30 @@ dependencies = [
"aes-gcm-siv",
"async-trait",
"attest",
+ "base64",
"bincode",
"bytemuck",
+ "cfg-if",
+ "derive-where",
"device-transfer",
"futures-util",
+ "hex",
"hkdf",
"hmac",
+ "http 1.0.0",
"jni",
"libsignal-bridge-macros",
+ "libsignal-message-backup",
"libsignal-net",
"libsignal-protocol",
+ "libsignal-svr3",
"linkme",
"log",
+ "mediasan-common",
"neon",
"nonzero_ext",
"num_enum",
+ "once_cell",
"partial-default",
"paste",
"rand",
@@ -1701,7 +1822,9 @@ dependencies = [
"signal-pin",
"static_assertions",
"subtle",
+ "test-case",
"tokio",
+ "tokio-boring",
"usernames",
"uuid",
"zkgroup",
@@ -1714,7 +1837,7 @@ dependencies = [
"heck 0.3.3",
"proc-macro2",
"quote",
- "syn 1.0.109",
+ "syn 2.0.48",
"syn-mid",
]
@@ -1730,7 +1853,7 @@ dependencies = [
[[package]]
name = "libsignal-ffi"
-version = "0.39.2"
+version = "0.41.0"
dependencies = [
"async-trait",
"attest",
@@ -1738,6 +1861,7 @@ dependencies = [
"device-transfer",
"futures-util",
"libsignal-bridge",
+ "libsignal-net",
"libsignal-protocol",
"log",
"log-panics",
@@ -1751,7 +1875,7 @@ dependencies = [
[[package]]
name = "libsignal-jni"
-version = "0.39.2"
+version = "0.41.0"
dependencies = [
"async-trait",
"cfg-if",
@@ -1772,33 +1896,55 @@ dependencies = [
"aes",
"array-concat",
"arrayvec",
+ "assert_cmd",
"assert_matches",
"async-compression",
"cbc",
"clap",
"clap-stdin",
"derive-where",
+ "dir-test",
"displaydoc",
"futures",
"hex",
"hex-literal",
"hkdf",
"hmac",
+ "libsignal-message-backup",
+ "libsignal-message-backup-macros",
"libsignal-protocol",
"log",
+ "mediasan-common",
+ "num_enum",
"protobuf",
"protobuf-codegen",
+ "protobuf-json-mapping",
+ "serde_json",
"sha2",
"signal-crypto",
+ "strum",
"subtle",
"test-case",
"test-log",
+ "testing_logger",
"thiserror",
"usernames",
"uuid",
"zkgroup",
]
+[[package]]
+name = "libsignal-message-backup-macros"
+version = "0.1.0"
+dependencies = [
+ "heck 0.3.3",
+ "lazy_static",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+ "test-case",
+]
+
[[package]]
name = "libsignal-net"
version = "0.1.0"
@@ -1811,6 +1957,7 @@ dependencies = [
"boring",
"bytes",
"clap",
+ "const-str",
"derive-where",
"displaydoc",
"env_logger",
@@ -1822,13 +1969,18 @@ dependencies = [
"http 1.0.0",
"http-body-util",
"hyper 1.1.0",
+ "itertools 0.12.0",
"lazy_static",
"libsignal-core",
"libsignal-svr3",
"log",
+ "nonzero_ext",
"pin-project-lite",
+ "proptest",
+ "proptest-state-machine",
"prost",
"prost-build",
+ "rand",
"rand_core",
"rustls-native-certs",
"serde",
@@ -1849,14 +2001,18 @@ dependencies = [
[[package]]
name = "libsignal-node"
-version = "0.39.2"
+version = "0.41.0"
dependencies = [
"async-trait",
"cmake",
+ "futures",
"libsignal-bridge",
"libsignal-protocol",
"log",
"log-panics",
+ "minidump",
+ "minidump-processor",
+ "minidump-unwind",
"neon",
"rand",
"signal-neon-futures",
@@ -1883,7 +2039,7 @@ dependencies = [
"hkdf",
"hmac",
"indexmap 2.1.0",
- "itertools 0.10.5",
+ "itertools 0.12.0",
"libsignal-core",
"log",
"num_enum",
@@ -1908,6 +2064,7 @@ dependencies = [
name = "libsignal-svr3"
version = "0.1.0"
dependencies = [
+ "assert_matches",
"attest",
"base64",
"bytemuck",
@@ -1918,10 +2075,12 @@ dependencies = [
"hex-literal",
"hkdf",
"http 1.0.0",
+ "nonzero_ext",
"prost",
"prost-build",
"rand_core",
"sha2",
+ "strum_macros",
"subtle",
"test-case",
"tokio",
@@ -1949,9 +2108,9 @@ dependencies = [
[[package]]
name = "linux-raw-sys"
-version = "0.4.12"
+version = "0.4.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c4cd1a83af159aa67994778be9070f0ae1bd732942279cabb14f86f986a21456"
+checksum = "01cda141df6706de531b6c46c3a33ecca755538219bd484262fa09410c13539c"
[[package]]
name = "lock_api"
@@ -1997,6 +2156,15 @@ version = "2.7.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "523dc4f511e55ab87b694dc30d0f820d60906ef06413f93d4d7a1385599cc149"
+[[package]]
+name = "memmap2"
+version = "0.9.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fe751422e4a8caa417e13c3ea66452215d7d63e19e604f4980461212f3ae1322"
+dependencies = [
+ "libc",
+]
+
[[package]]
name = "mime"
version = "0.3.17"
@@ -2013,6 +2181,75 @@ dependencies = [
"unicase",
]
+[[package]]
+name = "minidump"
+version = "0.20.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "626b6878ac52f6a1e04c9e7eb42ce577dfadf7316b8d3fa5ab1c1abefc2c156b"
+dependencies = [
+ "debugid",
+ "encoding_rs",
+ "memmap2",
+ "minidump-common",
+ "num-traits",
+ "procfs-core",
+ "range-map",
+ "scroll",
+ "thiserror",
+ "time",
+ "tracing",
+ "uuid",
+]
+
+[[package]]
+name = "minidump-common"
+version = "0.20.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "74b1a2187f9aea1134ed3cf96b3827283aabc67dc95ed502e7072b515a1c770c"
+dependencies = [
+ "bitflags 2.4.2",
+ "debugid",
+ "num-derive",
+ "num-traits",
+ "range-map",
+ "scroll",
+ "smart-default",
+]
+
+[[package]]
+name = "minidump-processor"
+version = "0.20.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fb61b2d7298004e47225563c0ede9a3ee2889351e1c931bbc42996411a9e4bc4"
+dependencies = [
+ "async-trait",
+ "breakpad-symbols",
+ "debugid",
+ "futures-util",
+ "minidump",
+ "minidump-common",
+ "minidump-unwind",
+ "scroll",
+ "serde",
+ "serde_json",
+ "thiserror",
+ "tracing",
+]
+
+[[package]]
+name = "minidump-unwind"
+version = "0.20.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1951b9dfa82b5e0d22a8e08bebcb8d98f85bb07851a52f18246b7d7dc9a4a53c"
+dependencies = [
+ "async-trait",
+ "breakpad-symbols",
+ "minidump",
+ "minidump-common",
+ "scroll",
+ "tracing",
+]
+
[[package]]
name = "minimal-lexical"
version = "0.2.1"
@@ -2097,45 +2334,29 @@ checksum = "e5ce46fe64a9d73be07dcbe690a38ce1b293be448fd8ce1e6c1b8062c9f72c6a"
[[package]]
name = "neon"
-version = "0.10.1"
+version = "1.0.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "28e15415261d880aed48122e917a45e87bb82cf0260bb6db48bbab44b7464373"
+checksum = "7d75440242411c87dc39847b0e33e961ec1f10326a9d8ecf9c1ea64a3b3c13dc"
dependencies = [
- "neon-build",
+ "libloading",
"neon-macros",
- "neon-runtime",
- "semver 0.9.0",
+ "once_cell",
+ "semver",
+ "send_wrapper",
"smallvec",
]
-[[package]]
-name = "neon-build"
-version = "0.10.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8bac98a702e71804af3dacfde41edde4a16076a7bbe889ae61e56e18c5b1c811"
-
[[package]]
name = "neon-macros"
-version = "0.10.1"
+version = "1.0.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b7288eac8b54af7913c60e0eb0e2a7683020dffa342ab3fd15e28f035ba897cf"
+checksum = "c6813fde79b646e47e7ad75f480aa80ef76a5d9599e2717407961531169ee38b"
dependencies = [
"quote",
- "syn 1.0.109",
+ "syn 2.0.48",
"syn-mid",
]
-[[package]]
-name = "neon-runtime"
-version = "0.10.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4676720fa8bb32c64c3d9f49c47a47289239ec46b4bdb66d0913cc512cb0daca"
-dependencies = [
- "cfg-if",
- "libloading 0.6.7",
- "smallvec",
-]
-
[[package]]
name = "nom"
version = "7.1.3"
@@ -2152,6 +2373,23 @@ version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "38bf9645c8b145698bb0b18a4637dcacbc421ea49bef2317e4fd8065a387cf21"
+[[package]]
+name = "num-conv"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "51d515d32fb182ee37cda2ccdcb92950d6a3c2893aa280e540671c2cd0f3b1d9"
+
+[[package]]
+name = "num-derive"
+version = "0.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ed3955f1a9c7c0c15e092f9c887db08b1fc683305fdf6eb6684f22555355e202"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+]
+
[[package]]
name = "num-integer"
version = "0.1.45"
@@ -2388,9 +2626,11 @@ dependencies = [
name = "poksho"
version = "0.7.0"
dependencies = [
+ "criterion",
"curve25519-dalek",
"hex",
"hmac",
+ "rand",
"sha2",
"subtle",
]
@@ -2418,6 +2658,12 @@ dependencies = [
"universal-hash",
]
+[[package]]
+name = "powerfmt"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "439ee305def115ba05938db6eb1644ff94165c5ab5e9420d1c1bcedbba909391"
+
[[package]]
name = "ppv-lite86"
version = "0.2.17"
@@ -2468,6 +2714,33 @@ version = "0.3.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "94e851c7654eed9e68d7d27164c454961a616cf8c203d500607ef22c737b51bb"
+[[package]]
+name = "predicates"
+version = "3.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "68b87bfd4605926cdfefc1c3b5f8fe560e3feca9d5552cf68c466d3d8236c7e8"
+dependencies = [
+ "anstyle",
+ "difflib",
+ "predicates-core",
+]
+
+[[package]]
+name = "predicates-core"
+version = "1.0.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b794032607612e7abeb4db69adb4e33590fa6cf1149e95fd7cb00e634b92f174"
+
+[[package]]
+name = "predicates-tree"
+version = "1.0.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "368ba315fb8c5052ab692e68a0eefec6ec57b23a36959c14496f0b0df2c0cecf"
+dependencies = [
+ "predicates-core",
+ "termtree",
+]
+
[[package]]
name = "prettyplease"
version = "0.2.16"
@@ -2490,13 +2763,24 @@ dependencies = [
[[package]]
name = "proc-macro2"
-version = "1.0.76"
+version = "1.0.78"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "95fc56cda0b5c3325f5fbbd7ff9fda9e02bb00bb3dac51252d2f1bfa1cb8cc8c"
+checksum = "e2422ad645d89c99f8f3e6b88a9fdeca7fabeac836b1002371c4367c8f984aae"
dependencies = [
"unicode-ident",
]
+[[package]]
+name = "procfs-core"
+version = "0.16.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2d3554923a69f4ce04c4a754260c338f505ce22642d3830e049a399fc2059a29"
+dependencies = [
+ "bitflags 2.4.2",
+ "chrono",
+ "hex",
+]
+
[[package]]
name = "proptest"
version = "1.4.0"
@@ -2505,7 +2789,7 @@ checksum = "31b476131c3c86cb68032fdc5cb6d5a1045e3e42d96b69fa599fd77701e1f5bf"
dependencies = [
"bit-set",
"bit-vec",
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"lazy_static",
"num-traits",
"rand",
@@ -2517,6 +2801,15 @@ dependencies = [
"unarray",
]
+[[package]]
+name = "proptest-state-machine"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b52a714915de2d16a5289616d2265a934780f50a9dd30359322b687403fa2ac2"
+dependencies = [
+ "proptest",
+]
+
[[package]]
name = "prost"
version = "0.12.3"
@@ -2597,6 +2890,17 @@ dependencies = [
"thiserror",
]
+[[package]]
+name = "protobuf-json-mapping"
+version = "3.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "523039a90666b229b5260fb91c20686ef309b9d1b1fc3cacb283a0895753ec44"
+dependencies = [
+ "protobuf",
+ "protobuf-support",
+ "thiserror",
+]
+
[[package]]
name = "protobuf-parse"
version = "3.3.0"
@@ -2677,10 +2981,19 @@ dependencies = [
]
[[package]]
-name = "rayon"
-version = "1.8.0"
+name = "range-map"
+version = "0.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9c27db03db7734835b3f53954b534c91069375ce6ccaa2e065441e07d9b6cdb1"
+checksum = "12a5a2d6c7039059af621472a4389be1215a816df61aa4d531cfe85264aee95f"
+dependencies = [
+ "num-traits",
+]
+
+[[package]]
+name = "rayon"
+version = "1.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fa7237101a77a10773db45d62004a272517633fbcc3df19d96455ede1122e051"
dependencies = [
"either",
"rayon-core",
@@ -2688,9 +3001,9 @@ dependencies = [
[[package]]
name = "rayon-core"
-version = "1.12.0"
+version = "1.12.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5ce3fb6ad83f861aac485e76e1985cd109d9a3713802152be56c3b1f0e0658ed"
+checksum = "1465873a3dfdaa8ae7cb14b4383657caab0b3e8a0aa9ae8e04b044854c8dfce2"
dependencies = [
"crossbeam-deque",
"crossbeam-utils",
@@ -2707,9 +3020,9 @@ dependencies = [
[[package]]
name = "regex"
-version = "1.10.2"
+version = "1.10.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "380b951a9c5e80ddfd6136919eef32310721aa4aacd4889a8d39124b026ab343"
+checksum = "b62dbe01f0b06f9d8dc7d49e05a0785f153b00b2c227856282f671e0318c9b15"
dependencies = [
"aho-corasick",
"memchr",
@@ -2719,9 +3032,9 @@ dependencies = [
[[package]]
name = "regex-automata"
-version = "0.4.3"
+version = "0.4.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5f804c7828047e88b2d32e2d7fe5a105da8ee3264f01902f796c8e067dc2483f"
+checksum = "3b7fa1134405e2ec9353fd416b17f8dacd46c473d7d3fd1cf202706a14eb792a"
dependencies = [
"aho-corasick",
"memchr",
@@ -2766,16 +3079,16 @@ version = "0.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "bfa0f585226d2e68097d4f95d113b15b83a82e819ab25717ec0590d9584ef366"
dependencies = [
- "semver 1.0.21",
+ "semver",
]
[[package]]
name = "rustix"
-version = "0.38.28"
+version = "0.38.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "72e572a5e8ca657d7366229cdde4bd14c4eb5499a9573d4d366fe1b599daa316"
+checksum = "322394588aaf33c24007e8bb3238ee3e4c5c09c084ab32bc73890b99ff326bca"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"errno",
"libc",
"linux-raw-sys",
@@ -2825,6 +3138,12 @@ dependencies = [
"untrusted",
]
+[[package]]
+name = "rustversion"
+version = "1.0.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7ffc183a10b4478d04cbbbfc96d0873219d962dd5accaff2ffbd4ceb7df837f4"
+
[[package]]
name = "rusty-fork"
version = "0.3.0"
@@ -2873,6 +3192,26 @@ version = "1.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "94143f37725109f92c262ed2cf5e59bce7498c01bcc1502d7b9afe439a4e9f49"
+[[package]]
+name = "scroll"
+version = "0.12.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6ab8598aa408498679922eff7fa985c25d58a90771bd6be794434c5277eab1a6"
+dependencies = [
+ "scroll_derive",
+]
+
+[[package]]
+name = "scroll_derive"
+version = "0.12.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f81c2fde025af7e69b1d1420531c8a8811ca898919db177141a85313b1cb932"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+]
+
[[package]]
name = "sct"
version = "0.7.1"
@@ -2906,15 +3245,6 @@ dependencies = [
"libc",
]
-[[package]]
-name = "semver"
-version = "0.9.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1d7eb9ef2c18661902cc47e535f9bc51b78acd254da71d375c2f6720d9a40403"
-dependencies = [
- "semver-parser",
-]
-
[[package]]
name = "semver"
version = "1.0.21"
@@ -2922,10 +3252,10 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "b97ed7a9823b74f99c7742f5336af7be5ecd3eeafcb1507d1fa93347b1d589b0"
[[package]]
-name = "semver-parser"
-version = "0.7.0"
+name = "send_wrapper"
+version = "0.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "388a1df253eca08550bef6c72392cfe7c30914bf41df5269b68cbd6ff8f570a3"
+checksum = "cd0b0ec5f1c1ca621c432a25813d8d60c88abe6d3e08a3eb9cf37d97a0fe3d73"
[[package]]
name = "serde"
@@ -2981,6 +3311,12 @@ dependencies = [
"digest",
]
+[[package]]
+name = "sha1_smol"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ae1a47186c03a32177042e55dbc5fd5aee900b8e0069a8d70fba96a9375cd012"
+
[[package]]
name = "sha2"
version = "0.10.8"
@@ -2994,9 +3330,9 @@ dependencies = [
[[package]]
name = "shlex"
-version = "1.2.0"
+version = "1.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a7cee0529a6d40f580e7a5e6c495c8fbfe21b7b52795ed4bb5e62cdf92bc6380"
+checksum = "0fda2ff0d084019ba4d7c6f371c95d8fd75ce3524c3cb8fb653a3023f6323e64"
[[package]]
name = "signal-crypto"
@@ -3084,15 +3420,26 @@ dependencies = [
[[package]]
name = "smallvec"
-version = "1.11.2"
+version = "1.13.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4dccd0940a2dcdf68d092b8cbab7dc0ad8fa938bf95787e1b916b0e3d0e8e970"
+checksum = "e6ecd384b10a64542d77071bd64bd7b231f4ed5940fba55e98c3de13824cf3d7"
+
+[[package]]
+name = "smart-default"
+version = "0.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0eb01866308440fc64d6c44d9e86c5cc17adfe33c4d6eed55da9145044d0ffc1"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+]
[[package]]
name = "snow"
-version = "0.9.4"
+version = "0.9.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "58021967fd0a5eeeb23b08df6cc244a4d4a5b4aec1d27c9e02fad1a58b4cd74e"
+checksum = "2e87c18a6608909007e75a60e04d03eda77b601c94de1c74d9a9dc2c04ab789a"
dependencies = [
"aes-gcm",
"blake2",
@@ -3132,6 +3479,28 @@ version = "0.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "73473c0e59e6d5812c5dfe2a064a6444949f089e20eec9a2e5506596494e4623"
+[[package]]
+name = "strum"
+version = "0.26.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "723b93e8addf9aa965ebe2d11da6d7540fa2283fcea14b3371ff055f7ba13f5f"
+dependencies = [
+ "strum_macros",
+]
+
+[[package]]
+name = "strum_macros"
+version = "0.26.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7a3417fc93d76740d974a01654a09777cb500428cc874ca9f45edfe0c4d4cd18"
+dependencies = [
+ "heck 0.4.1",
+ "proc-macro2",
+ "quote",
+ "rustversion",
+ "syn 2.0.48",
+]
+
[[package]]
name = "subtle"
version = "2.5.0"
@@ -3162,13 +3531,13 @@ dependencies = [
[[package]]
name = "syn-mid"
-version = "0.5.4"
+version = "0.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fea305d57546cc8cd04feb14b62ec84bf17f50e3f7b12560d7bfa9265f39d9ed"
+checksum = "b5dc35bb08dd1ca3dfb09dce91fd2d13294d6711c88897d9a9d60acf39bce049"
dependencies = [
"proc-macro2",
"quote",
- "syn 1.0.109",
+ "syn 2.0.48",
]
[[package]]
@@ -3193,6 +3562,12 @@ dependencies = [
"winapi-util",
]
+[[package]]
+name = "termtree"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3369f5ac52d5eb6ab48c6b4ffdc8efbcad6b89c765749064ba298f2c68a16a76"
+
[[package]]
name = "test-case"
version = "3.3.1"
@@ -3247,6 +3622,15 @@ dependencies = [
"syn 2.0.48",
]
+[[package]]
+name = "testing_logger"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6d92b727cb45d33ae956f7f46b966b25f1bc712092aeef9dba5ac798fc89f720"
+dependencies = [
+ "log",
+]
+
[[package]]
name = "thiserror"
version = "1.0.56"
@@ -3267,6 +3651,37 @@ dependencies = [
"syn 2.0.48",
]
+[[package]]
+name = "time"
+version = "0.3.34"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c8248b6521bb14bc45b4067159b9b6ad792e2d6d754d6c41fb50e29fefe38749"
+dependencies = [
+ "deranged",
+ "itoa",
+ "num-conv",
+ "powerfmt",
+ "serde",
+ "time-core",
+ "time-macros",
+]
+
+[[package]]
+name = "time-core"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ef927ca75afb808a4d64dd374f00a2adf8d0fcff8e7b184af886c3c87ec4a3f3"
+
+[[package]]
+name = "time-macros"
+version = "0.2.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7ba3a3ef41e6672a2f0f001392bb5dcd3ff0a9992d618ca761a11c3121547774"
+dependencies = [
+ "num-conv",
+ "time-core",
+]
+
[[package]]
name = "tinytemplate"
version = "1.2.1"
@@ -3422,9 +3837,21 @@ checksum = "c3523ab5a71916ccf420eebdf5521fcef02141234bbc0b8a49f2fdc4544364ef"
dependencies = [
"log",
"pin-project-lite",
+ "tracing-attributes",
"tracing-core",
]
+[[package]]
+name = "tracing-attributes"
+version = "0.1.27"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "34704c8d6ebcbc939824180af020566b01a7c01f80641264eba0999f6c2b6be7"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+]
+
[[package]]
name = "tracing-core"
version = "0.1.32"
@@ -3501,9 +3928,9 @@ dependencies = [
[[package]]
name = "unicode-bidi"
-version = "0.3.14"
+version = "0.3.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6f2528f27a9eb2b21e69c95319b30bd0efd85d09c379741b0f78ea1d86be2416"
+checksum = "08f95100a766bf4f8f28f90d77e0a5461bbdb219042e7679bebe79004fed8d75"
[[package]]
name = "unicode-ident"
@@ -3590,9 +4017,12 @@ checksum = "711b9620af191e0cdc7468a8d14e709c3dcdb115b36f838e601583af800a370a"
[[package]]
name = "uuid"
-version = "1.6.1"
+version = "1.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5e395fcf16a7a3d8127ec99782007af141946b4795001f876d54fb0d55978560"
+checksum = "f00cc9702ca12d3c81455259621e676d0f7251cec66a21e98fe2e9a37db93b2a"
+dependencies = [
+ "sha1_smol",
+]
[[package]]
name = "variant_count"
@@ -3747,7 +4177,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "66b5563fa963cea48af3e95b65b475bee688e78c04715dfe8c2eef6f812996d3"
dependencies = [
"assert_matches",
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"bitstream-io",
"bytes",
"derive_builder",
@@ -4055,6 +4485,7 @@ name = "zkcredential"
version = "0.1.0"
dependencies = [
"bincode",
+ "cfg-if",
"criterion",
"curve25519-dalek",
"derive-where",
@@ -4064,7 +4495,9 @@ dependencies = [
"lazy_static",
"partial-default",
"poksho",
+ "rayon",
"serde",
+ "sha2",
"subtle",
]
diff --git a/pkgs/by-name/li/libsignal-ffi/package.nix b/pkgs/by-name/li/libsignal-ffi/package.nix
index b6faa11f8482..ffee3ff05e7c 100644
--- a/pkgs/by-name/li/libsignal-ffi/package.nix
+++ b/pkgs/by-name/li/libsignal-ffi/package.nix
@@ -12,13 +12,13 @@ rustPlatform.buildRustPackage rec {
pname = "libsignal-ffi";
# must match the version used in mautrix-signal
# see https://github.com/mautrix/signal/issues/401
- version = "0.39.2";
+ version = "0.41.0";
src = fetchFromGitHub {
owner = "signalapp";
repo = "libsignal";
rev = "v${version}";
- hash = "sha256-MKmkqfUhXOHUlP3jSNKsplT9kP0ERj3rmTrLLU3T2no=";
+ hash = "sha256-U/Wy7nzRQJLdc/dGmYR418Nt1KV70HbcgnDHmYxKytg=";
};
nativeBuildInputs = [ protobuf ] ++ lib.optionals stdenv.isDarwin [ xcodebuild ];
diff --git a/pkgs/by-name/li/libvpl/opengl-driver-lib.patch b/pkgs/by-name/li/libvpl/opengl-driver-lib.patch
new file mode 100644
index 000000000000..5913190a5384
--- /dev/null
+++ b/pkgs/by-name/li/libvpl/opengl-driver-lib.patch
@@ -0,0 +1,19 @@
+--- a/libvpl/src/mfx_dispatcher_vpl_loader.cpp
++++ b/libvpl/src/mfx_dispatcher_vpl_loader.cpp
+@@ -548,6 +548,16 @@ mfxStatus LoaderCtxVPL::BuildListOfCandidateLibs() {
+ it++;
+ }
+
++ // fourth priority
++ searchDirList.clear();
++ searchDirList.push_back("@driverLink@/lib");
++ it = searchDirList.begin();
++ while (it != searchDirList.end()) {
++ STRING_TYPE nextDir = (*it);
++ sts = SearchDirForLibs(nextDir, m_libInfoList, LIB_PRIORITY_05);
++ it++;
++ }
++
+ // lowest priority: legacy MSDK installation
+ searchDirList.clear();
+ GetSearchPathsLegacy(searchDirList);
diff --git a/pkgs/by-name/li/libvpl/package.nix b/pkgs/by-name/li/libvpl/package.nix
index 8a647916ca63..f28287053beb 100644
--- a/pkgs/by-name/li/libvpl/package.nix
+++ b/pkgs/by-name/li/libvpl/package.nix
@@ -3,6 +3,8 @@
, fetchFromGitHub
, cmake
, pkg-config
+, substituteAll
+, addDriverRunpath
}:
stdenv.mkDerivation (finalAttrs: {
@@ -32,6 +34,13 @@ stdenv.mkDerivation (finalAttrs: {
"-DBUILD_TOOLS=OFF"
];
+ patches = [
+ (substituteAll {
+ src = ./opengl-driver-lib.patch;
+ inherit (addDriverRunpath) driverLink;
+ })
+ ];
+
meta = with lib; {
description = "Intel Video Processing Library";
homepage = "https://intel.github.io/libvpl/";
diff --git a/pkgs/development/libraries/libyang/default.nix b/pkgs/by-name/li/libyang/package.nix
similarity index 91%
rename from pkgs/development/libraries/libyang/default.nix
rename to pkgs/by-name/li/libyang/package.nix
index d220bcee1e6e..6e3e3d841e64 100644
--- a/pkgs/development/libraries/libyang/default.nix
+++ b/pkgs/by-name/li/libyang/package.nix
@@ -15,13 +15,13 @@
stdenv.mkDerivation rec {
pname = "libyang";
- version = "2.1.128";
+ version = "2.1.148";
src = fetchFromGitHub {
owner = "CESNET";
repo = "libyang";
rev = "v${version}";
- sha256 = "sha256-qwEHGUizjsWQZSwQkh7Clevd1OQfj1mse7Q8YiRCMyQ=";
+ hash = "sha256-uYZJo8lUv6tq0MRRJvbTS/8t1eZNGqcMb5k5sVCwMJM=";
};
nativeBuildInputs = [
diff --git a/pkgs/development/libraries/lightning/default.nix b/pkgs/by-name/li/lightning/package.nix
similarity index 54%
rename from pkgs/development/libraries/lightning/default.nix
rename to pkgs/by-name/li/lightning/package.nix
index c7a3b31a9032..b9414fb61346 100644
--- a/pkgs/development/libraries/lightning/default.nix
+++ b/pkgs/by-name/li/lightning/package.nix
@@ -1,23 +1,39 @@
{ lib
-, stdenv
, fetchurl
, libopcodes
+, libiberty
+, stdenv
+, libbfd
+, zlib
}:
stdenv.mkDerivation (finalAttrs: {
pname = "lightning";
- version = "2.2.2";
+ version = "2.2.3";
src = fetchurl {
- url = "mirror://gnu/lightning/${finalAttrs.pname}-${finalAttrs.version}.tar.gz";
- hash = "sha256-CsqCQt6tF9YhF7z8sHjmqeqFbMgXQoE8noOUvM5zs+I=";
+ url = "mirror://gnu/lightning/lightning-${finalAttrs.version}.tar.gz";
+ hash = "sha256-wEXHozoAr/v+sRBm+lAsA5kuR0piupWXeq0G28FMaCk=";
};
- nativeCheckInputs = [ libopcodes ];
+ outputs = [ "out" "dev" "info" ];
+
+ buildInputs = [
+ libopcodes
+ libbfd
+ libiberty
+ zlib
+ ];
+
+ strictDeps = true;
+
+ configureFlags = [
+ (lib.enableFeature true "disassembler")
+ ];
doCheck = true;
- meta = with lib; {
+ meta = {
homepage = "https://www.gnu.org/software/lightning/";
description = "Run-time code generation library";
longDescription = ''
@@ -26,9 +42,9 @@ stdenv.mkDerivation (finalAttrs: {
it abstracts over the target CPU, as it exposes to the clients a
standardized RISC instruction set inspired by the MIPS and SPARC chips.
'';
- maintainers = with maintainers; [ AndersonTorres ];
- license = licenses.lgpl3Plus;
- platforms = platforms.unix;
+ maintainers = with lib.maintainers; [ AndersonTorres ];
+ license = with lib.licenses; [ lgpl3Plus ];
+ platforms = lib.platforms.unix;
broken = stdenv.isDarwin; # failing tests
};
})
diff --git a/pkgs/development/tools/lightningcss/default.nix b/pkgs/by-name/li/lightningcss/package.nix
similarity index 84%
rename from pkgs/development/tools/lightningcss/default.nix
rename to pkgs/by-name/li/lightningcss/package.nix
index 7edc7e3695aa..6388413bd43b 100644
--- a/pkgs/development/tools/lightningcss/default.nix
+++ b/pkgs/by-name/li/lightningcss/package.nix
@@ -6,16 +6,16 @@
rustPlatform.buildRustPackage rec {
pname = "lightningcss";
- version = "1.23.0";
+ version = "1.24.0";
src = fetchFromGitHub {
owner = "parcel-bundler";
repo = "lightningcss";
rev = "refs/tags/v${version}";
- hash = "sha256-vMxf5WQtoj7FycU1zHn5t/bJtwh6t4wqyKfoetzojzU=";
+ hash = "sha256-Ai6zvLR5w2AarjZIWMPoDsU1Dr5kvREgL6oyg6TF+TU=";
};
- cargoHash = "sha256-g7/1s3FvuwsJvypeHOqJA/lVBkQcLATWtlygI8IW+QA=";
+ cargoHash = "sha256-HHuj7uAqipPtbjkOsxxMq+JWXww2vUDTNGgnHd3UY3o=";
buildFeatures = [
"cli"
diff --git a/pkgs/by-name/li/linien-gui/package.nix b/pkgs/by-name/li/linien-gui/package.nix
index ba6f6d6b5893..dd9ca7e4ca58 100644
--- a/pkgs/by-name/li/linien-gui/package.nix
+++ b/pkgs/by-name/li/linien-gui/package.nix
@@ -9,7 +9,7 @@ python3.pkgs.buildPythonApplication rec {
inherit (python3.pkgs.linien-common) src version;
- sourceRoot = "source/linien-gui";
+ sourceRoot = "${src.name}/linien-gui";
nativeBuildInputs = with python3.pkgs; [
setuptools
@@ -40,6 +40,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "Graphical user interface of the Linien spectroscopy lock application";
+ mainProgram = "linien";
homepage = "https://github.com/linien-org/linien/tree/develop/linien-gui";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ fsagbuya doronbehar ];
diff --git a/pkgs/by-name/li/listmonk/frontend.nix b/pkgs/by-name/li/listmonk/frontend.nix
new file mode 100644
index 000000000000..928092038c12
--- /dev/null
+++ b/pkgs/by-name/li/listmonk/frontend.nix
@@ -0,0 +1,37 @@
+{ mkYarnPackage
+, fetchYarnDeps
+, meta
+, version
+, src
+}:
+
+mkYarnPackage {
+ pname = "listmonk-frontend";
+ inherit version;
+
+ src = "${src}/frontend";
+ packageJSON = ./package.json;
+
+ offlineCache = fetchYarnDeps {
+ yarnLock = "${src}/frontend/yarn.lock";
+ hash = "sha256-TdrglyRtb2Q8SFtoiCoDj/zBV2+7DwzIm/Fzlt0ZvSo=";
+ };
+
+ configurePhase = ''
+ ln -s $node_modules node_modules
+ '';
+
+ buildPhase = ''
+ yarn --offline build
+ '';
+
+ installPhase = ''
+ mkdir $out
+ cp -R dist/* $out
+ '';
+
+ doDist = false;
+
+
+ inherit meta;
+}
diff --git a/pkgs/by-name/li/listmonk/package.json b/pkgs/by-name/li/listmonk/package.json
new file mode 100644
index 000000000000..25018ef265dd
--- /dev/null
+++ b/pkgs/by-name/li/listmonk/package.json
@@ -0,0 +1,45 @@
+{
+ "name": "listmonk",
+ "version": "0.1.0",
+ "private": true,
+ "scripts": {
+ "dev": "vite",
+ "build": "vite build",
+ "serve": "vite preview",
+ "lint": "eslint --ext .js,.vue --ignore-path .gitignore src",
+ "prebuild": "eslint --ext .js,.vue --ignore-path .gitignore src"
+ },
+ "dependencies": {
+ "@tinymce/tinymce-vue": "^3",
+ "axios": "^1.6.2",
+ "buefy": "^0.9.25",
+ "bulma": "^0.9.4",
+ "chart.js": "^4.4.1",
+ "codeflask": "^1.4.1",
+ "dayjs": "^1.11.10",
+ "indent.js": "^0.3.5",
+ "qs": "^6.10.1",
+ "textversionjs": "^1.1.3",
+ "tinymce": "^5.10.9",
+ "turndown": "^7.1.2",
+ "vue": "^2.7.14",
+ "vue-chartjs": "^5.3.0",
+ "vue-i18n": "^8.28.2",
+ "vue-router": "^3.2.0",
+ "vuex": "^3.6.2"
+ },
+ "devDependencies": {
+ "@vitejs/plugin-vue2": "^2.3.1",
+ "@vue/eslint-config-airbnb": "^7.0.1",
+ "cypress": "13.6.1",
+ "cypress-file-upload": "^5.0.2",
+ "eslint": "^8.56.0",
+ "eslint-define-config": "^2.0.0",
+ "eslint-plugin-import": "^2.23.3",
+ "eslint-plugin-vue": "^9.19.2",
+ "sass": "^1.34.0",
+ "vite": "^5.0.12",
+ "vue-eslint-parser": "^9.3.2",
+ "vue-template-compiler": "^2.6.12"
+ }
+}
diff --git a/pkgs/servers/mail/listmonk/default.nix b/pkgs/by-name/li/listmonk/package.nix
similarity index 70%
rename from pkgs/servers/mail/listmonk/default.nix
rename to pkgs/by-name/li/listmonk/package.nix
index 24f97afa348d..0a111224161e 100644
--- a/pkgs/servers/mail/listmonk/default.nix
+++ b/pkgs/by-name/li/listmonk/package.nix
@@ -1,25 +1,17 @@
-{ lib, buildGoModule, fetchFromGitHub, callPackage, stuffbin, nixosTests, fetchpatch }:
+{ lib, buildGoModule, fetchFromGitHub, callPackage, stuffbin, nixosTests }:
buildGoModule rec {
pname = "listmonk";
- version = "2.5.1";
+ version = "3.0.0";
src = fetchFromGitHub {
owner = "knadh";
repo = "listmonk";
rev = "v${version}";
- sha256 = "sha256-gCnIblc83CmG1auvYYxqW/xBl6Oy1KHGkqSY/3yIm3I=";
+ sha256 = "sha256-eNX+2ens+mz2V8ZBHtFFHDVbi64AAiiREElMjh67Dd8=";
};
- patches = [
- # Ensure that listmonk supports Go 1.20
- (fetchpatch {
- url = "https://github.com/knadh/listmonk/commit/25513b81044803b104ada63c0be57a913960484e.patch";
- hash = "sha256-SYACM8r+NgeSWn9VJV4+wkm+6s/MhNGwn5zyc2tw7FU=";
- })
- ];
-
- vendorHash = "sha256-0sgC1+ueZTUCP+7JwI/OKLktfMHQq959GEk1mC0TQgE=";
+ vendorHash = "sha256-XAm2VfX1nHWTuAV2COEn8qrqPNv0xbaWgTYCpjrEfMw=";
nativeBuildInputs = [
stuffbin
@@ -50,15 +42,16 @@ buildGoModule rec {
'';
passthru = {
- frontend = callPackage ./frontend.nix { inherit meta; };
+ frontend = callPackage ./frontend.nix { inherit meta version src; };
tests = { inherit (nixosTests) listmonk; };
};
meta = with lib; {
description = "High performance, self-hosted, newsletter and mailing list manager with a modern dashboard.";
+ mainProgram = "listmonk";
homepage = "https://github.com/knadh/listmonk";
changelog = "https://github.com/knadh/listmonk/releases/tag/v${version}";
maintainers = with maintainers; [ raitobezarius ];
- license = licenses.agpl3;
+ license = licenses.agpl3Only;
};
}
diff --git a/pkgs/servers/mail/listmonk/stuffbin.nix b/pkgs/by-name/li/listmonk/stuffbin.nix
similarity index 100%
rename from pkgs/servers/mail/listmonk/stuffbin.nix
rename to pkgs/by-name/li/listmonk/stuffbin.nix
diff --git a/pkgs/by-name/li/littlefs-fuse/package.nix b/pkgs/by-name/li/littlefs-fuse/package.nix
index feb566a822a2..00eba357e04e 100644
--- a/pkgs/by-name/li/littlefs-fuse/package.nix
+++ b/pkgs/by-name/li/littlefs-fuse/package.nix
@@ -2,12 +2,12 @@
stdenv.mkDerivation rec {
pname = "littlefs-fuse";
- version = "2.7.5";
+ version = "2.7.6";
src = fetchFromGitHub {
owner = "littlefs-project";
repo = pname;
rev = "v${version}";
- hash = "sha256-sSnk1iQV5aHcOPqVKbigWqojrZKlJK5CcrVlwilT2mE=";
+ hash = "sha256-iN6Ny1H7CyBzBRJyYKbXuzkap7+u+6tVkXo7Vnp1WV8=";
};
buildInputs = [ fuse ];
installPhase = ''
diff --git a/pkgs/by-name/li/live555/package.nix b/pkgs/by-name/li/live555/package.nix
index b08ed44f4854..5051944cab1e 100644
--- a/pkgs/by-name/li/live555/package.nix
+++ b/pkgs/by-name/li/live555/package.nix
@@ -9,7 +9,7 @@
stdenv.mkDerivation (finalAttrs: {
pname = "live555";
- version = "2023.11.30";
+ version = "2024.03.08";
src = fetchurl {
urls = [
@@ -18,7 +18,7 @@ stdenv.mkDerivation (finalAttrs: {
"https://download.videolan.org/contrib/live555/live.${finalAttrs.version}.tar.gz"
"mirror://sourceforge/slackbuildsdirectlinks/live.${finalAttrs.version}.tar.gz"
];
- hash = "sha256-xue+9YtdAM2XkzAY6dU2PZ3n6bvPwlULIHqBqc8wuSU=";
+ hash = "sha256-wWUC4EbxxfK+OxXiyNbNMGObVMZOqb+8jTG078pnDeU=";
};
patches = [
diff --git a/pkgs/by-name/li/livekit-cli/package.nix b/pkgs/by-name/li/livekit-cli/package.nix
index 2ed5129a6d13..75682ea71b4b 100644
--- a/pkgs/by-name/li/livekit-cli/package.nix
+++ b/pkgs/by-name/li/livekit-cli/package.nix
@@ -5,16 +5,16 @@
buildGoModule rec {
pname = "livekit-cli";
- version = "1.3.4";
+ version = "1.4.1";
src = fetchFromGitHub {
owner = "livekit";
repo = "livekit-cli";
rev = "v${version}";
- hash = "sha256-pzVzfs0bwG9n7fa0ouQiCFrbXAqkfovEIjVmrHFdqtI=";
+ hash = "sha256-/H7Xn/nUumKf62qV6kt2PBbvIt67IwA1dt+hj8mbE30=";
};
- vendorHash = "sha256-pM5DeaukY6x4RDryLvSEQASSwtOaLiiLObjhdWBYd8k=";
+ vendorHash = "sha256-yO2Qr6H5sZGLMHiue5IVHkF1IDsZZh48s6KNpXR+nzA=";
subPackages = [ "cmd/livekit-cli" ];
diff --git a/pkgs/by-name/li/livekit/package.nix b/pkgs/by-name/li/livekit/package.nix
index ed14e56b1809..40706a679c2d 100644
--- a/pkgs/by-name/li/livekit/package.nix
+++ b/pkgs/by-name/li/livekit/package.nix
@@ -5,16 +5,16 @@
buildGoModule rec {
pname = "livekit";
- version = "1.5.2";
+ version = "1.5.3";
src = fetchFromGitHub {
owner = "livekit";
repo = "livekit";
rev = "v${version}";
- hash = "sha256-Z1N6iYXd3HswRJql3YZMot5fdkdFFbJuxyGDgLsbtQI=";
+ hash = "sha256-2MooX+wy7KetxEBgQoVoL4GuVkm+SbTzYgfWyLL7KU8=";
};
- vendorHash = "sha256-O0rlezMdhoRHdK37BGKW3CHLpYfkFC1d83o5u54LQ8k=";
+ vendorHash = "sha256-8YR0Bl+sQsqpFtD+1GeYaydBdHeM0rRL2NbgAh9kCj0=";
subPackages = [ "cmd/server" ];
diff --git a/pkgs/by-name/ll/llama-cpp/package.nix b/pkgs/by-name/ll/llama-cpp/package.nix
index 3732947af710..43ff55742ca1 100644
--- a/pkgs/by-name/ll/llama-cpp/package.nix
+++ b/pkgs/by-name/ll/llama-cpp/package.nix
@@ -15,12 +15,16 @@
, openclSupport ? false
, clblast
-, blasSupport ? !rocmSupport && !cudaSupport
-, openblas
+, blasSupport ? builtins.all (x: !x) [ cudaSupport metalSupport openclSupport rocmSupport vulkanSupport ]
, pkg-config
, metalSupport ? stdenv.isDarwin && stdenv.isAarch64 && !openclSupport
-, patchelf
-, static ? true # if false will build the shared objects as well
+, vulkanSupport ? false
+, mpiSupport ? false # Increases the runtime closure by ~700M
+, vulkan-headers
+, vulkan-loader
+, ninja
+, git
+, mpi
}:
let
@@ -28,42 +32,18 @@ let
# otherwise we get libstdc++ errors downstream.
# cuda imposes an upper bound on the gcc version, e.g. the latest gcc compatible with cudaPackages_11 is gcc11
effectiveStdenv = if cudaSupport then cudaPackages.backendStdenv else stdenv;
-in
-effectiveStdenv.mkDerivation (finalAttrs: {
- pname = "llama-cpp";
- version = "2105";
+ inherit (lib) cmakeBool cmakeFeature optionals;
- src = fetchFromGitHub {
- owner = "ggerganov";
- repo = "llama.cpp";
- rev = "refs/tags/b${finalAttrs.version}";
- hash = "sha256-Xq/P7EN6dz2oW++bXhIMY7AhWgVk6hmuf4PmEaoVgMM=";
- };
-
- postPatch = ''
- substituteInPlace ./ggml-metal.m \
- --replace '[bundle pathForResource:@"ggml-metal" ofType:@"metal"];' "@\"$out/bin/ggml-metal.metal\";"
- '';
-
- nativeBuildInputs = [ cmake ] ++ lib.optionals blasSupport [ pkg-config ] ++ lib.optionals cudaSupport [
- cudaPackages.cuda_nvcc
-
- # TODO: Replace with autoAddDriverRunpath
- # once https://github.com/NixOS/nixpkgs/pull/275241 has been merged
- cudaPackages.autoAddOpenGLRunpathHook
- ];
-
- buildInputs = lib.optionals effectiveStdenv.isDarwin
- (with darwin.apple_sdk.frameworks; [
+ darwinBuildInputs =
+ with darwin.apple_sdk.frameworks;
+ [
Accelerate
- CoreGraphics
CoreVideo
- Foundation
- ])
- ++ lib.optionals metalSupport (with darwin.apple_sdk.frameworks; [
- MetalKit
- ])
- ++ lib.optionals cudaSupport (with cudaPackages; [
+ CoreGraphics
+ ]
+ ++ optionals metalSupport [ MetalKit ];
+
+ cudaBuildInputs = with cudaPackages; [
cuda_cccl.dev #
# A temporary hack for reducing the closure size, remove once cudaPackages
@@ -74,65 +54,90 @@ effectiveStdenv.mkDerivation (finalAttrs: {
libcublas.dev
libcublas.lib
libcublas.static
- ]) ++ lib.optionals rocmSupport [
- rocmPackages.clr
- rocmPackages.hipblas
- rocmPackages.rocblas
- ] ++ lib.optionals openclSupport [
- clblast
- ] ++ lib.optionals blasSupport [
- openblas
];
+ rocmBuildInputs = with rocmPackages; [
+ clr
+ hipblas
+ rocblas
+ ];
+
+ vulkanBuildInputs = [
+ vulkan-headers
+ vulkan-loader
+ ];
+in
+effectiveStdenv.mkDerivation (finalAttrs: {
+ pname = "llama-cpp";
+ version = "2481";
+
+ src = fetchFromGitHub {
+ owner = "ggerganov";
+ repo = "llama.cpp";
+ rev = "refs/tags/b${finalAttrs.version}";
+ hash = "sha256-40GSZZEnjM9L9KVVKdSKtBoSRy996l98ORM4NeltsSM=";
+ };
+
+ postPatch = ''
+ substituteInPlace ./ggml-metal.m \
+ --replace '[bundle pathForResource:@"ggml-metal" ofType:@"metal"];' "@\"$out/bin/ggml-metal.metal\";"
+ '';
+
+ nativeBuildInputs = [ cmake ninja pkg-config git ]
+ ++ optionals cudaSupport [
+ cudaPackages.cuda_nvcc
+ cudaPackages.autoAddDriverRunpath
+ ];
+
+ buildInputs = optionals effectiveStdenv.isDarwin darwinBuildInputs
+ ++ optionals cudaSupport cudaBuildInputs
+ ++ optionals mpiSupport mpi
+ ++ optionals openclSupport [ clblast ]
+ ++ optionals rocmSupport rocmBuildInputs
+ ++ optionals vulkanSupport vulkanBuildInputs;
+
cmakeFlags = [
- "-DLLAMA_NATIVE=OFF"
- "-DLLAMA_BUILD_SERVER=ON"
+ # -march=native is non-deterministic; override with platform-specific flags if needed
+ (cmakeBool "LLAMA_NATIVE" false)
+ (cmakeBool "BUILD_SHARED_SERVER" true)
+ (cmakeBool "BUILD_SHARED_LIBS" true)
+ (cmakeBool "BUILD_SHARED_LIBS" true)
+ (cmakeBool "LLAMA_BLAS" blasSupport)
+ (cmakeBool "LLAMA_CLBLAST" openclSupport)
+ (cmakeBool "LLAMA_CUBLAS" cudaSupport)
+ (cmakeBool "LLAMA_HIPBLAS" rocmSupport)
+ (cmakeBool "LLAMA_METAL" metalSupport)
+ (cmakeBool "LLAMA_MPI" mpiSupport)
+ (cmakeBool "LLAMA_VULKAN" vulkanSupport)
]
- ++ lib.optionals metalSupport [
- "-DCMAKE_C_FLAGS=-D__ARM_FEATURE_DOTPROD=1"
- "-DLLAMA_METAL=ON"
- ]
- ++ lib.optionals cudaSupport [
- "-DLLAMA_CUBLAS=ON"
- ]
- ++ lib.optionals rocmSupport [
- "-DLLAMA_HIPBLAS=1"
- "-DCMAKE_C_COMPILER=hipcc"
- "-DCMAKE_CXX_COMPILER=hipcc"
- "-DCMAKE_POSITION_INDEPENDENT_CODE=ON"
- ]
- ++ lib.optionals openclSupport [
- "-DLLAMA_CLBLAST=ON"
- ]
- ++ lib.optionals blasSupport [
- "-DLLAMA_BLAS=ON"
- "-DLLAMA_BLAS_VENDOR=OpenBLAS"
- ]
- ++ lib.optionals (!static) [
- (lib.cmakeBool "BUILD_SHARED_LIBS" true)
- ];
+ ++ optionals cudaSupport [
+ (
+ with cudaPackages.flags;
+ cmakeFeature "CMAKE_CUDA_ARCHITECTURES" (
+ builtins.concatStringsSep ";" (map dropDot cudaCapabilities)
+ )
+ )
+ ]
+ ++ optionals rocmSupport [
+ (cmakeFeature "CMAKE_C_COMPILER" "hipcc")
+ (cmakeFeature "CMAKE_CXX_COMPILER" "hipcc")
- installPhase = ''
- runHook preInstall
+ # Build all targets supported by rocBLAS. When updating search for TARGET_LIST_ROCM
+ # in https://github.com/ROCmSoftwarePlatform/rocBLAS/blob/develop/CMakeLists.txt
+ # and select the line that matches the current nixpkgs version of rocBLAS.
+ # Should likely use `rocmPackages.clr.gpuTargets`.
+ "-DAMDGPU_TARGETS=gfx803;gfx900;gfx906:xnack-;gfx908:xnack-;gfx90a:xnack+;gfx90a:xnack-;gfx940;gfx941;gfx942;gfx1010;gfx1012;gfx1030;gfx1100;gfx1101;gfx1102"
+ ]
+ ++ optionals metalSupport [ (cmakeFeature "CMAKE_C_FLAGS" "-D__ARM_FEATURE_DOTPROD=1") ]
+ ++ optionals blasSupport [ (cmakeFeature "LLAMA_BLAS_VENDOR" "OpenBLAS") ];
- mkdir -p $out/bin
- ${lib.optionalString (!static) ''
- mkdir $out/lib
- cp libggml_shared.so $out/lib
- cp libllama.so $out/lib
- ''}
-
- for f in bin/*; do
- test -x "$f" || continue
- ${lib.optionalString (!static) ''
- ${patchelf}/bin/patchelf "$f" --set-rpath "$out/lib"
- ''}
- cp "$f" $out/bin/llama-cpp-"$(basename "$f")"
- done
-
- ${lib.optionalString metalSupport "cp ./bin/ggml-metal.metal $out/bin/ggml-metal.metal"}
-
- runHook postInstall
+ # upstream plans on adding targets at the cmakelevel, remove those
+ # additional steps after that
+ postInstall = ''
+ mv $out/bin/main $out/bin/llama
+ mv $out/bin/server $out/bin/llama-server
+ mkdir -p $out/include
+ cp $src/llama.h $out/include/
'';
passthru.updateScript = nix-update-script {
@@ -144,9 +149,10 @@ effectiveStdenv.mkDerivation (finalAttrs: {
description = "Port of Facebook's LLaMA model in C/C++";
homepage = "https://github.com/ggerganov/llama.cpp/";
license = licenses.mit;
- mainProgram = "llama-cpp-main";
- maintainers = with maintainers; [ dit7ya elohmeier ];
- broken = (effectiveStdenv.isDarwin && effectiveStdenv.isx86_64) || lib.count lib.id [openclSupport blasSupport rocmSupport cudaSupport] == 0;
+ mainProgram = "llama";
+ maintainers = with maintainers; [ dit7ya elohmeier philiptaron ];
platforms = platforms.unix;
+ badPlatforms = optionals (cudaSupport || openclSupport) lib.platforms.darwin;
+ broken = (metalSupport && !effectiveStdenv.isDarwin);
};
})
diff --git a/pkgs/by-name/ln/lngen/package.nix b/pkgs/by-name/ln/lngen/package.nix
index 1b06a5b1966b..d84e3556cd5e 100644
--- a/pkgs/by-name/ln/lngen/package.nix
+++ b/pkgs/by-name/ln/lngen/package.nix
@@ -20,4 +20,5 @@ haskellPackages.mkDerivation {
description = "Tool for generating Locally Nameless definitions and proofs in Coq, working together with Ott";
maintainers = with lib.maintainers; [ chen ];
license = lib.licenses.mit;
+ mainProgram = "lngen";
}
diff --git a/pkgs/by-name/lo/local-ai/package.nix b/pkgs/by-name/lo/local-ai/package.nix
new file mode 100644
index 000000000000..a5b5b82b139b
--- /dev/null
+++ b/pkgs/by-name/lo/local-ai/package.nix
@@ -0,0 +1,311 @@
+{ stdenv
+, lib
+, fetchpatch
+, fetchFromGitHub
+, ncurses
+, protobuf
+, grpc
+, openssl
+ # needed for audio-to-text
+, ffmpeg
+, cmake
+, buildGoModule
+, makeWrapper
+, runCommand
+, testers
+
+ # apply feature parameter names according to
+ # https://github.com/NixOS/rfcs/pull/169
+
+, with_tinydream ? false
+
+, with_openblas ? false
+, openblas
+, pkg-config
+
+, with_cublas ? false
+, cudaPackages
+
+, with_clblas ? false
+, clblast
+, ocl-icd
+, opencl-headers
+
+, with_stablediffusion ? false
+, opencv
+
+, with_tts ? false
+, onnxruntime
+, sonic
+, spdlog
+, fmt
+}:
+let
+ go-llama-ggml = fetchFromGitHub {
+ owner = "go-skynet";
+ repo = "go-llama.cpp";
+ rev = "2b57a8ae43e4699d3dc5d1496a1ccd42922993be";
+ hash = "sha256-D6SEg5pPcswGyKAmF4QTJP6/Y1vjRr7m7REguag+too=";
+ fetchSubmodules = true;
+ };
+
+ # possible improvement: use Nix package llama-cpp
+ llama_cpp = fetchFromGitHub {
+ owner = "ggerganov";
+ repo = "llama.cpp";
+ rev = "d01b3c4c32357567f3531d4e6ceffc5d23e87583";
+ hash = "sha256-7eaQV+XTCXdrJlo7y21q5j/8ecVwuTMJScRTATcF6oM=";
+ fetchSubmodules = true;
+ };
+
+ llama_cpp' = runCommand "llama_cpp_src" { } ''
+ cp -r --no-preserve=mode,ownership ${llama_cpp} $out
+ sed -i $out/CMakeLists.txt \
+ -e 's;pkg_check_modules(DepBLAS REQUIRED openblas);pkg_check_modules(DepBLAS REQUIRED openblas64);'
+ '';
+
+ gpt4all = fetchFromGitHub {
+ owner = "nomic-ai";
+ repo = "gpt4all";
+ rev = "27a8b020c36b0df8f8b82a252d261cda47cf44b8";
+ hash = "sha256-djq1eK6ncvhkO3MNDgasDBUY/7WWcmZt/GJsHAulLdI=";
+ fetchSubmodules = true;
+ };
+
+ go-piper = fetchFromGitHub {
+ owner = "mudler";
+ repo = "go-piper";
+ rev = "9d0100873a7dbb0824dfea40e8cec70a1b110759";
+ hash = "sha256-Yv9LQkWwGpYdOS0FvtP0vZ0tRyBAx27sdmziBR4U4n8=";
+ fetchSubmodules = true;
+ };
+
+ go-rwkv = fetchFromGitHub {
+ owner = "donomii";
+ repo = "go-rwkv.cpp";
+ rev = "661e7ae26d442f5cfebd2a0881b44e8c55949ec6";
+ hash = "sha256-byTNZQSnt7qpBMng3ANJmpISh3GJiz+F15UqfXaz6nQ=";
+ fetchSubmodules = true;
+ };
+
+ whisper = fetchFromGitHub {
+ owner = "ggerganov";
+ repo = "whisper.cpp";
+ rev = "a56f435fd475afd7edf02bfbf9f8c77f527198c2";
+ hash = "sha256-ozTnxEuftAQQr5v/kwg5EKHuKF21d9ETIyvXcvr0Qos=";
+ fetchSubmodules = true;
+ };
+
+ go-bert = fetchFromGitHub {
+ owner = "go-skynet";
+ repo = "go-bert.cpp";
+ rev = "6abe312cded14042f6b7c3cd8edf082713334a4d";
+ hash = "sha256-lh9cvXc032Eq31kysxFOkRd0zPjsCznRl0tzg9P2ygo=";
+ fetchSubmodules = true;
+ };
+
+ go-stable-diffusion = stdenv.mkDerivation {
+ pname = "go_stable_diffusion";
+ version = "unstable";
+ src = fetchFromGitHub {
+ owner = "mudler";
+ repo = "go-stable-diffusion";
+ rev = "362df9da29f882dbf09ade61972d16a1f53c3485";
+ hash = "sha256-A5KvMZOviPsIpPHxM8cacT+qE2x1iFJAbPsRs4sLijY=";
+ fetchSubmodules = true;
+ };
+ buildFlags = [ "libstablediffusion.a" ];
+ dontUseCmakeConfigure = true;
+ nativeBuildInputs = [ cmake ];
+ buildInputs = [ opencv ];
+ env.NIX_CFLAGS_COMPILE = " -isystem ${opencv}/include/opencv4";
+ installPhase = ''
+ install -Dt $out libstablediffusion.a Makefile go.mod *.go stablediffusion.h
+ '';
+ };
+
+ go-tiny-dream = fetchFromGitHub {
+ owner = "M0Rf30";
+ repo = "go-tiny-dream";
+ rev = "772a9c0d9aaf768290e63cca3c904fe69faf677a";
+ hash = "sha256-r+wzFIjaI6cxAm/eXN3q8LRZZz+lE5EA4lCTk5+ZnIY=";
+ fetchSubmodules = true;
+ };
+
+ go-tiny-dream' = runCommand "go_tiny_dream_src" { } ''
+ cp -r --no-preserve=mode,ownership ${go-tiny-dream} $out
+ sed -i $out/Makefile \
+ -e 's;lib/libncnn;lib64/libncnn;g'
+ '';
+
+ GO_TAGS = lib.optional with_tinydream "tinydream"
+ ++ lib.optional with_tts "tts"
+ ++ lib.optional with_stablediffusion "stablediffusion";
+
+ buildEnv =
+ if with_cublas then
+ # It's necessary to consistently use backendStdenv when building with CUDA support,
+ # otherwise we get libstdc++ errors downstream.
+ buildGoModule.override { stdenv = cudaPackages.backendStdenv; }
+ else
+ buildGoModule;
+
+ self = buildEnv rec {
+ pname = "local-ai";
+ version = "2.10.1";
+
+ src = fetchFromGitHub {
+ owner = "go-skynet";
+ repo = "LocalAI";
+ rev = "v${version}";
+ hash = "sha256-135s1Gw8mfOIx4kXlw2pYrD3ewwajUtnz3sPY/CtoLw=";
+ };
+
+ vendorHash = "sha256-UCeG0TKS+VBW8D87VmxTHS2tCAf0ADEYTJayaSiua6s=";
+
+ # Workaround for
+ # `cc1plus: error: '-Wformat-security' ignored without '-Wformat' [-Werror=format-security]`
+ # when building jtreg
+ env.NIX_CFLAGS_COMPILE = "-Wformat";
+
+ postPatch =
+ let
+ cp = "cp -r --no-preserve=mode,ownership";
+ in
+ ''
+ sed -i Makefile \
+ -e 's;git clone.*go-llama-ggml$;${cp} ${go-llama-ggml} sources/go-llama-ggml;' \
+ -e 's;git clone.*gpt4all$;${cp} ${gpt4all} sources/gpt4all;' \
+ -e 's;git clone.*go-piper$;${cp} ${go-piper} sources/go-piper;' \
+ -e 's;git clone.*go-rwkv$;${cp} ${go-rwkv} sources/go-rwkv;' \
+ -e 's;git clone.*whisper\.cpp$;${cp} ${whisper} sources/whisper\.cpp;' \
+ -e 's;git clone.*go-bert$;${cp} ${go-bert} sources/go-bert;' \
+ -e 's;git clone.*diffusion$;${cp} ${if with_stablediffusion then go-stable-diffusion else go-stable-diffusion.src} sources/go-stable-diffusion;' \
+ -e 's;git clone.*go-tiny-dream$;${cp} ${go-tiny-dream'} sources/go-tiny-dream;' \
+ -e 's, && git checkout.*,,g' \
+ -e '/mod download/ d' \
+
+ sed -i backend/cpp/llama/Makefile \
+ -e 's;git clone.*llama\.cpp$;${cp} ${llama_cpp'} llama\.cpp;' \
+ -e 's, && git checkout.*,,g' \
+
+ ''
+ ;
+
+ modBuildPhase = ''
+ mkdir sources
+ make prepare-sources
+ go mod tidy -v
+ '';
+
+ proxyVendor = true;
+
+ buildPhase =
+ let
+ buildType =
+ assert (lib.count lib.id [ with_openblas with_cublas with_clblas ]) <= 1;
+ if with_openblas then "openblas"
+ else if with_cublas then "cublas"
+ else if with_clblas then "clblas"
+ else "";
+
+ buildFlags = [
+ "VERSION=v${version}"
+ "BUILD_TYPE=${buildType}"
+ "GO_TAGS=\"${builtins.concatStringsSep " " GO_TAGS}\""
+ ]
+ ++ lib.optional with_cublas "CUDA_LIBPATH=${cudaPackages.cuda_cudart}/lib";
+ in
+ ''
+ mkdir sources
+ make ${builtins.concatStringsSep " " buildFlags} build
+ '';
+
+ installPhase = ''
+ install -Dt $out/bin ${pname}
+ '';
+
+ buildInputs = [
+ protobuf # provides also abseil_cpp as propagated build input
+ grpc
+ openssl
+ ]
+ ++ lib.optionals with_stablediffusion
+ [ opencv ]
+ ++ lib.optionals with_tts
+ [ sonic spdlog fmt onnxruntime ]
+ ++ lib.optionals with_cublas
+ [ cudaPackages.cudatoolkit cudaPackages.cuda_cudart ]
+ ++ lib.optionals with_openblas
+ [ openblas.dev ]
+ ++ lib.optionals with_clblas
+ [ clblast ocl-icd opencl-headers ]
+ ;
+
+ # patching rpath with patchelf doens't work. The execuable
+ # raises an segmentation fault
+ postFixup = ''
+ wrapProgram $out/bin/${pname} \
+ '' + lib.optionalString with_cublas ''
+ --prefix LD_LIBRARY_PATH : "${cudaPackages.libcublas}/lib:${cudaPackages.cuda_cudart}/lib:/run/opengl-driver/lib" \
+ '' + lib.optionalString with_clblas ''
+ --prefix LD_LIBRARY_PATH : "${clblast}/lib:${ocl-icd}/lib" \
+ '' + lib.optionalString with_openblas ''
+ --prefix LD_LIBRARY_PATH : "${openblas}/lib" \
+ '' + ''
+ --prefix PATH : "${ffmpeg}/bin"
+ '';
+
+ nativeBuildInputs = [
+ ncurses
+ cmake
+ makeWrapper
+ ]
+ ++ lib.optional with_openblas pkg-config
+ ++ lib.optional with_cublas cudaPackages.cuda_nvcc
+ ;
+
+ passthru.features = {
+ inherit
+ with_cublas with_openblas with_tts with_stablediffusion
+ with_tinydream with_clblas;
+ };
+
+ passthru.tests = {
+ version = testers.testVersion {
+ package = self;
+ version = "v" + version;
+ };
+ health =
+ let
+ port = "8080";
+ in
+ testers.runNixOSTest {
+ name = pname + "-health";
+ nodes.machine = {
+ systemd.services.local-ai = {
+ wantedBy = [ "multi-user.target" ];
+ serviceConfig.ExecStart = "${self}/bin/local-ai --localai-config-dir . --address :${port}";
+ };
+ };
+ testScript = ''
+ machine.wait_for_open_port(${port})
+ machine.succeed("curl -f http://localhost:${port}/readyz")
+ '';
+ };
+ };
+
+ meta = with lib; {
+ description = "OpenAI alternative to run local LLMs, image and audio generation";
+ homepage = "https://localai.io";
+ license = licenses.mit;
+ maintainers = with maintainers; [ onny ck3d ];
+ platforms = platforms.linux;
+ broken =
+ # TODO: provide onnxruntime in the right way
+ with_tts
+ || (with_tinydream && (lib.lessThan self.stdenv.cc.version "13"));
+ };
+ };
+in
+self
diff --git a/pkgs/by-name/lo/logiops/package.nix b/pkgs/by-name/lo/logiops/package.nix
new file mode 100644
index 000000000000..fea73c79268b
--- /dev/null
+++ b/pkgs/by-name/lo/logiops/package.nix
@@ -0,0 +1,57 @@
+{
+ lib,
+ stdenv,
+ fetchFromGitHub,
+ pkg-config,
+ cmake,
+ glib,
+ udev,
+ libevdev,
+ libconfig,
+}:
+
+stdenv.mkDerivation (oldAttrs: {
+ pname = "logiops";
+ version = "0.3.3";
+
+ src = fetchFromGitHub {
+ owner = "PixlOne";
+ repo = "logiops";
+ rev = "v${oldAttrs.version}";
+ sha256 = "sha256-9nFTud5szQN8jpG0e/Bkp+I9ELldfo66SdfVCUTuekg=";
+ # In v0.3.0, the `ipcgull` submodule was added as a dependency
+ # https://github.com/PixlOne/logiops/releases/tag/v0.3.0
+ fetchSubmodules = true;
+ };
+
+ patches = [
+ ./pkgs0001-Make-DBUS_SYSTEM_POLICY_INSTALL_DIR-externally-overr.patch
+ ];
+
+ nativeBuildInputs = [
+ cmake
+ pkg-config
+ ];
+ buildInputs = [
+ udev
+ libevdev
+ libconfig
+ glib
+ ];
+
+ cmakeFlags = [
+ "-DLOGIOPS_VERSION=${oldAttrs.version}"
+ "-DDBUS_SYSTEM_POLICY_INSTALL_DIR=${placeholder "out"}/share/dbus-1/system.d"
+ ];
+
+ PKG_CONFIG_SYSTEMD_SYSTEMDSYSTEMUNITDIR = "${placeholder "out"}/lib/systemd/system";
+
+ meta = with lib; {
+ description = "Unofficial userspace driver for HID++ Logitech devices";
+ mainProgram = "logid";
+ homepage = "https://github.com/PixlOne/logiops";
+ license = licenses.gpl3Plus;
+ maintainers = with maintainers; [ ckie ];
+ platforms = with platforms; linux;
+ };
+})
diff --git a/pkgs/by-name/lo/logiops/pkgs0001-Make-DBUS_SYSTEM_POLICY_INSTALL_DIR-externally-overr.patch b/pkgs/by-name/lo/logiops/pkgs0001-Make-DBUS_SYSTEM_POLICY_INSTALL_DIR-externally-overr.patch
new file mode 100644
index 000000000000..1c3ab61dd601
--- /dev/null
+++ b/pkgs/by-name/lo/logiops/pkgs0001-Make-DBUS_SYSTEM_POLICY_INSTALL_DIR-externally-overr.patch
@@ -0,0 +1,30 @@
+From b7588376e20661c8d79e7472732244c70b8e7d3f Mon Sep 17 00:00:00 2001
+From: ckie
+Date: Mon, 16 Oct 2023 02:02:38 +0300
+Subject: [PATCH] Make DBUS_SYSTEM_POLICY_INSTALL_DIR externally overrideable
+
+---
+ src/logid/CMakeLists.txt | 6 ++++--
+ 1 file changed, 4 insertions(+), 2 deletions(-)
+
+diff --git a/src/logid/CMakeLists.txt b/src/logid/CMakeLists.txt
+index b2616c8..58d6719 100644
+--- a/src/logid/CMakeLists.txt
++++ b/src/logid/CMakeLists.txt
+@@ -111,9 +111,11 @@ elseif (NOT SYSTEMD_FOUND AND SYSTEMD_SERVICES_INSTALL_DIR)
+ endif ()
+
+ # Install DBus conf
+-# TODO: Is there a better way of setting the system policy directory?
+-set(DBUS_SYSTEM_POLICY_INSTALL_DIR "/usr/share/dbus-1/system.d")
+ configure_file(logiops-dbus.conf.in ${CMAKE_BINARY_DIR}/pizza.pixl.LogiOps.conf)
++if (NOT DEFINED DBUS_SYSTEM_POLICY_INSTALL_DIR)
++ # TODO: Is there a better way of setting the system policy directory?
++ set(DBUS_SYSTEM_POLICY_INSTALL_DIR "/usr/share/dbus-1/system.d")
++endif ()
+ message(STATUS "dbus system policy will be installed at ${DBUS_SYSTEM_POLICY_INSTALL_DIR}")
+ install(FILES ${CMAKE_BINARY_DIR}/pizza.pixl.LogiOps.conf
+ DESTINATION ${DBUS_SYSTEM_POLICY_INSTALL_DIR}
+--
+2.42.0
+
diff --git a/pkgs/misc/drivers/logiops/default.nix b/pkgs/by-name/lo/logiops_0_2_3/package.nix
similarity index 96%
rename from pkgs/misc/drivers/logiops/default.nix
rename to pkgs/by-name/lo/logiops_0_2_3/package.nix
index 71283a406214..f2a9c5be1589 100644
--- a/pkgs/misc/drivers/logiops/default.nix
+++ b/pkgs/by-name/lo/logiops_0_2_3/package.nix
@@ -18,6 +18,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Unofficial userspace driver for HID++ Logitech devices";
+ mainProgram = "logid";
homepage = "https://github.com/PixlOne/logiops";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ ckie ];
diff --git a/pkgs/by-name/lo/loksh/package.nix b/pkgs/by-name/lo/loksh/package.nix
index 4ba926aa2f53..01143fae7b52 100644
--- a/pkgs/by-name/lo/loksh/package.nix
+++ b/pkgs/by-name/lo/loksh/package.nix
@@ -48,6 +48,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
homepage = "https://github.com/dimkr/loksh";
description = "Linux port of OpenBSD's ksh";
+ mainProgram = "loksh";
longDescription = ''
loksh is a Linux port of OpenBSD's ksh.
diff --git a/pkgs/by-name/lo/loramon/package.nix b/pkgs/by-name/lo/loramon/package.nix
new file mode 100644
index 000000000000..9665026ff511
--- /dev/null
+++ b/pkgs/by-name/lo/loramon/package.nix
@@ -0,0 +1,34 @@
+{ lib
+, python3
+, fetchFromGitHub
+}:
+
+python3.pkgs.buildPythonApplication rec {
+ pname = "loramon";
+ version = "0.9.7";
+ pyproject = true;
+
+ src = fetchFromGitHub {
+ owner = "markqvist";
+ repo = "LoRaMon";
+ rev = "refs/tags/${version}";
+ hash = "sha256-94tXhuAoaS1y/zGz63PPqOayRylGK0Ei2a6H4/BCB30";
+ };
+
+ nativeBuildInputs = with python3.pkgs; [
+ setuptools
+ ];
+
+ propagatedBuildInputs = with python3.pkgs; [
+ pyserial
+ ];
+
+ meta = with lib; {
+ description = "LoRa packet sniffer for RNode hardware";
+ mainProgram = "loramon";
+ homepage = "https://github.com/markqvist/LoRaMon";
+ changelog = "https://github.com/markqvist/LoRaMon/releases/tag/${version}";
+ license = licenses.mit;
+ maintainers = with maintainers; [ erethon ];
+ };
+}
diff --git a/pkgs/by-name/lo/louvre/package.nix b/pkgs/by-name/lo/louvre/package.nix
index a6445fad4347..0435e553d9d4 100644
--- a/pkgs/by-name/lo/louvre/package.nix
+++ b/pkgs/by-name/lo/louvre/package.nix
@@ -22,9 +22,9 @@
}:
stdenv.mkDerivation (self: {
pname = "louvre";
- version = "1.1.0-1";
+ version = "1.2.1-2";
rev = "v${self.version}";
- hash = "sha256-HwvX0ykl2+4MBcIixmEknFtsB0QC4w1QDzQz1589bl0=";
+ hash = "sha256-jHMgn6EwWt9GMT8JvIUtUPbn9o1DZCzxiYC7RnoGZv0=";
src = fetchFromGitHub {
inherit (self) rev hash;
diff --git a/pkgs/games/lgames/lpairs2/default.nix b/pkgs/by-name/lp/lpairs2/package.nix
similarity index 64%
rename from pkgs/games/lgames/lpairs2/default.nix
rename to pkgs/by-name/lp/lpairs2/package.nix
index 7993fd0657da..a7ecd6c0f3c9 100644
--- a/pkgs/games/lgames/lpairs2/default.nix
+++ b/pkgs/by-name/lp/lpairs2/package.nix
@@ -1,19 +1,19 @@
{ lib
-, stdenv
-, fetchurl
, SDL2
, SDL2_image
, SDL2_mixer
, SDL2_ttf
, directoryListingUpdater
+, fetchurl
+, stdenv
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "lpairs2";
version = "2.3";
src = fetchurl {
- url = "mirror://sourceforge/lgames/${pname}-${version}.tar.gz";
+ url = "mirror://sourceforge/lgames/lpairs2-${finalAttrs.version}.tar.gz";
hash = "sha256-gw1BNkcztyTuoXRdx5+TBZNJEJNrLCfEUCQ1JzROogA=";
};
@@ -25,17 +25,18 @@ stdenv.mkDerivation rec {
];
passthru.updateScript = directoryListingUpdater {
- inherit pname version;
+ inherit (finalAttrs) pname version;
url = "https://lgames.sourceforge.io/LPairs/";
extraRegex = "(?!.*-win(32|64)).*";
};
- meta = with lib; {
- broken = stdenv.isDarwin;
+ meta = {
homepage = "http://lgames.sourceforge.net/LPairs/";
description = "Matching the pairs - a typical Memory Game";
- license = licenses.gpl2Plus;
- maintainers = with maintainers; [ AndersonTorres ];
- platforms = platforms.unix;
+ license = with lib.licenses; [ gpl2Plus ];
+ mainProgram = "lpairs2";
+ maintainers = with lib.maintainers; [ AndersonTorres ];
+ platforms = lib.platforms.unix;
+ broken = stdenv.isDarwin;
};
-}
+})
diff --git a/pkgs/by-name/lp/lprint/package.nix b/pkgs/by-name/lp/lprint/package.nix
new file mode 100644
index 000000000000..26167ccb0d6c
--- /dev/null
+++ b/pkgs/by-name/lp/lprint/package.nix
@@ -0,0 +1,44 @@
+{ lib, stdenv, fetchFromGitHub
+, pappl
+, cups
+, pkg-config
+}:
+
+stdenv.mkDerivation rec {
+ pname = "lprint";
+ version = "1.3.1";
+
+ src = fetchFromGitHub {
+ owner = "michaelrsweet";
+ repo = pname;
+ rev = "v${version}";
+ sha256 = "sha256-1OOLGQ8S4oRNSJanX/AzJ+g5F+jYnE/+o+ie5ucY22U=";
+ };
+
+ outputs = [ "out" "dev" ];
+
+ nativeBuildInputs = [
+ pkg-config
+ ];
+
+ buildInputs = [
+ pappl
+ cups
+ ];
+
+ doInstallCheck = true;
+ installCheckPhase = ''
+ $out/bin/lprint --help
+ '';
+
+ enableParallelBuilding = true;
+
+ meta = with lib; {
+ description = "LPrint implements printing for a variety of common label and receipt printers connected via network or USB.";
+ mainProgram = "lprint";
+ homepage = "https://github.com/michaelrsweet/lprint";
+ license = licenses.asl20;
+ platforms = platforms.linux;
+ maintainers = with maintainers; [ ChlorideCull ];
+ };
+}
diff --git a/pkgs/applications/misc/lsd2dsl/default.nix b/pkgs/by-name/ls/lsd2dsl/package.nix
similarity index 55%
rename from pkgs/applications/misc/lsd2dsl/default.nix
rename to pkgs/by-name/ls/lsd2dsl/package.nix
index b46c6ea2afa3..4ab26b40a7c2 100644
--- a/pkgs/applications/misc/lsd2dsl/default.nix
+++ b/pkgs/by-name/ls/lsd2dsl/package.nix
@@ -1,23 +1,40 @@
-{ lib, stdenv, mkDerivation, fetchFromGitHub
+{ lib, stdenv, fetchFromGitHub
, makeDesktopItem, copyDesktopItems, cmake
-, boost, libvorbis, libsndfile, minizip, gtest, qtwebkit }:
+, boost, cups, fmt, libvorbis, libsndfile, minizip, gtest, qt6 }:
-mkDerivation rec {
+stdenv.mkDerivation rec {
pname = "lsd2dsl";
- version = "0.5.4";
+ version = "0.6.0";
src = fetchFromGitHub {
owner = "nongeneric";
- repo = pname;
+ repo = "lsd2dsl";
rev = "v${version}";
- sha256 = "sha256-PLgfsVVrNBTxI4J0ukEOFRoBkbmB55/sLNn5KyiHeAc=";
+ hash = "sha256-0UsxDNpuWpBrfjh4q3JhZnOyXhHatSa3t/cApiG2JzM=";
};
- nativeBuildInputs = [ cmake ] ++ lib.optional stdenv.isLinux copyDesktopItems;
+ postPatch = ''
+ substituteInPlace CMakeLists.txt --replace "-Werror" ""
+ '';
- buildInputs = [ boost libvorbis libsndfile minizip gtest qtwebkit ];
+ nativeBuildInputs = [
+ cmake
+ qt6.wrapQtAppsHook
+ ] ++ lib.optional stdenv.isLinux copyDesktopItems;
- env.NIX_CFLAGS_COMPILE = "-Wno-error=unused-result -Wno-error=missing-braces";
+ buildInputs = [
+ boost
+ cups
+ fmt
+ libvorbis
+ libsndfile
+ minizip
+ gtest
+ qt6.qt5compat
+ qt6.qtwebengine
+ ];
+
+ env.NIX_CFLAGS_COMPILE = lib.optionalString stdenv.cc.isClang "-Wno-int-conversion";
desktopItems = lib.singleton (makeDesktopItem {
name = "lsd2dsl";
diff --git a/pkgs/games/lgames/ltris/default.nix b/pkgs/by-name/lt/ltris/package.nix
similarity index 55%
rename from pkgs/games/lgames/ltris/default.nix
rename to pkgs/by-name/lt/ltris/package.nix
index 8cf581b376bf..82137b2fbec6 100644
--- a/pkgs/games/lgames/ltris/default.nix
+++ b/pkgs/by-name/lt/ltris/package.nix
@@ -1,18 +1,18 @@
{ lib
-, stdenv
-, fetchurl
, SDL
, SDL_mixer
, directoryListingUpdater
+, fetchurl
+, stdenv
}:
-stdenv.mkDerivation rec {
- pname = "ltris";
- version = "1.2.7";
+stdenv.mkDerivation (finalAttrs: {
+ pname = "lgames-ltris";
+ version = "1.2.8";
src = fetchurl {
- url = "mirror://sourceforge/lgames/${pname}-${version}.tar.gz";
- hash = "sha256-EpHGpkLQa57hU6wKLnhVosmD6DnGGPGilN8E2ClSXLA=";
+ url = "mirror://sourceforge/lgames/ltris-${finalAttrs.version}.tar.gz";
+ hash = "sha256-2e5haaU2pqkBk82qiF/3HQgSBVPHP09UwW+TQqpGUqA=";
};
buildInputs = [
@@ -23,17 +23,18 @@ stdenv.mkDerivation rec {
hardeningDisable = [ "format" ];
passthru.updateScript = directoryListingUpdater {
- inherit pname version;
+ inherit (finalAttrs) pname version;
url = "https://lgames.sourceforge.io/LTris/";
extraRegex = "(?!.*-win(32|64)).*";
};
- meta = with lib; {
+ meta = {
homepage = "https://lgames.sourceforge.io/LTris/";
description = "Tetris clone from the LGames series";
- license = licenses.gpl2Plus;
- maintainers = with maintainers; [ AndersonTorres ciil ];
+ license = with lib.licenses; [ gpl2Plus ];
+ mainProgram = "ltris";
+ maintainers = with lib.maintainers; [ AndersonTorres ];
inherit (SDL.meta) platforms;
broken = stdenv.isDarwin;
};
-}
+})
diff --git a/pkgs/by-name/lu/lubelogger/deps.nix b/pkgs/by-name/lu/lubelogger/deps.nix
new file mode 100644
index 000000000000..beb83e87aeae
--- /dev/null
+++ b/pkgs/by-name/lu/lubelogger/deps.nix
@@ -0,0 +1,15 @@
+# This file was automatically generated by passthru.fetch-deps.
+# Please dont edit it manually, your changes might get overwritten!
+
+{ fetchNuGet }: [
+ (fetchNuGet { pname = "CsvHelper"; version = "30.0.1"; sha256 = "0v01s672zcrd3fjwzh14dihbal3apzyg3dc80k05a90ljk8yh9wl"; })
+ (fetchNuGet { pname = "LiteDB"; version = "5.0.17"; sha256 = "126h0g850nc6dqvhwivfjsgb8lydsg250pcsvbzkasda2z5m9mln"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.DependencyInjection.Abstractions"; version = "8.0.0"; sha256 = "1zw0bpp5742jzx03wvqc8csnvsbgdqi0ls9jfc5i2vd3cl8b74pg"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.Logging.Abstractions"; version = "8.0.0"; sha256 = "1klcqhg3hk55hb6vmjiq2wgqidsl81aldw0li2z98lrwx26msrr6"; })
+ (fetchNuGet { pname = "Microsoft.IdentityModel.Abstractions"; version = "7.3.1"; sha256 = "0dvknvmnzi3jn2kz0fbcb3ilryd75dwyiwqn6cwc6nd5gdz4mdlm"; })
+ (fetchNuGet { pname = "Microsoft.IdentityModel.JsonWebTokens"; version = "7.3.1"; sha256 = "03pd6b35a5j1qxmcf9552rp43fwddn1z3czyb6wlf1w1f95b5fqb"; })
+ (fetchNuGet { pname = "Microsoft.IdentityModel.Logging"; version = "7.3.1"; sha256 = "0368p59kf6r9dyk511phl65wvk76n8885d2m9812fpj0ifqcdqg8"; })
+ (fetchNuGet { pname = "Microsoft.IdentityModel.Tokens"; version = "7.3.1"; sha256 = "0i8mqrvzx9xi9pg1c92iy6cr756nnc3lr5cdawj0yh1x919wvx59"; })
+ (fetchNuGet { pname = "Npgsql"; version = "8.0.2"; sha256 = "0w1hm3bjh1vfnkzflp1x8bd4d723mpr4y6gb6ga79v5kkf09cmm2"; })
+ (fetchNuGet { pname = "System.IdentityModel.Tokens.Jwt"; version = "7.3.1"; sha256 = "095vn30apzwhqf70541617276whp19a92ncfppbknjj97dlb8bja"; })
+]
diff --git a/pkgs/by-name/lu/lubelogger/package.nix b/pkgs/by-name/lu/lubelogger/package.nix
new file mode 100644
index 000000000000..1b8501fc1129
--- /dev/null
+++ b/pkgs/by-name/lu/lubelogger/package.nix
@@ -0,0 +1,44 @@
+{ lib
+, buildDotnetModule
+, dotnetCorePackages
+, fetchFromGitHub
+}:
+
+buildDotnetModule rec {
+ pname = "lubelogger";
+ version = "1.2.8";
+
+ src = fetchFromGitHub {
+ owner = "hargata";
+ repo = "lubelog";
+ rev = "v${version}";
+ hash = "sha256-22I4OJZLeFLstGZF1fY/s8Y5tPgGJpJR/sPZpkHvUmY=";
+ };
+
+ projectFile = "CarCareTracker.sln";
+ nugetDeps = ./deps.nix; # File generated with `nix-build -A package.passthru.fetch-deps`.
+
+ dotnet-sdk = dotnetCorePackages.sdk_8_0;
+ dotnet-runtime = dotnetCorePackages.aspnetcore_8_0;
+
+ makeWrapperArgs = [
+ "--set DOTNET_CONTENTROOT ${placeholder "out"}/lib/lubelogger"
+ ];
+
+ executables = [ "CarCareTracker" ]; # This wraps "$out/lib/$pname/foo" to `$out/bin/foo`.
+
+ meta = with lib; {
+ description = "A vehicle service records and maintainence tracker";
+ longDescription = ''
+ A self-hosted, open-source, unconventionally-named vehicle maintenance records and fuel mileage tracker.
+
+ LubeLogger by Hargata Softworks is licensed under the MIT License for individual and personal use. Commercial users and/or corporate entities are required to maintain an active subscription in order to continue using LubeLogger.
+ '';
+ homepage = "https://lubelogger.com";
+ changelog = "https://github.com/hargata/lubelog/releases/tag/v${version}";
+ license = licenses.unfree;
+ maintainers = with maintainers; [ samasaur ];
+ mainProgram = "CarCareTracker";
+ platforms = platforms.all;
+ };
+}
diff --git a/pkgs/by-name/lu/ludtwig/package.nix b/pkgs/by-name/lu/ludtwig/package.nix
index 31e80c5d19dc..18145c63a676 100644
--- a/pkgs/by-name/lu/ludtwig/package.nix
+++ b/pkgs/by-name/lu/ludtwig/package.nix
@@ -2,23 +2,24 @@
rustPlatform.buildRustPackage rec {
pname = "ludtwig";
- version = "0.8.0";
+ version = "0.8.3";
src = fetchFromGitHub {
owner = "MalteJanz";
repo = pname;
rev = "v${version}";
- hash = "sha256-WF3tEf3SuXiH35Ny4RGLzvEW7yMsFcnVTX52e5qvK5g=";
+ hash = "sha256-nkyi6X9W92yLaSPCg3zk0z/Pwue6dGK09iCDmWliFeg=";
};
checkType = "debug";
- cargoHash = "sha256-AbT8Jv6v7EVPX5mIplKaBkGrVonA8YWlMvo46coFMzk=";
+ cargoHash = "sha256-CZOdxrQ/50xznc8cfvi+6QFmMpPOS1st+yVPtAkZ3/A=";
meta = with lib; {
- description = "Linter / Formatter for Twig template files which respects HTML and your time.";
+ description = "Linter / Formatter for Twig template files which respects HTML and your time";
homepage = "https://github.com/MalteJanz/ludtwig";
license = licenses.mit;
- maintainers = with maintainers; [ shyim ];
+ maintainers = with maintainers; [ shyim maltejanz ];
+ mainProgram = "ludtwig";
};
}
diff --git a/pkgs/by-name/lu/lunacy/package.nix b/pkgs/by-name/lu/lunacy/package.nix
new file mode 100644
index 000000000000..feb03529d133
--- /dev/null
+++ b/pkgs/by-name/lu/lunacy/package.nix
@@ -0,0 +1,114 @@
+{ stdenv
+, lib
+, fetchurl
+, dpkg
+, autoPatchelfHook
+, zlib
+, libgcc
+, fontconfig
+, libX11
+, lttng-ust
+, icu
+, libICE
+, libSM
+, libXcursor
+, openssl
+, imagemagick
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "lunacy";
+ version = "9.5.0";
+
+ src = fetchurl {
+ url = "https://lcdn.icons8.com/setup/Lunacy_${finalAttrs.version}.deb";
+ hash = "sha256-dG2xLoqRQJsaR7v00iN46GP4jB8WVrxayn2CSQLCUlQ=";
+ };
+
+ unpackCmd = ''
+ mkdir -p root
+ dpkg-deb -x $src root
+ '';
+
+ buildInputs = [
+ zlib
+ libgcc
+ stdenv.cc.cc
+ lttng-ust
+ fontconfig.lib
+
+ # Runtime deps
+ libICE
+ libSM
+ libX11
+ libXcursor
+ ];
+
+ nativeBuildInputs = [
+ dpkg
+ autoPatchelfHook
+ ];
+
+ # adds to the RPATHS of all shared objects (exe and libs)
+ appendRunpaths = map (pkg: (lib.getLib pkg) + "/lib") [
+ icu
+ openssl
+ stdenv.cc.libc
+ stdenv.cc.cc
+ ] ++ [
+ # technically, this should be in runtimeDependencies but will not work as
+ # "lib" is appended to all elements in the array
+ "${placeholder "out"}/lib/lunacy"
+ ];
+
+ # will add to the RPATH of executable only
+ runtimeDependencies = [
+ libICE
+ libSM
+ libX11
+ libXcursor
+ ];
+
+ dontBuild = true;
+ dontStrip = true;
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p "$out/lib";
+ cp -R "opt/icons8/lunacy" "$out/lib"
+ cp -R "usr/share" "$out/share"
+
+ # Prepare the desktop icon, the upstream icon is 200x200 but the hicolor theme does not
+ # support this resolution. Nearest sizes are 192x192 and 256x256.
+ ${imagemagick}/bin/convert "opt/icons8/lunacy/Assets/LunacyLogo.png" -resize 192x192 lunacy.png
+ install -D lunacy.png "$out/share/icons/hicolor/192x192/apps/${finalAttrs.pname}.png"
+
+ runHook postInstall
+ '';
+
+ postInstall = ''
+ substituteInPlace $out/share/applications/lunacy.desktop \
+ --replace "Exec=/opt/icons8/lunacy/Lunacy" "Exec=${finalAttrs.pname}" \
+ --replace "Icon=/opt/icons8/lunacy/Assets/LunacyLogo.png" "Icon=${finalAttrs.pname}"
+ '';
+
+ postFixup = ''
+ mkdir $out/bin
+
+ # Fixes runtime error regarding missing libSkiaSharp.so (which is in the same directory as the binary).
+ ln -s "$out/lib/lunacy/Lunacy" "$out/bin/${finalAttrs.pname}"
+ '';
+
+ meta = with lib; {
+ description = "Free design software that keeps your flow with AI tools and built-in graphics";
+ homepage = "https://icons8.com/lunacy";
+ changelog = "https://lunacy.docs.icons8.com/release-notes/";
+ license = licenses.unfree;
+ maintainers = [ maintainers.eliandoran ];
+ platforms = platforms.linux;
+ sourceProvenance = [ sourceTypes.binaryBytecode ];
+ mainProgram = "lunacy";
+ };
+
+})
diff --git a/pkgs/by-name/lu/lunar-client/package.nix b/pkgs/by-name/lu/lunar-client/package.nix
index c01e74dd9a80..3b8102c2d9ee 100644
--- a/pkgs/by-name/lu/lunar-client/package.nix
+++ b/pkgs/by-name/lu/lunar-client/package.nix
@@ -6,11 +6,11 @@
appimageTools.wrapType2 rec {
pname = "lunar-client";
- version = "3.2.1";
+ version = "3.2.3";
src = fetchurl {
url = "https://launcherupdates.lunarclientcdn.com/Lunar%20Client-${version}.AppImage";
- hash = "sha512-ZW+SFIZ5+xxgesaZ7ZQbUnv7H5U92SZdfAU7GhJR1H0mhkrIb5Go6GWrIXaWYZLrmOlD98LSLihYi7SemJp+Yg==";
+ hash = "sha512-2zuVURKDw+Z/8I1AO8G5KPVOlPIZC/Mbt9jK5gn9CV1zmRiWKL+m1/Bw9/h7fanBdm0fhfLklplmlTTabPm7dg==";
};
extraInstallCommands =
diff --git a/pkgs/by-name/lu/lutgen/package.nix b/pkgs/by-name/lu/lutgen/package.nix
index c74896b88ac8..78779051349e 100644
--- a/pkgs/by-name/lu/lutgen/package.nix
+++ b/pkgs/by-name/lu/lutgen/package.nix
@@ -7,16 +7,16 @@
rustPlatform.buildRustPackage rec {
pname = "lutgen";
- version = "0.9.0";
+ version = "0.10.0";
src = fetchFromGitHub {
owner = "ozwaldorf";
repo = "lutgen-rs";
rev = "v${version}";
- hash = "sha256-tKSPk0V11pnKFV4E08H4CUnjw9nAonTRI6W3mGipd9I=";
+ hash = "sha256-O2995+DLiCRDM/+oPTOBiM0L1x0jmbLTlR48+5IfOQw=";
};
- cargoHash = "sha256-DiorrgTH9lIdmaZL7451uCXj9X7M6eHf4MQc85MpU7s=";
+ cargoHash = "sha256-ys4c/YUJJikDEUJjzagZBB+kSy+EFf+PqQdK/h+3gWU=";
nativeBuildInputs = [
installShellFiles
diff --git a/pkgs/by-name/lz/lzsa/package.nix b/pkgs/by-name/lz/lzsa/package.nix
index e0ddc158706e..a8b1b5e05f66 100644
--- a/pkgs/by-name/lz/lzsa/package.nix
+++ b/pkgs/by-name/lz/lzsa/package.nix
@@ -27,6 +27,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
homepage = "https://github.com/emmanuel-marty/lzsa";
description = "Byte-aligned, efficient lossless packer that is optimized for fast decompression on 8-bit micros";
+ mainProgram = "lzsa";
license = with lib.licenses; [ cc0 ];
maintainers = with lib.maintainers; [ AndersonTorres ];
platforms = lib.platforms.unix;
diff --git a/pkgs/by-name/ma/magicrescue/package.nix b/pkgs/by-name/ma/magicrescue/package.nix
new file mode 100644
index 000000000000..47aee9621ed8
--- /dev/null
+++ b/pkgs/by-name/ma/magicrescue/package.nix
@@ -0,0 +1,41 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, fetchpatch
+, gdbm # ndbm.h for dupemap binary
+, perl
+}:
+
+stdenv.mkDerivation {
+ pname = "magicrescue";
+ version = "1.1.10-unstable-2021-09-12";
+
+ src = fetchFromGitHub {
+ owner = "jbj";
+ repo = "magicrescue";
+ rev = "d9a57931d437674009bfd2f98451b3d71058eade";
+ hash = "sha256-jVBzsa39TQjeDZ4zuXn3UA+4WectjNRwPNb1AkLuIbg=";
+ };
+
+ patches = [
+ # Add PERL as processor for file.
+ (fetchpatch {
+ url = "https://salsa.debian.org/pkg-security-team/magicrescue/-/raw/6331d088a159ae21ad4ab5f18b9bf892ebe18ce3/debian/patches/020_add-Perl-preprocessor.patch";
+ hash = "sha256-XX3Rlv/qKB2y/csuaPiliv4cu9KKHNpG/E88VSVP0sg=";
+ })
+ ];
+
+ buildInputs = [
+ gdbm
+ perl
+ ];
+
+ meta = with lib; {
+ description = "Find and recover deleted files on block devices";
+ mainProgram = "magicrescue";
+ homepage = "https://github.com/jbj/magicrescue";
+ maintainers = with maintainers; [ d3vil0p3r ];
+ platforms = platforms.unix;
+ license = licenses.gpl2Plus;
+ };
+}
diff --git a/pkgs/by-name/ma/maloader/package.nix b/pkgs/by-name/ma/maloader/package.nix
new file mode 100644
index 000000000000..bb0f744f37b6
--- /dev/null
+++ b/pkgs/by-name/ma/maloader/package.nix
@@ -0,0 +1,63 @@
+{
+ lib,
+ llvmPackages,
+ fetchFromGitHub,
+ opencflite,
+ libuuid,
+ zlib,
+}:
+
+let
+ stdenv = llvmPackages.libcxxStdenv;
+in
+stdenv.mkDerivation {
+ pname = "maloader";
+ version = "0-unstable-2018-05-02";
+
+ src = fetchFromGitHub {
+ owner = "shinh";
+ repo = "maloader";
+ rev = "464a90fdfd06a54c9da5d1a3725ed6229c0d3d60";
+ hash = "sha256-0N3+tr8XUsn3WhJNsPVknumBrfMgDawTEXVRkIs/IV8=";
+ };
+
+ postPatch = ''
+ substituteInPlace ld-mac.cc \
+ --replace-fail 'loadLibMac(mypath)' 'loadLibMac("${placeholder "out"}/lib/")' \
+ --replace-fail 'libCoreFoundation.so' '${opencflite}/lib/libCoreFoundation.so'
+ substituteInPlace libmac/stack_protector-obsd.c \
+ --replace-fail 'sys/sysctl.h' 'linux/sysctl.h'
+ '';
+
+ buildInputs = [
+ libuuid
+ zlib
+ ];
+
+ buildFlags = [
+ "USE_LIBCXX=1"
+ "release"
+ ];
+
+ env.NIX_CFLAGS_COMPILE = "-Wno-error=unused-command-line-argument";
+
+ installPhase = ''
+ runHook preInstall
+
+ install -vD libmac.so "$out/lib/libmac.so"
+
+ for bin in extract macho2elf ld-mac; do
+ install -vD "$bin" "$out/bin/$bin"
+ done
+
+ runHook postInstall
+ '';
+
+ meta = {
+ description = "Mach-O loader for Linux";
+ homepage = "https://github.com/shinh/maloader";
+ license = lib.licenses.bsd2;
+ maintainers = with lib.maintainers; [ wegank ];
+ inherit (opencflite.meta) platforms;
+ };
+}
diff --git a/pkgs/by-name/ma/marge-bot/package.nix b/pkgs/by-name/ma/marge-bot/package.nix
new file mode 100644
index 000000000000..b564622271d3
--- /dev/null
+++ b/pkgs/by-name/ma/marge-bot/package.nix
@@ -0,0 +1,50 @@
+{ lib
+, python3
+, fetchFromGitLab
+}:
+
+python3.pkgs.buildPythonApplication rec {
+ pname = "marge-bot";
+ version = "0.10.1";
+ pyproject = true;
+
+ src = fetchFromGitLab {
+ owner = "marge-org";
+ repo = "marge-bot";
+ rev = version;
+ hash = "sha256-2L7c/NEKyjscwpyf/5GtWXr7Ig14IQlRR5IbDYxp8jA=";
+ };
+
+ postPatch = ''
+ substituteInPlace setup.cfg --replace "--flake8 --pylint --cov=marge" ""
+ '';
+
+ nativeBuildInputs = [
+ python3.pkgs.setuptools
+ ];
+
+ propagatedBuildInputs = with python3.pkgs; [
+ configargparse
+ maya
+ pyyaml
+ requests
+ ];
+
+ nativeCheckInputs = with python3.pkgs; [ pytestCheckHook ];
+ disabledTests = [
+ # test broken when run under Nix:
+ # "unittest.mock.InvalidSpecError: Cannot spec a Mock object."
+ "test_get_mr_ci_status"
+ ];
+
+ pythonImportsCheck = [ "marge" ];
+
+ meta = with lib; {
+ description = "A merge bot for GitLab";
+ homepage = "https://gitlab.com/marge-org/marge-bot";
+ changelog = "https://gitlab.com/marge-org/marge-bot/-/blob/${src.rev}/CHANGELOG.md";
+ license = licenses.bsd3;
+ maintainers = with maintainers; [ bcdarwin ];
+ mainProgram = "marge.app";
+ };
+}
diff --git a/pkgs/by-name/ma/mariadb-connector-java/package.nix b/pkgs/by-name/ma/mariadb-connector-java/package.nix
index 28d7631e396a..b0a805a717e3 100644
--- a/pkgs/by-name/ma/mariadb-connector-java/package.nix
+++ b/pkgs/by-name/ma/mariadb-connector-java/package.nix
@@ -6,16 +6,16 @@
maven.buildMavenPackage rec {
pname = "mariadb-connector-java";
- version = "3.3.0";
+ version = "3.3.3";
src = fetchFromGitHub {
owner = "mariadb-corporation";
repo = "mariadb-connector-j";
rev = "refs/tags/${version}";
- hash = "sha256-JuMm01ihgVoKpe8wyuUIDyzSxMODRg7dQpTCyVA/K10=";
+ hash = "sha256-fvqVHjLYLO6reIkQ+SQMEXOw88MDZyNV7T8w0uFgnPg=";
};
- mvnHash = "sha256-Px4Qxb1tTvRKZum1xfe0mdX+EyimnyyfzrydiaDaYRo=";
+ mvnHash = "sha256-7O+G5HT6mtp12zWL3Gn12KPVUwp3GMaWGvXX6Sg1+6k=";
# Disable tests because they require networking
mvnParameters = "-DskipTests";
@@ -32,7 +32,7 @@ maven.buildMavenPackage rec {
description = "MariaDB Connector/J is used to connect applications developed in Java to MariaDB and MySQL databases";
homepage = "https://mariadb.com/kb/en/about-mariadb-connector-j/";
changelog = "https://mariadb.com/kb/en/mariadb-connector-j-release-notes/";
- license = licenses.lgpl21;
+ license = licenses.lgpl21Plus;
maintainers = with maintainers; [ anthonyroussel ];
platforms = platforms.all;
};
diff --git a/pkgs/by-name/ma/matrix-hook/package.nix b/pkgs/by-name/ma/matrix-hook/package.nix
index ed9093da9957..c492217f00c3 100644
--- a/pkgs/by-name/ma/matrix-hook/package.nix
+++ b/pkgs/by-name/ma/matrix-hook/package.nix
@@ -16,6 +16,7 @@ buildGoModule rec {
meta = with lib; {
description = "A simple webhook for matrix";
+ mainProgram = "matrix-hook";
homepage = "https://github.com/pinpox/matrix-hook";
license = licenses.gpl3;
maintainers = with maintainers; [ pinpox mic92 zowoq ];
diff --git a/pkgs/by-name/mb/mbpoll/package.nix b/pkgs/by-name/mb/mbpoll/package.nix
new file mode 100644
index 000000000000..eecc8922e472
--- /dev/null
+++ b/pkgs/by-name/mb/mbpoll/package.nix
@@ -0,0 +1,30 @@
+{ lib
+, stdenv
+, cmake
+, pkg-config
+, fetchFromGitHub
+, libmodbus
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "mbpoll";
+ version = "1.5.2";
+
+ src = fetchFromGitHub {
+ owner = "epsilonrt";
+ repo = "mbpoll";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-rO3j/p7MABlxcwRAZm26u7wgODGFTtetSDhPWPzTuEA=";
+ };
+
+ buildInputs = [ libmodbus ];
+ nativeBuildInputs = [ cmake pkg-config ];
+
+ meta = with lib; {
+ description = "Command line utility to communicate with ModBus slave (RTU or TCP)";
+ homepage = "https://epsilonrt.fr";
+ license = licenses.gpl3;
+ mainProgram = "mbpoll";
+ platforms = platforms.linux;
+ };
+})
diff --git a/pkgs/by-name/mc/mcap-cli/package.nix b/pkgs/by-name/mc/mcap-cli/package.nix
index 78f1b3bebc4e..81853d252efa 100644
--- a/pkgs/by-name/mc/mcap-cli/package.nix
+++ b/pkgs/by-name/mc/mcap-cli/package.nix
@@ -1,6 +1,7 @@
-{ lib, buildGoModule, fetchFromGitHub }:
+{ lib, buildGoModule, fetchFromGitHub, nix-update-script
+}:
let
- version = "0.0.38";
+ version = "0.0.42";
in
buildGoModule {
@@ -12,7 +13,7 @@ buildGoModule {
repo = "mcap";
owner = "foxglove";
rev = "releases/mcap-cli/v${version}";
- hash = "sha256-mwKWf0kJ3uMx1cLUac+AqXgQ1Af3tLDOCTFKgq8FtHE=";
+ hash = "sha256-9fjzMUMWn5j8AJJq+tK+Hq0o8d3HpacitJZ5CfLiaLw=";
};
vendorHash = "sha256-Gl0zLBTWscKGtVOS6rPRL/r8KHYHpZwoUDbEyCL4Ijk=";
@@ -34,11 +35,16 @@ buildGoModule {
"-skip=TestCat|TestInfo"
];
+ passthru = {
+ updateScript = nix-update-script { };
+ };
+
meta = with lib; {
description = "MCAP CLI tool to inspect and fix MCAP files";
homepage = "https://github.com/foxglove/mcap";
license = with licenses; [ mit ];
maintainers = with maintainers; [ squalus therishidesai ];
+ mainProgram = "mcap";
};
}
diff --git a/pkgs/by-name/mc/mcomix/package.nix b/pkgs/by-name/mc/mcomix/package.nix
index bf087c94a0d8..170386de3e4c 100644
--- a/pkgs/by-name/mc/mcomix/package.nix
+++ b/pkgs/by-name/mc/mcomix/package.nix
@@ -68,6 +68,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "Comic book reader and image viewer";
+ mainProgram = "mcomix";
longDescription = ''
User-friendly, customizable image viewer, specifically designed to handle
comic books and manga supporting a variety of container formats
diff --git a/pkgs/development/tools/documentation/mdsh/default.nix b/pkgs/by-name/md/mdsh/package.nix
similarity index 73%
rename from pkgs/development/tools/documentation/mdsh/default.nix
rename to pkgs/by-name/md/mdsh/package.nix
index 654721c50ea9..e97b8eee51fe 100644
--- a/pkgs/development/tools/documentation/mdsh/default.nix
+++ b/pkgs/by-name/md/mdsh/package.nix
@@ -2,16 +2,16 @@
rustPlatform.buildRustPackage rec {
pname = "mdsh";
- version = "0.7.0";
+ version = "0.8.0";
src = fetchFromGitHub {
owner = "zimbatm";
repo = "mdsh";
rev = "v${version}";
- hash = "sha256-Y8ss/aw01zpgM6Z6fCGshP21kcdSOTVG/VqL8H3tlls=";
+ hash = "sha256-ammLbKEKXDSuZMr4DwPpcRSkKh7BzNC+4ZRCqTNNCQk=";
};
- cargoSha256 = "sha256-8o4gN6mqUU+o80IqlAYAD5qpZBSQ/FY5HoNbpwzTm0A=";
+ cargoHash = "sha256-wLHMccxk3ceZyGK27t5Kyal48yj9dQNgmEHjH9hR9Pc=";
meta = with lib; {
description = "Markdown shell pre-processor";
diff --git a/pkgs/by-name/me/mealie/mealie-frontend.nix b/pkgs/by-name/me/mealie/mealie-frontend.nix
new file mode 100644
index 000000000000..5a7b677802ee
--- /dev/null
+++ b/pkgs/by-name/me/mealie/mealie-frontend.nix
@@ -0,0 +1,51 @@
+src: version:
+{ lib, fetchYarnDeps, nodejs_18, prefetch-yarn-deps, stdenv }: stdenv.mkDerivation {
+ name = "mealie-frontend";
+ inherit version;
+ src = "${src}/frontend";
+
+ yarnOfflineCache = fetchYarnDeps {
+ yarnLock = "${src}/frontend/yarn.lock";
+ hash = "sha256-zQUD/PQWzp2Q6fiVmLicvSusXffu6s9q3x/aAUnCN38=";
+ };
+
+ nativeBuildInputs = [
+ prefetch-yarn-deps
+ nodejs_18
+ nodejs_18.pkgs.yarn
+ ];
+
+ configurePhase = ''
+ runHook preConfigure
+
+ export HOME=$(mktemp -d)
+ yarn config --offline set yarn-offline-mirror "$yarnOfflineCache"
+ fixup-yarn-lock yarn.lock
+ yarn install --frozen-lockfile --offline --no-progress --non-interactive
+ patchShebangs node_modules/
+
+ runHook postConfigure
+ '';
+
+ buildPhase = ''
+ runHook preBuild
+
+ export NUXT_TELEMETRY_DISABLED=1
+ yarn --offline build
+ yarn --offline generate
+
+ runHook postBuild
+ '';
+
+ installPhase = ''
+ runHook preInstall
+ mv dist $out
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ description = "Frontend for Mealie";
+ license = licenses.agpl3Only;
+ maintainers = with maintainers; [ litchipi ];
+ };
+}
diff --git a/pkgs/by-name/me/mealie/mealie-logs-to-stdout.patch b/pkgs/by-name/me/mealie/mealie-logs-to-stdout.patch
new file mode 100644
index 000000000000..521c5cebcf74
--- /dev/null
+++ b/pkgs/by-name/me/mealie/mealie-logs-to-stdout.patch
@@ -0,0 +1,103 @@
+diff --git a/mealie/core/root_logger.py b/mealie/core/root_logger.py
+index 29db504f..3da5ef84 100644
+--- a/mealie/core/root_logger.py
++++ b/mealie/core/root_logger.py
+@@ -9,7 +9,6 @@ DATA_DIR = determine_data_dir()
+
+ from .config import get_app_settings # noqa E402
+
+-LOGGER_FILE = DATA_DIR.joinpath("mealie.log")
+ DATE_FORMAT = "%d-%b-%y %H:%M:%S"
+ LOGGER_FORMAT = "%(levelname)s: %(asctime)s \t%(message)s"
+
+@@ -40,19 +39,17 @@ def get_logger_config():
+ level=log_level,
+ )
+
+- output_file_handler = logging.FileHandler(LOGGER_FILE)
+ handler_format = logging.Formatter(LOGGER_FORMAT, datefmt=DATE_FORMAT)
+- output_file_handler.setFormatter(handler_format)
+
+ # Stdout
+ stdout_handler = logging.StreamHandler(sys.stdout)
+ stdout_handler.setFormatter(handler_format)
+
+ return LoggerConfig(
+- handlers=[output_file_handler, stdout_handler],
++ handlers=[stdout_handler],
+ format="%(levelname)s: %(asctime)s \t%(message)s",
+ date_format="%d-%b-%y %H:%M:%S",
+- logger_file=LOGGER_FILE,
++ logger_file=None,
+ level=log_level,
+ )
+
+diff --git a/mealie/routes/admin/admin_log.py b/mealie/routes/admin/admin_log.py
+index ac12c12e..0de98b99 100644
+--- a/mealie/routes/admin/admin_log.py
++++ b/mealie/routes/admin/admin_log.py
+@@ -1,6 +1,5 @@
+ from fastapi import APIRouter
+
+-from mealie.core.root_logger import LOGGER_FILE
+ from mealie.core.security import create_file_token
+
+ router = APIRouter(prefix="/logs")
+@@ -9,15 +8,13 @@ router = APIRouter(prefix="/logs")
+ @router.get("/{num}")
+ async def get_log(num: int):
+ """Doc Str"""
+- with open(LOGGER_FILE, "rb") as f:
+- log_text = tail(f, num)
+- return log_text
++ return ""
+
+
+ @router.get("")
+ async def get_log_file():
+ """Returns a token to download a file"""
+- return {"fileToken": create_file_token(LOGGER_FILE)}
++ return {"fileToken": create_file_token("nofile")}
+
+
+ def tail(f, lines=20):
+diff --git a/mealie/routes/admin/admin_maintenance.py b/mealie/routes/admin/admin_maintenance.py
+index 23ef8369..322b580f 100644
+--- a/mealie/routes/admin/admin_maintenance.py
++++ b/mealie/routes/admin/admin_maintenance.py
+@@ -6,7 +6,6 @@ from pathlib import Path
+
+ from fastapi import APIRouter, HTTPException
+
+-from mealie.core.root_logger import LOGGER_FILE
+ from mealie.pkgs.stats import fs_stats
+ from mealie.routes._base import BaseAdminController, controller
+ from mealie.schema.admin import MaintenanceSummary
+@@ -73,8 +72,6 @@ class AdminMaintenanceController(BaseAdminController):
+ Get the maintenance summary
+ """
+ log_file_size = 0
+- with contextlib.suppress(FileNotFoundError):
+- log_file_size = os.path.getsize(LOGGER_FILE)
+
+ return MaintenanceSummary(
+ data_dir_size=fs_stats.pretty_size(fs_stats.get_dir_size(self.folders.DATA_DIR)),
+@@ -85,7 +82,7 @@ class AdminMaintenanceController(BaseAdminController):
+
+ @router.get("/logs", response_model=MaintenanceLogs)
+ def get_logs(self, lines: int = 200):
+- return MaintenanceLogs(logs=tail_log(LOGGER_FILE, lines))
++ return MaintenanceLogs(logs="")
+
+ @router.get("/storage", response_model=MaintenanceStorageDetails)
+ def get_storage_details(self):
+@@ -137,9 +134,6 @@ class AdminMaintenanceController(BaseAdminController):
+ Purges the logs
+ """
+ try:
+- with contextlib.suppress(FileNotFoundError):
+- os.remove(LOGGER_FILE)
+- LOGGER_FILE.touch()
+ return SuccessResponse.respond("Logs cleaned")
+ except Exception as e:
+ raise HTTPException(status_code=500, detail=ErrorResponse.respond("Failed to clean logs")) from e
diff --git a/pkgs/by-name/me/mealie/package.nix b/pkgs/by-name/me/mealie/package.nix
new file mode 100644
index 000000000000..2d1512f67ebc
--- /dev/null
+++ b/pkgs/by-name/me/mealie/package.nix
@@ -0,0 +1,166 @@
+{ lib
+, callPackage
+, fetchFromGitHub
+, fetchpatch
+, makeWrapper
+, nixosTests
+, python3Packages
+, stdenv
+, writeShellScript
+}:
+
+let
+ version = "1.2.0";
+ src = fetchFromGitHub {
+ owner = "mealie-recipes";
+ repo = "mealie";
+ rev = "v${version}";
+ sha256 = "sha256-Kc49XDWcZLeJaYgiAO2/mHeVSOLMeiPr3U32e0IYfdU=";
+ };
+
+ frontend = callPackage (import ./mealie-frontend.nix src version) { };
+
+ pythonpkgs = python3Packages.override {
+ overrides = self: super: {
+ pydantic = python3Packages.pydantic_1;
+ };
+ };
+ python = pythonpkgs.python;
+
+ crfpp = stdenv.mkDerivation {
+ pname = "mealie-crfpp";
+ version = "unstable-2024-02-12";
+ src = fetchFromGitHub {
+ owner = "mealie-recipes";
+ repo = "crfpp";
+ rev = "c56dd9f29469c8a9f34456b8c0d6ae0476110516";
+ hash = "sha256-XNps3ZApU8m07bfPEnvip1w+3hLajdn9+L5+IpEaP0c=";
+ };
+ };
+
+ mealie_patch = { name, commit, hash }: fetchpatch {
+ inherit name hash;
+ url = "https://github.com/mealie-recipes/mealie/commit/${commit}.patch";
+ };
+
+in pythonpkgs.buildPythonPackage rec {
+ pname = "mealie";
+ inherit version src;
+ pyproject = true;
+
+ patches = [
+ # See https://github.com/mealie-recipes/mealie/pull/3102
+ # Replace hardcoded paths in code with environment variables (meant for inside Docker only)
+ # So we can configure easily where the data is stored on the server
+ (mealie_patch {
+ name = "model-path.patch";
+ commit = "e445705c5d26b895d806b96b2f330d4e9aac3723";
+ hash = "sha256-cf0MwvT81lNBTjvag8UUEbXkBu8Jyi/LFwUcs4lBVcY=";
+ })
+ (mealie_patch {
+ name = "alembic-cfg-path.patch";
+ commit = "06c528bfac0708af66aa0629f2e2232ddf07768f";
+ hash = "sha256-IOgdZK7dmWeX2ox16J9v+bOS7nHgCMvCJy6RNJLj0p8=";
+ })
+ ./mealie-logs-to-stdout.patch
+ ];
+
+ nativeBuildInputs = [
+ pythonpkgs.poetry-core
+ pythonpkgs.pythonRelaxDepsHook
+ makeWrapper
+ ];
+
+ dontWrapPythonPrograms = true;
+
+ doCheck = false;
+ pythonRelaxDeps = true;
+
+ propagatedBuildInputs = with pythonpkgs; [
+ aiofiles
+ alembic
+ aniso8601
+ appdirs
+ apprise
+ bcrypt
+ extruct
+ fastapi
+ gunicorn
+ html2text
+ httpx
+ jinja2
+ lxml
+ orjson
+ paho-mqtt
+ passlib
+ pillow
+ psycopg2
+ pyhumps
+ pytesseract
+ python-dotenv
+ python-jose
+ python-ldap
+ python-multipart
+ python-slugify
+ pyyaml
+ rapidfuzz
+ recipe-scrapers
+ sqlalchemy
+ tzdata
+ uvicorn
+ ];
+
+ postPatch = ''
+ substituteInPlace mealie/__init__.py \
+ --replace-fail '__version__ = ' '__version__ = "${version}" #'
+ '';
+
+ postInstall = let
+ start_script = writeShellScript "start-mealie" ''
+ ${lib.getExe pythonpkgs.gunicorn} "$@" -k uvicorn.workers.UvicornWorker mealie.app:app;
+ '';
+ init_db = writeShellScript "init-mealie-db" ''
+ ${python.interpreter} $OUT/${python.sitePackages}/mealie/scripts/install_model.py
+ ${python.interpreter} $OUT/${python.sitePackages}/mealie/db/init_db.py
+ '';
+ in ''
+ mkdir -p $out/config $out/bin $out/libexec
+ rm -f $out/bin/*
+
+ substitute ${src}/alembic.ini $out/config/alembic.ini \
+ --replace-fail 'script_location = alembic' 'script_location = ${src}/alembic'
+
+ makeWrapper ${start_script} $out/bin/mealie \
+ --set PYTHONPATH "$out/${python.sitePackages}:${python.pkgs.makePythonPath propagatedBuildInputs}" \
+ --set LD_LIBRARY_PATH "${crfpp}/lib" \
+ --set STATIC_FILES "${frontend}" \
+ --set PATH "${lib.makeBinPath [ crfpp ]}"
+
+ makeWrapper ${init_db} $out/libexec/init_db \
+ --set PYTHONPATH "$out/${python.sitePackages}:${python.pkgs.makePythonPath propagatedBuildInputs}" \
+ --set OUT "$out"
+ '';
+
+ checkInputs = with python.pkgs; [
+ pytestCheckHook
+ ];
+
+ passthru.tests = {
+ inherit (nixosTests) mealie;
+ };
+
+ meta = with lib; {
+ description = "A self hosted recipe manager and meal planner";
+ longDescription = ''
+ Mealie is a self hosted recipe manager and meal planner with a REST API and a reactive frontend
+ application built in NuxtJS for a pleasant user experience for the whole family. Easily add recipes into your
+ database by providing the URL and Mealie will automatically import the relevant data or add a family recipe with
+ the UI editor.
+ '';
+ homepage = "https://mealie.io";
+ changelog = "https://github.com/mealie-recipes/mealie/releases/tag/${src.rev}";
+ license = licenses.agpl3Only;
+ maintainers = with maintainers; [ litchipi ];
+ mainProgram = "mealie";
+ };
+}
diff --git a/pkgs/by-name/me/med/package.nix b/pkgs/by-name/me/med/package.nix
new file mode 100644
index 000000000000..5edc2e3201bd
--- /dev/null
+++ b/pkgs/by-name/me/med/package.nix
@@ -0,0 +1,30 @@
+{ stdenv, lib, qt6, fetchFromGitHub, cmake, pkg-config, jsoncpp, readline }:
+
+stdenv.mkDerivation rec {
+ pname = "med";
+ version = "3.10.1";
+
+ src = fetchFromGitHub {
+ owner = "allencch";
+ repo = "med";
+ rev = version;
+ sha256 = "sha256-m2lVRSNaklB0Xfqgtyc0lNWXfTD8wTWsE06eGv4FOBE=";
+ };
+
+ nativeBuildInputs = [ qt6.wrapQtAppsHook cmake pkg-config ];
+ buildInputs = [ qt6.qtbase qt6.qttools qt6.qtwayland jsoncpp readline ];
+
+ postPatch = ''
+ find . -type f -exec sed -i "s|/opt/med|$out/share/med|g" {} +
+ '';
+
+ meta = with lib; {
+ description = "GUI game memory scanner and editor";
+ homepage = "https://github.com/allencch/med";
+ changelog = "https://github.com/allencch/med/releases/tag/${version}";
+ maintainers = with maintainers; [ zebreus ];
+ platforms = platforms.linux;
+ license = licenses.bsd3;
+ mainProgram = "med";
+ };
+}
diff --git a/pkgs/applications/graphics/megapixels/default.nix b/pkgs/by-name/me/megapixels/package.nix
similarity index 69%
rename from pkgs/applications/graphics/megapixels/default.nix
rename to pkgs/by-name/me/megapixels/package.nix
index 4747e5c03a30..eef5e4c7add3 100644
--- a/pkgs/applications/graphics/megapixels/default.nix
+++ b/pkgs/by-name/me/megapixels/package.nix
@@ -27,15 +27,15 @@ let
++ optionals jpgSupport [ graphicsmagick exiftool ]
);
in
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "megapixels";
- version = "1.7.0";
+ version = "1.8.0";
src = fetchFromGitLab {
- owner = "postmarketOS";
- repo = "megapixels";
- rev = version;
- hash = "sha256-ejTCYZMDkqz8P3vroq8XAl+pUGgcS56cm3tzOTE3rfc=";
+ owner = "megapixels-org";
+ repo = "Megapixels";
+ rev = finalAttrs.version;
+ hash = "sha256-J94kDSqQkfdltbYbzvJofd5o/Dhivtld475CaQs7+Ok=";
};
nativeBuildInputs = [
@@ -64,12 +64,15 @@ stdenv.mkDerivation rec {
)
'';
+ strictDeps = true;
+
meta = with lib; {
description = "GTK4 camera application that knows how to deal with the media request api";
- homepage = "https://gitlab.com/postmarketOS/megapixels";
- changelog = "https://gitlab.com/postmarketOS/megapixels/-/tags/${version}";
+ homepage = "https://gitlab.com/megapixels-org/Megapixels";
+ changelog = "https://gitlab.com/megapixels-org/Megapixels/-/tags/${finalAttrs.version}";
license = licenses.gpl3Only;
- maintainers = with maintainers; [ dotlambda ];
+ maintainers = with maintainers; [ dotlambda Luflosi ];
platforms = platforms.linux;
+ mainProgram = "megapixels";
};
-}
+})
diff --git a/pkgs/by-name/me/menulibre/package.nix b/pkgs/by-name/me/menulibre/package.nix
index 5abe3a2bb47a..134c7cc98cc9 100644
--- a/pkgs/by-name/me/menulibre/package.nix
+++ b/pkgs/by-name/me/menulibre/package.nix
@@ -6,19 +6,20 @@
, intltool
, gobject-introspection
, wrapGAppsHook
+, nix-update-script
, testers
, menulibre
}:
python3Packages.buildPythonApplication rec {
name = "menulibre";
- version = "2.2.3";
+ version = "2.4.0";
src = fetchFromGitHub {
owner = "bluesabre";
repo = "menulibre";
rev = "menulibre-${version}";
- hash = "sha256-E0ukq3q4YaakOI2mDs3dh0ncZX/dqspCA+97r3JwWyA=";
+ hash = "sha256-IfsuOYP/H3r1GDWMVVSBfYvQS+01VJaAlZu+c05geWg=";
};
propagatedBuildInputs = with python3Packages; [
@@ -45,9 +46,12 @@ python3Packages.buildPythonApplication rec {
export HOME=$TMPDIR
'';
- passthru.tests.version = testers.testVersion {
- package = menulibre;
- command = "HOME=$TMPDIR menulibre --version | cut -d' ' -f2";
+ passthru = {
+ updateScript = nix-update-script { };
+ tests.version = testers.testVersion {
+ package = menulibre;
+ command = "HOME=$TMPDIR menulibre --version | cut -d' ' -f2";
+ };
};
meta = with lib; {
diff --git a/pkgs/by-name/me/mercure/package.nix b/pkgs/by-name/me/mercure/package.nix
index f489155b4c25..bd4bdd231a2b 100644
--- a/pkgs/by-name/me/mercure/package.nix
+++ b/pkgs/by-name/me/mercure/package.nix
@@ -8,18 +8,18 @@
buildGoModule rec {
pname = "mercure";
- version = "0.15.9";
+ version = "0.15.10";
src = fetchFromGitHub {
owner = "dunglas";
repo = "mercure";
rev = "v${version}";
- hash = "sha256-4Y+yZSZrBDLPbQXaOCSKk/EY20Ka8CS4ivUg1TEaqXo=";
+ hash = "sha256-ot4Gb2Zg4VaF/ip9cLJYP69WGmKw/+WQSf20o1aQtpM=";
};
- sourceRoot = "source/caddy";
+ sourceRoot = "${src.name}/caddy";
- vendorHash = "sha256-N0RmvhBlTiWmBb4TzLmaThD9jVkKgcIO9vPWxJAvLRQ=";
+ vendorHash = "sha256-K+9LQ9wI0ltZI7N2mshiDsjEMc2FzenqSGP00fEWuMQ=";
subPackages = [ "mercure" ];
excludedPackages = [ "../cmd/mercure" ];
diff --git a/pkgs/by-name/me/meson/package.nix b/pkgs/by-name/me/meson/package.nix
index 9064b9eaf793..04064b4255c6 100644
--- a/pkgs/by-name/me/meson/package.nix
+++ b/pkgs/by-name/me/meson/package.nix
@@ -18,13 +18,13 @@ let
in
python3.pkgs.buildPythonApplication rec {
pname = "meson";
- version = "1.3.1";
+ version = "1.3.2";
src = fetchFromGitHub {
owner = "mesonbuild";
repo = "meson";
rev = "refs/tags/${version}";
- hash = "sha256-KNNtHi3jx0MRiOgmluA4ucZJWB2WeIYdApfHuspbCqg=";
+ hash = "sha256-7M/El2snWsQi+gaZWPHnEr9gpJW3trqG1RbnT43M49s=";
};
patches = [
@@ -141,6 +141,7 @@ python3.pkgs.buildPythonApplication rec {
meta = {
homepage = "https://mesonbuild.com";
description = "An open source, fast and friendly build system made in Python";
+ mainProgram = "meson";
longDescription = ''
Meson is an open source build system meant to be both extremely fast, and,
even more importantly, as user friendly as possible.
diff --git a/pkgs/by-name/me/metronome/package.nix b/pkgs/by-name/me/metronome/package.nix
new file mode 100644
index 000000000000..f21a941a9e37
--- /dev/null
+++ b/pkgs/by-name/me/metronome/package.nix
@@ -0,0 +1,78 @@
+{ lib
+, stdenv
+, fetchFromGitLab
+, meson
+, ninja
+, pkg-config
+, rustPlatform
+, rustc
+, cargo
+, wrapGAppsHook4
+, desktop-file-utils
+, libadwaita
+, gst_all_1
+, darwin
+}:
+
+stdenv.mkDerivation rec {
+ pname = "metronome";
+ version = "1.3.0";
+
+ src = fetchFromGitLab {
+ domain = "gitlab.gnome.org";
+ owner = "World";
+ repo = "metronome";
+ rev = version;
+ hash = "sha256-Sn2Ua/XxPnJjcQvWeOPkphl+BE7/BdOrUIpf+tLt20U=";
+ };
+
+ cargoDeps = rustPlatform.fetchCargoTarball {
+ inherit src;
+ name = "metronome-${version}";
+ hash = "sha256-HYO/IY5yGW8JLBxD/SZz16GFnwvv77kFl/x+QXhV+V0=";
+ };
+
+ nativeBuildInputs = [
+ meson
+ ninja
+ pkg-config
+ rustPlatform.cargoSetupHook
+ rustc
+ cargo
+ wrapGAppsHook4
+ desktop-file-utils
+ ];
+
+ buildInputs = [
+ libadwaita
+ gst_all_1.gstreamer
+ gst_all_1.gst-plugins-base
+ gst_all_1.gst-plugins-bad
+ ] ++ lib.optionals stdenv.isDarwin [
+ darwin.apple_sdk.frameworks.Foundation
+ ];
+
+ # Workaround for the gettext-sys issue
+ # https://github.com/Koka/gettext-rs/issues/114
+ env.NIX_CFLAGS_COMPILE = lib.optionalString
+ (
+ stdenv.cc.isClang &&
+ lib.versionAtLeast stdenv.cc.version "16"
+ )
+ "-Wno-error=incompatible-function-pointer-types";
+
+ meta = with lib; {
+ description = "Keep the tempo";
+ longDescription = ''
+ Metronome beats the rhythm for you, you simply
+ need to tell it the required time signature and
+ beats per minutes. You can also tap to let the
+ application guess the required beats per minute.
+ '';
+ homepage = "https://gitlab.gnome.org/World/metronome";
+ license = licenses.gpl3Plus;
+ mainProgram = "metronome";
+ maintainers = with maintainers; [ aleksana ];
+ platforms = platforms.unix;
+ };
+}
diff --git a/pkgs/by-name/me/mev-boost/package.nix b/pkgs/by-name/me/mev-boost/package.nix
index 6a7bb6d1e4a4..842c6b3b2a54 100644
--- a/pkgs/by-name/me/mev-boost/package.nix
+++ b/pkgs/by-name/me/mev-boost/package.nix
@@ -6,15 +6,15 @@
buildGoModule rec {
pname = "mev-boost";
- version = "1.6";
+ version = "1.7.1";
src = fetchFromGitHub {
owner = "flashbots";
repo = "mev-boost";
rev = "v${version}";
- hash = "sha256-vzgX9irpI5i85bohppyL5KWQuf71SryRu1gkhWSCVKk=";
+ hash = "sha256-4Vxs1Jo7rkw9l0pXfi+J7YmzQawt7tc19I1MdHQgjBA=";
};
- vendorHash = "sha256-xw3xVbgKUIDXu4UQD5CGftON8E4o1u2FcrPo3n6APBE=";
+ vendorHash = "sha256-yfWDGVfgCfsmzI5oxEmhHXKCUAHe6wWTkaMkBN5kQMw=";
meta = with lib; {
description = "Ethereum block-building middleware";
diff --git a/pkgs/by-name/mf/mfcj880dwcupswrapper/package.nix b/pkgs/by-name/mf/mfcj880dwcupswrapper/package.nix
new file mode 100644
index 000000000000..38ff80577071
--- /dev/null
+++ b/pkgs/by-name/mf/mfcj880dwcupswrapper/package.nix
@@ -0,0 +1,46 @@
+{ lib, stdenv, fetchurl, mfcj880dwlpr, makeWrapper, bash }:
+
+stdenv.mkDerivation rec {
+ pname = "mfcj880dw-cupswrapper";
+ version = "1.0.0-0";
+
+ src = fetchurl {
+ url = "https://download.brother.com/welcome/dlf102044/mfcj880dw_cupswrapper_GPL_source_${version}.tar.gz";
+ sha256 = "bf291fe31d64afeaefb5b0e606f4baf80c41d80009e34b32b77d56f759e9cf94";
+ };
+
+ nativeBuildInputs = [ makeWrapper ];
+ buildInputs = [
+ bash # shebang
+ ];
+
+ makeFlags = [ "-C" "brcupsconfig" "all" ];
+
+ installPhase = ''
+ runHook preInstall
+
+ TARGETFOLDER=$out/opt/brother/Printers/mfcj880dw/cupswrapper
+ mkdir -p $TARGETFOLDER
+ cp PPD/brother_mfcj880dw_printer_en.ppd $TARGETFOLDER
+ cp brcupsconfig/brcupsconfpt1 $TARGETFOLDER
+ cp cupswrapper/cupswrappermfcj880dw $TARGETFOLDER
+ sed -i -e '26,306d' $TARGETFOLDER/cupswrappermfcj880dw
+ substituteInPlace $TARGETFOLDER/cupswrappermfcj880dw \
+ --replace-fail "\$ppd_file_name" "$TARGETFOLDER/brother_mfcj880dw_printer_en.ppd"
+
+ CPUSFILTERFOLDER=$out/lib/cups/filter
+ mkdir -p $TARGETFOLDER $CPUSFILTERFOLDER
+ ln -s ${mfcj880dwlpr}/lib/cups/filter/brother_lpdwrapper_mfcj880dw $out/lib/cups/filter/brother_lpdwrapper_mfcj880dw
+
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ homepage = "http://www.brother.com/";
+ description = "Brother MFC-J880DW CUPS wrapper driver";
+ license = with licenses; gpl2;
+ platforms = with platforms; linux;
+ downloadPage = "https://support.brother.com/g/b/downloadlist.aspx?c=us&lang=en&prod=mfcj880dw_us_eu_as&os=128";
+ maintainers = with maintainers; [ _6543 ];
+ };
+}
diff --git a/pkgs/by-name/mf/mfcj880dwlpr/package.nix b/pkgs/by-name/mf/mfcj880dwlpr/package.nix
new file mode 100644
index 000000000000..8b69c85c7240
--- /dev/null
+++ b/pkgs/by-name/mf/mfcj880dwlpr/package.nix
@@ -0,0 +1,94 @@
+{ lib, stdenv, fetchurl, pkgsi686Linux, dpkg, makeWrapper, coreutils, gnused, gawk, file, cups, util-linux, xxd, runtimeShell
+, ghostscript, a2ps, bash }:
+
+# Why:
+# The executable "brprintconf_mfcj880dw" binary is looking for "/opt/brother/Printers/%s/inf/br%sfunc" and "/opt/brother/Printers/%s/inf/br%src".
+# Whereby, %s is printf(3) string substitution for stdin's arg0 (the command's own filename) from the 10th char forwards, as a runtime dependency.
+# e.g. Say the filename is "0123456789ABCDE", the runtime will be looking for /opt/brother/Printers/ABCDE/inf/brABCDEfunc.
+# Presumably, the binary was designed to be deployed under the filename "printconf_mfcj880dw", whereby it will search for "/opt/brother/Printers/mfcj880dw/inf/brmfcj880dwfunc".
+# For NixOS, we want to change the string to the store path of brmfcj880dwfunc and brmfcj880dwrc but we're faced with two complications:
+# 1. Too little room to specify the nix store path. We can't even take advantage of %s by renaming the file to the store path hash since the variable is too short and can't contain the whole hash.
+# 2. The binary needs the directory it's running from to be r/w.
+# What:
+# As such, we strip the path and substitution altogether, leaving only "brmfcj880dwfunc" and "brmfcj880dwrc", while filling the leftovers with nulls.
+# Fully null terminating the cstrings is necessary to keep the array the same size and preventing overflows.
+# We then use a shell script to link and execute the binary, func and rc files in a temporary directory.
+# How:
+# In the package, we dump the raw binary as a string of search-able hex values using hexdump. We execute the substitution with sed. We then convert the hex values back to binary form using xxd.
+# We also write a shell script that invoked "mktemp -d" to produce a r/w temporary directory and link what we need in the temporary directory.
+# Result:
+# The user can run brprintconf_mfcj880dw in the shell.
+
+stdenv.mkDerivation rec {
+ pname = "mfcj880dwlpr";
+ version = "1.0.0-0";
+
+ src = fetchurl {
+ url = "https://download.brother.com/welcome/dlf102038/mfcj880dwlpr-${version}.i386.deb";
+ sha256 = "1680b301f660a407fe0b69f5de59c7473d2d66dc472a1589b0cd9f51736bfea7";
+ };
+
+ nativeBuildInputs = [ makeWrapper ];
+ buildInputs = [ cups ghostscript dpkg a2ps ];
+
+ dontUnpack = true;
+
+ brprintconf_mfcj880dw_script = ''
+ #!${runtimeShell}
+ cd $(mktemp -d)
+ ln -s @out@/usr/bin/brprintconf_mfcj880dw_patched brprintconf_mfcj880dw_patched
+ ln -s @out@/opt/brother/Printers/mfcj880dw/inf/brmfcj880dwfunc brmfcj880dwfunc
+ ln -s @out@/opt/brother/Printers/mfcj880dw/inf/brmfcj880dwrc brmfcj880dwrc
+ ./brprintconf_mfcj880dw_patched "$@"
+ '';
+
+ installPhase = ''
+ dpkg-deb -x $src $out
+ substituteInPlace $out/opt/brother/Printers/mfcj880dw/lpd/filtermfcj880dw \
+ --replace-fail /opt "$out/opt"
+ substituteInPlace $out/opt/brother/Printers/mfcj880dw/lpd/psconvertij2 \
+ --replace-fail "GHOST_SCRIPT=`which gs`" "GHOST_SCRIPT=${ghostscript}/bin/gs"
+ substituteInPlace $out/opt/brother/Printers/mfcj880dw/inf/setupPrintcapij \
+ --replace-fail "/opt/brother/Printers" "$out/opt/brother/Printers" \
+ --replace-fail "printcap.local" "printcap"
+
+ patchelf --set-interpreter ${pkgsi686Linux.stdenv.cc.libc.out}/lib/ld-linux.so.2 \
+ --set-rpath $out/opt/brother/Printers/mfcj880dw/inf:$out/opt/brother/Printers/mfcj880dw/lpd \
+ $out/opt/brother/Printers/mfcj880dw/lpd/brmfcj880dwfilter
+ patchelf --set-interpreter ${pkgsi686Linux.stdenv.cc.libc.out}/lib/ld-linux.so.2 $out/usr/bin/brprintconf_mfcj880dw
+
+ #stripping the hardcoded path.
+ # /opt/brother/Printers/%s/inf/br%sfunc -> brmfcj880dwfunc
+ # /opt/brother/Printers/%s/inf/br%src -> brmfcj880dwrc
+ ${util-linux}/bin/hexdump -ve '1/1 "%.2X"' $out/usr/bin/brprintconf_mfcj880dw | \
+ sed 's.2F6F70742F62726F746865722F5072696E746572732F25732F696E662F6272257366756E63.62726d66636a383830647766756e6300000000000000000000000000000000000000000000.' | \
+ sed 's.2F6F70742F62726F746865722F5072696E746572732F25732F696E662F627225737263.62726d66636a3838306477726300000000000000000000000000000000000000000000.' | \
+ ${xxd}/bin/xxd -r -p > $out/usr/bin/brprintconf_mfcj880dw_patched
+ chmod +x $out/usr/bin/brprintconf_mfcj880dw_patched
+ #executing from current dir. segfaults if it's not r\w.
+ mkdir -p $out/bin
+ echo -n "$brprintconf_mfcj880dw_script" > $out/bin/brprintconf_mfcj880dw
+ chmod +x $out/bin/brprintconf_mfcj880dw
+ substituteInPlace $out/bin/brprintconf_mfcj880dw --replace-fail @out@ $out
+
+ # NOTE: opt/brother/Printers/mfcj880dw/lpd/brmfcj880dwfilter also has cardcoded paths, but we can not simply replace them
+
+ mkdir -p $out/lib/cups/filter/
+ ln -s $out/opt/brother/Printers/mfcj880dw/lpd/filtermfcj880dw $out/lib/cups/filter/brother_lpdwrapper_mfcj880dw
+
+ wrapProgram $out/opt/brother/Printers/mfcj880dw/lpd/psconvertij2 \
+ --prefix PATH ":" ${ lib.makeBinPath [ coreutils gnused gawk ] }
+ wrapProgram $out/opt/brother/Printers/mfcj880dw/lpd/filtermfcj880dw \
+ --prefix PATH ":" ${ lib.makeBinPath [ coreutils gnused file ghostscript a2ps ] }
+ '';
+
+ meta = with lib; {
+ description = "Brother MFC-J880DW LPR driver";
+ downloadPage = "https://support.brother.com/g/b/downloadlist.aspx?c=us&lang=en&prod=mfcj880dw_us_eu_as&os=128";
+ homepage = "http://www.brother.com/";
+ sourceProvenance = with sourceTypes; [ binaryNativeCode ];
+ license = with licenses; unfree;
+ maintainers = with maintainers; [ _6543 ];
+ platforms = with platforms; linux;
+ };
+}
diff --git a/pkgs/by-name/mf/mfoc-hardnested/package.nix b/pkgs/by-name/mf/mfoc-hardnested/package.nix
index 550d3a5c4667..672db4d21c1a 100644
--- a/pkgs/by-name/mf/mfoc-hardnested/package.nix
+++ b/pkgs/by-name/mf/mfoc-hardnested/package.nix
@@ -30,6 +30,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "A fork of mfoc integrating hardnested code from the proxmark";
+ mainProgram = "mfoc-hardnested";
license = licenses.gpl2;
homepage = "https://github.com/nfc-tools/mfoc-hardnested";
maintainers = with maintainers; [ azuwis ];
diff --git a/pkgs/by-name/mi/mihomo/package.nix b/pkgs/by-name/mi/mihomo/package.nix
new file mode 100644
index 000000000000..4dfa46544ca6
--- /dev/null
+++ b/pkgs/by-name/mi/mihomo/package.nix
@@ -0,0 +1,47 @@
+{ lib
+, fetchFromGitHub
+, buildGoModule
+, nixosTests
+}:
+
+buildGoModule rec {
+ pname = "mihomo";
+ version = "1.18.1";
+
+ src = fetchFromGitHub {
+ owner = "MetaCubeX";
+ repo = "mihomo";
+ rev = "v${version}";
+ hash = "sha256-ezOkDrpytZQdc+Txe4eUyuWY6oipn9jIrmu7aO8lNlQ=";
+ };
+
+ vendorHash = "sha256-tvPR5kAta4MlMTwjfxwVOacRr2nVpfalbN08mfxml64=";
+
+ excludedPackages = [ "./test" ];
+
+ ldflags = [
+ "-s"
+ "-w"
+ "-X github.com/metacubex/mihomo/constant.Version=${version}"
+ ];
+
+ tags = [
+ "with_gvisor"
+ ];
+
+ # network required
+ doCheck = false;
+
+
+ passthru.tests = {
+ mihomo = nixosTests.mihomo;
+ };
+
+ meta = with lib; {
+ description = "A rule-based tunnel in Go";
+ homepage = "https://github.com/MetaCubeX/mihomo";
+ license = licenses.gpl3Only;
+ maintainers = with maintainers; [ oluceps ];
+ mainProgram = "mihomo";
+ };
+}
diff --git a/pkgs/by-name/mi/minijinja/package.nix b/pkgs/by-name/mi/minijinja/package.nix
index 6f005cf411a6..7f1b547c6549 100644
--- a/pkgs/by-name/mi/minijinja/package.nix
+++ b/pkgs/by-name/mi/minijinja/package.nix
@@ -2,16 +2,16 @@
rustPlatform.buildRustPackage rec {
pname = "minijinja";
- version = "1.0.12";
+ version = "1.0.13";
src = fetchFromGitHub {
owner = "mitsuhiko";
repo = "minijinja";
rev = version;
- hash = "sha256-v5YTPcUiCUQvTURqgKepdOjKZ5rFLr+mF7X+s5GvxdM=";
+ hash = "sha256-VVd90j8ZOubtHX15jMGAIA3LF4tg4SzFxO046QVwDjc=";
};
- cargoHash = "sha256-OhfrlT2DZU3ahH9PKqpEK8f34J2E6zNUai3hYBPg7v4=";
+ cargoHash = "sha256-f9hXH0c8vVpexYyuQuS0D8jzEqJSrHOwp/FropTKTJg=";
# The tests relies on the presence of network connection
doCheck = false;
diff --git a/pkgs/by-name/mi/miru/package.nix b/pkgs/by-name/mi/miru/package.nix
new file mode 100644
index 000000000000..467b5a5260d9
--- /dev/null
+++ b/pkgs/by-name/mi/miru/package.nix
@@ -0,0 +1,53 @@
+{ lib
+, fetchurl
+, appimageTools
+}:
+
+appimageTools.wrapType2 rec {
+ pname = "miru";
+ version = "4.5.10";
+
+ src = fetchurl {
+ url = "https://github.com/ThaUnknown/miru/releases/download/v${version}/linux-Miru-${version}.AppImage";
+ name = "${pname}-${version}.AppImage";
+ sha256 = "sha256-ptaviLwr0X/MuF517YLW7i9+rtnktcpgHVqMHn+tXWg=";
+ };
+
+ extraInstallCommands =
+ let
+ contents = appimageTools.extractType2 { inherit pname version src; };
+ in
+ ''
+ mkdir -p "$out/share/applications"
+ mkdir -p "$out/share/lib/miru"
+ cp -r ${contents}/{locales,resources} "$out/share/lib/miru"
+ cp -r ${contents}/usr/* "$out"
+ cp "${contents}/${pname}.desktop" "$out/share/applications/"
+ mv "$out/bin/${pname}-${version}" "$out/bin/${pname}"
+ substituteInPlace $out/share/applications/${pname}.desktop --replace 'Exec=AppRun' 'Exec=${pname}'
+ '';
+
+ meta = with lib; {
+ description = "Stream anime torrents, real-time with no waiting for downloads";
+ homepage = "https://github.com/ThaUnknown/miru#readme";
+ license = licenses.gpl3Plus;
+ maintainers = [ maintainers.d4ilyrun ];
+ mainProgram = "miru";
+
+ platforms = [ "x86_64-linux" ];
+ sourceProvenance = [ lib.sourceTypes.binaryNativeCode ];
+
+ longDescription = ''
+ A pure JS BitTorrent streaming environment, with a built-in list manager.
+ Imagine qBit + Taiga + MPV, all in a single package, but streamed real-time.
+ Completely ad free with no tracking/data collection.
+
+ This app is meant to feel look, work and perform like a streaming website/app,
+ while providing all the advantages of torrenting, like file downloads,
+ higher download speeds, better video quality and quicker releases.
+
+ Unlike qBit's sequential, seeking into undownloaded data will prioritise downloading that data,
+ instead of flat out closing MPV.
+ '';
+ };
+}
diff --git a/pkgs/by-name/mk/mkalias/package.nix b/pkgs/by-name/mk/mkalias/package.nix
new file mode 100644
index 000000000000..507ce73827e8
--- /dev/null
+++ b/pkgs/by-name/mk/mkalias/package.nix
@@ -0,0 +1,43 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, cmake
+, darwin
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "mkalias";
+ version = "1.0.0";
+
+ src = fetchFromGitHub {
+ owner = "vs49688";
+ repo = "mkalias";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-L6bgCJ0fdiWmtlgTzDmTenTMP74UFUEqiDmE1+gg3zw=";
+ };
+
+ nativeBuildInputs = [
+ cmake
+ ];
+
+ buildInputs = [
+ darwin.apple_sdk.frameworks.Foundation
+ ];
+
+ installPhase = ''
+ runHook preInstall
+
+ install -D mkalias $out/bin/mkalias
+
+ runHook postInstall
+ '';
+
+ meta = {
+ description = "Quick'n'dirty tool to make APFS aliases";
+ homepage = "https://github.com/vs49688/mkalias";
+ license = lib.licenses.mit;
+ mainProgram = "mkalias";
+ maintainers = with lib.maintainers; [ zane emilytrau ];
+ platforms = lib.platforms.darwin;
+ };
+})
diff --git a/pkgs/by-name/mk/mksh/package.nix b/pkgs/by-name/mk/mksh/package.nix
index 27cee1d4e226..2572e378ddf4 100644
--- a/pkgs/by-name/mk/mksh/package.nix
+++ b/pkgs/by-name/mk/mksh/package.nix
@@ -45,6 +45,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
homepage = "http://www.mirbsd.org/mksh.htm";
description = "MirBSD Korn Shell";
+ mainProgram = "mksh";
longDescription = ''
The MirBSD Korn Shell is a DFSG-free and OSD-compliant (and OSI
approved) successor to pdksh, developed as part of the MirOS
diff --git a/pkgs/by-name/mo/mommy/package.nix b/pkgs/by-name/mo/mommy/package.nix
index 5de85db633df..8d2187068514 100644
--- a/pkgs/by-name/mo/mommy/package.nix
+++ b/pkgs/by-name/mo/mommy/package.nix
@@ -23,13 +23,13 @@ let
in
stdenv.mkDerivation rec {
pname = "mommy";
- version = "1.3.0";
+ version = "1.5.0";
src = fetchFromGitHub {
owner = "FWDekker";
repo = pname;
rev = "v${version}";
- hash = "sha256-9i/xKkMKGnRO6u8O2oKn5z1PZhMrwaK9f/BDzusH474=";
+ hash = "sha256-kNhoEIzrPjCe6RA/GHFB/NtKjYFByM5TpxAwCLo5TDo=";
};
nativeBuildInputs = [ makeWrapper ];
diff --git a/pkgs/by-name/mo/moneydance/package.nix b/pkgs/by-name/mo/moneydance/package.nix
new file mode 100644
index 000000000000..5222684f706b
--- /dev/null
+++ b/pkgs/by-name/mo/moneydance/package.nix
@@ -0,0 +1,52 @@
+{ lib, stdenv, fetchzip, makeWrapper, openjdk21, openjfx21, jvmFlags ? [ ] }:
+let jdk = openjdk21.override { enableJavaFX = true; };
+in stdenv.mkDerivation (finalAttrs: {
+ pname = "moneydance";
+ version = "2023.3_5064";
+
+ src = fetchzip {
+ url = "https://infinitekind.com/stabledl/${finalAttrs.version}/moneydance-linux.tar.gz";
+ hash = "sha256-jHr1V/gV1seenw2Q0/G405lTiabEYEsOS8p/XyByrtM=";
+ };
+
+ nativeBuildInputs = [ makeWrapper ];
+ buildInputs = [ jdk openjfx21 ];
+
+ # Note the double escaping in the call to makeWrapper. The escapeShellArgs
+ # call quotes each element of the flags list as a word[1] and returns a
+ # space-separated result; the escapeShellArg call quotes that result as a
+ # single word to pass to --add-flags. The --add-flags implementation[2]
+ # loops over the words in its argument.
+ #
+ # 1. https://www.gnu.org/software/bash/manual/html_node/Word-Splitting.html
+ # 2. https://github.com/NixOS/nixpkgs/blob/master/pkgs/build-support/setup-hooks/make-wrapper.sh
+ installPhase = let
+ finalJvmFlags = [
+ "-client"
+ "--add-modules"
+ "javafx.swing,javafx.controls,javafx.graphics"
+ "-classpath"
+ "${placeholder "out"}/libexec/*"
+ ] ++ jvmFlags ++ [ "Moneydance" ];
+ in ''
+ runHook preInstall
+
+ mkdir -p $out/libexec $out/bin
+ cp -p $src/lib/* $out/libexec/
+ makeWrapper ${jdk}/bin/java $out/bin/moneydance \
+ --add-flags ${lib.escapeShellArg (lib.escapeShellArgs finalJvmFlags)}
+
+ runHook postInstall
+ '';
+
+ passthru = { inherit jdk; };
+
+ meta = {
+ homepage = "https://infinitekind.com/moneydance";
+ description = "An easy to use and full-featured personal finance app that doesn't compromise your privacy";
+ sourceProvenance = [ lib.sourceTypes.binaryBytecode ];
+ license = lib.licenses.unfree;
+ platforms = jdk.meta.platforms;
+ maintainers = [ lib.maintainers.lucasbergman ];
+ };
+})
diff --git a/pkgs/by-name/mo/monophony/package.nix b/pkgs/by-name/mo/monophony/package.nix
index 1aede92ae5bd..64b86b292171 100644
--- a/pkgs/by-name/mo/monophony/package.nix
+++ b/pkgs/by-name/mo/monophony/package.nix
@@ -6,21 +6,20 @@
, gobject-introspection
, yt-dlp
, libadwaita
-, libsoup_3
, glib-networking
, nix-update-script
}:
python3Packages.buildPythonApplication rec {
pname = "monophony";
- version = "2.6.0";
+ version = "2.7.0";
format = "other";
- sourceRoot = "source/source";
+ sourceRoot = "${src.name}/source";
src = fetchFromGitLab {
owner = "zehkira";
repo = "monophony";
rev = "v${version}";
- hash = "sha256-dLv1zdhWXOimxhoyf0T8oM5dF4fKepcIED+DG6D1MH0=";
+ hash = "sha256-uOmaTkjlfrct8CPqKcTTTqmURVncPZm4fXZYW+yZUf8=";
};
pythonPath = with python3Packages; [
@@ -38,7 +37,6 @@ python3Packages.buildPythonApplication rec {
buildInputs = [
libadwaita
# needed for gstreamer https
- libsoup_3
glib-networking
] ++ (with gst_all_1; [
gst-plugins-base
@@ -53,8 +51,6 @@ python3Packages.buildPythonApplication rec {
gappsWrapperArgs+=(
--prefix PYTHONPATH : "$program_PYTHONPATH"
--prefix PATH : "${lib.makeBinPath [yt-dlp]}"
- # needed for gstreamer https
- --prefix LD_LIBRARY_PATH : "${lib.getLib libsoup_3}/lib"
)
'';
diff --git a/pkgs/by-name/mo/mosdepth/package.nix b/pkgs/by-name/mo/mosdepth/package.nix
index 7c74901bc6ff..dbe5bc87726c 100644
--- a/pkgs/by-name/mo/mosdepth/package.nix
+++ b/pkgs/by-name/mo/mosdepth/package.nix
@@ -2,7 +2,7 @@
buildNimPackage (finalAttrs: {
pname = "mosdepth";
- version = "0.3.6";
+ version = "0.3.7";
requiredNimVersion = 1;
@@ -10,7 +10,7 @@ buildNimPackage (finalAttrs: {
owner = "brentp";
repo = "mosdepth";
rev = "v${finalAttrs.version}";
- sha256 = "sha256-7N42S3xfQRkrBmoLf0DsbLMpVULAFpHm5JugDMDzAgU=";
+ sha256 = "sha256-VyEZNY/P3BfJ3PCRn7R+37XH4gfc9JEOFB0WmrSxpIc=";
};
lockFile = ./lock.json;
@@ -25,6 +25,7 @@ buildNimPackage (finalAttrs: {
meta = with lib; {
description = "fast BAM/CRAM depth calculation for WGS, exome, or targeted sequencing";
+ mainProgram = "mosdepth";
license = licenses.mit;
homepage = "https://github.com/brentp/mosdepth";
maintainers = with maintainers; [ jbedo ];
diff --git a/pkgs/by-name/mo/mountpoint-s3/package.nix b/pkgs/by-name/mo/mountpoint-s3/package.nix
index 0caa5a4b4e50..3bd0527c2983 100644
--- a/pkgs/by-name/mo/mountpoint-s3/package.nix
+++ b/pkgs/by-name/mo/mountpoint-s3/package.nix
@@ -8,17 +8,17 @@
rustPlatform.buildRustPackage rec {
pname = "mountpoint-s3";
- version = "1.4.0";
+ version = "1.5.0";
src = fetchFromGitHub {
owner = "awslabs";
repo = "mountpoint-s3";
rev = "v${version}";
- hash = "sha256-7anWK7vg6u7Sz4eV+X3QqeLj6y11iEmsi3iIlnEI79w=";
+ hash = "sha256-DsxcQ/FEVcrzPyv9LgssNut4XnbU3mPKbuBwQKLiRLA=";
fetchSubmodules = true;
};
- cargoHash = "sha256-qqPzf56KqVsmey353GpeJ6xdVLnGfjh/KlErWKkB6JU=";
+ cargoHash = "sha256-UOQRdSQ/whlOTZL2vaNiIcKB+Zgpk8tbinWBRWPyllc=";
# thread 'main' panicked at cargo-auditable/src/collect_audit_data.rs:77:9:
# cargo metadata failure: error: none of the selected packages contains these features: libfuse3
@@ -52,7 +52,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
homepage = "https://github.com/awslabs/mountpoint-s3";
description = "A simple, high-throughput file client for mounting an Amazon S3 bucket as a local file system.";
- license = licenses.amazonsl;
+ license = licenses.asl20;
maintainers = with maintainers; [ lblasc ];
platforms = platforms.linux;
};
diff --git a/pkgs/by-name/mo/mousam/package.nix b/pkgs/by-name/mo/mousam/package.nix
new file mode 100644
index 000000000000..256ee99a13be
--- /dev/null
+++ b/pkgs/by-name/mo/mousam/package.nix
@@ -0,0 +1,58 @@
+{ lib
+, python3Packages
+, fetchFromGitHub
+, meson
+, ninja
+, pkg-config
+, gobject-introspection
+, wrapGAppsHook4
+, desktop-file-utils
+, libadwaita
+}:
+
+python3Packages.buildPythonApplication rec {
+ pname = "mousam";
+ version = "1.1.0";
+ # built with meson, not a python format
+ format = "other";
+
+ src = fetchFromGitHub {
+ owner = "amit9838";
+ repo = "mousam";
+ rev = "v${version}";
+ hash = "sha256-4NJLJ9aPCufvqZGzqQEjSxryofcg4mwk0UohlgUYcqk=";
+ };
+
+ nativeBuildInputs = [
+ meson
+ ninja
+ pkg-config
+ gobject-introspection
+ wrapGAppsHook4
+ desktop-file-utils
+ ];
+
+ buildInputs = [
+ libadwaita
+ ];
+
+ propagatedBuildInputs = with python3Packages; [
+ pygobject3
+ requests
+ ];
+
+ dontWrapGApps = true;
+
+ preFixup = ''
+ makeWrapperArgs+=("''${gappsWrapperArgs[@]}")
+ '';
+
+ meta = with lib; {
+ description = "Beautiful and lightweight weather app based on Python and GTK4";
+ homepage = "https://amit9838.github.io/mousam";
+ license = with licenses; [ gpl3Plus ];
+ mainProgram = "mousam";
+ maintainers = with maintainers; [ aleksana ];
+ platforms = platforms.unix;
+ };
+}
diff --git a/pkgs/by-name/mo/move-mount-beneath/package.nix b/pkgs/by-name/mo/move-mount-beneath/package.nix
index 2e2e058eec97..be04c80a1b70 100644
--- a/pkgs/by-name/mo/move-mount-beneath/package.nix
+++ b/pkgs/by-name/mo/move-mount-beneath/package.nix
@@ -22,6 +22,7 @@ stdenv.mkDerivation {
meta = {
description = "Toy binary to illustrate adding a mount beneath an existing mount";
+ mainProgram = "move-mount";
homepage = "https://github.com/brauner/move-mount-beneath";
license = lib.licenses.mit0;
maintainers = with lib.maintainers; [ nikstur ];
diff --git a/pkgs/by-name/mo/movim/package.nix b/pkgs/by-name/mo/movim/package.nix
new file mode 100644
index 000000000000..600af837b107
--- /dev/null
+++ b/pkgs/by-name/mo/movim/package.nix
@@ -0,0 +1,43 @@
+{ lib
+, fetchFromGitHub
+, php
+, phpCfg ? null
+, withPgsql ? true # “strongly recommended” according to docs
+, withMysql ? false
+}:
+
+php.buildComposerProject (finalAttrs: {
+ pname = "movim";
+ version = "0.23";
+
+ src = fetchFromGitHub {
+ owner = "movim";
+ repo = "movim";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-9MBe2IRYxvUuCc5m7ajvIlBU7YVm4A3RABlOOIjpKoM=";
+ };
+
+ php = php.buildEnv ({
+ extensions = ({ all, enabled }:
+ enabled
+ ++ (with all; [ curl dom gd imagick mbstring pdo simplexml ])
+ ++ lib.optionals withPgsql (with all; [ pdo_pgsql pgsql ])
+ ++ lib.optionals withMysql (with all; [ mysqli mysqlnd pdo_mysql ])
+ );
+ } // lib.optionalAttrs (phpCfg != null) {
+ extraConfig = phpCfg;
+ });
+
+ # no listed license
+ # pinned commonmark
+ composerStrictValidation = false;
+
+ vendorHash = "sha256-PBoJbVuF0Qy7nNlL4yx446ivlZpPYNIai78yC0wWkCM=";
+
+ meta = {
+ description = "a federated blogging & chat platform that acts as a web front end for the XMPP protocol";
+ homepage = "https://movim.eu";
+ license = lib.licenses.agpl3Plus;
+ maintainers = with lib.maintainers; [ toastal ];
+ };
+})
diff --git a/pkgs/by-name/mq/mqttui/Cargo.lock b/pkgs/by-name/mq/mqttui/Cargo.lock
new file mode 100644
index 000000000000..fd3db1ec8702
--- /dev/null
+++ b/pkgs/by-name/mq/mqttui/Cargo.lock
@@ -0,0 +1,1771 @@
+# This file is automatically @generated by Cargo.
+# It is not intended for manual editing.
+version = 3
+
+[[package]]
+name = "addr2line"
+version = "0.21.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8a30b2e23b9e17a9f90641c7ab1549cd9b44f296d3ccbf309d2863cfe398a0cb"
+dependencies = [
+ "gimli",
+]
+
+[[package]]
+name = "adler"
+version = "1.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f26201604c87b1e01bd3d98f8d5d9a8fcbb815e8cedb41ffccbeb4bf593a35fe"
+
+[[package]]
+name = "ahash"
+version = "0.8.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d713b3834d76b85304d4d525563c1276e2e30dc97cc67bfb4585a4a29fc2c89f"
+dependencies = [
+ "cfg-if",
+ "once_cell",
+ "version_check",
+ "zerocopy",
+]
+
+[[package]]
+name = "allocator-api2"
+version = "0.2.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0942ffc6dcaadf03badf6e6a2d0228460359d5e34b57ccdc720b7382dfbd5ec5"
+
+[[package]]
+name = "android-tzdata"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e999941b234f3131b00bc13c22d06e8c5ff726d1b6318ac7eb276997bbb4fef0"
+
+[[package]]
+name = "android_system_properties"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "819e7219dbd41043ac279b19830f2efc897156490d7fd6ea916720117ee66311"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "anstream"
+version = "0.6.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "96b09b5178381e0874812a9b157f7fe84982617e48f71f4e3235482775e5b540"
+dependencies = [
+ "anstyle",
+ "anstyle-parse",
+ "anstyle-query",
+ "anstyle-wincon",
+ "colorchoice",
+ "utf8parse",
+]
+
+[[package]]
+name = "anstyle"
+version = "1.0.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8901269c6307e8d93993578286ac0edf7f195079ffff5ebdeea6a59ffb7e36bc"
+
+[[package]]
+name = "anstyle-parse"
+version = "0.2.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c75ac65da39e5fe5ab759307499ddad880d724eed2f6ce5b5e8a26f4f387928c"
+dependencies = [
+ "utf8parse",
+]
+
+[[package]]
+name = "anstyle-query"
+version = "1.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e28923312444cdd728e4738b3f9c9cac739500909bb3d3c94b43551b16517648"
+dependencies = [
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "anstyle-wincon"
+version = "3.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1cd54b81ec8d6180e24654d0b371ad22fc3dd083b6ff8ba325b72e00c87660a7"
+dependencies = [
+ "anstyle",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "anyhow"
+version = "1.0.80"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5ad32ce52e4161730f7098c077cd2ed6229b5804ccf99e5366be1ab72a98b4e1"
+
+[[package]]
+name = "async-tungstenite"
+version = "0.23.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a1e9efbe14612da0a19fb983059a0b621e9cf6225d7018ecab4f9988215540dc"
+dependencies = [
+ "futures-io",
+ "futures-util",
+ "log",
+ "pin-project-lite",
+ "rustls-native-certs",
+ "tokio",
+ "tokio-rustls",
+ "tungstenite",
+]
+
+[[package]]
+name = "async_io_stream"
+version = "0.3.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b6d7b9decdf35d8908a7e3ef02f64c5e9b1695e230154c0e8de3969142d9b94c"
+dependencies = [
+ "futures",
+ "pharos",
+ "rustc_version",
+ "tokio",
+]
+
+[[package]]
+name = "autocfg"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d468802bab17cbc0cc575e9b053f41e72aa36bfa6b7f55e3529ffa43161b97fa"
+
+[[package]]
+name = "backtrace"
+version = "0.3.69"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2089b7e3f35b9dd2d0ed921ead4f6d318c27680d4a5bd167b3ee120edb105837"
+dependencies = [
+ "addr2line",
+ "cc",
+ "cfg-if",
+ "libc",
+ "miniz_oxide",
+ "object",
+ "rustc-demangle",
+]
+
+[[package]]
+name = "base64"
+version = "0.21.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9d297deb1925b89f2ccc13d7635fa0714f12c87adce1c75356b39ca9b7178567"
+
+[[package]]
+name = "bitflags"
+version = "1.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a"
+
+[[package]]
+name = "bitflags"
+version = "2.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ed570934406eb16438a4e976b1b4500774099c13b8cb96eec99f620f05090ddf"
+
+[[package]]
+name = "block-buffer"
+version = "0.10.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3078c7629b62d3f0439517fa394996acacc5cbc91c5a20d8c658e77abd503a71"
+dependencies = [
+ "generic-array",
+]
+
+[[package]]
+name = "bumpalo"
+version = "3.15.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8ea184aa71bb362a1157c896979544cc23974e08fd265f29ea96b59f0b4a555b"
+
+[[package]]
+name = "byteorder"
+version = "1.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1fd0f2584146f6f2ef48085050886acf353beff7305ebd1ae69500e27c67f64b"
+
+[[package]]
+name = "bytes"
+version = "1.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a2bd12c1caf447e69cd4528f47f94d203fd2582878ecb9e9465484c4148a8223"
+
+[[package]]
+name = "cassowary"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "df8670b8c7b9dae1793364eafadf7239c40d669904660c5960d74cfd80b46a53"
+
+[[package]]
+name = "castaway"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8a17ed5635fc8536268e5d4de1e22e81ac34419e5f052d4d51f4e01dcc263fcc"
+dependencies = [
+ "rustversion",
+]
+
+[[package]]
+name = "cc"
+version = "1.0.88"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "02f341c093d19155a6e41631ce5971aac4e9a868262212153124c15fa22d1cdc"
+
+[[package]]
+name = "cfg-if"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd"
+
+[[package]]
+name = "chrono"
+version = "0.4.34"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5bc015644b92d5890fab7489e49d21f879d5c990186827d42ec511919404f38b"
+dependencies = [
+ "android-tzdata",
+ "iana-time-zone",
+ "num-traits",
+ "windows-targets 0.52.3",
+]
+
+[[package]]
+name = "clap"
+version = "4.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c918d541ef2913577a0f9566e9ce27cb35b6df072075769e0b26cb5a554520da"
+dependencies = [
+ "clap_builder",
+ "clap_derive",
+]
+
+[[package]]
+name = "clap_builder"
+version = "4.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9f3e7391dad68afb0c2ede1bf619f579a3dc9c2ec67f089baa397123a2f3d1eb"
+dependencies = [
+ "anstream",
+ "anstyle",
+ "clap_lex",
+ "strsim",
+ "terminal_size",
+]
+
+[[package]]
+name = "clap_complete"
+version = "4.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "885e4d7d5af40bfb99ae6f9433e292feac98d452dcb3ec3d25dfe7552b77da8c"
+dependencies = [
+ "clap",
+]
+
+[[package]]
+name = "clap_derive"
+version = "4.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "307bc0538d5f0f83b8248db3087aa92fe504e4691294d0c96c0eabc33f47ba47"
+dependencies = [
+ "heck",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.51",
+]
+
+[[package]]
+name = "clap_lex"
+version = "0.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "98cc8fbded0c607b7ba9dd60cd98df59af97e84d24e49c8557331cfc26d301ce"
+
+[[package]]
+name = "clap_mangen"
+version = "0.2.20"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e1dd95b5ebb5c1c54581dd6346f3ed6a79a3eef95dd372fc2ac13d535535300e"
+dependencies = [
+ "clap",
+ "roff",
+]
+
+[[package]]
+name = "colorchoice"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "acbf1af155f9b9ef647e42cdc158db4b64a1b61f743629225fde6f3e0be2a7c7"
+
+[[package]]
+name = "compact_str"
+version = "0.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f86b9c4c00838774a6d902ef931eff7470720c51d90c2e32cfe15dc304737b3f"
+dependencies = [
+ "castaway",
+ "cfg-if",
+ "itoa",
+ "ryu",
+ "static_assertions",
+]
+
+[[package]]
+name = "core-foundation"
+version = "0.9.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "91e195e091a93c46f7102ec7818a2aa394e1e1771c3ab4825963fa03e45afb8f"
+dependencies = [
+ "core-foundation-sys",
+ "libc",
+]
+
+[[package]]
+name = "core-foundation-sys"
+version = "0.8.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "06ea2b9bc92be3c2baa9334a323ebca2d6f074ff852cd1d7b11064035cd3868f"
+
+[[package]]
+name = "cpufeatures"
+version = "0.2.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "53fe5e26ff1b7aef8bca9c6080520cfb8d9333c7568e1829cef191a9723e5504"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "crossterm"
+version = "0.27.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f476fe445d41c9e991fd07515a6f463074b782242ccf4a5b7b1d1012e70824df"
+dependencies = [
+ "bitflags 2.4.2",
+ "crossterm_winapi",
+ "libc",
+ "mio",
+ "parking_lot",
+ "signal-hook",
+ "signal-hook-mio",
+ "winapi",
+]
+
+[[package]]
+name = "crossterm_winapi"
+version = "0.9.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "acdd7c62a3665c7f6830a51635d9ac9b23ed385797f70a83bb8bafe9c572ab2b"
+dependencies = [
+ "winapi",
+]
+
+[[package]]
+name = "crypto-common"
+version = "0.1.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1bfb12502f3fc46cca1bb51ac28df9d618d813cdc3d2f25b9fe775a34af26bb3"
+dependencies = [
+ "generic-array",
+ "typenum",
+]
+
+[[package]]
+name = "data-encoding"
+version = "2.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7e962a19be5cfc3f3bf6dd8f61eb50107f356ad6270fbb3ed41476571db78be5"
+
+[[package]]
+name = "digest"
+version = "0.10.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9ed9a281f7bc9b7576e61468ba615a66a5c8cfdff42420a70aa82701a3b1e292"
+dependencies = [
+ "block-buffer",
+ "crypto-common",
+]
+
+[[package]]
+name = "ego-tree"
+version = "0.6.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3a68a4904193147e0a8dec3314640e6db742afd5f6e634f428a6af230d9b3591"
+
+[[package]]
+name = "either"
+version = "1.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "11157ac094ffbdde99aa67b23417ebdd801842852b500e395a45a9c0aac03e4a"
+
+[[package]]
+name = "errno"
+version = "0.3.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a258e46cdc063eb8519c00b9fc845fc47bcfca4130e2f08e88665ceda8474245"
+dependencies = [
+ "libc",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "flume"
+version = "0.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "55ac459de2512911e4b674ce33cf20befaba382d05b62b008afc1c8b57cbf181"
+dependencies = [
+ "futures-core",
+ "futures-sink",
+ "spin",
+]
+
+[[package]]
+name = "fnv"
+version = "1.0.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3f9eec918d3f24069decb9af1554cad7c880e2da24a9afd88aca000531ab82c1"
+
+[[package]]
+name = "form_urlencoded"
+version = "1.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e13624c2627564efccf4934284bdd98cbaa14e79b0b5a141218e507b3a823456"
+dependencies = [
+ "percent-encoding",
+]
+
+[[package]]
+name = "futures"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "645c6916888f6cb6350d2550b80fb63e734897a8498abe35cfb732b6487804b0"
+dependencies = [
+ "futures-channel",
+ "futures-core",
+ "futures-executor",
+ "futures-io",
+ "futures-sink",
+ "futures-task",
+ "futures-util",
+]
+
+[[package]]
+name = "futures-channel"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eac8f7d7865dcb88bd4373ab671c8cf4508703796caa2b1985a9ca867b3fcb78"
+dependencies = [
+ "futures-core",
+ "futures-sink",
+]
+
+[[package]]
+name = "futures-core"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dfc6580bb841c5a68e9ef15c77ccc837b40a7504914d52e47b8b0e9bbda25a1d"
+
+[[package]]
+name = "futures-executor"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a576fc72ae164fca6b9db127eaa9a9dda0d61316034f33a0a0d4eda41f02b01d"
+dependencies = [
+ "futures-core",
+ "futures-task",
+ "futures-util",
+]
+
+[[package]]
+name = "futures-io"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a44623e20b9681a318efdd71c299b6b222ed6f231972bfe2f224ebad6311f0c1"
+
+[[package]]
+name = "futures-macro"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "87750cf4b7a4c0625b1529e4c543c2182106e4dedc60a2a6455e00d212c489ac"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.51",
+]
+
+[[package]]
+name = "futures-sink"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9fb8e00e87438d937621c1c6269e53f536c14d3fbd6a042bb24879e57d474fb5"
+
+[[package]]
+name = "futures-task"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "38d84fa142264698cdce1a9f9172cf383a0c82de1bddcf3092901442c4097004"
+
+[[package]]
+name = "futures-util"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3d6401deb83407ab3da39eba7e33987a73c3df0c82b4bb5813ee871c19c41d48"
+dependencies = [
+ "futures-channel",
+ "futures-core",
+ "futures-io",
+ "futures-macro",
+ "futures-sink",
+ "futures-task",
+ "memchr",
+ "pin-project-lite",
+ "pin-utils",
+ "slab",
+]
+
+[[package]]
+name = "generic-array"
+version = "0.14.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "85649ca51fd72272d7821adaf274ad91c288277713d9c18820d8499a7ff69e9a"
+dependencies = [
+ "typenum",
+ "version_check",
+]
+
+[[package]]
+name = "getrandom"
+version = "0.2.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "190092ea657667030ac6a35e305e62fc4dd69fd98ac98631e5d3a2b1575a12b5"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "wasi",
+]
+
+[[package]]
+name = "gimli"
+version = "0.28.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4271d37baee1b8c7e4b708028c57d816cf9d2434acb33a549475f78c181f6253"
+
+[[package]]
+name = "hashbrown"
+version = "0.14.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "290f1a1d9242c78d09ce40a5e87e7554ee637af1351968159f4952f028f75604"
+dependencies = [
+ "ahash",
+ "allocator-api2",
+]
+
+[[package]]
+name = "heck"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "95505c38b4572b2d910cecb0281560f54b440a19336cbbcb27bf6ce6adc6f5a8"
+
+[[package]]
+name = "http"
+version = "0.2.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8947b1a6fad4393052c7ba1f4cd97bed3e953a95c79c92ad9b051a04611d9fbb"
+dependencies = [
+ "bytes",
+ "fnv",
+ "itoa",
+]
+
+[[package]]
+name = "httparse"
+version = "1.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d897f394bad6a705d5f4104762e116a75639e470d80901eed05a860a95cb1904"
+
+[[package]]
+name = "iana-time-zone"
+version = "0.1.60"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e7ffbb5a1b541ea2561f8c41c087286cc091e21e556a4f09a8f6cbf17b69b141"
+dependencies = [
+ "android_system_properties",
+ "core-foundation-sys",
+ "iana-time-zone-haiku",
+ "js-sys",
+ "wasm-bindgen",
+ "windows-core",
+]
+
+[[package]]
+name = "iana-time-zone-haiku"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f31827a206f56af32e590ba56d5d2d085f558508192593743f16b2306495269f"
+dependencies = [
+ "cc",
+]
+
+[[package]]
+name = "idna"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "634d9b1461af396cad843f47fdba5597a4f9e6ddd4bfb6ff5d85028c25cb12f6"
+dependencies = [
+ "unicode-bidi",
+ "unicode-normalization",
+]
+
+[[package]]
+name = "indoc"
+version = "2.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1e186cfbae8084e513daff4240b4797e342f988cecda4fb6c939150f96315fd8"
+
+[[package]]
+name = "itertools"
+version = "0.12.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ba291022dbbd398a455acf126c1e341954079855bc60dfdda641363bd6922569"
+dependencies = [
+ "either",
+]
+
+[[package]]
+name = "itoa"
+version = "1.0.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b1a46d1a171d865aa5f83f92695765caa047a9b4cbae2cbf37dbd613a793fd4c"
+
+[[package]]
+name = "js-sys"
+version = "0.3.68"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "406cda4b368d531c842222cf9d2600a9a4acce8d29423695379c6868a143a9ee"
+dependencies = [
+ "wasm-bindgen",
+]
+
+[[package]]
+name = "libc"
+version = "0.2.153"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9c198f91728a82281a64e1f4f9eeb25d82cb32a5de251c6bd1b5154d63a8e7bd"
+
+[[package]]
+name = "linux-raw-sys"
+version = "0.4.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "01cda141df6706de531b6c46c3a33ecca755538219bd484262fa09410c13539c"
+
+[[package]]
+name = "lock_api"
+version = "0.4.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3c168f8615b12bc01f9c17e2eb0cc07dcae1940121185446edc3744920e8ef45"
+dependencies = [
+ "autocfg",
+ "scopeguard",
+]
+
+[[package]]
+name = "log"
+version = "0.4.20"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b5e6163cb8c49088c2c36f57875e58ccd8c87c7427f7fbd50ea6710b2f3f2e8f"
+
+[[package]]
+name = "lru"
+version = "0.12.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d3262e75e648fce39813cb56ac41f3c3e3f65217ebf3844d818d1f9398cfb0dc"
+dependencies = [
+ "hashbrown",
+]
+
+[[package]]
+name = "memchr"
+version = "2.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "523dc4f511e55ab87b694dc30d0f820d60906ef06413f93d4d7a1385599cc149"
+
+[[package]]
+name = "miniz_oxide"
+version = "0.7.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9d811f3e15f28568be3407c8e7fdb6514c1cda3cb30683f15b6a1a1dc4ea14a7"
+dependencies = [
+ "adler",
+]
+
+[[package]]
+name = "mio"
+version = "0.8.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f3d0b296e374a4e6f3c7b0a1f5a51d748a0d34c85e7dc48fc3fa9a87657fe09"
+dependencies = [
+ "libc",
+ "log",
+ "wasi",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "mqttui"
+version = "0.20.0"
+dependencies = [
+ "anyhow",
+ "chrono",
+ "clap",
+ "clap_complete",
+ "clap_mangen",
+ "crossterm",
+ "ego-tree",
+ "rand",
+ "ratatui",
+ "ratatui-binary-data-widget",
+ "rmpv",
+ "rumqttc",
+ "rustls",
+ "rustls-native-certs",
+ "rustls-pemfile",
+ "serde_json",
+ "tui-tree-widget",
+ "url",
+]
+
+[[package]]
+name = "num-traits"
+version = "0.2.18"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "da0df0e5185db44f69b44f26786fe401b6c293d1907744beaa7fa62b2e5a517a"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "object"
+version = "0.32.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a6a622008b6e321afc04970976f62ee297fdbaa6f95318ca343e3eebb9648441"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "once_cell"
+version = "1.19.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3fdb12b2476b595f9358c5161aa467c2438859caa136dec86c26fdd2efe17b92"
+
+[[package]]
+name = "openssl-probe"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ff011a302c396a5197692431fc1948019154afc178baf7d8e37367442a4601cf"
+
+[[package]]
+name = "parking_lot"
+version = "0.12.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3742b2c103b9f06bc9fff0a37ff4912935851bee6d36f3c02bcc755bcfec228f"
+dependencies = [
+ "lock_api",
+ "parking_lot_core",
+]
+
+[[package]]
+name = "parking_lot_core"
+version = "0.9.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4c42a9226546d68acdd9c0a280d17ce19bfe27a46bf68784e4066115788d008e"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "redox_syscall",
+ "smallvec",
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "paste"
+version = "1.0.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "de3145af08024dea9fa9914f381a17b8fc6034dfb00f3a84013f7ff43f29ed4c"
+
+[[package]]
+name = "percent-encoding"
+version = "2.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e3148f5046208a5d56bcfc03053e3ca6334e51da8dfb19b6cdc8b306fae3283e"
+
+[[package]]
+name = "pharos"
+version = "0.5.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e9567389417feee6ce15dd6527a8a1ecac205ef62c2932bcf3d9f6fc5b78b414"
+dependencies = [
+ "futures",
+ "rustc_version",
+]
+
+[[package]]
+name = "pin-project-lite"
+version = "0.2.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8afb450f006bf6385ca15ef45d71d2288452bc3683ce2e2cacc0d18e4be60b58"
+
+[[package]]
+name = "pin-utils"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8b870d8c151b6f2fb93e84a13146138f05d02ed11c7e7c54f8826aaaf7c9f184"
+
+[[package]]
+name = "ppv-lite86"
+version = "0.2.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5b40af805b3121feab8a3c29f04d8ad262fa8e0561883e7653e024ae4479e6de"
+
+[[package]]
+name = "proc-macro2"
+version = "1.0.78"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e2422ad645d89c99f8f3e6b88a9fdeca7fabeac836b1002371c4367c8f984aae"
+dependencies = [
+ "unicode-ident",
+]
+
+[[package]]
+name = "quote"
+version = "1.0.35"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "291ec9ab5efd934aaf503a6466c5d5251535d108ee747472c3977cc5acc868ef"
+dependencies = [
+ "proc-macro2",
+]
+
+[[package]]
+name = "rand"
+version = "0.8.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "34af8d1a0e25924bc5b7c43c079c942339d8f0a8b57c39049bef581b46327404"
+dependencies = [
+ "libc",
+ "rand_chacha",
+ "rand_core",
+]
+
+[[package]]
+name = "rand_chacha"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e6c10a63a0fa32252be49d21e7709d4d4baf8d231c2dbce1eaa8141b9b127d88"
+dependencies = [
+ "ppv-lite86",
+ "rand_core",
+]
+
+[[package]]
+name = "rand_core"
+version = "0.6.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ec0be4795e2f6a28069bec0b5ff3e2ac9bafc99e6a9a7dc3547996c5c816922c"
+dependencies = [
+ "getrandom",
+]
+
+[[package]]
+name = "ratatui"
+version = "0.26.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bcb12f8fbf6c62614b0d56eb352af54f6a22410c3b079eb53ee93c7b97dd31d8"
+dependencies = [
+ "bitflags 2.4.2",
+ "cassowary",
+ "compact_str",
+ "crossterm",
+ "indoc",
+ "itertools",
+ "lru",
+ "paste",
+ "stability",
+ "strum",
+ "unicode-segmentation",
+ "unicode-width",
+]
+
+[[package]]
+name = "ratatui-binary-data-widget"
+version = "0.1.0"
+source = "git+https://github.com/EdJoPaTo/ratatui-binary-data-widget?branch=main#dcc0ef5e9492b6e638d0bf0ca0e9eb94f47e3795"
+dependencies = [
+ "ratatui",
+]
+
+[[package]]
+name = "redox_syscall"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4722d768eff46b75989dd134e5c353f0d6296e5aaa3132e776cbdb56be7731aa"
+dependencies = [
+ "bitflags 1.3.2",
+]
+
+[[package]]
+name = "ring"
+version = "0.17.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c17fa4cb658e3583423e915b9f3acc01cceaee1860e33d59ebae66adc3a2dc0d"
+dependencies = [
+ "cc",
+ "cfg-if",
+ "getrandom",
+ "libc",
+ "spin",
+ "untrusted",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "rmp"
+version = "0.8.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f9860a6cc38ed1da53456442089b4dfa35e7cedaa326df63017af88385e6b20"
+dependencies = [
+ "byteorder",
+ "num-traits",
+ "paste",
+]
+
+[[package]]
+name = "rmpv"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2e0e0214a4a2b444ecce41a4025792fc31f77c7bb89c46d253953ea8c65701ec"
+dependencies = [
+ "num-traits",
+ "rmp",
+]
+
+[[package]]
+name = "roff"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b833d8d034ea094b1ea68aa6d5c740e0d04bad9d16568d08ba6f76823a114316"
+
+[[package]]
+name = "rumqttc"
+version = "0.23.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8d8941c6791801b667d52bfe9ff4fc7c968d4f3f9ae8ae7abdaaa1c966feafc8"
+dependencies = [
+ "async-tungstenite",
+ "bytes",
+ "flume",
+ "futures-util",
+ "http",
+ "log",
+ "rustls-native-certs",
+ "rustls-pemfile",
+ "rustls-webpki",
+ "thiserror",
+ "tokio",
+ "tokio-rustls",
+ "ws_stream_tungstenite",
+]
+
+[[package]]
+name = "rustc-demangle"
+version = "0.1.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d626bb9dae77e28219937af045c257c28bfd3f69333c512553507f5f9798cb76"
+
+[[package]]
+name = "rustc_version"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bfa0f585226d2e68097d4f95d113b15b83a82e819ab25717ec0590d9584ef366"
+dependencies = [
+ "semver",
+]
+
+[[package]]
+name = "rustix"
+version = "0.38.31"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6ea3e1a662af26cd7a3ba09c0297a31af215563ecf42817c98df621387f4e949"
+dependencies = [
+ "bitflags 2.4.2",
+ "errno",
+ "libc",
+ "linux-raw-sys",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "rustls"
+version = "0.21.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f9d5a6813c0759e4609cd494e8e725babae6a2ca7b62a5536a13daaec6fcb7ba"
+dependencies = [
+ "log",
+ "ring",
+ "rustls-webpki",
+ "sct",
+]
+
+[[package]]
+name = "rustls-native-certs"
+version = "0.6.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a9aace74cb666635c918e9c12bc0d348266037aa8eb599b5cba565709a8dff00"
+dependencies = [
+ "openssl-probe",
+ "rustls-pemfile",
+ "schannel",
+ "security-framework",
+]
+
+[[package]]
+name = "rustls-pemfile"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1c74cae0a4cf6ccbbf5f359f08efdf8ee7e1dc532573bf0db71968cb56b1448c"
+dependencies = [
+ "base64",
+]
+
+[[package]]
+name = "rustls-webpki"
+version = "0.101.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8b6275d1ee7a1cd780b64aca7726599a1dbc893b1e64144529e55c3c2f745765"
+dependencies = [
+ "ring",
+ "untrusted",
+]
+
+[[package]]
+name = "rustversion"
+version = "1.0.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7ffc183a10b4478d04cbbbfc96d0873219d962dd5accaff2ffbd4ceb7df837f4"
+
+[[package]]
+name = "ryu"
+version = "1.0.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e86697c916019a8588c99b5fac3cead74ec0b4b819707a682fd4d23fa0ce1ba1"
+
+[[package]]
+name = "schannel"
+version = "0.1.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fbc91545643bcf3a0bbb6569265615222618bdf33ce4ffbbd13c4bbd4c093534"
+dependencies = [
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "scopeguard"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "94143f37725109f92c262ed2cf5e59bce7498c01bcc1502d7b9afe439a4e9f49"
+
+[[package]]
+name = "sct"
+version = "0.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "da046153aa2352493d6cb7da4b6e5c0c057d8a1d0a9aa8560baffdd945acd414"
+dependencies = [
+ "ring",
+ "untrusted",
+]
+
+[[package]]
+name = "security-framework"
+version = "2.9.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "05b64fb303737d99b81884b2c63433e9ae28abebe5eb5045dcdd175dc2ecf4de"
+dependencies = [
+ "bitflags 1.3.2",
+ "core-foundation",
+ "core-foundation-sys",
+ "libc",
+ "security-framework-sys",
+]
+
+[[package]]
+name = "security-framework-sys"
+version = "2.9.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e932934257d3b408ed8f30db49d85ea163bfe74961f017f405b025af298f0c7a"
+dependencies = [
+ "core-foundation-sys",
+ "libc",
+]
+
+[[package]]
+name = "semver"
+version = "1.0.22"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "92d43fe69e652f3df9bdc2b85b2854a0825b86e4fb76bc44d945137d053639ca"
+
+[[package]]
+name = "serde"
+version = "1.0.197"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3fb1c873e1b9b056a4dc4c0c198b24c3ffa059243875552b2bd0933b1aee4ce2"
+dependencies = [
+ "serde_derive",
+]
+
+[[package]]
+name = "serde_derive"
+version = "1.0.197"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7eb0b34b42edc17f6b7cac84a52a1c5f0e1bb2227e997ca9011ea3dd34e8610b"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.51",
+]
+
+[[package]]
+name = "serde_json"
+version = "1.0.114"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c5f09b1bd632ef549eaa9f60a1f8de742bdbc698e6cee2095fc84dde5f549ae0"
+dependencies = [
+ "itoa",
+ "ryu",
+ "serde",
+]
+
+[[package]]
+name = "sha1"
+version = "0.10.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e3bf829a2d51ab4a5ddf1352d8470c140cadc8301b2ae1789db023f01cedd6ba"
+dependencies = [
+ "cfg-if",
+ "cpufeatures",
+ "digest",
+]
+
+[[package]]
+name = "signal-hook"
+version = "0.3.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8621587d4798caf8eb44879d42e56b9a93ea5dcd315a6487c357130095b62801"
+dependencies = [
+ "libc",
+ "signal-hook-registry",
+]
+
+[[package]]
+name = "signal-hook-mio"
+version = "0.2.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "29ad2e15f37ec9a6cc544097b78a1ec90001e9f71b81338ca39f430adaca99af"
+dependencies = [
+ "libc",
+ "mio",
+ "signal-hook",
+]
+
+[[package]]
+name = "signal-hook-registry"
+version = "1.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d8229b473baa5980ac72ef434c4415e70c4b5e71b423043adb4ba059f89c99a1"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "slab"
+version = "0.4.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f92a496fb766b417c996b9c5e57daf2f7ad3b0bebe1ccfca4856390e3d3bb67"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "smallvec"
+version = "1.13.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e6ecd384b10a64542d77071bd64bd7b231f4ed5940fba55e98c3de13824cf3d7"
+
+[[package]]
+name = "socket2"
+version = "0.5.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "05ffd9c0a93b7543e062e759284fcf5f5e3b098501104bfbdde4d404db792871"
+dependencies = [
+ "libc",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "spin"
+version = "0.9.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6980e8d7511241f8acf4aebddbb1ff938df5eebe98691418c4468d0b72a96a67"
+dependencies = [
+ "lock_api",
+]
+
+[[package]]
+name = "stability"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ebd1b177894da2a2d9120208c3386066af06a488255caabc5de8ddca22dbc3ce"
+dependencies = [
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "static_assertions"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a2eb9349b6444b326872e140eb1cf5e7c522154d69e7a0ffb0fb81c06b37543f"
+
+[[package]]
+name = "strsim"
+version = "0.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5ee073c9e4cd00e28217186dbe12796d692868f432bf2e97ee73bed0c56dfa01"
+
+[[package]]
+name = "strum"
+version = "0.26.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "723b93e8addf9aa965ebe2d11da6d7540fa2283fcea14b3371ff055f7ba13f5f"
+dependencies = [
+ "strum_macros",
+]
+
+[[package]]
+name = "strum_macros"
+version = "0.26.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7a3417fc93d76740d974a01654a09777cb500428cc874ca9f45edfe0c4d4cd18"
+dependencies = [
+ "heck",
+ "proc-macro2",
+ "quote",
+ "rustversion",
+ "syn 2.0.51",
+]
+
+[[package]]
+name = "syn"
+version = "1.0.109"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "72b64191b275b66ffe2469e8af2c1cfe3bafa67b529ead792a6d0160888b4237"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "unicode-ident",
+]
+
+[[package]]
+name = "syn"
+version = "2.0.51"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6ab617d94515e94ae53b8406c628598680aa0c9587474ecbe58188f7b345d66c"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "unicode-ident",
+]
+
+[[package]]
+name = "terminal_size"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "21bebf2b7c9e0a515f6e0f8c51dc0f8e4696391e6f1ff30379559f8365fb0df7"
+dependencies = [
+ "rustix",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "thiserror"
+version = "1.0.57"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1e45bcbe8ed29775f228095caf2cd67af7a4ccf756ebff23a306bf3e8b47b24b"
+dependencies = [
+ "thiserror-impl",
+]
+
+[[package]]
+name = "thiserror-impl"
+version = "1.0.57"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a953cb265bef375dae3de6663da4d3804eee9682ea80d8e2542529b73c531c81"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.51",
+]
+
+[[package]]
+name = "tinyvec"
+version = "1.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "87cc5ceb3875bb20c2890005a4e226a4651264a5c75edb2421b52861a0a0cb50"
+dependencies = [
+ "tinyvec_macros",
+]
+
+[[package]]
+name = "tinyvec_macros"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20"
+
+[[package]]
+name = "tokio"
+version = "1.36.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "61285f6515fa018fb2d1e46eb21223fff441ee8db5d0f1435e8ab4f5cdb80931"
+dependencies = [
+ "backtrace",
+ "bytes",
+ "libc",
+ "mio",
+ "pin-project-lite",
+ "socket2",
+ "tokio-macros",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "tokio-macros"
+version = "2.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5b8a1e28f2deaa14e508979454cb3a223b10b938b45af148bc0986de36f1923b"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.51",
+]
+
+[[package]]
+name = "tokio-rustls"
+version = "0.24.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c28327cf380ac148141087fbfb9de9d7bd4e84ab5d2c28fbc911d753de8a7081"
+dependencies = [
+ "rustls",
+ "tokio",
+]
+
+[[package]]
+name = "tracing"
+version = "0.1.40"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c3523ab5a71916ccf420eebdf5521fcef02141234bbc0b8a49f2fdc4544364ef"
+dependencies = [
+ "pin-project-lite",
+ "tracing-attributes",
+ "tracing-core",
+]
+
+[[package]]
+name = "tracing-attributes"
+version = "0.1.27"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "34704c8d6ebcbc939824180af020566b01a7c01f80641264eba0999f6c2b6be7"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.51",
+]
+
+[[package]]
+name = "tracing-core"
+version = "0.1.32"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c06d3da6113f116aaee68e4d601191614c9053067f9ab7f6edbcb161237daa54"
+dependencies = [
+ "once_cell",
+]
+
+[[package]]
+name = "tui-tree-widget"
+version = "0.19.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fb0c6f924587e719c50b8f83485afbe4d4c16edca6b641d5d9a3204edeba5cf0"
+dependencies = [
+ "ratatui",
+ "unicode-width",
+]
+
+[[package]]
+name = "tungstenite"
+version = "0.20.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9e3dac10fd62eaf6617d3a904ae222845979aec67c615d1c842b4002c7666fb9"
+dependencies = [
+ "byteorder",
+ "bytes",
+ "data-encoding",
+ "http",
+ "httparse",
+ "log",
+ "rand",
+ "rustls",
+ "sha1",
+ "thiserror",
+ "url",
+ "utf-8",
+]
+
+[[package]]
+name = "typenum"
+version = "1.17.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "42ff0bf0c66b8238c6f3b578df37d0b7848e55df8577b3f74f92a69acceeb825"
+
+[[package]]
+name = "unicode-bidi"
+version = "0.3.15"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "08f95100a766bf4f8f28f90d77e0a5461bbdb219042e7679bebe79004fed8d75"
+
+[[package]]
+name = "unicode-ident"
+version = "1.0.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3354b9ac3fae1ff6755cb6db53683adb661634f67557942dea4facebec0fee4b"
+
+[[package]]
+name = "unicode-normalization"
+version = "0.1.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a56d1686db2308d901306f92a263857ef59ea39678a5458e7cb17f01415101f5"
+dependencies = [
+ "tinyvec",
+]
+
+[[package]]
+name = "unicode-segmentation"
+version = "1.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d4c87d22b6e3f4a18d4d40ef354e97c90fcb14dd91d7dc0aa9d8a1172ebf7202"
+
+[[package]]
+name = "unicode-width"
+version = "0.1.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e51733f11c9c4f72aa0c160008246859e340b00807569a0da0e7a1079b27ba85"
+
+[[package]]
+name = "untrusted"
+version = "0.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8ecb6da28b8a351d773b68d5825ac39017e680750f980f3a1a85cd8dd28a47c1"
+
+[[package]]
+name = "url"
+version = "2.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "31e6302e3bb753d46e83516cae55ae196fc0c309407cf11ab35cc51a4c2a4633"
+dependencies = [
+ "form_urlencoded",
+ "idna",
+ "percent-encoding",
+]
+
+[[package]]
+name = "utf-8"
+version = "0.7.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "09cc8ee72d2a9becf2f2febe0205bbed8fc6615b7cb429ad062dc7b7ddd036a9"
+
+[[package]]
+name = "utf8parse"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "711b9620af191e0cdc7468a8d14e709c3dcdb115b36f838e601583af800a370a"
+
+[[package]]
+name = "version_check"
+version = "0.9.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "49874b5167b65d7193b8aba1567f5c7d93d001cafc34600cee003eda787e483f"
+
+[[package]]
+name = "wasi"
+version = "0.11.0+wasi-snapshot-preview1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
+
+[[package]]
+name = "wasm-bindgen"
+version = "0.2.91"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c1e124130aee3fb58c5bdd6b639a0509486b0338acaaae0c84a5124b0f588b7f"
+dependencies = [
+ "cfg-if",
+ "wasm-bindgen-macro",
+]
+
+[[package]]
+name = "wasm-bindgen-backend"
+version = "0.2.91"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c9e7e1900c352b609c8488ad12639a311045f40a35491fb69ba8c12f758af70b"
+dependencies = [
+ "bumpalo",
+ "log",
+ "once_cell",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.51",
+ "wasm-bindgen-shared",
+]
+
+[[package]]
+name = "wasm-bindgen-macro"
+version = "0.2.91"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b30af9e2d358182b5c7449424f017eba305ed32a7010509ede96cdc4696c46ed"
+dependencies = [
+ "quote",
+ "wasm-bindgen-macro-support",
+]
+
+[[package]]
+name = "wasm-bindgen-macro-support"
+version = "0.2.91"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "642f325be6301eb8107a83d12a8ac6c1e1c54345a7ef1a9261962dfefda09e66"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.51",
+ "wasm-bindgen-backend",
+ "wasm-bindgen-shared",
+]
+
+[[package]]
+name = "wasm-bindgen-shared"
+version = "0.2.91"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4f186bd2dcf04330886ce82d6f33dd75a7bfcf69ecf5763b89fcde53b6ac9838"
+
+[[package]]
+name = "winapi"
+version = "0.3.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5c839a674fcd7a98952e593242ea400abe93992746761e38641405d28b00f419"
+dependencies = [
+ "winapi-i686-pc-windows-gnu",
+ "winapi-x86_64-pc-windows-gnu",
+]
+
+[[package]]
+name = "winapi-i686-pc-windows-gnu"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ac3b87c63620426dd9b991e5ce0329eff545bccbbb34f3be09ff6fb6ab51b7b6"
+
+[[package]]
+name = "winapi-x86_64-pc-windows-gnu"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
+
+[[package]]
+name = "windows-core"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "33ab640c8d7e35bf8ba19b884ba838ceb4fba93a4e8c65a9059d08afcfc683d9"
+dependencies = [
+ "windows-targets 0.52.3",
+]
+
+[[package]]
+name = "windows-sys"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "677d2418bec65e3338edb076e806bc1ec15693c5d0104683f2efe857f61056a9"
+dependencies = [
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "windows-sys"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "282be5f36a8ce781fad8c8ae18fa3f9beff57ec1b52cb3de0789201425d9a33d"
+dependencies = [
+ "windows-targets 0.52.3",
+]
+
+[[package]]
+name = "windows-targets"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9a2fa6e2155d7247be68c096456083145c183cbbbc2764150dda45a87197940c"
+dependencies = [
+ "windows_aarch64_gnullvm 0.48.5",
+ "windows_aarch64_msvc 0.48.5",
+ "windows_i686_gnu 0.48.5",
+ "windows_i686_msvc 0.48.5",
+ "windows_x86_64_gnu 0.48.5",
+ "windows_x86_64_gnullvm 0.48.5",
+ "windows_x86_64_msvc 0.48.5",
+]
+
+[[package]]
+name = "windows-targets"
+version = "0.52.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d380ba1dc7187569a8a9e91ed34b8ccfc33123bbacb8c0aed2d1ad7f3ef2dc5f"
+dependencies = [
+ "windows_aarch64_gnullvm 0.52.3",
+ "windows_aarch64_msvc 0.52.3",
+ "windows_i686_gnu 0.52.3",
+ "windows_i686_msvc 0.52.3",
+ "windows_x86_64_gnu 0.52.3",
+ "windows_x86_64_gnullvm 0.52.3",
+ "windows_x86_64_msvc 0.52.3",
+]
+
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2b38e32f0abccf9987a4e3079dfb67dcd799fb61361e53e2882c3cbaf0d905d8"
+
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.52.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "68e5dcfb9413f53afd9c8f86e56a7b4d86d9a2fa26090ea2dc9e40fba56c6ec6"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dc35310971f3b2dbbf3f0690a219f40e2d9afcf64f9ab7cc1be722937c26b4bc"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.52.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8dab469ebbc45798319e69eebf92308e541ce46760b49b18c6b3fe5e8965b30f"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a75915e7def60c94dcef72200b9a8e58e5091744960da64ec734a6c6e9b3743e"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.52.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2a4e9b6a7cac734a8b4138a4e1044eac3404d8326b6c0f939276560687a033fb"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f55c233f70c4b27f66c523580f78f1004e8b5a8b659e05a4eb49d4166cca406"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.52.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "28b0ec9c422ca95ff34a78755cfa6ad4a51371da2a5ace67500cf7ca5f232c58"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "53d40abd2583d23e4718fddf1ebec84dbff8381c07cae67ff7768bbf19c6718e"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.52.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "704131571ba93e89d7cd43482277d6632589b18ecf4468f591fbae0a8b101614"
+
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0b7b52767868a23d5bab768e390dc5f5c55825b6d30b86c844ff2dc7414044cc"
+
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.52.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "42079295511643151e98d61c38c0acc444e52dd42ab456f7ccfd5152e8ecf21c"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ed94fce61571a4006852b7389a063ab983c02eb1bb37b47f8272ce92d06d9538"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.52.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0770833d60a970638e989b3fa9fd2bb1aaadcf88963d1659fd7d9990196ed2d6"
+
+[[package]]
+name = "ws_stream_tungstenite"
+version = "0.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e283cc794a890f5bdc01e358ad7c34535025f79ba83c1b5c7e01e5d6c60b336d"
+dependencies = [
+ "async-tungstenite",
+ "async_io_stream",
+ "bitflags 2.4.2",
+ "futures-core",
+ "futures-io",
+ "futures-sink",
+ "futures-util",
+ "pharos",
+ "rustc_version",
+ "tokio",
+ "tracing",
+ "tungstenite",
+]
+
+[[package]]
+name = "zerocopy"
+version = "0.7.32"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "74d4d3961e53fa4c9a25a8637fc2bfaf2595b3d3ae34875568a5cf64787716be"
+dependencies = [
+ "zerocopy-derive",
+]
+
+[[package]]
+name = "zerocopy-derive"
+version = "0.7.32"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9ce1b18ccd8e73a9321186f97e46f9f04b778851177567b1975109d26a08d2a6"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.51",
+]
diff --git a/pkgs/by-name/mq/mqttui/package.nix b/pkgs/by-name/mq/mqttui/package.nix
new file mode 100644
index 000000000000..6c7ca4cb4629
--- /dev/null
+++ b/pkgs/by-name/mq/mqttui/package.nix
@@ -0,0 +1,40 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, rustPlatform
+, darwin
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "mqttui";
+ version = "0.20.0";
+
+ src = fetchFromGitHub {
+ owner = "EdJoPaTo";
+ repo = "mqttui";
+ rev = "refs/tags/v${version}";
+ hash = "sha256-NfRPuZFZMZl1ulEGD5oQkS25oJdBVyLiN3QCQWrDej8=";
+ };
+
+ cargoLock = {
+ lockFile = ./Cargo.lock;
+ outputHashes = {
+ "ratatui-binary-data-widget-0.1.0" = "sha256-4/8ZZag7vpEXnh6wJvZkgGLrOQNJXsnek3gFG/F0+zY=";
+ };
+ };
+
+ postPatch = ''
+ ln -sf ${./Cargo.lock} Cargo.lock
+ '';
+
+ buildInputs = lib.optional stdenv.isDarwin darwin.apple_sdk.frameworks.Security;
+
+ meta = with lib; {
+ description = "Terminal client for MQTT";
+ homepage = "https://github.com/EdJoPaTo/mqttui";
+ changelog = "https://github.com/EdJoPaTo/mqttui/blob/v${version}/CHANGELOG.md";
+ license = licenses.gpl3Only;
+ maintainers = with maintainers; [ fab sikmir ];
+ mainProgram = "mqttui";
+ };
+}
diff --git a/pkgs/by-name/mq/mqttx/package.nix b/pkgs/by-name/mq/mqttx/package.nix
index ac3758584662..f2c22d54ade8 100644
--- a/pkgs/by-name/mq/mqttx/package.nix
+++ b/pkgs/by-name/mq/mqttx/package.nix
@@ -7,17 +7,17 @@
let
pname = "mqttx";
- version = "1.9.8";
+ version = "1.9.9";
suffixedUrl = suffix: "https://github.com/emqx/MQTTX/releases/download/v${version}/MQTTX-${version}${suffix}.AppImage";
sources = {
"aarch64-linux" = fetchurl {
url = suffixedUrl "-arm64";
- hash = "sha256-pdR9LwWgFdO0Dtn7ByyYKpLrfoBnl75TzQ31aIAJ/gs=";
+ hash = "sha256-mCCRvLS6diKoKYZNUMsyiWyFWmyYYB0pAxNT0yriJHI=";
};
"x86_64-linux" = fetchurl {
url = suffixedUrl "";
- hash = "sha256-XHAroiFuUcK0aUleNDskI1bfVX7HfTvIvSup9gKJj1w=";
+ hash = "sha256-InGfGiT3c5M8ueFZl5/hFmYRPeXnwSCUPhAqmz0jsU8=";
};
};
diff --git a/pkgs/by-name/ms/mscompress/package.nix b/pkgs/by-name/ms/mscompress/package.nix
new file mode 100644
index 000000000000..e6bc49feb187
--- /dev/null
+++ b/pkgs/by-name/ms/mscompress/package.nix
@@ -0,0 +1,32 @@
+{ stdenv
+, lib
+, fetchFromGitHub
+, autoreconfHook
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "mscompress";
+ version = "0.4";
+
+ src = fetchFromGitHub {
+ owner = "stapelberg";
+ repo = "mscompress";
+ rev = finalAttrs.version;
+ hash = "sha256-Urq8CzVfO9tdEUrEya+bUzoNjZQ2TO7OB+h2MTAGwEI=";
+ };
+
+ nativeBuildInputs = [ autoreconfHook ];
+
+ enableParallelBuilding = true;
+
+ postInstall = ''
+ install -Dm444 -t $out/share/doc/mscompress ChangeLog README TODO
+ '';
+
+ meta = with lib; {
+ description = ''Microsoft "compress.exe/expand.exe" compatible (de)compressor'';
+ license = licenses.gpl2Only;
+ maintainers = with maintainers; [ peterhoeg ];
+ platforms = platforms.all;
+ };
+})
diff --git a/pkgs/by-name/ms/msolve/package.nix b/pkgs/by-name/ms/msolve/package.nix
index 03dd75785872..cf06aba55595 100644
--- a/pkgs/by-name/ms/msolve/package.nix
+++ b/pkgs/by-name/ms/msolve/package.nix
@@ -9,13 +9,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "msolve";
- version = "0.6.4";
+ version = "0.6.5";
src = fetchFromGitHub {
owner = "algebraic-solving";
repo = "msolve";
rev = "v${finalAttrs.version}";
- hash = "sha256-XSV279cw1svAF+uyVmkUodX4FkltDesXsge4QKHqM1o=";
+ hash = "sha256-qCquagxj31ih0r5kE4x/jsIBI+KBgrMa3HcBGuhlufk=";
};
postPatch = ''
@@ -36,6 +36,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "Library for polynomial system solving through algebraic methods";
+ mainProgram = "msolve";
homepage = "https://msolve.lip6.fr";
license = licenses.gpl2Plus;
maintainers = with maintainers; [ wegank ];
diff --git a/pkgs/servers/polaris/Cargo.lock b/pkgs/by-name/my/mycelium/Cargo.lock
similarity index 55%
rename from pkgs/servers/polaris/Cargo.lock
rename to pkgs/by-name/my/mycelium/Cargo.lock
index 3a44683e8d2f..311901f8a242 100644
--- a/pkgs/servers/polaris/Cargo.lock
+++ b/pkgs/by-name/my/mycelium/Cargo.lock
@@ -2,292 +2,6 @@
# It is not intended for manual editing.
version = 3
-[[package]]
-name = "actix-codec"
-version = "0.5.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "617a8268e3537fe1d8c9ead925fca49ef6400927ee7bc26750e90ecee14ce4b8"
-dependencies = [
- "bitflags 1.3.2",
- "bytes",
- "futures-core",
- "futures-sink",
- "memchr",
- "pin-project-lite",
- "tokio",
- "tokio-util",
- "tracing",
-]
-
-[[package]]
-name = "actix-files"
-version = "0.6.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d832782fac6ca7369a70c9ee9a20554623c5e51c76e190ad151780ebea1cf689"
-dependencies = [
- "actix-http",
- "actix-service",
- "actix-utils",
- "actix-web",
- "askama_escape",
- "bitflags 1.3.2",
- "bytes",
- "derive_more",
- "futures-core",
- "http-range",
- "log",
- "mime",
- "mime_guess",
- "percent-encoding",
- "pin-project-lite",
-]
-
-[[package]]
-name = "actix-http"
-version = "3.4.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a92ef85799cba03f76e4f7c10f533e66d87c9a7e7055f3391f09000ad8351bc9"
-dependencies = [
- "actix-codec",
- "actix-rt",
- "actix-service",
- "actix-utils",
- "ahash",
- "base64 0.21.3",
- "bitflags 2.4.0",
- "brotli",
- "bytes",
- "bytestring",
- "derive_more",
- "encoding_rs",
- "flate2",
- "futures-core",
- "h2",
- "http",
- "httparse",
- "httpdate",
- "itoa",
- "language-tags",
- "local-channel",
- "mime",
- "percent-encoding",
- "pin-project-lite",
- "rand",
- "sha1 0.10.5",
- "smallvec",
- "tokio",
- "tokio-util",
- "tracing",
- "zstd",
-]
-
-[[package]]
-name = "actix-http-test"
-version = "3.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dff10f950882f80a9dc29fb5325db8f66a0692a7c9be3bf547f79e955b699b76"
-dependencies = [
- "actix-codec",
- "actix-rt",
- "actix-server",
- "actix-service",
- "actix-tls",
- "actix-utils",
- "awc",
- "bytes",
- "futures-core",
- "http",
- "log",
- "serde",
- "serde_json",
- "serde_urlencoded",
- "slab",
- "socket2 0.4.9",
- "tokio",
-]
-
-[[package]]
-name = "actix-macros"
-version = "0.2.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e01ed3140b2f8d422c68afa1ed2e85d996ea619c988ac834d255db32138655cb"
-dependencies = [
- "quote",
- "syn 2.0.31",
-]
-
-[[package]]
-name = "actix-router"
-version = "0.5.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d66ff4d247d2b160861fa2866457e85706833527840e4133f8f49aa423a38799"
-dependencies = [
- "bytestring",
- "http",
- "regex",
- "serde",
- "tracing",
-]
-
-[[package]]
-name = "actix-rt"
-version = "2.9.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "28f32d40287d3f402ae0028a9d54bef51af15c8769492826a69d28f81893151d"
-dependencies = [
- "actix-macros",
- "futures-core",
- "tokio",
-]
-
-[[package]]
-name = "actix-server"
-version = "2.3.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3eb13e7eef0423ea6eab0e59f6c72e7cb46d33691ad56a726b3cd07ddec2c2d4"
-dependencies = [
- "actix-rt",
- "actix-service",
- "actix-utils",
- "futures-core",
- "futures-util",
- "mio",
- "socket2 0.5.3",
- "tokio",
- "tracing",
-]
-
-[[package]]
-name = "actix-service"
-version = "2.0.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3b894941f818cfdc7ccc4b9e60fa7e53b5042a2e8567270f9147d5591893373a"
-dependencies = [
- "futures-core",
- "paste",
- "pin-project-lite",
-]
-
-[[package]]
-name = "actix-test"
-version = "0.1.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2173910d0c7d0a21730d3e1304576d9c969eead2b91f3257a7435f7face702e0"
-dependencies = [
- "actix-codec",
- "actix-http",
- "actix-http-test",
- "actix-rt",
- "actix-service",
- "actix-utils",
- "actix-web",
- "awc",
- "futures-core",
- "futures-util",
- "log",
- "serde",
- "serde_json",
- "serde_urlencoded",
- "tokio",
-]
-
-[[package]]
-name = "actix-tls"
-version = "3.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "72616e7fbec0aa99c6f3164677fa48ff5a60036d0799c98cab894a44f3e0efc3"
-dependencies = [
- "actix-rt",
- "actix-service",
- "actix-utils",
- "futures-core",
- "http",
- "impl-more",
- "pin-project-lite",
- "rustls 0.21.7",
- "rustls-webpki 0.101.4",
- "tokio",
- "tokio-util",
- "tracing",
-]
-
-[[package]]
-name = "actix-utils"
-version = "3.0.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "88a1dcdff1466e3c2488e1cb5c36a71822750ad43839937f85d2f4d9f8b705d8"
-dependencies = [
- "local-waker",
- "pin-project-lite",
-]
-
-[[package]]
-name = "actix-web"
-version = "4.4.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0e4a5b5e29603ca8c94a77c65cf874718ceb60292c5a5c3e5f4ace041af462b9"
-dependencies = [
- "actix-codec",
- "actix-http",
- "actix-macros",
- "actix-router",
- "actix-rt",
- "actix-server",
- "actix-service",
- "actix-utils",
- "actix-web-codegen",
- "ahash",
- "bytes",
- "bytestring",
- "cfg-if",
- "cookie 0.16.2",
- "derive_more",
- "encoding_rs",
- "futures-core",
- "futures-util",
- "itoa",
- "language-tags",
- "log",
- "mime",
- "once_cell",
- "pin-project-lite",
- "regex",
- "serde",
- "serde_json",
- "serde_urlencoded",
- "smallvec",
- "socket2 0.5.3",
- "time 0.3.28",
- "url",
-]
-
-[[package]]
-name = "actix-web-codegen"
-version = "4.2.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "eb1f50ebbb30eca122b188319a4398b3f7bb4a8cdf50ecfb73bfc6a3c3ce54f5"
-dependencies = [
- "actix-router",
- "proc-macro2",
- "quote",
- "syn 2.0.31",
-]
-
-[[package]]
-name = "actix-web-httpauth"
-version = "0.8.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6dda62cf04bc3a9ad2ea8f314f721951cfdb4cdacec4e984d20e77c7bb170991"
-dependencies = [
- "actix-utils",
- "actix-web",
- "base64 0.13.1",
- "futures-core",
- "futures-util",
- "log",
- "pin-project-lite",
-]
-
[[package]]
name = "addr2line"
version = "0.21.0"
@@ -304,55 +18,125 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "f26201604c87b1e01bd3d98f8d5d9a8fcbb815e8cedb41ffccbeb4bf593a35fe"
[[package]]
-name = "ahash"
+name = "aead"
+version = "0.5.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d122413f284cf2d62fb1b7db97e02edb8cda96d769b16e443a4f6195e35662b0"
+dependencies = [
+ "crypto-common",
+ "generic-array",
+]
+
+[[package]]
+name = "aes"
version = "0.8.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2c99f64d1e06488f620f932677e24bc6e2897582980441ae90a671415bd7ec2f"
+checksum = "ac1f845298e95f983ff1944b728ae08b8cebab80d684f0a832ed0fc74dfa27e2"
dependencies = [
"cfg-if",
- "getrandom",
- "once_cell",
- "version_check",
+ "cipher",
+ "cpufeatures",
+]
+
+[[package]]
+name = "aes-gcm"
+version = "0.10.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "831010a0f742e1209b3bcea8fab6a8e149051ba6099432c8cb2cc117dec3ead1"
+dependencies = [
+ "aead",
+ "aes",
+ "cipher",
+ "ctr",
+ "ghash",
+ "subtle",
]
[[package]]
name = "aho-corasick"
-version = "1.0.5"
+version = "1.1.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0c378d78423fdad8089616f827526ee33c19f2fddbd5de1629152c9593ba4783"
+checksum = "b2969dcb958b36655471fc61f7e416fa76033bdd4bfed0678d8fee1e2d07a1f0"
dependencies = [
"memchr",
]
[[package]]
-name = "alloc-no-stdlib"
-version = "2.0.4"
+name = "anstream"
+version = "0.6.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cc7bb162ec39d46ab1ca8c77bf72e890535becd1751bb45f64c597edb4c8c6b3"
-
-[[package]]
-name = "alloc-stdlib"
-version = "0.2.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "94fb8275041c72129eb51b7d0322c29b8387a0386127718b096429201a5d6ece"
+checksum = "6e2e1ebcb11de5c03c67de28a7df593d32191b44939c482e97702baaaa6ab6a5"
dependencies = [
- "alloc-no-stdlib",
+ "anstyle",
+ "anstyle-parse",
+ "anstyle-query",
+ "anstyle-wincon",
+ "colorchoice",
+ "utf8parse",
]
[[package]]
-name = "ape"
-version = "0.5.0"
+name = "anstyle"
+version = "1.0.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1cdc864958f3a41f67f998dd2fe9635a525d2f232787d0268690b5e0876a2262"
+checksum = "7079075b41f533b8c61d2a4d073c4676e1f8b249ff94a393b0595db304e0dd87"
+
+[[package]]
+name = "anstyle-parse"
+version = "0.2.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c75ac65da39e5fe5ab759307499ddad880d724eed2f6ce5b5e8a26f4f387928c"
dependencies = [
- "byteorder",
+ "utf8parse",
]
[[package]]
-name = "askama_escape"
-version = "0.10.3"
+name = "anstyle-query"
+version = "1.0.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "619743e34b5ba4e9703bba34deac3427c72507c7159f5fd030aea8cac0cfe341"
+checksum = "e28923312444cdd728e4738b3f9c9cac739500909bb3d3c94b43551b16517648"
+dependencies = [
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "anstyle-wincon"
+version = "3.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1cd54b81ec8d6180e24654d0b371ad22fc3dd083b6ff8ba325b72e00c87660a7"
+dependencies = [
+ "anstyle",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "anyhow"
+version = "1.0.79"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "080e9890a082662b09c1ad45f567faeeb47f22b5fb23895fbe1e651e718e25ca"
+
+[[package]]
+name = "arrayref"
+version = "0.3.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6b4930d2cb77ce62f89ee5d5289b4ac049559b1c45539271f5ed4fdc7db34545"
+
+[[package]]
+name = "arrayvec"
+version = "0.7.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "96d30a06541fbafbc7f82ed10c06164cfbd2c401138f6addd8404629c4b16711"
+
+[[package]]
+name = "async-trait"
+version = "0.1.77"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c980ee35e870bd1a4d2c8294d4c04d0499e67bca1e4b5cefcc693c2fa00caea9"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+]
[[package]]
name = "autocfg"
@@ -361,36 +145,56 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "d468802bab17cbc0cc575e9b053f41e72aa36bfa6b7f55e3529ffa43161b97fa"
[[package]]
-name = "awc"
-version = "3.2.0"
+name = "axum"
+version = "0.7.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7fa3c705a9c7917ac0f41c0757a0a747b43bbc29b0b364b081bd7c5fc67fb223"
+checksum = "1236b4b292f6c4d6dc34604bb5120d85c3fe1d1aa596bd5cc52ca054d13e7b9e"
dependencies = [
- "actix-codec",
- "actix-http",
- "actix-rt",
- "actix-service",
- "actix-tls",
- "actix-utils",
- "base64 0.21.3",
+ "async-trait",
+ "axum-core",
"bytes",
- "cfg-if",
- "cookie 0.16.2",
- "derive_more",
- "futures-core",
"futures-util",
- "h2",
- "http",
+ "http 1.1.0",
+ "http-body 1.0.0",
+ "http-body-util",
+ "hyper 1.2.0",
+ "hyper-util",
"itoa",
- "log",
+ "matchit",
+ "memchr",
"mime",
"percent-encoding",
"pin-project-lite",
- "rand",
+ "rustversion",
"serde",
"serde_json",
+ "serde_path_to_error",
"serde_urlencoded",
+ "sync_wrapper",
"tokio",
+ "tower",
+ "tower-layer",
+ "tower-service",
+]
+
+[[package]]
+name = "axum-core"
+version = "0.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a15c63fd72d41492dc4f497196f5da1fb04fb7529e631d73630d1b491e47a2e3"
+dependencies = [
+ "async-trait",
+ "bytes",
+ "futures-util",
+ "http 1.1.0",
+ "http-body 1.0.0",
+ "http-body-util",
+ "mime",
+ "pin-project-lite",
+ "rustversion",
+ "sync_wrapper",
+ "tower-layer",
+ "tower-service",
]
[[package]]
@@ -408,29 +212,11 @@ dependencies = [
"rustc-demangle",
]
-[[package]]
-name = "base-x"
-version = "0.2.11"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4cbbc9d0964165b47557570cce6c952866c2678457aca742aafc9fb771d30270"
-
[[package]]
name = "base64"
-version = "0.13.1"
+version = "0.21.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9e1b586273c5702936fe7b7d6896644d8be71e6314cfe09d3167c95f712589e8"
-
-[[package]]
-name = "base64"
-version = "0.21.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "414dcefbc63d77c526a76b3afcf6fbb9b5e2791c19c3aa2297733208750c6e53"
-
-[[package]]
-name = "base64ct"
-version = "1.6.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8c3c1a368f70d6cf7302d78f8f7093da241fb8e8807c05cc9e51a125895a6d5b"
+checksum = "9d297deb1925b89f2ccc13d7635fa0714f12c87adce1c75356b39ca9b7178567"
[[package]]
name = "bitflags"
@@ -440,9 +226,31 @@ checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a"
[[package]]
name = "bitflags"
-version = "2.4.0"
+version = "2.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b4682ae6287fcf752ecaabbfcc7b6f9b72aa33933dc23a554d853aea8eea8635"
+checksum = "ed570934406eb16438a4e976b1b4500774099c13b8cb96eec99f620f05090ddf"
+
+[[package]]
+name = "blake2"
+version = "0.10.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "46502ad458c9a52b69d4d4d32775c788b7a1b85e8bc9d482d92250fc0e3f8efe"
+dependencies = [
+ "digest",
+]
+
+[[package]]
+name = "blake3"
+version = "1.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0231f06152bf547e9c2b5194f247cd97aacf6dcd8b15d8e5ec0663f64580da87"
+dependencies = [
+ "arrayref",
+ "arrayvec",
+ "cc",
+ "cfg-if",
+ "constant_time_eq",
+]
[[package]]
name = "block-buffer"
@@ -453,55 +261,17 @@ dependencies = [
"generic-array",
]
-[[package]]
-name = "branca"
-version = "0.10.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "04de6ca7d864c7bdf6da0d4f33c9c447794856070d89e697ce87fd43d75f5f3f"
-dependencies = [
- "base-x",
- "byteorder",
- "orion",
-]
-
-[[package]]
-name = "brotli"
-version = "3.3.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a1a0b1dbcc8ae29329621f8d4f0d835787c1c38bb1401979b49d13b0b305ff68"
-dependencies = [
- "alloc-no-stdlib",
- "alloc-stdlib",
- "brotli-decompressor",
-]
-
-[[package]]
-name = "brotli-decompressor"
-version = "2.3.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4b6561fd3f895a11e8f72af2cb7d22e08366bebc2b6b57f7744c4bda27034744"
-dependencies = [
- "alloc-no-stdlib",
- "alloc-stdlib",
-]
-
[[package]]
name = "bumpalo"
-version = "3.13.0"
+version = "3.14.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a3e2c3daef883ecc1b5d58c15adae93470a91d425f3532ba1695849656af3fc1"
-
-[[package]]
-name = "bytemuck"
-version = "1.14.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "374d28ec25809ee0e23827c2ab573d729e293f281dfe393500e7ad618baa61c6"
+checksum = "7f30e7476521f6f8af1a1c4c0b8cc94f0bee37d91763d0ca2665f299b6cd8aec"
[[package]]
name = "byteorder"
-version = "1.4.3"
+version = "1.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "14c189c53d098945499cdfa7ecc63567cf3886b3332b312a5b4585d8d3a6a610"
+checksum = "1fd0f2584146f6f2ef48085050886acf353beff7305ebd1ae69500e27c67f64b"
[[package]]
name = "bytes"
@@ -510,12 +280,23 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "a2bd12c1caf447e69cd4528f47f94d203fd2582878ecb9e9465484c4148a8223"
[[package]]
-name = "bytestring"
-version = "1.3.0"
+name = "c2rust-bitfields"
+version = "0.18.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "238e4886760d98c4f899360c834fa93e62cf7f721ac3c2da375cbdf4b8679aae"
+checksum = "b43c3f07ab0ef604fa6f595aa46ec2f8a22172c975e186f6f5bf9829a3b72c41"
dependencies = [
- "bytes",
+ "c2rust-bitfields-derive",
+]
+
+[[package]]
+name = "c2rust-bitfields-derive"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d3cbc102e2597c9744c8bd8c15915d554300601c91a079430d309816b0912545"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
]
[[package]]
@@ -524,7 +305,6 @@ version = "1.0.83"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "f1174fb0b6ec23863f8b971027804a42614e347eafb0a95bf0b12cdae21fc4d0"
dependencies = [
- "jobserver",
"libc",
]
@@ -535,126 +315,96 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd"
[[package]]
-name = "chunked_transfer"
-version = "1.4.1"
+name = "cfg_aliases"
+version = "0.1.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cca491388666e04d7248af3f60f0c40cfb0991c72205595d7c396e3510207d1a"
+checksum = "fd16c4719339c4530435d38e511904438d07cce7950afa3718a84ac36c10e89e"
[[package]]
-name = "color_quant"
-version = "1.1.0"
+name = "cipher"
+version = "0.4.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3d7b894f5411737b7867f4827955924d7c254fc9f4d91a6aad6b097804b1018b"
-
-[[package]]
-name = "const_fn"
-version = "0.4.9"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fbdcdcb6d86f71c5e97409ad45898af11cbc995b4ee8112d59095a28d376c935"
-
-[[package]]
-name = "convert_case"
-version = "0.4.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6245d59a3e82a7fc217c5828a6692dbc6dfb63a0c8c90495621f7b9d79704a0e"
-
-[[package]]
-name = "cookie"
-version = "0.14.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "03a5d7b21829bc7b4bf4754a978a241ae54ea55a40f92bb20216e54096f4b951"
+checksum = "773f3b9af64447d2ce9850330c473515014aa235e6a783b02db81ff39e4a3dad"
dependencies = [
- "percent-encoding",
- "time 0.2.27",
- "version_check",
+ "crypto-common",
+ "inout",
]
[[package]]
-name = "cookie"
-version = "0.16.2"
+name = "clap"
+version = "4.4.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e859cd57d0710d9e06c381b550c06e76992472a8c6d527aecd2fc673dcc231fb"
+checksum = "1e578d6ec4194633722ccf9544794b71b1385c3c027efe0c55db226fc880865c"
dependencies = [
- "percent-encoding",
- "time 0.3.28",
- "version_check",
+ "clap_builder",
+ "clap_derive",
]
[[package]]
-name = "cookie_store"
-version = "0.12.0"
+name = "clap_builder"
+version = "4.4.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3818dfca4b0cb5211a659bbcbb94225b7127407b2b135e650d717bfb78ab10d3"
+checksum = "4df4df40ec50c46000231c914968278b1eb05098cf8f1b3a518a95030e71d1c7"
dependencies = [
- "cookie 0.14.4",
- "idna 0.2.3",
- "log",
- "publicsuffix",
- "serde",
- "serde_json",
- "time 0.2.27",
- "url",
+ "anstream",
+ "anstyle",
+ "clap_lex",
+ "strsim",
]
[[package]]
-name = "cpufeatures"
-version = "0.2.9"
+name = "clap_derive"
+version = "4.4.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a17b76ff3a4162b0b27f354a0c87015ddad39d35f9c0c36607a3bdd175dde1f1"
+checksum = "cf9804afaaf59a91e75b022a30fb7229a7901f60c755489cc61c9b423b836442"
dependencies = [
+ "heck",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "clap_lex"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "702fc72eb24e5a1e48ce58027a675bc24edd52096d5397d4aea7c6dd9eca0bd1"
+
+[[package]]
+name = "colorchoice"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "acbf1af155f9b9ef647e42cdc158db4b64a1b61f743629225fde6f3e0be2a7c7"
+
+[[package]]
+name = "constant_time_eq"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f7144d30dcf0fafbce74250a3963025d8d52177934239851c917d29f1df280c2"
+
+[[package]]
+name = "core-foundation"
+version = "0.9.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "91e195e091a93c46f7102ec7818a2aa394e1e1771c3ab4825963fa03e45afb8f"
+dependencies = [
+ "core-foundation-sys",
"libc",
]
[[package]]
-name = "crc32fast"
-version = "1.3.2"
+name = "core-foundation-sys"
+version = "0.8.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b540bd8bc810d3885c6ea91e2018302f68baba2129ab3e88f32389ee9370880d"
-dependencies = [
- "cfg-if",
-]
+checksum = "06ea2b9bc92be3c2baa9334a323ebca2d6f074ff852cd1d7b11064035cd3868f"
[[package]]
-name = "crossbeam-channel"
-version = "0.5.8"
+name = "cpufeatures"
+version = "0.2.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a33c2bf77f2df06183c3aa30d1e96c0695a313d4f9c453cc3762a6db39f99200"
+checksum = "53fe5e26ff1b7aef8bca9c6080520cfb8d9333c7568e1829cef191a9723e5504"
dependencies = [
- "cfg-if",
- "crossbeam-utils",
-]
-
-[[package]]
-name = "crossbeam-deque"
-version = "0.8.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ce6fd6f855243022dcecf8702fef0c297d4338e226845fe067f6341ad9fa0cef"
-dependencies = [
- "cfg-if",
- "crossbeam-epoch",
- "crossbeam-utils",
-]
-
-[[package]]
-name = "crossbeam-epoch"
-version = "0.9.15"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ae211234986c545741a7dc064309f67ee1e5ad243d0e48335adc0484d960bcc7"
-dependencies = [
- "autocfg",
- "cfg-if",
- "crossbeam-utils",
- "memoffset",
- "scopeguard",
-]
-
-[[package]]
-name = "crossbeam-utils"
-version = "0.8.16"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5a22b2d63d4d1dc0b7f1b6b2747dd0088008a9be28b6ddf0b1e7d335e3037294"
-dependencies = [
- "cfg-if",
+ "libc",
]
[[package]]
@@ -664,85 +414,53 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "1bfb12502f3fc46cca1bb51ac28df9d618d813cdc3d2f25b9fe775a34af26bb3"
dependencies = [
"generic-array",
+ "rand_core",
"typenum",
]
[[package]]
-name = "ct-codecs"
-version = "1.1.1"
+name = "ctr"
+version = "0.9.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f3b7eb4404b8195a9abb6356f4ac07d8ba267045c8d6d220ac4dc992e6cc75df"
+checksum = "0369ee1ad671834580515889b80f2ea915f23b8be8d0daa4bbaf2ac5c7590835"
+dependencies = [
+ "cipher",
+]
[[package]]
-name = "daemonize"
-version = "0.5.0"
+name = "curve25519-dalek"
+version = "4.1.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ab8bfdaacb3c887a54d41bdf48d3af8873b3f5566469f8ba21b92057509f116e"
+checksum = "e89b8c6a2e4b1f45971ad09761aafb85514a84744b67a95e32c3cc1352d1f65c"
dependencies = [
- "libc",
+ "cfg-if",
+ "cpufeatures",
+ "curve25519-dalek-derive",
+ "fiat-crypto",
+ "platforms",
+ "rustc_version",
+ "subtle",
+ "zeroize",
+]
+
+[[package]]
+name = "curve25519-dalek-derive"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f46882e17999c6cc590af592290432be3bce0428cb0d5f8b6715e4dc7b383eb3"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
]
[[package]]
name = "deranged"
-version = "0.3.8"
+version = "0.3.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f2696e8a945f658fd14dc3b87242e6b80cd0f36ff04ea560fa39082368847946"
-
-[[package]]
-name = "derive_more"
-version = "0.99.17"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4fb810d30a7c1953f91334de7244731fc3f3c10d7fe163338a35b9f640960321"
+checksum = "b42b6fa04a440b495c8b04d0e71b707c585f83cb9cb28cf8cd0d976c315e31b4"
dependencies = [
- "convert_case",
- "proc-macro2",
- "quote",
- "rustc_version 0.4.0",
- "syn 1.0.109",
-]
-
-[[package]]
-name = "diesel"
-version = "2.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d98235fdc2f355d330a8244184ab6b4b33c28679c0b4158f63138e51d6cf7e88"
-dependencies = [
- "diesel_derives",
- "libsqlite3-sys",
- "r2d2",
- "time 0.3.28",
-]
-
-[[package]]
-name = "diesel_derives"
-version = "2.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e054665eaf6d97d1e7125512bb2d35d07c73ac86cc6920174cb42d1ab697a554"
-dependencies = [
- "diesel_table_macro_syntax",
- "proc-macro2",
- "quote",
- "syn 2.0.31",
-]
-
-[[package]]
-name = "diesel_migrations"
-version = "2.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6036b3f0120c5961381b570ee20a02432d7e2d27ea60de9578799cf9156914ac"
-dependencies = [
- "diesel",
- "migrations_internals",
- "migrations_macros",
-]
-
-[[package]]
-name = "diesel_table_macro_syntax"
-version = "0.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fc5557efc453706fed5e4fa85006fe9817c224c3f480a34c7e5959fd700921c5"
-dependencies = [
- "syn 2.0.31",
+ "powerfmt",
]
[[package]]
@@ -756,18 +474,6 @@ dependencies = [
"subtle",
]
-[[package]]
-name = "discard"
-version = "1.0.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "212d0f5754cb6769937f4501cc0e67f4f4483c8d2c3e1e922ee9edbe4ab4c7c0"
-
-[[package]]
-name = "either"
-version = "1.9.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a26ae43d7bcc3b814de94796a5e736d4029efb0ee900c12e2d54c993ad1a1e07"
-
[[package]]
name = "encoding_rs"
version = "0.8.33"
@@ -777,6 +483,19 @@ dependencies = [
"cfg-if",
]
+[[package]]
+name = "env_logger"
+version = "0.10.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4cd405aab171cb85d6735e5c8d9db038c17d3ca007a4d2c25f337935c3d90580"
+dependencies = [
+ "humantime",
+ "is-terminal",
+ "log",
+ "regex",
+ "termcolor",
+]
+
[[package]]
name = "equivalent"
version = "1.0.1"
@@ -784,29 +503,38 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "5443807d6dff69373d433ab9ef5378ad8df50ca6298caf15de6e52e24aaf54d5"
[[package]]
-name = "fdeflate"
-version = "0.3.0"
+name = "errno"
+version = "0.3.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d329bdeac514ee06249dabc27877490f17f5d371ec693360768b838e19f3ae10"
+checksum = "a258e46cdc063eb8519c00b9fc845fc47bcfca4130e2f08e88665ceda8474245"
dependencies = [
- "simd-adler32",
+ "libc",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "etherparse"
+version = "0.14.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "24890603eb4b43aa788f02261ce21714449033e3e2ab93692f0ab18480c3c9b1"
+dependencies = [
+ "arrayvec",
+]
+
+[[package]]
+name = "faster-hex"
+version = "0.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "239f7bfb930f820ab16a9cd95afc26f88264cf6905c960b340a615384aa3338a"
+dependencies = [
+ "serde",
]
[[package]]
name = "fiat-crypto"
-version = "0.1.20"
+version = "0.2.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e825f6987101665dea6ec934c09ec6d721de7bc1bf92248e1d5810c8cd636b77"
-
-[[package]]
-name = "flate2"
-version = "1.0.27"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c6c98ee8095e9d1dcbf2fcc6d95acccb90d1c81db1e44725c6a984b1dbdfb010"
-dependencies = [
- "crc32fast",
- "miniz_oxide",
-]
+checksum = "27573eac26f4dd11e2b1916c3fe1baa56407c83c71a773a8ba17ec0bca03b6b7"
[[package]]
name = "fnv"
@@ -816,63 +544,115 @@ checksum = "3f9eec918d3f24069decb9af1554cad7c880e2da24a9afd88aca000531ab82c1"
[[package]]
name = "form_urlencoded"
-version = "1.2.0"
+version = "1.2.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a62bc1cf6f830c2ec14a513a9fb124d0a213a629668a4186f329db21fe045652"
+checksum = "e13624c2627564efccf4934284bdd98cbaa14e79b0b5a141218e507b3a823456"
dependencies = [
"percent-encoding",
]
[[package]]
-name = "fs_extra"
-version = "1.3.0"
+name = "futures"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "42703706b716c37f96a77aea830392ad231f44c9e9a67872fa5548707e11b11c"
+checksum = "645c6916888f6cb6350d2550b80fb63e734897a8498abe35cfb732b6487804b0"
+dependencies = [
+ "futures-channel",
+ "futures-core",
+ "futures-executor",
+ "futures-io",
+ "futures-sink",
+ "futures-task",
+ "futures-util",
+]
+
+[[package]]
+name = "futures-channel"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eac8f7d7865dcb88bd4373ab671c8cf4508703796caa2b1985a9ca867b3fcb78"
+dependencies = [
+ "futures-core",
+ "futures-sink",
+]
[[package]]
name = "futures-core"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4bca583b7e26f571124fe5b7561d49cb2868d79116cfa0eefce955557c6fee8c"
+checksum = "dfc6580bb841c5a68e9ef15c77ccc837b40a7504914d52e47b8b0e9bbda25a1d"
+
+[[package]]
+name = "futures-executor"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a576fc72ae164fca6b9db127eaa9a9dda0d61316034f33a0a0d4eda41f02b01d"
+dependencies = [
+ "futures-core",
+ "futures-task",
+ "futures-util",
+]
+
+[[package]]
+name = "futures-io"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a44623e20b9681a318efdd71c299b6b222ed6f231972bfe2f224ebad6311f0c1"
[[package]]
name = "futures-macro"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "89ca545a94061b6365f2c7355b4b32bd20df3ff95f02da9329b34ccc3bd6ee72"
+checksum = "87750cf4b7a4c0625b1529e4c543c2182106e4dedc60a2a6455e00d212c489ac"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.31",
+ "syn 2.0.48",
]
[[package]]
name = "futures-sink"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f43be4fe21a13b9781a69afa4985b0f6ee0e1afab2c6f454a8cf30e2b2237b6e"
+checksum = "9fb8e00e87438d937621c1c6269e53f536c14d3fbd6a042bb24879e57d474fb5"
[[package]]
name = "futures-task"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "76d3d132be6c0e6aa1534069c705a74a5997a356c0dc2f86a47765e5617c5b65"
+checksum = "38d84fa142264698cdce1a9f9172cf383a0c82de1bddcf3092901442c4097004"
[[package]]
name = "futures-util"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "26b01e40b772d54cf6c6d721c1d1abd0647a0106a12ecaa1c186273392a69533"
+checksum = "3d6401deb83407ab3da39eba7e33987a73c3df0c82b4bb5813ee871c19c41d48"
dependencies = [
+ "futures-channel",
"futures-core",
+ "futures-io",
"futures-macro",
"futures-sink",
"futures-task",
+ "memchr",
"pin-project-lite",
"pin-utils",
"slab",
]
+[[package]]
+name = "generator"
+version = "0.7.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5cc16584ff22b460a382b7feec54b23d2908d858152e5739a120b949293bd74e"
+dependencies = [
+ "cc",
+ "libc",
+ "log",
+ "rustversion",
+ "windows 0.48.0",
+]
+
[[package]]
name = "generic-array"
version = "0.14.7"
@@ -883,15 +663,6 @@ dependencies = [
"version_check",
]
-[[package]]
-name = "getopts"
-version = "0.2.21"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "14dbbfd5c71d70241ecf9e6f13737f7b5ce823821063188d7e46c41d371eebd5"
-dependencies = [
- "unicode-width",
-]
-
[[package]]
name = "getrandom"
version = "0.2.12"
@@ -904,34 +675,53 @@ dependencies = [
]
[[package]]
-name = "gif"
-version = "0.12.0"
+name = "ghash"
+version = "0.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "80792593675e051cf94a4b111980da2ba60d4a83e43e0048c5693baab3977045"
+checksum = "d930750de5717d2dd0b8c0d42c076c0e884c81a73e6cab859bbd2339c71e3e40"
dependencies = [
- "color_quant",
- "weezl",
+ "opaque-debug",
+ "polyval",
]
[[package]]
name = "gimli"
-version = "0.28.0"
+version = "0.28.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6fb8d784f27acf97159b40fc4db5ecd8aa23b9ad5ef69cdd136d3bc80665f0c0"
+checksum = "4271d37baee1b8c7e4b708028c57d816cf9d2434acb33a549475f78c181f6253"
[[package]]
name = "h2"
-version = "0.3.21"
+version = "0.3.24"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "91fc23aa11be92976ef4729127f1a74adf36d8436f7816b185d18df956790833"
+checksum = "bb2c4422095b67ee78da96fbb51a4cc413b3b25883c7717ff7ca1ab31022c9c9"
dependencies = [
"bytes",
"fnv",
"futures-core",
"futures-sink",
"futures-util",
- "http",
- "indexmap 1.9.3",
+ "http 0.2.11",
+ "indexmap",
+ "slab",
+ "tokio",
+ "tokio-util",
+ "tracing",
+]
+
+[[package]]
+name = "h2"
+version = "0.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "31d030e59af851932b72ceebadf4a2b5986dba4c3b99dd2493f8273a0f151943"
+dependencies = [
+ "bytes",
+ "fnv",
+ "futures-core",
+ "futures-sink",
+ "futures-util",
+ "http 1.1.0",
+ "indexmap",
"slab",
"tokio",
"tokio-util",
@@ -940,66 +730,27 @@ dependencies = [
[[package]]
name = "hashbrown"
-version = "0.12.3"
+version = "0.14.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8a9ee70c43aaf417c914396645a0fa852624801b24ebb7ae78fe8272889ac888"
+checksum = "290f1a1d9242c78d09ce40a5e87e7554ee637af1351968159f4952f028f75604"
[[package]]
-name = "hashbrown"
-version = "0.14.0"
+name = "heck"
+version = "0.4.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2c6201b9ff9fd90a5a3bac2e56a830d0caa509576f0e503818ee82c181b3437a"
-
-[[package]]
-name = "headers"
-version = "0.3.9"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "06683b93020a07e3dbcf5f8c0f6d40080d725bea7936fc01ad345c01b97dc270"
-dependencies = [
- "base64 0.21.3",
- "bytes",
- "headers-core",
- "http",
- "httpdate",
- "mime",
- "sha1 0.10.5",
-]
-
-[[package]]
-name = "headers-core"
-version = "0.2.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e7f66481bfee273957b1f20485a4ff3362987f85b2c236580d81b4eb7a326429"
-dependencies = [
- "http",
-]
+checksum = "95505c38b4572b2d910cecb0281560f54b440a19336cbbcb27bf6ce6adc6f5a8"
[[package]]
name = "hermit-abi"
-version = "0.3.2"
+version = "0.3.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "443144c8cdadd93ebf52ddb4056d257f5b52c04d3c804e657d19eb73fc33668b"
-
-[[package]]
-name = "hex"
-version = "0.4.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7f24254aa9a54b5c858eaee2f5bccdb46aaf0e486a595ed5fd8f86ba55232a70"
-
-[[package]]
-name = "hmac"
-version = "0.12.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6c49c37c09c17a53d937dfbb742eb3a961d65a994e6bcdcf37e7399d0cc8ab5e"
-dependencies = [
- "digest",
-]
+checksum = "5d3d0e0f38255e7fa3cf31335b3a56f05febd18025f4db5ef7a0cfb4f8da651f"
[[package]]
name = "http"
-version = "0.2.9"
+version = "0.2.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bd6effc99afb63425aff9b05836f029929e345a6148a14b7ecd5ab67af944482"
+checksum = "8947b1a6fad4393052c7ba1f4cd97bed3e953a95c79c92ad9b051a04611d9fbb"
dependencies = [
"bytes",
"fnv",
@@ -1007,10 +758,49 @@ dependencies = [
]
[[package]]
-name = "http-range"
-version = "0.1.5"
+name = "http"
+version = "1.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "21dec9db110f5f872ed9699c3ecf50cf16f423502706ba5c72462e28d3157573"
+checksum = "21b9ddb458710bc376481b842f5da65cdf31522de232c1ca8146abce2a358258"
+dependencies = [
+ "bytes",
+ "fnv",
+ "itoa",
+]
+
+[[package]]
+name = "http-body"
+version = "0.4.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7ceab25649e9960c0311ea418d17bee82c0dcec1bd053b5f9a66e265a693bed2"
+dependencies = [
+ "bytes",
+ "http 0.2.11",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "http-body"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1cac85db508abc24a2e48553ba12a996e87244a0395ce011e62b37158745d643"
+dependencies = [
+ "bytes",
+ "http 1.1.0",
+]
+
+[[package]]
+name = "http-body-util"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "41cb79eb393015dadd30fc252023adb0b2400a0caee0fa2a077e6e21a551e840"
+dependencies = [
+ "bytes",
+ "futures-util",
+ "http 1.1.0",
+ "http-body 1.0.0",
+ "pin-project-lite",
+]
[[package]]
name = "httparse"
@@ -1025,115 +815,144 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "df3b46402a9d5adb4c86a0cf463f42e19994e3ee891101b1841f30a545cb49a9"
[[package]]
-name = "id3"
-version = "1.7.0"
+name = "humantime"
+version = "2.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9389dd9c8c4671b1e4b2878a6329bccb573f9c24a75bc91c641c451ce5436501"
+checksum = "9a3a5bfb195931eeb336b2a7b4d761daec841b97f947d34394601737a7bba5e4"
+
+[[package]]
+name = "hyper"
+version = "0.14.28"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bf96e135eb83a2a8ddf766e426a841d8ddd7449d5f00d34ea02b41d2f19eef80"
dependencies = [
- "bitflags 2.4.0",
- "byteorder",
- "flate2",
+ "bytes",
+ "futures-channel",
+ "futures-core",
+ "futures-util",
+ "h2 0.3.24",
+ "http 0.2.11",
+ "http-body 0.4.6",
+ "httparse",
+ "httpdate",
+ "itoa",
+ "pin-project-lite",
+ "socket2",
+ "tokio",
+ "tower-service",
+ "tracing",
+ "want",
+]
+
+[[package]]
+name = "hyper"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "186548d73ac615b32a73aafe38fb4f56c0d340e110e5a200bcadbaf2e199263a"
+dependencies = [
+ "bytes",
+ "futures-channel",
+ "futures-util",
+ "h2 0.4.2",
+ "http 1.1.0",
+ "http-body 1.0.0",
+ "httparse",
+ "httpdate",
+ "itoa",
+ "pin-project-lite",
+ "smallvec",
+ "tokio",
+]
+
+[[package]]
+name = "hyper-util"
+version = "0.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ca38ef113da30126bbff9cd1705f9273e15d45498615d138b0c20279ac7a76aa"
+dependencies = [
+ "bytes",
+ "futures-util",
+ "http 1.1.0",
+ "http-body 1.0.0",
+ "hyper 1.2.0",
+ "pin-project-lite",
+ "socket2",
+ "tokio",
]
[[package]]
name = "idna"
-version = "0.2.3"
+version = "0.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "418a0a6fab821475f634efe3ccc45c013f742efe03d853e8d3355d5cb850ecf8"
-dependencies = [
- "matches",
- "unicode-bidi",
- "unicode-normalization",
-]
-
-[[package]]
-name = "idna"
-version = "0.4.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7d20d6b07bfbc108882d88ed8e37d39636dcc260e15e30c45e6ba089610b917c"
+checksum = "634d9b1461af396cad843f47fdba5597a4f9e6ddd4bfb6ff5d85028c25cb12f6"
dependencies = [
"unicode-bidi",
"unicode-normalization",
]
-[[package]]
-name = "image"
-version = "0.24.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6f3dfdbdd72063086ff443e297b61695500514b1e41095b6fb9a5ab48a70a711"
-dependencies = [
- "bytemuck",
- "byteorder",
- "color_quant",
- "gif",
- "jpeg-decoder",
- "num-rational",
- "num-traits",
- "png",
-]
-
-[[package]]
-name = "impl-more"
-version = "0.1.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "206ca75c9c03ba3d4ace2460e57b189f39f43de612c2f85836e65c929701bb2d"
-
[[package]]
name = "indexmap"
-version = "1.9.3"
+version = "2.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bd070e393353796e801d209ad339e89596eb4c8d430d18ede6a1cced8fafbd99"
-dependencies = [
- "autocfg",
- "hashbrown 0.12.3",
-]
-
-[[package]]
-name = "indexmap"
-version = "2.0.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d5477fe2230a79769d8dc68e0eabf5437907c0457a5614a9e8dddb67f65eb65d"
+checksum = "d530e1a18b1cb4c484e6e34556a0d948706958449fca0cab753d649f2bce3d1f"
dependencies = [
"equivalent",
- "hashbrown 0.14.0",
+ "hashbrown",
+]
+
+[[package]]
+name = "inout"
+version = "0.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a0c10553d664a4d0bcff9f4215d0aac67a639cc68ef660840afe309b807bc9f5"
+dependencies = [
+ "generic-array",
+]
+
+[[package]]
+name = "ioctl-sys"
+version = "0.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8bd11f3a29434026f5ff98c730b668ba74b1033637b8817940b54d040696133c"
+
+[[package]]
+name = "ip_network_table-deps-treebitmap"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8e537132deb99c0eb4b752f0346b6a836200eaaa3516dd7e5514b63930a09e5d"
+
+[[package]]
+name = "ipnet"
+version = "2.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f518f335dce6725a761382244631d86cf0ccb2863413590b31338feb467f9c3"
+
+[[package]]
+name = "is-terminal"
+version = "0.4.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0bad00257d07be169d870ab665980b06cdb366d792ad690bf2e76876dc503455"
+dependencies = [
+ "hermit-abi",
+ "rustix",
+ "windows-sys 0.52.0",
]
[[package]]
name = "itoa"
-version = "1.0.9"
+version = "1.0.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "af150ab688ff2122fcef229be89cb50dd66af9e01a4ff320cc137eecc9bacc38"
-
-[[package]]
-name = "jobserver"
-version = "0.1.26"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "936cfd212a0155903bcbc060e316fb6cc7cbf2e1907329391ebadc1fe0ce77c2"
-dependencies = [
- "libc",
-]
-
-[[package]]
-name = "jpeg-decoder"
-version = "0.3.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bc0000e42512c92e31c2252315bda326620a4e034105e900c98ec492fa077b3e"
+checksum = "b1a46d1a171d865aa5f83f92695765caa047a9b4cbae2cbf37dbd613a793fd4c"
[[package]]
name = "js-sys"
-version = "0.3.64"
+version = "0.3.67"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c5f195fe497f702db0f318b07fdd68edb16955aed830df8363d837542f8f935a"
+checksum = "9a1d36f1235bc969acba30b7f5990b864423a6068a10f7c90ae8f0112e3a59d1"
dependencies = [
"wasm-bindgen",
]
-[[package]]
-name = "language-tags"
-version = "0.3.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d4345964bb142484797b161f473a503a434de77149dd8c7427788c6e13379388"
-
[[package]]
name = "lazy_static"
version = "1.4.0"
@@ -1141,14 +960,13 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "e2abad23fbc42b3700f2f279844dc832adb2b2eb069b2df918f455c4e18cc646"
[[package]]
-name = "lewton"
-version = "0.10.2"
+name = "left-right"
+version = "0.11.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "777b48df9aaab155475a83a7df3070395ea1ac6902f5cd062b8f2b028075c030"
+checksum = "cabfddf3ad712b726484562039aa6fc2014bc1b5c088bb211b208052cf0439e6"
dependencies = [
- "byteorder",
- "ogg",
- "tinyvec",
+ "loom",
+ "slab",
]
[[package]]
@@ -1158,67 +976,60 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "9c198f91728a82281a64e1f4f9eeb25d82cb32a5de251c6bd1b5154d63a8e7bd"
[[package]]
-name = "libsqlite3-sys"
-version = "0.26.0"
+name = "libloading"
+version = "0.8.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "afc22eff61b133b115c6e8c74e818c628d6d5e7a502afea6f64dee076dd94326"
+checksum = "c571b676ddfc9a8c12f1f3d3085a7b163966a8fd8098a90640953ce5f6170161"
dependencies = [
- "cc",
- "pkg-config",
- "vcpkg",
+ "cfg-if",
+ "windows-sys 0.48.0",
]
[[package]]
-name = "local-channel"
-version = "0.1.3"
+name = "linux-raw-sys"
+version = "0.4.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7f303ec0e94c6c54447f84f3b0ef7af769858a9c4ef56ef2a986d3dcd4c3fc9c"
-dependencies = [
- "futures-core",
- "futures-sink",
- "futures-util",
- "local-waker",
-]
-
-[[package]]
-name = "local-waker"
-version = "0.1.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e34f76eb3611940e0e7d53a9aaa4e6a3151f69541a282fd0dad5571420c53ff1"
-
-[[package]]
-name = "lock_api"
-version = "0.4.10"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c1cc9717a20b1bb222f333e6a92fd32f7d8a18ddc5a3191a11af45dcbf4dcd16"
-dependencies = [
- "autocfg",
- "scopeguard",
-]
+checksum = "01cda141df6706de531b6c46c3a33ecca755538219bd484262fa09410c13539c"
[[package]]
name = "log"
-version = "0.4.20"
+version = "0.4.21"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b5e6163cb8c49088c2c36f57875e58ccd8c87c7427f7fbd50ea6710b2f3f2e8f"
+checksum = "90ed8c1e510134f979dbc4f070f87d4313098b704861a105fe34231c70a3901c"
[[package]]
-name = "matches"
-version = "0.1.10"
+name = "loom"
+version = "0.5.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2532096657941c2fea9c289d370a250971c689d4f143798ff67113ec042024a5"
+checksum = "ff50ecb28bb86013e935fb6683ab1f6d3a20016f123c76fd4c27470076ac30f5"
+dependencies = [
+ "cfg-if",
+ "generator",
+ "scoped-tls",
+ "tracing",
+ "tracing-subscriber",
+]
[[package]]
-name = "md5"
-version = "0.7.0"
+name = "matchers"
+version = "0.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "490cc448043f947bae3cbee9c203358d62dbee0db12107a74be5c30ccfd09771"
+checksum = "8263075bb86c5a1b1427b5ae862e8889656f126e9f77c484496e8b47cf5c5558"
+dependencies = [
+ "regex-automata 0.1.10",
+]
+
+[[package]]
+name = "matchit"
+version = "0.7.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0e7465ac9959cc2b1404e8e2367b43684a6d13790fe23056cc8c6c5a6b7bcb94"
[[package]]
name = "memchr"
-version = "2.6.3"
+version = "2.7.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8f232d6ef707e1956a43342693d2a31e72989554d58299d7a88738cc95b0d35c"
+checksum = "523dc4f511e55ab87b694dc30d0f820d60906ef06413f93d4d7a1385599cc149"
[[package]]
name = "memoffset"
@@ -1229,54 +1040,12 @@ dependencies = [
"autocfg",
]
-[[package]]
-name = "metaflac"
-version = "0.2.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e1470d3cc1bb0d692af5eb3afb594330b8ba09fd91c32c4e1c6322172a5ba750"
-dependencies = [
- "byteorder",
- "hex",
- "log",
-]
-
-[[package]]
-name = "migrations_internals"
-version = "2.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0f23f71580015254b020e856feac3df5878c2c7a8812297edd6c0a485ac9dada"
-dependencies = [
- "serde",
- "toml 0.7.8",
-]
-
-[[package]]
-name = "migrations_macros"
-version = "2.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cce3325ac70e67bbab5bd837a31cae01f1a6db64e0e744a33cb03a543469ef08"
-dependencies = [
- "migrations_internals",
- "proc-macro2",
- "quote",
-]
-
[[package]]
name = "mime"
version = "0.3.17"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "6877bb514081ee2a7ff5ef9de3281f14a4dd4bceac4c09388074a6b5df8a139a"
-[[package]]
-name = "mime_guess"
-version = "2.0.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4192263c238a5f0d0c6bfd21f336a313a4ce1c450542449ca191bb657b4642ef"
-dependencies = [
- "mime",
- "unicase",
-]
-
[[package]]
name = "miniz_oxide"
version = "0.7.1"
@@ -1284,98 +1053,180 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "e7810e0be55b428ada41041c41f32c9f1a42817901b4ccf45fa3d4b6561e74c7"
dependencies = [
"adler",
- "simd-adler32",
]
[[package]]
name = "mio"
-version = "0.8.8"
+version = "0.8.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "927a765cd3fc26206e66b296465fa9d3e5ab003e651c1b3c060e7956d96b19d2"
+checksum = "a4a650543ca06a924e8b371db273b2756685faae30f8487da1b56505a8f78b0c"
dependencies = [
"libc",
- "log",
"wasi",
- "windows-sys",
+ "windows-sys 0.48.0",
]
[[package]]
-name = "mp3-duration"
-version = "0.1.10"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "348bdc7300502f0801e5b57c448815713cd843b744ef9bda252a2698fdf90a0f"
+name = "mycelium"
+version = "0.4.3"
dependencies = [
+ "aes-gcm",
+ "axum",
+ "base64",
+ "blake2",
+ "blake3",
+ "bytes",
+ "clap",
+ "digest",
+ "etherparse",
+ "faster-hex",
+ "futures",
+ "ip_network_table-deps-treebitmap",
+ "ipnet",
+ "left-right",
+ "libc",
+ "log",
+ "network-interface",
+ "nix 0.28.0",
+ "pretty_env_logger",
+ "quinn",
+ "rand",
+ "rcgen",
+ "reqwest",
+ "rtnetlink",
+ "rustls",
+ "serde",
+ "serde_json",
+ "tokio",
+ "tokio-stream",
+ "tokio-tun",
+ "tokio-util",
+ "toml",
+ "tun",
+ "wintun 0.4.0",
+ "x25519-dalek",
+]
+
+[[package]]
+name = "netlink-packet-core"
+version = "0.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "72724faf704479d67b388da142b186f916188505e7e0b26719019c525882eda4"
+dependencies = [
+ "anyhow",
+ "byteorder",
+ "netlink-packet-utils",
+]
+
+[[package]]
+name = "netlink-packet-route"
+version = "0.17.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "053998cea5a306971f88580d0829e90f270f940befd7cf928da179d4187a5a66"
+dependencies = [
+ "anyhow",
+ "bitflags 1.3.2",
+ "byteorder",
+ "libc",
+ "netlink-packet-core",
+ "netlink-packet-utils",
+]
+
+[[package]]
+name = "netlink-packet-utils"
+version = "0.5.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0ede8a08c71ad5a95cdd0e4e52facd37190977039a4704eb82a283f713747d34"
+dependencies = [
+ "anyhow",
+ "byteorder",
+ "paste",
"thiserror",
]
[[package]]
-name = "mp4ameta"
-version = "0.11.0"
+name = "netlink-proto"
+version = "0.11.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "eb23d62e8eb5299a3f79657c70ea9269eac8f6239a76952689bcd06a74057e81"
+checksum = "842c6770fc4bb33dd902f41829c61ef872b8e38de1405aa0b938b27b8fba12c3"
dependencies = [
- "lazy_static",
- "mp4ameta_proc",
+ "bytes",
+ "futures",
+ "log",
+ "netlink-packet-core",
+ "netlink-sys",
+ "thiserror",
+ "tokio",
]
[[package]]
-name = "mp4ameta_proc"
-version = "0.6.0"
+name = "netlink-sys"
+version = "0.8.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "07dcca13d1740c0a665f77104803360da0bdb3323ecce2e93fa2c959a6d52806"
-
-[[package]]
-name = "native-windows-derive"
-version = "1.0.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "76134ae81020d89d154f619fd2495a2cecad204276b1dc21174b55e4d0975edd"
+checksum = "6471bf08e7ac0135876a9581bf3217ef0333c191c128d34878079f42ee150411"
dependencies = [
- "proc-macro-crate",
- "proc-macro2",
- "quote",
- "syn 1.0.109",
+ "bytes",
+ "futures",
+ "libc",
+ "log",
+ "tokio",
]
[[package]]
-name = "native-windows-gui"
-version = "1.0.13"
+name = "network-interface"
+version = "1.1.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4f7003a669f68deb6b7c57d74fff4f8e533c44a3f0b297492440ef4ff5a28454"
+checksum = "0d68759ef97fe9c9e46f79ea8736c19f1d28992e24c8dc8ce86752918bfeaae7"
+dependencies = [
+ "cc",
+ "libc",
+ "thiserror",
+ "winapi",
+]
+
+[[package]]
+name = "nix"
+version = "0.26.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "598beaf3cc6fdd9a5dfb1630c2800c7acd31df7aaf0f565796fba2b53ca1af1b"
dependencies = [
"bitflags 1.3.2",
- "lazy_static",
+ "cfg-if",
+ "libc",
+]
+
+[[package]]
+name = "nix"
+version = "0.27.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2eb04e9c688eff1c89d72b407f168cf79bb9e867a9d3323ed6c01519eb9cc053"
+dependencies = [
+ "bitflags 2.4.2",
+ "cfg-if",
+ "libc",
+]
+
+[[package]]
+name = "nix"
+version = "0.28.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ab2156c4fce2f8df6c499cc1c763e4394b7482525bf2a9701c9d79d215f519e4"
+dependencies = [
+ "bitflags 2.4.2",
+ "cfg-if",
+ "cfg_aliases",
+ "libc",
+ "memoffset",
+]
+
+[[package]]
+name = "nu-ansi-term"
+version = "0.46.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "77a8165726e8236064dbb45459242600304b42a5ea24ee2948e18e023bf7ba84"
+dependencies = [
+ "overload",
"winapi",
- "winapi-build",
-]
-
-[[package]]
-name = "num-integer"
-version = "0.1.45"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "225d3389fb3509a24c93f5c29eb6bde2586b98d9f016636dff58d7c6f7569cd9"
-dependencies = [
- "autocfg",
- "num-traits",
-]
-
-[[package]]
-name = "num-rational"
-version = "0.4.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0638a1c9d0a3c0914158145bc76cff373a75a627e6ecbfb71cbe6f453a5a19b0"
-dependencies = [
- "autocfg",
- "num-integer",
- "num-traits",
-]
-
-[[package]]
-name = "num-traits"
-version = "0.2.16"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f30b0abd723be7e2ffca1272140fac1a2f084c77ec3e123c192b66af1ee9e6c2"
-dependencies = [
- "autocfg",
]
[[package]]
@@ -1388,91 +1239,32 @@ dependencies = [
"libc",
]
-[[package]]
-name = "num_threads"
-version = "0.1.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2819ce041d2ee131036f4fc9d6ae7ae125a3a40e97ba64d04fe799ad9dabbb44"
-dependencies = [
- "libc",
-]
-
[[package]]
name = "object"
-version = "0.32.1"
+version = "0.32.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9cf5f9dd3933bd50a9e1f149ec995f39ae2c496d31fd772c1fd45ebc27e902b0"
+checksum = "a6a622008b6e321afc04970976f62ee297fdbaa6f95318ca343e3eebb9648441"
dependencies = [
"memchr",
]
-[[package]]
-name = "ogg"
-version = "0.8.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6951b4e8bf21c8193da321bcce9c9dd2e13c858fe078bf9054a288b419ae5d6e"
-dependencies = [
- "byteorder",
-]
-
[[package]]
name = "once_cell"
-version = "1.18.0"
+version = "1.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dd8b5dd2ae5ed71462c540258bedcb51965123ad7e7ccf4b9a8cafaa4a63576d"
+checksum = "3fdb12b2476b595f9358c5161aa467c2438859caa136dec86c26fdd2efe17b92"
[[package]]
-name = "opus_headers"
-version = "0.1.2"
+name = "opaque-debug"
+version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "afbb993947f111397c2bc536944f8dac7f54a4e73383d478efe1990b56404b60"
+checksum = "624a8340c38c1b80fd549087862da4ba43e08858af025b236e509b6649fc13d5"
[[package]]
-name = "orion"
-version = "0.17.5"
+name = "overload"
+version = "0.1.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b11468cc6afd61a126fe3f91cc4cc8a0dbe7917d0a4b5e8357ba91cc47444462"
-dependencies = [
- "ct-codecs",
- "fiat-crypto",
- "getrandom",
- "subtle",
- "zeroize",
-]
-
-[[package]]
-name = "parking_lot"
-version = "0.12.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3742b2c103b9f06bc9fff0a37ff4912935851bee6d36f3c02bcc755bcfec228f"
-dependencies = [
- "lock_api",
- "parking_lot_core",
-]
-
-[[package]]
-name = "parking_lot_core"
-version = "0.9.8"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "93f00c865fe7cabf650081affecd3871070f26767e7b2070a3ffae14c654b447"
-dependencies = [
- "cfg-if",
- "libc",
- "redox_syscall",
- "smallvec",
- "windows-targets",
-]
-
-[[package]]
-name = "password-hash"
-version = "0.4.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7676374caaee8a325c9e7a2ae557f216c5563a171d6997b0ef8a65af35147700"
-dependencies = [
- "base64ct",
- "rand_core",
- "subtle",
-]
+checksum = "b15813163c1d831bf4a13c3610c05c0d03b39feb07f7e09fa234dac9b15aaf39"
[[package]]
name = "paste"
@@ -1481,22 +1273,40 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "de3145af08024dea9fa9914f381a17b8fc6034dfb00f3a84013f7ff43f29ed4c"
[[package]]
-name = "pbkdf2"
-version = "0.11.0"
+name = "pem"
+version = "3.0.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "83a0692ec44e4cf1ef28ca317f14f8f07da2d95ec3fa01f86e4467b725e60917"
+checksum = "1b8fcc794035347fb64beda2d3b462595dd2753e3f268d89c5aae77e8cf2c310"
dependencies = [
- "digest",
- "hmac",
- "password-hash",
- "sha2",
+ "base64",
+ "serde",
]
[[package]]
name = "percent-encoding"
-version = "2.3.0"
+version = "2.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9b2a4787296e9989611394c33f193f676704af1686e70b8f8033ab5ba9a35a94"
+checksum = "e3148f5046208a5d56bcfc03053e3ca6334e51da8dfb19b6cdc8b306fae3283e"
+
+[[package]]
+name = "pin-project"
+version = "1.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fda4ed1c6c173e3fc7a83629421152e01d7b1f9b7f65fb301e490e8cfc656422"
+dependencies = [
+ "pin-project-internal",
+]
+
+[[package]]
+name = "pin-project-internal"
+version = "1.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4359fd9c9171ec6e8c62926d6faaf553a8dc3f64e1507e76da7911b4f6a04405"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+]
[[package]]
name = "pin-project-lite"
@@ -1511,73 +1321,28 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "8b870d8c151b6f2fb93e84a13146138f05d02ed11c7e7c54f8826aaaf7c9f184"
[[package]]
-name = "pkg-config"
-version = "0.3.27"
+name = "platforms"
+version = "3.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "26072860ba924cbfa98ea39c8c19b4dd6a4a25423dbdf219c1eca91aa0cf6964"
+checksum = "626dec3cac7cc0e1577a2ec3fc496277ec2baa084bebad95bb6fdbfae235f84c"
[[package]]
-name = "png"
-version = "0.17.10"
+name = "polyval"
+version = "0.6.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dd75bf2d8dd3702b9707cdbc56a5b9ef42cec752eb8b3bafc01234558442aa64"
+checksum = "d52cff9d1d4dee5fe6d03729099f4a310a41179e0a10dbf542039873f2e826fb"
dependencies = [
- "bitflags 1.3.2",
- "crc32fast",
- "fdeflate",
- "flate2",
- "miniz_oxide",
+ "cfg-if",
+ "cpufeatures",
+ "opaque-debug",
+ "universal-hash",
]
[[package]]
-name = "polaris"
-version = "0.14.1"
-dependencies = [
- "actix-files",
- "actix-test",
- "actix-web",
- "actix-web-httpauth",
- "ape",
- "base64 0.21.3",
- "branca",
- "crossbeam-channel",
- "daemonize",
- "diesel",
- "diesel_migrations",
- "fs_extra",
- "futures-util",
- "getopts",
- "headers",
- "http",
- "id3",
- "image",
- "lewton",
- "libsqlite3-sys",
- "log",
- "metaflac",
- "mp3-duration",
- "mp4ameta",
- "native-windows-derive",
- "native-windows-gui",
- "num_cpus",
- "opus_headers",
- "pbkdf2",
- "percent-encoding",
- "rand",
- "rayon",
- "regex",
- "rustfm-scrobble",
- "sd-notify",
- "serde",
- "serde_derive",
- "serde_json",
- "simplelog",
- "thiserror",
- "toml 0.7.8",
- "ureq 2.7.1",
- "url",
- "winres",
-]
+name = "powerfmt"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "439ee305def115ba05938db6eb1644ff94165c5ab5e9420d1c1bcedbba909391"
[[package]]
name = "ppv-lite86"
@@ -1586,68 +1351,80 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "5b40af805b3121feab8a3c29f04d8ad262fa8e0561883e7653e024ae4479e6de"
[[package]]
-name = "proc-macro-crate"
-version = "0.1.5"
+name = "pretty_env_logger"
+version = "0.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1d6ea3c4595b96363c13943497db34af4460fb474a95c43f4446ad341b8c9785"
+checksum = "865724d4dbe39d9f3dd3b52b88d859d66bcb2d6a0acfd5ea68a65fb66d4bdc1c"
dependencies = [
- "toml 0.5.11",
+ "env_logger",
+ "log",
]
-[[package]]
-name = "proc-macro-hack"
-version = "0.5.20+deprecated"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dc375e1527247fe1a97d8b7156678dfe7c1af2fc075c9a4db3690ecd2a148068"
-
[[package]]
name = "proc-macro2"
-version = "1.0.66"
+version = "1.0.78"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "18fb31db3f9bddb2ea821cde30a9f70117e3f119938b5ee630b7403aa6e2ead9"
+checksum = "e2422ad645d89c99f8f3e6b88a9fdeca7fabeac836b1002371c4367c8f984aae"
dependencies = [
"unicode-ident",
]
[[package]]
-name = "publicsuffix"
-version = "1.5.6"
+name = "quinn"
+version = "0.10.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "95b4ce31ff0a27d93c8de1849cf58162283752f065a90d508f1105fa6c9a213f"
+checksum = "8cc2c5017e4b43d5995dcea317bc46c1e09404c0a9664d2908f7f02dfe943d75"
dependencies = [
- "idna 0.2.3",
- "url",
+ "bytes",
+ "pin-project-lite",
+ "quinn-proto",
+ "quinn-udp",
+ "rustc-hash",
+ "rustls",
+ "thiserror",
+ "tokio",
+ "tracing",
]
[[package]]
-name = "qstring"
-version = "0.7.2"
+name = "quinn-proto"
+version = "0.10.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d464fae65fff2680baf48019211ce37aaec0c78e9264c84a3e484717f965104e"
+checksum = "141bf7dfde2fbc246bfd3fe12f2455aa24b0fbd9af535d8c86c7bd1381ff2b1a"
dependencies = [
- "percent-encoding",
+ "bytes",
+ "rand",
+ "ring 0.16.20",
+ "rustc-hash",
+ "rustls",
+ "slab",
+ "thiserror",
+ "tinyvec",
+ "tracing",
+]
+
+[[package]]
+name = "quinn-udp"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "055b4e778e8feb9f93c4e439f71dc2156ef13360b432b799e179a8c4cdf0b1d7"
+dependencies = [
+ "bytes",
+ "libc",
+ "socket2",
+ "tracing",
+ "windows-sys 0.48.0",
]
[[package]]
name = "quote"
-version = "1.0.33"
+version = "1.0.35"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5267fca4496028628a95160fc423a33e8b2e6af8a5302579e322e4b520293cae"
+checksum = "291ec9ab5efd934aaf503a6466c5d5251535d108ee747472c3977cc5acc868ef"
dependencies = [
"proc-macro2",
]
-[[package]]
-name = "r2d2"
-version = "0.8.10"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "51de85fb3fb6524929c8a2eb85e6b6d363de4e8c48f9e2c2eac4944abc181c93"
-dependencies = [
- "log",
- "parking_lot",
- "scheduled-thread-pool",
-]
-
[[package]]
name = "rand"
version = "0.8.5"
@@ -1679,64 +1456,95 @@ dependencies = [
]
[[package]]
-name = "rayon"
-version = "1.7.0"
+name = "rcgen"
+version = "0.12.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1d2df5196e37bcc87abebc0053e20787d73847bb33134a69841207dd0a47f03b"
+checksum = "48406db8ac1f3cbc7dcdb56ec355343817958a356ff430259bb07baf7607e1e1"
dependencies = [
- "either",
- "rayon-core",
-]
-
-[[package]]
-name = "rayon-core"
-version = "1.11.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4b8f95bd6966f5c87776639160a66bd8ab9895d9d4ab01ddba9fc60661aebe8d"
-dependencies = [
- "crossbeam-channel",
- "crossbeam-deque",
- "crossbeam-utils",
- "num_cpus",
-]
-
-[[package]]
-name = "redox_syscall"
-version = "0.3.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "567664f262709473930a4bf9e51bf2ebf3348f2e748ccc50dea20646858f8f29"
-dependencies = [
- "bitflags 1.3.2",
+ "pem",
+ "ring 0.17.7",
+ "time",
+ "yasna",
]
[[package]]
name = "regex"
-version = "1.9.5"
+version = "1.10.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "697061221ea1b4a94a624f67d0ae2bfe4e22b8a17b6a192afb11046542cc8c47"
+checksum = "b62dbe01f0b06f9d8dc7d49e05a0785f153b00b2c227856282f671e0318c9b15"
dependencies = [
"aho-corasick",
"memchr",
- "regex-automata",
- "regex-syntax",
+ "regex-automata 0.4.4",
+ "regex-syntax 0.8.2",
]
[[package]]
name = "regex-automata"
-version = "0.3.8"
+version = "0.1.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c2f401f4955220693b56f8ec66ee9c78abffd8d1c4f23dc41a23839eb88f0795"
+checksum = "6c230d73fb8d8c1b9c0b3135c5142a8acee3a0558fb8db5cf1cb65f8d7862132"
+dependencies = [
+ "regex-syntax 0.6.29",
+]
+
+[[package]]
+name = "regex-automata"
+version = "0.4.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3b7fa1134405e2ec9353fd416b17f8dacd46c473d7d3fd1cf202706a14eb792a"
dependencies = [
"aho-corasick",
"memchr",
- "regex-syntax",
+ "regex-syntax 0.8.2",
]
[[package]]
name = "regex-syntax"
-version = "0.7.5"
+version = "0.6.29"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dbb5fb1acd8a1a18b3dd5be62d25485eb770e05afb408a9627d14d451bae12da"
+checksum = "f162c6dd7b008981e4d40210aca20b4bd0f9b60ca9271061b07f78537722f2e1"
+
+[[package]]
+name = "regex-syntax"
+version = "0.8.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c08c74e62047bb2de4ff487b251e4a92e24f48745648451635cec7d591162d9f"
+
+[[package]]
+name = "reqwest"
+version = "0.11.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "37b1ae8d9ac08420c66222fb9096fc5de435c3c48542bc5336c51892cffafb41"
+dependencies = [
+ "base64",
+ "bytes",
+ "encoding_rs",
+ "futures-core",
+ "futures-util",
+ "h2 0.3.24",
+ "http 0.2.11",
+ "http-body 0.4.6",
+ "hyper 0.14.28",
+ "ipnet",
+ "js-sys",
+ "log",
+ "mime",
+ "once_cell",
+ "percent-encoding",
+ "pin-project-lite",
+ "serde",
+ "serde_json",
+ "serde_urlencoded",
+ "system-configuration",
+ "tokio",
+ "tower-service",
+ "url",
+ "wasm-bindgen",
+ "wasm-bindgen-futures",
+ "web-sys",
+ "winreg",
+]
[[package]]
name = "ring"
@@ -1747,12 +1555,44 @@ dependencies = [
"cc",
"libc",
"once_cell",
- "spin",
- "untrusted",
+ "spin 0.5.2",
+ "untrusted 0.7.1",
"web-sys",
"winapi",
]
+[[package]]
+name = "ring"
+version = "0.17.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "688c63d65483050968b2a8937f7995f443e27041a0f7700aa59b0822aedebb74"
+dependencies = [
+ "cc",
+ "getrandom",
+ "libc",
+ "spin 0.9.8",
+ "untrusted 0.9.0",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "rtnetlink"
+version = "0.13.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7a552eb82d19f38c3beed3f786bd23aa434ceb9ac43ab44419ca6d67a7e186c0"
+dependencies = [
+ "futures",
+ "log",
+ "netlink-packet-core",
+ "netlink-packet-route",
+ "netlink-packet-utils",
+ "netlink-proto",
+ "netlink-sys",
+ "nix 0.26.4",
+ "thiserror",
+ "tokio",
+]
+
[[package]]
name = "rustc-demangle"
version = "0.1.23"
@@ -1760,13 +1600,10 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "d626bb9dae77e28219937af045c257c28bfd3f69333c512553507f5f9798cb76"
[[package]]
-name = "rustc_version"
-version = "0.2.3"
+name = "rustc-hash"
+version = "1.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "138e3e0acb6c9fb258b19b67cb8abd63c00679d2851805ea151465464fe9030a"
-dependencies = [
- "semver 0.9.0",
-]
+checksum = "08d43f7aa6b08d49f382cde6a7982047c3426db949b1424bc4b7ec9ae12c6ce2"
[[package]]
name = "rustc_version"
@@ -1774,160 +1611,102 @@ version = "0.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "bfa0f585226d2e68097d4f95d113b15b83a82e819ab25717ec0590d9584ef366"
dependencies = [
- "semver 1.0.18",
+ "semver",
]
[[package]]
-name = "rustfm-scrobble"
-version = "1.1.1"
+name = "rustix"
+version = "0.38.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2c46a75fb6409a528f7e0d8e99826684f88461d1b0d0edeec60d82e3f554dad5"
+checksum = "322394588aaf33c24007e8bb3238ee3e4c5c09c084ab32bc73890b99ff326bca"
dependencies = [
- "md5",
- "serde",
- "serde_json",
- "ureq 1.5.5",
- "wrapped-vec",
+ "bitflags 2.4.2",
+ "errno",
+ "libc",
+ "linux-raw-sys",
+ "windows-sys 0.52.0",
]
[[package]]
name = "rustls"
-version = "0.19.1"
+version = "0.21.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "35edb675feee39aec9c99fa5ff985081995a06d594114ae14cbe797ad7b7a6d7"
+checksum = "f9d5a6813c0759e4609cd494e8e725babae6a2ca7b62a5536a13daaec6fcb7ba"
dependencies = [
- "base64 0.13.1",
- "log",
- "ring",
- "sct 0.6.1",
- "webpki",
-]
-
-[[package]]
-name = "rustls"
-version = "0.21.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cd8d6c9f025a446bc4d18ad9632e69aec8f287aa84499ee335599fabd20c3fd8"
-dependencies = [
- "log",
- "ring",
- "rustls-webpki 0.101.4",
- "sct 0.7.0",
+ "ring 0.17.7",
+ "rustls-webpki",
+ "sct",
]
[[package]]
name = "rustls-webpki"
-version = "0.100.2"
+version = "0.101.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e98ff011474fa39949b7e5c0428f9b4937eda7da7848bbb947786b7be0b27dab"
+checksum = "8b6275d1ee7a1cd780b64aca7726599a1dbc893b1e64144529e55c3c2f745765"
dependencies = [
- "ring",
- "untrusted",
+ "ring 0.17.7",
+ "untrusted 0.9.0",
]
[[package]]
-name = "rustls-webpki"
-version = "0.101.4"
+name = "rustversion"
+version = "1.0.14"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7d93931baf2d282fff8d3a532bbfd7653f734643161b87e3e01e59a04439bf0d"
-dependencies = [
- "ring",
- "untrusted",
-]
+checksum = "7ffc183a10b4478d04cbbbfc96d0873219d962dd5accaff2ffbd4ceb7df837f4"
[[package]]
name = "ryu"
-version = "1.0.15"
+version = "1.0.16"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ad4cc8da4ef723ed60bced201181d83791ad433213d8c24efffda1eec85d741"
+checksum = "f98d2aa92eebf49b69786be48e4477826b256916e84a57ff2a4f21923b48eb4c"
[[package]]
-name = "scheduled-thread-pool"
-version = "0.2.7"
+name = "scoped-tls"
+version = "1.0.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3cbc66816425a074528352f5789333ecff06ca41b36b0b0efdfbb29edc391a19"
-dependencies = [
- "parking_lot",
-]
-
-[[package]]
-name = "scopeguard"
-version = "1.2.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "94143f37725109f92c262ed2cf5e59bce7498c01bcc1502d7b9afe439a4e9f49"
+checksum = "e1cf6437eb19a8f4a6cc0f7dca544973b0b78843adbfeb3683d1a94a0024a294"
[[package]]
name = "sct"
-version = "0.6.1"
+version = "0.7.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b362b83898e0e69f38515b82ee15aa80636befe47c3b6d3d89a911e78fc228ce"
+checksum = "da046153aa2352493d6cb7da4b6e5c0c057d8a1d0a9aa8560baffdd945acd414"
dependencies = [
- "ring",
- "untrusted",
-]
-
-[[package]]
-name = "sct"
-version = "0.7.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d53dcdb7c9f8158937a7981b48accfd39a43af418591a5d008c7b22b5e1b7ca4"
-dependencies = [
- "ring",
- "untrusted",
-]
-
-[[package]]
-name = "sd-notify"
-version = "0.4.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "621e3680f3e07db4c9c2c3fb07c6223ab2fab2e54bd3c04c3ae037990f428c32"
-
-[[package]]
-name = "semver"
-version = "0.9.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1d7eb9ef2c18661902cc47e535f9bc51b78acd254da71d375c2f6720d9a40403"
-dependencies = [
- "semver-parser",
+ "ring 0.17.7",
+ "untrusted 0.9.0",
]
[[package]]
name = "semver"
-version = "1.0.18"
+version = "1.0.21"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b0293b4b29daaf487284529cc2f5675b8e57c61f70167ba415a463651fd6a918"
-
-[[package]]
-name = "semver-parser"
-version = "0.7.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "388a1df253eca08550bef6c72392cfe7c30914bf41df5269b68cbd6ff8f570a3"
+checksum = "b97ed7a9823b74f99c7742f5336af7be5ecd3eeafcb1507d1fa93347b1d589b0"
[[package]]
name = "serde"
-version = "1.0.188"
+version = "1.0.197"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cf9e0fcba69a370eed61bcf2b728575f726b50b55cba78064753d708ddc7549e"
+checksum = "3fb1c873e1b9b056a4dc4c0c198b24c3ffa059243875552b2bd0933b1aee4ce2"
dependencies = [
"serde_derive",
]
[[package]]
name = "serde_derive"
-version = "1.0.188"
+version = "1.0.197"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4eca7ac642d82aa35b60049a6eccb4be6be75e599bd2e9adb5f875a737654af2"
+checksum = "7eb0b34b42edc17f6b7cac84a52a1c5f0e1bb2227e997ca9011ea3dd34e8610b"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.31",
+ "syn 2.0.48",
]
[[package]]
name = "serde_json"
-version = "1.0.105"
+version = "1.0.114"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "693151e1ac27563d6dbcec9dee9fbd5da8539b20fa14ad3752b2e6d363ace360"
+checksum = "c5f09b1bd632ef549eaa9f60a1f8de742bdbc698e6cee2095fc84dde5f549ae0"
dependencies = [
"itoa",
"ryu",
@@ -1935,10 +1714,20 @@ dependencies = [
]
[[package]]
-name = "serde_spanned"
-version = "0.6.3"
+name = "serde_path_to_error"
+version = "0.1.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "96426c9936fd7a0124915f9185ea1d20aa9445cc9821142f0a73bc9207a2e186"
+checksum = "ebd154a240de39fdebcf5775d2675c204d7c13cf39a4c697be6493c8e734337c"
+dependencies = [
+ "itoa",
+ "serde",
+]
+
+[[package]]
+name = "serde_spanned"
+version = "0.6.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eb3622f419d1296904700073ea6cc23ad690adbd66f13ea683df73298736f0c1"
dependencies = [
"serde",
]
@@ -1956,40 +1745,12 @@ dependencies = [
]
[[package]]
-name = "sha1"
-version = "0.6.1"
+name = "sharded-slab"
+version = "0.1.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c1da05c97445caa12d05e848c4a4fcbbea29e748ac28f7e80e9b010392063770"
+checksum = "f40ca3c46823713e0d4209592e8d6e826aa57e928f09752619fc696c499637f6"
dependencies = [
- "sha1_smol",
-]
-
-[[package]]
-name = "sha1"
-version = "0.10.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f04293dc80c3993519f2d7f6f511707ee7094fe0c6d3406feb330cdb3540eba3"
-dependencies = [
- "cfg-if",
- "cpufeatures",
- "digest",
-]
-
-[[package]]
-name = "sha1_smol"
-version = "1.0.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ae1a47186c03a32177042e55dbc5fd5aee900b8e0069a8d70fba96a9375cd012"
-
-[[package]]
-name = "sha2"
-version = "0.10.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "479fb9d862239e610720565ca91403019f2f00410f1864c5aa7479b950a76ed8"
-dependencies = [
- "cfg-if",
- "cpufeatures",
- "digest",
+ "lazy_static",
]
[[package]]
@@ -2001,23 +1762,6 @@ dependencies = [
"libc",
]
-[[package]]
-name = "simd-adler32"
-version = "0.3.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d66dc143e6b11c1eddc06d5c423cfc97062865baf299914ab64caa38182078fe"
-
-[[package]]
-name = "simplelog"
-version = "0.12.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "acee08041c5de3d5048c8b3f6f13fafb3026b24ba43c6a695a0c76179b844369"
-dependencies = [
- "log",
- "termcolor",
- "time 0.3.28",
-]
-
[[package]]
name = "slab"
version = "0.4.9"
@@ -2029,28 +1773,18 @@ dependencies = [
[[package]]
name = "smallvec"
-version = "1.11.0"
+version = "1.13.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "62bb4feee49fdd9f707ef802e22365a35de4b7b299de4763d44bfea899442ff9"
+checksum = "e6ecd384b10a64542d77071bd64bd7b231f4ed5940fba55e98c3de13824cf3d7"
[[package]]
name = "socket2"
-version = "0.4.9"
+version = "0.5.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "64a4a911eed85daf18834cfaa86a79b7d266ff93ff5ba14005426219480ed662"
+checksum = "7b5fac59a5cb5dd637972e5fca70daf0523c9067fcdc4842f053dae04a18f8e9"
dependencies = [
"libc",
- "winapi",
-]
-
-[[package]]
-name = "socket2"
-version = "0.5.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2538b18701741680e0322a2302176d3253a35388e2e62f172f64f4f16605f877"
-dependencies = [
- "libc",
- "windows-sys",
+ "windows-sys 0.48.0",
]
[[package]]
@@ -2060,62 +1794,16 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "6e63cff320ae2c57904679ba7cb63280a3dc4613885beafb148ee7bf9aa9042d"
[[package]]
-name = "standback"
-version = "0.2.17"
+name = "spin"
+version = "0.9.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e113fb6f3de07a243d434a56ec6f186dfd51cb08448239fe7bcae73f87ff28ff"
-dependencies = [
- "version_check",
-]
+checksum = "6980e8d7511241f8acf4aebddbb1ff938df5eebe98691418c4468d0b72a96a67"
[[package]]
-name = "stdweb"
-version = "0.4.20"
+name = "strsim"
+version = "0.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d022496b16281348b52d0e30ae99e01a73d737b2f45d38fed4edf79f9325a1d5"
-dependencies = [
- "discard",
- "rustc_version 0.2.3",
- "stdweb-derive",
- "stdweb-internal-macros",
- "stdweb-internal-runtime",
- "wasm-bindgen",
-]
-
-[[package]]
-name = "stdweb-derive"
-version = "0.5.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c87a60a40fccc84bef0652345bbbbbe20a605bf5d0ce81719fc476f5c03b50ef"
-dependencies = [
- "proc-macro2",
- "quote",
- "serde",
- "serde_derive",
- "syn 1.0.109",
-]
-
-[[package]]
-name = "stdweb-internal-macros"
-version = "0.2.9"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "58fa5ff6ad0d98d1ffa8cb115892b6e69d67799f6763e162a1c9db421dc22e11"
-dependencies = [
- "base-x",
- "proc-macro2",
- "quote",
- "serde",
- "serde_derive",
- "serde_json",
- "sha1 0.6.1",
- "syn 1.0.109",
-]
-
-[[package]]
-name = "stdweb-internal-runtime"
-version = "0.1.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "213701ba3370744dcd1a12960caa4843b3d68b4d1c0a5d575e0d65b2ee9d16c0"
+checksum = "73473c0e59e6d5812c5dfe2a064a6444949f089e20eec9a2e5506596494e4623"
[[package]]
name = "subtle"
@@ -2136,9 +1824,9 @@ dependencies = [
[[package]]
name = "syn"
-version = "2.0.31"
+version = "2.0.48"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "718fa2415bcb8d8bd775917a1bf12a7931b6dfa890753378538118181e0cb398"
+checksum = "0f3531638e407dfc0814761abb7c00a5b54992b849452a0646b7f65c9f770f3f"
dependencies = [
"proc-macro2",
"quote",
@@ -2146,101 +1834,88 @@ dependencies = [
]
[[package]]
-name = "termcolor"
-version = "1.1.3"
+name = "sync_wrapper"
+version = "0.1.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bab24d30b911b2376f3a13cc2cd443142f0c81dda04c118693e35b3835757755"
+checksum = "2047c6ded9c721764247e62cd3b03c09ffc529b2ba5b10ec482ae507a4a70160"
+
+[[package]]
+name = "system-configuration"
+version = "0.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ba3a3adc5c275d719af8cb4272ea1c4a6d668a777f37e115f6d11ddbc1c8e0e7"
+dependencies = [
+ "bitflags 1.3.2",
+ "core-foundation",
+ "system-configuration-sys",
+]
+
+[[package]]
+name = "system-configuration-sys"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a75fb188eb626b924683e3b95e3a48e63551fcfb51949de2f06a9d91dbee93c9"
+dependencies = [
+ "core-foundation-sys",
+ "libc",
+]
+
+[[package]]
+name = "termcolor"
+version = "1.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "06794f8f6c5c898b3275aebefa6b8a1cb24cd2c6c79397ab15774837a0bc5755"
dependencies = [
"winapi-util",
]
[[package]]
name = "thiserror"
-version = "1.0.48"
+version = "1.0.56"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9d6d7a740b8a666a7e828dd00da9c0dc290dff53154ea77ac109281de90589b7"
+checksum = "d54378c645627613241d077a3a79db965db602882668f9136ac42af9ecb730ad"
dependencies = [
"thiserror-impl",
]
[[package]]
name = "thiserror-impl"
-version = "1.0.48"
+version = "1.0.56"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "49922ecae66cc8a249b77e68d1d0623c1b2c514f0060c27cdc68bd62a1219d35"
+checksum = "fa0faa943b50f3db30a20aa7e265dbc66076993efed8463e8de414e5d06d3471"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.31",
+ "syn 2.0.48",
]
[[package]]
-name = "time"
-version = "0.2.27"
+name = "thread_local"
+version = "1.1.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4752a97f8eebd6854ff91f1c1824cd6160626ac4bd44287f7f4ea2035a02a242"
+checksum = "3fdd6f064ccff2d6567adcb3873ca630700f00b5ad3f060c25b5dcfd9a4ce152"
dependencies = [
- "const_fn",
- "libc",
- "standback",
- "stdweb",
- "time-macros 0.1.1",
- "version_check",
- "winapi",
+ "cfg-if",
+ "once_cell",
]
[[package]]
name = "time"
-version = "0.3.28"
+version = "0.3.31"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "17f6bb557fd245c28e6411aa56b6403c689ad95061f50e4be16c274e70a17e48"
+checksum = "f657ba42c3f86e7680e53c8cd3af8abbe56b5491790b46e22e19c0d57463583e"
dependencies = [
"deranged",
- "itoa",
- "libc",
- "num_threads",
+ "powerfmt",
"serde",
"time-core",
- "time-macros 0.2.14",
]
[[package]]
name = "time-core"
-version = "0.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7300fbefb4dadc1af235a9cef3737cea692a9d97e1b9cbcd4ebdae6f8868e6fb"
-
-[[package]]
-name = "time-macros"
-version = "0.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "957e9c6e26f12cb6d0dd7fc776bb67a706312e7299aed74c8dd5b17ebb27e2f1"
-dependencies = [
- "proc-macro-hack",
- "time-macros-impl",
-]
-
-[[package]]
-name = "time-macros"
-version = "0.2.14"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1a942f44339478ef67935ab2bbaec2fb0322496cf3cbe84b261e06ac3814c572"
-dependencies = [
- "time-core",
-]
-
-[[package]]
-name = "time-macros-impl"
version = "0.1.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fd3c141a1b43194f3f56a1411225df8646c55781d5f26db825b3d98507eb482f"
-dependencies = [
- "proc-macro-hack",
- "proc-macro2",
- "quote",
- "standback",
- "syn 1.0.109",
-]
+checksum = "ef927ca75afb808a4d64dd374f00a2adf8d0fcff8e7b184af886c3c87ec4a3f3"
[[package]]
name = "tinyvec"
@@ -2259,26 +1934,62 @@ checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20"
[[package]]
name = "tokio"
-version = "1.32.0"
+version = "1.36.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "17ed6077ed6cd6c74735e21f37eb16dc3935f96878b1fe961074089cc80893f9"
+checksum = "61285f6515fa018fb2d1e46eb21223fff441ee8db5d0f1435e8ab4f5cdb80931"
dependencies = [
"backtrace",
"bytes",
"libc",
"mio",
- "parking_lot",
+ "num_cpus",
"pin-project-lite",
"signal-hook-registry",
- "socket2 0.5.3",
- "windows-sys",
+ "socket2",
+ "tokio-macros",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "tokio-macros"
+version = "2.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5b8a1e28f2deaa14e508979454cb3a223b10b938b45af148bc0986de36f1923b"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "tokio-stream"
+version = "0.1.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "397c988d37662c7dda6d2208364a706264bf3d6138b11d436cbac0ad38832842"
+dependencies = [
+ "futures-core",
+ "pin-project-lite",
+ "tokio",
+ "tokio-util",
+]
+
+[[package]]
+name = "tokio-tun"
+version = "0.11.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bf2efaf33e86779a3a68b1f1d6e9e13a346c1c75ee3cab7a4293235c463b2668"
+dependencies = [
+ "libc",
+ "nix 0.27.1",
+ "thiserror",
+ "tokio",
]
[[package]]
name = "tokio-util"
-version = "0.7.8"
+version = "0.7.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "806fe8c2c87eccc8b3267cbae29ed3ab2d0bd37fca70ab622e46aaa9375ddb7d"
+checksum = "5419f34732d9eb6ee4c3578b7989078579b7f039cbbb9ca2c4da015749371e15"
dependencies = [
"bytes",
"futures-core",
@@ -2290,18 +2001,9 @@ dependencies = [
[[package]]
name = "toml"
-version = "0.5.11"
+version = "0.8.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f4f7f0dd8d50a853a531c426359045b1998f04219d88799810762cd4ad314234"
-dependencies = [
- "serde",
-]
-
-[[package]]
-name = "toml"
-version = "0.7.8"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dd79e69d3b627db300ff956027cc6c3798cef26d22526befdfcd12feeb6d2257"
+checksum = "9a9aad4a3066010876e8dcf5a8a06e70a558751117a145c6ce2b82c2e2054290"
dependencies = [
"serde",
"serde_spanned",
@@ -2311,20 +2013,20 @@ dependencies = [
[[package]]
name = "toml_datetime"
-version = "0.6.3"
+version = "0.6.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7cda73e2f1397b1262d6dfdcef8aafae14d1de7748d66822d3bfeeb6d03e5e4b"
+checksum = "3550f4e9685620ac18a50ed434eb3aec30db8ba93b0287467bca5826ea25baf1"
dependencies = [
"serde",
]
[[package]]
name = "toml_edit"
-version = "0.19.15"
+version = "0.22.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1b5bb770da30e5cbfde35a2d7b9b8a2c4b8ef89548a7a6aeab5c9a576e3e7421"
+checksum = "2c1b5fd4128cc8d3e0cb74d4ed9a9cc7c7284becd4df68f5f940e1ad123606f6"
dependencies = [
- "indexmap 2.0.0",
+ "indexmap",
"serde",
"serde_spanned",
"toml_datetime",
@@ -2332,52 +2034,133 @@ dependencies = [
]
[[package]]
-name = "tracing"
-version = "0.1.37"
+name = "tower"
+version = "0.4.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8ce8c33a8d48bd45d624a6e523445fd21ec13d3653cd51f681abf67418f54eb8"
+checksum = "b8fa9be0de6cf49e536ce1851f987bd21a43b771b09473c3549a6c853db37c1c"
dependencies = [
- "cfg-if",
- "log",
+ "futures-core",
+ "futures-util",
+ "pin-project",
"pin-project-lite",
+ "tokio",
+ "tower-layer",
+ "tower-service",
+]
+
+[[package]]
+name = "tower-layer"
+version = "0.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c20c8dbed6283a09604c3e69b4b7eeb54e298b8a600d4d5ecb5ad39de609f1d0"
+
+[[package]]
+name = "tower-service"
+version = "0.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b6bc1c9ce2b5135ac7f93c72918fc37feb872bdc6a5533a8b85eb4b86bfdae52"
+
+[[package]]
+name = "tracing"
+version = "0.1.40"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c3523ab5a71916ccf420eebdf5521fcef02141234bbc0b8a49f2fdc4544364ef"
+dependencies = [
+ "pin-project-lite",
+ "tracing-attributes",
"tracing-core",
]
[[package]]
-name = "tracing-core"
-version = "0.1.31"
+name = "tracing-attributes"
+version = "0.1.27"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0955b8137a1df6f1a2e9a37d8a6656291ff0297c1a97c24e0d8425fe2312f79a"
+checksum = "34704c8d6ebcbc939824180af020566b01a7c01f80641264eba0999f6c2b6be7"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "tracing-core"
+version = "0.1.32"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c06d3da6113f116aaee68e4d601191614c9053067f9ab7f6edbcb161237daa54"
dependencies = [
"once_cell",
+ "valuable",
+]
+
+[[package]]
+name = "tracing-log"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ee855f1f400bd0e5c02d150ae5de3840039a3f54b025156404e34c23c03f47c3"
+dependencies = [
+ "log",
+ "once_cell",
+ "tracing-core",
+]
+
+[[package]]
+name = "tracing-subscriber"
+version = "0.3.18"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ad0f048c97dbd9faa9b7df56362b8ebcaa52adb06b498c050d2f4e32f90a7a8b"
+dependencies = [
+ "matchers",
+ "nu-ansi-term",
+ "once_cell",
+ "regex",
+ "sharded-slab",
+ "smallvec",
+ "thread_local",
+ "tracing",
+ "tracing-core",
+ "tracing-log",
+]
+
+[[package]]
+name = "try-lock"
+version = "0.2.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e421abadd41a4225275504ea4d6566923418b7f05506fbc9c0fe86ba7396114b"
+
+[[package]]
+name = "tun"
+version = "0.6.1"
+source = "git+https://github.com/LeeSmet/rust-tun#eaad3340ef74475def7e080dedff77f7d830f3b8"
+dependencies = [
+ "byteorder",
+ "bytes",
+ "futures-core",
+ "ioctl-sys",
+ "libc",
+ "log",
+ "thiserror",
+ "tokio",
+ "tokio-util",
+ "wintun 0.3.2",
]
[[package]]
name = "typenum"
-version = "1.16.0"
+version = "1.17.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "497961ef93d974e23eb6f433eb5fe1b7930b659f06d12dec6fc44a8f554c0bba"
-
-[[package]]
-name = "unicase"
-version = "2.7.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f7d2d4dafb69621809a81864c9c1b864479e1235c0dd4e199924b9742439ed89"
-dependencies = [
- "version_check",
-]
+checksum = "42ff0bf0c66b8238c6f3b578df37d0b7848e55df8577b3f74f92a69acceeb825"
[[package]]
name = "unicode-bidi"
-version = "0.3.13"
+version = "0.3.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "92888ba5573ff080736b3648696b70cafad7d250551175acbaa4e0385b3e1460"
+checksum = "08f95100a766bf4f8f28f90d77e0a5461bbdb219042e7679bebe79004fed8d75"
[[package]]
name = "unicode-ident"
-version = "1.0.11"
+version = "1.0.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "301abaae475aa91687eb82514b328ab47a211a533026cb25fc3e519b86adfc3c"
+checksum = "3354b9ac3fae1ff6755cb6db53683adb661634f67557942dea4facebec0fee4b"
[[package]]
name = "unicode-normalization"
@@ -2389,10 +2172,14 @@ dependencies = [
]
[[package]]
-name = "unicode-width"
-version = "0.1.10"
+name = "universal-hash"
+version = "0.5.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c0edd1e5b14653f783770bce4a4dabb4a5108a5370a5f5d8cfe8710c361f6c8b"
+checksum = "fc1de2c688dc15305988b563c3854064043356019f97a4b46276fe734c4f07ea"
+dependencies = [
+ "crypto-common",
+ "subtle",
+]
[[package]]
name = "untrusted"
@@ -2401,56 +2188,33 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "a156c684c91ea7d62626509bce3cb4e1d9ed5c4d978f7b4352658f96a4c26b4a"
[[package]]
-name = "ureq"
-version = "1.5.5"
+name = "untrusted"
+version = "0.9.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2b8b063c2d59218ae09f22b53c42eaad0d53516457905f5235ca4bc9e99daa71"
-dependencies = [
- "base64 0.13.1",
- "chunked_transfer",
- "cookie 0.14.4",
- "cookie_store",
- "log",
- "once_cell",
- "qstring",
- "rustls 0.19.1",
- "url",
- "webpki",
- "webpki-roots 0.21.1",
-]
-
-[[package]]
-name = "ureq"
-version = "2.7.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0b11c96ac7ee530603dcdf68ed1557050f374ce55a5a07193ebf8cbc9f8927e9"
-dependencies = [
- "base64 0.21.3",
- "flate2",
- "log",
- "once_cell",
- "rustls 0.21.7",
- "rustls-webpki 0.100.2",
- "url",
- "webpki-roots 0.23.1",
-]
+checksum = "8ecb6da28b8a351d773b68d5825ac39017e680750f980f3a1a85cd8dd28a47c1"
[[package]]
name = "url"
-version = "2.4.1"
+version = "2.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "143b538f18257fac9cad154828a57c6bf5157e1aa604d4816b5995bf6de87ae5"
+checksum = "31e6302e3bb753d46e83516cae55ae196fc0c309407cf11ab35cc51a4c2a4633"
dependencies = [
"form_urlencoded",
- "idna 0.4.0",
+ "idna",
"percent-encoding",
]
[[package]]
-name = "vcpkg"
-version = "0.2.15"
+name = "utf8parse"
+version = "0.2.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "accd4ea62f7bb7a82fe23066fb0957d48ef677f6eeb8215f372f52e48bb32426"
+checksum = "711b9620af191e0cdc7468a8d14e709c3dcdb115b36f838e601583af800a370a"
+
+[[package]]
+name = "valuable"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "830b7e5d4d90034032940e4ace0d9a9a057e7a45cd94e6c007832e39edb82f6d"
[[package]]
name = "version_check"
@@ -2458,6 +2222,15 @@ version = "0.9.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "49874b5167b65d7193b8aba1567f5c7d93d001cafc34600cee003eda787e483f"
+[[package]]
+name = "want"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bfa7760aed19e106de2c7c0b581b509f2f25d3dacaf737cb82ac61bc6d760b0e"
+dependencies = [
+ "try-lock",
+]
+
[[package]]
name = "wasi"
version = "0.11.0+wasi-snapshot-preview1"
@@ -2466,9 +2239,9 @@ checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
[[package]]
name = "wasm-bindgen"
-version = "0.2.87"
+version = "0.2.90"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7706a72ab36d8cb1f80ffbf0e071533974a60d0a308d01a5d0375bf60499a342"
+checksum = "b1223296a201415c7fad14792dbefaace9bd52b62d33453ade1c5b5f07555406"
dependencies = [
"cfg-if",
"wasm-bindgen-macro",
@@ -2476,24 +2249,36 @@ dependencies = [
[[package]]
name = "wasm-bindgen-backend"
-version = "0.2.87"
+version = "0.2.90"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5ef2b6d3c510e9625e5fe6f509ab07d66a760f0885d858736483c32ed7809abd"
+checksum = "fcdc935b63408d58a32f8cc9738a0bffd8f05cc7c002086c6ef20b7312ad9dcd"
dependencies = [
"bumpalo",
"log",
"once_cell",
"proc-macro2",
"quote",
- "syn 2.0.31",
+ "syn 2.0.48",
"wasm-bindgen-shared",
]
[[package]]
-name = "wasm-bindgen-macro"
-version = "0.2.87"
+name = "wasm-bindgen-futures"
+version = "0.4.40"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dee495e55982a3bd48105a7b947fd2a9b4a8ae3010041b9e0faab3f9cd028f1d"
+checksum = "bde2032aeb86bdfaecc8b261eef3cba735cc426c1f3a3416d1e0791be95fc461"
+dependencies = [
+ "cfg-if",
+ "js-sys",
+ "wasm-bindgen",
+ "web-sys",
+]
+
+[[package]]
+name = "wasm-bindgen-macro"
+version = "0.2.90"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3e4c238561b2d428924c49815533a8b9121c664599558a5d9ec51f8a1740a999"
dependencies = [
"quote",
"wasm-bindgen-macro-support",
@@ -2501,67 +2286,33 @@ dependencies = [
[[package]]
name = "wasm-bindgen-macro-support"
-version = "0.2.87"
+version = "0.2.90"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "54681b18a46765f095758388f2d0cf16eb8d4169b639ab575a8f5693af210c7b"
+checksum = "bae1abb6806dc1ad9e560ed242107c0f6c84335f1749dd4e8ddb012ebd5e25a7"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.31",
+ "syn 2.0.48",
"wasm-bindgen-backend",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-shared"
-version = "0.2.87"
+version = "0.2.90"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ca6ad05a4870b2bf5fe995117d3728437bd27d7cd5f06f13c17443ef369775a1"
+checksum = "4d91413b1c31d7539ba5ef2451af3f0b833a005eb27a631cec32bc0635a8602b"
[[package]]
name = "web-sys"
-version = "0.3.64"
+version = "0.3.67"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9b85cbef8c220a6abc02aefd892dfc0fc23afb1c6a426316ec33253a3877249b"
+checksum = "58cd2333b6e0be7a39605f0e255892fd7418a682d8da8fe042fe25128794d2ed"
dependencies = [
"js-sys",
"wasm-bindgen",
]
-[[package]]
-name = "webpki"
-version = "0.21.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b8e38c0608262c46d4a56202ebabdeb094cef7e560ca7a226c6bf055188aa4ea"
-dependencies = [
- "ring",
- "untrusted",
-]
-
-[[package]]
-name = "webpki-roots"
-version = "0.21.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "aabe153544e473b775453675851ecc86863d2a81d786d741f6b76778f2a48940"
-dependencies = [
- "webpki",
-]
-
-[[package]]
-name = "webpki-roots"
-version = "0.23.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b03058f88386e5ff5310d9111d53f48b17d732b401aeb83a8d5190f2ac459338"
-dependencies = [
- "rustls-webpki 0.100.2",
-]
-
-[[package]]
-name = "weezl"
-version = "0.1.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9193164d4de03a926d909d3bc7c30543cecb35400c02114792c2cae20d5e2dbb"
-
[[package]]
name = "winapi"
version = "0.3.9"
@@ -2572,12 +2323,6 @@ dependencies = [
"winapi-x86_64-pc-windows-gnu",
]
-[[package]]
-name = "winapi-build"
-version = "0.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2d315eee3b34aca4797b2da6b13ed88266e6d612562a0c46390af8299fc699bc"
-
[[package]]
name = "winapi-i686-pc-windows-gnu"
version = "0.4.0"
@@ -2586,9 +2331,9 @@ checksum = "ac3b87c63620426dd9b991e5ce0329eff545bccbbb34f3be09ff6fb6ab51b7b6"
[[package]]
name = "winapi-util"
-version = "0.1.5"
+version = "0.1.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "70ec6ce85bb158151cae5e5c87f95a8e97d2c0c4b001223f33a334e3ce5de178"
+checksum = "f29e6f9198ba0d26b4c9f07dbe6f9ed633e1f3d5b8b414090084349e46a52596"
dependencies = [
"winapi",
]
@@ -2599,13 +2344,69 @@ version = "0.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
+[[package]]
+name = "windows"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e686886bc078bc1b0b600cac0147aadb815089b6e4da64016cbd754b6342700f"
+dependencies = [
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "windows"
+version = "0.51.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ca229916c5ee38c2f2bc1e9d8f04df975b4bd93f9955dc69fabb5d91270045c9"
+dependencies = [
+ "windows-core 0.51.1",
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "windows"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e48a53791691ab099e5e2ad123536d0fff50652600abaf43bbf952894110d0be"
+dependencies = [
+ "windows-core 0.52.0",
+ "windows-targets 0.52.0",
+]
+
+[[package]]
+name = "windows-core"
+version = "0.51.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f1f8cf84f35d2db49a46868f947758c7a1138116f7fac3bc844f43ade1292e64"
+dependencies = [
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "windows-core"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "33ab640c8d7e35bf8ba19b884ba838ceb4fba93a4e8c65a9059d08afcfc683d9"
+dependencies = [
+ "windows-targets 0.52.0",
+]
+
[[package]]
name = "windows-sys"
version = "0.48.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "677d2418bec65e3338edb076e806bc1ec15693c5d0104683f2efe857f61056a9"
dependencies = [
- "windows-targets",
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "windows-sys"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "282be5f36a8ce781fad8c8ae18fa3f9beff57ec1b52cb3de0789201425d9a33d"
+dependencies = [
+ "windows-targets 0.52.0",
]
[[package]]
@@ -2614,13 +2415,28 @@ version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "9a2fa6e2155d7247be68c096456083145c183cbbbc2764150dda45a87197940c"
dependencies = [
- "windows_aarch64_gnullvm",
- "windows_aarch64_msvc",
- "windows_i686_gnu",
- "windows_i686_msvc",
- "windows_x86_64_gnu",
- "windows_x86_64_gnullvm",
- "windows_x86_64_msvc",
+ "windows_aarch64_gnullvm 0.48.5",
+ "windows_aarch64_msvc 0.48.5",
+ "windows_i686_gnu 0.48.5",
+ "windows_i686_msvc 0.48.5",
+ "windows_x86_64_gnu 0.48.5",
+ "windows_x86_64_gnullvm 0.48.5",
+ "windows_x86_64_msvc 0.48.5",
+]
+
+[[package]]
+name = "windows-targets"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8a18201040b24831fbb9e4eb208f8892e1f50a37feb53cc7ff887feb8f50e7cd"
+dependencies = [
+ "windows_aarch64_gnullvm 0.52.0",
+ "windows_aarch64_msvc 0.52.0",
+ "windows_i686_gnu 0.52.0",
+ "windows_i686_msvc 0.52.0",
+ "windows_x86_64_gnu 0.52.0",
+ "windows_x86_64_gnullvm 0.52.0",
+ "windows_x86_64_msvc 0.52.0",
]
[[package]]
@@ -2629,36 +2445,72 @@ version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "2b38e32f0abccf9987a4e3079dfb67dcd799fb61361e53e2882c3cbaf0d905d8"
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cb7764e35d4db8a7921e09562a0304bf2f93e0a51bfccee0bd0bb0b666b015ea"
+
[[package]]
name = "windows_aarch64_msvc"
version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "dc35310971f3b2dbbf3f0690a219f40e2d9afcf64f9ab7cc1be722937c26b4bc"
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bbaa0368d4f1d2aaefc55b6fcfee13f41544ddf36801e793edbbfd7d7df075ef"
+
[[package]]
name = "windows_i686_gnu"
version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "a75915e7def60c94dcef72200b9a8e58e5091744960da64ec734a6c6e9b3743e"
+[[package]]
+name = "windows_i686_gnu"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a28637cb1fa3560a16915793afb20081aba2c92ee8af57b4d5f28e4b3e7df313"
+
[[package]]
name = "windows_i686_msvc"
version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "8f55c233f70c4b27f66c523580f78f1004e8b5a8b659e05a4eb49d4166cca406"
+[[package]]
+name = "windows_i686_msvc"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ffe5e8e31046ce6230cc7215707b816e339ff4d4d67c65dffa206fd0f7aa7b9a"
+
[[package]]
name = "windows_x86_64_gnu"
version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "53d40abd2583d23e4718fddf1ebec84dbff8381c07cae67ff7768bbf19c6718e"
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3d6fa32db2bc4a2f5abeacf2b69f7992cd09dca97498da74a151a3132c26befd"
+
[[package]]
name = "windows_x86_64_gnullvm"
version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "0b7b52767868a23d5bab768e390dc5f5c55825b6d30b86c844ff2dc7414044cc"
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1a657e1e9d3f514745a572a6846d3c7aa7dbe1658c056ed9c3344c4109a6949e"
+
[[package]]
name = "windows_x86_64_msvc"
version = "0.48.5"
@@ -2666,66 +2518,93 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "ed94fce61571a4006852b7389a063ab983c02eb1bb37b47f8272ce92d06d9538"
[[package]]
-name = "winnow"
-version = "0.5.15"
+name = "windows_x86_64_msvc"
+version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7c2e3184b9c4e92ad5167ca73039d0c42476302ab603e2fec4487511f38ccefc"
+checksum = "dff9641d1cd4be8d1a070daf9e3773c5f67e78b4d9d42263020c057706765c04"
+
+[[package]]
+name = "winnow"
+version = "0.6.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dffa400e67ed5a4dd237983829e66475f0a4a26938c4b04c21baede6262215b8"
dependencies = [
"memchr",
]
[[package]]
-name = "winres"
-version = "0.1.12"
+name = "winreg"
+version = "0.50.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b68db261ef59e9e52806f688020631e987592bd83619edccda9c47d42cde4f6c"
+checksum = "524e57b2c537c0f9b1e69f1965311ec12182b4122e45035b1508cd24d2adadb1"
dependencies = [
- "toml 0.5.11",
+ "cfg-if",
+ "windows-sys 0.48.0",
]
[[package]]
-name = "wrapped-vec"
-version = "0.3.0"
+name = "wintun"
+version = "0.3.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b85e08702c1e919669e1e90213c9c75ea4bb689d0f3970347e2b37c04600b4e5"
+checksum = "29b83b0eca06dd125dbcd48a45327c708a6da8aada3d95a3f06db0ce4b17e0d4"
dependencies = [
- "proc-macro2",
- "quote",
- "syn 1.0.109",
+ "c2rust-bitfields",
+ "libloading",
+ "log",
+ "thiserror",
+ "windows 0.51.1",
+]
+
+[[package]]
+name = "wintun"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1b3c8c8876c686f8a2d6376999ac1c9a24c74d2968551c9394f7e89127783685"
+dependencies = [
+ "c2rust-bitfields",
+ "libloading",
+ "log",
+ "thiserror",
+ "windows 0.52.0",
+]
+
+[[package]]
+name = "x25519-dalek"
+version = "2.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c7e468321c81fb07fa7f4c636c3972b9100f0346e5b6a9f2bd0603a52f7ed277"
+dependencies = [
+ "curve25519-dalek",
+ "rand_core",
+ "serde",
+ "zeroize",
+]
+
+[[package]]
+name = "yasna"
+version = "0.5.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e17bb3549cc1321ae1296b9cdc2698e2b6cb1992adfa19a8c72e5b7a738f44cd"
+dependencies = [
+ "time",
]
[[package]]
name = "zeroize"
-version = "1.6.0"
+version = "1.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2a0956f1ba7c7909bfb66c2e9e4124ab6f6482560f6628b5aaeba39207c9aad9"
-
-[[package]]
-name = "zstd"
-version = "0.12.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1a27595e173641171fc74a1232b7b1c7a7cb6e18222c11e9dfb9888fa424c53c"
+checksum = "525b4ec142c6b68a2d10f01f7bbf6755599ca3f81ea53b8431b7dd348f5fdb2d"
dependencies = [
- "zstd-safe",
+ "zeroize_derive",
]
[[package]]
-name = "zstd-safe"
-version = "6.0.6"
+name = "zeroize_derive"
+version = "1.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ee98ffd0b48ee95e6c5168188e44a54550b1564d9d530ee21d5f0eaed1069581"
+checksum = "ce36e65b0d2999d2aafac989fb249189a141aee1f53c612c1f37d72631959f69"
dependencies = [
- "libc",
- "zstd-sys",
-]
-
-[[package]]
-name = "zstd-sys"
-version = "2.0.8+zstd.1.5.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5556e6ee25d32df2586c098bbfa278803692a20d0ab9565e049480d52707ec8c"
-dependencies = [
- "cc",
- "libc",
- "pkg-config",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
]
diff --git a/pkgs/by-name/my/mycelium/package.nix b/pkgs/by-name/my/mycelium/package.nix
new file mode 100644
index 000000000000..b3aee2f9ff3e
--- /dev/null
+++ b/pkgs/by-name/my/mycelium/package.nix
@@ -0,0 +1,39 @@
+{ lib
+, rustPlatform
+, fetchFromGitHub
+, stdenv
+, darwin
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "mycelium";
+ version = "0.4.3";
+
+ src = fetchFromGitHub {
+ owner = "threefoldtech";
+ repo = "mycelium";
+ rev = "v${version}";
+ hash = "sha256-bA3ci+vqXBCPBaxMvfUdFcqdaZbAw/+r5UbH/as/fnc=";
+ };
+
+ cargoLock = {
+ lockFile = ./Cargo.lock;
+ outputHashes = {
+ "tun-0.6.1" = "sha256-DelNPCOWvVSMS2BNGA2Gw/Mn9c7RdFNR21/jo1xf+xk=";
+ };
+ };
+
+ buildInputs = lib.optionals stdenv.isDarwin [
+ darwin.apple_sdk.frameworks.Security
+ darwin.apple_sdk.frameworks.SystemConfiguration
+ ];
+
+ meta = with lib; {
+ description = "End-2-end encrypted IPv6 overlay network";
+ homepage = "https://github.com/threefoldtech/mycelium";
+ changelog = "https://github.com/threefoldtech/mycelium/blob/${src.rev}/CHANGELOG.md";
+ license = licenses.asl20;
+ maintainers = with maintainers; [ flokli matthewcroughan ];
+ mainProgram = "mycelium";
+ };
+}
diff --git a/pkgs/by-name/my/myrescue/0001-darwin-build-fixes.patch b/pkgs/by-name/my/myrescue/0001-darwin-build-fixes.patch
new file mode 100644
index 000000000000..6dee89ab8f80
--- /dev/null
+++ b/pkgs/by-name/my/myrescue/0001-darwin-build-fixes.patch
@@ -0,0 +1,79 @@
+From c8f75fec1e558d1f6d5bbcdd89ac14b10fa370de Mon Sep 17 00:00:00 2001
+From: annalee <150648636+a-n-n-a-l-e-e@users.noreply.github.com>
+Date: Sun, 18 Feb 2024 09:08:12 +0000
+Subject: [PATCH] darwin build fixes
+
+---
+ compat.h | 9 +++++++++
+ myrescue-bitmap2ppm.c | 1 +
+ myrescue-stat.c | 1 +
+ myrescue.c | 5 +++++
+ 4 files changed, 16 insertions(+)
+ create mode 100644 src/compat.h
+
+diff --git a/compat.h b/compat.h
+new file mode 100644
+index 0000000..99b7239
+--- /dev/null
++++ b/compat.h
+@@ -0,0 +1,9 @@
++#pragma once
++
++#ifdef __APPLE__
++#include
++#include
++_Static_assert(sizeof(off_t) == 8, "off_t must be 8 bytes");
++#define lseek64 lseek
++#define open64 open
++#endif
+diff --git a/myrescue-bitmap2ppm.c b/myrescue-bitmap2ppm.c
+index 68ecc29..eb2dd9e 100644
+--- a/myrescue-bitmap2ppm.c
++++ b/myrescue-bitmap2ppm.c
+@@ -25,6 +25,7 @@
+ #include
+ #include
+ #include
++#include "compat.h"
+
+ int main(int argc, char** argv)
+ {
+diff --git a/myrescue-stat.c b/myrescue-stat.c
+index c7a115f..264bd55 100644
+--- a/myrescue-stat.c
++++ b/myrescue-stat.c
+@@ -25,6 +25,7 @@
+ #include
+ #include
+ #include
++#include "compat.h"
+
+ #define BUFFER_SIZE 4096
+
+diff --git a/myrescue.c b/myrescue.c
+index 0b119c5..f9b052c 100644
+--- a/myrescue.c
++++ b/myrescue.c
+@@ -21,7 +21,11 @@
+ #define __USE_LARGEFILE64 1
+ #define _LARGEFILE_SOURCE 1
+ #define _LARGEFILE64_SOURCE 1
++#ifdef __linux__
+ #define HAVE_USBRESET 1
++#else
++#define HAVE_USBRESET 0
++#endif
+
+ #include
+ #include
+@@ -37,6 +41,7 @@
+ #include
+
+ #include "permute.h"
++#include "compat.h"
+
+ #define LONG_TIME 3
+ #define SLEEP_AFTER_USBRESET 5
+--
+2.43.0
+
diff --git a/pkgs/by-name/my/myrescue/package.nix b/pkgs/by-name/my/myrescue/package.nix
new file mode 100644
index 000000000000..1f47499c55bb
--- /dev/null
+++ b/pkgs/by-name/my/myrescue/package.nix
@@ -0,0 +1,39 @@
+{ lib
+, stdenv
+, fetchurl
+, installShellFiles
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "myrescue";
+ version = "0.9.8";
+
+ src = fetchurl {
+ url = "mirror://sourceforge/project/myrescue/myrescue/myrescue-${finalAttrs.version}/myrescue-${finalAttrs.version}.tar.gz";
+ hash = "sha256-tO9gkDpEtmySatzV2Ktw3eq5SybCUGAUmKXiSxnkwdc=";
+ };
+
+ nativeBuildInputs = [ installShellFiles ];
+
+ sourceRoot = "./src";
+
+ patches = [
+ ./0001-darwin-build-fixes.patch
+ ];
+
+ installPhase = ''
+ runHook preInstall
+ install -Dm755 myrescue -t $out/bin
+ installManPage ../doc/myrescue.1
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ description = "Hard disk recovery tool that reads undamaged regions first";
+ mainProgram = "myrescue";
+ homepage = "https://myrescue.sourceforge.net";
+ maintainers = with maintainers; [ d3vil0p3r ];
+ platforms = platforms.unix;
+ license = licenses.gpl2Plus;
+ };
+})
diff --git a/pkgs/by-name/my/mystmd/package.nix b/pkgs/by-name/my/mystmd/package.nix
index 5a65c78991b6..dbd213453ce6 100644
--- a/pkgs/by-name/my/mystmd/package.nix
+++ b/pkgs/by-name/my/mystmd/package.nix
@@ -2,16 +2,16 @@
buildNpmPackage rec {
pname = "mystmd";
- version = "1.1.40";
+ version = "1.1.47";
src = fetchFromGitHub {
owner = "executablebooks";
repo = "mystmd";
rev = "mystmd@${version}";
- hash = "sha256-RN3jrcTLdVnG4QF3OCg12S5faaYqkEhWGW7BaZKli4M=";
+ hash = "sha256-gF3IGkdMM4pbtHOVnhk35nxPBLqUSc/IzrXvg+duQa4=";
};
- npmDepsHash = "sha256-VZO5VXwmBpTdUdlBPgRz2P9Q7xDc1GaBrHEGnvYXx/E=";
+ npmDepsHash = "sha256-63QS+vnIwkdMES2UrWvHeytWp5NuEf5uKpHA0m1XTHU=";
dontNpmInstall = true;
diff --git a/pkgs/by-name/n2/n2/package.nix b/pkgs/by-name/n2/n2/package.nix
index dd35f134d8a1..4e88285c3e0f 100644
--- a/pkgs/by-name/n2/n2/package.nix
+++ b/pkgs/by-name/n2/n2/package.nix
@@ -19,6 +19,7 @@ rustPlatform.buildRustPackage {
meta = with lib; {
homepage = "https://github.com/evmar/n2";
description = "A ninja compatible build system";
+ mainProgram = "n2";
license = licenses.asl20;
maintainers = with maintainers; [ fgaz ];
platforms = platforms.all;
diff --git a/pkgs/by-name/na/namespace-cli/package.nix b/pkgs/by-name/na/namespace-cli/package.nix
index 50bec8c0428c..446cadd65a5c 100644
--- a/pkgs/by-name/na/namespace-cli/package.nix
+++ b/pkgs/by-name/na/namespace-cli/package.nix
@@ -5,16 +5,16 @@
buildGoModule rec {
pname = "namespace-cli";
- version = "0.0.338";
+ version = "0.0.351";
src = fetchFromGitHub {
owner = "namespacelabs";
repo = "foundation";
rev = "v${version}";
- hash = "sha256-pZMqSZuyu7tRMcASWLVB2/Dd7qre35Evz83PLXoMgrs=";
+ hash = "sha256-DN/YHPb2/bPzE/EgkuCN0hat8UnwV+6fpay7t4Utlv8=";
};
- vendorHash = "sha256-8VO+VKd6vsCzWeU1Bh33TvAmpiyCIEJbZ2HebpuwU5g=";
+ vendorHash = "sha256-a/e+xPOD9BDSlKknmfcX2tTMyIUrzKxqtUpFXcFIDSE=";
subPackages = ["cmd/nsc" "cmd/ns" "cmd/docker-credential-nsc"];
diff --git a/pkgs/by-name/na/naps2/deps.nix b/pkgs/by-name/na/naps2/deps.nix
index af99b871ebfd..fe39240ada2e 100644
--- a/pkgs/by-name/na/naps2/deps.nix
+++ b/pkgs/by-name/na/naps2/deps.nix
@@ -20,9 +20,6 @@
(fetchNuGet { pname = "GrpcDotNetNamedPipes"; version = "2.1.1"; sha256 = "0fmxrr99wp7pdrf8230fl6fh2jlb3l0yg928qyab9mgnparppxqa"; })
(fetchNuGet { pname = "GtkSharp"; version = "3.24.24.38"; sha256 = "0cn8aggci6n088y5giiaxmyzv01rcz37r8pm738q2bsb57zppz2j"; })
(fetchNuGet { pname = "Makaretu.Dns"; version = "2.0.1"; sha256 = "1l6ajfdcvqpz078wl6nm44bnhd8h47nssb5qgp5al9zqic50mqnd"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Ref"; version = "6.0.26"; sha256 = "1d8nkz24vsm0iy2xm8y5ak2q1w1p99dxyz0y26acs6sfk2na0vm6"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-arm64"; version = "6.0.26"; sha256 = "1za8lc52m4z54d68wd64c2nhzy05g3gx171k5cdlx73fbymiys9z"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-x64"; version = "6.0.26"; sha256 = "1zpbmz6z8758gwywzg0bac8kx9x39sxxc9j4a4r2jl74l9ssw4vm"; })
(fetchNuGet { pname = "Microsoft.Bcl.AsyncInterfaces"; version = "1.1.0"; sha256 = "1dq5yw7cy6s42193yl4iqscfw5vzkjkgv0zyy32scr4jza6ni1a1"; })
(fetchNuGet { pname = "Microsoft.Bcl.AsyncInterfaces"; version = "8.0.0"; sha256 = "0z4jq5prnxyb4p3163yxx35znpd2msjd8hw8ysmv4ah90f5sd9gm"; })
(fetchNuGet { pname = "Microsoft.Extensions.Configuration"; version = "2.1.0"; sha256 = "04rjl38wlr1jjjpbzgf64jp0ql6sbzbil0brwq9mgr3hdgwd7vx2"; })
@@ -42,13 +39,7 @@
(fetchNuGet { pname = "Microsoft.Extensions.Options"; version = "6.0.0"; sha256 = "008pnk2p50i594ahz308v81a41mbjz9mwcarqhmrjpl2d20c868g"; })
(fetchNuGet { pname = "Microsoft.Extensions.Primitives"; version = "2.1.0"; sha256 = "1r9gzwdfmb8ysnc4nzmyz5cyar1lw0qmizsvrsh252nhlyg06nmb"; })
(fetchNuGet { pname = "Microsoft.Extensions.Primitives"; version = "6.0.0"; sha256 = "1kjiw6s4yfz9gm7mx3wkhp06ghnbs95icj9hi505shz9rjrg42q2"; })
- (fetchNuGet { pname = "Microsoft.NET.ILLink.Tasks"; version = "8.0.1"; sha256 = "1drbgqdcvbpisjn8mqfgba1pwb6yri80qc4mfvyczqwrcsj5k2ja"; })
(fetchNuGet { pname = "Microsoft.NETCore.App"; version = "2.1.30"; sha256 = "10brwj7csacwa4ra37pjb2bqwg961lxi576330xlhhwqixkjkrqf"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-arm64"; version = "6.0.26"; sha256 = "19y6c6v20bgf7x7rrh4rx9y7s5fy8vp5m4j9b6gi1wp4rpb5mza4"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-x64"; version = "6.0.26"; sha256 = "0p7hhidaa3mnyiwnsijwy8578v843x8hh99255s69qwwyld6falv"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Ref"; version = "6.0.26"; sha256 = "12gb52dhg5h9hgnyqh1zgj2w46paxv2pfh33pphl9ajhrdr7hlsb"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-arm64"; version = "6.0.26"; sha256 = "164hfrwqz5dxcbb441lridk4mzcqmarb0b7ckgvqhsvpawyjw88v"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-x64"; version = "6.0.26"; sha256 = "0islayddpnflviqpbq4djc4f3v9nhsa2y76k5x6il3csq5vdw2hq"; })
(fetchNuGet { pname = "Microsoft.NETCore.DotNetAppHost"; version = "2.1.30"; sha256 = "0rabvmid1n604pk9rndlq62zqhq77p7cznmq9bzr7hshvr2rszab"; })
(fetchNuGet { pname = "Microsoft.NETCore.DotNetHostPolicy"; version = "2.1.30"; sha256 = "1zk6ajalssvpm2yv4ri3g6hbxjaj1ns0y4w3g98wss54k7v44vpw"; })
(fetchNuGet { pname = "Microsoft.NETCore.DotNetHostResolver"; version = "2.1.30"; sha256 = "0k3k6ldi5lj9ab9bdnhzfiykr6ipwz17d9g952bcanhvmk57l376"; })
diff --git a/pkgs/by-name/na/naps2/package.nix b/pkgs/by-name/na/naps2/package.nix
index be326c46b65a..e1d99e8070f9 100644
--- a/pkgs/by-name/na/naps2/package.nix
+++ b/pkgs/by-name/na/naps2/package.nix
@@ -25,7 +25,7 @@ buildDotnetModule rec {
executables = [ "naps2" ];
- dotnet-sdk = dotnetCorePackages.sdk_8_0;
+ dotnet-sdk = with dotnetCorePackages; combinePackages [ sdk_6_0 sdk_8_0 ];
dotnet-runtime = dotnetCorePackages.runtime_8_0;
selfContainedBuild = true;
runtimeDeps = [
diff --git a/pkgs/by-name/na/narsil/package.nix b/pkgs/by-name/na/narsil/package.nix
index ac00cf482055..9e6d3ba1dc02 100644
--- a/pkgs/by-name/na/narsil/package.nix
+++ b/pkgs/by-name/na/narsil/package.nix
@@ -41,6 +41,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/NickMcConnell/NarSil/";
description = "Unofficial rewrite of Sil, a roguelike influenced by Angband";
+ mainProgram = "narsil";
longDescription = ''
NarSil attempts to be an almost-faithful recreation of Sil 1.3.0,
but based on the codebase of modern Angband.
diff --git a/pkgs/by-name/na/nawk/package.nix b/pkgs/by-name/na/nawk/package.nix
index 0e0b84784c99..2ad1e2c9c3cd 100644
--- a/pkgs/by-name/na/nawk/package.nix
+++ b/pkgs/by-name/na/nawk/package.nix
@@ -8,13 +8,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "nawk";
- version = "20230911";
+ version = "20240311";
src = fetchFromGitHub {
owner = "onetrueawk";
repo = "awk";
- rev = "2ndEdition";
- hash = "sha256-9SxeYsTFrsJ+Cg43QjQihi2Ij0qdJvTnyGGhUHJHjuU=";
+ rev = finalAttrs.version;
+ hash = "sha256-4iAQR8djhhp5Yn4H1IdzotQLY0d/Gz/vNQPfAUNQV0A=";
};
depsBuildBuild = [ buildPackages.stdenv.cc ];
diff --git a/pkgs/by-name/nb/nbtscan/package.nix b/pkgs/by-name/nb/nbtscan/package.nix
new file mode 100644
index 000000000000..9d9e0b3afbe6
--- /dev/null
+++ b/pkgs/by-name/nb/nbtscan/package.nix
@@ -0,0 +1,28 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, autoreconfHook
+}:
+
+stdenv.mkDerivation {
+ pname = "nbtscan";
+ version = "1.7.2-unstable-2022-10-29";
+
+ src = fetchFromGitHub {
+ owner = "resurrecting-open-source-projects";
+ repo = "nbtscan";
+ rev = "e09e22a2a322ba74bb0b3cd596933fe2e31f4b2b";
+ hash = "sha256-+AOubF6eZ1Zvk5n8mGl9TxEicBpS4kYThA4MrEaGjAs=";
+ };
+
+ nativeBuildInputs = [ autoreconfHook ];
+
+ meta = with lib; {
+ description = "Scan networks searching for NetBIOS information";
+ mainProgram = "nbtscan";
+ homepage = "https://github.com/resurrecting-open-source-projects/nbtscan";
+ maintainers = with maintainers; [ d3vil0p3r ];
+ platforms = platforms.unix;
+ license = licenses.gpl2Plus;
+ };
+}
diff --git a/pkgs/by-name/nc/nc4nix/package.nix b/pkgs/by-name/nc/nc4nix/package.nix
new file mode 100644
index 000000000000..4269d235ea26
--- /dev/null
+++ b/pkgs/by-name/nc/nc4nix/package.nix
@@ -0,0 +1,28 @@
+{ lib
+, buildGoModule
+, fetchFromGitHub
+}:
+
+buildGoModule {
+ pname = "nc4nix";
+ version = "0-unstable-2024-03-01";
+
+ src = fetchFromGitHub {
+ owner = "helsinki-systems";
+ repo = "nc4nix";
+ rev = "ba37674c0dddf93e0a011dace92ec7f0ec834765";
+ hash = "sha256-k12eeP2gojLCsJH1GGuiTmxz3ViPc0+oFBuptyh42Bw=";
+ };
+
+ vendorHash = "sha256-ZXl4kMDY9ADkHUcLsl3uNpyErMzbgS+J65+uUeIXpSE=";
+
+ meta = with lib; {
+ description = "Packaging helper for Nextcloud apps";
+ mainProgram = "nc4nix";
+ homepage = "https://github.com/helsinki-systems/nc4nix";
+ license = licenses.mit;
+ maintainers = with maintainers; [ onny ];
+ platforms = platforms.linux;
+ };
+}
+
diff --git a/pkgs/by-name/nd/ndstrim/package.nix b/pkgs/by-name/nd/ndstrim/package.nix
new file mode 100644
index 000000000000..403bd831a76b
--- /dev/null
+++ b/pkgs/by-name/nd/ndstrim/package.nix
@@ -0,0 +1,50 @@
+{ lib
+, fetchFromGitHub
+, fetchpatch
+, rustPlatform
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "ndstrim";
+ version = "0.2.1";
+
+ src = fetchFromGitHub {
+ owner = "Nemris";
+ repo = "ndstrim";
+ rev = "v${version}";
+ hash = "sha256-KgtejBbFg6+klc8OpCs1CIb+7uVPCtP0/EM671vxauk=";
+ };
+
+ patches = [
+ # https://github.com/Nemris/ndstrim/pull/1
+ (fetchpatch {
+ name = "update-cargo-lock.patch";
+ url = "https://github.com/Nemris/ndstrim/commit/8147bb31a8fb5765f33562957a61cb6ddbe65513.patch";
+ hash = "sha256-HsCc5un9dg0gRkRjwxtjms0cugqWhcTthGfcF50EgYA=";
+ })
+ ];
+
+ cargoHash = "sha256-k5SlsIWHEZaYwk5cmLb1QMs3lk0VGGwiGd1TSQJC3Ss=";
+
+ # TODO: remove this after upstream merge above patch.
+ # Without the workaround below the build results in the following error:
+ # Validating consistency between /build/source/Cargo.lock and /build/ndstrim-0.2.1-vendor.tar.gz/Cargo.lock
+ #
+ # < version = "0.2.1"
+ # ---
+ # > version = "0.1.0"
+ #
+ # ERROR: cargoHash or cargoSha256 is out of date
+ postPatch = ''
+ cargoSetupPostPatchHook() { true; }
+ '';
+
+ meta = with lib; {
+ description = "Trim the excess padding found in Nintendo DS(i) ROMs";
+ homepage = "https://github.com/Nemris/ndstrim";
+ license = licenses.mit;
+ platforms = platforms.unix;
+ maintainers = with maintainers; [ thiagokokada ];
+ mainProgram = "ndstrim";
+ };
+}
diff --git a/pkgs/by-name/ne/neocities-cli/Gemfile b/pkgs/by-name/ne/neocities-cli/Gemfile
new file mode 100644
index 000000000000..f9e464079d0f
--- /dev/null
+++ b/pkgs/by-name/ne/neocities-cli/Gemfile
@@ -0,0 +1,2 @@
+source 'https://rubygems.org'
+gem 'neocities'
diff --git a/pkgs/by-name/ne/neocities-cli/Gemfile.lock b/pkgs/by-name/ne/neocities-cli/Gemfile.lock
new file mode 100644
index 000000000000..91b35afca94d
--- /dev/null
+++ b/pkgs/by-name/ne/neocities-cli/Gemfile.lock
@@ -0,0 +1,48 @@
+GEM
+ remote: https://rubygems.org/
+ specs:
+ equatable (0.5.0)
+ httpclient-fixcerts (2.8.5)
+ necromancer (0.4.0)
+ neocities (0.0.18)
+ httpclient-fixcerts (~> 2.8, >= 2.8.5)
+ pastel (~> 0.7, = 0.7.2)
+ rake (~> 12.3, >= 12.3.1)
+ tty-prompt (~> 0.12, = 0.12.0)
+ tty-table (~> 0.10, = 0.10.0)
+ pastel (0.7.2)
+ equatable (~> 0.5.0)
+ tty-color (~> 0.4.0)
+ rake (12.3.3)
+ strings (0.1.8)
+ strings-ansi (~> 0.1)
+ unicode-display_width (~> 1.5)
+ unicode_utils (~> 1.4)
+ strings-ansi (0.2.0)
+ tty-color (0.4.3)
+ tty-cursor (0.4.0)
+ tty-prompt (0.12.0)
+ necromancer (~> 0.4.0)
+ pastel (~> 0.7.0)
+ tty-cursor (~> 0.4.0)
+ wisper (~> 1.6.1)
+ tty-screen (0.6.5)
+ tty-table (0.10.0)
+ equatable (~> 0.5.0)
+ necromancer (~> 0.4.0)
+ pastel (~> 0.7.2)
+ strings (~> 0.1.0)
+ tty-screen (~> 0.6.4)
+ unicode-display_width (1.8.0)
+ unicode_utils (1.4.0)
+ wisper (1.6.1)
+
+PLATFORMS
+ ruby
+ x86_64-linux
+
+DEPENDENCIES
+ neocities
+
+BUNDLED WITH
+ 2.5.5
diff --git a/pkgs/by-name/ne/neocities-cli/gemset.nix b/pkgs/by-name/ne/neocities-cli/gemset.nix
new file mode 100644
index 000000000000..573cee83eed2
--- /dev/null
+++ b/pkgs/by-name/ne/neocities-cli/gemset.nix
@@ -0,0 +1,169 @@
+{
+ equatable = {
+ groups = [ "default" ];
+ platforms = [ ];
+ source = {
+ remotes = [ "https://rubygems.org" ];
+ sha256 = "1sjm9zjakyixyvsqziikdrsqfzis6j3fq23crgjkp6fwkfgndj7x";
+ type = "gem";
+ };
+ version = "0.5.0";
+ };
+ httpclient-fixcerts = {
+ groups = [ "default" ];
+ platforms = [ ];
+ source = {
+ remotes = [ "https://rubygems.org" ];
+ sha256 = "1zfszylh51y8ic8sbff3mwf30jb0gj270r5nxkcm1ydxad19w6sl";
+ type = "gem";
+ };
+ version = "2.8.5";
+ };
+ necromancer = {
+ groups = [ "default" ];
+ platforms = [ ];
+ source = {
+ remotes = [ "https://rubygems.org" ];
+ sha256 = "0v9nhdkv6zrp7cn48xv7n2vjhsbslpvs0ha36mfkcd56cp27pavz";
+ type = "gem";
+ };
+ version = "0.4.0";
+ };
+ neocities = {
+ dependencies =
+ [ "httpclient-fixcerts" "pastel" "rake" "tty-prompt" "tty-table" ];
+ groups = [ "default" ];
+ platforms = [ ];
+ source = {
+ remotes = [ "https://rubygems.org" ];
+ sha256 = "1hfvy4gc7rzxkgl2dbrjs2fqzi5mphmr22rjfhk6n0i3bd0wazbw";
+ type = "gem";
+ };
+ version = "0.0.18";
+ };
+ pastel = {
+ dependencies = [ "equatable" "tty-color" ];
+ groups = [ "default" ];
+ platforms = [ ];
+ source = {
+ remotes = [ "https://rubygems.org" ];
+ sha256 = "1yf30d9kzpm96gw9kwbv31p0qigwfykn8qdis5950plnzgc1vlp1";
+ type = "gem";
+ };
+ version = "0.7.2";
+ };
+ rake = {
+ groups = [ "default" ];
+ platforms = [ ];
+ source = {
+ remotes = [ "https://rubygems.org" ];
+ sha256 = "1cvaqarr1m84mhc006g3l1vw7sa5qpkcw0138lsxlf769zdllsgp";
+ type = "gem";
+ };
+ version = "12.3.3";
+ };
+ strings = {
+ dependencies = [ "strings-ansi" "unicode-display_width" "unicode_utils" ];
+ groups = [ "default" ];
+ platforms = [ ];
+ source = {
+ remotes = [ "https://rubygems.org" ];
+ sha256 = "111876lcqrykh30w7zzkrl06d6rj9lq24y625m28674vgfxkkcz0";
+ type = "gem";
+ };
+ version = "0.1.8";
+ };
+ strings-ansi = {
+ groups = [ "default" ];
+ platforms = [ ];
+ source = {
+ remotes = [ "https://rubygems.org" ];
+ sha256 = "120wa6yjc63b84lprglc52f40hx3fx920n4dmv14rad41rv2s9lh";
+ type = "gem";
+ };
+ version = "0.2.0";
+ };
+ tty-color = {
+ groups = [ "default" ];
+ platforms = [ ];
+ source = {
+ remotes = [ "https://rubygems.org" ];
+ sha256 = "0zz5xa6xbrj69h334d8nx7z732fz80s1a0b02b53mim95p80s7bk";
+ type = "gem";
+ };
+ version = "0.4.3";
+ };
+ tty-cursor = {
+ groups = [ "default" ];
+ platforms = [ ];
+ source = {
+ remotes = [ "https://rubygems.org" ];
+ sha256 = "07whfm8mnp7l49s2cm2qy1snhsqq3a90sqwb71gvym4hm2kx822a";
+ type = "gem";
+ };
+ version = "0.4.0";
+ };
+ tty-prompt = {
+ dependencies = [ "necromancer" "pastel" "tty-cursor" "wisper" ];
+ groups = [ "default" ];
+ platforms = [ ];
+ source = {
+ remotes = [ "https://rubygems.org" ];
+ sha256 = "1026nyqhgmgxi2nmk8xk3hca07gy5rpisjs8y6w00wnw4f01kpv0";
+ type = "gem";
+ };
+ version = "0.12.0";
+ };
+ tty-screen = {
+ groups = [ "default" ];
+ platforms = [ ];
+ source = {
+ remotes = [ "https://rubygems.org" ];
+ sha256 = "0azpjgyhdm8ycblnx9crq3dgb2x8yg454a13n60zfpsc0n138sw1";
+ type = "gem";
+ };
+ version = "0.6.5";
+ };
+ tty-table = {
+ dependencies =
+ [ "equatable" "necromancer" "pastel" "strings" "tty-screen" ];
+ groups = [ "default" ];
+ platforms = [ ];
+ source = {
+ remotes = [ "https://rubygems.org" ];
+ sha256 = "05krrj1x5pmfbz74paszrsr1316w9b9jlc4wpd9s9gpzqfzwjzcg";
+ type = "gem";
+ };
+ version = "0.10.0";
+ };
+ unicode-display_width = {
+ groups = [ "default" ];
+ platforms = [ ];
+ source = {
+ remotes = [ "https://rubygems.org" ];
+ sha256 = "1204c1jx2g89pc25qk5150mk7j5k90692i7ihgfzqnad6qni74h2";
+ type = "gem";
+ };
+ version = "1.8.0";
+ };
+ unicode_utils = {
+ groups = [ "default" ];
+ platforms = [ ];
+ source = {
+ remotes = [ "https://rubygems.org" ];
+ sha256 = "0h1a5yvrxzlf0lxxa1ya31jcizslf774arnsd89vgdhk4g7x08mr";
+ type = "gem";
+ };
+ version = "1.4.0";
+ };
+ wisper = {
+ groups = [ "default" ];
+ platforms = [ ];
+ source = {
+ remotes = [ "https://rubygems.org" ];
+ sha256 = "19bw0z1qw1dhv7gn9lad25hgbgpb1bkw8d599744xdfam158ms2s";
+ type = "gem";
+ };
+ version = "1.6.1";
+ };
+}
diff --git a/pkgs/by-name/ne/neocities-cli/package.nix b/pkgs/by-name/ne/neocities-cli/package.nix
new file mode 100644
index 000000000000..6bc673d3288b
--- /dev/null
+++ b/pkgs/by-name/ne/neocities-cli/package.nix
@@ -0,0 +1,22 @@
+{ lib
+, bundlerApp
+, bundlerUpdateScript
+}:
+
+bundlerApp {
+ pname = "neocities";
+ gemdir = ./.;
+ exes = [ "neocities" ];
+
+ passthru.updateScript = bundlerUpdateScript "neocities";
+
+ meta = with lib; {
+ description = "The Neocities Gem - A CLI and library for using the Neocities web site API.";
+ homepage = "https://github.com/neocities/neocities-ruby";
+ license = licenses.mit;
+ mainProgram = "neocities";
+ maintainers = with maintainers; [ dawoox ];
+ platforms = platforms.unix;
+ };
+}
+
diff --git a/pkgs/by-name/ne/net-cpp/package.nix b/pkgs/by-name/ne/net-cpp/package.nix
index 49f9c50721dd..00815ff66ca8 100644
--- a/pkgs/by-name/ne/net-cpp/package.nix
+++ b/pkgs/by-name/ne/net-cpp/package.nix
@@ -47,6 +47,11 @@ stdenv.mkDerivation (finalAttrs: {
url = "https://salsa.debian.org/ubports-team/net-cpp/-/raw/941d9eceaa66a06eabb1eb79554548b47d4a60ab/debian/patches/1007_wait-for-flask.patch";
hash = "sha256-nsGkZBuqahsg70PLUxn5EluDjmfZ0/wSnOYimfAI4ag=";
})
+ # Bump std version to 14 for gtest 1.13+
+ (fetchpatch {
+ url = "https://salsa.debian.org/ubports-team/net-cpp/-/raw/f3a031eb7e4ce7df00781100f16de58a4709afcb/debian/patches/0001-Bump-std-version-to-14-needed-for-googletest-1.13.0.patch";
+ hash = "sha256-3ykqCfZjtTx7zWQ5rkMhVp7D5fkpoCjl0CVFwwEd4U4=";
+ })
];
postPatch = lib.optionalString finalAttrs.finalPackage.doCheck ''
diff --git a/pkgs/by-name/ne/netclient/package.nix b/pkgs/by-name/ne/netclient/package.nix
index 1fe94d97762f..dc00b6856cab 100644
--- a/pkgs/by-name/ne/netclient/package.nix
+++ b/pkgs/by-name/ne/netclient/package.nix
@@ -8,16 +8,16 @@
buildGoModule rec {
pname = "netclient";
- version = "0.22.0";
+ version = "0.23.0";
src = fetchFromGitHub {
owner = "gravitl";
repo = "netclient";
rev = "v${version}";
- hash = "sha256-7raWk4Y/ZrSaGKPLrrnD49aDALkZ+Nxycd+px8Eks10=";
+ hash = "sha256-Wglh6tcpanEmXwoRKdAot/l4RS+EbIIHI1etQ9ic7BI=";
};
- vendorHash = "sha256-lRXZ9iSWQEKWmeQV1ei/G4+HvqhW9U8yUv1Qb/d2jvY=";
+ vendorHash = "sha256-or/0z+RiOkZ2qgEqXNI/LafN+eWAzvLuSZta/QNUI3g=";
buildInputs = lib.optional stdenv.isDarwin darwin.apple_sdk.frameworks.Cocoa
++ lib.optional stdenv.isLinux libX11;
@@ -26,6 +26,7 @@ buildGoModule rec {
meta = with lib; {
description = "Automated WireGuard® Management Client";
+ mainProgram = "netclient";
homepage = "https://netmaker.io";
changelog = "https://github.com/gravitl/netclient/releases/tag/v${version}";
license = licenses.asl20;
diff --git a/pkgs/by-name/ne/netease-cloud-music-gtk/Cargo.lock b/pkgs/by-name/ne/netease-cloud-music-gtk/Cargo.lock
index 4049079e10a9..8bf817242459 100644
--- a/pkgs/by-name/ne/netease-cloud-music-gtk/Cargo.lock
+++ b/pkgs/by-name/ne/netease-cloud-music-gtk/Cargo.lock
@@ -33,10 +33,58 @@ dependencies = [
]
[[package]]
-name = "anyhow"
-version = "1.0.79"
+name = "anstream"
+version = "0.6.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "080e9890a082662b09c1ad45f567faeeb47f22b5fb23895fbe1e651e718e25ca"
+checksum = "d96bd03f33fe50a863e394ee9718a706f988b9079b20c3784fb726e7678b62fb"
+dependencies = [
+ "anstyle",
+ "anstyle-parse",
+ "anstyle-query",
+ "anstyle-wincon",
+ "colorchoice",
+ "utf8parse",
+]
+
+[[package]]
+name = "anstyle"
+version = "1.0.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8901269c6307e8d93993578286ac0edf7f195079ffff5ebdeea6a59ffb7e36bc"
+
+[[package]]
+name = "anstyle-parse"
+version = "0.2.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c75ac65da39e5fe5ab759307499ddad880d724eed2f6ce5b5e8a26f4f387928c"
+dependencies = [
+ "utf8parse",
+]
+
+[[package]]
+name = "anstyle-query"
+version = "1.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e28923312444cdd728e4738b3f9c9cac739500909bb3d3c94b43551b16517648"
+dependencies = [
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "anstyle-wincon"
+version = "3.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1cd54b81ec8d6180e24654d0b371ad22fc3dd083b6ff8ba325b72e00c87660a7"
+dependencies = [
+ "anstyle",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "anyhow"
+version = "1.0.80"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5ad32ce52e4161730f7098c077cd2ed6229b5804ccf99e5366be1ab72a98b4e1"
[[package]]
name = "async-broadcast"
@@ -61,13 +109,13 @@ dependencies = [
[[package]]
name = "async-channel"
-version = "2.1.1"
+version = "2.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ca33f4bc4ed1babef42cad36cc1f51fa88be00420404e5b1e80ab1b18f7678c"
+checksum = "f28243a43d821d11341ab73c80bed182dc015c514b951616cf79bd4af39af0c3"
dependencies = [
"concurrent-queue",
- "event-listener 4.0.3",
- "event-listener-strategy",
+ "event-listener 5.2.0",
+ "event-listener-strategy 0.5.0",
"futures-core",
"pin-project-lite",
]
@@ -114,15 +162,15 @@ dependencies = [
"polling 2.8.0",
"rustix 0.37.27",
"slab",
- "socket2",
+ "socket2 0.4.10",
"waker-fn",
]
[[package]]
name = "async-io"
-version = "2.3.1"
+version = "2.3.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8f97ab0c5b00a7cdbe5a371b9a782ee7be1316095885c8a4ea1daf490eb0ef65"
+checksum = "dcccb0f599cfa2f8ace422d3555572f47424da5648a4382a9dd0310ff8210884"
dependencies = [
"async-lock 3.3.0",
"cfg-if",
@@ -130,7 +178,7 @@ dependencies = [
"futures-io",
"futures-lite 2.2.0",
"parking",
- "polling 3.4.0",
+ "polling 3.5.0",
"rustix 0.38.31",
"slab",
"tracing",
@@ -153,7 +201,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "d034b430882f8381900d3fe6f0aaa3ad94f2cb4ac519b429692a1bc2dda4ae7b"
dependencies = [
"event-listener 4.0.3",
- "event-listener-strategy",
+ "event-listener-strategy 0.4.0",
"pin-project-lite",
]
@@ -182,7 +230,7 @@ checksum = "5fd55a5ba1179988837d24ab4c7cc8ed6efdeff578ede0416b4225a5fca35bd0"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.52",
]
[[package]]
@@ -191,7 +239,7 @@ version = "0.2.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "9e47d90f65a225c4527103a8d747001fc56e375203592b25ad103e1ca13124c5"
dependencies = [
- "async-io 2.3.1",
+ "async-io 2.3.2",
"async-lock 2.8.0",
"atomic-waker",
"cfg-if",
@@ -217,7 +265,7 @@ checksum = "c980ee35e870bd1a4d2c8294d4c04d0499e67bca1e4b5cefcc693c2fa00caea9"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.52",
]
[[package]]
@@ -240,9 +288,9 @@ checksum = "d468802bab17cbc0cc575e9b053f41e72aa36bfa6b7f55e3529ffa43161b97fa"
[[package]]
name = "base64"
-version = "0.21.7"
+version = "0.22.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9d297deb1925b89f2ccc13d7635fa0714f12c87adce1c75356b39ca9b7178567"
+checksum = "9475866fec1451be56a3c2400fd081ff546538961565ccb5b7142cbd22bc7a51"
[[package]]
name = "bitflags"
@@ -277,7 +325,7 @@ version = "1.5.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "6a37913e8dc4ddcc604f0c6d3bf2887c995153af3611de9e23c352b44c1b9118"
dependencies = [
- "async-channel 2.1.1",
+ "async-channel 2.2.0",
"async-lock 3.3.0",
"async-task",
"fastrand 2.0.1",
@@ -289,15 +337,15 @@ dependencies = [
[[package]]
name = "bumpalo"
-version = "3.14.0"
+version = "3.15.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7f30e7476521f6f8af1a1c4c0b8cc94f0bee37d91763d0ca2665f299b6cd8aec"
+checksum = "7ff69b9dd49fd426c69a0db9fc04dd934cdb6645ff000864d98f7e2af8830eaa"
[[package]]
name = "bytemuck"
-version = "1.14.2"
+version = "1.14.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ea31d69bda4949c1c1562c1e6f042a1caefac98cdc8a298260a2ff41c1e2d42b"
+checksum = "a2ef034f05691a48569bd920a96c81b9d91bbad1ab5ac7c4616c1f6ef36cb79f"
[[package]]
name = "byteorder"
@@ -313,23 +361,22 @@ checksum = "a2bd12c1caf447e69cd4528f47f94d203fd2582878ecb9e9465484c4148a8223"
[[package]]
name = "cairo-rs"
-version = "0.18.5"
+version = "0.19.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8ca26ef0159422fb77631dc9d17b102f253b876fe1586b03b803e63a309b4ee2"
+checksum = "2650f66005301bd33cc486dec076e1293c4cecf768bc7ba9bf5d2b1be339b99c"
dependencies = [
"bitflags 2.4.2",
"cairo-sys-rs",
"glib",
"libc",
- "once_cell",
"thiserror",
]
[[package]]
name = "cairo-sys-rs"
-version = "0.18.2"
+version = "0.19.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "685c9fa8e590b8b3d678873528d83411db17242a73fccaed827770ea0fedda51"
+checksum = "fd3bb3119664efbd78b5e6c93957447944f16bdbced84c17a9f41c7829b81e64"
dependencies = [
"glib-sys",
"libc",
@@ -344,18 +391,15 @@ checksum = "a2698f953def977c68f935bb0dfa959375ad4638570e969e2f1e9f433cbf1af6"
[[package]]
name = "cc"
-version = "1.0.83"
+version = "1.0.90"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f1174fb0b6ec23863f8b971027804a42614e347eafb0a95bf0b12cdae21fc4d0"
-dependencies = [
- "libc",
-]
+checksum = "8cd6604a82acf3039f1144f54b8eb34e91ffba622051189e71b781822d5ee1f5"
[[package]]
name = "cfg-expr"
-version = "0.15.6"
+version = "0.15.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6100bc57b6209840798d95cb2775684849d332f7bd788db2a8c8caf7ef82a41a"
+checksum = "fa50868b64a9a6fda9d593ce778849ea8715cd2a3d2cc17ffdb4a2f2f2f1961d"
dependencies = [
"smallvec",
"target-lexicon",
@@ -369,16 +413,16 @@ checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd"
[[package]]
name = "chrono"
-version = "0.4.33"
+version = "0.4.35"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9f13690e35a5e4ace198e7beea2895d29f3a9cc55015fcebe6336bd2010af9eb"
+checksum = "8eaf5903dcbc0a39312feb77df2ff4c76387d591b9fc7b04a238dcf8bb62639a"
dependencies = [
"android-tzdata",
"iana-time-zone",
"js-sys",
"num-traits",
"wasm-bindgen",
- "windows-targets 0.52.0",
+ "windows-targets 0.52.4",
]
[[package]]
@@ -387,6 +431,12 @@ version = "1.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "3d7b894f5411737b7867f4827955924d7c254fc9f4d91a6aad6b097804b1018b"
+[[package]]
+name = "colorchoice"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "acbf1af155f9b9ef647e42cdc158db4b64a1b61f743629225fde6f3e0be2a7c7"
+
[[package]]
name = "concurrent-queue"
version = "2.4.0"
@@ -398,9 +448,9 @@ dependencies = [
[[package]]
name = "cookie"
-version = "0.17.0"
+version = "0.18.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7efb37c3e1ccb1ff97164ad95ac1606e8ccd35b3fa0a7d99a304c7f4a428cc24"
+checksum = "3cd91cf61412820176e137621345ee43b3f4423e589e7ae4e50d601d93e35ef8"
dependencies = [
"percent-encoding",
"time",
@@ -409,12 +459,12 @@ dependencies = [
[[package]]
name = "cookie_store"
-version = "0.20.0"
+version = "0.21.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "387461abbc748185c3a6e1673d826918b450b87ff22639429c694619a83b6cf6"
+checksum = "4934e6b7e8419148b6ef56950d277af8561060b56afd59e2aadf98b59fce6baa"
dependencies = [
"cookie",
- "idna 0.3.0",
+ "idna 0.5.0",
"log",
"publicsuffix",
"serde",
@@ -441,9 +491,9 @@ dependencies = [
[[package]]
name = "crc32fast"
-version = "1.3.2"
+version = "1.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b540bd8bc810d3885c6ea91e2018302f68baba2129ab3e88f32389ee9370880d"
+checksum = "b3855a8a784b474f333699ef2bbca9db2c4a1f6d9088a90a2d25b1eb53111eaa"
dependencies = [
"cfg-if",
]
@@ -466,24 +516,24 @@ dependencies = [
[[package]]
name = "curl"
-version = "0.4.44"
+version = "0.4.46"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "509bd11746c7ac09ebd19f0b17782eae80aadee26237658a6b4808afb5c11a22"
+checksum = "1e2161dd6eba090ff1594084e95fd67aeccf04382ffea77999ea94ed42ec67b6"
dependencies = [
"curl-sys",
"libc",
"openssl-probe",
"openssl-sys",
"schannel",
- "socket2",
- "winapi",
+ "socket2 0.5.6",
+ "windows-sys 0.52.0",
]
[[package]]
name = "curl-sys"
-version = "0.4.71+curl-8.6.0"
+version = "0.4.72+curl-8.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c7b12a7ab780395666cb576203dc3ed6e01513754939a600b85196ccf5356bc5"
+checksum = "29cbdc8314c447d11e8fd156dcdd031d9e02a7a976163e396b548c03153bc9ea"
dependencies = [
"cc",
"libc",
@@ -492,7 +542,7 @@ dependencies = [
"openssl-sys",
"pkg-config",
"vcpkg",
- "windows-sys 0.48.0",
+ "windows-sys 0.52.0",
]
[[package]]
@@ -527,9 +577,9 @@ dependencies = [
[[package]]
name = "either"
-version = "1.9.0"
+version = "1.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a26ae43d7bcc3b814de94796a5e736d4029efb0ee900c12e2d54c993ad1a1e07"
+checksum = "11157ac094ffbdde99aa67b23417ebdd801842852b500e395a45a9c0aac03e4a"
[[package]]
name = "encoding_rs"
@@ -542,9 +592,9 @@ dependencies = [
[[package]]
name = "enumflags2"
-version = "0.7.8"
+version = "0.7.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5998b4f30320c9d93aed72f63af821bfdac50465b75428fce77b48ec482c3939"
+checksum = "3278c9d5fb675e0a51dabcf4c0d355f692b064171535ba72361be1528a9d8e8d"
dependencies = [
"enumflags2_derive",
"serde",
@@ -552,26 +602,36 @@ dependencies = [
[[package]]
name = "enumflags2_derive"
-version = "0.7.8"
+version = "0.7.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f95e2801cd355d4a1a3e3953ce6ee5ae9603a5c833455343a8bfe3f44d418246"
+checksum = "5c785274071b1b420972453b306eeca06acf4633829db4223b58a2a8c5953bc4"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "env_filter"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a009aa4810eb158359dda09d0c87378e4bbb89b5a801f016885a4707ba24f7ea"
+dependencies = [
+ "log",
+ "regex",
]
[[package]]
name = "env_logger"
-version = "0.10.2"
+version = "0.11.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4cd405aab171cb85d6735e5c8d9db038c17d3ca007a4d2c25f337935c3d90580"
+checksum = "38b35839ba51819680ba087cd351788c9a3c476841207e0b8cee0b04722343b9"
dependencies = [
+ "anstream",
+ "anstyle",
+ "env_filter",
"humantime",
- "is-terminal",
"log",
- "regex",
- "termcolor",
]
[[package]]
@@ -618,6 +678,17 @@ dependencies = [
"pin-project-lite",
]
+[[package]]
+name = "event-listener"
+version = "5.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2b5fb89194fa3cad959b833185b3063ba881dbfc7030680b314250779fb4cc91"
+dependencies = [
+ "concurrent-queue",
+ "parking",
+ "pin-project-lite",
+]
+
[[package]]
name = "event-listener-strategy"
version = "0.4.0"
@@ -628,6 +699,16 @@ dependencies = [
"pin-project-lite",
]
+[[package]]
+name = "event-listener-strategy"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "feedafcaa9b749175d5ac357452a9d41ea2911da598fde46ce1fe02c37751291"
+dependencies = [
+ "event-listener 5.2.0",
+ "pin-project-lite",
+]
+
[[package]]
name = "fastrand"
version = "1.9.0"
@@ -770,7 +851,7 @@ checksum = "87750cf4b7a4c0625b1529e4c543c2182106e4dedc60a2a6455e00d212c489ac"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.52",
]
[[package]]
@@ -804,22 +885,21 @@ dependencies = [
[[package]]
name = "gdk-pixbuf"
-version = "0.18.5"
+version = "0.19.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "50e1f5f1b0bfb830d6ccc8066d18db35c487b1b2b1e8589b5dfe9f07e8defaec"
+checksum = "f6a23f8a0b5090494fd04924662d463f8386cc678dd3915015a838c1a3679b92"
dependencies = [
"gdk-pixbuf-sys",
"gio",
"glib",
"libc",
- "once_cell",
]
[[package]]
name = "gdk-pixbuf-sys"
-version = "0.18.0"
+version = "0.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3f9839ea644ed9c97a34d129ad56d38a25e6756f99f3a88e15cd39c20629caf7"
+checksum = "3dcbd04c1b2c4834cc008b4828bc917d062483b88d26effde6342e5622028f96"
dependencies = [
"gio-sys",
"glib-sys",
@@ -830,9 +910,9 @@ dependencies = [
[[package]]
name = "gdk4"
-version = "0.7.3"
+version = "0.8.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7edb019ad581f8ecf8ea8e4baa6df7c483a95b5a59be3140be6a9c3b0c632af6"
+checksum = "9100b25604183f2fd97f55ef087fae96ab4934d7215118a35303e422688e6e4b"
dependencies = [
"cairo-rs",
"gdk-pixbuf",
@@ -845,9 +925,9 @@ dependencies = [
[[package]]
name = "gdk4-sys"
-version = "0.7.2"
+version = "0.8.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dbab43f332a3cf1df9974da690b5bb0e26720ed09a228178ce52175372dcfef0"
+checksum = "d0b76874c40bb8d1c7d03a7231e23ac75fa577a456cd53af32ec17ec8f121626"
dependencies = [
"cairo-sys-rs",
"gdk-pixbuf-sys",
@@ -903,9 +983,9 @@ dependencies = [
[[package]]
name = "gio"
-version = "0.18.4"
+version = "0.19.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d4fc8f532f87b79cbc51a79748f16a6828fb784be93145a322fa14d06d354c73"
+checksum = "2eae10b27b6dd27e22ed0d812c6387deba295e6fc004a8b379e459b663b05a02"
dependencies = [
"futures-channel",
"futures-core",
@@ -914,7 +994,6 @@ dependencies = [
"gio-sys",
"glib",
"libc",
- "once_cell",
"pin-project-lite",
"smallvec",
"thiserror",
@@ -922,22 +1001,22 @@ dependencies = [
[[package]]
name = "gio-sys"
-version = "0.18.1"
+version = "0.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "37566df850baf5e4cb0dfb78af2e4b9898d817ed9263d1090a2df958c64737d2"
+checksum = "bcf8e1d9219bb294636753d307b030c1e8a032062cba74f493c431a5c8b81ce4"
dependencies = [
"glib-sys",
"gobject-sys",
"libc",
"system-deps",
- "winapi",
+ "windows-sys 0.52.0",
]
[[package]]
name = "glib"
-version = "0.18.5"
+version = "0.19.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "233daaf6e83ae6a12a52055f568f9d7cf4671dabb78ff9560ab6da230ce00ee5"
+checksum = "ab9e86540b5d8402e905ad4ce7d6aa544092131ab564f3102175af176b90a053"
dependencies = [
"bitflags 2.4.2",
"futures-channel",
@@ -951,30 +1030,28 @@ dependencies = [
"gobject-sys",
"libc",
"memchr",
- "once_cell",
"smallvec",
"thiserror",
]
[[package]]
name = "glib-macros"
-version = "0.18.5"
+version = "0.19.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0bb0228f477c0900c880fd78c8759b95c7636dbd7842707f49e132378aa2acdc"
+checksum = "0f5897ca27a83e4cdc7b4666850bade0a2e73e17689aabafcc9acddad9d823b8"
dependencies = [
"heck",
- "proc-macro-crate 2.0.2",
- "proc-macro-error",
+ "proc-macro-crate 3.1.0",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.52",
]
[[package]]
name = "glib-sys"
-version = "0.18.1"
+version = "0.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "063ce2eb6a8d0ea93d2bf8ba1957e78dbab6be1c2220dd3daca57d5a9d869898"
+checksum = "630f097773d7c7a0bb3258df4e8157b47dc98bbfa0e60ad9ab56174813feced4"
dependencies = [
"libc",
"system-deps",
@@ -982,9 +1059,9 @@ dependencies = [
[[package]]
name = "gobject-sys"
-version = "0.18.0"
+version = "0.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0850127b514d1c4a4654ead6dedadb18198999985908e6ffe4436f53c785ce44"
+checksum = "c85e2b1080b9418dd0c58b498da3a5c826030343e0ef07bde6a955d28de54979"
dependencies = [
"glib-sys",
"libc",
@@ -993,9 +1070,9 @@ dependencies = [
[[package]]
name = "graphene-rs"
-version = "0.18.1"
+version = "0.19.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3b2228cda1505613a7a956cca69076892cfbda84fc2b7a62b94a41a272c0c401"
+checksum = "99e4d388e96c5f29e2b2f67045d229ddf826d0a8d6d282f94ed3b34452222c91"
dependencies = [
"glib",
"graphene-sys",
@@ -1004,9 +1081,9 @@ dependencies = [
[[package]]
name = "graphene-sys"
-version = "0.18.1"
+version = "0.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cc4144cee8fc8788f2a9b73dc5f1d4e1189d1f95305c4cb7bd9c1af1cfa31f59"
+checksum = "236ed66cc9b18d8adf233716f75de803d0bf6fc806f60d14d948974a12e240d0"
dependencies = [
"glib-sys",
"libc",
@@ -1016,9 +1093,9 @@ dependencies = [
[[package]]
name = "gsk4"
-version = "0.7.3"
+version = "0.8.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0d958e351d2f210309b32d081c832d7de0aca0b077aa10d88336c6379bd01f7e"
+checksum = "c65036fc8f99579e8cb37b12487969b707ab23ec8ab953682ff347cbd15d396e"
dependencies = [
"cairo-rs",
"gdk4",
@@ -1031,9 +1108,9 @@ dependencies = [
[[package]]
name = "gsk4-sys"
-version = "0.7.3"
+version = "0.8.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "12bd9e3effea989f020e8f1ff3fa3b8c63ba93d43b899c11a118868853a56d55"
+checksum = "bd24c814379f9c3199dc53e52253ee8d0f657eae389ab282c330505289d24738"
dependencies = [
"cairo-sys-rs",
"gdk4-sys",
@@ -1047,9 +1124,9 @@ dependencies = [
[[package]]
name = "gstreamer"
-version = "0.21.3"
+version = "0.22.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "de95703f4c8e79f4f4e42279cf1ab0e5a46b7ece4a9dfcd16424164af7be9055"
+checksum = "48a5e10c539f8b594c50f6cd1bd1cd07785e06d701a077bff397ad211bc92e88"
dependencies = [
"cfg-if",
"futures-channel",
@@ -1062,19 +1139,19 @@ dependencies = [
"muldiv",
"num-integer",
"num-rational",
+ "once_cell",
"option-operations",
"paste",
"pin-project-lite",
- "pretty-hex",
"smallvec",
"thiserror",
]
[[package]]
name = "gstreamer-base"
-version = "0.21.2"
+version = "0.22.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cb150b6904a49052237fede7cc2e6479df6ced5043d95e6af8134bc141a3167f"
+checksum = "514c71195b53c7eced4842b66ca9149833e41cf6a1d949e45e2ca4a4fa929850"
dependencies = [
"atomic_refcell",
"cfg-if",
@@ -1086,9 +1163,9 @@ dependencies = [
[[package]]
name = "gstreamer-base-sys"
-version = "0.21.1"
+version = "0.22.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f4ca701f9078fe115b29b24c80910b577f9cb5b039182f050dbadf5933594b64"
+checksum = "286591e0f85bbda1adf9bab6f21d015acd9ca0a4d4acb61da65e3d0487e23c4e"
dependencies = [
"glib-sys",
"gobject-sys",
@@ -1099,9 +1176,9 @@ dependencies = [
[[package]]
name = "gstreamer-play"
-version = "0.21.2"
+version = "0.22.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ad2efa4c3f92fa5d5e51e95c83f3b847c9ad16e3498a65beaf721d324187f04a"
+checksum = "04cd4315d97f8f38a6a6fdaad27d51cc67fd132785816091ad9985e197d2c052"
dependencies = [
"glib",
"gstreamer",
@@ -1112,9 +1189,9 @@ dependencies = [
[[package]]
name = "gstreamer-play-sys"
-version = "0.21.0"
+version = "0.22.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9cc41f9524b98e49da474696abd8fc026b0accfea7fd754e5be09107cb96038f"
+checksum = "19def7b12d3a53c520ad661b8f4501ae04158627e4a9fc49cc30c4ea04522cbf"
dependencies = [
"glib-sys",
"gobject-sys",
@@ -1126,9 +1203,9 @@ dependencies = [
[[package]]
name = "gstreamer-sys"
-version = "0.21.2"
+version = "0.22.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "564cda782b3e6eed1b81cb4798a06794db56440fb05b422505be689f34ce3bc4"
+checksum = "d5ddf526b3bf90ea627224c804f00b8bcb0452e3b447978b4d5092f8e8ff5918"
dependencies = [
"glib-sys",
"gobject-sys",
@@ -1138,9 +1215,9 @@ dependencies = [
[[package]]
name = "gstreamer-video"
-version = "0.21.2"
+version = "0.22.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e85b2a4d1d3b7a98ae03806c3ed5c2db89d6b37a5f138780b48de015d68715e5"
+checksum = "5ab3f4045ddb92bf2b469f5db8825d4f5eb46e4beff661fc97f50bb4e2b2c626"
dependencies = [
"cfg-if",
"futures-channel",
@@ -1149,14 +1226,15 @@ dependencies = [
"gstreamer-base",
"gstreamer-video-sys",
"libc",
+ "once_cell",
"thiserror",
]
[[package]]
name = "gstreamer-video-sys"
-version = "0.21.2"
+version = "0.22.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0302318d98e6b054501e485b6bb4ee20225823218f4a8660c182f115a33b16ee"
+checksum = "c1ea7996ba44fbbf563aeeda96e24259efc9f06b407854d837ee58e260d7ba78"
dependencies = [
"glib-sys",
"gobject-sys",
@@ -1168,9 +1246,9 @@ dependencies = [
[[package]]
name = "gtk4"
-version = "0.7.3"
+version = "0.8.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5aeb51aa3e9728575a053e1f43543cd9992ac2477e1b186ad824fd4adfb70842"
+checksum = "aa82753b8c26277e4af1446c70e35b19aad4fb794a7b143859e7eeb9a4025d83"
dependencies = [
"cairo-rs",
"field-offset",
@@ -1189,12 +1267,12 @@ dependencies = [
[[package]]
name = "gtk4-macros"
-version = "0.7.2"
+version = "0.8.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d57ec49cf9b657f69a05bca8027cff0a8dfd0c49e812be026fc7311f2163832f"
+checksum = "40300bf071d2fcd4c94eacc09e84ec6fe73129d2ceb635cf7e55b026b5443567"
dependencies = [
"anyhow",
- "proc-macro-crate 1.3.1",
+ "proc-macro-crate 3.1.0",
"proc-macro-error",
"proc-macro2",
"quote",
@@ -1203,9 +1281,9 @@ dependencies = [
[[package]]
name = "gtk4-sys"
-version = "0.7.3"
+version = "0.8.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "54d8c4aa23638ce9faa2caf7e2a27d4a1295af2155c8e8d28c4d4eeca7a65eb8"
+checksum = "0db1b104138f087ccdc81d2c332de5dd049b89de3d384437cc1093b17cd2da18"
dependencies = [
"cairo-sys-rs",
"gdk-pixbuf-sys",
@@ -1234,9 +1312,9 @@ checksum = "95505c38b4572b2d910cecb0281560f54b440a19336cbbcb27bf6ce6adc6f5a8"
[[package]]
name = "hermit-abi"
-version = "0.3.5"
+version = "0.3.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d0c62115964e08cb8039170eb33c1d0e2388a256930279edca206fff675f82c3"
+checksum = "d231dfb89cfffdbc30e7fc41579ed6066ad03abda9e567ccafae602b97ec5024"
[[package]]
name = "hex"
@@ -1255,9 +1333,9 @@ dependencies = [
[[package]]
name = "http"
-version = "0.2.11"
+version = "0.2.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8947b1a6fad4393052c7ba1f4cd97bed3e953a95c79c92ad9b051a04611d9fbb"
+checksum = "601cbb57e577e2f5ef5be8e7b83f0f63994f25aa94d673e54a92d5c516d101f1"
dependencies = [
"bytes",
"fnv",
@@ -1321,9 +1399,9 @@ dependencies = [
[[package]]
name = "image"
-version = "0.24.8"
+version = "0.24.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "034bbe799d1909622a74d1193aa50147769440040ff36cb2baa947609b0a4e23"
+checksum = "5690139d2f55868e080017335e4b94cb7414274c74f1669c84fb5feba2c9f69d"
dependencies = [
"bytemuck",
"byteorder",
@@ -1334,9 +1412,9 @@ dependencies = [
[[package]]
name = "indexmap"
-version = "2.2.2"
+version = "2.2.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "824b2ae422412366ba479e8111fd301f7b5faece8149317bb81925979a53f520"
+checksum = "7b0b929d511467233429c45a44ac1dcaa21ba0f5ba11e4879e6ed28ddb4f9df4"
dependencies = [
"equivalent",
"hashbrown",
@@ -1362,17 +1440,6 @@ dependencies = [
"windows-sys 0.48.0",
]
-[[package]]
-name = "is-terminal"
-version = "0.4.10"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0bad00257d07be169d870ab665980b06cdb366d792ad690bf2e76876dc503455"
-dependencies = [
- "hermit-abi",
- "rustix 0.38.31",
- "windows-sys 0.52.0",
-]
-
[[package]]
name = "isahc"
version = "1.7.2"
@@ -1418,9 +1485,9 @@ checksum = "b1a46d1a171d865aa5f83f92695765caa047a9b4cbae2cbf37dbd613a793fd4c"
[[package]]
name = "js-sys"
-version = "0.3.68"
+version = "0.3.69"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "406cda4b368d531c842222cf9d2600a9a4acce8d29423695379c6868a143a9ee"
+checksum = "29c15563dc2726973df627357ce0c9ddddbea194836909d655df6a75d2cf296d"
dependencies = [
"wasm-bindgen",
]
@@ -1433,9 +1500,9 @@ checksum = "e2abad23fbc42b3700f2f279844dc832adb2b2eb069b2df918f455c4e18cc646"
[[package]]
name = "libadwaita"
-version = "0.5.3"
+version = "0.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2fe7e70c06507ed10a16cda707f358fbe60fe0dc237498f78c686ade92fd979c"
+checksum = "91b4990248b9e1ec5e72094a2ccaea70ec3809f88f6fd52192f2af306b87c5d9"
dependencies = [
"gdk-pixbuf",
"gdk4",
@@ -1449,9 +1516,9 @@ dependencies = [
[[package]]
name = "libadwaita-sys"
-version = "0.5.3"
+version = "0.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5e10aaa38de1d53374f90deeb4535209adc40cc5dba37f9704724169bceec69a"
+checksum = "23a748e4e92be1265cd9e93d569c0b5dfc7814107985aa6743d670ab281ea1a8"
dependencies = [
"gdk4-sys",
"gio-sys",
@@ -1518,9 +1585,9 @@ dependencies = [
[[package]]
name = "log"
-version = "0.4.20"
+version = "0.4.21"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b5e6163cb8c49088c2c36f57875e58ccd8c87c7427f7fbd50ea6710b2f3f2e8f"
+checksum = "90ed8c1e510134f979dbc4f070f87d4313098b704861a105fe34231c70a3901c"
[[package]]
name = "malloc_buf"
@@ -1573,9 +1640,9 @@ dependencies = [
[[package]]
name = "mpris-server"
-version = "0.6.0"
+version = "0.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cf2cdb2dfbe7063acc7fccb9e28d6dc0bc87fec7b343b6d09771a37970e98233"
+checksum = "ca665e9b0f892e42d7ad9ee6076adaf5de762497640816c67fb6406aca946d40"
dependencies = [
"async-trait",
"futures-channel",
@@ -1592,8 +1659,8 @@ checksum = "956787520e75e9bd233246045d19f42fb73242759cc57fba9611d940ae96d4b0"
[[package]]
name = "netease-cloud-music-api"
-version = "1.3.0"
-source = "git+https://github.com/gmg137/netease-cloud-music-api.git?tag=1.3.0#ac6b43d8dcdf2454b4538ac508ecf1df043896ad"
+version = "1.3.1"
+source = "git+https://gitee.com/gmg137/netease-cloud-music-api.git?tag=1.3.1#fa13ca1fb89a97fff0bab58493ec353e4200ecd2"
dependencies = [
"anyhow",
"base64",
@@ -1610,9 +1677,10 @@ dependencies = [
[[package]]
name = "netease-cloud-music-gtk4"
-version = "2.3.0"
+version = "2.3.1"
dependencies = [
"anyhow",
+ "async-channel 2.2.0",
"chrono",
"cookie_store",
"env_logger",
@@ -1708,15 +1776,15 @@ dependencies = [
[[package]]
name = "once_cell"
-version = "1.18.0"
+version = "1.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dd8b5dd2ae5ed71462c540258bedcb51965123ad7e7ccf4b9a8cafaa4a63576d"
+checksum = "3fdb12b2476b595f9358c5161aa467c2438859caa136dec86c26fdd2efe17b92"
[[package]]
name = "openssl"
-version = "0.10.63"
+version = "0.10.64"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "15c9d69dd87a29568d4d017cfe8ec518706046a05184e5aea92d0af890b803c8"
+checksum = "95a0481286a310808298130d22dd1fef0fa571e05a8f44ec801801e84b216b1f"
dependencies = [
"bitflags 2.4.2",
"cfg-if",
@@ -1735,7 +1803,7 @@ checksum = "a948666b637a0f465e8564c73e89d4dde00d72d4d473cc972f390fc3dcee7d9c"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.52",
]
[[package]]
@@ -1746,9 +1814,9 @@ checksum = "ff011a302c396a5197692431fc1948019154afc178baf7d8e37367442a4601cf"
[[package]]
name = "openssl-sys"
-version = "0.9.99"
+version = "0.9.101"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "22e1bf214306098e4832460f797824c05d25aacdf896f64a985fb0fd992454ae"
+checksum = "dda2b0f344e78efc2facf7d195d098df0dd72151b26ab98da807afc26c198dff"
dependencies = [
"cc",
"libc",
@@ -1777,22 +1845,21 @@ dependencies = [
[[package]]
name = "pango"
-version = "0.18.3"
+version = "0.19.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7ca27ec1eb0457ab26f3036ea52229edbdb74dee1edd29063f5b9b010e7ebee4"
+checksum = "7809e8af4df8d024a066106b72ca6bc7253a484ae3867041a96103ef8a13188d"
dependencies = [
"gio",
"glib",
"libc",
- "once_cell",
"pango-sys",
]
[[package]]
name = "pango-sys"
-version = "0.18.0"
+version = "0.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "436737e391a843e5933d6d9aa102cb126d501e815b83601365a948a518555dc5"
+checksum = "f52ef6a881c19fbfe3b1484df5cad411acaaba29dbec843941c3110d19f340ea"
dependencies = [
"glib-sys",
"gobject-sys",
@@ -1820,22 +1887,22 @@ checksum = "e3148f5046208a5d56bcfc03053e3ca6334e51da8dfb19b6cdc8b306fae3283e"
[[package]]
name = "pin-project"
-version = "1.1.4"
+version = "1.1.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0302c4a0442c456bd56f841aee5c3bfd17967563f6fadc9ceb9f9c23cf3807e0"
+checksum = "b6bf43b791c5b9e34c3d182969b4abb522f9343702850a2e57f460d00d09b4b3"
dependencies = [
"pin-project-internal",
]
[[package]]
name = "pin-project-internal"
-version = "1.1.4"
+version = "1.1.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "266c042b60c9c76b8d53061e52b2e0d1116abc57cefc8c5cd671619a56ac3690"
+checksum = "2f38a4412a78282e09a2cf38d195ea5420d15ba0602cb375210efbc877243965"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.52",
]
[[package]]
@@ -1863,15 +1930,15 @@ dependencies = [
[[package]]
name = "pkg-config"
-version = "0.3.29"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2900ede94e305130c13ddd391e0ab7cbaeb783945ae07a279c268cb05109c6cb"
+checksum = "d231b230927b5e4ad203db57bbcbee2802f6bce620b1e4a9024a07d94e2907ec"
[[package]]
name = "png"
-version = "0.17.11"
+version = "0.17.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1f6c3c3e617595665b8ea2ff95a86066be38fb121ff920a9c0eb282abcd1da5a"
+checksum = "06e4b0d3d1312775e782c86c91a111aa1f910cbb65e1337f9975b5f9a554b5e1"
dependencies = [
"bitflags 1.3.2",
"crc32fast",
@@ -1898,9 +1965,9 @@ dependencies = [
[[package]]
name = "polling"
-version = "3.4.0"
+version = "3.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "30054e72317ab98eddd8561db0f6524df3367636884b7b21b703e4b280a84a14"
+checksum = "24f040dee2588b4963afb4e420540439d126f73fdacf4a9c486a96d840bac3c9"
dependencies = [
"cfg-if",
"concurrent-queue",
@@ -1922,12 +1989,6 @@ version = "0.2.17"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "5b40af805b3121feab8a3c29f04d8ad262fa8e0561883e7653e024ae4479e6de"
-[[package]]
-name = "pretty-hex"
-version = "0.4.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bbc83ee4a840062f368f9096d80077a9841ec117e17e7f700df81958f1451254"
-
[[package]]
name = "proc-macro-crate"
version = "1.3.1"
@@ -1940,12 +2001,11 @@ dependencies = [
[[package]]
name = "proc-macro-crate"
-version = "2.0.2"
+version = "3.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b00f26d3400549137f92511a46ac1cd8ce37cb5598a96d382381458b992a5d24"
+checksum = "6d37c51ca738a55da99dc0c4a34860fd675453b8b36209178c2249bb13651284"
dependencies = [
- "toml_datetime",
- "toml_edit 0.20.2",
+ "toml_edit 0.21.1",
]
[[package]]
@@ -2067,9 +2127,9 @@ dependencies = [
[[package]]
name = "regex-automata"
-version = "0.4.5"
+version = "0.4.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5bb987efffd3c6d0d8f5f89510bb458559eab11e4f869acb20bf845e016259cd"
+checksum = "86b83b8b9847f9bf95ef68afb0b8e6cdb80f498442f5179a29fad448fcc1eaea"
dependencies = [
"aho-corasick",
"memchr",
@@ -2120,9 +2180,9 @@ dependencies = [
[[package]]
name = "ryu"
-version = "1.0.16"
+version = "1.0.17"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f98d2aa92eebf49b69786be48e4477826b256916e84a57ff2a4f21923b48eb4c"
+checksum = "e86697c916019a8588c99b5fac3cead74ec0b4b819707a682fd4d23fa0ce1ba1"
[[package]]
name = "schannel"
@@ -2135,35 +2195,35 @@ dependencies = [
[[package]]
name = "semver"
-version = "1.0.21"
+version = "1.0.22"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b97ed7a9823b74f99c7742f5336af7be5ecd3eeafcb1507d1fa93347b1d589b0"
+checksum = "92d43fe69e652f3df9bdc2b85b2854a0825b86e4fb76bc44d945137d053639ca"
[[package]]
name = "serde"
-version = "1.0.196"
+version = "1.0.197"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "870026e60fa08c69f064aa766c10f10b1d62db9ccd4d0abb206472bee0ce3b32"
+checksum = "3fb1c873e1b9b056a4dc4c0c198b24c3ffa059243875552b2bd0933b1aee4ce2"
dependencies = [
"serde_derive",
]
[[package]]
name = "serde_derive"
-version = "1.0.196"
+version = "1.0.197"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "33c85360c95e7d137454dc81d9a4ed2b8efd8fbe19cee57357b32b9771fccb67"
+checksum = "7eb0b34b42edc17f6b7cac84a52a1c5f0e1bb2227e997ca9011ea3dd34e8610b"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.52",
]
[[package]]
name = "serde_json"
-version = "1.0.113"
+version = "1.0.114"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "69801b70b1c3dac963ecb03a364ba0ceda9cf60c71cfe475e99864759c8b8a79"
+checksum = "c5f09b1bd632ef549eaa9f60a1f8de742bdbc698e6cee2095fc84dde5f549ae0"
dependencies = [
"itoa",
"ryu",
@@ -2178,7 +2238,7 @@ checksum = "0b2e6b945e9d3df726b65d6ee24060aff8e3533d431f677a9695db04eff9dfdb"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.52",
]
[[package]]
@@ -2252,6 +2312,16 @@ dependencies = [
"winapi",
]
+[[package]]
+name = "socket2"
+version = "0.5.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "05ffd9c0a93b7543e062e759284fcf5f5e3b098501104bfbdde4d404db792871"
+dependencies = [
+ "libc",
+ "windows-sys 0.52.0",
+]
+
[[package]]
name = "static_assertions"
version = "1.1.0"
@@ -2271,9 +2341,9 @@ dependencies = [
[[package]]
name = "syn"
-version = "2.0.48"
+version = "2.0.52"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0f3531638e407dfc0814761abb7c00a5b54992b849452a0646b7f65c9f770f3f"
+checksum = "b699d15b36d1f02c3e7c69f8ffef53de37aefae075d8488d4ba1a7788d574a07"
dependencies = [
"proc-macro2",
"quote",
@@ -2295,9 +2365,9 @@ dependencies = [
[[package]]
name = "target-lexicon"
-version = "0.12.13"
+version = "0.12.14"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "69758bda2e78f098e4ccb393021a0963bb3442eac05f135c30f61b7370bbafae"
+checksum = "e1fc403891a21bcfb7c37834ba66a547a8f402146eba7265b5a6d88059c9ff2f"
[[package]]
name = "temp-dir"
@@ -2307,9 +2377,9 @@ checksum = "dd16aa9ffe15fe021c6ee3766772132c6e98dfa395a167e16864f61a9cfb71d6"
[[package]]
name = "tempfile"
-version = "3.10.0"
+version = "3.10.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a365e8cd18e44762ef95d87f284f4b5cd04107fec2ff3052bd6a3e6069669e67"
+checksum = "85b77fafb263dd9d05cbeac119526425676db3784113aa9295c88498cbf8bff1"
dependencies = [
"cfg-if",
"fastrand 2.0.1",
@@ -2317,33 +2387,24 @@ dependencies = [
"windows-sys 0.52.0",
]
-[[package]]
-name = "termcolor"
-version = "1.4.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "06794f8f6c5c898b3275aebefa6b8a1cb24cd2c6c79397ab15774837a0bc5755"
-dependencies = [
- "winapi-util",
-]
-
[[package]]
name = "thiserror"
-version = "1.0.56"
+version = "1.0.57"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d54378c645627613241d077a3a79db965db602882668f9136ac42af9ecb730ad"
+checksum = "1e45bcbe8ed29775f228095caf2cd67af7a4ccf756ebff23a306bf3e8b47b24b"
dependencies = [
"thiserror-impl",
]
[[package]]
name = "thiserror-impl"
-version = "1.0.56"
+version = "1.0.57"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fa0faa943b50f3db30a20aa7e265dbc66076993efed8463e8de414e5d06d3471"
+checksum = "a953cb265bef375dae3de6663da4d3804eee9682ea80d8e2542529b73c531c81"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.52",
]
[[package]]
@@ -2394,21 +2455,21 @@ checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20"
[[package]]
name = "toml"
-version = "0.8.2"
+version = "0.8.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "185d8ab0dfbb35cf1399a6344d8484209c088f75f8f68230da55d48d95d43e3d"
+checksum = "9a9aad4a3066010876e8dcf5a8a06e70a558751117a145c6ce2b82c2e2054290"
dependencies = [
"serde",
"serde_spanned",
"toml_datetime",
- "toml_edit 0.20.2",
+ "toml_edit 0.22.6",
]
[[package]]
name = "toml_datetime"
-version = "0.6.3"
+version = "0.6.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7cda73e2f1397b1262d6dfdcef8aafae14d1de7748d66822d3bfeeb6d03e5e4b"
+checksum = "3550f4e9685620ac18a50ed434eb3aec30db8ba93b0287467bca5826ea25baf1"
dependencies = [
"serde",
]
@@ -2421,20 +2482,31 @@ checksum = "1b5bb770da30e5cbfde35a2d7b9b8a2c4b8ef89548a7a6aeab5c9a576e3e7421"
dependencies = [
"indexmap",
"toml_datetime",
- "winnow",
+ "winnow 0.5.40",
]
[[package]]
name = "toml_edit"
-version = "0.20.2"
+version = "0.21.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "396e4d48bbb2b7554c944bde63101b5ae446cff6ec4a24227428f15eb72ef338"
+checksum = "6a8534fd7f78b5405e860340ad6575217ce99f38d4d5c8f2442cb5ecb50090e1"
+dependencies = [
+ "indexmap",
+ "toml_datetime",
+ "winnow 0.5.40",
+]
+
+[[package]]
+name = "toml_edit"
+version = "0.22.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2c1b5fd4128cc8d3e0cb74d4ed9a9cc7c7284becd4df68f5f940e1ad123606f6"
dependencies = [
"indexmap",
"serde",
"serde_spanned",
"toml_datetime",
- "winnow",
+ "winnow 0.6.5",
]
[[package]]
@@ -2457,7 +2529,7 @@ checksum = "34704c8d6ebcbc939824180af020566b01a7c01f80641264eba0999f6c2b6be7"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.52",
]
[[package]]
@@ -2510,9 +2582,9 @@ checksum = "3354b9ac3fae1ff6755cb6db53683adb661634f67557942dea4facebec0fee4b"
[[package]]
name = "unicode-normalization"
-version = "0.1.22"
+version = "0.1.23"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5c5713f0fc4b5db668a2ac63cdb7bb4469d8c9fed047b1d0292cc7b0ce2ba921"
+checksum = "a56d1686db2308d901306f92a263857ef59ea39678a5458e7cb17f01415101f5"
dependencies = [
"tinyvec",
]
@@ -2540,6 +2612,12 @@ version = "0.1.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "86bd8d4e895da8537e5315b8254664e6b769c4ff3db18321b297a1e7004392e3"
+[[package]]
+name = "utf8parse"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "711b9620af191e0cdc7468a8d14e709c3dcdb115b36f838e601583af800a370a"
+
[[package]]
name = "vcpkg"
version = "0.2.15"
@@ -2572,9 +2650,9 @@ checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
[[package]]
name = "wasm-bindgen"
-version = "0.2.91"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c1e124130aee3fb58c5bdd6b639a0509486b0338acaaae0c84a5124b0f588b7f"
+checksum = "4be2531df63900aeb2bca0daaaddec08491ee64ceecbee5076636a3b026795a8"
dependencies = [
"cfg-if",
"wasm-bindgen-macro",
@@ -2582,24 +2660,24 @@ dependencies = [
[[package]]
name = "wasm-bindgen-backend"
-version = "0.2.91"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c9e7e1900c352b609c8488ad12639a311045f40a35491fb69ba8c12f758af70b"
+checksum = "614d787b966d3989fa7bb98a654e369c762374fd3213d212cfc0251257e747da"
dependencies = [
"bumpalo",
"log",
"once_cell",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.52",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-macro"
-version = "0.2.91"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b30af9e2d358182b5c7449424f017eba305ed32a7010509ede96cdc4696c46ed"
+checksum = "a1f8823de937b71b9460c0c34e25f3da88250760bec0ebac694b49997550d726"
dependencies = [
"quote",
"wasm-bindgen-macro-support",
@@ -2607,22 +2685,22 @@ dependencies = [
[[package]]
name = "wasm-bindgen-macro-support"
-version = "0.2.91"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "642f325be6301eb8107a83d12a8ac6c1e1c54345a7ef1a9261962dfefda09e66"
+checksum = "e94f17b526d0a461a191c78ea52bbce64071ed5c04c9ffe424dcb38f74171bb7"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.52",
"wasm-bindgen-backend",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-shared"
-version = "0.2.91"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4f186bd2dcf04330886ce82d6f33dd75a7bfcf69ecf5763b89fcde53b6ac9838"
+checksum = "af190c94f2773fdb3729c55b007a722abb5384da03bc0986df4c289bf5567e96"
[[package]]
name = "winapi"
@@ -2640,15 +2718,6 @@ version = "0.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "ac3b87c63620426dd9b991e5ce0329eff545bccbbb34f3be09ff6fb6ab51b7b6"
-[[package]]
-name = "winapi-util"
-version = "0.1.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f29e6f9198ba0d26b4c9f07dbe6f9ed633e1f3d5b8b414090084349e46a52596"
-dependencies = [
- "winapi",
-]
-
[[package]]
name = "winapi-x86_64-pc-windows-gnu"
version = "0.4.0"
@@ -2661,7 +2730,7 @@ version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "33ab640c8d7e35bf8ba19b884ba838ceb4fba93a4e8c65a9059d08afcfc683d9"
dependencies = [
- "windows-targets 0.52.0",
+ "windows-targets 0.52.4",
]
[[package]]
@@ -2679,7 +2748,7 @@ version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "282be5f36a8ce781fad8c8ae18fa3f9beff57ec1b52cb3de0789201425d9a33d"
dependencies = [
- "windows-targets 0.52.0",
+ "windows-targets 0.52.4",
]
[[package]]
@@ -2699,17 +2768,17 @@ dependencies = [
[[package]]
name = "windows-targets"
-version = "0.52.0"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8a18201040b24831fbb9e4eb208f8892e1f50a37feb53cc7ff887feb8f50e7cd"
+checksum = "7dd37b7e5ab9018759f893a1952c9420d060016fc19a472b4bb20d1bdd694d1b"
dependencies = [
- "windows_aarch64_gnullvm 0.52.0",
- "windows_aarch64_msvc 0.52.0",
- "windows_i686_gnu 0.52.0",
- "windows_i686_msvc 0.52.0",
- "windows_x86_64_gnu 0.52.0",
- "windows_x86_64_gnullvm 0.52.0",
- "windows_x86_64_msvc 0.52.0",
+ "windows_aarch64_gnullvm 0.52.4",
+ "windows_aarch64_msvc 0.52.4",
+ "windows_i686_gnu 0.52.4",
+ "windows_i686_msvc 0.52.4",
+ "windows_x86_64_gnu 0.52.4",
+ "windows_x86_64_gnullvm 0.52.4",
+ "windows_x86_64_msvc 0.52.4",
]
[[package]]
@@ -2720,9 +2789,9 @@ checksum = "2b38e32f0abccf9987a4e3079dfb67dcd799fb61361e53e2882c3cbaf0d905d8"
[[package]]
name = "windows_aarch64_gnullvm"
-version = "0.52.0"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cb7764e35d4db8a7921e09562a0304bf2f93e0a51bfccee0bd0bb0b666b015ea"
+checksum = "bcf46cf4c365c6f2d1cc93ce535f2c8b244591df96ceee75d8e83deb70a9cac9"
[[package]]
name = "windows_aarch64_msvc"
@@ -2732,9 +2801,9 @@ checksum = "dc35310971f3b2dbbf3f0690a219f40e2d9afcf64f9ab7cc1be722937c26b4bc"
[[package]]
name = "windows_aarch64_msvc"
-version = "0.52.0"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bbaa0368d4f1d2aaefc55b6fcfee13f41544ddf36801e793edbbfd7d7df075ef"
+checksum = "da9f259dd3bcf6990b55bffd094c4f7235817ba4ceebde8e6d11cd0c5633b675"
[[package]]
name = "windows_i686_gnu"
@@ -2744,9 +2813,9 @@ checksum = "a75915e7def60c94dcef72200b9a8e58e5091744960da64ec734a6c6e9b3743e"
[[package]]
name = "windows_i686_gnu"
-version = "0.52.0"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a28637cb1fa3560a16915793afb20081aba2c92ee8af57b4d5f28e4b3e7df313"
+checksum = "b474d8268f99e0995f25b9f095bc7434632601028cf86590aea5c8a5cb7801d3"
[[package]]
name = "windows_i686_msvc"
@@ -2756,9 +2825,9 @@ checksum = "8f55c233f70c4b27f66c523580f78f1004e8b5a8b659e05a4eb49d4166cca406"
[[package]]
name = "windows_i686_msvc"
-version = "0.52.0"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ffe5e8e31046ce6230cc7215707b816e339ff4d4d67c65dffa206fd0f7aa7b9a"
+checksum = "1515e9a29e5bed743cb4415a9ecf5dfca648ce85ee42e15873c3cd8610ff8e02"
[[package]]
name = "windows_x86_64_gnu"
@@ -2768,9 +2837,9 @@ checksum = "53d40abd2583d23e4718fddf1ebec84dbff8381c07cae67ff7768bbf19c6718e"
[[package]]
name = "windows_x86_64_gnu"
-version = "0.52.0"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3d6fa32db2bc4a2f5abeacf2b69f7992cd09dca97498da74a151a3132c26befd"
+checksum = "5eee091590e89cc02ad514ffe3ead9eb6b660aedca2183455434b93546371a03"
[[package]]
name = "windows_x86_64_gnullvm"
@@ -2780,9 +2849,9 @@ checksum = "0b7b52767868a23d5bab768e390dc5f5c55825b6d30b86c844ff2dc7414044cc"
[[package]]
name = "windows_x86_64_gnullvm"
-version = "0.52.0"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1a657e1e9d3f514745a572a6846d3c7aa7dbe1658c056ed9c3344c4109a6949e"
+checksum = "77ca79f2451b49fa9e2af39f0747fe999fcda4f5e241b2898624dca97a1f2177"
[[package]]
name = "windows_x86_64_msvc"
@@ -2792,15 +2861,24 @@ checksum = "ed94fce61571a4006852b7389a063ab983c02eb1bb37b47f8272ce92d06d9538"
[[package]]
name = "windows_x86_64_msvc"
-version = "0.52.0"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dff9641d1cd4be8d1a070daf9e3773c5f67e78b4d9d42263020c057706765c04"
+checksum = "32b752e52a2da0ddfbdbcc6fceadfeede4c939ed16d13e648833a61dfb611ed8"
[[package]]
name = "winnow"
-version = "0.5.39"
+version = "0.5.40"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5389a154b01683d28c77f8f68f49dea75f0a4da32557a58f68ee51ebba472d29"
+checksum = "f593a95398737aeed53e489c785df13f3618e41dbcd6718c6addbf1395aa6876"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "winnow"
+version = "0.6.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dffa400e67ed5a4dd237983829e66475f0a4a26938c4b04c21baede6262215b8"
dependencies = [
"memchr",
]
@@ -2817,9 +2895,9 @@ dependencies = [
[[package]]
name = "zbus"
-version = "3.15.0"
+version = "3.15.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c45d06ae3b0f9ba1fb2671268b975557d8f5a84bb5ec6e43964f87e763d8bca8"
+checksum = "675d170b632a6ad49804c8cf2105d7c31eddd3312555cffd4b740e08e97c25e6"
dependencies = [
"async-broadcast",
"async-executor",
@@ -2858,9 +2936,9 @@ dependencies = [
[[package]]
name = "zbus_macros"
-version = "3.15.0"
+version = "3.15.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b4a1ba45ed0ad344b85a2bb5a1fe9830aed23d67812ea39a586e7d0136439c7d"
+checksum = "7131497b0f887e8061b430c530240063d33bf9455fa34438f388a245da69e0a5"
dependencies = [
"proc-macro-crate 1.3.1",
"proc-macro2",
@@ -2872,9 +2950,9 @@ dependencies = [
[[package]]
name = "zbus_names"
-version = "2.6.0"
+version = "2.6.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fb80bb776dbda6e23d705cf0123c3b95df99c4ebeaec6c2599d4a5419902b4a9"
+checksum = "437d738d3750bed6ca9b8d423ccc7a8eb284f6b1d6d4e225a0e4e6258d864c8d"
dependencies = [
"serde",
"static_assertions",
@@ -2883,9 +2961,9 @@ dependencies = [
[[package]]
name = "zvariant"
-version = "3.15.0"
+version = "3.15.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "44b291bee0d960c53170780af148dca5fa260a63cdd24f1962fa82e03e53338c"
+checksum = "4eef2be88ba09b358d3b58aca6e41cd853631d44787f319a1383ca83424fb2db"
dependencies = [
"byteorder",
"enumflags2",
@@ -2897,9 +2975,9 @@ dependencies = [
[[package]]
name = "zvariant_derive"
-version = "3.15.0"
+version = "3.15.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "934d7a7dfc310d6ee06c87ffe88ef4eca7d3e37bb251dece2ef93da8f17d8ecd"
+checksum = "37c24dc0bed72f5f90d1f8bb5b07228cbf63b3c6e9f82d82559d4bae666e7ed9"
dependencies = [
"proc-macro-crate 1.3.1",
"proc-macro2",
diff --git a/pkgs/by-name/ne/netease-cloud-music-gtk/package.nix b/pkgs/by-name/ne/netease-cloud-music-gtk/package.nix
index 966e13600eca..c8dc77a6c274 100644
--- a/pkgs/by-name/ne/netease-cloud-music-gtk/package.nix
+++ b/pkgs/by-name/ne/netease-cloud-music-gtk/package.nix
@@ -18,24 +18,23 @@
, libadwaita
, glib-networking
, gst_all_1
-, libsoup_3
}:
stdenv.mkDerivation rec {
pname = "netease-cloud-music-gtk";
- version = "2.3.0";
+ version = "2.3.1";
src = fetchFromGitHub {
owner = "gmg137";
- repo = pname;
+ repo = "netease-cloud-music-gtk";
rev = version;
- hash = "sha256-/HvP82QqN+dWb5XJelsayeo4sz/pVvCKQ9RKQJv7PAI=";
+ hash = "sha256-75zovq7Q370L+bRczTCCC34G2w8xeMMUK5EUTfKAc+w=";
};
cargoDeps = rustPlatform.importCargoLock {
lockFile = ./Cargo.lock;
outputHashes = {
- "netease-cloud-music-api-1.3.0" = "sha256-SzMu+klhcLi+jDYc9RZUWrBph5TjfddV0STHaijuQ8Q=";
+ "netease-cloud-music-api-1.3.1" = "sha256-ZIc5zj9ZtLBYlZqBR7iUW+KmD71M+OYDiv0dkZrpFos=";
};
};
@@ -71,12 +70,6 @@ stdenv.mkDerivation rec {
gst-plugins-ugly
]);
- # FIXME: gst-plugins-good missing libsoup breaks streaming
- # (https://github.com/nixos/nixpkgs/issues/271960)
- preFixup = ''
- gappsWrapperArgs+=(--prefix LD_LIBRARY_PATH : "${lib.makeLibraryPath [ libsoup_3 ]}")
- '';
-
meta = with lib; {
description = "A Rust + GTK based netease cloud music player";
homepage = "https://github.com/gmg137/netease-cloud-music-gtk";
diff --git a/pkgs/by-name/ne/netproxrc/package.nix b/pkgs/by-name/ne/netproxrc/package.nix
new file mode 100644
index 000000000000..93f965e40c6a
--- /dev/null
+++ b/pkgs/by-name/ne/netproxrc/package.nix
@@ -0,0 +1,23 @@
+{ lib, buildGoModule, fetchFromGitHub }:
+
+buildGoModule rec {
+ pname = "netproxrc";
+ version = "1.1.0";
+
+ src = fetchFromGitHub {
+ owner = "timbertson";
+ repo = "netproxrc";
+ rev = "version-${version}";
+ hash = "sha256-LyHFaT5kej1hy5z28XP+bOSCEj5DHqwMRkvrv/5inQU=";
+ };
+
+ vendorHash = "sha256-LWNn5qp+Z/M9xTtOZ5RDHq1QEFK/Y2XgBi7H5S7Z7XE=";
+
+ meta = with lib; {
+ description = "A HTTP proxy injecting credentials from a .netrc file";
+ mainProgram = "netproxrc";
+ homepage = "https://github.com/timbertson/netproxrc";
+ license = licenses.mit;
+ maintainers = with maintainers; [ timbertson ];
+ };
+}
diff --git a/pkgs/by-name/ne/netscanner/package.nix b/pkgs/by-name/ne/netscanner/package.nix
new file mode 100644
index 000000000000..1e2beb336ad3
--- /dev/null
+++ b/pkgs/by-name/ne/netscanner/package.nix
@@ -0,0 +1,38 @@
+{ lib
+, rustPlatform
+, fetchFromGitHub
+, makeWrapper
+, iw
+}:
+let
+ pname = "netscanner";
+ version = "0.4.1";
+in
+rustPlatform.buildRustPackage {
+ inherit pname version;
+
+ nativeBuildInputs = [ makeWrapper ];
+
+ src = fetchFromGitHub {
+ owner = "Chleba";
+ repo = "netscanner";
+ rev = "refs/tags/v${version}";
+ hash = "sha256-E9WQpWqXWIhY1cq/5hqBbNBffe/nFLBelnFPW0tS5Ng=";
+ };
+
+ cargoHash = "sha256-G2ePiVmHyZ7a4gn7ZGg5y4lhfbWoWGh4+fG9pMHZueg=";
+
+ postFixup = ''
+ wrapProgram $out/bin/netscanner \
+ --prefix PATH : "${lib.makeBinPath [iw]}"
+ '';
+
+ meta = {
+ description = "Network scanner with features like WiFi scanning, packetdump and more";
+ homepage = "https://github.com/Chleba/netscanner";
+ changelog = "https://github.com/Chleba/netscanner/releases/tag/v${version}";
+ license = lib.licenses.mit;
+ maintainers = with lib.maintainers; [ NotAShelf ];
+ mainProgram = "netscanner";
+ };
+}
diff --git a/pkgs/by-name/nh/nh/package.nix b/pkgs/by-name/nh/nh/package.nix
index acb5709da6ad..83cc94ae2ff4 100644
--- a/pkgs/by-name/nh/nh/package.nix
+++ b/pkgs/by-name/nh/nh/package.nix
@@ -7,15 +7,11 @@
, fetchFromGitHub
, nix-update-script
, nvd
-, use-nom ? true
-, nix-output-monitor ? null
+, nix-output-monitor
}:
-
-assert use-nom -> nix-output-monitor != null;
-
let
- version = "3.5.2";
- runtimeDeps = [ nvd ] ++ lib.optionals use-nom [ nix-output-monitor ];
+ version = "3.5.7";
+ runtimeDeps = [ nvd nix-output-monitor ];
in
rustPlatform.buildRustPackage {
inherit version;
@@ -25,7 +21,7 @@ rustPlatform.buildRustPackage {
owner = "viperML";
repo = "nh";
rev = "refs/tags/v${version}";
- hash = "sha256-TwCR7tZvrjsvz6SmgjWYOne7Qz7J2jn4Cr4Er0Yj+LA=";
+ hash = "sha256-gpvOzL+7PP22juK6yI01EiGUEVVo4lHGXCs5OmCAX+s=";
};
strictDeps = true;
@@ -48,11 +44,10 @@ rustPlatform.buildRustPackage {
postFixup = ''
wrapProgram $out/bin/nh \
- --prefix PATH : ${lib.makeBinPath runtimeDeps} \
- ${lib.optionalString use-nom "--set-default NH_NOM 1"}
+ --prefix PATH : ${lib.makeBinPath runtimeDeps}
'';
- cargoHash = "sha256-/mYEjIq4dtt9noRDzFWwLZ3CSz7cmlViEGubi6m9R1o=";
+ cargoHash = "sha256-DcYvovD2Qx4ybpV7YckwYvy8hsoq50YGZI8fl2BXFLI=";
passthru.updateScript = nix-update-script { };
diff --git a/pkgs/applications/misc/nhentai/default.nix b/pkgs/by-name/nh/nhentai/package.nix
similarity index 87%
rename from pkgs/applications/misc/nhentai/default.nix
rename to pkgs/by-name/nh/nhentai/package.nix
index 1f9fdcf48ccb..e21c98bf58ea 100644
--- a/pkgs/applications/misc/nhentai/default.nix
+++ b/pkgs/by-name/nh/nhentai/package.nix
@@ -29,7 +29,7 @@ python3Packages.buildPythonApplication rec {
meta = {
homepage = "https://github.com/RicterZ/nhentai";
- description = "nHentai is a CLI tool for downloading doujinshi from ";
+ description = "CLI tool for downloading doujinshi from adult site(s)";
license = lib.licenses.mit;
maintainers = with lib.maintainers; [ ];
mainProgram = "nhentai";
diff --git a/pkgs/by-name/ni/nickel/Cargo.lock b/pkgs/by-name/ni/nickel/Cargo.lock
index 5c08dbc00fda..69c9bddd619e 100644
--- a/pkgs/by-name/ni/nickel/Cargo.lock
+++ b/pkgs/by-name/ni/nickel/Cargo.lock
@@ -19,9 +19,9 @@ checksum = "f26201604c87b1e01bd3d98f8d5d9a8fcbb815e8cedb41ffccbeb4bf593a35fe"
[[package]]
name = "ahash"
-version = "0.8.7"
+version = "0.8.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "77c3a9648d43b9cd48db467b3f87fdd6e146bcc88ab0180006cef2179fe11d01"
+checksum = "e89da841a80418a9b391ebaea17f5c112ffaaa96f621d2c285b5174da76b9011"
dependencies = [
"cfg-if",
"getrandom",
@@ -56,9 +56,9 @@ dependencies = [
[[package]]
name = "anstream"
-version = "0.6.11"
+version = "0.6.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6e2e1ebcb11de5c03c67de28a7df593d32191b44939c482e97702baaaa6ab6a5"
+checksum = "d96bd03f33fe50a863e394ee9718a706f988b9079b20c3784fb726e7678b62fb"
dependencies = [
"anstyle",
"anstyle-parse",
@@ -70,9 +70,9 @@ dependencies = [
[[package]]
name = "anstyle"
-version = "1.0.4"
+version = "1.0.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7079075b41f533b8c61d2a4d073c4676e1f8b249ff94a393b0595db304e0dd87"
+checksum = "8901269c6307e8d93993578286ac0edf7f195079ffff5ebdeea6a59ffb7e36bc"
[[package]]
name = "anstyle-parse"
@@ -104,9 +104,9 @@ dependencies = [
[[package]]
name = "anyhow"
-version = "1.0.79"
+version = "1.0.80"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "080e9890a082662b09c1ad45f567faeeb47f22b5fb23895fbe1e651e718e25ca"
+checksum = "5ad32ce52e4161730f7098c077cd2ed6229b5804ccf99e5366be1ab72a98b4e1"
[[package]]
name = "arrayvec"
@@ -131,9 +131,9 @@ dependencies = [
[[package]]
name = "assert_cmd"
-version = "2.0.13"
+version = "2.0.14"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "00ad3f3a942eee60335ab4342358c161ee296829e0d16ff42fc1d6cb07815467"
+checksum = "ed72493ac66d5804837f480ab3766c72bdfab91a65e565fc54fa9e42db0073a8"
dependencies = [
"anstyle",
"bstr",
@@ -150,6 +150,28 @@ version = "1.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "9b34d609dfbaf33d6889b2b7106d3ca345eacad44200913df5ba02bfd31d2ba9"
+[[package]]
+name = "async-stream"
+version = "0.3.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cd56dd203fef61ac097dd65721a419ddccb106b2d2b70ba60a6b529f03961a51"
+dependencies = [
+ "async-stream-impl",
+ "futures-core",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "async-stream-impl"
+version = "0.3.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "16e62a023e7c117e27523144c5d2459f4397fcc3cab0085af8e2224f643a0193"
+dependencies = [
+ "proc-macro2 1.0.78",
+ "quote 1.0.35",
+ "syn 2.0.52",
+]
+
[[package]]
name = "atty"
version = "0.2.14"
@@ -182,12 +204,6 @@ dependencies = [
"rustc-demangle",
]
-[[package]]
-name = "base64"
-version = "0.13.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9e1b586273c5702936fe7b7d6896644d8be71e6314cfe09d3167c95f712589e8"
-
[[package]]
name = "base64"
version = "0.21.7"
@@ -247,9 +263,9 @@ dependencies = [
[[package]]
name = "bstr"
-version = "1.9.0"
+version = "1.9.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c48f0051a4b4c5e0b6d365cd04af53aeaa209e3cc15ec2cdb69e73cc87fbd0dc"
+checksum = "05efc5cfd9110c8416e471df0e96702d58690178e206e61b7173706673c93706"
dependencies = [
"memchr",
"regex-automata",
@@ -258,15 +274,21 @@ dependencies = [
[[package]]
name = "bumpalo"
-version = "3.14.0"
+version = "3.15.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7f30e7476521f6f8af1a1c4c0b8cc94f0bee37d91763d0ca2665f299b6cd8aec"
+checksum = "7ff69b9dd49fd426c69a0db9fc04dd934cdb6645ff000864d98f7e2af8830eaa"
[[package]]
name = "bytemuck"
-version = "1.14.1"
+version = "1.14.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ed2490600f404f2b94c167e31d3ed1d5f3c225a0f3b80230053b3e0b7b962bd9"
+checksum = "a2ef034f05691a48569bd920a96c81b9d91bbad1ab5ac7c4616c1f6ef36cb79f"
+
+[[package]]
+name = "bytes"
+version = "1.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a2bd12c1caf447e69cd4528f47f94d203fd2582878ecb9e9465484c4148a8223"
[[package]]
name = "cast"
@@ -276,12 +298,9 @@ checksum = "37b2a672a2cb129a2e41c10b1224bb368f9f37a2b16b612598138befd7b37eb5"
[[package]]
name = "cc"
-version = "1.0.83"
+version = "1.0.90"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f1174fb0b6ec23863f8b971027804a42614e347eafb0a95bf0b12cdae21fc4d0"
-dependencies = [
- "libc",
-]
+checksum = "8cd6604a82acf3039f1144f54b8eb34e91ffba622051189e71b781822d5ee1f5"
[[package]]
name = "cfg-if"
@@ -330,9 +349,9 @@ dependencies = [
[[package]]
name = "clap"
-version = "4.4.18"
+version = "4.5.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1e578d6ec4194633722ccf9544794b71b1385c3c027efe0c55db226fc880865c"
+checksum = "b230ab84b0ffdf890d5a10abdbc8b83ae1c4918275daea1ab8801f71536b2651"
dependencies = [
"clap_builder",
"clap_derive",
@@ -340,36 +359,36 @@ dependencies = [
[[package]]
name = "clap_builder"
-version = "4.4.18"
+version = "4.5.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4df4df40ec50c46000231c914968278b1eb05098cf8f1b3a518a95030e71d1c7"
+checksum = "ae129e2e766ae0ec03484e609954119f123cc1fe650337e155d03b022f24f7b4"
dependencies = [
"anstream",
"anstyle",
- "clap_lex 0.6.0",
- "strsim",
+ "clap_lex 0.7.0",
+ "strsim 0.11.0",
"terminal_size",
]
[[package]]
name = "clap_complete"
-version = "4.4.9"
+version = "4.5.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "df631ae429f6613fcd3a7c1adbdb65f637271e561b03680adaa6573015dfb106"
+checksum = "885e4d7d5af40bfb99ae6f9433e292feac98d452dcb3ec3d25dfe7552b77da8c"
dependencies = [
- "clap 4.4.18",
+ "clap 4.5.2",
]
[[package]]
name = "clap_derive"
-version = "4.4.7"
+version = "4.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cf9804afaaf59a91e75b022a30fb7229a7901f60c755489cc61c9b423b836442"
+checksum = "307bc0538d5f0f83b8248db3087aa92fe504e4691294d0c96c0eabc33f47ba47"
dependencies = [
"heck",
"proc-macro2 1.0.78",
"quote 1.0.35",
- "syn 2.0.48",
+ "syn 2.0.52",
]
[[package]]
@@ -383,9 +402,9 @@ dependencies = [
[[package]]
name = "clap_lex"
-version = "0.6.0"
+version = "0.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "702fc72eb24e5a1e48ce58027a675bc24edd52096d5397d4aea7c6dd9eca0bd1"
+checksum = "98cc8fbded0c607b7ba9dd60cd98df59af97e84d24e49c8557331cfc26d301ce"
[[package]]
name = "clipboard-win"
@@ -408,17 +427,6 @@ dependencies = [
"serde",
]
-[[package]]
-name = "codespan-lsp"
-version = "0.11.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fc4159b76af02757139baf42c0c971c6dc155330999fbfd8eddb29b97fb2db68"
-dependencies = [
- "codespan-reporting",
- "lsp-types",
- "url",
-]
-
[[package]]
name = "codespan-reporting"
version = "0.11.1"
@@ -442,7 +450,7 @@ version = "0.17.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "0c5a805f31fb098b1611170028501077ceb8c9e78f5345530f4fdefae9b61119"
dependencies = [
- "clap 4.4.18",
+ "clap 4.5.2",
"entities",
"memchr",
"once_cell",
@@ -502,9 +510,9 @@ dependencies = [
[[package]]
name = "crc32fast"
-version = "1.3.2"
+version = "1.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b540bd8bc810d3885c6ea91e2018302f68baba2129ab3e88f32389ee9370880d"
+checksum = "b3855a8a784b474f333699ef2bbca9db2c4a1f6d9088a90a2d25b1eb53111eaa"
dependencies = [
"cfg-if",
]
@@ -560,9 +568,9 @@ dependencies = [
[[package]]
name = "crossbeam-channel"
-version = "0.5.11"
+version = "0.5.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "176dc175b78f56c0f321911d9c8eb2b77a78a4860b9c19db83835fea1a46649b"
+checksum = "ab3db02a9c5b5121e1e42fbdb1aeb65f5e02624cc58c43f2884c6ccac0b82f95"
dependencies = [
"crossbeam-utils",
]
@@ -665,9 +673,9 @@ dependencies = [
[[package]]
name = "cxx"
-version = "1.0.115"
+version = "1.0.119"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8de00f15a6fa069c99b88c5c78c4541d0e7899a33b86f7480e23df2431fce0bc"
+checksum = "635179be18797d7e10edb9cd06c859580237750c7351f39ed9b298bfc17544ad"
dependencies = [
"cc",
"cxxbridge-flags",
@@ -677,9 +685,9 @@ dependencies = [
[[package]]
name = "cxx-build"
-version = "1.0.115"
+version = "1.0.119"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0a71e1e631fa2f2f5f92e8b0d860a00c198c6771623a6cefcc863e3554f0d8d6"
+checksum = "9324397d262f63ef77eb795d900c0d682a34a43ac0932bec049ed73055d52f63"
dependencies = [
"cc",
"codespan-reporting",
@@ -687,24 +695,24 @@ dependencies = [
"proc-macro2 1.0.78",
"quote 1.0.35",
"scratch",
- "syn 2.0.48",
+ "syn 2.0.52",
]
[[package]]
name = "cxxbridge-flags"
-version = "1.0.115"
+version = "1.0.119"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6f3fed61d56ba497c4efef9144dfdbaa25aa58f2f6b3a7cf441d4591c583745c"
+checksum = "a87ff7342ffaa54b7c61618e0ce2bbcf827eba6d55b923b83d82551acbbecfe5"
[[package]]
name = "cxxbridge-macro"
-version = "1.0.115"
+version = "1.0.119"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8908e380a8efd42150c017b0cfa31509fc49b6d47f7cb6b33e93ffb8f4e3661e"
+checksum = "70b5b86cf65fa0626d85720619d80b288013477a91a0389fa8bc716bf4903ad1"
dependencies = [
"proc-macro2 1.0.78",
"quote 1.0.35",
- "syn 2.0.48",
+ "syn 2.0.52",
]
[[package]]
@@ -740,9 +748,9 @@ dependencies = [
[[package]]
name = "deunicode"
-version = "1.4.2"
+version = "1.4.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3ae2a35373c5c74340b79ae6780b498b2b183915ec5dacf263aac5a099bf485a"
+checksum = "b6e854126756c496b8c81dec88f9a706b15b875c5849d4097a3854476b9fdf94"
[[package]]
name = "diff"
@@ -815,21 +823,9 @@ checksum = "fea41bba32d969b513997752735605054bc0dfa92b4c56bf1189f2e174be7a10"
[[package]]
name = "either"
-version = "1.9.0"
+version = "1.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a26ae43d7bcc3b814de94796a5e736d4029efb0ee900c12e2d54c993ad1a1e07"
-
-[[package]]
-name = "embed-doc-image"
-version = "0.1.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "af36f591236d9d822425cb6896595658fa558fcebf5ee8accac1d4b92c47166e"
-dependencies = [
- "base64 0.13.1",
- "proc-macro2 1.0.78",
- "quote 1.0.35",
- "syn 1.0.109",
-]
+checksum = "11157ac094ffbdde99aa67b23417ebdd801842852b500e395a45a9c0aac03e4a"
[[package]]
name = "ena"
@@ -1023,7 +1019,7 @@ checksum = "87750cf4b7a4c0625b1529e4c543c2182106e4dedc60a2a6455e00d212c489ac"
dependencies = [
"proc-macro2 1.0.78",
"quote 1.0.35",
- "syn 2.0.48",
+ "syn 2.0.52",
]
[[package]]
@@ -1100,7 +1096,7 @@ checksum = "53010ccb100b96a67bc32c0175f0ed1426b31b655d562898e57325f81c023ac0"
dependencies = [
"proc-macro2 1.0.78",
"quote 1.0.35",
- "syn 2.0.48",
+ "syn 2.0.52",
]
[[package]]
@@ -1111,9 +1107,9 @@ checksum = "d2fabcfbdc87f4758337ca535fb41a6d701b65693ce38287d856d1674551ec9b"
[[package]]
name = "half"
-version = "2.3.1"
+version = "2.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bc52e53916c08643f1b56ec082790d1e86a32e58dc5268f897f313fbae7b4872"
+checksum = "b5eceaaeec696539ddaf7b333340f1af35a5aa87ae3e4f3ead0532f72affab2e"
dependencies = [
"cfg-if",
"crunchy",
@@ -1139,6 +1135,9 @@ name = "hashbrown"
version = "0.14.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "290f1a1d9242c78d09ce40a5e87e7554ee637af1351968159f4952f028f75604"
+dependencies = [
+ "ahash",
+]
[[package]]
name = "heck"
@@ -1157,9 +1156,9 @@ dependencies = [
[[package]]
name = "hermit-abi"
-version = "0.3.4"
+version = "0.3.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5d3d0e0f38255e7fa3cf31335b3a56f05febd18025f4db5ef7a0cfb4f8da651f"
+checksum = "d231dfb89cfffdbc30e7fc41579ed6066ad03abda9e567ccafae602b97ec5024"
[[package]]
name = "humantime"
@@ -1190,9 +1189,9 @@ dependencies = [
[[package]]
name = "indexmap"
-version = "2.1.0"
+version = "2.2.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d530e1a18b1cb4c484e6e34556a0d948706958449fca0cab753d649f2bce3d1f"
+checksum = "7b0b929d511467233429c45a44ac1dcaa21ba0f5ba11e4879e6ed28ddb4f9df4"
dependencies = [
"equivalent",
"hashbrown 0.14.3",
@@ -1217,7 +1216,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "321f0f839cd44a4686e9504b0a62b4d69a50b62072144c71c68f5873c167b8d9"
dependencies = [
"ahash",
- "indexmap 2.1.0",
+ "indexmap 2.2.5",
"is-terminal",
"itoa",
"log",
@@ -1230,9 +1229,9 @@ dependencies = [
[[package]]
name = "insta"
-version = "1.34.0"
+version = "1.36.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5d64600be34b2fcfc267740a243fa7744441bb4947a619ac4e5bb6507f35fbfc"
+checksum = "0a7c22c4d34ef4788c351e971c52bfdfe7ea2766f8c5466bc175dd46e52ac22e"
dependencies = [
"console",
"lazy_static",
@@ -1243,13 +1242,32 @@ dependencies = [
]
[[package]]
-name = "is-terminal"
-version = "0.4.10"
+name = "ipc-channel"
+version = "0.18.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0bad00257d07be169d870ab665980b06cdb366d792ad690bf2e76876dc503455"
+checksum = "7ab3a34c91b7e84a72643bd75d1bac3afd241f78f9859fe0b5e5b2a6a75732c2"
dependencies = [
- "hermit-abi 0.3.4",
- "rustix",
+ "bincode",
+ "crossbeam-channel",
+ "fnv",
+ "lazy_static",
+ "libc",
+ "mio",
+ "rand",
+ "serde",
+ "tempfile",
+ "uuid",
+ "windows",
+]
+
+[[package]]
+name = "is-terminal"
+version = "0.4.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f23ff5ef2b80d608d61efee834934d862cd92461afc0560dedf493e4c033738b"
+dependencies = [
+ "hermit-abi 0.3.9",
+ "libc",
"windows-sys 0.52.0",
]
@@ -1279,9 +1297,9 @@ checksum = "b1a46d1a171d865aa5f83f92695765caa047a9b4cbae2cbf37dbd613a793fd4c"
[[package]]
name = "js-sys"
-version = "0.3.61"
+version = "0.3.68"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "445dde2150c55e483f3d8416706b97ec8e8237c307e5b7b4b8dd15e6af2a0730"
+checksum = "406cda4b368d531c842222cf9d2600a9a4acce8d29423695379c6868a143a9ee"
dependencies = [
"wasm-bindgen",
]
@@ -1325,9 +1343,15 @@ checksum = "e2abad23fbc42b3700f2f279844dc832adb2b2eb069b2df918f455c4e18cc646"
[[package]]
name = "libc"
-version = "0.2.152"
+version = "0.2.153"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "13e3bf6590cbc649f4d1a3eefc9d5d6eb746f5200ffb04e5e142700b8faa56e7"
+checksum = "9c198f91728a82281a64e1f4f9eeb25d82cb32a5de251c6bd1b5154d63a8e7bd"
+
+[[package]]
+name = "libm"
+version = "0.2.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4ec2a862134d2a7d32d7983ddcdd1c4923530833c9f2ea1a44fc5fa473989058"
[[package]]
name = "libredox"
@@ -1382,9 +1406,9 @@ dependencies = [
[[package]]
name = "log"
-version = "0.4.20"
+version = "0.4.21"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b5e6163cb8c49088c2c36f57875e58ccd8c87c7427f7fbd50ea6710b2f3f2e8f"
+checksum = "90ed8c1e510134f979dbc4f070f87d4313098b704861a105fe34231c70a3901c"
[[package]]
name = "logos"
@@ -1429,9 +1453,9 @@ dependencies = [
[[package]]
name = "lsp-server"
-version = "0.6.0"
+version = "0.7.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f70570c1c29cf6654029b8fe201a5507c153f0d85be6f234d471d756bc36775a"
+checksum = "248f65b78f6db5d8e1b1604b4098a28b43d21a8eb1deeca22b1c421b276c7095"
dependencies = [
"crossbeam-channel",
"log",
@@ -1441,9 +1465,9 @@ dependencies = [
[[package]]
name = "lsp-types"
-version = "0.88.0"
+version = "0.95.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d8e8e042772e4e10b3785822f63c82399d0dd233825de44d2596f7fa86e023e0"
+checksum = "158c1911354ef73e8fe42da6b10c0484cb65c7f1007f28022e847706c1ab6984"
dependencies = [
"bitflags 1.3.2",
"serde",
@@ -1463,42 +1487,58 @@ dependencies = [
[[package]]
name = "malachite"
-version = "0.4.4"
+version = "0.4.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "220cb36c52aa6eff45559df497abe0e2a4c1209f92279a746a399f622d7b95c7"
+checksum = "53ff327de42075f680ba15c5cb3c417687eb7241ce2063a91d0186ce5c5e77ee"
dependencies = [
"malachite-base",
+ "malachite-float",
"malachite-nz",
"malachite-q",
]
[[package]]
name = "malachite-base"
-version = "0.4.4"
+version = "0.4.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6538136c5daf04126d6be4899f7fe4879b7f8de896dd1b4210fe6de5b94f2555"
+checksum = "e960ee0e7e1b8eec9229f5b20d6b191762574225144ea638eb961d065c97b55d"
dependencies = [
+ "hashbrown 0.14.3",
"itertools 0.11.0",
+ "libm",
"ryu",
]
[[package]]
-name = "malachite-nz"
-version = "0.4.4"
+name = "malachite-float"
+version = "0.4.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5f0b05577b7a3f09433106460b10304f97fc572f0baabf6640e6cb1e23f5fc52"
+checksum = "dd21e0e005798917fb37c87ef9b0787e3ad490b378df987b78dfa44669b895fe"
dependencies = [
- "embed-doc-image",
"itertools 0.11.0",
"malachite-base",
+ "malachite-nz",
+ "malachite-q",
+ "serde",
+]
+
+[[package]]
+name = "malachite-nz"
+version = "0.4.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "770aaf1a4d59a82ed3d8644eb66aff7492a6dd7476def275a922d04d77ca8e57"
+dependencies = [
+ "itertools 0.11.0",
+ "libm",
+ "malachite-base",
"serde",
]
[[package]]
name = "malachite-q"
-version = "0.4.4"
+version = "0.4.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a1cfdb4016292e6acd832eaee261175f3af8bbee62afeefe4420ebce4c440cb5"
+checksum = "33a9dfca114f6b582595990ccfc287cace633aa95f890ade5b1fc099b7175d3b"
dependencies = [
"itertools 0.11.0",
"malachite-base",
@@ -1559,7 +1599,7 @@ checksum = "38b4faf00617defe497754acde3024865bc143d44a86799b24e191ecff91354f"
dependencies = [
"proc-macro2 1.0.78",
"quote 1.0.35",
- "syn 2.0.48",
+ "syn 2.0.52",
]
[[package]]
@@ -1592,18 +1632,18 @@ dependencies = [
[[package]]
name = "miniz_oxide"
-version = "0.7.1"
+version = "0.7.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e7810e0be55b428ada41041c41f32c9f1a42817901b4ccf45fa3d4b6561e74c7"
+checksum = "9d811f3e15f28568be3407c8e7fdb6514c1cda3cb30683f15b6a1a1dc4ea14a7"
dependencies = [
"adler",
]
[[package]]
name = "mio"
-version = "0.8.10"
+version = "0.8.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8f3d0b296e374a4e6f3c7b0a1f5a51d748a0d34c85e7dc48fc3fa9a87657fe09"
+checksum = "a4a650543ca06a924e8b371db273b2756685faae30f8487da1b56505a8f78b0c"
dependencies = [
"libc",
"log",
@@ -1628,9 +1668,9 @@ dependencies = [
[[package]]
name = "nickel-lang-cli"
-version = "1.4.1"
+version = "1.5.0"
dependencies = [
- "clap 4.4.18",
+ "clap 4.5.2",
"clap_complete",
"directories",
"git-version",
@@ -1646,11 +1686,11 @@ dependencies = [
[[package]]
name = "nickel-lang-core"
-version = "0.5.0"
+version = "0.6.0"
dependencies = [
"ansi_term",
"assert_matches",
- "clap 4.4.18",
+ "clap 4.5.2",
"codespan",
"codespan-reporting",
"comrak",
@@ -1686,11 +1726,11 @@ dependencies = [
"similar",
"simple-counter",
"strip-ansi-escapes",
- "strsim",
+ "strsim 0.10.0",
"termimad",
"test-generator",
"toml",
- "topiary",
+ "topiary-core",
"topiary-queries",
"tree-sitter-nickel",
"typed-arena",
@@ -1701,21 +1741,23 @@ dependencies = [
[[package]]
name = "nickel-lang-lsp"
-version = "1.4.1"
+version = "1.5.0"
dependencies = [
"anyhow",
"assert_cmd",
"assert_matches",
- "clap 4.4.18",
+ "bincode",
+ "clap 4.5.2",
"codespan",
- "codespan-lsp",
"codespan-reporting",
"criterion",
+ "crossbeam",
"csv",
"derive_more",
"env_logger",
"glob",
"insta",
+ "ipc-channel",
"lalrpop",
"lalrpop-util",
"lazy_static",
@@ -1746,7 +1788,7 @@ dependencies = [
[[package]]
name = "nickel-wasm-repl"
-version = "0.5.0"
+version = "0.6.0"
dependencies = [
"nickel-lang-core",
]
@@ -1762,6 +1804,12 @@ dependencies = [
"libc",
]
+[[package]]
+name = "num-conv"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "51d515d32fb182ee37cda2ccdcb92950d6a3c2893aa280e540671c2cd0f3b1d9"
+
[[package]]
name = "num-format"
version = "0.4.4"
@@ -1774,9 +1822,9 @@ dependencies = [
[[package]]
name = "num-traits"
-version = "0.2.17"
+version = "0.2.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "39e3200413f237f41ab11ad6d161bc7239c84dcb631773ccd7de3dfe4b5c267c"
+checksum = "da0df0e5185db44f69b44f26786fe401b6c293d1907744beaa7fa62b2e5a517a"
dependencies = [
"autocfg",
]
@@ -1787,7 +1835,7 @@ version = "1.16.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "4161fcb6d602d4d2081af7c3a45852d875a03dd337a6bfdd6e06407b61342a43"
dependencies = [
- "hermit-abi 0.3.4",
+ "hermit-abi 0.3.9",
"libc",
]
@@ -1894,7 +1942,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "e1d3afd2628e69da2be385eb6f2fd57c8ac7977ceeff6dc166ff1657b0e386a9"
dependencies = [
"fixedbitset",
- "indexmap 2.1.0",
+ "indexmap 2.2.5",
]
[[package]]
@@ -1920,9 +1968,9 @@ checksum = "8b870d8c151b6f2fb93e84a13146138f05d02ed11c7e7c54f8826aaaf7c9f184"
[[package]]
name = "pkg-config"
-version = "0.3.29"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2900ede94e305130c13ddd391e0ab7cbaeb783945ae07a279c268cb05109c6cb"
+checksum = "d231b230927b5e4ad203db57bbcbee2802f6bce620b1e4a9024a07d94e2907ec"
[[package]]
name = "plist"
@@ -1930,8 +1978,8 @@ version = "1.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "e5699cc8a63d1aa2b1ee8e12b9ad70ac790d65788cd36101fa37f87ea46c4cef"
dependencies = [
- "base64 0.21.7",
- "indexmap 2.1.0",
+ "base64",
+ "indexmap 2.2.5",
"line-wrap",
"quick-xml 0.31.0",
"serde",
@@ -2000,6 +2048,12 @@ dependencies = [
"thiserror",
]
+[[package]]
+name = "ppv-lite86"
+version = "0.2.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5b40af805b3121feab8a3c29f04d8ad262fa8e0561883e7653e024ae4479e6de"
+
[[package]]
name = "precomputed-hash"
version = "0.1.1"
@@ -2085,7 +2139,7 @@ dependencies = [
[[package]]
name = "pyckel"
-version = "1.4.1"
+version = "1.5.0"
dependencies = [
"codespan-reporting",
"nickel-lang-core",
@@ -2215,6 +2269,36 @@ dependencies = [
"nibble_vec",
]
+[[package]]
+name = "rand"
+version = "0.8.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "34af8d1a0e25924bc5b7c43c079c942339d8f0a8b57c39049bef581b46327404"
+dependencies = [
+ "libc",
+ "rand_chacha",
+ "rand_core",
+]
+
+[[package]]
+name = "rand_chacha"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e6c10a63a0fa32252be49d21e7709d4d4baf8d231c2dbce1eaa8141b9b127d88"
+dependencies = [
+ "ppv-lite86",
+ "rand_core",
+]
+
+[[package]]
+name = "rand_core"
+version = "0.6.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ec0be4795e2f6a28069bec0b5ff3e2ac9bafc99e6a9a7dc3547996c5c816922c"
+dependencies = [
+ "getrandom",
+]
+
[[package]]
name = "raw-cpuid"
version = "10.7.0"
@@ -2226,9 +2310,9 @@ dependencies = [
[[package]]
name = "rayon"
-version = "1.8.1"
+version = "1.9.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fa7237101a77a10773db45d62004a272517633fbcc3df19d96455ede1122e051"
+checksum = "e4963ed1bc86e4f3ee217022bd855b297cef07fb9eac5dfa1f788b220b49b3bd"
dependencies = [
"either",
"rayon-core",
@@ -2278,9 +2362,9 @@ dependencies = [
[[package]]
name = "regex-automata"
-version = "0.4.5"
+version = "0.4.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5bb987efffd3c6d0d8f5f89510bb458559eab11e4f869acb20bf845e016259cd"
+checksum = "86b83b8b9847f9bf95ef68afb0b8e6cdb80f498442f5179a29fad448fcc1eaea"
dependencies = [
"aho-corasick",
"memchr",
@@ -2293,12 +2377,6 @@ version = "0.6.29"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "f162c6dd7b008981e4d40210aca20b4bd0f9b60ca9271061b07f78537722f2e1"
-[[package]]
-name = "regex-syntax"
-version = "0.7.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dbb5fb1acd8a1a18b3dd5be62d25485eb770e05afb408a9627d14d451bae12da"
-
[[package]]
name = "regex-syntax"
version = "0.8.2"
@@ -2331,9 +2409,9 @@ dependencies = [
[[package]]
name = "rustix"
-version = "0.38.30"
+version = "0.38.31"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "322394588aaf33c24007e8bb3238ee3e4c5c09c084ab32bc73890b99ff326bca"
+checksum = "6ea3e1a662af26cd7a3ba09c0297a31af215563ecf42817c98df621387f4e949"
dependencies = [
"bitflags 2.4.2",
"errno",
@@ -2384,9 +2462,9 @@ dependencies = [
[[package]]
name = "ryu"
-version = "1.0.16"
+version = "1.0.17"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f98d2aa92eebf49b69786be48e4477826b256916e84a57ff2a4f21923b48eb4c"
+checksum = "e86697c916019a8588c99b5fac3cead74ec0b4b819707a682fd4d23fa0ce1ba1"
[[package]]
name = "safemem"
@@ -2417,15 +2495,15 @@ checksum = "a3cf7c11c38cb994f3d40e8a8cde3bbd1f72a435e4c49e85d6553d8312306152"
[[package]]
name = "semver"
-version = "1.0.21"
+version = "1.0.22"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b97ed7a9823b74f99c7742f5336af7be5ecd3eeafcb1507d1fa93347b1d589b0"
+checksum = "92d43fe69e652f3df9bdc2b85b2854a0825b86e4fb76bc44d945137d053639ca"
[[package]]
name = "serde"
-version = "1.0.195"
+version = "1.0.197"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "63261df402c67811e9ac6def069e4786148c4563f4b50fd4bf30aa370d626b02"
+checksum = "3fb1c873e1b9b056a4dc4c0c198b24c3ffa059243875552b2bd0933b1aee4ce2"
dependencies = [
"serde_derive",
]
@@ -2443,20 +2521,20 @@ dependencies = [
[[package]]
name = "serde_derive"
-version = "1.0.195"
+version = "1.0.197"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "46fe8f8603d81ba86327b23a2e9cdf49e1255fb94a4c5f297f6ee0547178ea2c"
+checksum = "7eb0b34b42edc17f6b7cac84a52a1c5f0e1bb2227e997ca9011ea3dd34e8610b"
dependencies = [
"proc-macro2 1.0.78",
"quote 1.0.35",
- "syn 2.0.48",
+ "syn 2.0.52",
]
[[package]]
name = "serde_json"
-version = "1.0.111"
+version = "1.0.114"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "176e46fa42316f18edd598015a5166857fc835ec732f5215eac6b7bdbf0a84f4"
+checksum = "c5f09b1bd632ef549eaa9f60a1f8de742bdbc698e6cee2095fc84dde5f549ae0"
dependencies = [
"itoa",
"ryu",
@@ -2471,7 +2549,7 @@ checksum = "0b2e6b945e9d3df726b65d6ee24060aff8e3533d431f677a9695db04eff9dfdb"
dependencies = [
"proc-macro2 1.0.78",
"quote 1.0.35",
- "syn 2.0.48",
+ "syn 2.0.52",
]
[[package]]
@@ -2485,11 +2563,11 @@ dependencies = [
[[package]]
name = "serde_yaml"
-version = "0.9.30"
+version = "0.9.32"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b1bf28c79a99f70ee1f1d83d10c875d2e70618417fda01ad1785e027579d9d38"
+checksum = "8fd075d994154d4a774f95b51fb96bdc2832b0ea48425c92546073816cda1f2f"
dependencies = [
- "indexmap 2.1.0",
+ "indexmap 2.2.5",
"itoa",
"ryu",
"serde",
@@ -2574,9 +2652,9 @@ checksum = "38b58827f4464d87d377d175e90bf58eb00fd8716ff0a62f80356b5e61555d0d"
[[package]]
name = "sketches-ddsketch"
-version = "0.2.1"
+version = "0.2.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "68a406c1882ed7f29cd5e248c9848a80e7cb6ae0fea82346d2746f2f941c07e1"
+checksum = "85636c14b73d81f541e525f585c0a2109e6744e1565b5c1668e31c70c10ed65c"
[[package]]
name = "slab"
@@ -2649,6 +2727,12 @@ version = "0.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "73473c0e59e6d5812c5dfe2a064a6444949f089e20eec9a2e5506596494e4623"
+[[package]]
+name = "strsim"
+version = "0.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5ee073c9e4cd00e28217186dbe12796d692868f432bf2e97ee73bed0c56dfa01"
+
[[package]]
name = "symbolic-common"
version = "10.2.1"
@@ -2696,9 +2780,9 @@ dependencies = [
[[package]]
name = "syn"
-version = "2.0.48"
+version = "2.0.52"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0f3531638e407dfc0814761abb7c00a5b54992b849452a0646b7f65c9f770f3f"
+checksum = "b699d15b36d1f02c3e7c69f8ffef53de37aefae075d8488d4ba1a7788d574a07"
dependencies = [
"proc-macro2 1.0.78",
"quote 1.0.35",
@@ -2707,9 +2791,9 @@ dependencies = [
[[package]]
name = "syntect"
-version = "5.1.0"
+version = "5.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e02b4b303bf8d08bfeb0445cba5068a3d306b6baece1d5582171a9bf49188f91"
+checksum = "874dcfa363995604333cf947ae9f751ca3af4522c60886774c4963943b4746b1"
dependencies = [
"bincode",
"bitflags 1.3.2",
@@ -2719,8 +2803,9 @@ dependencies = [
"once_cell",
"onig",
"plist",
- "regex-syntax 0.7.5",
+ "regex-syntax 0.8.2",
"serde",
+ "serde_derive",
"serde_json",
"thiserror",
"walkdir",
@@ -2729,19 +2814,18 @@ dependencies = [
[[package]]
name = "target-lexicon"
-version = "0.12.13"
+version = "0.12.14"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "69758bda2e78f098e4ccb393021a0963bb3442eac05f135c30f61b7370bbafae"
+checksum = "e1fc403891a21bcfb7c37834ba66a547a8f402146eba7265b5a6d88059c9ff2f"
[[package]]
name = "tempfile"
-version = "3.9.0"
+version = "3.10.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "01ce4141aa927a6d1bd34a041795abd0db1cccba5d5f24b009f694bdf3a1f3fa"
+checksum = "85b77fafb263dd9d05cbeac119526425676db3784113aa9295c88498cbf8bff1"
dependencies = [
"cfg-if",
"fastrand",
- "redox_syscall",
"rustix",
"windows-sys 0.52.0",
]
@@ -2810,38 +2894,39 @@ dependencies = [
[[package]]
name = "textwrap"
-version = "0.16.0"
+version = "0.16.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "222a222a5bfe1bba4a77b45ec488a741b3cb8872e5e499451fd7d0129c9c7c3d"
+checksum = "23d434d3f8967a09480fb04132ebe0a3e088c173e6d0ee7897abbdf4eab0f8b9"
[[package]]
name = "thiserror"
-version = "1.0.56"
+version = "1.0.57"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d54378c645627613241d077a3a79db965db602882668f9136ac42af9ecb730ad"
+checksum = "1e45bcbe8ed29775f228095caf2cd67af7a4ccf756ebff23a306bf3e8b47b24b"
dependencies = [
"thiserror-impl",
]
[[package]]
name = "thiserror-impl"
-version = "1.0.56"
+version = "1.0.57"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fa0faa943b50f3db30a20aa7e265dbc66076993efed8463e8de414e5d06d3471"
+checksum = "a953cb265bef375dae3de6663da4d3804eee9682ea80d8e2542529b73c531c81"
dependencies = [
"proc-macro2 1.0.78",
"quote 1.0.35",
- "syn 2.0.48",
+ "syn 2.0.52",
]
[[package]]
name = "time"
-version = "0.3.31"
+version = "0.3.34"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f657ba42c3f86e7680e53c8cd3af8abbe56b5491790b46e22e19c0d57463583e"
+checksum = "c8248b6521bb14bc45b4067159b9b6ad792e2d6d754d6c41fb50e29fefe38749"
dependencies = [
"deranged",
"itoa",
+ "num-conv",
"powerfmt",
"serde",
"time-core",
@@ -2856,10 +2941,11 @@ checksum = "ef927ca75afb808a4d64dd374f00a2adf8d0fcff8e7b184af886c3c87ec4a3f3"
[[package]]
name = "time-macros"
-version = "0.2.16"
+version = "0.2.17"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "26197e33420244aeb70c3e8c78376ca46571bc4e701e4791c2cd9f57dcb3a43f"
+checksum = "7ba3a3ef41e6672a2f0f001392bb5dcd3ff0a9992d618ca761a11c3121547774"
dependencies = [
+ "num-conv",
"time-core",
]
@@ -2899,9 +2985,9 @@ checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20"
[[package]]
name = "tokio"
-version = "1.35.1"
+version = "1.36.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c89b4efa943be685f629b149f53829423f8f5531ea21249408e8e2f8671ec104"
+checksum = "61285f6515fa018fb2d1e46eb21223fff441ee8db5d0f1435e8ab4f5cdb80931"
dependencies = [
"backtrace",
"pin-project-lite",
@@ -2916,7 +3002,31 @@ checksum = "5b8a1e28f2deaa14e508979454cb3a223b10b938b45af148bc0986de36f1923b"
dependencies = [
"proc-macro2 1.0.78",
"quote 1.0.35",
- "syn 2.0.48",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "tokio-stream"
+version = "0.1.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "397c988d37662c7dda6d2208364a706264bf3d6138b11d436cbac0ad38832842"
+dependencies = [
+ "futures-core",
+ "pin-project-lite",
+ "tokio",
+]
+
+[[package]]
+name = "tokio-test"
+version = "0.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e89b3cbabd3ae862100094ae433e1def582cf86451b4e9bf83aa7ac1d8a7d719"
+dependencies = [
+ "async-stream",
+ "bytes",
+ "futures-core",
+ "tokio",
+ "tokio-stream",
]
[[package]]
@@ -2946,7 +3056,7 @@ version = "0.19.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "1b5bb770da30e5cbfde35a2d7b9b8a2c4b8ef89548a7a6aeab5c9a576e3e7421"
dependencies = [
- "indexmap 2.1.0",
+ "indexmap 2.2.5",
"serde",
"serde_spanned",
"toml_datetime",
@@ -2954,32 +3064,21 @@ dependencies = [
]
[[package]]
-name = "topiary"
+name = "topiary-core"
version = "0.3.0"
-source = "git+https://github.com/tweag/topiary.git?rev=9ae9ef49c2fa968d15107b817864ff6627e0983e#9ae9ef49c2fa968d15107b817864ff6627e0983e"
+source = "git+https://github.com/tweag/topiary.git?rev=614abf5d1a5cc3118c9687e18dc1c70a00c3e686#614abf5d1a5cc3118c9687e18dc1c70a00c3e686"
dependencies = [
- "clap 4.4.18",
"futures",
"itertools 0.11.0",
"log",
"pretty_assertions",
"prettydiff",
- "regex",
"serde",
"serde_json",
"tokio",
- "toml",
- "tree-sitter-bash",
- "tree-sitter-facade",
- "tree-sitter-json",
- "tree-sitter-nickel",
- "tree-sitter-ocaml",
- "tree-sitter-ocamllex",
- "tree-sitter-query",
- "tree-sitter-rust",
- "tree-sitter-toml",
- "unescape",
- "web-tree-sitter-sys",
+ "tokio-test",
+ "topiary-tree-sitter-facade",
+ "topiary-web-tree-sitter-sys",
]
[[package]]
@@ -2987,6 +3086,29 @@ name = "topiary-queries"
version = "0.3.0"
source = "git+https://github.com/tweag/topiary.git?rev=9ae9ef49c2fa968d15107b817864ff6627e0983e#9ae9ef49c2fa968d15107b817864ff6627e0983e"
+[[package]]
+name = "topiary-tree-sitter-facade"
+version = "0.3.0"
+source = "git+https://github.com/tweag/topiary.git?rev=614abf5d1a5cc3118c9687e18dc1c70a00c3e686#614abf5d1a5cc3118c9687e18dc1c70a00c3e686"
+dependencies = [
+ "js-sys",
+ "topiary-web-tree-sitter-sys",
+ "tree-sitter",
+ "wasm-bindgen",
+ "web-sys",
+]
+
+[[package]]
+name = "topiary-web-tree-sitter-sys"
+version = "0.3.0"
+source = "git+https://github.com/tweag/topiary.git?rev=614abf5d1a5cc3118c9687e18dc1c70a00c3e686#614abf5d1a5cc3118c9687e18dc1c70a00c3e686"
+dependencies = [
+ "js-sys",
+ "wasm-bindgen",
+ "wasm-bindgen-futures",
+ "web-sys",
+]
+
[[package]]
name = "tree-sitter"
version = "0.20.10"
@@ -2997,36 +3119,6 @@ dependencies = [
"regex",
]
-[[package]]
-name = "tree-sitter-bash"
-version = "0.20.4"
-source = "git+https://github.com/tree-sitter/tree-sitter-bash#f7239f638d3dc16762563a9027faeee518ce1bd9"
-dependencies = [
- "cc",
- "tree-sitter",
-]
-
-[[package]]
-name = "tree-sitter-facade"
-version = "0.9.3"
-source = "git+https://github.com/tweag/tree-sitter-facade.git#1b290e795e700a57d8bd303f98a9715ab1c4f598"
-dependencies = [
- "js-sys",
- "tree-sitter",
- "wasm-bindgen",
- "web-sys",
- "web-tree-sitter-sys",
-]
-
-[[package]]
-name = "tree-sitter-json"
-version = "0.20.1"
-source = "git+https://github.com/tree-sitter/tree-sitter-json.git#3fef30de8aee74600f25ec2e319b62a1a870d51e"
-dependencies = [
- "cc",
- "tree-sitter",
-]
-
[[package]]
name = "tree-sitter-nickel"
version = "0.1.0"
@@ -3036,51 +3128,6 @@ dependencies = [
"tree-sitter",
]
-[[package]]
-name = "tree-sitter-ocaml"
-version = "0.20.4"
-source = "git+https://github.com/tree-sitter/tree-sitter-ocaml.git#4abfdc1c7af2c6c77a370aee974627be1c285b3b"
-dependencies = [
- "cc",
- "tree-sitter",
-]
-
-[[package]]
-name = "tree-sitter-ocamllex"
-version = "0.20.2"
-source = "git+https://github.com/314eter/tree-sitter-ocamllex.git#4b9898ccbf198602bb0dec9cd67cc1d2c0a4fad2"
-dependencies = [
- "cc",
- "tree-sitter",
-]
-
-[[package]]
-name = "tree-sitter-query"
-version = "0.1.0"
-source = "git+https://github.com/nvim-treesitter/tree-sitter-query#3a9808b22742d5bd906ef5d1a562f2f1ae57406d"
-dependencies = [
- "cc",
- "tree-sitter",
-]
-
-[[package]]
-name = "tree-sitter-rust"
-version = "0.20.4"
-source = "git+https://github.com/tree-sitter/tree-sitter-rust.git#e0e8b6de6e4aa354749c794f5f36a906dcccda74"
-dependencies = [
- "cc",
- "tree-sitter",
-]
-
-[[package]]
-name = "tree-sitter-toml"
-version = "0.5.1"
-source = "git+https://github.com/tree-sitter/tree-sitter-toml.git#342d9be207c2dba869b9967124c679b5e6fd0ebe"
-dependencies = [
- "cc",
- "tree-sitter",
-]
-
[[package]]
name = "typed-arena"
version = "2.0.2"
@@ -3093,12 +3140,6 @@ version = "1.17.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "42ff0bf0c66b8238c6f3b578df37d0b7848e55df8577b3f74f92a69acceeb825"
-[[package]]
-name = "unescape"
-version = "0.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ccb97dac3243214f8d8507998906ca3e2e0b900bf9bf4870477f125b82e68f6e"
-
[[package]]
name = "unicode-bidi"
version = "0.3.15"
@@ -3113,18 +3154,18 @@ checksum = "3354b9ac3fae1ff6755cb6db53683adb661634f67557942dea4facebec0fee4b"
[[package]]
name = "unicode-normalization"
-version = "0.1.22"
+version = "0.1.23"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5c5713f0fc4b5db668a2ac63cdb7bb4469d8c9fed047b1d0292cc7b0ce2ba921"
+checksum = "a56d1686db2308d901306f92a263857ef59ea39678a5458e7cb17f01415101f5"
dependencies = [
"tinyvec",
]
[[package]]
name = "unicode-segmentation"
-version = "1.10.1"
+version = "1.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1dd624098567895118886609431a7c3b8f516e41d30e0643f03d94592a147e36"
+checksum = "d4c87d22b6e3f4a18d4d40ef354e97c90fcb14dd91d7dc0aa9d8a1172ebf7202"
[[package]]
name = "unicode-width"
@@ -3185,6 +3226,9 @@ name = "uuid"
version = "1.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "f00cc9702ca12d3c81455259621e676d0f7251cec66a21e98fe2e9a37db93b2a"
+dependencies = [
+ "getrandom",
+]
[[package]]
name = "version_check"
@@ -3229,9 +3273,9 @@ dependencies = [
[[package]]
name = "walkdir"
-version = "2.4.0"
+version = "2.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d71d857dc86794ca4c280d616f7da00d2dbfd8cd788846559a6813e6aa4b54ee"
+checksum = "29790946404f91d9c5d06f9874efddea1dc06c5efe94541a7d6863108e3a5e4b"
dependencies = [
"same-file",
"winapi-util",
@@ -3245,9 +3289,9 @@ checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
[[package]]
name = "wasm-bindgen"
-version = "0.2.84"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "31f8dcbc21f30d9b8f2ea926ecb58f6b91192c17e9d33594b3df58b2007ca53b"
+checksum = "c1e124130aee3fb58c5bdd6b639a0509486b0338acaaae0c84a5124b0f588b7f"
dependencies = [
"cfg-if",
"serde",
@@ -3257,24 +3301,24 @@ dependencies = [
[[package]]
name = "wasm-bindgen-backend"
-version = "0.2.84"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "95ce90fd5bcc06af55a641a86428ee4229e44e07033963a2290a8e241607ccb9"
+checksum = "c9e7e1900c352b609c8488ad12639a311045f40a35491fb69ba8c12f758af70b"
dependencies = [
"bumpalo",
"log",
"once_cell",
"proc-macro2 1.0.78",
"quote 1.0.35",
- "syn 1.0.109",
+ "syn 2.0.52",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-futures"
-version = "0.4.34"
+version = "0.4.41"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f219e0d211ba40266969f6dbdd90636da12f75bee4fc9d6c23d1260dadb51454"
+checksum = "877b9c3f61ceea0e56331985743b13f3d25c406a7098d45180fb5f09bc19ed97"
dependencies = [
"cfg-if",
"js-sys",
@@ -3284,9 +3328,9 @@ dependencies = [
[[package]]
name = "wasm-bindgen-macro"
-version = "0.2.84"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4c21f77c0bedc37fd5dc21f897894a5ca01e7bb159884559461862ae90c0b4c5"
+checksum = "b30af9e2d358182b5c7449424f017eba305ed32a7010509ede96cdc4696c46ed"
dependencies = [
"quote 1.0.35",
"wasm-bindgen-macro-support",
@@ -3294,44 +3338,33 @@ dependencies = [
[[package]]
name = "wasm-bindgen-macro-support"
-version = "0.2.84"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2aff81306fcac3c7515ad4e177f521b5c9a15f2b08f4e32d823066102f35a5f6"
+checksum = "642f325be6301eb8107a83d12a8ac6c1e1c54345a7ef1a9261962dfefda09e66"
dependencies = [
"proc-macro2 1.0.78",
"quote 1.0.35",
- "syn 1.0.109",
+ "syn 2.0.52",
"wasm-bindgen-backend",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-shared"
-version = "0.2.84"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0046fef7e28c3804e5e38bfa31ea2a0f73905319b677e57ebe37e49358989b5d"
+checksum = "4f186bd2dcf04330886ce82d6f33dd75a7bfcf69ecf5763b89fcde53b6ac9838"
[[package]]
name = "web-sys"
-version = "0.3.61"
+version = "0.3.68"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e33b99f4b23ba3eec1a53ac264e35a755f00e966e0065077d6027c0f575b0b97"
+checksum = "96565907687f7aceb35bc5fc03770a8a0471d82e479f25832f54a0e3f4b28446"
dependencies = [
"js-sys",
"wasm-bindgen",
]
-[[package]]
-name = "web-tree-sitter-sys"
-version = "1.3.0"
-source = "git+https://github.com/tweag/web-tree-sitter-sys#9e9755b9ab59055092de4717ba0bafe6483f4e5c"
-dependencies = [
- "js-sys",
- "wasm-bindgen",
- "wasm-bindgen-futures",
- "web-sys",
-]
-
[[package]]
name = "winapi"
version = "0.3.9"
@@ -3363,6 +3396,15 @@ version = "0.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
+[[package]]
+name = "windows"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e686886bc078bc1b0b600cac0147aadb815089b6e4da64016cbd754b6342700f"
+dependencies = [
+ "windows-targets 0.48.5",
+]
+
[[package]]
name = "windows-sys"
version = "0.48.0"
@@ -3378,7 +3420,7 @@ version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "282be5f36a8ce781fad8c8ae18fa3f9beff57ec1b52cb3de0789201425d9a33d"
dependencies = [
- "windows-targets 0.52.0",
+ "windows-targets 0.52.4",
]
[[package]]
@@ -3398,17 +3440,17 @@ dependencies = [
[[package]]
name = "windows-targets"
-version = "0.52.0"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8a18201040b24831fbb9e4eb208f8892e1f50a37feb53cc7ff887feb8f50e7cd"
+checksum = "7dd37b7e5ab9018759f893a1952c9420d060016fc19a472b4bb20d1bdd694d1b"
dependencies = [
- "windows_aarch64_gnullvm 0.52.0",
- "windows_aarch64_msvc 0.52.0",
- "windows_i686_gnu 0.52.0",
- "windows_i686_msvc 0.52.0",
- "windows_x86_64_gnu 0.52.0",
- "windows_x86_64_gnullvm 0.52.0",
- "windows_x86_64_msvc 0.52.0",
+ "windows_aarch64_gnullvm 0.52.4",
+ "windows_aarch64_msvc 0.52.4",
+ "windows_i686_gnu 0.52.4",
+ "windows_i686_msvc 0.52.4",
+ "windows_x86_64_gnu 0.52.4",
+ "windows_x86_64_gnullvm 0.52.4",
+ "windows_x86_64_msvc 0.52.4",
]
[[package]]
@@ -3419,9 +3461,9 @@ checksum = "2b38e32f0abccf9987a4e3079dfb67dcd799fb61361e53e2882c3cbaf0d905d8"
[[package]]
name = "windows_aarch64_gnullvm"
-version = "0.52.0"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cb7764e35d4db8a7921e09562a0304bf2f93e0a51bfccee0bd0bb0b666b015ea"
+checksum = "bcf46cf4c365c6f2d1cc93ce535f2c8b244591df96ceee75d8e83deb70a9cac9"
[[package]]
name = "windows_aarch64_msvc"
@@ -3431,9 +3473,9 @@ checksum = "dc35310971f3b2dbbf3f0690a219f40e2d9afcf64f9ab7cc1be722937c26b4bc"
[[package]]
name = "windows_aarch64_msvc"
-version = "0.52.0"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bbaa0368d4f1d2aaefc55b6fcfee13f41544ddf36801e793edbbfd7d7df075ef"
+checksum = "da9f259dd3bcf6990b55bffd094c4f7235817ba4ceebde8e6d11cd0c5633b675"
[[package]]
name = "windows_i686_gnu"
@@ -3443,9 +3485,9 @@ checksum = "a75915e7def60c94dcef72200b9a8e58e5091744960da64ec734a6c6e9b3743e"
[[package]]
name = "windows_i686_gnu"
-version = "0.52.0"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a28637cb1fa3560a16915793afb20081aba2c92ee8af57b4d5f28e4b3e7df313"
+checksum = "b474d8268f99e0995f25b9f095bc7434632601028cf86590aea5c8a5cb7801d3"
[[package]]
name = "windows_i686_msvc"
@@ -3455,9 +3497,9 @@ checksum = "8f55c233f70c4b27f66c523580f78f1004e8b5a8b659e05a4eb49d4166cca406"
[[package]]
name = "windows_i686_msvc"
-version = "0.52.0"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ffe5e8e31046ce6230cc7215707b816e339ff4d4d67c65dffa206fd0f7aa7b9a"
+checksum = "1515e9a29e5bed743cb4415a9ecf5dfca648ce85ee42e15873c3cd8610ff8e02"
[[package]]
name = "windows_x86_64_gnu"
@@ -3467,9 +3509,9 @@ checksum = "53d40abd2583d23e4718fddf1ebec84dbff8381c07cae67ff7768bbf19c6718e"
[[package]]
name = "windows_x86_64_gnu"
-version = "0.52.0"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3d6fa32db2bc4a2f5abeacf2b69f7992cd09dca97498da74a151a3132c26befd"
+checksum = "5eee091590e89cc02ad514ffe3ead9eb6b660aedca2183455434b93546371a03"
[[package]]
name = "windows_x86_64_gnullvm"
@@ -3479,9 +3521,9 @@ checksum = "0b7b52767868a23d5bab768e390dc5f5c55825b6d30b86c844ff2dc7414044cc"
[[package]]
name = "windows_x86_64_gnullvm"
-version = "0.52.0"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1a657e1e9d3f514745a572a6846d3c7aa7dbe1658c056ed9c3344c4109a6949e"
+checksum = "77ca79f2451b49fa9e2af39f0747fe999fcda4f5e241b2898624dca97a1f2177"
[[package]]
name = "windows_x86_64_msvc"
@@ -3491,15 +3533,15 @@ checksum = "ed94fce61571a4006852b7389a063ab983c02eb1bb37b47f8272ce92d06d9538"
[[package]]
name = "windows_x86_64_msvc"
-version = "0.52.0"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dff9641d1cd4be8d1a070daf9e3773c5f67e78b4d9d42263020c057706765c04"
+checksum = "32b752e52a2da0ddfbdbcc6fceadfeede4c939ed16d13e648833a61dfb611ed8"
[[package]]
name = "winnow"
-version = "0.5.34"
+version = "0.5.40"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b7cf47b659b318dccbd69cc4797a39ae128f533dce7902a1096044d1967b9c16"
+checksum = "f593a95398737aeed53e489c785df13f3618e41dbcd6718c6addbf1395aa6876"
dependencies = [
"memchr",
]
@@ -3542,5 +3584,5 @@ checksum = "9ce1b18ccd8e73a9321186f97e46f9f04b778851177567b1975109d26a08d2a6"
dependencies = [
"proc-macro2 1.0.78",
"quote 1.0.35",
- "syn 2.0.48",
+ "syn 2.0.52",
]
diff --git a/pkgs/by-name/ni/nickel/package.nix b/pkgs/by-name/ni/nickel/package.nix
index 76ba075b5a04..8ba97749bef8 100644
--- a/pkgs/by-name/ni/nickel/package.nix
+++ b/pkgs/by-name/ni/nickel/package.nix
@@ -8,39 +8,26 @@
rustPlatform.buildRustPackage rec {
pname = "nickel";
- version = "1.4.1";
+ version = "1.5.0";
src = fetchFromGitHub {
owner = "tweag";
repo = "nickel";
rev = "refs/tags/${version}";
- hash = "sha256-VltrIGo4jXV6lDIqj+hTQQ46PJH1v9CVFOZopyi9tbM=";
+ hash = "sha256-tb0nIBj/5nb0WbkceL7Rt1Rs0Qjy5/2leSOofF4zhTY=";
};
cargoLock = {
lockFile = ./Cargo.lock;
outputHashes = {
- "topiary-0.3.0" = "sha256-1leQLRohX0iDiOOO96ETM2L3yOElW8OwR5IcrsoxfOo=";
- "tree-sitter-bash-0.20.4" = "sha256-+Mpks0FyQLl26TX63J6WhaAl/QDUR1k9wSUY5SFwL+w=";
- "tree-sitter-facade-0.9.3" = "sha256-M/npshnHJkU70pP3I4WMXp3onlCSWM5mMIqXP45zcUs=";
- "tree-sitter-json-0.20.1" = "sha256-Msnct7JzPBIR9+PIBZCJTRdVMUzhaDTKkl3JaDUKAgo=";
+ "topiary-core-0.3.0" = "sha256-2oVdtBcH1hF+p3PixBOljHXvGX2YCoRzA/vlBDvN7fE=";
+ "topiary-queries-0.3.0" = "sha256-1leQLRohX0iDiOOO96ETM2L3yOElW8OwR5IcrsoxfOo=";
"tree-sitter-nickel-0.1.0" = "sha256-HyHdameEgET5UXKMgw7EJvZsJxToc9Qz26XHvc5qmU0=";
- "tree-sitter-ocaml-0.20.4" = "sha256-ycmjIKfrsVSVHmPP3HCxfk5wcBIF/JFH8OnU8mY1Cc8=";
- "tree-sitter-ocamllex-0.20.2" = "sha256-YhmEE7I7UF83qMuldHqc/fD/no/7YuZd6CaAIaZ1now=";
- "tree-sitter-query-0.1.0" = "sha256-5N7FT0HTK3xzzhAlk3wBOB9xlEpKSNIfakgFnsxEi18=";
- "tree-sitter-rust-0.20.4" = "sha256-egTxBuliboYbl+5N6Jdt960EMLByVmLqSmQLps3rEok=";
- "tree-sitter-toml-0.5.1" = "sha256-5nLNBxFeOGE+gzbwpcrTVnuL1jLUA0ZLBVw2QrOLsDQ=";
- "web-tree-sitter-sys-1.3.0" = "sha256-9rKB0rt0y9TD/HLRoB9LjEP9nO4kSWR9ylbbOXo2+2M=";
};
};
cargoBuildFlags = [ "-p nickel-lang-cli" "-p nickel-lang-lsp" ];
- env = lib.optionalAttrs stdenv.cc.isClang {
- # Work around https://github.com/NixOS/nixpkgs/issues/166205.
- NIX_LDFLAGS = "-l${stdenv.cc.libcxx.cxxabi.libName}";
- };
-
nativeBuildInputs = [
python3
];
diff --git a/pkgs/applications/networking/soulseek/nicotine-plus/default.nix b/pkgs/by-name/ni/nicotine-plus/package.nix
similarity index 76%
rename from pkgs/applications/networking/soulseek/nicotine-plus/default.nix
rename to pkgs/by-name/ni/nicotine-plus/package.nix
index dc9b49c1f891..dad01456ba73 100644
--- a/pkgs/applications/networking/soulseek/nicotine-plus/default.nix
+++ b/pkgs/by-name/ni/nicotine-plus/package.nix
@@ -1,31 +1,30 @@
{ lib
-, stdenv
, fetchFromGitHub
-, wrapGAppsHook
+, wrapGAppsHook4
, gdk-pixbuf
, gettext
, gobject-introspection
-, gtk3
+, gtk4
, python3Packages
}:
python3Packages.buildPythonApplication rec {
pname = "nicotine-plus";
- version = "3.2.9";
+ version = "3.3.2";
src = fetchFromGitHub {
owner = "nicotine-plus";
repo = "nicotine-plus";
rev = "refs/tags/${version}";
- sha256 = "sha256-PxtHsBbrzcIAcLyQKD9DV8yqf3ljzGS7gT/ZRfJ8qL4=";
+ hash = "sha256-dl4fTa+CXsycC+hhSkIzQQxrSkBDPsdrmKdrHPakGig=";
};
- nativeBuildInputs = [ gettext wrapGAppsHook gobject-introspection ];
+ nativeBuildInputs = [ gettext wrapGAppsHook4 gobject-introspection ];
propagatedBuildInputs = [
gdk-pixbuf
gobject-introspection
- gtk3
+ gtk4
python3Packages.pygobject3
];
@@ -35,14 +34,13 @@ python3Packages.buildPythonApplication rec {
preFixup = ''
gappsWrapperArgs+=(
- --prefix XDG_DATA_DIRS : "${gtk3}/share/gsettings-schemas/${gtk3.name}"
+ --prefix XDG_DATA_DIRS : "${gtk4}/share/gsettings-schemas/${gtk4.name}"
)
'';
doCheck = false;
meta = with lib; {
- broken = stdenv.isDarwin;
description = "A graphical client for the SoulSeek peer-to-peer system";
longDescription = ''
Nicotine+ aims to be a pleasant, free and open source (FOSS) alternative
diff --git a/pkgs/by-name/ni/nim-atlas/package.nix b/pkgs/by-name/ni/nim-atlas/package.nix
index 70b36698a72b..7f01fab5876d 100644
--- a/pkgs/by-name/ni/nim-atlas/package.nix
+++ b/pkgs/by-name/ni/nim-atlas/package.nix
@@ -16,6 +16,7 @@ buildNimPackage (final: prev: {
doCheck = false; # tests will clone repos
meta = final.src.meta // {
description = "Nim package cloner";
+ mainProgram = "atlas";
license = [ lib.licenses.mit ];
};
})
diff --git a/pkgs/by-name/ni/nim_builder/package.nix b/pkgs/by-name/ni/nim_builder/package.nix
index 34da4dfa61a0..50fa519b7a92 100644
--- a/pkgs/by-name/ni/nim_builder/package.nix
+++ b/pkgs/by-name/ni/nim_builder/package.nix
@@ -14,6 +14,7 @@ stdenv.mkDerivation {
'';
meta = {
description = "Internal Nixpkgs utility for buildNimPackage.";
+ mainProgram = "nim_builder";
maintainers = [ lib.maintainers.ehmry ];
};
}
diff --git a/pkgs/by-name/ni/nimdow/package.nix b/pkgs/by-name/ni/nimdow/package.nix
index a77fb05298b7..7a5542c2c05f 100644
--- a/pkgs/by-name/ni/nimdow/package.nix
+++ b/pkgs/by-name/ni/nimdow/package.nix
@@ -1,4 +1,4 @@
-{ lib, buildNimPackage, fetchFromGitHub, testers }:
+{ lib, buildNimPackage, fetchFromGitHub, nixosTests, testers }:
buildNimPackage (finalAttrs: {
pname = "nimdow";
@@ -25,14 +25,18 @@ buildNimPackage (finalAttrs: {
substituteInPlace src/nimdowpkg/config/configloader.nim --replace "/usr/share/nimdow" "$out/share/nimdow"
'';
- passthru.tests.version = testers.testVersion {
- package = finalAttrs.finalPackage;
- version = "v${finalAttrs.version}";
+ passthru.tests = {
+ nimdow = nixosTests.nimdow;
+ version = testers.testVersion {
+ package = finalAttrs.finalPackage;
+ version = "v${finalAttrs.version}";
+ };
};
meta = with lib;
finalAttrs.src.meta // {
description = "Nim based tiling window manager";
+ platforms = platforms.linux;
license = [ licenses.gpl2 ];
maintainers = [ maintainers.marcusramberg ];
mainProgram = "nimdow";
diff --git a/pkgs/by-name/ni/nimlangserver/lock.json b/pkgs/by-name/ni/nimlangserver/lock.json
index 1f0ac7507329..891c26cba301 100644
--- a/pkgs/by-name/ni/nimlangserver/lock.json
+++ b/pkgs/by-name/ni/nimlangserver/lock.json
@@ -2,223 +2,219 @@
"depends": [
{
"method": "fetchzip",
- "packages": [
- "asynctools"
- ],
- "path": "/nix/store/51nf7pb5cwg2n441ka6w6g6c4hdjsdj4-source",
- "rev": "bb01d965a2ad0f08eaff6a53874f028ddbab4909",
- "sha256": "0v4n7maskd07qsx8rsr9v0bs7nzbncmvxsn7j9jsk9azcy803v49",
- "srcDir": "",
- "url": "https://github.com/nickysn/asynctools/archive/bb01d965a2ad0f08eaff6a53874f028ddbab4909.tar.gz"
- },
- {
- "method": "fetchzip",
- "packages": [
- "asynctools"
- ],
- "path": "/nix/store/86w001hvppm2xfmqzb3733rnd5s1dmc2-source",
- "rev": "non-blocking",
- "sha256": "1iyr2k3vrbqfwm70w9bsyhis799lm9rin8j5pkjxgrpshm1znpbd",
- "srcDir": "",
- "url": "https://github.com/yyoncho/asynctools/archive/non-blocking.tar.gz"
- },
- {
- "method": "fetchzip",
- "packages": [
- "bearssl"
- ],
- "path": "/nix/store/drj65wylnxdbv4jqhymf7biiyjfb75v8-source",
- "rev": "9372f27a25d0718d3527afad6cc936f6a853f86e",
- "sha256": "152zbyqx12fmmjl4wn6kqqk1jzp1ywm4xvjd28ll9037f1pyd5ic",
- "srcDir": "",
- "url": "https://github.com/status-im/nim-bearssl/archive/9372f27a25d0718d3527afad6cc936f6a853f86e.tar.gz"
- },
- {
- "method": "fetchzip",
- "packages": [
- "chronicles"
- ],
- "path": "/nix/store/ffz78k6z9wf8vj2kv1jdj5dq2rxf61j7-source",
- "rev": "2a2681b60289aaf7895b7056f22616081eb1a882",
- "sha256": "0n8awgrmn9f6vd7ibv1jlyxk61lrs7hc51fghilrw6g6xq5w9rxq",
- "srcDir": "",
- "url": "https://github.com/status-im/nim-chronicles/archive/2a2681b60289aaf7895b7056f22616081eb1a882.tar.gz"
- },
- {
- "method": "fetchzip",
- "packages": [
- "chronos"
- ],
"path": "/nix/store/l4zs1l1yw4yhf1f8q7r5x5z2szjygr6d-source",
"rev": "ba143e029f35fd9b4cd3d89d007cc834d0d5ba3c",
"sha256": "1lv3l9c4ifqzlfgpwpvpq2z3994zz1nirg8f59xrnfb7zgbv8l3i",
"srcDir": "",
- "url": "https://github.com/status-im/nim-chronos/archive/ba143e029f35fd9b4cd3d89d007cc834d0d5ba3c.tar.gz"
+ "url": "https://github.com/status-im/nim-chronos/archive/ba143e029f35fd9b4cd3d89d007cc834d0d5ba3c.tar.gz",
+ "subDir": "",
+ "packages": [
+ "chronos"
+ ]
},
{
"method": "fetchzip",
- "packages": [
- "faststreams"
- ],
- "path": "/nix/store/4nj341ypj07hjvxv0462wpnywhkj02b5-source",
- "rev": "422971502bd641703bf78a27cb20429e77fcfb8b",
- "sha256": "0snzh904f8f3wn33liy6817q9ccx8mvsl88blhr49qh69mzbgnba",
- "srcDir": "",
- "url": "https://github.com/status-im/nim-faststreams/archive/422971502bd641703bf78a27cb20429e77fcfb8b.tar.gz"
- },
- {
- "method": "fetchzip",
- "packages": [
- "httputils"
- ],
- "path": "/nix/store/jmgpadmdabybhij1srd81xfr873zgfmm-source",
- "rev": "5065d2cf18dcb9812e25cc0e2c50eb357bde04cf",
- "sha256": "069fw3h9cjn0hab9vhfri8ibld7yihb8ggyg1nv5vxz6i3x026m5",
- "srcDir": "",
- "url": "https://github.com/status-im/nim-http-utils/archive/5065d2cf18dcb9812e25cc0e2c50eb357bde04cf.tar.gz"
- },
- {
- "method": "fetchzip",
- "packages": [
- "json_rpc"
- ],
- "path": "/nix/store/szg3jxcg0bf6zv224nyisqhnibkd2pxw-source",
- "rev": "c8a5cbe26917e6716b1597dae2d08166f3ce789a",
- "sha256": "1l1y4psbcd5w68j1zz172rlwsk7jxbwlr14r2kwnkj7xc7lfwlnx",
- "srcDir": "",
- "url": "https://github.com/yyoncho/nim-json-rpc/archive/c8a5cbe26917e6716b1597dae2d08166f3ce789a.tar.gz"
- },
- {
- "method": "fetchzip",
- "packages": [
- "json_serialization"
- ],
- "path": "/nix/store/h0xl7qnw7bh513rb24k1n805x3n1rimw-source",
- "rev": "d9394dc7286064902d825bbc1203d03d7218633a",
- "sha256": "102m7jaxjip24a6hrnk0nvfb0vmdx5zq4m9i4xyzq8m782xyqp94",
- "srcDir": "",
- "url": "https://github.com/status-im/nim-json-serialization/archive/d9394dc7286064902d825bbc1203d03d7218633a.tar.gz"
- },
- {
- "method": "fetchzip",
- "packages": [
- "news"
- ],
- "path": "/nix/store/siwfngb840kcdjdviy5rhlpvdpkw14sk-source",
- "rev": "8bfd753649aa7e870ec45e93f1453d3bfcf66733",
- "sha256": "0hvs4kfr4aais7ixvh9d7na2r2zjnvaw3m3rpklafn9qld2wpaav",
- "srcDir": "src",
- "url": "https://github.com/status-im/news/archive/8bfd753649aa7e870ec45e93f1453d3bfcf66733.tar.gz"
- },
- {
- "method": "fetchzip",
- "packages": [
- "news"
- ],
- "path": "/nix/store/siwfngb840kcdjdviy5rhlpvdpkw14sk-source",
- "rev": "status",
- "sha256": "0hvs4kfr4aais7ixvh9d7na2r2zjnvaw3m3rpklafn9qld2wpaav",
- "srcDir": "src",
- "url": "https://github.com/status-im/news/archive/status.tar.gz"
- },
- {
- "method": "fetchzip",
- "packages": [
- "nimcrypto"
- ],
- "path": "/nix/store/dnj20qh97ylf57nka9wbxs735wbw7yxv-source",
- "rev": "4014ef939b51e02053c2e16dd3481d47bc9267dd",
- "sha256": "1kgqr2lqaffglc1fgbanwcvhkqcbbd20d5b6w4lf0nksfl9c357a",
- "srcDir": "",
- "url": "https://github.com/cheatfate/nimcrypto/archive/4014ef939b51e02053c2e16dd3481d47bc9267dd.tar.gz"
- },
- {
- "method": "fetchzip",
- "packages": [
- "serialization"
- ],
- "path": "/nix/store/ss096qz8svm5my0mjhk3imyrc2nm2x0y-source",
- "rev": "4d541ec43454809904fc4c3c0a7436410ad597d2",
- "sha256": "1a5x0fsxxkqpambz9q637dz0jrzv9q1jb3cya12k6106vc65lyf8",
- "srcDir": "",
- "url": "https://github.com/status-im/nim-serialization/archive/4d541ec43454809904fc4c3c0a7436410ad597d2.tar.gz"
- },
- {
- "method": "fetchzip",
- "packages": [
- "stew"
- ],
- "path": "/nix/store/90rwcr71bq13cid74v4aazikv2s924r1-source",
- "rev": "d9400ddea08341a65102cffdb693d3a7131efef4",
- "sha256": "0gkmh63izhp0bxyfmwfvyp81bxnzwnc3r7nxr5a05xpl8crk85w2",
- "srcDir": "",
- "url": "https://github.com/status-im/nim-stew/archive/d9400ddea08341a65102cffdb693d3a7131efef4.tar.gz"
- },
- {
- "method": "fetchzip",
- "packages": [
- "stint"
- ],
"path": "/nix/store/q42j4w2f70qfihcrpzgl3fspxihfsadb-source",
"rev": "c0ae9e10a9238883d18226fa28a5435c4d305e45",
"sha256": "0dxhjg5nf4sc4ga2zrxqcmr1v3ki9irkl603x0y3pz5sd8jdi731",
"srcDir": "",
- "url": "https://github.com/status-im/nim-stint/archive/c0ae9e10a9238883d18226fa28a5435c4d305e45.tar.gz"
+ "url": "https://github.com/status-im/nim-stint/archive/c0ae9e10a9238883d18226fa28a5435c4d305e45.tar.gz",
+ "subDir": "",
+ "packages": [
+ "stint"
+ ]
},
{
"method": "fetchzip",
- "packages": [
- "testutils"
- ],
- "path": "/nix/store/hn5r1ywl4qzzjl9zj62w5m6f8bqkjn8q-source",
- "rev": "dfc4c1b39f9ded9baf6365014de2b4bfb4dafc34",
- "sha256": "0fi59m8yvayzlh1ajbl98ddy43i3ikjqh3s5px16y0s3cidg4fai",
+ "path": "/nix/store/jmgpadmdabybhij1srd81xfr873zgfmm-source",
+ "rev": "5065d2cf18dcb9812e25cc0e2c50eb357bde04cf",
+ "sha256": "069fw3h9cjn0hab9vhfri8ibld7yihb8ggyg1nv5vxz6i3x026m5",
"srcDir": "",
- "url": "https://github.com/status-im/nim-testutils/archive/dfc4c1b39f9ded9baf6365014de2b4bfb4dafc34.tar.gz"
+ "url": "https://github.com/status-im/nim-http-utils/archive/5065d2cf18dcb9812e25cc0e2c50eb357bde04cf.tar.gz",
+ "subDir": "",
+ "packages": [
+ "httputils"
+ ]
},
{
"method": "fetchzip",
- "packages": [
- "unittest2"
- ],
"path": "/nix/store/wdj38hf9hdyb1skgb6v0z00kxkdmnq04-source",
"rev": "b178f47527074964f76c395ad0dfc81cf118f379",
"sha256": "1ir20z9m4wmm0bs2dd2qiq75w0x3skv0yj7sqp6bqfh98ni44xdc",
"srcDir": "",
- "url": "https://github.com/status-im/nim-unittest2/archive/b178f47527074964f76c395ad0dfc81cf118f379.tar.gz"
+ "url": "https://github.com/status-im/nim-unittest2/archive/b178f47527074964f76c395ad0dfc81cf118f379.tar.gz",
+ "subDir": "",
+ "packages": [
+ "unittest2"
+ ]
},
{
"method": "fetchzip",
- "packages": [
- "websock"
- ],
"path": "/nix/store/yad26q3iv3r2lw9xs655kyx3hvflxi1p-source",
"rev": "2c3ae3137f3c9cb48134285bd4a47186fa51f0e8",
"sha256": "09pkxzsnahljkqyp540v1wwiqcnbkz5ji5bz9q9cwn3axpmqc3v7",
"srcDir": "",
- "url": "https://github.com/status-im/nim-websock/archive/2c3ae3137f3c9cb48134285bd4a47186fa51f0e8.tar.gz"
+ "url": "https://github.com/status-im/nim-websock/archive/2c3ae3137f3c9cb48134285bd4a47186fa51f0e8.tar.gz",
+ "subDir": "",
+ "packages": [
+ "websock"
+ ]
},
{
"method": "fetchzip",
+ "path": "/nix/store/siwfngb840kcdjdviy5rhlpvdpkw14sk-source",
+ "rev": "8bfd753649aa7e870ec45e93f1453d3bfcf66733",
+ "sha256": "0hvs4kfr4aais7ixvh9d7na2r2zjnvaw3m3rpklafn9qld2wpaav",
+ "srcDir": "src",
+ "url": "https://github.com/status-im/news/archive/8bfd753649aa7e870ec45e93f1453d3bfcf66733.tar.gz",
+ "subDir": "",
"packages": [
- "with"
- ],
+ "news"
+ ]
+ },
+ {
+ "method": "fetchzip",
+ "path": "/nix/store/ss096qz8svm5my0mjhk3imyrc2nm2x0y-source",
+ "rev": "4d541ec43454809904fc4c3c0a7436410ad597d2",
+ "sha256": "1a5x0fsxxkqpambz9q637dz0jrzv9q1jb3cya12k6106vc65lyf8",
+ "srcDir": "",
+ "url": "https://github.com/status-im/nim-serialization/archive/4d541ec43454809904fc4c3c0a7436410ad597d2.tar.gz",
+ "subDir": "",
+ "packages": [
+ "serialization"
+ ]
+ },
+ {
+ "method": "fetchzip",
+ "path": "/nix/store/90rwcr71bq13cid74v4aazikv2s924r1-source",
+ "rev": "d9400ddea08341a65102cffdb693d3a7131efef4",
+ "sha256": "0gkmh63izhp0bxyfmwfvyp81bxnzwnc3r7nxr5a05xpl8crk85w2",
+ "srcDir": "",
+ "url": "https://github.com/status-im/nim-stew/archive/d9400ddea08341a65102cffdb693d3a7131efef4.tar.gz",
+ "subDir": "",
+ "packages": [
+ "stew"
+ ]
+ },
+ {
+ "method": "fetchzip",
+ "path": "/nix/store/4nj341ypj07hjvxv0462wpnywhkj02b5-source",
+ "rev": "422971502bd641703bf78a27cb20429e77fcfb8b",
+ "sha256": "0snzh904f8f3wn33liy6817q9ccx8mvsl88blhr49qh69mzbgnba",
+ "srcDir": "",
+ "url": "https://github.com/status-im/nim-faststreams/archive/422971502bd641703bf78a27cb20429e77fcfb8b.tar.gz",
+ "subDir": "",
+ "packages": [
+ "faststreams"
+ ]
+ },
+ {
+ "method": "fetchzip",
"path": "/nix/store/qkwz2w5haw8px691c6gkklvxxp38j9d3-source",
"rev": "2f95909c767605e06670dc70f5cffd6b9284f192",
"sha256": "1qdq9wpm6xahqczmvdn3a7yvvrw5x42ylvzmbybdwjzd8vmgg0zv",
"srcDir": "",
- "url": "https://github.com/zevv/with/archive/2f95909c767605e06670dc70f5cffd6b9284f192.tar.gz"
+ "url": "https://github.com/zevv/with/archive/2f95909c767605e06670dc70f5cffd6b9284f192.tar.gz",
+ "subDir": "",
+ "packages": [
+ "with"
+ ]
},
{
"method": "fetchzip",
+ "path": "/nix/store/hn5r1ywl4qzzjl9zj62w5m6f8bqkjn8q-source",
+ "rev": "dfc4c1b39f9ded9baf6365014de2b4bfb4dafc34",
+ "sha256": "0fi59m8yvayzlh1ajbl98ddy43i3ikjqh3s5px16y0s3cidg4fai",
+ "srcDir": "",
+ "url": "https://github.com/status-im/nim-testutils/archive/dfc4c1b39f9ded9baf6365014de2b4bfb4dafc34.tar.gz",
+ "subDir": "",
"packages": [
- "zlib"
- ],
+ "testutils"
+ ]
+ },
+ {
+ "method": "fetchzip",
+ "path": "/nix/store/szg3jxcg0bf6zv224nyisqhnibkd2pxw-source",
+ "rev": "c8a5cbe26917e6716b1597dae2d08166f3ce789a",
+ "sha256": "1l1y4psbcd5w68j1zz172rlwsk7jxbwlr14r2kwnkj7xc7lfwlnx",
+ "srcDir": "",
+ "url": "https://github.com/yyoncho/nim-json-rpc/archive/c8a5cbe26917e6716b1597dae2d08166f3ce789a.tar.gz",
+ "subDir": "",
+ "packages": [
+ "json_rpc"
+ ]
+ },
+ {
+ "method": "fetchzip",
+ "path": "/nix/store/dnj20qh97ylf57nka9wbxs735wbw7yxv-source",
+ "rev": "4014ef939b51e02053c2e16dd3481d47bc9267dd",
+ "sha256": "1kgqr2lqaffglc1fgbanwcvhkqcbbd20d5b6w4lf0nksfl9c357a",
+ "srcDir": "",
+ "url": "https://github.com/cheatfate/nimcrypto/archive/4014ef939b51e02053c2e16dd3481d47bc9267dd.tar.gz",
+ "subDir": "",
+ "packages": [
+ "nimcrypto"
+ ]
+ },
+ {
+ "method": "fetchzip",
+ "path": "/nix/store/drj65wylnxdbv4jqhymf7biiyjfb75v8-source",
+ "rev": "9372f27a25d0718d3527afad6cc936f6a853f86e",
+ "sha256": "152zbyqx12fmmjl4wn6kqqk1jzp1ywm4xvjd28ll9037f1pyd5ic",
+ "srcDir": "",
+ "url": "https://github.com/status-im/nim-bearssl/archive/9372f27a25d0718d3527afad6cc936f6a853f86e.tar.gz",
+ "subDir": "",
+ "packages": [
+ "bearssl"
+ ]
+ },
+ {
+ "method": "fetchzip",
+ "path": "/nix/store/h0xl7qnw7bh513rb24k1n805x3n1rimw-source",
+ "rev": "d9394dc7286064902d825bbc1203d03d7218633a",
+ "sha256": "102m7jaxjip24a6hrnk0nvfb0vmdx5zq4m9i4xyzq8m782xyqp94",
+ "srcDir": "",
+ "url": "https://github.com/status-im/nim-json-serialization/archive/d9394dc7286064902d825bbc1203d03d7218633a.tar.gz",
+ "subDir": "",
+ "packages": [
+ "json_serialization"
+ ]
+ },
+ {
+ "method": "fetchzip",
+ "path": "/nix/store/ffz78k6z9wf8vj2kv1jdj5dq2rxf61j7-source",
+ "rev": "2a2681b60289aaf7895b7056f22616081eb1a882",
+ "sha256": "0n8awgrmn9f6vd7ibv1jlyxk61lrs7hc51fghilrw6g6xq5w9rxq",
+ "srcDir": "",
+ "url": "https://github.com/status-im/nim-chronicles/archive/2a2681b60289aaf7895b7056f22616081eb1a882.tar.gz",
+ "subDir": "",
+ "packages": [
+ "chronicles"
+ ]
+ },
+ {
+ "method": "fetchzip",
+ "path": "/nix/store/51nf7pb5cwg2n441ka6w6g6c4hdjsdj4-source",
+ "rev": "bb01d965a2ad0f08eaff6a53874f028ddbab4909",
+ "sha256": "0v4n7maskd07qsx8rsr9v0bs7nzbncmvxsn7j9jsk9azcy803v49",
+ "srcDir": "",
+ "url": "https://github.com/nickysn/asynctools/archive/bb01d965a2ad0f08eaff6a53874f028ddbab4909.tar.gz",
+ "subDir": "",
+ "packages": [
+ "asynctools"
+ ]
+ },
+ {
+ "method": "fetchzip",
"path": "/nix/store/br78rad2jnl6zka2q89qi6pkfiyn10fv-source",
"rev": "f34ca261efd90f118dc1647beefd2f7a69b05d93",
"sha256": "1k8y7m1ry1z8jm8hj8pa3vlqprshaa59cdwq2a4acrfw9ks5w482",
"srcDir": "",
- "url": "https://github.com/status-im/nim-zlib/archive/f34ca261efd90f118dc1647beefd2f7a69b05d93.tar.gz"
+ "url": "https://github.com/status-im/nim-zlib/archive/f34ca261efd90f118dc1647beefd2f7a69b05d93.tar.gz",
+ "subDir": "",
+ "packages": [
+ "zlib"
+ ]
}
]
}
diff --git a/pkgs/by-name/ni/nimlangserver/package.nix b/pkgs/by-name/ni/nimlangserver/package.nix
index 78b8e655eb2e..634b6831330c 100644
--- a/pkgs/by-name/ni/nimlangserver/package.nix
+++ b/pkgs/by-name/ni/nimlangserver/package.nix
@@ -7,11 +7,7 @@ buildNimPackage (final: prev: {
pname = "nimlangserver";
version = "1.2.0";
- # lock.json was generated by converting
- # nimble.lock into requires "#revSha" in a dummy.nimble
- # for all packages and then running nim_lk on said dummy package
- # default nim_lk output fails because it attempts
- # to use branches that will not work instead of HEAD for packages
+ # lock.json generated with github.com/daylinmorgan/nnl
lockFile = ./lock.json;
src = fetchFromGitHub {
@@ -27,6 +23,7 @@ buildNimPackage (final: prev: {
final.src.meta
// {
description = "The Nim language server implementation (based on nimsuggest)";
+ homepage = "https://github.com/nim-lang/langserver";
license = licenses.mit;
mainProgram = "nimlangserver";
maintainers = with maintainers; [daylinmorgan];
diff --git a/pkgs/by-name/ni/nimmm/package.nix b/pkgs/by-name/ni/nimmm/package.nix
index be3e01b7dcae..266c29883a8d 100644
--- a/pkgs/by-name/ni/nimmm/package.nix
+++ b/pkgs/by-name/ni/nimmm/package.nix
@@ -17,6 +17,7 @@ buildNimPackage (finalAttrs: {
meta = {
description = "Terminal file manager written in Nim";
+ mainProgram = "nimmm";
homepage = "https://github.com/joachimschmidt557/nimmm";
license = lib.licenses.gpl3;
platforms = lib.platforms.linux;
diff --git a/pkgs/by-name/ni/niri/Cargo.lock b/pkgs/by-name/ni/niri/Cargo.lock
new file mode 100644
index 000000000000..209a91c01f86
--- /dev/null
+++ b/pkgs/by-name/ni/niri/Cargo.lock
@@ -0,0 +1,4384 @@
+# This file is automatically @generated by Cargo.
+# It is not intended for manual editing.
+version = 3
+
+[[package]]
+name = "adler"
+version = "1.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f26201604c87b1e01bd3d98f8d5d9a8fcbb815e8cedb41ffccbeb4bf593a35fe"
+
+[[package]]
+name = "ahash"
+version = "0.8.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e89da841a80418a9b391ebaea17f5c112ffaaa96f621d2c285b5174da76b9011"
+dependencies = [
+ "cfg-if",
+ "getrandom",
+ "once_cell",
+ "version_check",
+ "zerocopy",
+]
+
+[[package]]
+name = "aho-corasick"
+version = "1.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b2969dcb958b36655471fc61f7e416fa76033bdd4bfed0678d8fee1e2d07a1f0"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "allocator-api2"
+version = "0.2.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0942ffc6dcaadf03badf6e6a2d0228460359d5e34b57ccdc720b7382dfbd5ec5"
+
+[[package]]
+name = "android-activity"
+version = "0.5.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ee91c0c2905bae44f84bfa4e044536541df26b7703fd0888deeb9060fcc44289"
+dependencies = [
+ "android-properties",
+ "bitflags 2.4.2",
+ "cc",
+ "cesu8",
+ "jni",
+ "jni-sys",
+ "libc",
+ "log",
+ "ndk",
+ "ndk-context",
+ "ndk-sys",
+ "num_enum",
+ "thiserror",
+]
+
+[[package]]
+name = "android-properties"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fc7eb209b1518d6bb87b283c20095f5228ecda460da70b44f0802523dea6da04"
+
+[[package]]
+name = "annotate-snippets"
+version = "0.9.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ccaf7e9dfbb6ab22c82e473cd1a8a7bd313c19a5b7e40970f3d89ef5a5c9e81e"
+dependencies = [
+ "unicode-width",
+ "yansi-term",
+]
+
+[[package]]
+name = "anstream"
+version = "0.6.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d96bd03f33fe50a863e394ee9718a706f988b9079b20c3784fb726e7678b62fb"
+dependencies = [
+ "anstyle",
+ "anstyle-parse",
+ "anstyle-query",
+ "anstyle-wincon",
+ "colorchoice",
+ "utf8parse",
+]
+
+[[package]]
+name = "anstyle"
+version = "1.0.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8901269c6307e8d93993578286ac0edf7f195079ffff5ebdeea6a59ffb7e36bc"
+
+[[package]]
+name = "anstyle-parse"
+version = "0.2.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c75ac65da39e5fe5ab759307499ddad880d724eed2f6ce5b5e8a26f4f387928c"
+dependencies = [
+ "utf8parse",
+]
+
+[[package]]
+name = "anstyle-query"
+version = "1.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e28923312444cdd728e4738b3f9c9cac739500909bb3d3c94b43551b16517648"
+dependencies = [
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "anstyle-wincon"
+version = "3.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1cd54b81ec8d6180e24654d0b371ad22fc3dd083b6ff8ba325b72e00c87660a7"
+dependencies = [
+ "anstyle",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "anyhow"
+version = "1.0.80"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5ad32ce52e4161730f7098c077cd2ed6229b5804ccf99e5366be1ab72a98b4e1"
+
+[[package]]
+name = "appendlist"
+version = "1.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e149dc73cd30538307e7ffa2acd3d2221148eaeed4871f246657b1c3eaa1cbd2"
+
+[[package]]
+name = "approx"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3f2a05fd1bd10b2527e20a2cd32d8873d115b8b39fe219ee25f42a8aca6ba278"
+dependencies = [
+ "num-traits",
+]
+
+[[package]]
+name = "arrayvec"
+version = "0.7.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "96d30a06541fbafbc7f82ed10c06164cfbd2c401138f6addd8404629c4b16711"
+
+[[package]]
+name = "as-raw-xcb-connection"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "175571dd1d178ced59193a6fc02dde1b972eb0bc56c892cde9beeceac5bf0f6b"
+
+[[package]]
+name = "async-broadcast"
+version = "0.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7c48ccdbf6ca6b121e0f586cbc0e73ae440e56c67c30fa0873b4e110d9c26d2b"
+dependencies = [
+ "event-listener 2.5.3",
+ "futures-core",
+]
+
+[[package]]
+name = "async-channel"
+version = "2.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f28243a43d821d11341ab73c80bed182dc015c514b951616cf79bd4af39af0c3"
+dependencies = [
+ "concurrent-queue",
+ "event-listener 5.2.0",
+ "event-listener-strategy 0.5.0",
+ "futures-core",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "async-executor"
+version = "1.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "17ae5ebefcc48e7452b4987947920dac9450be1110cadf34d1b8c116bdbaf97c"
+dependencies = [
+ "async-lock 3.3.0",
+ "async-task",
+ "concurrent-queue",
+ "fastrand 2.0.1",
+ "futures-lite 2.2.0",
+ "slab",
+]
+
+[[package]]
+name = "async-fs"
+version = "1.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "279cf904654eeebfa37ac9bb1598880884924aab82e290aa65c9e77a0e142e06"
+dependencies = [
+ "async-lock 2.8.0",
+ "autocfg",
+ "blocking",
+ "futures-lite 1.13.0",
+]
+
+[[package]]
+name = "async-io"
+version = "1.13.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0fc5b45d93ef0529756f812ca52e44c221b35341892d3dcc34132ac02f3dd2af"
+dependencies = [
+ "async-lock 2.8.0",
+ "autocfg",
+ "cfg-if",
+ "concurrent-queue",
+ "futures-lite 1.13.0",
+ "log",
+ "parking",
+ "polling 2.8.0",
+ "rustix 0.37.27",
+ "slab",
+ "socket2",
+ "waker-fn",
+]
+
+[[package]]
+name = "async-io"
+version = "2.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f97ab0c5b00a7cdbe5a371b9a782ee7be1316095885c8a4ea1daf490eb0ef65"
+dependencies = [
+ "async-lock 3.3.0",
+ "cfg-if",
+ "concurrent-queue",
+ "futures-io",
+ "futures-lite 2.2.0",
+ "parking",
+ "polling 3.5.0",
+ "rustix 0.38.31",
+ "slab",
+ "tracing",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "async-lock"
+version = "2.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "287272293e9d8c41773cec55e365490fe034813a2f172f502d6ddcf75b2f582b"
+dependencies = [
+ "event-listener 2.5.3",
+]
+
+[[package]]
+name = "async-lock"
+version = "3.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d034b430882f8381900d3fe6f0aaa3ad94f2cb4ac519b429692a1bc2dda4ae7b"
+dependencies = [
+ "event-listener 4.0.3",
+ "event-listener-strategy 0.4.0",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "async-process"
+version = "1.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ea6438ba0a08d81529c69b36700fa2f95837bfe3e776ab39cde9c14d9149da88"
+dependencies = [
+ "async-io 1.13.0",
+ "async-lock 2.8.0",
+ "async-signal",
+ "blocking",
+ "cfg-if",
+ "event-listener 3.1.0",
+ "futures-lite 1.13.0",
+ "rustix 0.38.31",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "async-recursion"
+version = "1.0.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5fd55a5ba1179988837d24ab4c7cc8ed6efdeff578ede0416b4225a5fca35bd0"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "async-signal"
+version = "0.2.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9e47d90f65a225c4527103a8d747001fc56e375203592b25ad103e1ca13124c5"
+dependencies = [
+ "async-io 2.3.1",
+ "async-lock 2.8.0",
+ "atomic-waker",
+ "cfg-if",
+ "futures-core",
+ "futures-io",
+ "rustix 0.38.31",
+ "signal-hook-registry",
+ "slab",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "async-task"
+version = "4.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fbb36e985947064623dbd357f727af08ffd077f93d696782f3c56365fa2e2799"
+
+[[package]]
+name = "async-trait"
+version = "0.1.77"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c980ee35e870bd1a4d2c8294d4c04d0499e67bca1e4b5cefcc693c2fa00caea9"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "atomic-waker"
+version = "1.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1505bd5d3d116872e7271a6d4e16d81d0c8570876c8de68093a09ac269d8aac0"
+
+[[package]]
+name = "autocfg"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d468802bab17cbc0cc575e9b053f41e72aa36bfa6b7f55e3529ffa43161b97fa"
+
+[[package]]
+name = "base64"
+version = "0.21.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9d297deb1925b89f2ccc13d7635fa0714f12c87adce1c75356b39ca9b7178567"
+
+[[package]]
+name = "bindgen"
+version = "0.69.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a00dc851838a2120612785d195287475a3ac45514741da670b735818822129a0"
+dependencies = [
+ "annotate-snippets",
+ "bitflags 2.4.2",
+ "cexpr",
+ "clang-sys",
+ "itertools",
+ "lazy_static",
+ "lazycell",
+ "proc-macro2",
+ "quote",
+ "regex",
+ "rustc-hash",
+ "shlex",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "bit-set"
+version = "0.5.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0700ddab506f33b20a03b13996eccd309a48e5ff77d0d95926aa0210fb4e95f1"
+dependencies = [
+ "bit-vec",
+]
+
+[[package]]
+name = "bit-vec"
+version = "0.6.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "349f9b6a179ed607305526ca489b34ad0a41aed5f7980fa90eb03160b69598fb"
+
+[[package]]
+name = "bitflags"
+version = "1.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a"
+
+[[package]]
+name = "bitflags"
+version = "2.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ed570934406eb16438a4e976b1b4500774099c13b8cb96eec99f620f05090ddf"
+
+[[package]]
+name = "block"
+version = "0.1.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0d8c1fef690941d3e7788d328517591fecc684c084084702d6ff1641e993699a"
+
+[[package]]
+name = "block-buffer"
+version = "0.10.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3078c7629b62d3f0439517fa394996acacc5cbc91c5a20d8c658e77abd503a71"
+dependencies = [
+ "generic-array",
+]
+
+[[package]]
+name = "block-sys"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ae85a0696e7ea3b835a453750bf002770776609115e6d25c6d2ff28a8200f7e7"
+dependencies = [
+ "objc-sys",
+]
+
+[[package]]
+name = "block2"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "15b55663a85f33501257357e6421bb33e769d5c9ffb5ba0921c975a123e35e68"
+dependencies = [
+ "block-sys",
+ "objc2",
+]
+
+[[package]]
+name = "blocking"
+version = "1.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6a37913e8dc4ddcc604f0c6d3bf2887c995153af3611de9e23c352b44c1b9118"
+dependencies = [
+ "async-channel",
+ "async-lock 3.3.0",
+ "async-task",
+ "fastrand 2.0.1",
+ "futures-io",
+ "futures-lite 2.2.0",
+ "piper",
+ "tracing",
+]
+
+[[package]]
+name = "bumpalo"
+version = "3.15.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7ff69b9dd49fd426c69a0db9fc04dd934cdb6645ff000864d98f7e2af8830eaa"
+
+[[package]]
+name = "bytemuck"
+version = "1.14.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a2ef034f05691a48569bd920a96c81b9d91bbad1ab5ac7c4616c1f6ef36cb79f"
+dependencies = [
+ "bytemuck_derive",
+]
+
+[[package]]
+name = "bytemuck_derive"
+version = "1.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "965ab7eb5f8f97d2a083c799f3a1b994fc397b2fe2da5d1da1626ce15a39f2b1"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "byteorder"
+version = "1.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1fd0f2584146f6f2ef48085050886acf353beff7305ebd1ae69500e27c67f64b"
+
+[[package]]
+name = "bytes"
+version = "1.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a2bd12c1caf447e69cd4528f47f94d203fd2582878ecb9e9465484c4148a8223"
+
+[[package]]
+name = "cairo-rs"
+version = "0.19.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2650f66005301bd33cc486dec076e1293c4cecf768bc7ba9bf5d2b1be339b99c"
+dependencies = [
+ "bitflags 2.4.2",
+ "cairo-sys-rs",
+ "glib",
+ "libc",
+ "thiserror",
+]
+
+[[package]]
+name = "cairo-sys-rs"
+version = "0.19.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fd3bb3119664efbd78b5e6c93957447944f16bdbced84c17a9f41c7829b81e64"
+dependencies = [
+ "glib-sys",
+ "libc",
+ "system-deps",
+]
+
+[[package]]
+name = "calloop"
+version = "0.12.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fba7adb4dd5aa98e5553510223000e7148f621165ec5f9acd7113f6ca4995298"
+dependencies = [
+ "bitflags 2.4.2",
+ "log",
+ "polling 3.5.0",
+ "rustix 0.38.31",
+ "slab",
+ "thiserror",
+]
+
+[[package]]
+name = "calloop"
+version = "0.13.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b99da2f8558ca23c71f4fd15dc57c906239752dd27ff3c00a1d56b685b7cbfec"
+dependencies = [
+ "async-task",
+ "bitflags 2.4.2",
+ "futures-io",
+ "log",
+ "polling 3.5.0",
+ "rustix 0.38.31",
+ "slab",
+ "thiserror",
+]
+
+[[package]]
+name = "calloop-wayland-source"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0f0ea9b9476c7fad82841a8dbb380e2eae480c21910feba80725b46931ed8f02"
+dependencies = [
+ "calloop 0.12.4",
+ "rustix 0.38.31",
+ "wayland-backend",
+ "wayland-client",
+]
+
+[[package]]
+name = "cc"
+version = "1.0.90"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8cd6604a82acf3039f1144f54b8eb34e91ffba622051189e71b781822d5ee1f5"
+dependencies = [
+ "jobserver",
+ "libc",
+]
+
+[[package]]
+name = "cesu8"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6d43a04d8753f35258c91f8ec639f792891f748a1edbd759cf1dcea3382ad83c"
+
+[[package]]
+name = "cexpr"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6fac387a98bb7c37292057cffc56d62ecb629900026402633ae9160df93a8766"
+dependencies = [
+ "nom",
+]
+
+[[package]]
+name = "cfg-expr"
+version = "0.15.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fa50868b64a9a6fda9d593ce778849ea8715cd2a3d2cc17ffdb4a2f2f2f1961d"
+dependencies = [
+ "smallvec",
+ "target-lexicon",
+]
+
+[[package]]
+name = "cfg-if"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd"
+
+[[package]]
+name = "cfg_aliases"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fd16c4719339c4530435d38e511904438d07cce7950afa3718a84ac36c10e89e"
+
+[[package]]
+name = "cgmath"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1a98d30140e3296250832bbaaff83b27dcd6fa3cc70fb6f1f3e5c9c0023b5317"
+dependencies = [
+ "approx",
+ "num-traits",
+]
+
+[[package]]
+name = "chumsky"
+version = "0.9.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8eebd66744a15ded14960ab4ccdbfb51ad3b81f51f3f04a80adac98c985396c9"
+dependencies = [
+ "hashbrown",
+]
+
+[[package]]
+name = "clang-sys"
+version = "1.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "67523a3b4be3ce1989d607a828d036249522dd9c1c8de7f4dd2dae43a37369d1"
+dependencies = [
+ "glob",
+ "libc",
+ "libloading",
+]
+
+[[package]]
+name = "clap"
+version = "4.4.18"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1e578d6ec4194633722ccf9544794b71b1385c3c027efe0c55db226fc880865c"
+dependencies = [
+ "clap_builder",
+ "clap_derive",
+]
+
+[[package]]
+name = "clap_builder"
+version = "4.4.18"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4df4df40ec50c46000231c914968278b1eb05098cf8f1b3a518a95030e71d1c7"
+dependencies = [
+ "anstream",
+ "anstyle",
+ "clap_lex",
+ "strsim",
+]
+
+[[package]]
+name = "clap_derive"
+version = "4.4.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cf9804afaaf59a91e75b022a30fb7229a7901f60c755489cc61c9b423b836442"
+dependencies = [
+ "heck",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "clap_lex"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "702fc72eb24e5a1e48ce58027a675bc24edd52096d5397d4aea7c6dd9eca0bd1"
+
+[[package]]
+name = "colorchoice"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "acbf1af155f9b9ef647e42cdc158db4b64a1b61f743629225fde6f3e0be2a7c7"
+
+[[package]]
+name = "combine"
+version = "4.6.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "35ed6e9d84f0b51a7f52daf1c7d71dd136fd7a3f41a8462b8cdb8c78d920fad4"
+dependencies = [
+ "bytes",
+ "memchr",
+]
+
+[[package]]
+name = "concurrent-queue"
+version = "2.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d16048cd947b08fa32c24458a22f5dc5e835264f689f4f5653210c69fd107363"
+dependencies = [
+ "crossbeam-utils",
+]
+
+[[package]]
+name = "convert_case"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ec182b0ca2f35d8fc196cf3404988fd8b8c739a4d270ff118a398feb0cbec1ca"
+dependencies = [
+ "unicode-segmentation",
+]
+
+[[package]]
+name = "cookie-factory"
+version = "0.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "396de984970346b0d9e93d1415082923c679e5ae5c3ee3dcbd104f5610af126b"
+
+[[package]]
+name = "core-foundation"
+version = "0.9.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "91e195e091a93c46f7102ec7818a2aa394e1e1771c3ab4825963fa03e45afb8f"
+dependencies = [
+ "core-foundation-sys",
+ "libc",
+]
+
+[[package]]
+name = "core-foundation-sys"
+version = "0.8.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "06ea2b9bc92be3c2baa9334a323ebca2d6f074ff852cd1d7b11064035cd3868f"
+
+[[package]]
+name = "core-graphics"
+version = "0.23.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "970a29baf4110c26fedbc7f82107d42c23f7e88e404c4577ed73fe99ff85a212"
+dependencies = [
+ "bitflags 1.3.2",
+ "core-foundation",
+ "core-graphics-types",
+ "foreign-types",
+ "libc",
+]
+
+[[package]]
+name = "core-graphics-types"
+version = "0.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "45390e6114f68f718cc7a830514a96f903cccd70d02a8f6d9f643ac4ba45afaf"
+dependencies = [
+ "bitflags 1.3.2",
+ "core-foundation",
+ "libc",
+]
+
+[[package]]
+name = "cpufeatures"
+version = "0.2.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "53fe5e26ff1b7aef8bca9c6080520cfb8d9333c7568e1829cef191a9723e5504"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "crc32fast"
+version = "1.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b3855a8a784b474f333699ef2bbca9db2c4a1f6d9088a90a2d25b1eb53111eaa"
+dependencies = [
+ "cfg-if",
+]
+
+[[package]]
+name = "crossbeam-utils"
+version = "0.8.19"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "248e3bacc7dc6baa3b21e405ee045c3047101a49145e7e9eca583ab4c2ca5345"
+
+[[package]]
+name = "crypto-common"
+version = "0.1.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1bfb12502f3fc46cca1bb51ac28df9d618d813cdc3d2f25b9fe775a34af26bb3"
+dependencies = [
+ "generic-array",
+ "typenum",
+]
+
+[[package]]
+name = "csscolorparser"
+version = "0.6.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eb2a7d3066da2de787b7f032c736763eb7ae5d355f81a68bab2675a96008b0bf"
+dependencies = [
+ "phf",
+]
+
+[[package]]
+name = "cursor-icon"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "96a6ac251f4a2aca6b3f91340350eab87ae57c3f127ffeb585e92bd336717991"
+
+[[package]]
+name = "deranged"
+version = "0.3.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b42b6fa04a440b495c8b04d0e71b707c585f83cb9cb28cf8cd0d976c315e31b4"
+dependencies = [
+ "powerfmt",
+]
+
+[[package]]
+name = "derivative"
+version = "2.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fcc3dd5e9e9c0b295d6e1e4d811fb6f157d5ffd784b8d202fc62eac8035a770b"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "digest"
+version = "0.10.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9ed9a281f7bc9b7576e61468ba615a66a5c8cfdff42420a70aa82701a3b1e292"
+dependencies = [
+ "block-buffer",
+ "crypto-common",
+]
+
+[[package]]
+name = "directories"
+version = "5.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9a49173b84e034382284f27f1af4dcbbd231ffa358c0fe316541a7337f376a35"
+dependencies = [
+ "dirs-sys",
+]
+
+[[package]]
+name = "dirs-next"
+version = "2.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b98cf8ebf19c3d1b223e151f99a4f9f0690dca41414773390fc824184ac833e1"
+dependencies = [
+ "cfg-if",
+ "dirs-sys-next",
+]
+
+[[package]]
+name = "dirs-sys"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "520f05a5cbd335fae5a99ff7a6ab8627577660ee5cfd6a94a6a929b52ff0321c"
+dependencies = [
+ "libc",
+ "option-ext",
+ "redox_users",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "dirs-sys-next"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4ebda144c4fe02d1f7ea1a7d9641b6fc6b580adcfa024ae48797ecdeb6825b4d"
+dependencies = [
+ "libc",
+ "redox_users",
+ "winapi",
+]
+
+[[package]]
+name = "dispatch"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bd0c93bb4b0c6d9b77f4435b0ae98c24d17f1c45b2ff844c6151a07256ca923b"
+
+[[package]]
+name = "dlib"
+version = "0.5.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "330c60081dcc4c72131f8eb70510f1ac07223e5d4163db481a04a0befcffa412"
+dependencies = [
+ "libloading",
+]
+
+[[package]]
+name = "downcast-rs"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9ea835d29036a4087793836fa931b08837ad5e957da9e23886b29586fb9b6650"
+
+[[package]]
+name = "drm"
+version = "0.11.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a0f8a69e60d75ae7dab4ef26a59ca99f2a89d4c142089b537775ae0c198bdcde"
+dependencies = [
+ "bitflags 2.4.2",
+ "bytemuck",
+ "drm-ffi",
+ "drm-fourcc",
+ "rustix 0.38.31",
+]
+
+[[package]]
+name = "drm-ffi"
+version = "0.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "41334f8405792483e32ad05fbb9c5680ff4e84491883d2947a4757dc54cb2ac6"
+dependencies = [
+ "drm-sys",
+ "rustix 0.38.31",
+]
+
+[[package]]
+name = "drm-fourcc"
+version = "2.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0aafbcdb8afc29c1a7ee5fbe53b5d62f4565b35a042a662ca9fecd0b54dae6f4"
+
+[[package]]
+name = "drm-sys"
+version = "0.6.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2d09ff881f92f118b11105ba5e34ff8f4adf27b30dae8f12e28c193af1c83176"
+dependencies = [
+ "libc",
+ "linux-raw-sys 0.6.4",
+]
+
+[[package]]
+name = "edid-rs"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2ab5fa33485cd85ac354df485819a63360fefa312fe04cffe65e6f175be1522c"
+
+[[package]]
+name = "either"
+version = "1.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "11157ac094ffbdde99aa67b23417ebdd801842852b500e395a45a9c0aac03e4a"
+
+[[package]]
+name = "enumflags2"
+version = "0.7.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3278c9d5fb675e0a51dabcf4c0d355f692b064171535ba72361be1528a9d8e8d"
+dependencies = [
+ "enumflags2_derive",
+ "serde",
+]
+
+[[package]]
+name = "enumflags2_derive"
+version = "0.7.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5c785274071b1b420972453b306eeca06acf4633829db4223b58a2a8c5953bc4"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "equivalent"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5443807d6dff69373d433ab9ef5378ad8df50ca6298caf15de6e52e24aaf54d5"
+
+[[package]]
+name = "errno"
+version = "0.3.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a258e46cdc063eb8519c00b9fc845fc47bcfca4130e2f08e88665ceda8474245"
+dependencies = [
+ "libc",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "event-listener"
+version = "2.5.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0206175f82b8d6bf6652ff7d71a1e27fd2e4efde587fd368662814d6ec1d9ce0"
+
+[[package]]
+name = "event-listener"
+version = "3.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d93877bcde0eb80ca09131a08d23f0a5c18a620b01db137dba666d18cd9b30c2"
+dependencies = [
+ "concurrent-queue",
+ "parking",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "event-listener"
+version = "4.0.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "67b215c49b2b248c855fb73579eb1f4f26c38ffdc12973e20e07b91d78d5646e"
+dependencies = [
+ "concurrent-queue",
+ "parking",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "event-listener"
+version = "5.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2b5fb89194fa3cad959b833185b3063ba881dbfc7030680b314250779fb4cc91"
+dependencies = [
+ "concurrent-queue",
+ "parking",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "event-listener-strategy"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "958e4d70b6d5e81971bebec42271ec641e7ff4e170a6fa605f2b8a8b65cb97d3"
+dependencies = [
+ "event-listener 4.0.3",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "event-listener-strategy"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "feedafcaa9b749175d5ac357452a9d41ea2911da598fde46ce1fe02c37751291"
+dependencies = [
+ "event-listener 5.2.0",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "fastrand"
+version = "1.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e51093e27b0797c359783294ca4f0a911c270184cb10f85783b118614a1501be"
+dependencies = [
+ "instant",
+]
+
+[[package]]
+name = "fastrand"
+version = "2.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "25cbce373ec4653f1a01a31e8a5e5ec0c622dc27ff9c4e6606eefef5cbbed4a5"
+
+[[package]]
+name = "fdeflate"
+version = "0.3.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4f9bfee30e4dedf0ab8b422f03af778d9612b63f502710fc500a334ebe2de645"
+dependencies = [
+ "simd-adler32",
+]
+
+[[package]]
+name = "field-offset"
+version = "0.3.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "38e2275cc4e4fc009b0669731a1e5ab7ebf11f469eaede2bab9309a5b4d6057f"
+dependencies = [
+ "memoffset 0.9.0",
+ "rustc_version",
+]
+
+[[package]]
+name = "flate2"
+version = "1.0.28"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "46303f565772937ffe1d394a4fac6f411c6013172fadde9dcdb1e147a086940e"
+dependencies = [
+ "crc32fast",
+ "miniz_oxide",
+]
+
+[[package]]
+name = "fnv"
+version = "1.0.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3f9eec918d3f24069decb9af1554cad7c880e2da24a9afd88aca000531ab82c1"
+
+[[package]]
+name = "foreign-types"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d737d9aa519fb7b749cbc3b962edcf310a8dd1f4b67c91c4f83975dbdd17d965"
+dependencies = [
+ "foreign-types-macros",
+ "foreign-types-shared",
+]
+
+[[package]]
+name = "foreign-types-macros"
+version = "0.2.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1a5c6c585bc94aaf2c7b51dd4c2ba22680844aba4c687be581871a6f518c5742"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "foreign-types-shared"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "aa9a19cbb55df58761df49b23516a86d432839add4af60fc256da840f66ed35b"
+
+[[package]]
+name = "form_urlencoded"
+version = "1.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e13624c2627564efccf4934284bdd98cbaa14e79b0b5a141218e507b3a823456"
+dependencies = [
+ "percent-encoding",
+]
+
+[[package]]
+name = "futures-channel"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eac8f7d7865dcb88bd4373ab671c8cf4508703796caa2b1985a9ca867b3fcb78"
+dependencies = [
+ "futures-core",
+]
+
+[[package]]
+name = "futures-core"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dfc6580bb841c5a68e9ef15c77ccc837b40a7504914d52e47b8b0e9bbda25a1d"
+
+[[package]]
+name = "futures-executor"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a576fc72ae164fca6b9db127eaa9a9dda0d61316034f33a0a0d4eda41f02b01d"
+dependencies = [
+ "futures-core",
+ "futures-task",
+ "futures-util",
+]
+
+[[package]]
+name = "futures-io"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a44623e20b9681a318efdd71c299b6b222ed6f231972bfe2f224ebad6311f0c1"
+
+[[package]]
+name = "futures-lite"
+version = "1.13.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "49a9d51ce47660b1e808d3c990b4709f2f415d928835a17dfd16991515c46bce"
+dependencies = [
+ "fastrand 1.9.0",
+ "futures-core",
+ "futures-io",
+ "memchr",
+ "parking",
+ "pin-project-lite",
+ "waker-fn",
+]
+
+[[package]]
+name = "futures-lite"
+version = "2.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "445ba825b27408685aaecefd65178908c36c6e96aaf6d8599419d46e624192ba"
+dependencies = [
+ "fastrand 2.0.1",
+ "futures-core",
+ "futures-io",
+ "parking",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "futures-macro"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "87750cf4b7a4c0625b1529e4c543c2182106e4dedc60a2a6455e00d212c489ac"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "futures-sink"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9fb8e00e87438d937621c1c6269e53f536c14d3fbd6a042bb24879e57d474fb5"
+
+[[package]]
+name = "futures-task"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "38d84fa142264698cdce1a9f9172cf383a0c82de1bddcf3092901442c4097004"
+
+[[package]]
+name = "futures-util"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3d6401deb83407ab3da39eba7e33987a73c3df0c82b4bb5813ee871c19c41d48"
+dependencies = [
+ "futures-core",
+ "futures-io",
+ "futures-macro",
+ "futures-sink",
+ "futures-task",
+ "memchr",
+ "pin-project-lite",
+ "pin-utils",
+ "slab",
+]
+
+[[package]]
+name = "gbm"
+version = "0.14.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "313702b30cdeb83ddc72bc14dcee67803cd0ae2d12282ea06e368c25a900c844"
+dependencies = [
+ "bitflags 1.3.2",
+ "drm",
+ "drm-fourcc",
+ "gbm-sys",
+ "libc",
+ "wayland-backend",
+ "wayland-server",
+]
+
+[[package]]
+name = "gbm-sys"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6fd2d6bf7c0143b38beece05f9a5c4c851a49a8434f62bf58ff28da92b0ddc58"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "gdk-pixbuf"
+version = "0.19.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f6a23f8a0b5090494fd04924662d463f8386cc678dd3915015a838c1a3679b92"
+dependencies = [
+ "gdk-pixbuf-sys",
+ "gio",
+ "glib",
+ "libc",
+]
+
+[[package]]
+name = "gdk-pixbuf-sys"
+version = "0.19.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3dcbd04c1b2c4834cc008b4828bc917d062483b88d26effde6342e5622028f96"
+dependencies = [
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps",
+]
+
+[[package]]
+name = "gdk4"
+version = "0.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9100b25604183f2fd97f55ef087fae96ab4934d7215118a35303e422688e6e4b"
+dependencies = [
+ "cairo-rs",
+ "gdk-pixbuf",
+ "gdk4-sys",
+ "gio",
+ "glib",
+ "libc",
+ "pango",
+]
+
+[[package]]
+name = "gdk4-sys"
+version = "0.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d0b76874c40bb8d1c7d03a7231e23ac75fa577a456cd53af32ec17ec8f121626"
+dependencies = [
+ "cairo-sys-rs",
+ "gdk-pixbuf-sys",
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "pango-sys",
+ "pkg-config",
+ "system-deps",
+]
+
+[[package]]
+name = "generator"
+version = "0.7.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5cc16584ff22b460a382b7feec54b23d2908d858152e5739a120b949293bd74e"
+dependencies = [
+ "cc",
+ "libc",
+ "log",
+ "rustversion",
+ "windows 0.48.0",
+]
+
+[[package]]
+name = "generic-array"
+version = "0.14.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "85649ca51fd72272d7821adaf274ad91c288277713d9c18820d8499a7ff69e9a"
+dependencies = [
+ "typenum",
+ "version_check",
+]
+
+[[package]]
+name = "gethostname"
+version = "0.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0176e0459c2e4a1fe232f984bca6890e681076abb9934f6cea7c326f3fc47818"
+dependencies = [
+ "libc",
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "getrandom"
+version = "0.2.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "190092ea657667030ac6a35e305e62fc4dd69fd98ac98631e5d3a2b1575a12b5"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "wasi",
+]
+
+[[package]]
+name = "gio"
+version = "0.19.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2eae10b27b6dd27e22ed0d812c6387deba295e6fc004a8b379e459b663b05a02"
+dependencies = [
+ "futures-channel",
+ "futures-core",
+ "futures-io",
+ "futures-util",
+ "gio-sys",
+ "glib",
+ "libc",
+ "pin-project-lite",
+ "smallvec",
+ "thiserror",
+]
+
+[[package]]
+name = "gio-sys"
+version = "0.19.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bcf8e1d9219bb294636753d307b030c1e8a032062cba74f493c431a5c8b81ce4"
+dependencies = [
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "git-version"
+version = "0.3.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1ad568aa3db0fcbc81f2f116137f263d7304f512a1209b35b85150d3ef88ad19"
+dependencies = [
+ "git-version-macro",
+]
+
+[[package]]
+name = "git-version-macro"
+version = "0.3.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "53010ccb100b96a67bc32c0175f0ed1426b31b655d562898e57325f81c023ac0"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "gl_generator"
+version = "0.14.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1a95dfc23a2b4a9a2f5ab41d194f8bfda3cabec42af4e39f08c339eb2a0c124d"
+dependencies = [
+ "khronos_api",
+ "log",
+ "xml-rs",
+]
+
+[[package]]
+name = "glam"
+version = "0.25.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "151665d9be52f9bb40fc7966565d39666f2d1e69233571b71b87791c7e0528b3"
+
+[[package]]
+name = "glib"
+version = "0.19.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ab9e86540b5d8402e905ad4ce7d6aa544092131ab564f3102175af176b90a053"
+dependencies = [
+ "bitflags 2.4.2",
+ "futures-channel",
+ "futures-core",
+ "futures-executor",
+ "futures-task",
+ "futures-util",
+ "gio-sys",
+ "glib-macros",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "memchr",
+ "smallvec",
+ "thiserror",
+]
+
+[[package]]
+name = "glib-macros"
+version = "0.19.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0f5897ca27a83e4cdc7b4666850bade0a2e73e17689aabafcc9acddad9d823b8"
+dependencies = [
+ "heck",
+ "proc-macro-crate 3.1.0",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "glib-sys"
+version = "0.19.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "630f097773d7c7a0bb3258df4e8157b47dc98bbfa0e60ad9ab56174813feced4"
+dependencies = [
+ "libc",
+ "system-deps",
+]
+
+[[package]]
+name = "glob"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d2fabcfbdc87f4758337ca535fb41a6d701b65693ce38287d856d1674551ec9b"
+
+[[package]]
+name = "gobject-sys"
+version = "0.19.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c85e2b1080b9418dd0c58b498da3a5c826030343e0ef07bde6a955d28de54979"
+dependencies = [
+ "glib-sys",
+ "libc",
+ "system-deps",
+]
+
+[[package]]
+name = "graphene-rs"
+version = "0.19.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "99e4d388e96c5f29e2b2f67045d229ddf826d0a8d6d282f94ed3b34452222c91"
+dependencies = [
+ "glib",
+ "graphene-sys",
+ "libc",
+]
+
+[[package]]
+name = "graphene-sys"
+version = "0.19.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "236ed66cc9b18d8adf233716f75de803d0bf6fc806f60d14d948974a12e240d0"
+dependencies = [
+ "glib-sys",
+ "libc",
+ "pkg-config",
+ "system-deps",
+]
+
+[[package]]
+name = "gsk4"
+version = "0.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c65036fc8f99579e8cb37b12487969b707ab23ec8ab953682ff347cbd15d396e"
+dependencies = [
+ "cairo-rs",
+ "gdk4",
+ "glib",
+ "graphene-rs",
+ "gsk4-sys",
+ "libc",
+ "pango",
+]
+
+[[package]]
+name = "gsk4-sys"
+version = "0.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bd24c814379f9c3199dc53e52253ee8d0f657eae389ab282c330505289d24738"
+dependencies = [
+ "cairo-sys-rs",
+ "gdk4-sys",
+ "glib-sys",
+ "gobject-sys",
+ "graphene-sys",
+ "libc",
+ "pango-sys",
+ "system-deps",
+]
+
+[[package]]
+name = "gtk4"
+version = "0.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "aa82753b8c26277e4af1446c70e35b19aad4fb794a7b143859e7eeb9a4025d83"
+dependencies = [
+ "cairo-rs",
+ "field-offset",
+ "futures-channel",
+ "gdk-pixbuf",
+ "gdk4",
+ "gio",
+ "glib",
+ "graphene-rs",
+ "gsk4",
+ "gtk4-macros",
+ "gtk4-sys",
+ "libc",
+ "pango",
+]
+
+[[package]]
+name = "gtk4-macros"
+version = "0.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "40300bf071d2fcd4c94eacc09e84ec6fe73129d2ceb635cf7e55b026b5443567"
+dependencies = [
+ "anyhow",
+ "proc-macro-crate 3.1.0",
+ "proc-macro-error",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "gtk4-sys"
+version = "0.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0db1b104138f087ccdc81d2c332de5dd049b89de3d384437cc1093b17cd2da18"
+dependencies = [
+ "cairo-sys-rs",
+ "gdk-pixbuf-sys",
+ "gdk4-sys",
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "graphene-sys",
+ "gsk4-sys",
+ "libc",
+ "pango-sys",
+ "system-deps",
+]
+
+[[package]]
+name = "hashbrown"
+version = "0.14.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "290f1a1d9242c78d09ce40a5e87e7554ee637af1351968159f4952f028f75604"
+dependencies = [
+ "ahash",
+ "allocator-api2",
+]
+
+[[package]]
+name = "heck"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "95505c38b4572b2d910cecb0281560f54b440a19336cbbcb27bf6ce6adc6f5a8"
+dependencies = [
+ "unicode-segmentation",
+]
+
+[[package]]
+name = "hermit-abi"
+version = "0.3.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d231dfb89cfffdbc30e7fc41579ed6066ad03abda9e567ccafae602b97ec5024"
+
+[[package]]
+name = "hex"
+version = "0.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f24254aa9a54b5c858eaee2f5bccdb46aaf0e486a595ed5fd8f86ba55232a70"
+
+[[package]]
+name = "icrate"
+version = "0.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "99d3aaff8a54577104bafdf686ff18565c3b6903ca5782a2026ef06e2c7aa319"
+dependencies = [
+ "block2",
+ "dispatch",
+ "objc2",
+]
+
+[[package]]
+name = "idna"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "634d9b1461af396cad843f47fdba5597a4f9e6ddd4bfb6ff5d85028c25cb12f6"
+dependencies = [
+ "unicode-bidi",
+ "unicode-normalization",
+]
+
+[[package]]
+name = "indexmap"
+version = "2.2.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7b0b929d511467233429c45a44ac1dcaa21ba0f5ba11e4879e6ed28ddb4f9df4"
+dependencies = [
+ "equivalent",
+ "hashbrown",
+]
+
+[[package]]
+name = "input"
+version = "0.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7911ce3db9c10c5ab4a35c49af778a5f9a827bd0f7371d9be56175d8dd2740d0"
+dependencies = [
+ "bitflags 2.4.2",
+ "input-sys",
+ "io-lifetimes 1.0.11",
+ "libc",
+ "log",
+ "udev",
+]
+
+[[package]]
+name = "input-sys"
+version = "1.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bd4f5b4d1c00331c5245163aacfe5f20be75b564c7112d45893d4ae038119eb0"
+
+[[package]]
+name = "instant"
+version = "0.1.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7a5bbe824c507c5da5956355e86a746d82e0e1464f65d862cc5e71da70e94b2c"
+dependencies = [
+ "cfg-if",
+]
+
+[[package]]
+name = "io-lifetimes"
+version = "1.0.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eae7b9aee968036d54dce06cebaefd919e4472e753296daccd6d344e3e2df0c2"
+dependencies = [
+ "hermit-abi",
+ "libc",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "io-lifetimes"
+version = "2.0.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5a611371471e98973dbcab4e0ec66c31a10bc356eeb4d54a0e05eac8158fe38c"
+
+[[package]]
+name = "itertools"
+version = "0.12.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ba291022dbbd398a455acf126c1e341954079855bc60dfdda641363bd6922569"
+dependencies = [
+ "either",
+]
+
+[[package]]
+name = "itoa"
+version = "1.0.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b1a46d1a171d865aa5f83f92695765caa047a9b4cbae2cbf37dbd613a793fd4c"
+
+[[package]]
+name = "jni"
+version = "0.21.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1a87aa2bb7d2af34197c04845522473242e1aa17c12f4935d5856491a7fb8c97"
+dependencies = [
+ "cesu8",
+ "cfg-if",
+ "combine",
+ "jni-sys",
+ "log",
+ "thiserror",
+ "walkdir",
+ "windows-sys 0.45.0",
+]
+
+[[package]]
+name = "jni-sys"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8eaf4bc02d17cbdd7ff4c7438cafcdf7fb9a4613313ad11b4f8fefe7d3fa0130"
+
+[[package]]
+name = "jobserver"
+version = "0.1.28"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ab46a6e9526ddef3ae7f787c06f0f2600639ba80ea3eade3d8e670a2230f51d6"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "js-sys"
+version = "0.3.69"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "29c15563dc2726973df627357ce0c9ddddbea194836909d655df6a75d2cf296d"
+dependencies = [
+ "wasm-bindgen",
+]
+
+[[package]]
+name = "keyframe"
+version = "1.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "60708bf7981518d09095d6f5673ce5cf6a64f1e0d9708b554f670e6d9d2bd9a9"
+dependencies = [
+ "num-traits",
+]
+
+[[package]]
+name = "khronos_api"
+version = "3.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e2db585e1d738fc771bf08a151420d3ed193d9d895a36df7f6f8a9456b911ddc"
+
+[[package]]
+name = "knuffel"
+version = "3.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "04bee6ddc6071011314b1ce4f7705fef6c009401dba4fd22cb0009db6a177413"
+dependencies = [
+ "base64",
+ "chumsky",
+ "knuffel-derive",
+ "miette",
+ "thiserror",
+ "unicode-width",
+]
+
+[[package]]
+name = "knuffel-derive"
+version = "3.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "91977f56c49cfb961e3d840e2e7c6e4a56bde7283898cf606861f1421348283d"
+dependencies = [
+ "heck",
+ "proc-macro-error",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "lazy_static"
+version = "1.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e2abad23fbc42b3700f2f279844dc832adb2b2eb069b2df918f455c4e18cc646"
+
+[[package]]
+name = "lazycell"
+version = "1.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "830d08ce1d1d941e6b30645f1a0eb5643013d835ce3779a5fc208261dbe10f55"
+
+[[package]]
+name = "libadwaita"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "91b4990248b9e1ec5e72094a2ccaea70ec3809f88f6fd52192f2af306b87c5d9"
+dependencies = [
+ "gdk-pixbuf",
+ "gdk4",
+ "gio",
+ "glib",
+ "gtk4",
+ "libadwaita-sys",
+ "libc",
+ "pango",
+]
+
+[[package]]
+name = "libadwaita-sys"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "23a748e4e92be1265cd9e93d569c0b5dfc7814107985aa6743d670ab281ea1a8"
+dependencies = [
+ "gdk4-sys",
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "gtk4-sys",
+ "libc",
+ "pango-sys",
+ "system-deps",
+]
+
+[[package]]
+name = "libc"
+version = "0.2.153"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9c198f91728a82281a64e1f4f9eeb25d82cb32a5de251c6bd1b5154d63a8e7bd"
+
+[[package]]
+name = "libloading"
+version = "0.8.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0c2a198fb6b0eada2a8df47933734e6d35d350665a33a3593d7164fa52c75c19"
+dependencies = [
+ "cfg-if",
+ "windows-targets 0.52.4",
+]
+
+[[package]]
+name = "libm"
+version = "0.2.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4ec2a862134d2a7d32d7983ddcdd1c4923530833c9f2ea1a44fc5fa473989058"
+
+[[package]]
+name = "libredox"
+version = "0.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "85c833ca1e66078851dba29046874e38f08b2c883700aa29a03ddd3b23814ee8"
+dependencies = [
+ "bitflags 2.4.2",
+ "libc",
+ "redox_syscall 0.4.1",
+]
+
+[[package]]
+name = "libredox"
+version = "0.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3af92c55d7d839293953fcd0fda5ecfe93297cfde6ffbdec13b41d99c0ba6607"
+dependencies = [
+ "bitflags 2.4.2",
+ "libc",
+ "redox_syscall 0.4.1",
+]
+
+[[package]]
+name = "libseat"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "54a0adf8d8607a73a5b74cbe4132f57cb349e4bf860103cd089461bbcbc9907e"
+dependencies = [
+ "errno",
+ "libseat-sys",
+ "log",
+]
+
+[[package]]
+name = "libseat-sys"
+version = "0.1.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3671cb5e03871f1d6bf0b3b5daa9275549e348fa6359e0f9adb910ca163d4c34"
+dependencies = [
+ "pkg-config",
+]
+
+[[package]]
+name = "libspa"
+version = "0.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "65f3a4b81b2a2d8c7f300643676202debd1b7c929dbf5c9bb89402ea11d19810"
+dependencies = [
+ "bitflags 2.4.2",
+ "cc",
+ "convert_case",
+ "cookie-factory",
+ "libc",
+ "libspa-sys",
+ "nix 0.27.1",
+ "nom",
+ "system-deps",
+]
+
+[[package]]
+name = "libspa-sys"
+version = "0.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bf0d9716420364790e85cbb9d3ac2c950bde16a7dd36f3209b7dfdfc4a24d01f"
+dependencies = [
+ "bindgen",
+ "cc",
+ "system-deps",
+]
+
+[[package]]
+name = "libudev-sys"
+version = "0.1.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3c8469b4a23b962c1396b9b451dda50ef5b283e8dd309d69033475fa9b334324"
+dependencies = [
+ "libc",
+ "pkg-config",
+]
+
+[[package]]
+name = "linux-raw-sys"
+version = "0.3.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ef53942eb7bf7ff43a617b3e2c1c4a5ecf5944a7c1bc12d7ee39bbb15e5c1519"
+
+[[package]]
+name = "linux-raw-sys"
+version = "0.4.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "01cda141df6706de531b6c46c3a33ecca755538219bd484262fa09410c13539c"
+
+[[package]]
+name = "linux-raw-sys"
+version = "0.6.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f0b5399f6804fbab912acbd8878ed3532d506b7c951b8f9f164ef90fef39e3f4"
+
+[[package]]
+name = "log"
+version = "0.4.21"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "90ed8c1e510134f979dbc4f070f87d4313098b704861a105fe34231c70a3901c"
+
+[[package]]
+name = "loom"
+version = "0.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7e045d70ddfbc984eacfa964ded019534e8f6cbf36f6410aee0ed5cefa5a9175"
+dependencies = [
+ "cfg-if",
+ "generator",
+ "scoped-tls",
+ "tracing",
+ "tracing-subscriber",
+]
+
+[[package]]
+name = "mac-notification-sys"
+version = "0.6.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "51fca4d74ff9dbaac16a01b924bc3693fa2bba0862c2c633abc73f9a8ea21f64"
+dependencies = [
+ "cc",
+ "dirs-next",
+ "objc-foundation",
+ "objc_id",
+ "time",
+]
+
+[[package]]
+name = "malloc_buf"
+version = "0.0.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "62bb907fe88d54d8d9ce32a3cceab4218ed2f6b7d35617cafe9adf84e43919cb"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "matchers"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8263075bb86c5a1b1427b5ae862e8889656f126e9f77c484496e8b47cf5c5558"
+dependencies = [
+ "regex-automata 0.1.10",
+]
+
+[[package]]
+name = "memchr"
+version = "2.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "523dc4f511e55ab87b694dc30d0f820d60906ef06413f93d4d7a1385599cc149"
+
+[[package]]
+name = "memmap2"
+version = "0.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "43a5a03cefb0d953ec0be133036f14e109412fa594edc2f77227249db66cc3ed"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "memmap2"
+version = "0.9.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fe751422e4a8caa417e13c3ea66452215d7d63e19e604f4980461212f3ae1322"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "memoffset"
+version = "0.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5de893c32cde5f383baa4c04c5d6dbdd735cfd4a794b0debdb2bb1b421da5ff4"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "memoffset"
+version = "0.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5a634b1c61a95585bd15607c6ab0c4e5b226e695ff2800ba0cdccddf208c406c"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "miette"
+version = "5.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "59bb584eaeeab6bd0226ccf3509a69d7936d148cf3d036ad350abe35e8c6856e"
+dependencies = [
+ "miette-derive",
+ "once_cell",
+ "thiserror",
+ "unicode-width",
+]
+
+[[package]]
+name = "miette-derive"
+version = "5.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "49e7bc1560b95a3c4a25d03de42fe76ca718ab92d1a22a55b9b4cf67b3ae635c"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "minimal-lexical"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "68354c5c6bd36d73ff3feceb05efa59b6acb7626617f4962be322a825e61f79a"
+
+[[package]]
+name = "miniz_oxide"
+version = "0.7.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9d811f3e15f28568be3407c8e7fdb6514c1cda3cb30683f15b6a1a1dc4ea14a7"
+dependencies = [
+ "adler",
+ "simd-adler32",
+]
+
+[[package]]
+name = "ndk"
+version = "0.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2076a31b7010b17a38c01907c45b945e8f11495ee4dd588309718901b1f7a5b7"
+dependencies = [
+ "bitflags 2.4.2",
+ "jni-sys",
+ "log",
+ "ndk-sys",
+ "num_enum",
+ "raw-window-handle",
+ "thiserror",
+]
+
+[[package]]
+name = "ndk-context"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "27b02d87554356db9e9a873add8782d4ea6e3e58ea071a9adb9a2e8ddb884a8b"
+
+[[package]]
+name = "ndk-sys"
+version = "0.5.0+25.2.9519653"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8c196769dd60fd4f363e11d948139556a344e79d451aeb2fa2fd040738ef7691"
+dependencies = [
+ "jni-sys",
+]
+
+[[package]]
+name = "niri"
+version = "0.1.3"
+dependencies = [
+ "anyhow",
+ "arrayvec",
+ "async-channel",
+ "async-io 1.13.0",
+ "bitflags 2.4.2",
+ "calloop 0.13.0",
+ "clap",
+ "directories",
+ "futures-util",
+ "git-version",
+ "glam",
+ "input",
+ "keyframe",
+ "libc",
+ "log",
+ "niri-config",
+ "niri-ipc",
+ "notify-rust",
+ "pangocairo",
+ "pipewire",
+ "png",
+ "portable-atomic",
+ "profiling",
+ "proptest",
+ "proptest-derive",
+ "sd-notify",
+ "serde",
+ "serde_json",
+ "smithay",
+ "smithay-drm-extras",
+ "tracing",
+ "tracing-subscriber",
+ "tracy-client",
+ "url",
+ "xcursor",
+ "xshell",
+ "zbus",
+]
+
+[[package]]
+name = "niri-config"
+version = "0.1.3"
+dependencies = [
+ "bitflags 2.4.2",
+ "csscolorparser",
+ "knuffel",
+ "miette",
+ "niri-ipc",
+ "regex",
+ "smithay",
+ "tracing",
+ "tracy-client",
+]
+
+[[package]]
+name = "niri-ipc"
+version = "0.1.3"
+dependencies = [
+ "clap",
+ "serde",
+]
+
+[[package]]
+name = "niri-visual-tests"
+version = "0.1.3"
+dependencies = [
+ "anyhow",
+ "gtk4",
+ "libadwaita",
+ "niri",
+ "niri-config",
+ "smithay",
+ "tracing",
+ "tracing-subscriber",
+]
+
+[[package]]
+name = "nix"
+version = "0.26.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "598beaf3cc6fdd9a5dfb1630c2800c7acd31df7aaf0f565796fba2b53ca1af1b"
+dependencies = [
+ "bitflags 1.3.2",
+ "cfg-if",
+ "libc",
+ "memoffset 0.7.1",
+]
+
+[[package]]
+name = "nix"
+version = "0.27.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2eb04e9c688eff1c89d72b407f168cf79bb9e867a9d3323ed6c01519eb9cc053"
+dependencies = [
+ "bitflags 2.4.2",
+ "cfg-if",
+ "libc",
+]
+
+[[package]]
+name = "nom"
+version = "7.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d273983c5a657a70a3e8f2a01329822f3b8c8172b73826411a55751e404a0a4a"
+dependencies = [
+ "memchr",
+ "minimal-lexical",
+]
+
+[[package]]
+name = "notify-rust"
+version = "4.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "827c5edfa80235ded4ab3fe8e9dc619b4f866ef16fe9b1c6b8a7f8692c0f2226"
+dependencies = [
+ "log",
+ "mac-notification-sys",
+ "serde",
+ "tauri-winrt-notification",
+ "zbus",
+]
+
+[[package]]
+name = "nu-ansi-term"
+version = "0.46.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "77a8165726e8236064dbb45459242600304b42a5ea24ee2948e18e023bf7ba84"
+dependencies = [
+ "overload",
+ "winapi",
+]
+
+[[package]]
+name = "num-conv"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "51d515d32fb182ee37cda2ccdcb92950d6a3c2893aa280e540671c2cd0f3b1d9"
+
+[[package]]
+name = "num-traits"
+version = "0.2.18"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "da0df0e5185db44f69b44f26786fe401b6c293d1907744beaa7fa62b2e5a517a"
+dependencies = [
+ "autocfg",
+ "libm",
+]
+
+[[package]]
+name = "num_enum"
+version = "0.7.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "02339744ee7253741199f897151b38e72257d13802d4ee837285cc2990a90845"
+dependencies = [
+ "num_enum_derive",
+]
+
+[[package]]
+name = "num_enum_derive"
+version = "0.7.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "681030a937600a36906c185595136d26abfebb4aa9c65701cefcaf8578bb982b"
+dependencies = [
+ "proc-macro-crate 3.1.0",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "objc"
+version = "0.2.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "915b1b472bc21c53464d6c8461c9d3af805ba1ef837e1cac254428f4a77177b1"
+dependencies = [
+ "malloc_buf",
+]
+
+[[package]]
+name = "objc-foundation"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1add1b659e36c9607c7aab864a76c7a4c2760cd0cd2e120f3fb8b952c7e22bf9"
+dependencies = [
+ "block",
+ "objc",
+ "objc_id",
+]
+
+[[package]]
+name = "objc-sys"
+version = "0.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c7c71324e4180d0899963fc83d9d241ac39e699609fc1025a850aadac8257459"
+
+[[package]]
+name = "objc2"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "559c5a40fdd30eb5e344fbceacf7595a81e242529fb4e21cf5f43fb4f11ff98d"
+dependencies = [
+ "objc-sys",
+ "objc2-encode",
+]
+
+[[package]]
+name = "objc2-encode"
+version = "3.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d079845b37af429bfe5dfa76e6d087d788031045b25cfc6fd898486fd9847666"
+
+[[package]]
+name = "objc_id"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c92d4ddb4bd7b50d730c215ff871754d0da6b2178849f8a2a2ab69712d0c073b"
+dependencies = [
+ "objc",
+]
+
+[[package]]
+name = "once_cell"
+version = "1.19.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3fdb12b2476b595f9358c5161aa467c2438859caa136dec86c26fdd2efe17b92"
+
+[[package]]
+name = "option-ext"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "04744f49eae99ab78e0d5c0b603ab218f515ea8cfe5a456d7629ad883a3b6e7d"
+
+[[package]]
+name = "orbclient"
+version = "0.3.47"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "52f0d54bde9774d3a51dcf281a5def240c71996bc6ca05d2c847ec8b2b216166"
+dependencies = [
+ "libredox 0.0.2",
+]
+
+[[package]]
+name = "ordered-stream"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9aa2b01e1d916879f73a53d01d1d6cee68adbb31d6d9177a8cfce093cced1d50"
+dependencies = [
+ "futures-core",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "overload"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b15813163c1d831bf4a13c3610c05c0d03b39feb07f7e09fa234dac9b15aaf39"
+
+[[package]]
+name = "pango"
+version = "0.19.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7809e8af4df8d024a066106b72ca6bc7253a484ae3867041a96103ef8a13188d"
+dependencies = [
+ "gio",
+ "glib",
+ "libc",
+ "pango-sys",
+]
+
+[[package]]
+name = "pango-sys"
+version = "0.19.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f52ef6a881c19fbfe3b1484df5cad411acaaba29dbec843941c3110d19f340ea"
+dependencies = [
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps",
+]
+
+[[package]]
+name = "pangocairo"
+version = "0.19.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6620c77967c62c7a84c6ca15ab855e8eecb248beb8ee43bc0eeaadd39123f687"
+dependencies = [
+ "cairo-rs",
+ "glib",
+ "libc",
+ "pango",
+ "pangocairo-sys",
+]
+
+[[package]]
+name = "pangocairo-sys"
+version = "0.19.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "01bd0597ae45983f9e8b7f73afc42238426cd3fbb44a9cf14fd881a4ae08f1e4"
+dependencies = [
+ "cairo-sys-rs",
+ "glib-sys",
+ "libc",
+ "pango-sys",
+ "system-deps",
+]
+
+[[package]]
+name = "parking"
+version = "2.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bb813b8af86854136c6922af0598d719255ecb2179515e6e7730d468f05c9cae"
+
+[[package]]
+name = "paste"
+version = "1.0.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "de3145af08024dea9fa9914f381a17b8fc6034dfb00f3a84013f7ff43f29ed4c"
+
+[[package]]
+name = "percent-encoding"
+version = "2.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e3148f5046208a5d56bcfc03053e3ca6334e51da8dfb19b6cdc8b306fae3283e"
+
+[[package]]
+name = "phf"
+version = "0.11.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ade2d8b8f33c7333b51bcf0428d37e217e9f32192ae4772156f65063b8ce03dc"
+dependencies = [
+ "phf_macros",
+ "phf_shared",
+]
+
+[[package]]
+name = "phf_generator"
+version = "0.11.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "48e4cc64c2ad9ebe670cb8fd69dd50ae301650392e81c05f9bfcb2d5bdbc24b0"
+dependencies = [
+ "phf_shared",
+ "rand",
+]
+
+[[package]]
+name = "phf_macros"
+version = "0.11.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3444646e286606587e49f3bcf1679b8cef1dc2c5ecc29ddacaffc305180d464b"
+dependencies = [
+ "phf_generator",
+ "phf_shared",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "phf_shared"
+version = "0.11.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "90fcb95eef784c2ac79119d1dd819e162b5da872ce6f3c3abe1e8ca1c082f72b"
+dependencies = [
+ "siphasher",
+]
+
+[[package]]
+name = "pin-project-lite"
+version = "0.2.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8afb450f006bf6385ca15ef45d71d2288452bc3683ce2e2cacc0d18e4be60b58"
+
+[[package]]
+name = "pin-utils"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8b870d8c151b6f2fb93e84a13146138f05d02ed11c7e7c54f8826aaaf7c9f184"
+
+[[package]]
+name = "piper"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "668d31b1c4eba19242f2088b2bf3316b82ca31082a8335764db4e083db7485d4"
+dependencies = [
+ "atomic-waker",
+ "fastrand 2.0.1",
+ "futures-io",
+]
+
+[[package]]
+name = "pipewire"
+version = "0.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "08e645ba5c45109106d56610b3ee60eb13a6f2beb8b74f8dc8186cf261788dda"
+dependencies = [
+ "anyhow",
+ "bitflags 2.4.2",
+ "libc",
+ "libspa",
+ "libspa-sys",
+ "nix 0.27.1",
+ "once_cell",
+ "pipewire-sys",
+ "thiserror",
+]
+
+[[package]]
+name = "pipewire-sys"
+version = "0.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "849e188f90b1dda88fe2bfe1ad31fe5f158af2c98f80fb5d13726c44f3f01112"
+dependencies = [
+ "bindgen",
+ "libspa-sys",
+ "system-deps",
+]
+
+[[package]]
+name = "pixman"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d24a24da0bec14f4e43a495c1837a3c358b87532e7fe66bd75c348b89f0451b6"
+dependencies = [
+ "drm-fourcc",
+ "paste",
+ "pixman-sys",
+ "thiserror",
+]
+
+[[package]]
+name = "pixman-sys"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a1a0483e89e81d7915defe83c51f23f6800594d64f6f4a21253ce87fd8444ada"
+
+[[package]]
+name = "pkg-config"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d231b230927b5e4ad203db57bbcbee2802f6bce620b1e4a9024a07d94e2907ec"
+
+[[package]]
+name = "png"
+version = "0.17.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "06e4b0d3d1312775e782c86c91a111aa1f910cbb65e1337f9975b5f9a554b5e1"
+dependencies = [
+ "bitflags 1.3.2",
+ "crc32fast",
+ "fdeflate",
+ "flate2",
+ "miniz_oxide",
+]
+
+[[package]]
+name = "polling"
+version = "2.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4b2d323e8ca7996b3e23126511a523f7e62924d93ecd5ae73b333815b0eb3dce"
+dependencies = [
+ "autocfg",
+ "bitflags 1.3.2",
+ "cfg-if",
+ "concurrent-queue",
+ "libc",
+ "log",
+ "pin-project-lite",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "polling"
+version = "3.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "24f040dee2588b4963afb4e420540439d126f73fdacf4a9c486a96d840bac3c9"
+dependencies = [
+ "cfg-if",
+ "concurrent-queue",
+ "pin-project-lite",
+ "rustix 0.38.31",
+ "tracing",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "portable-atomic"
+version = "1.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7170ef9988bc169ba16dd36a7fa041e5c4cbeb6a35b76d4c03daded371eae7c0"
+
+[[package]]
+name = "powerfmt"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "439ee305def115ba05938db6eb1644ff94165c5ab5e9420d1c1bcedbba909391"
+
+[[package]]
+name = "ppv-lite86"
+version = "0.2.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5b40af805b3121feab8a3c29f04d8ad262fa8e0561883e7653e024ae4479e6de"
+
+[[package]]
+name = "proc-macro-crate"
+version = "1.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f4c021e1093a56626774e81216a4ce732a735e5bad4868a03f3ed65ca0c3919"
+dependencies = [
+ "once_cell",
+ "toml_edit 0.19.15",
+]
+
+[[package]]
+name = "proc-macro-crate"
+version = "3.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6d37c51ca738a55da99dc0c4a34860fd675453b8b36209178c2249bb13651284"
+dependencies = [
+ "toml_edit 0.21.1",
+]
+
+[[package]]
+name = "proc-macro-error"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "da25490ff9892aab3fcf7c36f08cfb902dd3e71ca0f9f9517bea02a73a5ce38c"
+dependencies = [
+ "proc-macro-error-attr",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+ "version_check",
+]
+
+[[package]]
+name = "proc-macro-error-attr"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a1be40180e52ecc98ad80b184934baf3d0d29f979574e439af5a55274b35f869"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "version_check",
+]
+
+[[package]]
+name = "proc-macro2"
+version = "1.0.78"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e2422ad645d89c99f8f3e6b88a9fdeca7fabeac836b1002371c4367c8f984aae"
+dependencies = [
+ "unicode-ident",
+]
+
+[[package]]
+name = "profiling"
+version = "1.0.15"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "43d84d1d7a6ac92673717f9f6d1518374ef257669c24ebc5ac25d5033828be58"
+dependencies = [
+ "profiling-procmacros",
+ "tracy-client",
+]
+
+[[package]]
+name = "profiling-procmacros"
+version = "1.0.15"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8021cf59c8ec9c432cfc2526ac6b8aa508ecaf29cd415f271b8406c1b851c3fd"
+dependencies = [
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "proptest"
+version = "1.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "31b476131c3c86cb68032fdc5cb6d5a1045e3e42d96b69fa599fd77701e1f5bf"
+dependencies = [
+ "bit-set",
+ "bit-vec",
+ "bitflags 2.4.2",
+ "lazy_static",
+ "num-traits",
+ "rand",
+ "rand_chacha",
+ "rand_xorshift",
+ "regex-syntax 0.8.2",
+ "rusty-fork",
+ "tempfile",
+ "unarray",
+]
+
+[[package]]
+name = "proptest-derive"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9cf16337405ca084e9c78985114633b6827711d22b9e6ef6c6c0d665eb3f0b6e"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "quick-error"
+version = "1.2.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a1d01941d82fa2ab50be1e79e6714289dd7cde78eba4c074bc5a4374f650dfe0"
+
+[[package]]
+name = "quick-xml"
+version = "0.30.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eff6510e86862b57b210fd8cbe8ed3f0d7d600b9c2863cd4549a2e033c66e956"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "quick-xml"
+version = "0.31.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1004a344b30a54e2ee58d66a71b32d2db2feb0a31f9a2d302bf0536f15de2a33"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "quote"
+version = "1.0.35"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "291ec9ab5efd934aaf503a6466c5d5251535d108ee747472c3977cc5acc868ef"
+dependencies = [
+ "proc-macro2",
+]
+
+[[package]]
+name = "rand"
+version = "0.8.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "34af8d1a0e25924bc5b7c43c079c942339d8f0a8b57c39049bef581b46327404"
+dependencies = [
+ "libc",
+ "rand_chacha",
+ "rand_core",
+]
+
+[[package]]
+name = "rand_chacha"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e6c10a63a0fa32252be49d21e7709d4d4baf8d231c2dbce1eaa8141b9b127d88"
+dependencies = [
+ "ppv-lite86",
+ "rand_core",
+]
+
+[[package]]
+name = "rand_core"
+version = "0.6.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ec0be4795e2f6a28069bec0b5ff3e2ac9bafc99e6a9a7dc3547996c5c816922c"
+dependencies = [
+ "getrandom",
+]
+
+[[package]]
+name = "rand_xorshift"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d25bf25ec5ae4a3f1b92f929810509a2f53d7dca2f50b794ff57e3face536c8f"
+dependencies = [
+ "rand_core",
+]
+
+[[package]]
+name = "raw-window-handle"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "42a9830a0e1b9fb145ebb365b8bc4ccd75f290f98c0247deafbbe2c75cefb544"
+
+[[package]]
+name = "redox_syscall"
+version = "0.3.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "567664f262709473930a4bf9e51bf2ebf3348f2e748ccc50dea20646858f8f29"
+dependencies = [
+ "bitflags 1.3.2",
+]
+
+[[package]]
+name = "redox_syscall"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4722d768eff46b75989dd134e5c353f0d6296e5aaa3132e776cbdb56be7731aa"
+dependencies = [
+ "bitflags 1.3.2",
+]
+
+[[package]]
+name = "redox_users"
+version = "0.4.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a18479200779601e498ada4e8c1e1f50e3ee19deb0259c25825a98b5603b2cb4"
+dependencies = [
+ "getrandom",
+ "libredox 0.0.1",
+ "thiserror",
+]
+
+[[package]]
+name = "regex"
+version = "1.10.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b62dbe01f0b06f9d8dc7d49e05a0785f153b00b2c227856282f671e0318c9b15"
+dependencies = [
+ "aho-corasick",
+ "memchr",
+ "regex-automata 0.4.6",
+ "regex-syntax 0.8.2",
+]
+
+[[package]]
+name = "regex-automata"
+version = "0.1.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6c230d73fb8d8c1b9c0b3135c5142a8acee3a0558fb8db5cf1cb65f8d7862132"
+dependencies = [
+ "regex-syntax 0.6.29",
+]
+
+[[package]]
+name = "regex-automata"
+version = "0.4.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "86b83b8b9847f9bf95ef68afb0b8e6cdb80f498442f5179a29fad448fcc1eaea"
+dependencies = [
+ "aho-corasick",
+ "memchr",
+ "regex-syntax 0.8.2",
+]
+
+[[package]]
+name = "regex-syntax"
+version = "0.6.29"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f162c6dd7b008981e4d40210aca20b4bd0f9b60ca9271061b07f78537722f2e1"
+
+[[package]]
+name = "regex-syntax"
+version = "0.8.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c08c74e62047bb2de4ff487b251e4a92e24f48745648451635cec7d591162d9f"
+
+[[package]]
+name = "rustc-hash"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "08d43f7aa6b08d49f382cde6a7982047c3426db949b1424bc4b7ec9ae12c6ce2"
+
+[[package]]
+name = "rustc_version"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bfa0f585226d2e68097d4f95d113b15b83a82e819ab25717ec0590d9584ef366"
+dependencies = [
+ "semver",
+]
+
+[[package]]
+name = "rustix"
+version = "0.37.27"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fea8ca367a3a01fe35e6943c400addf443c0f57670e6ec51196f71a4b8762dd2"
+dependencies = [
+ "bitflags 1.3.2",
+ "errno",
+ "io-lifetimes 1.0.11",
+ "libc",
+ "linux-raw-sys 0.3.8",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "rustix"
+version = "0.38.31"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6ea3e1a662af26cd7a3ba09c0297a31af215563ecf42817c98df621387f4e949"
+dependencies = [
+ "bitflags 2.4.2",
+ "errno",
+ "libc",
+ "linux-raw-sys 0.4.13",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "rustversion"
+version = "1.0.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7ffc183a10b4478d04cbbbfc96d0873219d962dd5accaff2ffbd4ceb7df837f4"
+
+[[package]]
+name = "rusty-fork"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cb3dcc6e454c328bb824492db107ab7c0ae8fcffe4ad210136ef014458c1bc4f"
+dependencies = [
+ "fnv",
+ "quick-error",
+ "tempfile",
+ "wait-timeout",
+]
+
+[[package]]
+name = "ryu"
+version = "1.0.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e86697c916019a8588c99b5fac3cead74ec0b4b819707a682fd4d23fa0ce1ba1"
+
+[[package]]
+name = "same-file"
+version = "1.0.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "93fc1dc3aaa9bfed95e02e6eadabb4baf7e3078b0bd1b4d7b6b0b68378900502"
+dependencies = [
+ "winapi-util",
+]
+
+[[package]]
+name = "scan_fmt"
+version = "0.2.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0b53b0a5db882a8e2fdaae0a43f7b39e7e9082389e978398bdf223a55b581248"
+
+[[package]]
+name = "scoped-tls"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e1cf6437eb19a8f4a6cc0f7dca544973b0b78843adbfeb3683d1a94a0024a294"
+
+[[package]]
+name = "sd-notify"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "621e3680f3e07db4c9c2c3fb07c6223ab2fab2e54bd3c04c3ae037990f428c32"
+
+[[package]]
+name = "semver"
+version = "1.0.22"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "92d43fe69e652f3df9bdc2b85b2854a0825b86e4fb76bc44d945137d053639ca"
+
+[[package]]
+name = "serde"
+version = "1.0.197"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3fb1c873e1b9b056a4dc4c0c198b24c3ffa059243875552b2bd0933b1aee4ce2"
+dependencies = [
+ "serde_derive",
+]
+
+[[package]]
+name = "serde_derive"
+version = "1.0.197"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7eb0b34b42edc17f6b7cac84a52a1c5f0e1bb2227e997ca9011ea3dd34e8610b"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "serde_json"
+version = "1.0.114"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c5f09b1bd632ef549eaa9f60a1f8de742bdbc698e6cee2095fc84dde5f549ae0"
+dependencies = [
+ "itoa",
+ "ryu",
+ "serde",
+]
+
+[[package]]
+name = "serde_repr"
+version = "0.1.18"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0b2e6b945e9d3df726b65d6ee24060aff8e3533d431f677a9695db04eff9dfdb"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "serde_spanned"
+version = "0.6.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eb3622f419d1296904700073ea6cc23ad690adbd66f13ea683df73298736f0c1"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "sha1"
+version = "0.10.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e3bf829a2d51ab4a5ddf1352d8470c140cadc8301b2ae1789db023f01cedd6ba"
+dependencies = [
+ "cfg-if",
+ "cpufeatures",
+ "digest",
+]
+
+[[package]]
+name = "sharded-slab"
+version = "0.1.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f40ca3c46823713e0d4209592e8d6e826aa57e928f09752619fc696c499637f6"
+dependencies = [
+ "lazy_static",
+]
+
+[[package]]
+name = "shlex"
+version = "1.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0fda2ff0d084019ba4d7c6f371c95d8fd75ce3524c3cb8fb653a3023f6323e64"
+
+[[package]]
+name = "signal-hook-registry"
+version = "1.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d8229b473baa5980ac72ef434c4415e70c4b5e71b423043adb4ba059f89c99a1"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "simd-adler32"
+version = "0.3.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d66dc143e6b11c1eddc06d5c423cfc97062865baf299914ab64caa38182078fe"
+
+[[package]]
+name = "siphasher"
+version = "0.3.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "38b58827f4464d87d377d175e90bf58eb00fd8716ff0a62f80356b5e61555d0d"
+
+[[package]]
+name = "slab"
+version = "0.4.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f92a496fb766b417c996b9c5e57daf2f7ad3b0bebe1ccfca4856390e3d3bb67"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "smallvec"
+version = "1.13.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e6ecd384b10a64542d77071bd64bd7b231f4ed5940fba55e98c3de13824cf3d7"
+
+[[package]]
+name = "smithay"
+version = "0.3.0"
+source = "git+https://github.com/Smithay/smithay.git#8287457195cf6a495331f65f5e0119f931ff7e79"
+dependencies = [
+ "appendlist",
+ "bitflags 2.4.2",
+ "calloop 0.13.0",
+ "cc",
+ "cgmath",
+ "cursor-icon",
+ "downcast-rs",
+ "drm",
+ "drm-ffi",
+ "drm-fourcc",
+ "errno",
+ "gbm",
+ "gl_generator",
+ "indexmap",
+ "input",
+ "lazy_static",
+ "libc",
+ "libloading",
+ "libseat",
+ "once_cell",
+ "pixman",
+ "pkg-config",
+ "profiling",
+ "rand",
+ "rustix 0.38.31",
+ "scan_fmt",
+ "smallvec",
+ "tempfile",
+ "thiserror",
+ "tracing",
+ "udev",
+ "wayland-backend",
+ "wayland-egl",
+ "wayland-protocols",
+ "wayland-protocols-misc",
+ "wayland-protocols-wlr",
+ "wayland-server",
+ "wayland-sys",
+ "winit",
+ "xkbcommon",
+]
+
+[[package]]
+name = "smithay-client-toolkit"
+version = "0.18.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "922fd3eeab3bd820d76537ce8f582b1cf951eceb5475c28500c7457d9d17f53a"
+dependencies = [
+ "bitflags 2.4.2",
+ "calloop 0.12.4",
+ "calloop-wayland-source",
+ "cursor-icon",
+ "libc",
+ "log",
+ "memmap2 0.9.4",
+ "rustix 0.38.31",
+ "thiserror",
+ "wayland-backend",
+ "wayland-client",
+ "wayland-csd-frame",
+ "wayland-cursor",
+ "wayland-protocols",
+ "wayland-protocols-wlr",
+ "wayland-scanner",
+ "xkeysym",
+]
+
+[[package]]
+name = "smithay-drm-extras"
+version = "0.1.0"
+source = "git+https://github.com/Smithay/smithay.git#8287457195cf6a495331f65f5e0119f931ff7e79"
+dependencies = [
+ "drm",
+ "edid-rs",
+]
+
+[[package]]
+name = "smol_str"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e6845563ada680337a52d43bb0b29f396f2d911616f6573012645b9e3d048a49"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "socket2"
+version = "0.4.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9f7916fc008ca5542385b89a3d3ce689953c143e9304a9bf8beec1de48994c0d"
+dependencies = [
+ "libc",
+ "winapi",
+]
+
+[[package]]
+name = "static_assertions"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a2eb9349b6444b326872e140eb1cf5e7c522154d69e7a0ffb0fb81c06b37543f"
+
+[[package]]
+name = "strsim"
+version = "0.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "73473c0e59e6d5812c5dfe2a064a6444949f089e20eec9a2e5506596494e4623"
+
+[[package]]
+name = "syn"
+version = "1.0.109"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "72b64191b275b66ffe2469e8af2c1cfe3bafa67b529ead792a6d0160888b4237"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "unicode-ident",
+]
+
+[[package]]
+name = "syn"
+version = "2.0.52"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b699d15b36d1f02c3e7c69f8ffef53de37aefae075d8488d4ba1a7788d574a07"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "unicode-ident",
+]
+
+[[package]]
+name = "system-deps"
+version = "6.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2a2d580ff6a20c55dfb86be5f9c238f67835d0e81cbdea8bf5680e0897320331"
+dependencies = [
+ "cfg-expr",
+ "heck",
+ "pkg-config",
+ "toml",
+ "version-compare",
+]
+
+[[package]]
+name = "target-lexicon"
+version = "0.12.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e1fc403891a21bcfb7c37834ba66a547a8f402146eba7265b5a6d88059c9ff2f"
+
+[[package]]
+name = "tauri-winrt-notification"
+version = "0.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "006851c9ccefa3c38a7646b8cec804bb429def3da10497bfa977179869c3e8e2"
+dependencies = [
+ "quick-xml 0.30.0",
+ "windows 0.51.1",
+]
+
+[[package]]
+name = "tempfile"
+version = "3.10.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "85b77fafb263dd9d05cbeac119526425676db3784113aa9295c88498cbf8bff1"
+dependencies = [
+ "cfg-if",
+ "fastrand 2.0.1",
+ "rustix 0.38.31",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "thiserror"
+version = "1.0.57"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1e45bcbe8ed29775f228095caf2cd67af7a4ccf756ebff23a306bf3e8b47b24b"
+dependencies = [
+ "thiserror-impl",
+]
+
+[[package]]
+name = "thiserror-impl"
+version = "1.0.57"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a953cb265bef375dae3de6663da4d3804eee9682ea80d8e2542529b73c531c81"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "thread_local"
+version = "1.1.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8b9ef9bad013ada3808854ceac7b46812a6465ba368859a37e2100283d2d719c"
+dependencies = [
+ "cfg-if",
+ "once_cell",
+]
+
+[[package]]
+name = "time"
+version = "0.3.34"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c8248b6521bb14bc45b4067159b9b6ad792e2d6d754d6c41fb50e29fefe38749"
+dependencies = [
+ "deranged",
+ "num-conv",
+ "powerfmt",
+ "serde",
+ "time-core",
+]
+
+[[package]]
+name = "time-core"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ef927ca75afb808a4d64dd374f00a2adf8d0fcff8e7b184af886c3c87ec4a3f3"
+
+[[package]]
+name = "tinyvec"
+version = "1.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "87cc5ceb3875bb20c2890005a4e226a4651264a5c75edb2421b52861a0a0cb50"
+dependencies = [
+ "tinyvec_macros",
+]
+
+[[package]]
+name = "tinyvec_macros"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20"
+
+[[package]]
+name = "toml"
+version = "0.8.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9a9aad4a3066010876e8dcf5a8a06e70a558751117a145c6ce2b82c2e2054290"
+dependencies = [
+ "serde",
+ "serde_spanned",
+ "toml_datetime",
+ "toml_edit 0.22.6",
+]
+
+[[package]]
+name = "toml_datetime"
+version = "0.6.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3550f4e9685620ac18a50ed434eb3aec30db8ba93b0287467bca5826ea25baf1"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "toml_edit"
+version = "0.19.15"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1b5bb770da30e5cbfde35a2d7b9b8a2c4b8ef89548a7a6aeab5c9a576e3e7421"
+dependencies = [
+ "indexmap",
+ "toml_datetime",
+ "winnow 0.5.40",
+]
+
+[[package]]
+name = "toml_edit"
+version = "0.21.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6a8534fd7f78b5405e860340ad6575217ce99f38d4d5c8f2442cb5ecb50090e1"
+dependencies = [
+ "indexmap",
+ "toml_datetime",
+ "winnow 0.5.40",
+]
+
+[[package]]
+name = "toml_edit"
+version = "0.22.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2c1b5fd4128cc8d3e0cb74d4ed9a9cc7c7284becd4df68f5f940e1ad123606f6"
+dependencies = [
+ "indexmap",
+ "serde",
+ "serde_spanned",
+ "toml_datetime",
+ "winnow 0.6.5",
+]
+
+[[package]]
+name = "tracing"
+version = "0.1.40"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c3523ab5a71916ccf420eebdf5521fcef02141234bbc0b8a49f2fdc4544364ef"
+dependencies = [
+ "pin-project-lite",
+ "tracing-attributes",
+ "tracing-core",
+]
+
+[[package]]
+name = "tracing-attributes"
+version = "0.1.27"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "34704c8d6ebcbc939824180af020566b01a7c01f80641264eba0999f6c2b6be7"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "tracing-core"
+version = "0.1.32"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c06d3da6113f116aaee68e4d601191614c9053067f9ab7f6edbcb161237daa54"
+dependencies = [
+ "once_cell",
+ "valuable",
+]
+
+[[package]]
+name = "tracing-log"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ee855f1f400bd0e5c02d150ae5de3840039a3f54b025156404e34c23c03f47c3"
+dependencies = [
+ "log",
+ "once_cell",
+ "tracing-core",
+]
+
+[[package]]
+name = "tracing-subscriber"
+version = "0.3.18"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ad0f048c97dbd9faa9b7df56362b8ebcaa52adb06b498c050d2f4e32f90a7a8b"
+dependencies = [
+ "matchers",
+ "nu-ansi-term",
+ "once_cell",
+ "regex",
+ "sharded-slab",
+ "smallvec",
+ "thread_local",
+ "tracing",
+ "tracing-core",
+ "tracing-log",
+]
+
+[[package]]
+name = "tracy-client"
+version = "0.17.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "59fb931a64ff88984f86d3e9bcd1ae8843aa7fe44dd0f8097527bc172351741d"
+dependencies = [
+ "loom",
+ "once_cell",
+ "tracy-client-sys",
+]
+
+[[package]]
+name = "tracy-client-sys"
+version = "0.22.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9d104d610dfa9dd154535102cc9c6164ae1fa37842bc2d9e83f9ac82b0ae0882"
+dependencies = [
+ "cc",
+]
+
+[[package]]
+name = "typenum"
+version = "1.17.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "42ff0bf0c66b8238c6f3b578df37d0b7848e55df8577b3f74f92a69acceeb825"
+
+[[package]]
+name = "udev"
+version = "0.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "50051c6e22be28ee6f217d50014f3bc29e81c20dc66ff7ca0d5c5226e1dcc5a1"
+dependencies = [
+ "io-lifetimes 1.0.11",
+ "libc",
+ "libudev-sys",
+ "pkg-config",
+]
+
+[[package]]
+name = "uds_windows"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "89daebc3e6fd160ac4aa9fc8b3bf71e1f74fbf92367ae71fb83a037e8bf164b9"
+dependencies = [
+ "memoffset 0.9.0",
+ "tempfile",
+ "winapi",
+]
+
+[[package]]
+name = "unarray"
+version = "0.1.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eaea85b334db583fe3274d12b4cd1880032beab409c0d774be044d4480ab9a94"
+
+[[package]]
+name = "unicode-bidi"
+version = "0.3.15"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "08f95100a766bf4f8f28f90d77e0a5461bbdb219042e7679bebe79004fed8d75"
+
+[[package]]
+name = "unicode-ident"
+version = "1.0.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3354b9ac3fae1ff6755cb6db53683adb661634f67557942dea4facebec0fee4b"
+
+[[package]]
+name = "unicode-normalization"
+version = "0.1.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a56d1686db2308d901306f92a263857ef59ea39678a5458e7cb17f01415101f5"
+dependencies = [
+ "tinyvec",
+]
+
+[[package]]
+name = "unicode-segmentation"
+version = "1.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d4c87d22b6e3f4a18d4d40ef354e97c90fcb14dd91d7dc0aa9d8a1172ebf7202"
+
+[[package]]
+name = "unicode-width"
+version = "0.1.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e51733f11c9c4f72aa0c160008246859e340b00807569a0da0e7a1079b27ba85"
+
+[[package]]
+name = "url"
+version = "2.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "31e6302e3bb753d46e83516cae55ae196fc0c309407cf11ab35cc51a4c2a4633"
+dependencies = [
+ "form_urlencoded",
+ "idna",
+ "percent-encoding",
+]
+
+[[package]]
+name = "utf8parse"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "711b9620af191e0cdc7468a8d14e709c3dcdb115b36f838e601583af800a370a"
+
+[[package]]
+name = "valuable"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "830b7e5d4d90034032940e4ace0d9a9a057e7a45cd94e6c007832e39edb82f6d"
+
+[[package]]
+name = "version-compare"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "579a42fc0b8e0c63b76519a339be31bed574929511fa53c1a3acae26eb258f29"
+
+[[package]]
+name = "version_check"
+version = "0.9.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "49874b5167b65d7193b8aba1567f5c7d93d001cafc34600cee003eda787e483f"
+
+[[package]]
+name = "wait-timeout"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9f200f5b12eb75f8c1ed65abd4b2db8a6e1b138a20de009dacee265a2498f3f6"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "waker-fn"
+version = "1.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f3c4517f54858c779bbcbf228f4fca63d121bf85fbecb2dc578cdf4a39395690"
+
+[[package]]
+name = "walkdir"
+version = "2.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "29790946404f91d9c5d06f9874efddea1dc06c5efe94541a7d6863108e3a5e4b"
+dependencies = [
+ "same-file",
+ "winapi-util",
+]
+
+[[package]]
+name = "wasi"
+version = "0.11.0+wasi-snapshot-preview1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
+
+[[package]]
+name = "wasm-bindgen"
+version = "0.2.92"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4be2531df63900aeb2bca0daaaddec08491ee64ceecbee5076636a3b026795a8"
+dependencies = [
+ "cfg-if",
+ "wasm-bindgen-macro",
+]
+
+[[package]]
+name = "wasm-bindgen-backend"
+version = "0.2.92"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "614d787b966d3989fa7bb98a654e369c762374fd3213d212cfc0251257e747da"
+dependencies = [
+ "bumpalo",
+ "log",
+ "once_cell",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+ "wasm-bindgen-shared",
+]
+
+[[package]]
+name = "wasm-bindgen-futures"
+version = "0.4.42"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "76bc14366121efc8dbb487ab05bcc9d346b3b5ec0eaa76e46594cabbe51762c0"
+dependencies = [
+ "cfg-if",
+ "js-sys",
+ "wasm-bindgen",
+ "web-sys",
+]
+
+[[package]]
+name = "wasm-bindgen-macro"
+version = "0.2.92"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a1f8823de937b71b9460c0c34e25f3da88250760bec0ebac694b49997550d726"
+dependencies = [
+ "quote",
+ "wasm-bindgen-macro-support",
+]
+
+[[package]]
+name = "wasm-bindgen-macro-support"
+version = "0.2.92"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e94f17b526d0a461a191c78ea52bbce64071ed5c04c9ffe424dcb38f74171bb7"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+ "wasm-bindgen-backend",
+ "wasm-bindgen-shared",
+]
+
+[[package]]
+name = "wasm-bindgen-shared"
+version = "0.2.92"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "af190c94f2773fdb3729c55b007a722abb5384da03bc0986df4c289bf5567e96"
+
+[[package]]
+name = "wayland-backend"
+version = "0.3.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9d50fa61ce90d76474c87f5fc002828d81b32677340112b4ef08079a9d459a40"
+dependencies = [
+ "cc",
+ "downcast-rs",
+ "rustix 0.38.31",
+ "scoped-tls",
+ "smallvec",
+ "wayland-sys",
+]
+
+[[package]]
+name = "wayland-client"
+version = "0.31.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "82fb96ee935c2cea6668ccb470fb7771f6215d1691746c2d896b447a00ad3f1f"
+dependencies = [
+ "bitflags 2.4.2",
+ "rustix 0.38.31",
+ "wayland-backend",
+ "wayland-scanner",
+]
+
+[[package]]
+name = "wayland-csd-frame"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "625c5029dbd43d25e6aa9615e88b829a5cad13b2819c4ae129fdbb7c31ab4c7e"
+dependencies = [
+ "bitflags 2.4.2",
+ "cursor-icon",
+ "wayland-backend",
+]
+
+[[package]]
+name = "wayland-cursor"
+version = "0.31.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "71ce5fa868dd13d11a0d04c5e2e65726d0897be8de247c0c5a65886e283231ba"
+dependencies = [
+ "rustix 0.38.31",
+ "wayland-client",
+ "xcursor",
+]
+
+[[package]]
+name = "wayland-egl"
+version = "0.32.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "355f652e5a24ae02d2ad536c8fc2d3dcc6c2bd635027cd6103a193e7d75eeda2"
+dependencies = [
+ "wayland-backend",
+ "wayland-sys",
+]
+
+[[package]]
+name = "wayland-protocols"
+version = "0.31.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f81f365b8b4a97f422ac0e8737c438024b5951734506b0e1d775c73030561f4"
+dependencies = [
+ "bitflags 2.4.2",
+ "wayland-backend",
+ "wayland-client",
+ "wayland-scanner",
+ "wayland-server",
+]
+
+[[package]]
+name = "wayland-protocols-misc"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bfa5933740b200188c9b4c38601b8212e8c154d7de0d2cb171944e137a77de1e"
+dependencies = [
+ "bitflags 2.4.2",
+ "wayland-backend",
+ "wayland-protocols",
+ "wayland-scanner",
+ "wayland-server",
+]
+
+[[package]]
+name = "wayland-protocols-plasma"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "23803551115ff9ea9bce586860c5c5a971e360825a0309264102a9495a5ff479"
+dependencies = [
+ "bitflags 2.4.2",
+ "wayland-backend",
+ "wayland-client",
+ "wayland-protocols",
+ "wayland-scanner",
+]
+
+[[package]]
+name = "wayland-protocols-wlr"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ad1f61b76b6c2d8742e10f9ba5c3737f6530b4c243132c2a2ccc8aa96fe25cd6"
+dependencies = [
+ "bitflags 2.4.2",
+ "wayland-backend",
+ "wayland-client",
+ "wayland-protocols",
+ "wayland-scanner",
+ "wayland-server",
+]
+
+[[package]]
+name = "wayland-scanner"
+version = "0.31.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "63b3a62929287001986fb58c789dce9b67604a397c15c611ad9f747300b6c283"
+dependencies = [
+ "proc-macro2",
+ "quick-xml 0.31.0",
+ "quote",
+]
+
+[[package]]
+name = "wayland-server"
+version = "0.31.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "00e6e4d5c285bc24ba4ed2d5a4bd4febd5fd904451f465973225c8e99772fdb7"
+dependencies = [
+ "bitflags 2.4.2",
+ "downcast-rs",
+ "io-lifetimes 2.0.3",
+ "rustix 0.38.31",
+ "wayland-backend",
+ "wayland-scanner",
+]
+
+[[package]]
+name = "wayland-sys"
+version = "0.31.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "15a0c8eaff5216d07f226cb7a549159267f3467b289d9a2e52fd3ef5aae2b7af"
+dependencies = [
+ "dlib",
+ "libc",
+ "log",
+ "memoffset 0.9.0",
+ "once_cell",
+ "pkg-config",
+]
+
+[[package]]
+name = "web-sys"
+version = "0.3.69"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "77afa9a11836342370f4817622a2f0f418b134426d91a82dfb48f532d2ec13ef"
+dependencies = [
+ "js-sys",
+ "wasm-bindgen",
+]
+
+[[package]]
+name = "web-time"
+version = "0.2.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "aa30049b1c872b72c89866d458eae9f20380ab280ffd1b1e18df2d3e2d98cfe0"
+dependencies = [
+ "js-sys",
+ "wasm-bindgen",
+]
+
+[[package]]
+name = "winapi"
+version = "0.3.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5c839a674fcd7a98952e593242ea400abe93992746761e38641405d28b00f419"
+dependencies = [
+ "winapi-i686-pc-windows-gnu",
+ "winapi-x86_64-pc-windows-gnu",
+]
+
+[[package]]
+name = "winapi-i686-pc-windows-gnu"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ac3b87c63620426dd9b991e5ce0329eff545bccbbb34f3be09ff6fb6ab51b7b6"
+
+[[package]]
+name = "winapi-util"
+version = "0.1.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f29e6f9198ba0d26b4c9f07dbe6f9ed633e1f3d5b8b414090084349e46a52596"
+dependencies = [
+ "winapi",
+]
+
+[[package]]
+name = "winapi-x86_64-pc-windows-gnu"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
+
+[[package]]
+name = "windows"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e686886bc078bc1b0b600cac0147aadb815089b6e4da64016cbd754b6342700f"
+dependencies = [
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "windows"
+version = "0.51.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ca229916c5ee38c2f2bc1e9d8f04df975b4bd93f9955dc69fabb5d91270045c9"
+dependencies = [
+ "windows-core",
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "windows-core"
+version = "0.51.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f1f8cf84f35d2db49a46868f947758c7a1138116f7fac3bc844f43ade1292e64"
+dependencies = [
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "windows-sys"
+version = "0.45.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "75283be5efb2831d37ea142365f009c02ec203cd29a3ebecbc093d52315b66d0"
+dependencies = [
+ "windows-targets 0.42.2",
+]
+
+[[package]]
+name = "windows-sys"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "677d2418bec65e3338edb076e806bc1ec15693c5d0104683f2efe857f61056a9"
+dependencies = [
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "windows-sys"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "282be5f36a8ce781fad8c8ae18fa3f9beff57ec1b52cb3de0789201425d9a33d"
+dependencies = [
+ "windows-targets 0.52.4",
+]
+
+[[package]]
+name = "windows-targets"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8e5180c00cd44c9b1c88adb3693291f1cd93605ded80c250a75d472756b4d071"
+dependencies = [
+ "windows_aarch64_gnullvm 0.42.2",
+ "windows_aarch64_msvc 0.42.2",
+ "windows_i686_gnu 0.42.2",
+ "windows_i686_msvc 0.42.2",
+ "windows_x86_64_gnu 0.42.2",
+ "windows_x86_64_gnullvm 0.42.2",
+ "windows_x86_64_msvc 0.42.2",
+]
+
+[[package]]
+name = "windows-targets"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9a2fa6e2155d7247be68c096456083145c183cbbbc2764150dda45a87197940c"
+dependencies = [
+ "windows_aarch64_gnullvm 0.48.5",
+ "windows_aarch64_msvc 0.48.5",
+ "windows_i686_gnu 0.48.5",
+ "windows_i686_msvc 0.48.5",
+ "windows_x86_64_gnu 0.48.5",
+ "windows_x86_64_gnullvm 0.48.5",
+ "windows_x86_64_msvc 0.48.5",
+]
+
+[[package]]
+name = "windows-targets"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7dd37b7e5ab9018759f893a1952c9420d060016fc19a472b4bb20d1bdd694d1b"
+dependencies = [
+ "windows_aarch64_gnullvm 0.52.4",
+ "windows_aarch64_msvc 0.52.4",
+ "windows_i686_gnu 0.52.4",
+ "windows_i686_msvc 0.52.4",
+ "windows_x86_64_gnu 0.52.4",
+ "windows_x86_64_gnullvm 0.52.4",
+ "windows_x86_64_msvc 0.52.4",
+]
+
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "597a5118570b68bc08d8d59125332c54f1ba9d9adeedeef5b99b02ba2b0698f8"
+
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2b38e32f0abccf9987a4e3079dfb67dcd799fb61361e53e2882c3cbaf0d905d8"
+
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bcf46cf4c365c6f2d1cc93ce535f2c8b244591df96ceee75d8e83deb70a9cac9"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e08e8864a60f06ef0d0ff4ba04124db8b0fb3be5776a5cd47641e942e58c4d43"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dc35310971f3b2dbbf3f0690a219f40e2d9afcf64f9ab7cc1be722937c26b4bc"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "da9f259dd3bcf6990b55bffd094c4f7235817ba4ceebde8e6d11cd0c5633b675"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c61d927d8da41da96a81f029489353e68739737d3beca43145c8afec9a31a84f"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a75915e7def60c94dcef72200b9a8e58e5091744960da64ec734a6c6e9b3743e"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b474d8268f99e0995f25b9f095bc7434632601028cf86590aea5c8a5cb7801d3"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "44d840b6ec649f480a41c8d80f9c65108b92d89345dd94027bfe06ac444d1060"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f55c233f70c4b27f66c523580f78f1004e8b5a8b659e05a4eb49d4166cca406"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1515e9a29e5bed743cb4415a9ecf5dfca648ce85ee42e15873c3cd8610ff8e02"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8de912b8b8feb55c064867cf047dda097f92d51efad5b491dfb98f6bbb70cb36"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "53d40abd2583d23e4718fddf1ebec84dbff8381c07cae67ff7768bbf19c6718e"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5eee091590e89cc02ad514ffe3ead9eb6b660aedca2183455434b93546371a03"
+
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "26d41b46a36d453748aedef1486d5c7a85db22e56aff34643984ea85514e94a3"
+
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0b7b52767868a23d5bab768e390dc5f5c55825b6d30b86c844ff2dc7414044cc"
+
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "77ca79f2451b49fa9e2af39f0747fe999fcda4f5e241b2898624dca97a1f2177"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9aec5da331524158c6d1a4ac0ab1541149c0b9505fde06423b02f5ef0106b9f0"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ed94fce61571a4006852b7389a063ab983c02eb1bb37b47f8272ce92d06d9538"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "32b752e52a2da0ddfbdbcc6fceadfeede4c939ed16d13e648833a61dfb611ed8"
+
+[[package]]
+name = "winit"
+version = "0.29.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a7a3db69ffbe53a9babec7804da7a90f21020fcce1f2f5e5291e2311245b993d"
+dependencies = [
+ "ahash",
+ "android-activity",
+ "atomic-waker",
+ "bitflags 2.4.2",
+ "bytemuck",
+ "calloop 0.12.4",
+ "cfg_aliases",
+ "core-foundation",
+ "core-graphics",
+ "cursor-icon",
+ "icrate",
+ "js-sys",
+ "libc",
+ "log",
+ "memmap2 0.9.4",
+ "ndk",
+ "ndk-sys",
+ "objc2",
+ "once_cell",
+ "orbclient",
+ "percent-encoding",
+ "raw-window-handle",
+ "redox_syscall 0.3.5",
+ "rustix 0.38.31",
+ "smithay-client-toolkit",
+ "smol_str",
+ "unicode-segmentation",
+ "wasm-bindgen",
+ "wasm-bindgen-futures",
+ "wayland-backend",
+ "wayland-client",
+ "wayland-protocols",
+ "wayland-protocols-plasma",
+ "web-sys",
+ "web-time",
+ "windows-sys 0.48.0",
+ "x11-dl",
+ "x11rb",
+ "xkbcommon-dl",
+]
+
+[[package]]
+name = "winnow"
+version = "0.5.40"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f593a95398737aeed53e489c785df13f3618e41dbcd6718c6addbf1395aa6876"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "winnow"
+version = "0.6.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dffa400e67ed5a4dd237983829e66475f0a4a26938c4b04c21baede6262215b8"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "x11-dl"
+version = "2.21.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "38735924fedd5314a6e548792904ed8c6de6636285cb9fec04d5b1db85c1516f"
+dependencies = [
+ "libc",
+ "once_cell",
+ "pkg-config",
+]
+
+[[package]]
+name = "x11rb"
+version = "0.13.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f8f25ead8c7e4cba123243a6367da5d3990e0d3affa708ea19dce96356bd9f1a"
+dependencies = [
+ "as-raw-xcb-connection",
+ "gethostname",
+ "libc",
+ "libloading",
+ "once_cell",
+ "rustix 0.38.31",
+ "x11rb-protocol",
+]
+
+[[package]]
+name = "x11rb-protocol"
+version = "0.13.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e63e71c4b8bd9ffec2c963173a4dc4cbde9ee96961d4fcb4429db9929b606c34"
+
+[[package]]
+name = "xcursor"
+version = "0.3.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6a0ccd7b4a5345edfcd0c3535718a4e9ff7798ffc536bb5b5a0e26ff84732911"
+
+[[package]]
+name = "xdg-home"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "21e5a325c3cb8398ad6cf859c1135b25dd29e186679cf2da7581d9679f63b38e"
+dependencies = [
+ "libc",
+ "winapi",
+]
+
+[[package]]
+name = "xkbcommon"
+version = "0.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "13867d259930edc7091a6c41b4ce6eee464328c6ff9659b7e4c668ca20d4c91e"
+dependencies = [
+ "libc",
+ "memmap2 0.8.0",
+ "xkeysym",
+]
+
+[[package]]
+name = "xkbcommon-dl"
+version = "0.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d039de8032a9a8856a6be89cea3e5d12fdd82306ab7c94d74e6deab2460651c5"
+dependencies = [
+ "bitflags 2.4.2",
+ "dlib",
+ "log",
+ "once_cell",
+ "xkeysym",
+]
+
+[[package]]
+name = "xkeysym"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "054a8e68b76250b253f671d1268cb7f1ae089ec35e195b2efb2a4e9a836d0621"
+
+[[package]]
+name = "xml-rs"
+version = "0.8.19"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0fcb9cbac069e033553e8bb871be2fbdffcab578eb25bd0f7c508cedc6dcd75a"
+
+[[package]]
+name = "xshell"
+version = "0.2.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ce2107fe03e558353b4c71ad7626d58ed82efaf56c54134228608893c77023ad"
+dependencies = [
+ "xshell-macros",
+]
+
+[[package]]
+name = "xshell-macros"
+version = "0.2.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7e2c411759b501fb9501aac2b1b2d287a6e93e5bdcf13c25306b23e1b716dd0e"
+
+[[package]]
+name = "yansi-term"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fe5c30ade05e61656247b2e334a031dfd0cc466fadef865bdcdea8d537951bf1"
+dependencies = [
+ "winapi",
+]
+
+[[package]]
+name = "zbus"
+version = "3.15.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "675d170b632a6ad49804c8cf2105d7c31eddd3312555cffd4b740e08e97c25e6"
+dependencies = [
+ "async-broadcast",
+ "async-executor",
+ "async-fs",
+ "async-io 1.13.0",
+ "async-lock 2.8.0",
+ "async-process",
+ "async-recursion",
+ "async-task",
+ "async-trait",
+ "blocking",
+ "byteorder",
+ "derivative",
+ "enumflags2",
+ "event-listener 2.5.3",
+ "futures-core",
+ "futures-sink",
+ "futures-util",
+ "hex",
+ "nix 0.26.4",
+ "once_cell",
+ "ordered-stream",
+ "rand",
+ "serde",
+ "serde_repr",
+ "sha1",
+ "static_assertions",
+ "tracing",
+ "uds_windows",
+ "winapi",
+ "xdg-home",
+ "zbus_macros",
+ "zbus_names",
+ "zvariant",
+]
+
+[[package]]
+name = "zbus_macros"
+version = "3.15.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7131497b0f887e8061b430c530240063d33bf9455fa34438f388a245da69e0a5"
+dependencies = [
+ "proc-macro-crate 1.3.1",
+ "proc-macro2",
+ "quote",
+ "regex",
+ "syn 1.0.109",
+ "zvariant_utils",
+]
+
+[[package]]
+name = "zbus_names"
+version = "2.6.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "437d738d3750bed6ca9b8d423ccc7a8eb284f6b1d6d4e225a0e4e6258d864c8d"
+dependencies = [
+ "serde",
+ "static_assertions",
+ "zvariant",
+]
+
+[[package]]
+name = "zerocopy"
+version = "0.7.32"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "74d4d3961e53fa4c9a25a8637fc2bfaf2595b3d3ae34875568a5cf64787716be"
+dependencies = [
+ "zerocopy-derive",
+]
+
+[[package]]
+name = "zerocopy-derive"
+version = "0.7.32"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9ce1b18ccd8e73a9321186f97e46f9f04b778851177567b1975109d26a08d2a6"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "zvariant"
+version = "3.15.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4eef2be88ba09b358d3b58aca6e41cd853631d44787f319a1383ca83424fb2db"
+dependencies = [
+ "byteorder",
+ "enumflags2",
+ "libc",
+ "serde",
+ "static_assertions",
+ "zvariant_derive",
+]
+
+[[package]]
+name = "zvariant_derive"
+version = "3.15.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "37c24dc0bed72f5f90d1f8bb5b07228cbf63b3c6e9f82d82559d4bae666e7ed9"
+dependencies = [
+ "proc-macro-crate 1.3.1",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+ "zvariant_utils",
+]
+
+[[package]]
+name = "zvariant_utils"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7234f0d811589db492d16893e3f21e8e2fd282e6d01b0cddee310322062cc200"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
diff --git a/pkgs/by-name/ni/niri/package.nix b/pkgs/by-name/ni/niri/package.nix
new file mode 100644
index 000000000000..e198d0585d2f
--- /dev/null
+++ b/pkgs/by-name/ni/niri/package.nix
@@ -0,0 +1,99 @@
+{ lib
+, rustPlatform
+, fetchFromGitHub
+, pkg-config
+, libxkbcommon
+, pango
+, pipewire
+, seatd
+, stdenv
+, wayland
+, systemd
+, libinput
+, mesa
+, fontconfig
+, libglvnd
+, libclang
+, autoPatchelfHook
+, clang
+, fetchpatch
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "niri";
+ version = "0.1.3";
+
+ src = fetchFromGitHub {
+ owner = "YaLTeR";
+ repo = "niri";
+ rev = "v${version}";
+ hash = "sha256-VTtXEfxc3OCdtdYiEdtftOQ7gDJNb679Yw8v1Lu3lhY=";
+ };
+
+ patches = [
+ (fetchpatch {
+ name = "revert-viewporter.patch";
+ url = "https://github.com/YaLTeR/niri/commit/40cec34aa4a7f99ab12b30cba1a0ee83a706a413.patch";
+ hash = "sha256-3fg8v0eotfjUQY6EVFEPK5BBIBrr6vQpXbjDcsw2E8Q=";
+ })
+ ];
+
+ cargoLock = {
+ lockFile = ./Cargo.lock;
+ outputHashes = {
+ "smithay-0.3.0" = "sha256-sXdixfPLAUIIVK+PhqRuMZ7XKNJIGkWNlH8nBzXlxCU=";
+ };
+ };
+
+ nativeBuildInputs = [
+ pkg-config
+ rustPlatform.bindgenHook
+ autoPatchelfHook
+ clang
+ ];
+
+ buildInputs = [
+ wayland
+ systemd # For libudev
+ seatd # For libseat
+ libxkbcommon
+ libinput
+ mesa # For libgbm
+ fontconfig
+ stdenv.cc.cc.lib
+ pipewire
+ pango
+ ];
+
+ runtimeDependencies = [
+ wayland
+ mesa
+ libglvnd # For libEGL
+ ];
+
+ LIBCLANG_PATH = "${libclang.lib}/lib";
+
+ passthru.providedSessions = ["niri"];
+
+ postPatch = ''
+ patchShebangs ./resources/niri-session
+ substituteInPlace ./resources/niri.service \
+ --replace-fail '/usr/bin' "$out/bin"
+ '';
+
+ postInstall = ''
+ install -Dm0755 ./resources/niri-session -t $out/bin
+ install -Dm0644 resources/niri.desktop -t $out/share/wayland-sessions
+ install -Dm0644 resources/niri-portals.conf -t $out/share/xdg-desktop-portal
+ install -Dm0644 resources/niri{-shutdown.target,.service} -t $out/share/systemd/user
+ '';
+
+ meta = with lib; {
+ description = "A scrollable-tiling Wayland compositor";
+ homepage = "https://github.com/YaLTeR/niri";
+ license = licenses.gpl3Only;
+ maintainers = with maintainers; [ iogamaster foo-dogsquared sodiboo ];
+ mainProgram = "niri";
+ platforms = platforms.linux;
+ };
+}
diff --git a/pkgs/by-name/ni/nitter/package.nix b/pkgs/by-name/ni/nitter/package.nix
index 002f0f859867..3b3e89cf3e69 100644
--- a/pkgs/by-name/ni/nitter/package.nix
+++ b/pkgs/by-name/ni/nitter/package.nix
@@ -8,13 +8,13 @@
buildNimPackage (finalAttrs: prevAttrs: {
pname = "nitter";
- version = "unstable-2024-01-12";
+ version = "unstable-2024-02-26";
src = fetchFromGitHub {
owner = "zedeus";
repo = "nitter";
- rev = "52db03b73ad5f83f67c83ab197ae3b20a2523d39";
- hash = "sha256-Jp8iix6VUeepigGx+eeJUTQeZfSJ3tSc/TAa5AMfG2U=";
+ rev = "c6edec04901d0a37799499ed4c6921db640fb5a4";
+ hash = "sha256-N3d63nyVzUTa2+UemA1REFfVsw6iOVU8xUlYraR55m4=";
};
lockFile = ./lock.json;
diff --git a/pkgs/by-name/ni/nix-snapshotter/package.nix b/pkgs/by-name/ni/nix-snapshotter/package.nix
new file mode 100644
index 000000000000..3ea3116ebf0d
--- /dev/null
+++ b/pkgs/by-name/ni/nix-snapshotter/package.nix
@@ -0,0 +1,32 @@
+{ lib
+, buildGoModule
+, callPackage
+, fetchFromGitHub
+}:
+
+let
+ version = "0.2.0";
+
+ src = fetchFromGitHub {
+ owner = "pdtpartners";
+ repo = "nix-snapshotter";
+ rev = "v${version}";
+ hash = "sha256-hQ2b9Yx8g8okVWGo/iuvY2sR6FWI8iKp74m4gdXeueI=";
+ };
+
+ nix-snapshotter-lib = callPackage "${src}/package.nix" {};
+
+in buildGoModule {
+ pname = "nix-snapshotter";
+ inherit version src;
+ vendorHash = "sha256-QBLePOnfsr6I19ddyZNSFDih6mCaZ/NV2Qz1B1pSHxs=";
+ passthru = { inherit (nix-snapshotter-lib) buildImage; };
+
+ meta = {
+ description = "Brings native understanding of Nix packages to containerd";
+ homepage = "https://github.com/pdtpartners/nix-snapshotter";
+ license = lib.licenses.mit;
+ platforms = lib.platforms.linux;
+ maintainers = with lib.maintainers; [ elpdt852 ];
+ };
+}
diff --git a/pkgs/by-name/ni/nixfmt-rfc-style/date.txt b/pkgs/by-name/ni/nixfmt-rfc-style/date.txt
index b3c1f63a9286..f4f1f2ef867e 100644
--- a/pkgs/by-name/ni/nixfmt-rfc-style/date.txt
+++ b/pkgs/by-name/ni/nixfmt-rfc-style/date.txt
@@ -1 +1 @@
-2024-01-31
+2024-03-01
diff --git a/pkgs/by-name/ni/nixfmt-rfc-style/generated-package.nix b/pkgs/by-name/ni/nixfmt-rfc-style/generated-package.nix
index ac96818227ce..738b3e53c872 100644
--- a/pkgs/by-name/ni/nixfmt-rfc-style/generated-package.nix
+++ b/pkgs/by-name/ni/nixfmt-rfc-style/generated-package.nix
@@ -8,8 +8,8 @@ mkDerivation {
pname = "nixfmt";
version = "0.5.0";
src = fetchzip {
- url = "https://github.com/piegamesde/nixfmt/archive/d6930fd0c62c4d7ec9e4a814adc3d2f590d96271.tar.gz";
- sha256 = "1ijrdzdwricv4asmy296j7gzvhambv96nlxi3qrxb4lj1by6a34m";
+ url = "https://github.com/piegamesde/nixfmt/archive/2b5ee820690bae64cb4003e46917ae43541e3e0b.tar.gz";
+ sha256 = "1i1jbc1q4gd7fpilwy6s3a583yl5l8d8rlmipygj61mpclg9ihqg";
};
isLibrary = true;
isExecutable = true;
diff --git a/pkgs/by-name/ni/nixfmt-rfc-style/package.nix b/pkgs/by-name/ni/nixfmt-rfc-style/package.nix
index a11e2d29ff69..90e6dbf2e25d 100644
--- a/pkgs/by-name/ni/nixfmt-rfc-style/package.nix
+++ b/pkgs/by-name/ni/nixfmt-rfc-style/package.nix
@@ -4,6 +4,7 @@
lib,
runCommand,
nixfmt-rfc-style,
+ fetchpatch,
}:
let
inherit (haskell.lib.compose) overrideCabal justStaticExecutables;
@@ -13,6 +14,13 @@ let
passthru.updateScript = ./update.sh;
+ patches = [
+ (fetchpatch {
+ url = "https://github.com/serokell/nixfmt/commit/ca9c8975ed671112fdfce94f2e9e2ad3de480c9a.patch";
+ hash = "sha256-UOSAYahSKBsqPMVcQJ3H26Eg2xpPAsNOjYMI6g+WTYU=";
+ })
+ ];
+
maintainers = lib.teams.formatter.members;
# These tests can be run with the following command.
diff --git a/pkgs/by-name/ni/nixops-dns/deps.nix b/pkgs/by-name/ni/nixops-dns/deps.nix
deleted file mode 100644
index 7da38f83e7fe..000000000000
--- a/pkgs/by-name/ni/nixops-dns/deps.nix
+++ /dev/null
@@ -1,20 +0,0 @@
-[
- {
- goPackagePath = "github.com/mattn/go-sqlite3";
- fetch = {
- type = "git";
- url = "https://github.com/mattn/go-sqlite3";
- rev = "b4142c444a8941d0d92b0b7103a24df9cd815e42";
- sha256 = "0xq2y4am8dz9w9aaq24s1npg1sn8pf2gn4nki73ylz2fpjwq9vla";
- };
- }
- {
- goPackagePath = "github.com/miekg/dns";
- fetch = {
- type = "git";
- url = "https://github.com/miekg/dns";
- rev = "75229eecb7af00b2736e93b779a78429dcb19472";
- sha256 = "1vsjy07kkyx11iz4qsihhykac3ddq3ywdgv6bwrv407504f7x6wl";
- };
- }
-]
diff --git a/pkgs/by-name/ni/nixops-dns/package.nix b/pkgs/by-name/ni/nixops-dns/package.nix
index cf633a65ca53..f1a6cacfe121 100644
--- a/pkgs/by-name/ni/nixops-dns/package.nix
+++ b/pkgs/by-name/ni/nixops-dns/package.nix
@@ -1,24 +1,26 @@
{ lib
-, buildGoPackage
+, buildGoModule
, fetchFromGitHub }:
-buildGoPackage rec {
+buildGoModule rec {
pname = "nixops-dns";
- version = "1.0";
-
- goDeps = ./deps.nix;
- goPackagePath = "github.com/kamilchm/nixops-dns";
+ version = "1.0.1";
src = fetchFromGitHub {
- owner = "kamilchm";
+ owner = "museoa";
repo = "nixops-dns";
rev = "v${version}";
- sha256 = "1fyqwk2knrv40zpf71a56bjyaycr3p6fzrqq7gaan056ydy83cai";
+ hash = "sha256-d3vVm6YeQTOAE5HFSKI01L9ZqfbQKrdoLsMwHP5HulE=";
};
+ vendorHash = "sha256-3DVNjvW0AAdogpTi3GMnn92FqqOUWNdQvRBityyKwcI=";
+
+ ldflags = [ "-s" "-w" ];
+
meta = with lib; {
- homepage = "https://github.com/kamilchm/nixops-dns/";
+ homepage = "https://github.com/museoa/nixops-dns";
description = "DNS server for resolving NixOps machines";
+ mainProgram = "nixops-dns";
license = licenses.mit;
maintainers = with maintainers; [ kamilchm sorki ];
};
diff --git a/pkgs/by-name/ni/nixos-anywhere/package.nix b/pkgs/by-name/ni/nixos-anywhere/package.nix
index e8b7790ab1c8..197a320d4c62 100644
--- a/pkgs/by-name/ni/nixos-anywhere/package.nix
+++ b/pkgs/by-name/ni/nixos-anywhere/package.nix
@@ -28,12 +28,12 @@ let
in
stdenv.mkDerivation (finalAttrs: {
pname = "nixos-anywhere";
- version = "1.1.1";
+ version = "1.1.2";
src = fetchFromGitHub {
owner = "numtide";
repo = "nixos-anywhere";
rev = finalAttrs.version;
- hash = "sha256-GN0G3g3QEzb2ZG3zSzbRaRBsmQsWJu81CZy9mIofRZ0=";
+ hash = "sha256-JzgZnHQ4Bknh1ebE4iMVzR3cWZG+8k7MRy0X7cNSnBE=";
};
nativeBuildInputs = [ makeWrapper ];
installPhase = ''
diff --git a/pkgs/by-name/nl/nls/package.nix b/pkgs/by-name/nl/nls/package.nix
index d060207f7af3..136920367da2 100644
--- a/pkgs/by-name/nl/nls/package.nix
+++ b/pkgs/by-name/nl/nls/package.nix
@@ -12,6 +12,7 @@ symlinkJoin {
meta = {
inherit (nickel.meta) homepage changelog license maintainers;
description = "A language server for the Nickel programming language";
+ mainProgram = "nls";
longDescription = ''
The Nickel Language Server (NLS) is a language server for the Nickel
programming language. NLS offers error messages, type hints, and
diff --git a/pkgs/by-name/no/node-hp-scan-to/package.nix b/pkgs/by-name/no/node-hp-scan-to/package.nix
new file mode 100644
index 000000000000..b6a5eced5f46
--- /dev/null
+++ b/pkgs/by-name/no/node-hp-scan-to/package.nix
@@ -0,0 +1,76 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, fetchYarnDeps
+, makeWrapper
+, nodejs
+, prefetch-yarn-deps
+, yarn
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "node-hp-scan-to";
+ version = "1.4.2";
+
+ src = fetchFromGitHub {
+ owner = "manuc66";
+ repo = "node-hp-scan-to";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-/aoR2ccDYTkdmcj4k2zf8VJydQufZ2ucqyZ1OH9jRt0=";
+ };
+
+ offlineCache = fetchYarnDeps {
+ yarnLock = "${finalAttrs.src}/yarn.lock";
+ hash = "sha256-Mhlw/3js35TOVDADsPScE9kfv9rmF+u1LzDmKkzunM0=";
+ };
+
+ nativeBuildInputs = [
+ makeWrapper
+ nodejs
+ prefetch-yarn-deps
+ yarn
+ ];
+
+ configurePhase = ''
+ runHook preConfigure
+
+ export HOME=$(mktemp -d)
+ yarn config --offline set yarn-offline-mirror $offlineCache
+ fixup-yarn-lock yarn.lock
+ yarn --offline --frozen-lockfile --ignore-platform --ignore-scripts --no-progress --non-interactive install
+ patchShebangs node_modules
+
+ runHook postConfigure
+ '';
+
+ buildPhase = ''
+ runHook preBuild
+
+ yarn --offline build
+
+ runHook postBuild
+ '';
+
+ installPhase = ''
+ runHook preInstall
+
+ yarn --offline --production install
+
+ mkdir -p "$out/lib/node_modules/node-hp-scan-to"
+ cp -r dist node_modules package.json "$out/lib/node_modules/node-hp-scan-to"
+
+ makeWrapper "${nodejs}/bin/node" "$out/bin/node-hp-scan-to" \
+ --add-flags "$out/lib/node_modules/node-hp-scan-to/dist/index.js"
+
+ runHook postInstall
+ '';
+
+ meta = {
+ changelog = "https://github.com/manuc66/node-hp-scan-to/releases/tag/${finalAttrs.src.rev}";
+ description = "Allow to send scan from device to computer for some HP All-in-One Printers";
+ homepage = "https://github.com/manuc66/node-hp-scan-to";
+ license = lib.licenses.mit;
+ mainProgram = "node-hp-scan-to";
+ maintainers = with lib.maintainers; [ jonas-w ];
+ };
+})
diff --git a/pkgs/by-name/no/nom/package.nix b/pkgs/by-name/no/nom/package.nix
index 4913eb9c7ef7..192a231f11d5 100644
--- a/pkgs/by-name/no/nom/package.nix
+++ b/pkgs/by-name/no/nom/package.nix
@@ -4,13 +4,13 @@
}:
buildGoModule rec {
pname = "nom";
- version = "2.1.1";
+ version = "2.1.4";
src = fetchFromGitHub {
owner = "guyfedwards";
repo = "nom";
rev = "v${version}";
- hash = "sha256-yemEq61oUzoOrBZ7e6djNxbw/QqR5Fuhi1Y12n/AdrU=";
+ hash = "sha256-W0vfYAEQYixbnOQhA59sj2uSAcbqoS/OMiB3TfXsv/Y=";
};
vendorHash = "sha256-fP6yxfIQoVaBC9hYcrCyo3YP3ntEVDbDTwKMO9TdyDI=";
diff --git a/pkgs/by-name/no/nomacs/package.nix b/pkgs/by-name/no/nomacs/package.nix
new file mode 100644
index 000000000000..138e4ead7fcd
--- /dev/null
+++ b/pkgs/by-name/no/nomacs/package.nix
@@ -0,0 +1,90 @@
+{ lib
+, cmake
+, exiv2
+, fetchFromGitHub
+, libraw
+, libsForQt5
+, libtiff
+, opencv4
+, pkg-config
+, stdenv
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "nomacs";
+ version = "3.17.2295";
+
+ src = fetchFromGitHub {
+ owner = "nomacs";
+ repo = "nomacs";
+ rev = finalAttrs.version;
+ fetchSubmodules = false; # We'll use our own
+ hash = "sha256-jHr7J0X1v2n/ZK0y3b/XPDISk7e08VWS6nicJU4fKKY=";
+ };
+
+ outputs = [ "out" ]
+ # man pages are not installed on Darwin, see cmake/{Mac,Unix}BuildTarget.cmake
+ ++ lib.optionals (!stdenv.isDarwin) [ "man" ];
+
+ sourceRoot = "${finalAttrs.src.name}/ImageLounge";
+
+ nativeBuildInputs = [
+ cmake
+ libsForQt5.wrapQtAppsHook
+ pkg-config
+ ];
+
+ buildInputs = [
+ exiv2
+ libraw
+ libtiff
+ opencv4
+ ] ++ (with libsForQt5; [
+ qtbase
+ qtimageformats
+ qtsvg
+ qttools
+ quazip
+ ]);
+
+ cmakeFlags = [
+ (lib.cmakeBool "ENABLE_OPENCV" true)
+ (lib.cmakeBool "ENABLE_QUAZIP" true)
+ (lib.cmakeBool "ENABLE_RAW" true)
+ (lib.cmakeBool "ENABLE_TIFF" true)
+ (lib.cmakeBool "ENABLE_TRANSLATIONS" true)
+ (lib.cmakeBool "USE_SYSTEM_QUAZIP" true)
+ ];
+
+ postInstall = lib.optionalString stdenv.isDarwin ''
+ mkdir -p $out/{Applications,lib}
+ mv $out/nomacs.app $out/Applications/nomacs.app
+ mv $out/libnomacsCore.dylib $out/lib/libnomacsCore.dylib
+ '';
+
+ meta = {
+ homepage = "https://nomacs.org";
+ description = "Qt-based image viewer";
+ longDescription = ''
+ nomacs is a free, open source image viewer, which supports multiple
+ platforms. You can use it for viewing all common image formats including
+ RAW and psd images.
+
+ nomacs features semi-transparent widgets that display additional
+ information such as thumbnails, metadata or histogram. It is able to
+ browse images in zip or MS Office files which can be extracted to a
+ directory. Metadata stored with the image can be displayed and you can add
+ notes to images. A thumbnail preview of the current folder is included as
+ well as a file explorer panel which allows switching between
+ folders. Within a directory you can apply a file filter, so that only
+ images are displayed whose filenames have a certain string or match a
+ regular expression. Activating the cache allows for instantly switching
+ between images.
+ '';
+ changelog = "https://github.com/nomacs/nomacs/releases/tag/${finalAttrs.src.rev}";
+ license = with lib.licenses; [ gpl3Plus ];
+ mainProgram = "nomacs";
+ maintainers = with lib.maintainers; [ AndersonTorres mindavi ];
+ inherit (libsForQt5.qtbase.meta) platforms;
+ };
+})
diff --git a/pkgs/by-name/no/normaliz/package.nix b/pkgs/by-name/no/normaliz/package.nix
new file mode 100644
index 000000000000..be30696ab8fd
--- /dev/null
+++ b/pkgs/by-name/no/normaliz/package.nix
@@ -0,0 +1,43 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, autoreconfHook
+, gmpxx
+, flint
+, arb
+, nauty
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "normaliz";
+ version = "3.10.1";
+
+ src = fetchFromGitHub {
+ owner = "normaliz";
+ repo = "normaliz";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-nnSauTlS5R6wbaoGxR6HFacFYm5r4DAhoP9IVe4ajdc=";
+ };
+
+ buildInputs = [
+ gmpxx
+ flint
+ arb
+ nauty
+ ];
+
+ outputs = [ "out" "lib" "dev" ];
+
+ nativeBuildInputs = [
+ autoreconfHook
+ ];
+
+ meta = with lib; {
+ homepage = "https://www.normaliz.uni-osnabrueck.de/";
+ description = "An open source tool for computations in affine monoids, vector configurations, lattice polytopes, and rational cones";
+ maintainers = with maintainers; [ yannickulrich ];
+ platforms = with platforms; unix ++ windows;
+ license = licenses.gpl3Plus;
+ mainProgram = "normaliz";
+ };
+})
diff --git a/pkgs/by-name/no/normcap/package.nix b/pkgs/by-name/no/normcap/package.nix
index 8db68e9ae7e2..7018cc565780 100644
--- a/pkgs/by-name/no/normcap/package.nix
+++ b/pkgs/by-name/no/normcap/package.nix
@@ -25,7 +25,7 @@ in
ps.buildPythonApplication rec {
pname = "normcap";
- version = "0.4.4";
+ version = "0.5.4";
format = "pyproject";
disabled = ps.pythonOlder "3.9";
@@ -34,20 +34,32 @@ ps.buildPythonApplication rec {
owner = "dynobo";
repo = "normcap";
rev = "refs/tags/v${version}";
- hash = "sha256-dShtmoqS9TC3PHuwq24OEOhYfBHGhDCma8Du8QCkFuI=";
+ hash = "sha256-bYja05U/JBwSij1J2LxN+c5Syrb4qzWSZY5+HNmC9Zo=";
};
+ postPatch = ''
+ # disable coverage testing
+ substituteInPlace pyproject.toml \
+ --replace "addopts = [" "addopts_ = ["
+ '';
+
pythonRemoveDeps = [
- "PySide6-Essentials"
+ "pyside6-essentials"
+ ];
+
+ pythonRelaxDeps = [
+ "shiboken6"
];
nativeBuildInputs = [
ps.pythonRelaxDepsHook
- ps.poetry-core
+ ps.hatchling
+ ps.babel
];
propagatedBuildInputs = [
ps.pyside6
+ ps.jeepney
];
preFixup = ''
@@ -78,6 +90,7 @@ ps.buildPythonApplication rec {
postCheck = lib.optionalString stdenv.isLinux ''
# cleanup the virtual x11 display
+ sleep 0.5
kill $xvfb_pid
'';
@@ -90,11 +103,20 @@ ps.buildPythonApplication rec {
"test_urls_reachable"
# requires xdg
"test_synchronized_capture"
+ # flaky
+ "test_normcap_ocr_testcases"
] ++ lib.optionals stdenv.isDarwin [
# requires impure pbcopy
"test_get_copy_func_with_pbcopy"
"test_get_copy_func_without_pbcopy"
"test_perform_pbcopy"
+ # NSXPCSharedListener endpointForReply:withListenerName:replyErrorCode:
+ # while obtaining endpoint 'ClientCallsAuxiliary': Connection interrupted
+ # since v5.0.0
+ "test_introduction_initialize_checkbox_state"
+ "test_introduction_checkbox_sets_return_code"
+ "test_introduction_toggle_checkbox_changes_return_code"
+ "test_show_introduction"
];
disabledTestPaths = [
@@ -105,6 +127,9 @@ ps.buildPythonApplication rec {
] ++ lib.optionals stdenv.isDarwin [
# requires a display
"tests/integration/test_normcap.py"
+ "tests/integration/test_tray_menu.py"
+ # failure unknown, crashes in first test with `.show()`
+ "tests/tests_gui/test_loading_indicator.py"
];
meta = with lib; {
@@ -113,5 +138,6 @@ ps.buildPythonApplication rec {
license = licenses.gpl3Plus;
maintainers = with maintainers; [ cafkafk pbsds ];
mainProgram = "normcap";
+ broken = stdenv.isDarwin;
};
}
diff --git a/pkgs/by-name/no/nosql-workbench/package.nix b/pkgs/by-name/no/nosql-workbench/package.nix
new file mode 100644
index 000000000000..1b96328b211b
--- /dev/null
+++ b/pkgs/by-name/no/nosql-workbench/package.nix
@@ -0,0 +1,82 @@
+{
+ appimageTools,
+ lib,
+ fetchurl,
+ jdk21,
+ stdenv,
+ _7zz
+}:
+let
+ pname = "nosql-workbench";
+ version = "3.11.0";
+
+ src = fetchurl {
+ x86_64-darwin = {
+ url = "https://s3.amazonaws.com/nosql-workbench/NoSQL%20Workbench-mac-x64-${version}.dmg";
+ hash = "sha256-KM3aDDsQGZwUKU/or0eOoP8okAOPH7q8KL46RwfqhzM=";
+ };
+ aarch64-darwin = {
+ url = "https://s3.amazonaws.com/nosql-workbench/NoSQL%20Workbench-mac-arm64-${version}.dmg";
+ hash = "sha256-LzHiCMrDOWDuMNkkojLgKn+UG7x76wSAz0BapyWkAzU=";
+ };
+ x86_64-linux = {
+ url = "https://s3.amazonaws.com/nosql-workbench/NoSQL%20Workbench-linux-${version}.AppImage";
+ hash = "sha256-cDOSbhAEFBHvAluxTxqVpva1GJSlFhiozzRfuM4MK5c=";
+ };
+ }.${stdenv.system} or (throw "Unsupported system: ${stdenv.system}");
+
+ meta = {
+ description = "Visual tool that provides data modeling, data visualization, and query development features to help you design, create, query, and manage DynamoDB tables";
+ homepage = "https://docs.aws.amazon.com/amazondynamodb/latest/developerguide/workbench.html";
+ changelog = "https://docs.aws.amazon.com/amazondynamodb/latest/developerguide/WorkbenchDocumentHistory.html";
+ license = lib.licenses.unfree;
+ maintainers = with lib.maintainers; [ DataHearth ];
+ platforms = [ "aarch64-darwin" "x86_64-darwin" "x86_64-linux" ];
+ };
+in
+if stdenv.isDarwin then stdenv.mkDerivation {
+ inherit pname version src meta;
+
+ sourceRoot = ".";
+
+ # DMG file is using APFS which is unsupported by "undmg".
+ # Instead, use "7zz" to extract the contents.
+ # "undmg" issue: https://github.com/matthewbauer/undmg/issues/4
+ nativeBuildInputs = [ _7zz ];
+
+ buildInputs = [ jdk21 ];
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p "$out/Applications"
+ mv NoSQL\ Workbench.app $out/Applications/
+
+ runHook postInstall
+ '';
+
+} else appimageTools.wrapType2 {
+ inherit pname version src meta;
+
+ extraPkgs = ps: (appimageTools.defaultFhsEnvArgs.multiPkgs ps) ++ [
+ # Required to run DynamoDB locally
+ ps.jdk21
+ ];
+
+ extraInstallCommands = let
+ appimageContents = appimageTools.extract {
+ inherit pname version src;
+ };
+ in ''
+ # Replace version from binary name
+ mv $out/bin/${pname}-${version} $out/bin/${pname}
+
+ # Install XDG Desktop file and its icon
+ install -Dm444 ${appimageContents}/nosql-workbench.desktop -t $out/share/applications
+ install -Dm444 ${appimageContents}/nosql-workbench.png -t $out/share/pixmaps
+
+ # Replace wrong exec statement in XDG Desktop file
+ substituteInPlace $out/share/applications/nosql-workbench.desktop \
+ --replace 'Exec=AppRun --no-sandbox %U' 'Exec=nosql-workbench'
+ '';
+}
diff --git a/pkgs/by-name/no/noto-fonts/package.nix b/pkgs/by-name/no/noto-fonts/package.nix
index 1fd5bc98f7b5..f700f8ad121c 100644
--- a/pkgs/by-name/no/noto-fonts/package.nix
+++ b/pkgs/by-name/no/noto-fonts/package.nix
@@ -18,13 +18,13 @@
stdenvNoCC.mkDerivation rec {
pname = "noto-fonts${suffix}";
- version = "24.2.1";
+ version = "24.3.1";
src = fetchFromGitHub {
owner = "notofonts";
repo = "notofonts.github.io";
rev = "noto-monthly-release-${version}";
- hash = "sha256-gOiaV1K7vYp5XguJTKRgUXJA+46p7po972XgCxV68iA=";
+ hash = "sha256-bopBRpIGXtRyAjBuMhJCjwFUlK8WDurxIFbZbRzEE40=";
};
_variants = map (variant: builtins.replaceStrings [ " " ] [ "" ] variant) variants;
diff --git a/pkgs/by-name/no/nowplaying-cli/package.nix b/pkgs/by-name/no/nowplaying-cli/package.nix
new file mode 100644
index 000000000000..fc47967113c1
--- /dev/null
+++ b/pkgs/by-name/no/nowplaying-cli/package.nix
@@ -0,0 +1,40 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, darwin
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "nowplaying-cli";
+ version = "1.2.1";
+
+ src = fetchFromGitHub {
+ owner = "kirtan-shah";
+ repo = "nowplaying-cli";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-FkyrtgsGzpK2rLNr+oxfPUbX43TVXYeiBg7CN1JUg8Y=";
+ };
+
+ buildInputs = [
+ darwin.apple_sdk.frameworks.Foundation
+ darwin.apple_sdk.frameworks.AppKit
+ darwin.apple_sdk.frameworks.Cocoa
+ ];
+
+ installPhase = ''
+ runHook preInstall
+
+ install -D nowplaying-cli $out/bin/nowplaying-cli
+
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ description = "macOS command-line utility for retrieving currently playing media";
+ homepage = "https://github.com/kirtan-shah/nowplaying-cli";
+ license = licenses.gpl3Only;
+ maintainers = with maintainers; [ emilytrau ];
+ platforms = platforms.darwin;
+ mainProgram = "nowplaying-cli";
+ };
+})
diff --git a/pkgs/by-name/nr/nrpl/package.nix b/pkgs/by-name/nr/nrpl/package.nix
index 31ed20246e67..c75fe1d5a512 100644
--- a/pkgs/by-name/nr/nrpl/package.nix
+++ b/pkgs/by-name/nr/nrpl/package.nix
@@ -31,6 +31,7 @@ buildNimPackage {
meta = with lib; {
description = "REPL for the Nim programming language";
+ mainProgram = "nrpl";
homepage = "https://github.com/wheineman/nrpl";
license = licenses.mit;
maintainers = with maintainers; [ peterhoeg ];
diff --git a/pkgs/by-name/nr/nrr/package.nix b/pkgs/by-name/nr/nrr/package.nix
index 00738eac3694..6b37d272f5f8 100644
--- a/pkgs/by-name/nr/nrr/package.nix
+++ b/pkgs/by-name/nr/nrr/package.nix
@@ -9,16 +9,16 @@
rustPlatform.buildRustPackage rec {
pname = "nrr";
- version = "0.5.2";
+ version = "0.8.0";
src = fetchFromGitHub {
owner = "ryanccn";
repo = "nrr";
rev = "v${version}";
- hash = "sha256-WrpyT5h+eoCu7cspf9KGaM0FgLmnBm8tOHIWbj8sYpo=";
+ hash = "sha256-4zQi7kQxcRXpYuSjolSZoDqX+CcGmq4dvChPlZZZVso=";
};
- cargoHash = "sha256-XTKaVHy7FWYgMq5gNCLF8kIjDDyiyZ+GPZYBMKtLrsI=";
+ cargoHash = "sha256-XNnyEFEzKQ5N0xtskaUudcb2LtAiEsd6h3D/FdyIbHc=";
buildInputs = lib.optionals stdenv.isDarwin [
darwin.apple_sdk.frameworks.CoreFoundation
diff --git a/pkgs/by-name/ns/nsxiv/package.nix b/pkgs/by-name/ns/nsxiv/package.nix
index 4e3229b77d2e..ba267571a900 100644
--- a/pkgs/by-name/ns/nsxiv/package.nix
+++ b/pkgs/by-name/ns/nsxiv/package.nix
@@ -47,6 +47,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
homepage = "https://nsxiv.codeberg.page/";
description = "New Suckless X Image Viewer";
+ mainProgram = "nsxiv";
longDescription = ''
nsxiv is a fork of now unmaintained sxiv with the purpose of being a
drop-in replacement of sxiv, maintaining it and adding simple, sensible
diff --git a/pkgs/by-name/nu/nuget/package.nix b/pkgs/by-name/nu/nuget/package.nix
index 6bf41690a2ea..664dd7b4e390 100644
--- a/pkgs/by-name/nu/nuget/package.nix
+++ b/pkgs/by-name/nu/nuget/package.nix
@@ -32,6 +32,7 @@ stdenv.mkDerivation (attrs: {
meta = with lib; {
description = "A package manager for the .NET platform";
+ mainProgram = "nuget";
homepage = "https://www.mono-project.com/";
longDescription = ''
NuGet is the package manager for the .NET platform.
diff --git a/pkgs/by-name/nu/nulloy/package.nix b/pkgs/by-name/nu/nulloy/package.nix
new file mode 100644
index 000000000000..57ff0eb60ff0
--- /dev/null
+++ b/pkgs/by-name/nu/nulloy/package.nix
@@ -0,0 +1,65 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, which
+, pkg-config
+, zip
+, imagemagick
+, qt5
+, taglib
+, gst_all_1
+}:
+
+stdenv.mkDerivation rec {
+ pname = "nulloy";
+ version = "0.9.8.7";
+
+ src = fetchFromGitHub {
+ owner = "nulloy";
+ repo = "nulloy";
+ rev = version;
+ hash = "sha256-s8DzL7pp3hmD9k8pVqmk7WGq3zZ1tLF9C+jxcRtJOXA=";
+ };
+
+ nativeBuildInputs = [
+ which # used by configure script
+ pkg-config
+ zip
+ imagemagick
+ qt5.qttools
+ qt5.wrapQtAppsHook
+ ];
+
+ buildInputs = [
+ qt5.qtscript
+ qt5.qtsvg
+ taglib
+ ] ++ (with gst_all_1; [
+ gstreamer
+ gst-plugins-base
+ gst-plugins-good
+ gst-plugins-bad
+ gst-plugins-ugly
+ ]);
+
+ prefixKey = "--prefix ";
+
+ enableParallelBuilding = true;
+
+ # FIXME: not added by gstreamer setup hook by default
+ preFixup = ''
+ qtWrapperArgs+=(
+ --prefix GST_PLUGIN_SYSTEM_PATH_1_0 : "$GST_PLUGIN_SYSTEM_PATH_1_0"
+ )
+ '';
+
+ meta = with lib; {
+ description = "Music player with a waveform progress bar";
+ homepage = "https://nulloy.com";
+ license = licenses.gpl3Only;
+ mainProgram = "nulloy";
+ maintainers = with maintainers; [ aleksana ];
+ platforms = platforms.all;
+ broken = stdenv.isDarwin;
+ };
+}
diff --git a/pkgs/by-name/nu/numbat/package.nix b/pkgs/by-name/nu/numbat/package.nix
index d07753b3ffe8..26e0c6f61d5c 100644
--- a/pkgs/by-name/nu/numbat/package.nix
+++ b/pkgs/by-name/nu/numbat/package.nix
@@ -9,16 +9,16 @@
rustPlatform.buildRustPackage rec {
pname = "numbat";
- version = "1.10.1";
+ version = "1.11.0";
src = fetchFromGitHub {
owner = "sharkdp";
repo = "numbat";
rev = "v${version}";
- hash = "sha256-/jt1+21yem0q/dlc7z89MRaVrnllb9QLSQUo2f/9q8o=";
+ hash = "sha256-/XUDtyOk//J4S9NoRP/s5s6URkdzePhW7UQ4FxDgmhs=";
};
- cargoHash = "sha256-8AA0LTw/9kd6yDme4N3/ANVkS67eoLrJviNhdqUftXM=";
+ cargoHash = "sha256-uM4LmD78ZHAzx5purTO+MUstaSrR+j2LuSDUBI2tl3s=";
buildInputs = lib.optionals stdenv.isDarwin [
darwin.apple_sdk.frameworks.Security
diff --git a/pkgs/applications/virtualization/nvidia-container-toolkit/0001-Add-dlopen-discoverer.patch b/pkgs/by-name/nv/nvidia-container-toolkit/0001-Add-dlopen-discoverer.patch
similarity index 100%
rename from pkgs/applications/virtualization/nvidia-container-toolkit/0001-Add-dlopen-discoverer.patch
rename to pkgs/by-name/nv/nvidia-container-toolkit/0001-Add-dlopen-discoverer.patch
diff --git a/pkgs/applications/virtualization/nvidia-docker/default.nix b/pkgs/by-name/nv/nvidia-container-toolkit/nvidia-docker.nix
similarity index 100%
rename from pkgs/applications/virtualization/nvidia-docker/default.nix
rename to pkgs/by-name/nv/nvidia-container-toolkit/nvidia-docker.nix
diff --git a/pkgs/applications/virtualization/nvidia-container-toolkit/default.nix b/pkgs/by-name/nv/nvidia-container-toolkit/package.nix
similarity index 95%
rename from pkgs/applications/virtualization/nvidia-container-toolkit/default.nix
rename to pkgs/by-name/nv/nvidia-container-toolkit/package.nix
index 451ddb4906bc..ba76e4de97e4 100644
--- a/pkgs/applications/virtualization/nvidia-container-toolkit/default.nix
+++ b/pkgs/by-name/nv/nvidia-container-toolkit/package.nix
@@ -6,8 +6,8 @@
, linkFarm
, writeShellScript
, formats
-, containerRuntimePath
-, configTemplate
+, containerRuntimePath ? null
+, configTemplate ? null
, configTemplatePath ? null
, libnvidia-container
, cudaPackages
@@ -87,11 +87,11 @@ buildGoModule rec {
];
nativeBuildInputs = [
- cudaPackages.autoAddOpenGLRunpathHook
+ cudaPackages.autoAddDriverRunpath
makeWrapper
];
- preConfigure = ''
+ preConfigure = lib.optionalString (containerRuntimePath != null) ''
# Ensure the runc symlink isn't broken:
if ! readlink --quiet --canonicalize-existing "${isolatedContainerRuntimePath}/runc" ; then
echo "${isolatedContainerRuntimePath}/runc: broken symlink" >&2
@@ -109,7 +109,7 @@ buildGoModule rec {
in
[ "-skip" "${builtins.concatStringsSep "|" skippedTests}" ];
- postInstall = ''
+ postInstall = lib.optionalString (containerRuntimePath != null) ''
mkdir -p $out/etc/nvidia-container-runtime
# nvidia-container-runtime invokes docker-runc or runc if that isn't
diff --git a/pkgs/applications/virtualization/nvidia-container-toolkit/packages.nix b/pkgs/by-name/nv/nvidia-container-toolkit/packages.nix
similarity index 93%
rename from pkgs/applications/virtualization/nvidia-container-toolkit/packages.nix
rename to pkgs/by-name/nv/nvidia-container-toolkit/packages.nix
index 0ce76d5aed31..c78b6b1c2834 100644
--- a/pkgs/applications/virtualization/nvidia-container-toolkit/packages.nix
+++ b/pkgs/by-name/nv/nvidia-container-toolkit/packages.nix
@@ -29,7 +29,7 @@ lib.makeScope newScope (
ldconfig = "@@glibcbin@/bin/ldconfig";
};
};
- nvidia-container-toolkit-docker = self.callPackage ./. {
+ nvidia-container-toolkit-docker = self.callPackage ./package.nix {
containerRuntimePath = "${docker}/libexec/docker/docker";
configTemplate = self.dockerConfig;
};
@@ -65,7 +65,8 @@ lib.makeScope newScope (
];
inherit (self.nvidia-docker-unwrapped) meta;
};
- nvidia-docker-unwrapped = self.callPackage ../nvidia-docker { };
+ nvidia-docker-unwrapped =
+ self.callPackage ./nvidia-docker.nix { };
nvidia-podman = symlinkJoin {
name = "nvidia-podman";
diff --git a/pkgs/by-name/nw/nwg-drawer/package.nix b/pkgs/by-name/nw/nwg-drawer/package.nix
index 976f99158c04..9f1d2f85e0ef 100644
--- a/pkgs/by-name/nw/nwg-drawer/package.nix
+++ b/pkgs/by-name/nw/nwg-drawer/package.nix
@@ -12,16 +12,16 @@
let
pname = "nwg-drawer";
- version = "0.4.3";
+ version = "0.4.5";
src = fetchFromGitHub {
owner = "nwg-piotr";
repo = "nwg-drawer";
rev = "v${version}";
- hash = "sha256-1iylXR3WP39E/0L/vBe5LxXOKV+KisgUr2rxBEHahMo=";
+ hash = "sha256-TtCn93AyCSa0AlwwbtTdHwwteGbhaFL5OCohGOxn4Bg=";
};
- vendorHash = "sha256-8s8+ukMQpciQmKt77fNE7r+3cm/UDxO8VtkrNYdKhM8=";
+ vendorHash = "sha256-w27zoC0BwTkiKyGVfNWG0k4tyTm5IIAthKqOyIMYBZQ=";
in
buildGoModule {
inherit pname version src vendorHash;
diff --git a/pkgs/by-name/nw/nwg-hello/package.nix b/pkgs/by-name/nw/nwg-hello/package.nix
index de4cff184af4..0fb32cc56484 100644
--- a/pkgs/by-name/nw/nwg-hello/package.nix
+++ b/pkgs/by-name/nw/nwg-hello/package.nix
@@ -9,13 +9,13 @@
python3Packages.buildPythonApplication rec {
pname = "nwg-hello";
- version = "0.1.6";
+ version = "0.1.7";
src = fetchFromGitHub {
owner = "nwg-piotr";
repo = "nwg-hello";
- rev = "v${version}";
- hash = "sha256-+D89QTFUV7/dhfcOWnQshG8USh35Vdm/QPHbsxiV0j0=";
+ rev = "refs/tags/v${version}";
+ hash = "sha256-HDH5B15MQqJhRNCPeg4IJSeX/676AdCNhmJ7iqn8yco=";
};
nativeBuildInputs = [
diff --git a/pkgs/by-name/oa/oauth2ms/package.nix b/pkgs/by-name/oa/oauth2ms/package.nix
index b32ea14d3a9f..0a4787bacd7d 100644
--- a/pkgs/by-name/oa/oauth2ms/package.nix
+++ b/pkgs/by-name/oa/oauth2ms/package.nix
@@ -28,6 +28,7 @@ stdenv.mkDerivation {
meta = with lib; {
homepage = "https://github.com/harishkrupo/oauth2ms";
description = "XOAUTH2 compatible Office365 token fetcher";
+ mainProgram = "oauth2ms";
platforms = platforms.all;
license = licenses.asl20;
maintainers = with maintainers; [ wentasah ];
diff --git a/pkgs/by-name/ob/obs-cmd/package.nix b/pkgs/by-name/ob/obs-cmd/package.nix
new file mode 100644
index 000000000000..71fe89fb7b3e
--- /dev/null
+++ b/pkgs/by-name/ob/obs-cmd/package.nix
@@ -0,0 +1,26 @@
+{ lib
+, rustPlatform
+, fetchFromGitHub
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "obs-cmd";
+ version = "0.17.4";
+
+ src = fetchFromGitHub {
+ owner = "grigio";
+ repo = "obs-cmd";
+ rev = "v${version}";
+ hash = "sha256-HCvIMIQZKzIkpYL9F9oM4xiE/gOeI+7dMj9QmhetHm4=";
+ };
+
+ cargoHash = "sha256-AQRjZH3WhZXU6NhDSCv4/HWz5un1nFtuzWPYSJA9XaE=";
+
+ meta = with lib; {
+ description = "Minimal CLI to control OBS Studio via obs-websocket";
+ homepage = "https://github.com/grigio/obs-cmd";
+ license = licenses.mit;
+ maintainers = with maintainers; [ ianmjones ];
+ mainProgram = "obs-cmd";
+ };
+}
diff --git a/pkgs/by-name/oc/octorpki/package.nix b/pkgs/by-name/oc/octorpki/package.nix
deleted file mode 100644
index 87a8498d28a6..000000000000
--- a/pkgs/by-name/oc/octorpki/package.nix
+++ /dev/null
@@ -1,50 +0,0 @@
-{ lib
-, buildGoModule
-, fetchFromGitHub
-, fetchpatch
-}:
-
-buildGoModule rec {
- pname = "octorpki";
- version = "1.5.10";
-
- src = fetchFromGitHub {
- owner = "cloudflare";
- repo = "cfrpki";
- rev = "v${version}";
- hash = "sha256-eqIAauwFh1Zbv3Jkk8plz1OR3ZW8fs0ugNwwTnSHSFM=";
- };
-
- patches = [
- # https://github.com/cloudflare/cfrpki/pull/150
- (fetchpatch {
- url = "https://github.com/cloudflare/cfrpki/commit/fd0c4e95b880c463430c91ce1f86205b9309399b.patch";
- hash = "sha256-cJ0mWkjtGvgTIH5eEum8h2Gy2PqR+nPto+mj5m/I/d4=";
- })
- ];
-
- ldflags = [
- "-X main.version=v${version}"
- "-X main.talpath=${placeholder "out"}/share/tals"
- ];
-
- subPackages = [
- "cmd/octorpki"
- ];
-
- postInstall = ''
- mkdir -p $out/share
- cp -R cmd/octorpki/tals $out/share/tals
- '';
-
- vendorHash = null;
-
- meta = with lib; {
- homepage = "https://github.com/cloudflare/cfrpki#octorpki";
- changelog = "https://github.com/cloudflare/cfrpki/releases/tag/v${version}";
- description = "A software used to download RPKI (RFC 6480) certificates and validate them";
- license = licenses.bsd3;
- platforms = platforms.all;
- maintainers = teams.wdz.members;
- };
-}
diff --git a/pkgs/by-name/oe/oelint-adv/package.nix b/pkgs/by-name/oe/oelint-adv/package.nix
index abcb5bbfa3c8..a4d4d315d5c3 100644
--- a/pkgs/by-name/oe/oelint-adv/package.nix
+++ b/pkgs/by-name/oe/oelint-adv/package.nix
@@ -6,13 +6,13 @@
python3.pkgs.buildPythonApplication rec {
pname = "oelint-adv";
- version = "4.2.0";
+ version = "4.4.4";
format = "setuptools";
src = fetchPypi {
inherit version;
pname = "oelint_adv";
- hash = "sha256-Yq69pZLtOdUP+ZkKA6F7KgRlmXJQiS17+ETMVjpt9iY=";
+ hash = "sha256-ELWVQzlC56EYy0op42jKmRsuVnSZfz2fQATWOlhxWbk=";
};
propagatedBuildInputs = with python3.pkgs; [
@@ -32,6 +32,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "Advanced bitbake-recipe linter";
+ mainProgram = "oelint-adv";
homepage = "https://github.com/priv-kweihmann/oelint-adv";
changelog = "https://github.com/priv-kweihmann/oelint-adv/releases/tag/v${version}";
license = licenses.bsd2;
diff --git a/pkgs/by-name/oi/oil/package.nix b/pkgs/by-name/oi/oil/package.nix
index 58e52dac3f0d..c6ba73d1ef8e 100644
--- a/pkgs/by-name/oi/oil/package.nix
+++ b/pkgs/by-name/oi/oil/package.nix
@@ -7,40 +7,24 @@ let
in
stdenv.mkDerivation rec {
pname = "oil";
- version = "0.20.0";
+ version = "0.21.0";
src = fetchurl {
- url = "https://www.oilshell.org/download/oils-for-unix-${version}.tar.gz";
- hash = "sha256-d4BIRj8bPyd7awZyJPlZYBwr+o82IKGh4y4/urOYOxc=";
+ url = "https://www.oilshell.org/download/oil-${version}.tar.xz";
+ hash = "sha256-eoImAByJFtAEaPYn02XerR/0+dXO8IdhnTEDCMKmlJI=";
};
postPatch = ''
- patchShebangs _build
+ patchShebangs build
'';
preInstall = ''
mkdir -p $out/bin
'';
- buildPhase = ''
- runHook preBuild
-
- _build/oils.sh
-
- runHook postBuild
- '';
-
- installPhase = ''
- runHook preInstall
-
- ./install
-
- runHook postInstall
- '';
-
strictDeps = true;
buildInputs = lib.optional withReadline readline;
- # As of 0.19.0 the build generates an error on MacOS (using clang version 16.0.6 in the builder),
+ # As of 0.20.0 the build generates an error on MacOS (using clang version 16.0.6 in the builder),
# whereas running it outside of Nix with clang version 15.0.0 generates just a warning. The shell seems to
# work just fine though, so we disable the error here.
env.NIX_CFLAGS_COMPILE = lib.optionalString stdenv.cc.isClang "-Wno-error=incompatible-function-pointer-types";
@@ -55,7 +39,7 @@ stdenv.mkDerivation rec {
dontStrip = true;
meta = {
- description = "A new unix shell";
+ description = "A new unix shell - Python version";
homepage = "https://www.oilshell.org/";
license = with lib.licenses; [
@@ -64,7 +48,7 @@ stdenv.mkDerivation rec {
];
platforms = lib.platforms.all;
- maintainers = with lib.maintainers; [ lheckemann alva mkg20001 ];
+ maintainers = with lib.maintainers; [ lheckemann alva melkor333 ];
changelog = "https://www.oilshell.org/release/${version}/changelog.html";
};
diff --git a/pkgs/by-name/oi/oil-python/package.nix b/pkgs/by-name/oi/oils-for-unix/package.nix
similarity index 62%
rename from pkgs/by-name/oi/oil-python/package.nix
rename to pkgs/by-name/oi/oils-for-unix/package.nix
index 0c5cf044e09a..f477d66d8a07 100644
--- a/pkgs/by-name/oi/oil-python/package.nix
+++ b/pkgs/by-name/oi/oils-for-unix/package.nix
@@ -6,25 +6,41 @@ let
};
in
stdenv.mkDerivation rec {
- pname = "oil";
- version = "0.20.0";
+ pname = "oils-for-unix";
+ version = "0.21.0";
src = fetchurl {
- url = "https://www.oilshell.org/download/oil-${version}.tar.xz";
- hash = "sha256-QrhfUru6Sju44W8j/DlMQwK8/ZY48GfwHDfSPy7kSaA=";
+ url = "https://www.oilshell.org/download/oils-for-unix-${version}.tar.gz";
+ hash = "sha256-g8uEK68J9BsCHEvJGDgsKUmsuR1MvChEC9A00Y2sZU4=";
};
postPatch = ''
- patchShebangs build
+ patchShebangs _build
'';
preInstall = ''
mkdir -p $out/bin
'';
+ buildPhase = ''
+ runHook preBuild
+
+ _build/oils.sh
+
+ runHook postBuild
+ '';
+
+ installPhase = ''
+ runHook preInstall
+
+ ./install
+
+ runHook postInstall
+ '';
+
strictDeps = true;
buildInputs = lib.optional withReadline readline;
- # As of 0.20.0 the build generates an error on MacOS (using clang version 16.0.6 in the builder),
+ # As of 0.19.0 the build generates an error on MacOS (using clang version 16.0.6 in the builder),
# whereas running it outside of Nix with clang version 15.0.0 generates just a warning. The shell seems to
# work just fine though, so we disable the error here.
env.NIX_CFLAGS_COMPILE = lib.optionalString stdenv.cc.isClang "-Wno-error=incompatible-function-pointer-types";
@@ -39,16 +55,13 @@ stdenv.mkDerivation rec {
dontStrip = true;
meta = {
- description = "A new unix shell - Old python build";
+ description = "A Unix shell with JSON-compatible structured data. It's our upgrade path from bash to a better language and runtime.";
homepage = "https://www.oilshell.org/";
- license = with lib.licenses; [
- psfl # Includes a portion of the python interpreter and standard library
- asl20 # Licence for Oil itself
- ];
+ license = lib.licenses.asl20;
platforms = lib.platforms.all;
- maintainers = with lib.maintainers; [ lheckemann alva ];
+ maintainers = with lib.maintainers; [ lheckemann alva mkg20001 melkor333 ];
changelog = "https://www.oilshell.org/release/${version}/changelog.html";
};
diff --git a/pkgs/by-name/ol/olvid/package.nix b/pkgs/by-name/ol/olvid/package.nix
new file mode 100644
index 000000000000..1aaf472fef5a
--- /dev/null
+++ b/pkgs/by-name/ol/olvid/package.nix
@@ -0,0 +1,108 @@
+{ stdenv
+, lib
+, fetchurl
+, zlib
+, libXext
+, libX11
+, libXrender
+, libXtst
+, libXi
+, freetype
+, alsa-lib
+, jdk21
+, openjfx21
+, autoPatchelfHook
+, makeBinaryWrapper
+, wrapGAppsHook
+}:
+
+let
+ repo = "olvid";
+
+ javafxModules = [ "swing" "controls" "media" "fxml" "graphics" "base" ];
+
+ classpath =
+ lib.concatMap (mod: [
+ "${openjfx21}/modules_src/javafx.${mod}/module-info.java"
+ "${openjfx21}/modules/javafx.${mod}"
+ "${openjfx21}/modules_libs/javafx.${mod}"
+ ]) javafxModules ++
+ [ "$out/share/${repo}/*" ];
+
+ jvmArgs = [
+ "-cp" (lib.concatStringsSep ":" classpath)
+ "-Djpackage.app-version=$version"
+ "-Dolvid.sqlcipher=true"
+ "-Dolvid.dev=false"
+ "-Dolvid.packaged=true"
+ "-Dolvid.multiuser=false"
+ "-Dolvid.debug=false"
+ "-Dolvid.version=$version"
+ "-Djava.net.useSystemProxies=true"
+ "-Djava.library.path=$out/lib/"
+ "-Xss8M"
+ "-XX:+ShowCodeDetailsInExceptionMessages"
+ "--add-opens=java.desktop/java.awt=ALL-UNNAMED"
+ "--add-opens=java.desktop/java.awt.geom=ALL-UNNAMED"
+ "--add-opens=java.desktop/sun.awt.geom=ALL-UNNAMED"
+ "--add-opens=java.base/java.util=ALL-UNNAMED"
+ "--add-opens=java.desktop/javax.swing=ALL-UNNAMED"
+ "--add-opens=java.desktop/sun.awt.shell=ALL-UNNAMED"
+ ];
+in
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "olvid";
+ version = "1.5.0";
+
+ dontUnpack = true;
+ dontWrapGApps = true;
+
+ src = fetchurl {
+ url = "https://static.olvid.io/linux/${repo}-${finalAttrs.version}.tar.gz";
+ hash = "sha256-iiMc9mM+uUVeS1i1gzXMZaMwSChdz7vNrL9uqJlR8NU=";
+ };
+
+ nativeBuildInputs = [
+ autoPatchelfHook
+ makeBinaryWrapper
+ wrapGAppsHook
+ ];
+
+ buildInputs = [
+ zlib
+ libXext
+ libX11
+ libXrender
+ libXtst
+ libXi
+ freetype
+ alsa-lib
+ ];
+
+ installPhase = ''
+ runHook preInstall
+
+ install -dm755 "$out/share/${repo}"
+ tar -xf "$src" -C "$out/share/${repo}" --wildcards --strip-components 3 olvid/lib/app/'*.jar'
+
+ install -dm755 "$out/lib"
+ tar -xf "$src" -C "$out/lib" --strip-components 4 olvid/lib/runtime/lib/
+
+ install -dm755 "$out/bin"
+ makeBinaryWrapper ${jdk21}/bin/java $out/bin/${repo} \
+ "''${gappsWrapperArgs[@]}" \
+ --add-flags "${lib.concatStringsSep " " jvmArgs} io.olvid.windows.messenger.start_up.Launcher"
+
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ description = "The secure french messenger";
+ homepage = "https://www.olvid.io";
+ license = licenses.agpl3Only;
+ mainProgram = "olvid";
+ maintainers = with maintainers; [ rookeur ];
+ platforms = platforms.linux;
+ };
+})
diff --git a/pkgs/by-name/on/one-click-backup/package.nix b/pkgs/by-name/on/one-click-backup/package.nix
new file mode 100644
index 000000000000..c12693559670
--- /dev/null
+++ b/pkgs/by-name/on/one-click-backup/package.nix
@@ -0,0 +1,42 @@
+{ lib
+, stdenv
+, fetchFromGitLab
+, cmake
+, ninja
+, qt6
+, extra-cmake-modules
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "one-click-backup";
+ version = "1.2.2.1";
+
+ src = fetchFromGitLab {
+ owner = "dev-nis";
+ repo = "nis-one-click-backup-qt";
+ rev = finalAttrs.version;
+ hash = "sha256-F+gA+Z4gZoNJYdy28uIjqiJcwcNsyUzl6BXsiIZO0gE=";
+ };
+
+ nativeBuildInputs = [
+ cmake
+ ninja
+ qt6.wrapQtAppsHook
+ ];
+
+ buildInputs = [
+ qt6.qtdeclarative
+ extra-cmake-modules
+ ];
+
+ meta = with lib; {
+ description = "Simple Program to backup folders to an external location by copying them";
+ homepage = "https://gitlab.com/dev-nis/nis-one-click-backup-qt";
+ changelog = "https://gitlab.com/dev-nis/nis-one-click-backup-qt/-/blob/${finalAttrs.version}/CHANGELOG.md";
+ license = licenses.gpl3Only;
+ maintainers = with maintainers; [ NIS ];
+ mainProgram = "NIS_One-Click-Backup_Qt";
+ platforms = platforms.all;
+ broken = stdenv.hostPlatform.isDarwin;
+ };
+})
diff --git a/pkgs/by-name/on/onedrivegui/package.nix b/pkgs/by-name/on/onedrivegui/package.nix
index f9d1fca67539..f0a7eb7b7594 100644
--- a/pkgs/by-name/on/onedrivegui/package.nix
+++ b/pkgs/by-name/on/onedrivegui/package.nix
@@ -80,6 +80,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
homepage = "https://github.com/bpozdena/OneDriveGUI";
description = "A simple GUI for Linux OneDrive Client, with multi-account support";
+ mainProgram = "onedrivegui";
license = licenses.gpl3Only;
maintainers = with maintainers; [ chewblacka ];
platforms = platforms.linux;
diff --git a/pkgs/by-name/on/onedriver/package.nix b/pkgs/by-name/on/onedriver/package.nix
index f3182a611430..348f4b658b4c 100644
--- a/pkgs/by-name/on/onedriver/package.nix
+++ b/pkgs/by-name/on/onedriver/package.nix
@@ -7,6 +7,7 @@
, fuse
, installShellFiles
, wrapGAppsHook
+, wrapperDir ? "/run/wrappers/bin"
}:
let
pname = "onedriver";
@@ -40,6 +41,7 @@ buildGoModule {
install -Dm644 ./pkg/resources/onedriver-128.png $out/share/icons/onedriver/onedriver-128.png
install -Dm644 ./pkg/resources/onedriver.desktop $out/share/applications/onedriver.desktop
+ install -Dm644 ./pkg/resources/onedriver@.service $out/lib/systemd/user/onedriver@.service
mkdir -p $out/share/man/man1
installManPage ./pkg/resources/onedriver.1
@@ -47,6 +49,10 @@ buildGoModule {
substituteInPlace $out/share/applications/onedriver.desktop \
--replace "/usr/bin/onedriver-launcher" "$out/bin/onedriver-launcher" \
--replace "/usr/share/icons" "$out/share/icons"
+
+ substituteInPlace $out/lib/systemd/user/onedriver@.service \
+ --replace "/usr/bin/onedriver" "$out/bin/onedriver" \
+ --replace "/usr/bin/fusermount" "${wrapperDir}/fusermount"
'';
meta = with lib; {
diff --git a/pkgs/by-name/on/onlyoffice-bin_latest/package.nix b/pkgs/by-name/on/onlyoffice-bin_latest/package.nix
index b73d179e341d..4f3aaea95f24 100644
--- a/pkgs/by-name/on/onlyoffice-bin_latest/package.nix
+++ b/pkgs/by-name/on/onlyoffice-bin_latest/package.nix
@@ -187,6 +187,7 @@ buildFHSEnv {
meta = with lib; {
description = "Office suite that combines text, spreadsheet and presentation editors allowing to create, view and edit local documents";
+ mainProgram = "onlyoffice-desktopeditors";
longDescription = ''
This version is broken on wlroots environments (e.g. Hyprland, Sway).
If you are using one of these environments, please use `onlyoffice-bin` instead.
diff --git a/pkgs/by-name/op/opcua-commander/package.nix b/pkgs/by-name/op/opcua-commander/package.nix
new file mode 100644
index 000000000000..aecae5070ed8
--- /dev/null
+++ b/pkgs/by-name/op/opcua-commander/package.nix
@@ -0,0 +1,44 @@
+{ lib
+, buildNpmPackage
+, fetchFromGitHub
+, typescript
+, esbuild
+, makeWrapper
+, nodejs
+}:
+buildNpmPackage rec {
+ pname = "opcua-commander";
+ version = "0.37.0";
+
+ src = fetchFromGitHub {
+ owner = "node-opcua";
+ repo = "opcua-commander";
+ rev = version;
+ hash = "sha256-wQXSSNinY85Ti+D/zklYP2N8IP3OsN9xQNJuuQr4kVU=";
+ };
+
+ npmDepsHash = "sha256-Ux1X/3sam9WHrTfqoWv1r9p3pJOs6BaeFsxHizAvjXA=";
+ nativeBuildInputs = [ esbuild typescript makeWrapper ];
+
+ postPatch = ''
+ substituteInPlace package.json \
+ --replace-warn "npx -y esbuild" "esbuild"
+ '';
+
+ # We need to add `nodejs` to PATH for `opcua-commander` to properly work
+ # when connected to an OPC-UA server.
+ # Test it with:
+ # ./opcua-commander -e opc.tcp://opcuademo.sterfive.com:26543
+ postFixup = ''
+ wrapProgram $out/bin/opcua-commander \
+ --prefix PATH : "${lib.makeBinPath [nodejs]}"
+ '';
+
+ meta = with lib; {
+ description = "A opcua client with blessed (ncurses)";
+ homepage = "https://github.com/node-opcua/opcua-commander";
+ license = licenses.mit;
+ maintainers = with maintainers; [ jonboh ];
+ mainProgram = "opcua-commander";
+ };
+}
diff --git a/pkgs/by-name/op/open-scq30/package.nix b/pkgs/by-name/op/open-scq30/package.nix
new file mode 100644
index 000000000000..12b709282f6c
--- /dev/null
+++ b/pkgs/by-name/op/open-scq30/package.nix
@@ -0,0 +1,74 @@
+{ lib
+, rustPlatform
+, fetchFromGitHub
+, pkg-config
+, protobuf
+, wrapGAppsHook4
+, cairo
+, dbus
+, gdk-pixbuf
+, glib
+, gtk4
+, libadwaita
+, pango
+, stdenv
+, darwin
+, cargo-make
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "open-scq30";
+ version = "1.11.0";
+
+ src = fetchFromGitHub {
+ owner = "Oppzippy";
+ repo = "OpenSCQ30";
+ rev = "v${version}";
+ hash = "sha256-yls7F6ou0TsoY6CDi694fJrq30Y3B6d96T1VWl47K0w=";
+ };
+
+ nativeBuildInputs = [
+ pkg-config
+ protobuf
+ wrapGAppsHook4
+ cargo-make
+ ];
+
+ buildInputs = [
+ cairo
+ dbus
+ gdk-pixbuf
+ glib
+ gtk4
+ libadwaita
+ pango
+ ] ++ lib.optionals stdenv.isDarwin [
+ darwin.apple_sdk.frameworks.AppKit
+ darwin.apple_sdk.frameworks.CoreGraphics
+ darwin.apple_sdk.frameworks.Foundation
+ ];
+
+ cargoHash = "sha256-VxweKzXNWOrBrzLzId8D6O0tZG8bI7HjhD+GJ3vRyhk=";
+
+ INSTALL_PREFIX = placeholder "out";
+
+ # Requires headphones
+ doCheck = false;
+
+ buildPhase = ''
+ cargo make --profile release build
+ '';
+
+ installPhase = ''
+ cargo make --profile release install
+ '';
+
+ meta = with lib; {
+ description = "Cross platform application for controlling settings of Soundcore headphones.";
+ homepage = "https://github.com/Oppzippy/OpenSCQ30";
+ changelog = "https://github.com/Oppzippy/OpenSCQ30/blob/${src.rev}/CHANGELOG.md";
+ license = licenses.gpl3Only;
+ maintainers = with maintainers; [ mkg20001 ];
+ mainProgram = "open-scq30";
+ };
+}
diff --git a/pkgs/by-name/op/openapi-tui/package.nix b/pkgs/by-name/op/openapi-tui/package.nix
new file mode 100644
index 000000000000..fdbc0b6cb1d3
--- /dev/null
+++ b/pkgs/by-name/op/openapi-tui/package.nix
@@ -0,0 +1,28 @@
+{ lib
+, rustPlatform
+, fetchFromGitHub
+, pkg-config
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "openapi-tui";
+ version = "0.5.0";
+
+ src = fetchFromGitHub {
+ owner = "zaghaghi";
+ repo = "openapi-tui";
+ rev = version;
+ hash = "sha256-flxQ5+nLacQAkrxJafw9D3iXYTFpHcmTshEySmFJ0Cc=";
+ };
+
+ cargoHash = "sha256-vfEDbUrIXc498QnMJJlMGyTUDvlHgquB5GpWTe7yCvM=";
+
+ meta = with lib; {
+ description = "Terminal UI to list, browse and run APIs defined with openapi spec";
+ homepage = "https://github.com/zaghaghi/openapi-tui";
+ license = licenses.mit;
+ maintainers = with maintainers; [ matthiasbeyer ];
+ mainProgram = "openapi-tui";
+ };
+}
+
diff --git a/pkgs/by-name/op/opencflite/package.nix b/pkgs/by-name/op/opencflite/package.nix
new file mode 100644
index 000000000000..0da9e52e81d6
--- /dev/null
+++ b/pkgs/by-name/op/opencflite/package.nix
@@ -0,0 +1,43 @@
+{
+ lib,
+ stdenv,
+ fetchFromGitHub,
+ pkg-config,
+ icu,
+ libkqueue,
+ libuuid,
+ tzdata,
+ zlib,
+}:
+
+stdenv.mkDerivation rec {
+ pname = "opencflite";
+ version = "635.21.8";
+
+ src = fetchFromGitHub {
+ owner = "gerickson";
+ repo = "opencflite";
+ rev = "opencflite-${version}";
+ hash = "sha256-ijyj4SFYQ0wZAFM2ehNnR9+yu5yDTSVW3VBycBT9l+A=";
+ };
+
+ nativeBuildInputs = [ pkg-config ];
+
+ buildInputs = [
+ icu
+ libkqueue
+ libuuid
+ tzdata
+ zlib
+ ];
+
+ enableParallelBuilding = true;
+
+ meta = {
+ description = "Cross platform port of the macOS CoreFoundation";
+ homepage = "https://github.com/gerickson/opencflite";
+ license = lib.licenses.apsl20;
+ maintainers = with lib.maintainers; [ wegank ];
+ platforms = [ "x86_64-linux" ];
+ };
+}
diff --git a/pkgs/development/libraries/opencomposite/helper.nix b/pkgs/by-name/op/opencomposite-helper/package.nix
similarity index 100%
rename from pkgs/development/libraries/opencomposite/helper.nix
rename to pkgs/by-name/op/opencomposite-helper/package.nix
diff --git a/pkgs/development/libraries/opencomposite/default.nix b/pkgs/by-name/op/opencomposite/package.nix
similarity index 86%
rename from pkgs/development/libraries/opencomposite/default.nix
rename to pkgs/by-name/op/opencomposite/package.nix
index 935e59a53a41..dff4e5988cda 100644
--- a/pkgs/development/libraries/opencomposite/default.nix
+++ b/pkgs/by-name/op/opencomposite/package.nix
@@ -17,13 +17,13 @@
stdenv.mkDerivation {
pname = "opencomposite";
- version = "unstable-2024-02-05";
+ version = "unstable-2024-03-04";
src = fetchFromGitLab {
owner = "znixian";
repo = "OpenOVR";
- rev = "c1649b0e4f3c4f51c12904c0b818263006d56f00";
- hash = "sha256-K8Vtd60cKmhEKMBrlNZxoC73m1BY0014ejJM2mWkwsA=";
+ rev = "1bfdf67358add5f573efedbec1fa65d18b790e0e";
+ hash = "sha256-qF5oMI9B5a1oE2gQb/scbom/39Efccja0pTPHHaHMA8=";
};
nativeBuildInputs = [
diff --git a/pkgs/by-name/op/opengist/package.nix b/pkgs/by-name/op/opengist/package.nix
new file mode 100644
index 000000000000..053c088a6990
--- /dev/null
+++ b/pkgs/by-name/op/opengist/package.nix
@@ -0,0 +1,71 @@
+{ lib, buildGoModule, buildNpmPackage, fetchFromGitHub, moreutils, jq, git }:
+let
+ # finalAttrs when 🥺 (buildGoModule does not support them)
+ # https://github.com/NixOS/nixpkgs/issues/273815
+ version = "1.6.1";
+ src = fetchFromGitHub {
+ owner = "thomiceli";
+ repo = "opengist";
+ rev = "v${version}";
+ hash = "sha256-rJ8oiH08kSSFNgPHKGo68Oi1i3L1SEJyHuzoxKMOZME=";
+ };
+
+ frontend = buildNpmPackage {
+ pname = "opengist-frontend";
+ inherit version src;
+
+ nativeBuildInputs = [ moreutils jq ];
+
+ # npm complains of "invalid package". shrug. we can give it a version.
+ preBuild = ''
+ jq '.version = "${version}"' package.json | sponge package.json
+ '';
+
+ # copy pasta from the Makefile upstream, seems to be a workaround of sass
+ # issues, unsure why it is not done in vite:
+ # https://github.com/thomiceli/opengist/blob/05eccfa8e728335514a40476cd8116cfd1ca61dd/Makefile#L16-L19
+ postBuild = ''
+ EMBED=1 npx postcss 'public/assets/embed-*.css' -c public/postcss.config.js --replace
+ '';
+
+ installPhase = ''
+ mkdir -p $out
+ cp -R public $out
+ '';
+
+ npmDepsHash = "sha256-Sy321tIQOOrypk+EOGGixEzrPdhA9U8Hak+DOS+d00A=";
+ };
+in
+buildGoModule {
+ pname = "opengist";
+ inherit version src;
+ vendorHash = "sha256-IorqXJKzUTUL5zfKRipZaJtRlwVOmTwolJXFG/34Ais=";
+ tags = [
+ "fs_embed"
+ ];
+
+ # required for tests
+ nativeCheckInputs = [
+ git
+ ];
+
+ # required for tests to not try to write into $HOME and fail
+ preCheck = ''
+ export OG_OPENGIST_HOME=$(mktemp -d)
+ '';
+
+ postPatch = ''
+ cp -R ${frontend}/public/{manifest.json,assets} public/
+ '';
+
+ passthru.frontend = frontend;
+
+ meta = {
+ description = "Self-hosted pastebin powered by Git";
+ mainProgram = "opengist";
+ homepage = "https://github.com/thomiceli/opengist";
+ license = lib.licenses.agpl3Only;
+ maintainers = with lib.maintainers; [ lf- ];
+ platforms = lib.platforms.unix;
+ };
+}
diff --git a/pkgs/by-name/op/opengv/package.nix b/pkgs/by-name/op/opengv/package.nix
new file mode 100644
index 000000000000..ffe10a4d732b
--- /dev/null
+++ b/pkgs/by-name/op/opengv/package.nix
@@ -0,0 +1,45 @@
+{ lib
+, stdenv
+, eigen
+, fetchFromGitHub
+, cmake
+}:
+stdenv.mkDerivation (finalAttrs: {
+ pname = "opengv";
+ version = "0-unstable-2020-08-06";
+
+ src = fetchFromGitHub {
+ owner = "laurentkneip";
+ repo = "opengv";
+ rev = "91f4b19c73450833a40e463ad3648aae80b3a7f3";
+ hash = "sha256-LfnylJ9NCHlqjT76Tgku4NwxULJ+WDAcJQ2lDKGWSI4=";
+ };
+
+ nativeBuildInputs = [
+ cmake
+ ];
+
+ buildInputs = [
+ eigen
+ ];
+
+ cmakeFlakes = [
+ (lib.cmakeFeature "CMAKE_BUILD_TYPE" "Release")
+ ];
+
+ meta = {
+ description = "A collection of computer vision methods for solving geometric vision problems";
+ homepage = "https://github.com/laurentkneip/opengv";
+ license = lib.licenses.bsd2;
+ longDescription = ''OpenGV is a collection of computer vision methods for solving
+ geometric vision problems. It contains absolute-pose, relative-pose,
+ triangulation, and point-cloud alignment methods for the calibrated
+ case. All problems can be solved with central or non-central cameras,
+ and embedded into a random sample consensus or nonlinear optimization
+ context. Matlab and Python interfaces are implemented as well. The link
+ to the above pages also shows links to precompiled Matlab mex-libraries.
+ Please consult the documentation for more information.'';
+ maintainers = [ lib.maintainers.locochoco ];
+ platforms = lib.platforms.all;
+ };
+})
diff --git a/pkgs/by-name/op/openh264/package.nix b/pkgs/by-name/op/openh264/package.nix
new file mode 100644
index 000000000000..0ece02c3a2b3
--- /dev/null
+++ b/pkgs/by-name/op/openh264/package.nix
@@ -0,0 +1,48 @@
+{ lib
+, fetchFromGitHub
+, gtest
+, meson
+, nasm
+, ninja
+, pkg-config
+, stdenv
+, windows
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "openh264";
+ version = "2.4.1";
+
+ src = fetchFromGitHub {
+ owner = "cisco";
+ repo = "openh264";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-ai7lcGcQQqpsLGSwHkSs7YAoEfGCIbxdClO6JpGA+MI=";
+ };
+
+ outputs = [ "out" "dev" ];
+
+ nativeBuildInputs = [
+ meson
+ nasm
+ ninja
+ pkg-config
+ ];
+
+ buildInputs = [
+ gtest
+ ] ++ lib.optionals stdenv.hostPlatform.isWindows [
+ windows.pthreads
+ ];
+
+ strictDeps = true;
+
+ meta = {
+ homepage = "https://www.openh264.org";
+ description = "A codec library which supports H.264 encoding and decoding";
+ changelog = "https://github.com/cisco/openh264/releases/tag/${finalAttrs.src.rev}";
+ license = with lib.licenses; [ bsd2 ];
+ maintainers = with lib.maintainers; [ AndersonTorres ];
+ platforms = lib.platforms.unix ++ lib.platforms.windows;
+ };
+})
diff --git a/pkgs/applications/gis/openorienteering-mapper/default.nix b/pkgs/by-name/op/openorienteering-mapper/package.nix
similarity index 63%
rename from pkgs/applications/gis/openorienteering-mapper/default.nix
rename to pkgs/by-name/op/openorienteering-mapper/package.nix
index 81278c9184e7..eef5c0f91791 100644
--- a/pkgs/applications/gis/openorienteering-mapper/default.nix
+++ b/pkgs/by-name/op/openorienteering-mapper/package.nix
@@ -1,6 +1,5 @@
{ lib
, stdenv
-, mkDerivation
, fetchFromGitHub
, fetchpatch
, clipper
@@ -10,14 +9,11 @@
, gdal
, ninja
, proj
-, qtimageformats
-, qtlocation
-, qtsensors
-, qttools
+, qt5
, zlib
}:
-mkDerivation rec {
+stdenv.mkDerivation rec {
pname = "OpenOrienteering-Mapper";
version = "0.9.5";
@@ -36,11 +32,19 @@ mkDerivation rec {
})
];
+ postPatch = ''
+ substituteInPlace CMakeLists.txt \
+ --replace "find_package(ClangTidy" "#find_package(ClangTidy"
+ substituteInPlace packaging/custom_install.cmake.in \
+ --replace "fixup_bundle_portable(" "#fixup_bundle_portable("
+ '';
+
nativeBuildInputs = [
cmake
doxygen
ninja
- qttools
+ qt5.qttools
+ qt5.wrapQtAppsHook
];
buildInputs = [
@@ -48,35 +52,34 @@ mkDerivation rec {
cups
gdal
proj
- qtimageformats
- qtlocation
- qtsensors
+ qt5.qtimageformats
+ qt5.qtlocation
+ qt5.qtsensors
zlib
];
cmakeFlags = [
# Building the manual and bundling licenses fails
# See https://github.com/NixOS/nixpkgs/issues/85306
- "-DLICENSING_PROVIDER:BOOL=OFF"
- "-DMapper_MANUAL_QTHELP:BOOL=OFF"
+ (lib.cmakeBool "LICENSING_PROVIDER" false)
+ (lib.cmakeBool "Mapper_MANUAL_QTHELP" false)
] ++ lib.optionals stdenv.isDarwin [
# FindGDAL is broken and always finds /Library/Framework unless this is
# specified
- "-DGDAL_INCLUDE_DIR=${gdal}/include"
- "-DGDAL_CONFIG=${gdal}/bin/gdal-config"
- "-DGDAL_LIBRARY=${gdal}/lib/libgdal.dylib"
+ (lib.cmakeFeature "GDAL_INCLUDE_DIR" "${gdal}/include")
+ (lib.cmakeFeature "GDAL_CONFIG" "${gdal}/bin/gdal-config")
+ (lib.cmakeFeature "GDAL_LIBRARY" "${gdal}/lib/libgdal.dylib")
# Don't bundle libraries
- "-DMapper_PACKAGE_PROJ=0"
- "-DMapper_PACKAGE_QT=0"
- "-DMapper_PACKAGE_ASSISTANT=0"
- "-DMapper_PACKAGE_GDAL=0"
+ (lib.cmakeBool "Mapper_PACKAGE_PROJ" false)
+ (lib.cmakeBool "Mapper_PACKAGE_QT" false)
+ (lib.cmakeBool "Mapper_PACKAGE_ASSISTANT" false)
+ (lib.cmakeBool "Mapper_PACKAGE_GDAL" false)
];
postInstall = with stdenv; lib.optionalString isDarwin ''
- mkdir -p $out/Applications
+ mkdir -p $out/{Applications,bin}
mv $out/Mapper.app $out/Applications
- mkdir -p $out/bin
- ln -s $out/Applications/Mapper.app/Contents/MacOS/Mapper $out/bin/mapper
+ ln -s $out/Applications/Mapper.app/Contents/MacOS/Mapper $out/bin/Mapper
'';
meta = with lib; {
@@ -86,7 +89,6 @@ mkDerivation rec {
license = licenses.gpl3Plus;
maintainers = with maintainers; [ mpickering sikmir ];
platforms = with platforms; unix;
- broken = stdenv.isDarwin;
mainProgram = "Mapper";
};
}
diff --git a/pkgs/by-name/op/openscad-unstable/package.nix b/pkgs/by-name/op/openscad-unstable/package.nix
index 582733035d46..05aaf2dee012 100644
--- a/pkgs/by-name/op/openscad-unstable/package.nix
+++ b/pkgs/by-name/op/openscad-unstable/package.nix
@@ -15,24 +15,27 @@
, flex
, fontconfig
, freetype
+, ghostscript
, glib
, glm
, gmp
, harfbuzz
, hidapi
, lib3mf
-, libGL
, libGLU
, libICE
, libSM
, libsForQt5
, libspnav
, libzip
+, mesa
, mpfr
, python3
, tbb_2021_8
, wayland
, wayland-protocols
+, wrapGAppsHook
+, xorg
}:
let
# get cccl from source to avoid license issues
@@ -78,23 +81,25 @@ in
# clang consume much less RAM than GCC
clangStdenv.mkDerivation rec {
pname = "openscad-unstable";
- version = "2024-01-22";
+ version = "2024-03-10";
src = fetchFromGitHub {
owner = "openscad";
repo = "openscad";
- rev = "88d244aed3c40a76194ff537ed84bd65bc0e1aeb";
- hash = "sha256-qkQNbYhmOxF14zm+eCcwe9asLOEciYBANefUb8+KNEI=";
+ rev = "db167b1df31fbd8a2101cf3a13dac148b0c2165d";
+ hash = "sha256-i2ZGYsNfMLDi3wRd/lohs9BuO2KuQ/7kJIXGtV65OQU=";
fetchSubmodules = true;
};
+ patches = [ ./test.diff ];
nativeBuildInputs = [
- pkg-config
- cmake
- ninja
+ (python3.withPackages (ps: with ps; [ numpy pillow ]))
bison
+ cmake
flex
- python3
libsForQt5.qt5.wrapQtAppsHook
llvmPackages.bintools
+ wrapGAppsHook
+ ninja
+ pkg-config
];
buildInputs = with libsForQt5; with qt5; [
# manifold dependencies
@@ -110,6 +115,7 @@ clangStdenv.mkDerivation rec {
eigen
fontconfig
freetype
+ ghostscript
glib
gmp
harfbuzz
@@ -122,7 +128,15 @@ clangStdenv.mkDerivation rec {
qtbase
qtmultimedia
]
- ++ lib.optionals clangStdenv.isLinux [ libICE libSM libGLU libGL wayland wayland-protocols qtwayland ]
+ ++ lib.optionals clangStdenv.isLinux [
+ xorg.libXdmcp
+ libICE
+ libSM
+ wayland
+ wayland-protocols
+ qtwayland
+ libGLU
+ ]
++ lib.optional clangStdenv.isDarwin qtmacextras
;
cmakeFlags = [
@@ -131,11 +145,18 @@ clangStdenv.mkDerivation rec {
"-DUSE_BUILTIN_OPENCSG=ON" # bundled latest opencsg
"-DOPENSCAD_VERSION=\"${builtins.replaceStrings ["-"] ["."] version}\""
"-DCMAKE_UNITY_BUILD=ON" # faster build
- "-DENABLE_TESTS=OFF" # tests do not work for now
# IPO
"-DCMAKE_EXE_LINKER_FLAGS=-fuse-ld=lld"
"-DCMAKE_INTERPROCEDURAL_OPTIMIZATION=ON"
];
+ doCheck = true;
+ checkPhase = ''
+ # for running mesa llvmpipe
+ export __EGL_VENDOR_LIBRARY_FILENAMES=${mesa.drivers}/share/glvnd/egl_vendor.d/50_mesa.json
+ export LIBGL_DRIVERS_PATH=${mesa.drivers}/lib:${mesa.drivers}/lib/dri
+ # some fontconfig issues cause pdf output to have wrong font
+ ctest -j$NIX_BUILD_CORES -E pdfexporttest.\*
+ '';
meta = with lib; {
description = "3D parametric model compiler (unstable)";
longDescription = ''
diff --git a/pkgs/by-name/op/openscad-unstable/test.diff b/pkgs/by-name/op/openscad-unstable/test.diff
new file mode 100644
index 000000000000..23b3983daddd
--- /dev/null
+++ b/pkgs/by-name/op/openscad-unstable/test.diff
@@ -0,0 +1,42 @@
+diff --git a/tests/CMakeLists.txt b/tests/CMakeLists.txt
+index 5c1b40af4..917451dee 100644
+--- a/tests/CMakeLists.txt
++++ b/tests/CMakeLists.txt
+@@ -59,13 +59,14 @@ if(USE_IMAGE_COMPARE_PY)
+
+ # Since msys2 on Windows prefers bin/ over Scripts, we need to look for the actual folder to determine
+ # how to utilize the venv
+- find_path(VENV_BIN_PATH activate PATHS "${VENV_DIR}/bin" "${VENV_DIR}/Scripts" NO_DEFAULT_PATH NO_CACHE)
+- if(WIN32)
+- set(IMAGE_COMPARE_EXE "${VENV_BIN_PATH}/python.exe")
+- else()
+- set(IMAGE_COMPARE_EXE "${VENV_BIN_PATH}/python")
+- endif()
+- if(EXISTS "${IMAGE_COMPARE_EXE}")
++ # find_path(VENV_BIN_PATH activate PATHS "${VENV_DIR}/bin" "${VENV_DIR}/Scripts" NO_DEFAULT_PATH NO_CACHE)
++ # if(WIN32)
++ # set(IMAGE_COMPARE_EXE "${VENV_BIN_PATH}/python.exe")
++ # else()
++ # set(IMAGE_COMPARE_EXE "${VENV_BIN_PATH}/python")
++ # endif()
++ set(IMAGE_COMPARE_EXE "python3")
++ # if(EXISTS "${IMAGE_COMPARE_EXE}")
+ message(STATUS "venv found, testing libraries")
+ execute_process(
+ COMMAND "${IMAGE_COMPARE_EXE}" "${CCSD}/image_compare.py" "--status"
+@@ -77,10 +78,10 @@ if(USE_IMAGE_COMPARE_PY)
+ message(STATUS "venv libraries complete")
+ set(BUILD_VENV FALSE)
+ endif()
+- else()
+- message(STATUS "venv not found")
+- set(BUILD_VENV TRUE)
+- endif()
++ # else()
++ # message(STATUS "venv not found")
++ # set(BUILD_VENV TRUE)
++ # endif()
+ if(BUILD_VENV)
+ message(STATUS "Setting up testing venv for image comparison")
+ execute_process(
+
diff --git a/pkgs/by-name/op/opensnitch-ui/package.nix b/pkgs/by-name/op/opensnitch-ui/package.nix
index c8aef3a05123..a22767daacdc 100644
--- a/pkgs/by-name/op/opensnitch-ui/package.nix
+++ b/pkgs/by-name/op/opensnitch-ui/package.nix
@@ -67,6 +67,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "An application firewall";
+ mainProgram = "opensnitch-ui";
homepage = "https://github.com/evilsocket/opensnitch/wiki";
license = licenses.gpl3Only;
maintainers = with maintainers; [ onny ];
diff --git a/pkgs/by-name/op/opensnitch/package.nix b/pkgs/by-name/op/opensnitch/package.nix
index 795050cdc6d6..df8647bdeb05 100644
--- a/pkgs/by-name/op/opensnitch/package.nix
+++ b/pkgs/by-name/op/opensnitch/package.nix
@@ -18,13 +18,13 @@
buildGoModule rec {
pname = "opensnitch";
- version = "1.6.5";
+ version = "1.6.5.1";
src = fetchFromGitHub {
owner = "evilsocket";
repo = "opensnitch";
rev = "refs/tags/v${version}";
- hash = "sha256-2HHyecgiodWhQkkn3eo0RJNroD7HaK6Je/+x9IqZfWE=";
+ hash = "sha256-IVrAAHzLS7A7cYhRk+IUx8/5TGKeqC7M/7iXOpPe2ZA=";
};
postPatch = ''
@@ -92,6 +92,7 @@ buildGoModule rec {
meta = with lib; {
description = "An application firewall";
+ mainProgram = "opensnitchd";
homepage = "https://github.com/evilsocket/opensnitch/wiki";
license = licenses.gpl3Only;
maintainers = with maintainers; [ onny ];
diff --git a/pkgs/by-name/op/opensoundmeter/build.patch b/pkgs/by-name/op/opensoundmeter/build.patch
new file mode 100644
index 000000000000..3ec76bc90db6
--- /dev/null
+++ b/pkgs/by-name/op/opensoundmeter/build.patch
@@ -0,0 +1,13 @@
+--- a/OpenSoundMeter.desktop
++++ b/OpenSoundMeter.desktop
+@@ -6 +6 @@
+-Icon=white
++Icon=OpenSoundMeter
+--- a/OpenSoundMeter.pro
++++ b/OpenSoundMeter.pro
+@@ -261 +261 @@
+-APP_GIT_VERSION = $$system(git --git-dir $$_PRO_FILE_PWD_/.git --work-tree $$_PRO_FILE_PWD_ describe --tags $$system(git --git-dir $$_PRO_FILE_PWD_/.git --work-tree $$_PRO_FILE_PWD_ rev-list --tags --max-count=1))
++APP_GIT_VERSION = ?
+@@ -486 +486 @@
+-unix:!macx:!ios:CONFIG(release, debug|release) {
++unix:!linux:!macx:!ios:CONFIG(release, debug|release) {
diff --git a/pkgs/by-name/op/opensoundmeter/package.nix b/pkgs/by-name/op/opensoundmeter/package.nix
new file mode 100644
index 000000000000..77c7237f85f5
--- /dev/null
+++ b/pkgs/by-name/op/opensoundmeter/package.nix
@@ -0,0 +1,45 @@
+{ lib, stdenv, fetchFromGitHub, alsa-lib, qt5 }:
+
+let
+ inherit (qt5) qmake wrapQtAppsHook qtgraphicaleffects qtquickcontrols2;
+in stdenv.mkDerivation rec {
+ pname = "opensoundmeter";
+ version = "1.3";
+
+ src = fetchFromGitHub {
+ owner = "psmokotnin";
+ repo = "osm";
+ rev = "v${version}";
+ hash = "sha256-nRibcEtG6UUTgn7PhSg4IyahMYi5aSPvaEOrAdx6u3o=";
+ };
+
+ patches = [ ./build.patch ];
+
+ postPatch = ''
+ substituteInPlace OpenSoundMeter.pro \
+ --replace 'APP_GIT_VERSION = ?' 'APP_GIT_VERSION = ${src.rev}'
+ '';
+
+ nativeBuildInputs = [ qmake wrapQtAppsHook ];
+
+ buildInputs = [ alsa-lib qtgraphicaleffects qtquickcontrols2 ];
+
+ installPhase = ''
+ runHook preInstall
+
+ install OpenSoundMeter -Dt $out/bin
+ install OpenSoundMeter.desktop -m444 -Dt $out/share/applications
+ install icons/white.png -m444 -D $out/share/icons/OpenSoundMeter.png
+
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ description = "Sound measurement application for tuning audio systems in real-time";
+ homepage = "https://opensoundmeter.com/";
+ license = licenses.gpl3Plus;
+ mainProgram = "OpenSoundMeter";
+ maintainers = with maintainers; [ orivej ];
+ platforms = platforms.linux;
+ };
+}
diff --git a/pkgs/by-name/op/openttd-ttf/package.nix b/pkgs/by-name/op/openttd-ttf/package.nix
new file mode 100644
index 000000000000..d647e1a3c74a
--- /dev/null
+++ b/pkgs/by-name/op/openttd-ttf/package.nix
@@ -0,0 +1,54 @@
+{ lib
+, stdenvNoCC
+, fetchFromGitHub
+, python3
+}:
+
+stdenvNoCC.mkDerivation (finalAttrs: {
+ pname = "openttd-ttf";
+ version = "0.6";
+
+ src = fetchFromGitHub {
+ owner = "zephyris";
+ repo = "openttd-ttf";
+ rev = "refs/tags/${finalAttrs.version}";
+ hash = "sha256-Nr3oLiCEdpUhB/IczCEoLM8kb1hGDH/d6WYWRbjgOi8=";
+ };
+
+ nativeBuildInputs = [
+ (python3.withPackages (pp: with pp; [
+ fontforge
+ pillow
+ setuptools
+ ]))
+ ];
+
+ postPatch = ''
+ chmod a+x build.sh
+ # Test requires openttd source and an additional python module, doesn't seem worth it
+ substituteInPlace build.sh \
+ --replace-fail "python3 checkOpenTTDStrings.py ../openttd/src/lang" ""
+ patchShebangs --build build.sh
+ '';
+
+ buildPhase = ''
+ runHook preBuild
+ ./build.sh
+ runHook postBuild
+ '';
+
+ installPhase = ''
+ runHook preInstall
+ install -m444 -Dt $out/share/fonts/truetype */*.ttf
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ homepage = "https://github.com/zephyris/openttd-ttf";
+ changelog = "https://github.com/zephyris/openttd-ttf/releases/tag/${finalAttrs.version}";
+ description = "TrueType typefaces for text in a pixel art style, designed for use in OpenTTD";
+ license = [ licenses.gpl2 ];
+ platforms = platforms.all;
+ maintainers = [ maintainers.sfrijters ];
+ };
+})
diff --git a/pkgs/by-name/op/opentype-sanitizer/package.nix b/pkgs/by-name/op/opentype-sanitizer/package.nix
index 2e7e7e62180e..00d61a6b32d6 100644
--- a/pkgs/by-name/op/opentype-sanitizer/package.nix
+++ b/pkgs/by-name/op/opentype-sanitizer/package.nix
@@ -21,6 +21,8 @@ stdenv.mkDerivation (finalAttrs: {
hash = "sha256-gsNMPNPcfHyOgjJnIrJ5tLYHbCfIfTowEhcaGOUPb2Q=";
};
+ mesonFlags = [ "-Dcpp_std=c++14" ];
+
buildInputs = [
freetype
gtest
diff --git a/pkgs/by-name/op/opshin/package.nix b/pkgs/by-name/op/opshin/package.nix
new file mode 100644
index 000000000000..12fd3671cfee
--- /dev/null
+++ b/pkgs/by-name/op/opshin/package.nix
@@ -0,0 +1,37 @@
+{ lib
+, fetchFromGitHub
+, python3
+}:
+
+python3.pkgs.buildPythonApplication rec {
+ pname = "opshin";
+ version = "0.20.0";
+
+ format = "pyproject";
+
+ src = fetchFromGitHub {
+ owner = "OpShin";
+ repo = "opshin";
+ rev = version;
+ hash = "sha256-fJlPeVAuEf80FVxdXnaKASLmjMEgz6ysXenUY72+sos=";
+ };
+
+ propagatedBuildInputs = with python3.pkgs; [
+ setuptools
+ poetry-core
+ uplc
+ pluthon
+ pycardano
+ frozenlist2
+ astunparse
+ ordered-set
+ ];
+
+ meta = with lib; {
+ description = "A simple pythonic programming language for Smart Contracts on Cardano";
+ homepage = "https://opshin.dev";
+ license = licenses.mit;
+ maintainers = with maintainers; [ t4ccer ];
+ mainProgram = "opshin";
+ };
+}
diff --git a/pkgs/by-name/os/osc-cli/package.nix b/pkgs/by-name/os/osc-cli/package.nix
new file mode 100644
index 000000000000..fc9db543b158
--- /dev/null
+++ b/pkgs/by-name/os/osc-cli/package.nix
@@ -0,0 +1,41 @@
+{
+ lib
+ , python3
+ , fetchFromGitHub
+}:
+
+python3.pkgs.buildPythonApplication rec {
+ pname = "osc-cli";
+ version = "1.11.0";
+ pyproject = true;
+
+ src = fetchFromGitHub {
+ owner = "outscale";
+ repo = "osc-cli";
+ rev = "v${version}";
+ hash = "sha256-7WXy+1NHwFvYmyi5xGfWpq/mbVGJ3WkgP5WQd5pvcC0=";
+ };
+
+ nativeBuildInputs = [
+ python3.pkgs.setuptools
+ ];
+
+ propagatedBuildInputs = with python3.pkgs; [
+ defusedxml
+ fire
+ requests
+ typing-extensions
+ xmltodict
+ ];
+
+ # Skipping tests as they require working access and secret keys
+ doCheck = false;
+
+ meta = with lib; {
+ description = "Official Outscale CLI providing connectors to Outscale API";
+ homepage = "https://github.com/outscale/osc-cli";
+ license = licenses.bsd3;
+ maintainers = with maintainers; [ nicolas-goudry ];
+ mainProgram = "osc-cli";
+ };
+}
diff --git a/pkgs/tools/misc/osm2pgsql/default.nix b/pkgs/by-name/os/osm2pgsql/package.nix
similarity index 75%
rename from pkgs/tools/misc/osm2pgsql/default.nix
rename to pkgs/by-name/os/osm2pgsql/package.nix
index addfb26dfe3f..0ddfb737fcee 100644
--- a/pkgs/tools/misc/osm2pgsql/default.nix
+++ b/pkgs/by-name/os/osm2pgsql/package.nix
@@ -5,6 +5,7 @@
, fmt
, proj
, bzip2
+, cli11
, zlib
, boost
, postgresql
@@ -22,13 +23,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "osm2pgsql";
- version = "1.10.0";
+ version = "1.11.0";
src = fetchFromGitHub {
owner = "osm2pgsql-dev";
repo = "osm2pgsql";
rev = finalAttrs.version;
- hash = "sha256-IFAQ7iA37QXnWOSxUjh9EW7ss85k0h948JGuuUcpr5w=";
+ hash = "sha256-95B4WVaf3AwaC+S6dCJpUq/1J47rOZjWUBxmRqHCu4w=";
};
postPatch = ''
@@ -41,6 +42,7 @@ stdenv.mkDerivation (finalAttrs: {
buildInputs = [
boost
bzip2
+ cli11
expat
fmt
libosmium
@@ -56,10 +58,11 @@ stdenv.mkDerivation (finalAttrs: {
++ lib.optional (!withLuaJIT) lua;
cmakeFlags = [
- "-DEXTERNAL_LIBOSMIUM=ON"
- "-DEXTERNAL_PROTOZERO=ON"
- "-DEXTERNAL_FMT=ON"
- ] ++ lib.optional withLuaJIT "-DWITH_LUAJIT:BOOL=ON";
+ (lib.cmakeBool "EXTERNAL_LIBOSMIUM" true)
+ (lib.cmakeBool "EXTERNAL_PROTOZERO" true)
+ (lib.cmakeBool "EXTERNAL_FMT" true)
+ (lib.cmakeBool "WITH_LUAJIT" withLuaJIT)
+ ];
installFlags = [ "install-gen" ];
@@ -72,6 +75,6 @@ stdenv.mkDerivation (finalAttrs: {
homepage = "https://osm2pgsql.org";
license = licenses.gpl2Plus;
platforms = platforms.unix;
- maintainers = with maintainers; [ jglukasik das-g ];
+ maintainers = with maintainers; teams.geospatial.members ++ [ jglukasik das-g ];
};
})
diff --git a/pkgs/by-name/ot/oterm/package.nix b/pkgs/by-name/ot/oterm/package.nix
index b6b7b7077619..4b3920ad83ae 100644
--- a/pkgs/by-name/ot/oterm/package.nix
+++ b/pkgs/by-name/ot/oterm/package.nix
@@ -15,6 +15,7 @@ python3Packages.buildPythonApplication rec {
};
pythonRelaxDeps = [
+ "aiosqlite"
"pillow"
"httpx"
];
diff --git a/pkgs/by-name/ou/outfox/package.nix b/pkgs/by-name/ou/outfox/package.nix
new file mode 100644
index 000000000000..b18ed662faff
--- /dev/null
+++ b/pkgs/by-name/ou/outfox/package.nix
@@ -0,0 +1,79 @@
+{ lib
+, stdenv
+, fetchurl
+, autoPatchelfHook
+, alsa-lib
+, freetype
+, libjack2
+, libglvnd
+, libpulseaudio
+, makeDesktopItem
+, makeWrapper
+}:
+
+stdenv.mkDerivation rec {
+ pname = "outfox";
+ version = "0.5.0-pre042";
+
+ src = {
+ i686-linux = fetchurl {
+ url = "https://github.com/TeamRizu/OutFox/releases/download/OF5.0.0-042/OutFox-alpha-0.5.0-pre042-Linux-14.04-32bit-i386-i386-legacy-date-20231227.tar.gz";
+ sha256 = "sha256-NFjNoqJ7Fq4A7Y0k6oQcWjykV+/b/MiRtJ1p6qlZdjs=";
+ };
+ x86_64-linux = fetchurl {
+ url = "https://github.com/TeamRizu/OutFox/releases/download/OF5.0.0-042/OutFox-alpha-0.5.0-pre042-Linux-22.04-amd64-current-date-20231224.tar.gz";
+ hash = "sha256-dW+g/JYav3rUuI+nHDi6rXu/O5KYiEdk/HH82jgOUnI=";
+ };
+ aarch64-linux = fetchurl {
+ url = "https://github.com/TeamRizu/OutFox/releases/download/OF5.0.0-042/OutFox-alpha-0.5.0-pre042-Raspberry-Pi-Linux-18.04-arm64-arm64v8-modern-date-20231225.tar.gz";
+ hash = "sha256-7Qrq6t8KmUSIK4Rskkxw5l4UZ2vsb9/orzPegHySaJ4=";
+ };
+ armv7l-linux = fetchurl {
+ url = "https://github.com/TeamRizu/OutFox/releases/download/OF5.0.0-042/OutFox-alpha-0.5.0-pre042-Raspberry-Pi-Linux-14.04-arm32-arm32v7-legacy-date-20231227.tar.gz";
+ hash = "sha256-PRp7kuqFBRy7nextTCB+/poc+A9AX2EiQphx6aUfT8E=";
+ };
+ }.${stdenv.hostPlatform.system} or (throw "Unsupported system: ${stdenv.hostPlatform.system}");
+
+ nativeBuildInputs = [
+ autoPatchelfHook
+ makeWrapper
+ ];
+
+ buildInputs = [
+ alsa-lib
+ freetype
+ libjack2
+ libglvnd
+ libpulseaudio
+ ];
+
+ desktop = makeDesktopItem {
+ name = "project-outfox";
+ desktopName = "Project OutFox";
+ genericName = "Rhythm game engine";
+ exec = "OutFox";
+ tryExec = "OutFox";
+ categories = [ "Game" ];
+ };
+
+ patchPhase = ''
+ find ./Appearance -type f -executable -exec chmod -x {} \;
+ '';
+
+ installPhase = ''
+ mkdir -p $out/bin $out/share/OutFox $out/share/applications
+ cp -r ./. $out/share/OutFox
+ ln -s ${desktop}/share/applications/project-outfox.desktop $out/share/applications/project-outfox.desktop
+ makeWrapper $out/share/OutFox/OutFox $out/bin/OutFox --argv0
+ '';
+
+ meta = with lib; {
+ description = "A rhythm game engine forked from StepMania";
+ homepage = "https://projectoutfox.com";
+ sourceProvenance = with sourceTypes; [ binaryNativeCode ];
+ license = licenses.unfree;
+ platforms = [ "x86_64-linux" "i686-linux" "aarch64-linux" "armv7l-linux" ];
+ maintainers = with maintainers; [ maxwell-lt ];
+ mainProgram = "OutFox";
+ };
+}
diff --git a/pkgs/tools/misc/outils/default.nix b/pkgs/by-name/ou/outils/package.nix
similarity index 84%
rename from pkgs/tools/misc/outils/default.nix
rename to pkgs/by-name/ou/outils/package.nix
index 065d641f4f30..e29e6440edcb 100644
--- a/pkgs/tools/misc/outils/default.nix
+++ b/pkgs/by-name/ou/outils/package.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "outils";
- version = "0.10";
+ version = "0.13";
src = fetchFromGitHub {
owner = "leahneukirchen";
- repo = pname;
+ repo = "outils";
rev = "v${version}";
- sha256 = "sha256-xYjILa0Km57q/xNP+M34r29WLGC15tzUNoUgPzQTtIs=";
+ hash = "sha256-FokJytwQsbGsryBzyglpb1Hg3wti/CPQTOfIGIz9ThA=";
};
makeFlags = [ "PREFIX=$(out)" ];
diff --git a/pkgs/applications/misc/owmods-cli/default.nix b/pkgs/by-name/ow/owmods-cli/package.nix
similarity index 63%
rename from pkgs/applications/misc/owmods-cli/default.nix
rename to pkgs/by-name/ow/owmods-cli/package.nix
index 4dd5a67aa995..19961f193e2a 100644
--- a/pkgs/applications/misc/owmods-cli/default.nix
+++ b/pkgs/by-name/ow/owmods-cli/package.nix
@@ -6,34 +6,40 @@
, pkg-config
, installShellFiles
, zstd
+, libsoup_3
+, makeWrapper
+, mono
+, wrapWithMono ? true
, openssl
-, Security
+, darwin
}:
rustPlatform.buildRustPackage rec {
pname = "owmods-cli";
- version = "0.12.1";
+ version = "0.13.0";
src = fetchFromGitHub {
owner = "ow-mods";
repo = "ow-mod-man";
rev = "cli_v${version}";
- hash = "sha256-hvzKTJKjO7MafvnrpG9ZkCz1ePr9NCo54zaRRkRCc60=";
+ hash = "sha256-JCPuKGO0pbhQaNmZUcZ95EZbXubrjZnw0qJmKCGuAoQ=";
};
- cargoHash = "sha256-db6wZs7OVPoESl4RnvOtmaM07FgKmko3nyf1CXzyJHA=";
+ cargoHash = "sha256-dTEEpjonvFYFv16e0eS71B4OMiYueYSfcs8gmSYeHPc=";
nativeBuildInputs = [
pkg-config
installShellFiles
- ];
+ ] ++ lib.optional wrapWithMono makeWrapper;
buildInputs = [
zstd
+ libsoup_3
] ++ lib.optionals stdenv.isLinux [
openssl
] ++ lib.optionals stdenv.isDarwin [
- Security
+ darwin.apple_sdk.frameworks.Security
+ darwin.apple_sdk.frameworks.SystemConfiguration
];
env = {
@@ -44,9 +50,11 @@ rustPlatform.buildRustPackage rec {
postInstall = ''
cargo xtask dist_cli
- installManPage man/man*/*
+ installManPage dist/cli/man/*
installShellCompletion --cmd owmods \
- dist/cli/completions/owmods.{bash,fish,zsh}
+ dist/cli/completions/owmods.{bash,fish,zsh}
+ '' + lib.optionalString wrapWithMono ''
+ wrapProgram $out/bin/${meta.mainProgram} --prefix PATH : '${mono}/bin'
'';
passthru.updateScript = nix-update-script {};
@@ -58,6 +66,6 @@ rustPlatform.buildRustPackage rec {
changelog = "https://github.com/ow-mods/ow-mod-man/releases/tag/cli_v${version}";
mainProgram = "owmods";
license = licenses.gpl3Plus;
- maintainers = with maintainers; [ locochoco ];
+ maintainers = with maintainers; [ bwc9876 spoonbaker locochoco ];
};
}
diff --git a/pkgs/by-name/pa/pa-notify/package.nix b/pkgs/by-name/pa/pa-notify/package.nix
new file mode 100644
index 000000000000..3846b71ef38f
--- /dev/null
+++ b/pkgs/by-name/pa/pa-notify/package.nix
@@ -0,0 +1,42 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, cmake
+, extra-cmake-modules
+, glib
+, libnotify
+, libpulseaudio
+, pkg-config
+}:
+stdenv.mkDerivation (finalAttrs: {
+ pname = "pa-notify";
+ version = "1.5.0";
+
+ src = fetchFromGitHub {
+ owner = "ikrivosheev";
+ repo = "pa-notify";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-356qwSxxxAUNJajsVjH3zqGAZQwMOcoLPSKPZdsCmBM=";
+ };
+
+ nativeBuildInputs = [
+ cmake
+ extra-cmake-modules
+ pkg-config
+ ];
+
+ buildInputs = [
+ glib
+ libnotify
+ libpulseaudio
+ ];
+
+ meta = with lib; {
+ homepage = "https://github.com/ikrivosheev/pa-notify";
+ description = "PulseAudio or PipeWire volume notification";
+ license = licenses.mit;
+ maintainers = with maintainers; [ juancmuller ];
+ mainProgram = "pa-notify";
+ platforms = platforms.linux;
+ };
+})
diff --git a/pkgs/by-name/pa/pacu/package.nix b/pkgs/by-name/pa/pacu/package.nix
new file mode 100644
index 000000000000..91122b15a79d
--- /dev/null
+++ b/pkgs/by-name/pa/pacu/package.nix
@@ -0,0 +1,85 @@
+{ lib
+, awscli
+, fetchFromGitHub
+, python3
+}:
+
+
+let
+ python = python3.override {
+ packageOverrides = self: super: {
+ sqlalchemy = super.sqlalchemy_1_4;
+ };
+ };
+in python.pkgs.buildPythonApplication rec {
+ pname = "pacu";
+ version = "1.5.2";
+ pyproject = true;
+
+ src = fetchFromGitHub {
+ owner = "RhinoSecurityLabs";
+ repo = "pacu";
+ rev = "refs/tags/v${version}";
+ hash = "sha256-Ty++jNJTk8YKy6Sl6xj1Xs25ZxJCeF9m/iwdA2fRXnI=";
+ };
+
+ pythonRelaxDeps = [
+ "dsnap"
+ "sqlalchemy-utils"
+ "sqlalchemy"
+ "urllib3"
+ ];
+
+ nativeBuildInputs = with python.pkgs; [
+ poetry-core
+ pythonRelaxDepsHook
+ ];
+
+ propagatedBuildInputs = [
+ awscli
+ ] ++ (with python.pkgs; [
+ awscli
+ boto3
+ botocore
+ chalice
+ dsnap
+ jq
+ policyuniverse
+ pycognito
+ pyyaml
+ qrcode
+ requests
+ sqlalchemy
+ sqlalchemy-utils
+ toml
+ typing-extensions
+ urllib3
+ ]);
+
+ nativeCheckInputs = with python.pkgs; [
+ moto
+ pytestCheckHook
+ ];
+
+ postBuild = ''
+ export HOME=$(mktemp -d)
+ '';
+
+ pythonImportsCheck = [
+ "pacu"
+ ];
+
+ disabledTests = [
+ # sqlalchemy.exc.ArgumentError: Textual SQL expression
+ #"test_migrations"
+ ];
+
+ meta = with lib; {
+ description = "AWS exploitation framework";
+ homepage = "https://github.com/RhinoSecurityLabs/pacu";
+ changelog = "https://github.com/RhinoSecurityLabs/pacu/releases/tag/v${version}";
+ license = licenses.bsd3;
+ maintainers = with maintainers; [ fab ];
+ mainProgram = "pacu";
+ };
+}
diff --git a/pkgs/by-name/pa/pan-bindings/package.nix b/pkgs/by-name/pa/pan-bindings/package.nix
new file mode 100644
index 000000000000..66fea9b58740
--- /dev/null
+++ b/pkgs/by-name/pa/pan-bindings/package.nix
@@ -0,0 +1,61 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, buildGo122Module
+, cmake
+, ncurses
+, asio
+}:
+
+let
+ version = "unstable-2024-03-03";
+ src = fetchFromGitHub {
+ owner = "lschulz";
+ repo = "pan-bindings";
+ rev = "4361d30f1c5145a70651c259f2d56369725b0d15";
+ hash = "sha256-0WxrgXTCM+BwGcjjWBBKiZawje2yxB5RRac6Sk5t3qc=";
+ };
+ goDeps = (buildGo122Module {
+ name = "pan-bindings-goDeps";
+ inherit src version;
+ modRoot = "go";
+ vendorHash = "sha256-7EitdEJTRtiM29qmVnZUM6w68vCBI8mxZhCA7SnAxLA=";
+ });
+in
+
+stdenv.mkDerivation {
+ name = "pan-bindings";
+
+ inherit src version;
+
+ cmakeFlags = [
+ "-DBUILD_SHARED_LIBS=1"
+ "-DBUILD_EXAMPLES=0"
+ ];
+
+ patchPhase = ''
+ runHook prePatch
+ export HOME=$TMP
+ cp -r --reflink=auto ${goDeps.goModules} go/vendor
+ runHook postPatch
+ '';
+
+ buildInputs = [
+ ncurses
+ asio
+ ];
+
+ nativeBuildInputs = [
+ cmake
+ goDeps.go
+ ];
+
+ meta = with lib; {
+ description = "SCION PAN Bindings for C, C++, and Python";
+ homepage = "https://github.com/lschulz/pan-bindings";
+ license = licenses.asl20;
+ maintainers = with maintainers; [ matthewcroughan ];
+ mainProgram = "pan-bindings";
+ platforms = platforms.all;
+ };
+}
diff --git a/pkgs/by-name/pa/parallel-disk-usage/package.nix b/pkgs/by-name/pa/parallel-disk-usage/package.nix
index ba896d3afb11..f3aa96cbed14 100644
--- a/pkgs/by-name/pa/parallel-disk-usage/package.nix
+++ b/pkgs/by-name/pa/parallel-disk-usage/package.nix
@@ -4,16 +4,16 @@
}:
rustPlatform.buildRustPackage rec {
pname = "parallel-disk-usage";
- version = "0.9.1";
+ version = "0.9.2";
src = fetchFromGitHub {
owner = "KSXGitHub";
repo = pname;
rev = version;
- hash = "sha256-Bo2fBOGuAur3dQtBdcbeDRBgp+bFpi86dZQjSuZpEc8=";
+ hash = "sha256-nWn6T1vJ4UANuU5EL5Ws5qT+k8Wd3Cm0SOJEgAbsCvo=";
};
- cargoHash = "sha256-V7j2dvu7Z3Xq8WGoFxl6DjO8sYU8+ZNC9V6qqdYIuQo=";
+ cargoHash = "sha256-69DwIDGX4b+l2ay+OH3gjHnCj43VXruzBklOkS6M0DY=";
meta = with lib; {
description = "Highly parallelized, blazing fast directory tree analyzer";
diff --git a/pkgs/by-name/pa/paratest/composer.lock b/pkgs/by-name/pa/paratest/composer.lock
index 2387a8e9176d..23177e4e5da4 100644
--- a/pkgs/by-name/pa/paratest/composer.lock
+++ b/pkgs/by-name/pa/paratest/composer.lock
@@ -4,7 +4,7 @@
"Read more about it at https://getcomposer.org/doc/01-basic-usage.md#installing-dependencies",
"This file is @generated automatically"
],
- "content-hash": "c3efe6b6543d5e592e77a809fb1bb84e",
+ "content-hash": "11632300688e9bcc111cc0e38617b43f",
"packages": [
{
"name": "fidry/cpu-core-counter",
@@ -2628,16 +2628,16 @@
},
{
"name": "phpstan/phpstan",
- "version": "1.10.57",
+ "version": "1.10.59",
"source": {
"type": "git",
"url": "https://github.com/phpstan/phpstan.git",
- "reference": "1627b1d03446904aaa77593f370c5201d2ecc34e"
+ "reference": "e607609388d3a6d418a50a49f7940e8086798281"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/phpstan/phpstan/zipball/1627b1d03446904aaa77593f370c5201d2ecc34e",
- "reference": "1627b1d03446904aaa77593f370c5201d2ecc34e",
+ "url": "https://api.github.com/repos/phpstan/phpstan/zipball/e607609388d3a6d418a50a49f7940e8086798281",
+ "reference": "e607609388d3a6d418a50a49f7940e8086798281",
"shasum": ""
},
"require": {
@@ -2686,7 +2686,7 @@
"type": "tidelift"
}
],
- "time": "2024-01-24T11:51:34+00:00"
+ "time": "2024-02-20T13:59:13+00:00"
},
{
"name": "phpstan/phpstan-deprecation-rules",
@@ -2904,16 +2904,16 @@
},
{
"name": "squizlabs/php_codesniffer",
- "version": "3.8.1",
+ "version": "3.9.0",
"source": {
"type": "git",
"url": "https://github.com/PHPCSStandards/PHP_CodeSniffer.git",
- "reference": "14f5fff1e64118595db5408e946f3a22c75807f7"
+ "reference": "d63cee4890a8afaf86a22e51ad4d97c91dd4579b"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/PHPCSStandards/PHP_CodeSniffer/zipball/14f5fff1e64118595db5408e946f3a22c75807f7",
- "reference": "14f5fff1e64118595db5408e946f3a22c75807f7",
+ "url": "https://api.github.com/repos/PHPCSStandards/PHP_CodeSniffer/zipball/d63cee4890a8afaf86a22e51ad4d97c91dd4579b",
+ "reference": "d63cee4890a8afaf86a22e51ad4d97c91dd4579b",
"shasum": ""
},
"require": {
@@ -2980,7 +2980,7 @@
"type": "open_collective"
}
],
- "time": "2024-01-11T20:47:48+00:00"
+ "time": "2024-02-16T15:06:51+00:00"
},
{
"name": "symfony/filesystem",
diff --git a/pkgs/by-name/pa/paratest/package.nix b/pkgs/by-name/pa/paratest/package.nix
index e75c8dd9665f..8961c70f551c 100644
--- a/pkgs/by-name/pa/paratest/package.nix
+++ b/pkgs/by-name/pa/paratest/package.nix
@@ -5,17 +5,17 @@
(php.withExtensions ({ enabled, all }: enabled ++ [ all.pcov ])).buildComposerProject (finalAttrs: {
pname = "paratest";
- version = "7.4.1";
+ version = "7.4.3";
src = fetchFromGitHub {
owner = "paratestphp";
repo = "paratest";
rev = "v${finalAttrs.version}";
- hash = "sha256-0cyv2WSiGjyp9vv2J8hxFnuvxAwrig1DmSxKSdBzNGI=";
+ hash = "sha256-Shf/fsGhDmupFn/qERzXGg3ko7mBgUqYzafO/VPqmoU=";
};
composerLock = ./composer.lock;
- vendorHash = "sha256-vYcfmVEMGhAvPYTsVAJl7njxgVkL1b8QBr/3/DCxmCE=";
+ vendorHash = "sha256-9KFh6Vwzt17v6WlEutRpwCauLOcj05hR4JGDcPbYL1U=";
meta = {
changelog = "https://github.com/paratestphp/paratest/releases/tag/v${finalAttrs.version}";
diff --git a/pkgs/by-name/pa/pasco/include-string.h.patch b/pkgs/by-name/pa/pasco/include-string.h.patch
new file mode 100644
index 000000000000..b156a0537071
--- /dev/null
+++ b/pkgs/by-name/pa/pasco/include-string.h.patch
@@ -0,0 +1,10 @@
+--- a/src/pasco.c 2024-02-18 12:43:27.385857649 +0100
++++ b/src/pasco.c 2024-02-18 12:44:00.286087384 +0100
+@@ -36,6 +36,7 @@
+ #include
+ #include
+ #include
++#include
+
+ //
+ /* This is the default block size for an activity record */
diff --git a/pkgs/by-name/pa/pasco/package.nix b/pkgs/by-name/pa/pasco/package.nix
new file mode 100644
index 000000000000..da9343db3930
--- /dev/null
+++ b/pkgs/by-name/pa/pasco/package.nix
@@ -0,0 +1,43 @@
+{ lib
+, stdenv
+, fetchurl
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "pasco";
+ version = "20040505_1";
+
+ src = fetchurl {
+ url = "mirror://sourceforge/project/fast/Pasco/Pasco%20v${finalAttrs.version}/pasco_${finalAttrs.version}.tar.gz";
+ hash = "sha256-o7jue+lgVxQQvFZOzJMGd1WihlD7Nb+1WaSutq9vaGg=";
+ };
+
+ patches = [
+ ./include-string.h.patch
+ ];
+
+ makeFlags = [
+ "-C src"
+ ];
+
+ postPatch = ''
+ substituteInPlace src/Makefile \
+ --replace gcc cc
+ '';
+
+ installPhase = ''
+ runHook preInstall
+ mkdir -p $out/bin
+ cp bin/pasco $out/bin
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ description = "Examine the contents of Internet Explorer's cache files for forensic purposes";
+ mainProgram = "pasco";
+ homepage = "https://sourceforge.net/projects/fast/files/Pasco/";
+ maintainers = with maintainers; [ d3vil0p3r ];
+ platforms = platforms.unix;
+ license = with licenses; [ bsd3 ];
+ };
+})
diff --git a/pkgs/by-name/pa/passes/package.nix b/pkgs/by-name/pa/passes/package.nix
index 39a502038b52..e7abf9d95f00 100644
--- a/pkgs/by-name/pa/passes/package.nix
+++ b/pkgs/by-name/pa/passes/package.nix
@@ -58,6 +58,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "A digital pass manager";
+ mainProgram = "passes";
homepage = "https://github.com/pablo-s/passes";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ fgaz ];
diff --git a/pkgs/by-name/pa/patch2pr/package.nix b/pkgs/by-name/pa/patch2pr/package.nix
new file mode 100644
index 000000000000..67b5f227bcd4
--- /dev/null
+++ b/pkgs/by-name/pa/patch2pr/package.nix
@@ -0,0 +1,40 @@
+{ lib
+, buildGoModule
+, fetchFromGitHub
+, testers
+, patch2pr
+}:
+
+buildGoModule rec {
+ pname = "patch2pr";
+ version = "0.22.0";
+
+ src = fetchFromGitHub {
+ owner = "bluekeyes";
+ repo = "patch2pr";
+ rev = "v${version}";
+ hash = "sha256-tG0pSXmrWT5PCcR25XngbKAS3q9jKdDKqWdPqA62omE=";
+ };
+
+ vendorHash = "sha256-Z6BHUD7WrEpUmCaLvrFYCQCSbhPhee+gR5ep1oLzqbE=";
+
+ ldflags = [
+ "-X main.version=${version}"
+ "-X main.commit=${src.rev}"
+ ];
+
+ passthru.tests.patch2pr-version = testers.testVersion {
+ package = patch2pr;
+ command = "${patch2pr.meta.mainProgram} --version";
+ version = version;
+ };
+
+ meta = with lib; {
+ description = "Create pull requests from patches without cloning the repository";
+ homepage = "https://github.com/bluekeyes/patch2pr";
+ changelog = "https://github.com/bluekeyes/patch2pr/releases/tag/v${version}";
+ license = licenses.mit;
+ maintainers = with maintainers; [ katrinafyi ];
+ mainProgram = "patch2pr";
+ };
+}
diff --git a/pkgs/by-name/pa/payme/package.nix b/pkgs/by-name/pa/payme/package.nix
new file mode 100644
index 000000000000..5465274e1863
--- /dev/null
+++ b/pkgs/by-name/pa/payme/package.nix
@@ -0,0 +1,39 @@
+{ lib, buildGoModule, fetchFromGitHub }:
+
+buildGoModule rec {
+ pname = "payme";
+ version = "1.2.0";
+
+ src = fetchFromGitHub {
+ owner = "jovandeginste";
+ repo = "payme";
+ rev = "v${version}";
+ hash = "sha256-2gZgmYgLaJQRQ+3VOUDnMm5QBjfKyxyutVf9NrbGO3g=";
+ leaveDotGit = true;
+ postFetch = ''
+ cd "$out"
+ git rev-parse HEAD > $out/COMMIT
+ find "$out" -name .git -print0 | xargs -0 rm -rf
+ '';
+ };
+
+ vendorHash = null;
+
+ ldflags = [
+ "-s"
+ "-w"
+ "-X main.gitRefName=${src.rev}"
+ ];
+
+ preBuild = ''
+ ldflags+=" -X main.gitCommit=$(cat COMMIT)"
+ '';
+
+ meta = {
+ description = "QR code generator (ASCII & PNG) for SEPA payments";
+ mainProgram = "payme";
+ homepage = "https://github.com/jovandeginste/payme";
+ license = lib.licenses.mit;
+ maintainers = with lib.maintainers; [ cimm ];
+ };
+}
diff --git a/pkgs/by-name/pd/pdepend/composer.lock b/pkgs/by-name/pd/pdepend/composer.lock
index 66c12d8ec02b..0fd6360a265c 100644
--- a/pkgs/by-name/pd/pdepend/composer.lock
+++ b/pkgs/by-name/pd/pdepend/composer.lock
@@ -4,26 +4,31 @@
"Read more about it at https://getcomposer.org/doc/01-basic-usage.md#installing-dependencies",
"This file is @generated automatically"
],
- "content-hash": "5e64a6db62881c86d7bcc23b1d82dfb0",
+ "content-hash": "798f54294447492ecbff9cff6999c060",
"packages": [
{
"name": "psr/container",
- "version": "1.1.2",
+ "version": "2.0.2",
"source": {
"type": "git",
"url": "https://github.com/php-fig/container.git",
- "reference": "513e0666f7216c7459170d56df27dfcefe1689ea"
+ "reference": "c71ecc56dfe541dbd90c5360474fbc405f8d5963"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/php-fig/container/zipball/513e0666f7216c7459170d56df27dfcefe1689ea",
- "reference": "513e0666f7216c7459170d56df27dfcefe1689ea",
+ "url": "https://api.github.com/repos/php-fig/container/zipball/c71ecc56dfe541dbd90c5360474fbc405f8d5963",
+ "reference": "c71ecc56dfe541dbd90c5360474fbc405f8d5963",
"shasum": ""
},
"require": {
"php": ">=7.4.0"
},
"type": "library",
+ "extra": {
+ "branch-alias": {
+ "dev-master": "2.0.x-dev"
+ }
+ },
"autoload": {
"psr-4": {
"Psr\\Container\\": "src/"
@@ -50,43 +55,40 @@
],
"support": {
"issues": "https://github.com/php-fig/container/issues",
- "source": "https://github.com/php-fig/container/tree/1.1.2"
+ "source": "https://github.com/php-fig/container/tree/2.0.2"
},
- "time": "2021-11-05T16:50:12+00:00"
+ "time": "2021-11-05T16:47:00+00:00"
},
{
"name": "symfony/config",
- "version": "v4.4.44",
+ "version": "v7.0.3",
"source": {
"type": "git",
"url": "https://github.com/symfony/config.git",
- "reference": "ed42f8f9da528d2c6cae36fe1f380b0c1d8f0658"
+ "reference": "86a5027869ca3d6bdecae6d5d6c2f77c8f2c1d16"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/symfony/config/zipball/ed42f8f9da528d2c6cae36fe1f380b0c1d8f0658",
- "reference": "ed42f8f9da528d2c6cae36fe1f380b0c1d8f0658",
+ "url": "https://api.github.com/repos/symfony/config/zipball/86a5027869ca3d6bdecae6d5d6c2f77c8f2c1d16",
+ "reference": "86a5027869ca3d6bdecae6d5d6c2f77c8f2c1d16",
"shasum": ""
},
"require": {
- "php": ">=7.1.3",
- "symfony/filesystem": "^3.4|^4.0|^5.0",
- "symfony/polyfill-ctype": "~1.8",
- "symfony/polyfill-php80": "^1.16",
- "symfony/polyfill-php81": "^1.22"
+ "php": ">=8.2",
+ "symfony/deprecation-contracts": "^2.5|^3",
+ "symfony/filesystem": "^6.4|^7.0",
+ "symfony/polyfill-ctype": "~1.8"
},
"conflict": {
- "symfony/finder": "<3.4"
+ "symfony/finder": "<6.4",
+ "symfony/service-contracts": "<2.5"
},
"require-dev": {
- "symfony/event-dispatcher": "^3.4|^4.0|^5.0",
- "symfony/finder": "^3.4|^4.0|^5.0",
- "symfony/messenger": "^4.1|^5.0",
- "symfony/service-contracts": "^1.1|^2",
- "symfony/yaml": "^3.4|^4.0|^5.0"
- },
- "suggest": {
- "symfony/yaml": "To use the yaml reference dumper"
+ "symfony/event-dispatcher": "^6.4|^7.0",
+ "symfony/finder": "^6.4|^7.0",
+ "symfony/messenger": "^6.4|^7.0",
+ "symfony/service-contracts": "^2.5|^3",
+ "symfony/yaml": "^6.4|^7.0"
},
"type": "library",
"autoload": {
@@ -114,7 +116,7 @@
"description": "Helps you find, load, combine, autofill and validate configuration values of any kind",
"homepage": "https://symfony.com",
"support": {
- "source": "https://github.com/symfony/config/tree/v4.4.44"
+ "source": "https://github.com/symfony/config/tree/v7.0.3"
},
"funding": [
{
@@ -130,49 +132,43 @@
"type": "tidelift"
}
],
- "time": "2022-07-20T09:59:04+00:00"
+ "time": "2024-01-30T08:34:29+00:00"
},
{
"name": "symfony/dependency-injection",
- "version": "v4.4.37",
+ "version": "v7.0.3",
"source": {
"type": "git",
"url": "https://github.com/symfony/dependency-injection.git",
- "reference": "c00a23904b42f140087d36e1d22c88801bb39689"
+ "reference": "e915c6684b8e3ae90a4441f6823ebbb40edf0b92"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/symfony/dependency-injection/zipball/c00a23904b42f140087d36e1d22c88801bb39689",
- "reference": "c00a23904b42f140087d36e1d22c88801bb39689",
+ "url": "https://api.github.com/repos/symfony/dependency-injection/zipball/e915c6684b8e3ae90a4441f6823ebbb40edf0b92",
+ "reference": "e915c6684b8e3ae90a4441f6823ebbb40edf0b92",
"shasum": ""
},
"require": {
- "php": ">=7.1.3",
- "psr/container": "^1.0",
- "symfony/polyfill-php80": "^1.16",
- "symfony/service-contracts": "^1.1.6|^2"
+ "php": ">=8.2",
+ "psr/container": "^1.1|^2.0",
+ "symfony/deprecation-contracts": "^2.5|^3",
+ "symfony/service-contracts": "^3.3",
+ "symfony/var-exporter": "^6.4|^7.0"
},
"conflict": {
- "symfony/config": "<4.3|>=5.0",
- "symfony/finder": "<3.4",
- "symfony/proxy-manager-bridge": "<3.4",
- "symfony/yaml": "<3.4"
+ "ext-psr": "<1.1|>=2",
+ "symfony/config": "<6.4",
+ "symfony/finder": "<6.4",
+ "symfony/yaml": "<6.4"
},
"provide": {
- "psr/container-implementation": "1.0",
- "symfony/service-implementation": "1.0|2.0"
+ "psr/container-implementation": "1.1|2.0",
+ "symfony/service-implementation": "1.1|2.0|3.0"
},
"require-dev": {
- "symfony/config": "^4.3",
- "symfony/expression-language": "^3.4|^4.0|^5.0",
- "symfony/yaml": "^4.4|^5.0"
- },
- "suggest": {
- "symfony/config": "",
- "symfony/expression-language": "For using expressions in service container configuration",
- "symfony/finder": "For using double-star glob patterns or when GLOB_BRACE portability is required",
- "symfony/proxy-manager-bridge": "Generate service proxies to lazy load them",
- "symfony/yaml": ""
+ "symfony/config": "^6.4|^7.0",
+ "symfony/expression-language": "^6.4|^7.0",
+ "symfony/yaml": "^6.4|^7.0"
},
"type": "library",
"autoload": {
@@ -200,7 +196,7 @@
"description": "Allows you to standardize and centralize the way objects are constructed in your application",
"homepage": "https://symfony.com",
"support": {
- "source": "https://github.com/symfony/dependency-injection/tree/v4.4.37"
+ "source": "https://github.com/symfony/dependency-injection/tree/v7.0.3"
},
"funding": [
{
@@ -216,11 +212,11 @@
"type": "tidelift"
}
],
- "time": "2022-01-24T17:17:45+00:00"
+ "time": "2024-01-30T08:34:29+00:00"
},
{
"name": "symfony/deprecation-contracts",
- "version": "v3.3.0",
+ "version": "v3.4.0",
"source": {
"type": "git",
"url": "https://github.com/symfony/deprecation-contracts.git",
@@ -267,7 +263,7 @@
"description": "A generic function and convention to trigger deprecation notices",
"homepage": "https://symfony.com",
"support": {
- "source": "https://github.com/symfony/deprecation-contracts/tree/v3.3.0"
+ "source": "https://github.com/symfony/deprecation-contracts/tree/v3.4.0"
},
"funding": [
{
@@ -287,23 +283,22 @@
},
{
"name": "symfony/filesystem",
- "version": "v5.4.25",
+ "version": "v7.0.3",
"source": {
"type": "git",
"url": "https://github.com/symfony/filesystem.git",
- "reference": "0ce3a62c9579a53358d3a7eb6b3dfb79789a6364"
+ "reference": "2890e3a825bc0c0558526c04499c13f83e1b6b12"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/symfony/filesystem/zipball/0ce3a62c9579a53358d3a7eb6b3dfb79789a6364",
- "reference": "0ce3a62c9579a53358d3a7eb6b3dfb79789a6364",
+ "url": "https://api.github.com/repos/symfony/filesystem/zipball/2890e3a825bc0c0558526c04499c13f83e1b6b12",
+ "reference": "2890e3a825bc0c0558526c04499c13f83e1b6b12",
"shasum": ""
},
"require": {
- "php": ">=7.2.5",
+ "php": ">=8.2",
"symfony/polyfill-ctype": "~1.8",
- "symfony/polyfill-mbstring": "~1.8",
- "symfony/polyfill-php80": "^1.16"
+ "symfony/polyfill-mbstring": "~1.8"
},
"type": "library",
"autoload": {
@@ -331,7 +326,7 @@
"description": "Provides basic utilities for the filesystem",
"homepage": "https://symfony.com",
"support": {
- "source": "https://github.com/symfony/filesystem/tree/v5.4.25"
+ "source": "https://github.com/symfony/filesystem/tree/v7.0.3"
},
"funding": [
{
@@ -347,20 +342,20 @@
"type": "tidelift"
}
],
- "time": "2023-05-31T13:04:02+00:00"
+ "time": "2024-01-23T15:02:46+00:00"
},
{
"name": "symfony/polyfill-ctype",
- "version": "v1.28.0",
+ "version": "v1.29.0",
"source": {
"type": "git",
"url": "https://github.com/symfony/polyfill-ctype.git",
- "reference": "ea208ce43cbb04af6867b4fdddb1bdbf84cc28cb"
+ "reference": "ef4d7e442ca910c4764bce785146269b30cb5fc4"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/symfony/polyfill-ctype/zipball/ea208ce43cbb04af6867b4fdddb1bdbf84cc28cb",
- "reference": "ea208ce43cbb04af6867b4fdddb1bdbf84cc28cb",
+ "url": "https://api.github.com/repos/symfony/polyfill-ctype/zipball/ef4d7e442ca910c4764bce785146269b30cb5fc4",
+ "reference": "ef4d7e442ca910c4764bce785146269b30cb5fc4",
"shasum": ""
},
"require": {
@@ -374,9 +369,6 @@
},
"type": "library",
"extra": {
- "branch-alias": {
- "dev-main": "1.28-dev"
- },
"thanks": {
"name": "symfony/polyfill",
"url": "https://github.com/symfony/polyfill"
@@ -413,7 +405,7 @@
"portable"
],
"support": {
- "source": "https://github.com/symfony/polyfill-ctype/tree/v1.28.0"
+ "source": "https://github.com/symfony/polyfill-ctype/tree/v1.29.0"
},
"funding": [
{
@@ -429,20 +421,20 @@
"type": "tidelift"
}
],
- "time": "2023-01-26T09:26:14+00:00"
+ "time": "2024-01-29T20:11:03+00:00"
},
{
"name": "symfony/polyfill-mbstring",
- "version": "v1.28.0",
+ "version": "v1.29.0",
"source": {
"type": "git",
"url": "https://github.com/symfony/polyfill-mbstring.git",
- "reference": "42292d99c55abe617799667f454222c54c60e229"
+ "reference": "9773676c8a1bb1f8d4340a62efe641cf76eda7ec"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/symfony/polyfill-mbstring/zipball/42292d99c55abe617799667f454222c54c60e229",
- "reference": "42292d99c55abe617799667f454222c54c60e229",
+ "url": "https://api.github.com/repos/symfony/polyfill-mbstring/zipball/9773676c8a1bb1f8d4340a62efe641cf76eda7ec",
+ "reference": "9773676c8a1bb1f8d4340a62efe641cf76eda7ec",
"shasum": ""
},
"require": {
@@ -456,9 +448,6 @@
},
"type": "library",
"extra": {
- "branch-alias": {
- "dev-main": "1.28-dev"
- },
"thanks": {
"name": "symfony/polyfill",
"url": "https://github.com/symfony/polyfill"
@@ -496,7 +485,7 @@
"shim"
],
"support": {
- "source": "https://github.com/symfony/polyfill-mbstring/tree/v1.28.0"
+ "source": "https://github.com/symfony/polyfill-mbstring/tree/v1.29.0"
},
"funding": [
{
@@ -512,199 +501,33 @@
"type": "tidelift"
}
],
- "time": "2023-07-28T09:04:16+00:00"
- },
- {
- "name": "symfony/polyfill-php80",
- "version": "v1.28.0",
- "source": {
- "type": "git",
- "url": "https://github.com/symfony/polyfill-php80.git",
- "reference": "6caa57379c4aec19c0a12a38b59b26487dcfe4b5"
- },
- "dist": {
- "type": "zip",
- "url": "https://api.github.com/repos/symfony/polyfill-php80/zipball/6caa57379c4aec19c0a12a38b59b26487dcfe4b5",
- "reference": "6caa57379c4aec19c0a12a38b59b26487dcfe4b5",
- "shasum": ""
- },
- "require": {
- "php": ">=7.1"
- },
- "type": "library",
- "extra": {
- "branch-alias": {
- "dev-main": "1.28-dev"
- },
- "thanks": {
- "name": "symfony/polyfill",
- "url": "https://github.com/symfony/polyfill"
- }
- },
- "autoload": {
- "files": [
- "bootstrap.php"
- ],
- "psr-4": {
- "Symfony\\Polyfill\\Php80\\": ""
- },
- "classmap": [
- "Resources/stubs"
- ]
- },
- "notification-url": "https://packagist.org/downloads/",
- "license": [
- "MIT"
- ],
- "authors": [
- {
- "name": "Ion Bazan",
- "email": "ion.bazan@gmail.com"
- },
- {
- "name": "Nicolas Grekas",
- "email": "p@tchwork.com"
- },
- {
- "name": "Symfony Community",
- "homepage": "https://symfony.com/contributors"
- }
- ],
- "description": "Symfony polyfill backporting some PHP 8.0+ features to lower PHP versions",
- "homepage": "https://symfony.com",
- "keywords": [
- "compatibility",
- "polyfill",
- "portable",
- "shim"
- ],
- "support": {
- "source": "https://github.com/symfony/polyfill-php80/tree/v1.28.0"
- },
- "funding": [
- {
- "url": "https://symfony.com/sponsor",
- "type": "custom"
- },
- {
- "url": "https://github.com/fabpot",
- "type": "github"
- },
- {
- "url": "https://tidelift.com/funding/github/packagist/symfony/symfony",
- "type": "tidelift"
- }
- ],
- "time": "2023-01-26T09:26:14+00:00"
- },
- {
- "name": "symfony/polyfill-php81",
- "version": "v1.28.0",
- "source": {
- "type": "git",
- "url": "https://github.com/symfony/polyfill-php81.git",
- "reference": "7581cd600fa9fd681b797d00b02f068e2f13263b"
- },
- "dist": {
- "type": "zip",
- "url": "https://api.github.com/repos/symfony/polyfill-php81/zipball/7581cd600fa9fd681b797d00b02f068e2f13263b",
- "reference": "7581cd600fa9fd681b797d00b02f068e2f13263b",
- "shasum": ""
- },
- "require": {
- "php": ">=7.1"
- },
- "type": "library",
- "extra": {
- "branch-alias": {
- "dev-main": "1.28-dev"
- },
- "thanks": {
- "name": "symfony/polyfill",
- "url": "https://github.com/symfony/polyfill"
- }
- },
- "autoload": {
- "files": [
- "bootstrap.php"
- ],
- "psr-4": {
- "Symfony\\Polyfill\\Php81\\": ""
- },
- "classmap": [
- "Resources/stubs"
- ]
- },
- "notification-url": "https://packagist.org/downloads/",
- "license": [
- "MIT"
- ],
- "authors": [
- {
- "name": "Nicolas Grekas",
- "email": "p@tchwork.com"
- },
- {
- "name": "Symfony Community",
- "homepage": "https://symfony.com/contributors"
- }
- ],
- "description": "Symfony polyfill backporting some PHP 8.1+ features to lower PHP versions",
- "homepage": "https://symfony.com",
- "keywords": [
- "compatibility",
- "polyfill",
- "portable",
- "shim"
- ],
- "support": {
- "source": "https://github.com/symfony/polyfill-php81/tree/v1.28.0"
- },
- "funding": [
- {
- "url": "https://symfony.com/sponsor",
- "type": "custom"
- },
- {
- "url": "https://github.com/fabpot",
- "type": "github"
- },
- {
- "url": "https://tidelift.com/funding/github/packagist/symfony/symfony",
- "type": "tidelift"
- }
- ],
- "time": "2023-01-26T09:26:14+00:00"
+ "time": "2024-01-29T20:11:03+00:00"
},
{
"name": "symfony/service-contracts",
- "version": "v2.5.2",
+ "version": "v3.4.1",
"source": {
"type": "git",
"url": "https://github.com/symfony/service-contracts.git",
- "reference": "4b426aac47d6427cc1a1d0f7e2ac724627f5966c"
+ "reference": "fe07cbc8d837f60caf7018068e350cc5163681a0"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/symfony/service-contracts/zipball/4b426aac47d6427cc1a1d0f7e2ac724627f5966c",
- "reference": "4b426aac47d6427cc1a1d0f7e2ac724627f5966c",
+ "url": "https://api.github.com/repos/symfony/service-contracts/zipball/fe07cbc8d837f60caf7018068e350cc5163681a0",
+ "reference": "fe07cbc8d837f60caf7018068e350cc5163681a0",
"shasum": ""
},
"require": {
- "php": ">=7.2.5",
- "psr/container": "^1.1",
- "symfony/deprecation-contracts": "^2.1|^3"
+ "php": ">=8.1",
+ "psr/container": "^1.1|^2.0"
},
"conflict": {
"ext-psr": "<1.1|>=2"
},
- "suggest": {
- "symfony/service-implementation": ""
- },
"type": "library",
"extra": {
"branch-alias": {
- "dev-main": "2.5-dev"
+ "dev-main": "3.4-dev"
},
"thanks": {
"name": "symfony/contracts",
@@ -714,7 +537,10 @@
"autoload": {
"psr-4": {
"Symfony\\Contracts\\Service\\": ""
- }
+ },
+ "exclude-from-classmap": [
+ "/Test/"
+ ]
},
"notification-url": "https://packagist.org/downloads/",
"license": [
@@ -741,7 +567,7 @@
"standards"
],
"support": {
- "source": "https://github.com/symfony/service-contracts/tree/v2.5.2"
+ "source": "https://github.com/symfony/service-contracts/tree/v3.4.1"
},
"funding": [
{
@@ -757,42 +583,36 @@
"type": "tidelift"
}
],
- "time": "2022-05-30T19:17:29+00:00"
- }
- ],
- "packages-dev": [
+ "time": "2023-12-26T14:02:43+00:00"
+ },
{
- "name": "doctrine/instantiator",
- "version": "1.5.0",
+ "name": "symfony/var-exporter",
+ "version": "v7.0.3",
"source": {
"type": "git",
- "url": "https://github.com/doctrine/instantiator.git",
- "reference": "0a0fa9780f5d4e507415a065172d26a98d02047b"
+ "url": "https://github.com/symfony/var-exporter.git",
+ "reference": "1fb79308cb5fc2b44bff6e8af10a5af6812e05b8"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/doctrine/instantiator/zipball/0a0fa9780f5d4e507415a065172d26a98d02047b",
- "reference": "0a0fa9780f5d4e507415a065172d26a98d02047b",
+ "url": "https://api.github.com/repos/symfony/var-exporter/zipball/1fb79308cb5fc2b44bff6e8af10a5af6812e05b8",
+ "reference": "1fb79308cb5fc2b44bff6e8af10a5af6812e05b8",
"shasum": ""
},
"require": {
- "php": "^7.1 || ^8.0"
+ "php": ">=8.2"
},
"require-dev": {
- "doctrine/coding-standard": "^9 || ^11",
- "ext-pdo": "*",
- "ext-phar": "*",
- "phpbench/phpbench": "^0.16 || ^1",
- "phpstan/phpstan": "^1.4",
- "phpstan/phpstan-phpunit": "^1",
- "phpunit/phpunit": "^7.5 || ^8.5 || ^9.5",
- "vimeo/psalm": "^4.30 || ^5.4"
+ "symfony/var-dumper": "^6.4|^7.0"
},
"type": "library",
"autoload": {
"psr-4": {
- "Doctrine\\Instantiator\\": "src/Doctrine/Instantiator/"
- }
+ "Symfony\\Component\\VarExporter\\": ""
+ },
+ "exclude-from-classmap": [
+ "/Tests/"
+ ]
},
"notification-url": "https://packagist.org/downloads/",
"license": [
@@ -800,37 +620,47 @@
],
"authors": [
{
- "name": "Marco Pivetta",
- "email": "ocramius@gmail.com",
- "homepage": "https://ocramius.github.io/"
+ "name": "Nicolas Grekas",
+ "email": "p@tchwork.com"
+ },
+ {
+ "name": "Symfony Community",
+ "homepage": "https://symfony.com/contributors"
}
],
- "description": "A small, lightweight utility to instantiate objects in PHP without invoking their constructors",
- "homepage": "https://www.doctrine-project.org/projects/instantiator.html",
+ "description": "Allows exporting any serializable PHP data structure to plain PHP code",
+ "homepage": "https://symfony.com",
"keywords": [
- "constructor",
- "instantiate"
+ "clone",
+ "construct",
+ "export",
+ "hydrate",
+ "instantiate",
+ "lazy-loading",
+ "proxy",
+ "serialize"
],
"support": {
- "issues": "https://github.com/doctrine/instantiator/issues",
- "source": "https://github.com/doctrine/instantiator/tree/1.5.0"
+ "source": "https://github.com/symfony/var-exporter/tree/v7.0.3"
},
"funding": [
{
- "url": "https://www.doctrine-project.org/sponsorship.html",
+ "url": "https://symfony.com/sponsor",
"type": "custom"
},
{
- "url": "https://www.patreon.com/phpdoctrine",
- "type": "patreon"
+ "url": "https://github.com/fabpot",
+ "type": "github"
},
{
- "url": "https://tidelift.com/funding/github/packagist/doctrine%2Finstantiator",
+ "url": "https://tidelift.com/funding/github/packagist/symfony/symfony",
"type": "tidelift"
}
],
- "time": "2022-12-30T00:15:36+00:00"
- },
+ "time": "2024-01-23T15:02:46+00:00"
+ }
+ ],
+ "packages-dev": [
{
"name": "easy-doc/easy-doc",
"version": "1.4.1",
@@ -946,928 +776,6 @@
},
"time": "2020-04-09T08:09:05+00:00"
},
- {
- "name": "phpdocumentor/reflection-docblock",
- "version": "2.0.5",
- "source": {
- "type": "git",
- "url": "https://github.com/phpDocumentor/ReflectionDocBlock.git",
- "reference": "e6a969a640b00d8daa3c66518b0405fb41ae0c4b"
- },
- "dist": {
- "type": "zip",
- "url": "https://api.github.com/repos/phpDocumentor/ReflectionDocBlock/zipball/e6a969a640b00d8daa3c66518b0405fb41ae0c4b",
- "reference": "e6a969a640b00d8daa3c66518b0405fb41ae0c4b",
- "shasum": ""
- },
- "require": {
- "php": ">=5.3.3"
- },
- "require-dev": {
- "phpunit/phpunit": "~4.0"
- },
- "suggest": {
- "dflydev/markdown": "~1.0",
- "erusev/parsedown": "~1.0"
- },
- "type": "library",
- "extra": {
- "branch-alias": {
- "dev-master": "2.0.x-dev"
- }
- },
- "autoload": {
- "psr-0": {
- "phpDocumentor": [
- "src/"
- ]
- }
- },
- "notification-url": "https://packagist.org/downloads/",
- "license": [
- "MIT"
- ],
- "authors": [
- {
- "name": "Mike van Riel",
- "email": "mike.vanriel@naenius.com"
- }
- ],
- "support": {
- "issues": "https://github.com/phpDocumentor/ReflectionDocBlock/issues",
- "source": "https://github.com/phpDocumentor/ReflectionDocBlock/tree/release/2.x"
- },
- "time": "2016-01-25T08:17:30+00:00"
- },
- {
- "name": "phpspec/prophecy",
- "version": "v1.5.0",
- "source": {
- "type": "git",
- "url": "https://github.com/phpspec/prophecy.git",
- "reference": "4745ded9307786b730d7a60df5cb5a6c43cf95f7"
- },
- "dist": {
- "type": "zip",
- "url": "https://api.github.com/repos/phpspec/prophecy/zipball/4745ded9307786b730d7a60df5cb5a6c43cf95f7",
- "reference": "4745ded9307786b730d7a60df5cb5a6c43cf95f7",
- "shasum": ""
- },
- "require": {
- "doctrine/instantiator": "^1.0.2",
- "phpdocumentor/reflection-docblock": "~2.0",
- "sebastian/comparator": "~1.1"
- },
- "require-dev": {
- "phpspec/phpspec": "~2.0"
- },
- "type": "library",
- "extra": {
- "branch-alias": {
- "dev-master": "1.4.x-dev"
- }
- },
- "autoload": {
- "psr-0": {
- "Prophecy\\": "src/"
- }
- },
- "notification-url": "https://packagist.org/downloads/",
- "license": [
- "MIT"
- ],
- "authors": [
- {
- "name": "Konstantin Kudryashov",
- "email": "ever.zet@gmail.com",
- "homepage": "http://everzet.com"
- },
- {
- "name": "Marcello Duarte",
- "email": "marcello.duarte@gmail.com"
- }
- ],
- "description": "Highly opinionated mocking framework for PHP 5.3+",
- "homepage": "https://github.com/phpspec/prophecy",
- "keywords": [
- "Double",
- "Dummy",
- "fake",
- "mock",
- "spy",
- "stub"
- ],
- "support": {
- "issues": "https://github.com/phpspec/prophecy/issues",
- "source": "https://github.com/phpspec/prophecy/tree/master"
- },
- "time": "2015-08-13T10:07:40+00:00"
- },
- {
- "name": "phpunit/php-code-coverage",
- "version": "2.2.4",
- "source": {
- "type": "git",
- "url": "https://github.com/sebastianbergmann/php-code-coverage.git",
- "reference": "eabf68b476ac7d0f73793aada060f1c1a9bf8979"
- },
- "dist": {
- "type": "zip",
- "url": "https://api.github.com/repos/sebastianbergmann/php-code-coverage/zipball/eabf68b476ac7d0f73793aada060f1c1a9bf8979",
- "reference": "eabf68b476ac7d0f73793aada060f1c1a9bf8979",
- "shasum": ""
- },
- "require": {
- "php": ">=5.3.3",
- "phpunit/php-file-iterator": "~1.3",
- "phpunit/php-text-template": "~1.2",
- "phpunit/php-token-stream": "~1.3",
- "sebastian/environment": "^1.3.2",
- "sebastian/version": "~1.0"
- },
- "require-dev": {
- "ext-xdebug": ">=2.1.4",
- "phpunit/phpunit": "~4"
- },
- "suggest": {
- "ext-dom": "*",
- "ext-xdebug": ">=2.2.1",
- "ext-xmlwriter": "*"
- },
- "type": "library",
- "extra": {
- "branch-alias": {
- "dev-master": "2.2.x-dev"
- }
- },
- "autoload": {
- "classmap": [
- "src/"
- ]
- },
- "notification-url": "https://packagist.org/downloads/",
- "license": [
- "BSD-3-Clause"
- ],
- "authors": [
- {
- "name": "Sebastian Bergmann",
- "email": "sb@sebastian-bergmann.de",
- "role": "lead"
- }
- ],
- "description": "Library that provides collection, processing, and rendering functionality for PHP code coverage information.",
- "homepage": "https://github.com/sebastianbergmann/php-code-coverage",
- "keywords": [
- "coverage",
- "testing",
- "xunit"
- ],
- "support": {
- "irc": "irc://irc.freenode.net/phpunit",
- "issues": "https://github.com/sebastianbergmann/php-code-coverage/issues",
- "source": "https://github.com/sebastianbergmann/php-code-coverage/tree/2.2"
- },
- "time": "2015-10-06T15:47:00+00:00"
- },
- {
- "name": "phpunit/php-file-iterator",
- "version": "1.4.5",
- "source": {
- "type": "git",
- "url": "https://github.com/sebastianbergmann/php-file-iterator.git",
- "reference": "730b01bc3e867237eaac355e06a36b85dd93a8b4"
- },
- "dist": {
- "type": "zip",
- "url": "https://api.github.com/repos/sebastianbergmann/php-file-iterator/zipball/730b01bc3e867237eaac355e06a36b85dd93a8b4",
- "reference": "730b01bc3e867237eaac355e06a36b85dd93a8b4",
- "shasum": ""
- },
- "require": {
- "php": ">=5.3.3"
- },
- "type": "library",
- "extra": {
- "branch-alias": {
- "dev-master": "1.4.x-dev"
- }
- },
- "autoload": {
- "classmap": [
- "src/"
- ]
- },
- "notification-url": "https://packagist.org/downloads/",
- "license": [
- "BSD-3-Clause"
- ],
- "authors": [
- {
- "name": "Sebastian Bergmann",
- "email": "sb@sebastian-bergmann.de",
- "role": "lead"
- }
- ],
- "description": "FilterIterator implementation that filters files based on a list of suffixes.",
- "homepage": "https://github.com/sebastianbergmann/php-file-iterator/",
- "keywords": [
- "filesystem",
- "iterator"
- ],
- "support": {
- "irc": "irc://irc.freenode.net/phpunit",
- "issues": "https://github.com/sebastianbergmann/php-file-iterator/issues",
- "source": "https://github.com/sebastianbergmann/php-file-iterator/tree/1.4.5"
- },
- "time": "2017-11-27T13:52:08+00:00"
- },
- {
- "name": "phpunit/php-text-template",
- "version": "1.2.1",
- "source": {
- "type": "git",
- "url": "https://github.com/sebastianbergmann/php-text-template.git",
- "reference": "31f8b717e51d9a2afca6c9f046f5d69fc27c8686"
- },
- "dist": {
- "type": "zip",
- "url": "https://api.github.com/repos/sebastianbergmann/php-text-template/zipball/31f8b717e51d9a2afca6c9f046f5d69fc27c8686",
- "reference": "31f8b717e51d9a2afca6c9f046f5d69fc27c8686",
- "shasum": ""
- },
- "require": {
- "php": ">=5.3.3"
- },
- "type": "library",
- "autoload": {
- "classmap": [
- "src/"
- ]
- },
- "notification-url": "https://packagist.org/downloads/",
- "license": [
- "BSD-3-Clause"
- ],
- "authors": [
- {
- "name": "Sebastian Bergmann",
- "email": "sebastian@phpunit.de",
- "role": "lead"
- }
- ],
- "description": "Simple template engine.",
- "homepage": "https://github.com/sebastianbergmann/php-text-template/",
- "keywords": [
- "template"
- ],
- "support": {
- "issues": "https://github.com/sebastianbergmann/php-text-template/issues",
- "source": "https://github.com/sebastianbergmann/php-text-template/tree/1.2.1"
- },
- "time": "2015-06-21T13:50:34+00:00"
- },
- {
- "name": "phpunit/php-timer",
- "version": "1.0.8",
- "source": {
- "type": "git",
- "url": "https://github.com/sebastianbergmann/php-timer.git",
- "reference": "38e9124049cf1a164f1e4537caf19c99bf1eb260"
- },
- "dist": {
- "type": "zip",
- "url": "https://api.github.com/repos/sebastianbergmann/php-timer/zipball/38e9124049cf1a164f1e4537caf19c99bf1eb260",
- "reference": "38e9124049cf1a164f1e4537caf19c99bf1eb260",
- "shasum": ""
- },
- "require": {
- "php": ">=5.3.3"
- },
- "require-dev": {
- "phpunit/phpunit": "~4|~5"
- },
- "type": "library",
- "autoload": {
- "classmap": [
- "src/"
- ]
- },
- "notification-url": "https://packagist.org/downloads/",
- "license": [
- "BSD-3-Clause"
- ],
- "authors": [
- {
- "name": "Sebastian Bergmann",
- "email": "sb@sebastian-bergmann.de",
- "role": "lead"
- }
- ],
- "description": "Utility class for timing",
- "homepage": "https://github.com/sebastianbergmann/php-timer/",
- "keywords": [
- "timer"
- ],
- "support": {
- "irc": "irc://irc.freenode.net/phpunit",
- "issues": "https://github.com/sebastianbergmann/php-timer/issues",
- "source": "https://github.com/sebastianbergmann/php-timer/tree/master"
- },
- "time": "2016-05-12T18:03:57+00:00"
- },
- {
- "name": "phpunit/php-token-stream",
- "version": "1.4.12",
- "source": {
- "type": "git",
- "url": "https://github.com/sebastianbergmann/php-token-stream.git",
- "reference": "1ce90ba27c42e4e44e6d8458241466380b51fa16"
- },
- "dist": {
- "type": "zip",
- "url": "https://api.github.com/repos/sebastianbergmann/php-token-stream/zipball/1ce90ba27c42e4e44e6d8458241466380b51fa16",
- "reference": "1ce90ba27c42e4e44e6d8458241466380b51fa16",
- "shasum": ""
- },
- "require": {
- "ext-tokenizer": "*",
- "php": ">=5.3.3"
- },
- "require-dev": {
- "phpunit/phpunit": "~4.2"
- },
- "type": "library",
- "extra": {
- "branch-alias": {
- "dev-master": "1.4-dev"
- }
- },
- "autoload": {
- "classmap": [
- "src/"
- ]
- },
- "notification-url": "https://packagist.org/downloads/",
- "license": [
- "BSD-3-Clause"
- ],
- "authors": [
- {
- "name": "Sebastian Bergmann",
- "email": "sebastian@phpunit.de"
- }
- ],
- "description": "Wrapper around PHP's tokenizer extension.",
- "homepage": "https://github.com/sebastianbergmann/php-token-stream/",
- "keywords": [
- "tokenizer"
- ],
- "support": {
- "issues": "https://github.com/sebastianbergmann/php-token-stream/issues",
- "source": "https://github.com/sebastianbergmann/php-token-stream/tree/1.4"
- },
- "abandoned": true,
- "time": "2017-12-04T08:55:13+00:00"
- },
- {
- "name": "phpunit/phpunit",
- "version": "4.8.36",
- "source": {
- "type": "git",
- "url": "https://github.com/sebastianbergmann/phpunit.git",
- "reference": "46023de9a91eec7dfb06cc56cb4e260017298517"
- },
- "dist": {
- "type": "zip",
- "url": "https://api.github.com/repos/sebastianbergmann/phpunit/zipball/46023de9a91eec7dfb06cc56cb4e260017298517",
- "reference": "46023de9a91eec7dfb06cc56cb4e260017298517",
- "shasum": ""
- },
- "require": {
- "ext-dom": "*",
- "ext-json": "*",
- "ext-pcre": "*",
- "ext-reflection": "*",
- "ext-spl": "*",
- "php": ">=5.3.3",
- "phpspec/prophecy": "^1.3.1",
- "phpunit/php-code-coverage": "~2.1",
- "phpunit/php-file-iterator": "~1.4",
- "phpunit/php-text-template": "~1.2",
- "phpunit/php-timer": "^1.0.6",
- "phpunit/phpunit-mock-objects": "~2.3",
- "sebastian/comparator": "~1.2.2",
- "sebastian/diff": "~1.2",
- "sebastian/environment": "~1.3",
- "sebastian/exporter": "~1.2",
- "sebastian/global-state": "~1.0",
- "sebastian/version": "~1.0",
- "symfony/yaml": "~2.1|~3.0"
- },
- "suggest": {
- "phpunit/php-invoker": "~1.1"
- },
- "bin": [
- "phpunit"
- ],
- "type": "library",
- "extra": {
- "branch-alias": {
- "dev-master": "4.8.x-dev"
- }
- },
- "autoload": {
- "classmap": [
- "src/"
- ]
- },
- "notification-url": "https://packagist.org/downloads/",
- "license": [
- "BSD-3-Clause"
- ],
- "authors": [
- {
- "name": "Sebastian Bergmann",
- "email": "sebastian@phpunit.de",
- "role": "lead"
- }
- ],
- "description": "The PHP Unit Testing framework.",
- "homepage": "https://phpunit.de/",
- "keywords": [
- "phpunit",
- "testing",
- "xunit"
- ],
- "support": {
- "issues": "https://github.com/sebastianbergmann/phpunit/issues",
- "source": "https://github.com/sebastianbergmann/phpunit/tree/4.8.36"
- },
- "time": "2017-06-21T08:07:12+00:00"
- },
- {
- "name": "phpunit/phpunit-mock-objects",
- "version": "2.3.8",
- "source": {
- "type": "git",
- "url": "https://github.com/sebastianbergmann/phpunit-mock-objects.git",
- "reference": "ac8e7a3db35738d56ee9a76e78a4e03d97628983"
- },
- "dist": {
- "type": "zip",
- "url": "https://api.github.com/repos/sebastianbergmann/phpunit-mock-objects/zipball/ac8e7a3db35738d56ee9a76e78a4e03d97628983",
- "reference": "ac8e7a3db35738d56ee9a76e78a4e03d97628983",
- "shasum": ""
- },
- "require": {
- "doctrine/instantiator": "^1.0.2",
- "php": ">=5.3.3",
- "phpunit/php-text-template": "~1.2",
- "sebastian/exporter": "~1.2"
- },
- "require-dev": {
- "phpunit/phpunit": "~4.4"
- },
- "suggest": {
- "ext-soap": "*"
- },
- "type": "library",
- "extra": {
- "branch-alias": {
- "dev-master": "2.3.x-dev"
- }
- },
- "autoload": {
- "classmap": [
- "src/"
- ]
- },
- "notification-url": "https://packagist.org/downloads/",
- "license": [
- "BSD-3-Clause"
- ],
- "authors": [
- {
- "name": "Sebastian Bergmann",
- "email": "sb@sebastian-bergmann.de",
- "role": "lead"
- }
- ],
- "description": "Mock Object library for PHPUnit",
- "homepage": "https://github.com/sebastianbergmann/phpunit-mock-objects/",
- "keywords": [
- "mock",
- "xunit"
- ],
- "support": {
- "irc": "irc://irc.freenode.net/phpunit",
- "issues": "https://github.com/sebastianbergmann/phpunit-mock-objects/issues",
- "source": "https://github.com/sebastianbergmann/phpunit-mock-objects/tree/2.3"
- },
- "abandoned": true,
- "time": "2015-10-02T06:51:40+00:00"
- },
- {
- "name": "sebastian/comparator",
- "version": "1.2.4",
- "source": {
- "type": "git",
- "url": "https://github.com/sebastianbergmann/comparator.git",
- "reference": "2b7424b55f5047b47ac6e5ccb20b2aea4011d9be"
- },
- "dist": {
- "type": "zip",
- "url": "https://api.github.com/repos/sebastianbergmann/comparator/zipball/2b7424b55f5047b47ac6e5ccb20b2aea4011d9be",
- "reference": "2b7424b55f5047b47ac6e5ccb20b2aea4011d9be",
- "shasum": ""
- },
- "require": {
- "php": ">=5.3.3",
- "sebastian/diff": "~1.2",
- "sebastian/exporter": "~1.2 || ~2.0"
- },
- "require-dev": {
- "phpunit/phpunit": "~4.4"
- },
- "type": "library",
- "extra": {
- "branch-alias": {
- "dev-master": "1.2.x-dev"
- }
- },
- "autoload": {
- "classmap": [
- "src/"
- ]
- },
- "notification-url": "https://packagist.org/downloads/",
- "license": [
- "BSD-3-Clause"
- ],
- "authors": [
- {
- "name": "Jeff Welch",
- "email": "whatthejeff@gmail.com"
- },
- {
- "name": "Volker Dusch",
- "email": "github@wallbash.com"
- },
- {
- "name": "Bernhard Schussek",
- "email": "bschussek@2bepublished.at"
- },
- {
- "name": "Sebastian Bergmann",
- "email": "sebastian@phpunit.de"
- }
- ],
- "description": "Provides the functionality to compare PHP values for equality",
- "homepage": "http://www.github.com/sebastianbergmann/comparator",
- "keywords": [
- "comparator",
- "compare",
- "equality"
- ],
- "support": {
- "issues": "https://github.com/sebastianbergmann/comparator/issues",
- "source": "https://github.com/sebastianbergmann/comparator/tree/1.2"
- },
- "time": "2017-01-29T09:50:25+00:00"
- },
- {
- "name": "sebastian/diff",
- "version": "1.4.1",
- "source": {
- "type": "git",
- "url": "https://github.com/sebastianbergmann/diff.git",
- "reference": "13edfd8706462032c2f52b4b862974dd46b71c9e"
- },
- "dist": {
- "type": "zip",
- "url": "https://api.github.com/repos/sebastianbergmann/diff/zipball/13edfd8706462032c2f52b4b862974dd46b71c9e",
- "reference": "13edfd8706462032c2f52b4b862974dd46b71c9e",
- "shasum": ""
- },
- "require": {
- "php": ">=5.3.3"
- },
- "require-dev": {
- "phpunit/phpunit": "~4.8"
- },
- "type": "library",
- "extra": {
- "branch-alias": {
- "dev-master": "1.4-dev"
- }
- },
- "autoload": {
- "classmap": [
- "src/"
- ]
- },
- "notification-url": "https://packagist.org/downloads/",
- "license": [
- "BSD-3-Clause"
- ],
- "authors": [
- {
- "name": "Kore Nordmann",
- "email": "mail@kore-nordmann.de"
- },
- {
- "name": "Sebastian Bergmann",
- "email": "sebastian@phpunit.de"
- }
- ],
- "description": "Diff implementation",
- "homepage": "https://github.com/sebastianbergmann/diff",
- "keywords": [
- "diff"
- ],
- "support": {
- "issues": "https://github.com/sebastianbergmann/diff/issues",
- "source": "https://github.com/sebastianbergmann/diff/tree/master"
- },
- "time": "2015-12-08T07:14:41+00:00"
- },
- {
- "name": "sebastian/environment",
- "version": "1.3.7",
- "source": {
- "type": "git",
- "url": "https://github.com/sebastianbergmann/environment.git",
- "reference": "4e8f0da10ac5802913afc151413bc8c53b6c2716"
- },
- "dist": {
- "type": "zip",
- "url": "https://api.github.com/repos/sebastianbergmann/environment/zipball/4e8f0da10ac5802913afc151413bc8c53b6c2716",
- "reference": "4e8f0da10ac5802913afc151413bc8c53b6c2716",
- "shasum": ""
- },
- "require": {
- "php": ">=5.3.3"
- },
- "require-dev": {
- "phpunit/phpunit": "~4.4"
- },
- "type": "library",
- "extra": {
- "branch-alias": {
- "dev-master": "1.3.x-dev"
- }
- },
- "autoload": {
- "classmap": [
- "src/"
- ]
- },
- "notification-url": "https://packagist.org/downloads/",
- "license": [
- "BSD-3-Clause"
- ],
- "authors": [
- {
- "name": "Sebastian Bergmann",
- "email": "sebastian@phpunit.de"
- }
- ],
- "description": "Provides functionality to handle HHVM/PHP environments",
- "homepage": "http://www.github.com/sebastianbergmann/environment",
- "keywords": [
- "Xdebug",
- "environment",
- "hhvm"
- ],
- "support": {
- "issues": "https://github.com/sebastianbergmann/environment/issues",
- "source": "https://github.com/sebastianbergmann/environment/tree/1.3.7"
- },
- "time": "2016-05-17T03:18:57+00:00"
- },
- {
- "name": "sebastian/exporter",
- "version": "1.2.2",
- "source": {
- "type": "git",
- "url": "https://github.com/sebastianbergmann/exporter.git",
- "reference": "42c4c2eec485ee3e159ec9884f95b431287edde4"
- },
- "dist": {
- "type": "zip",
- "url": "https://api.github.com/repos/sebastianbergmann/exporter/zipball/42c4c2eec485ee3e159ec9884f95b431287edde4",
- "reference": "42c4c2eec485ee3e159ec9884f95b431287edde4",
- "shasum": ""
- },
- "require": {
- "php": ">=5.3.3",
- "sebastian/recursion-context": "~1.0"
- },
- "require-dev": {
- "ext-mbstring": "*",
- "phpunit/phpunit": "~4.4"
- },
- "type": "library",
- "extra": {
- "branch-alias": {
- "dev-master": "1.3.x-dev"
- }
- },
- "autoload": {
- "classmap": [
- "src/"
- ]
- },
- "notification-url": "https://packagist.org/downloads/",
- "license": [
- "BSD-3-Clause"
- ],
- "authors": [
- {
- "name": "Jeff Welch",
- "email": "whatthejeff@gmail.com"
- },
- {
- "name": "Volker Dusch",
- "email": "github@wallbash.com"
- },
- {
- "name": "Bernhard Schussek",
- "email": "bschussek@2bepublished.at"
- },
- {
- "name": "Sebastian Bergmann",
- "email": "sebastian@phpunit.de"
- },
- {
- "name": "Adam Harvey",
- "email": "aharvey@php.net"
- }
- ],
- "description": "Provides the functionality to export PHP variables for visualization",
- "homepage": "http://www.github.com/sebastianbergmann/exporter",
- "keywords": [
- "export",
- "exporter"
- ],
- "support": {
- "issues": "https://github.com/sebastianbergmann/exporter/issues",
- "source": "https://github.com/sebastianbergmann/exporter/tree/master"
- },
- "time": "2016-06-17T09:04:28+00:00"
- },
- {
- "name": "sebastian/global-state",
- "version": "1.1.1",
- "source": {
- "type": "git",
- "url": "https://github.com/sebastianbergmann/global-state.git",
- "reference": "bc37d50fea7d017d3d340f230811c9f1d7280af4"
- },
- "dist": {
- "type": "zip",
- "url": "https://api.github.com/repos/sebastianbergmann/global-state/zipball/bc37d50fea7d017d3d340f230811c9f1d7280af4",
- "reference": "bc37d50fea7d017d3d340f230811c9f1d7280af4",
- "shasum": ""
- },
- "require": {
- "php": ">=5.3.3"
- },
- "require-dev": {
- "phpunit/phpunit": "~4.2"
- },
- "suggest": {
- "ext-uopz": "*"
- },
- "type": "library",
- "extra": {
- "branch-alias": {
- "dev-master": "1.0-dev"
- }
- },
- "autoload": {
- "classmap": [
- "src/"
- ]
- },
- "notification-url": "https://packagist.org/downloads/",
- "license": [
- "BSD-3-Clause"
- ],
- "authors": [
- {
- "name": "Sebastian Bergmann",
- "email": "sebastian@phpunit.de"
- }
- ],
- "description": "Snapshotting of global state",
- "homepage": "http://www.github.com/sebastianbergmann/global-state",
- "keywords": [
- "global state"
- ],
- "support": {
- "issues": "https://github.com/sebastianbergmann/global-state/issues",
- "source": "https://github.com/sebastianbergmann/global-state/tree/1.1.1"
- },
- "time": "2015-10-12T03:26:01+00:00"
- },
- {
- "name": "sebastian/recursion-context",
- "version": "1.0.5",
- "source": {
- "type": "git",
- "url": "https://github.com/sebastianbergmann/recursion-context.git",
- "reference": "b19cc3298482a335a95f3016d2f8a6950f0fbcd7"
- },
- "dist": {
- "type": "zip",
- "url": "https://api.github.com/repos/sebastianbergmann/recursion-context/zipball/b19cc3298482a335a95f3016d2f8a6950f0fbcd7",
- "reference": "b19cc3298482a335a95f3016d2f8a6950f0fbcd7",
- "shasum": ""
- },
- "require": {
- "php": ">=5.3.3"
- },
- "require-dev": {
- "phpunit/phpunit": "~4.4"
- },
- "type": "library",
- "extra": {
- "branch-alias": {
- "dev-master": "1.0.x-dev"
- }
- },
- "autoload": {
- "classmap": [
- "src/"
- ]
- },
- "notification-url": "https://packagist.org/downloads/",
- "license": [
- "BSD-3-Clause"
- ],
- "authors": [
- {
- "name": "Jeff Welch",
- "email": "whatthejeff@gmail.com"
- },
- {
- "name": "Sebastian Bergmann",
- "email": "sebastian@phpunit.de"
- },
- {
- "name": "Adam Harvey",
- "email": "aharvey@php.net"
- }
- ],
- "description": "Provides functionality to recursively process PHP variables",
- "homepage": "http://www.github.com/sebastianbergmann/recursion-context",
- "support": {
- "issues": "https://github.com/sebastianbergmann/recursion-context/issues",
- "source": "https://github.com/sebastianbergmann/recursion-context/tree/master"
- },
- "time": "2016-10-03T07:41:43+00:00"
- },
- {
- "name": "sebastian/version",
- "version": "1.0.6",
- "source": {
- "type": "git",
- "url": "https://github.com/sebastianbergmann/version.git",
- "reference": "58b3a85e7999757d6ad81c787a1fbf5ff6c628c6"
- },
- "dist": {
- "type": "zip",
- "url": "https://api.github.com/repos/sebastianbergmann/version/zipball/58b3a85e7999757d6ad81c787a1fbf5ff6c628c6",
- "reference": "58b3a85e7999757d6ad81c787a1fbf5ff6c628c6",
- "shasum": ""
- },
- "type": "library",
- "autoload": {
- "classmap": [
- "src/"
- ]
- },
- "notification-url": "https://packagist.org/downloads/",
- "license": [
- "BSD-3-Clause"
- ],
- "authors": [
- {
- "name": "Sebastian Bergmann",
- "email": "sebastian@phpunit.de",
- "role": "lead"
- }
- ],
- "description": "Library that helps with managing the version number of Git-hosted PHP projects",
- "homepage": "https://github.com/sebastianbergmann/version",
- "support": {
- "issues": "https://github.com/sebastianbergmann/version/issues",
- "source": "https://github.com/sebastianbergmann/version/tree/1.0.6"
- },
- "time": "2015-06-21T13:59:46+00:00"
- },
{
"name": "simple-cli/simple-cli",
"version": "1.6.0",
@@ -1931,12 +839,12 @@
"version": "2.9.2",
"source": {
"type": "git",
- "url": "https://github.com/squizlabs/PHP_CodeSniffer.git",
+ "url": "https://github.com/PHPCSStandards/PHP_CodeSniffer.git",
"reference": "2acf168de78487db620ab4bc524135a13cfe6745"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/squizlabs/PHP_CodeSniffer/zipball/2acf168de78487db620ab4bc524135a13cfe6745",
+ "url": "https://api.github.com/repos/PHPCSStandards/PHP_CodeSniffer/zipball/2acf168de78487db620ab4bc524135a13cfe6745",
"reference": "2acf168de78487db620ab4bc524135a13cfe6745",
"shasum": ""
},
@@ -2007,78 +915,21 @@
"source": "https://github.com/squizlabs/PHP_CodeSniffer",
"wiki": "https://github.com/squizlabs/PHP_CodeSniffer/wiki"
},
- "time": "2018-11-07T22:31:41+00:00"
- },
- {
- "name": "symfony/yaml",
- "version": "v3.4.47",
- "source": {
- "type": "git",
- "url": "https://github.com/symfony/yaml.git",
- "reference": "88289caa3c166321883f67fe5130188ebbb47094"
- },
- "dist": {
- "type": "zip",
- "url": "https://api.github.com/repos/symfony/yaml/zipball/88289caa3c166321883f67fe5130188ebbb47094",
- "reference": "88289caa3c166321883f67fe5130188ebbb47094",
- "shasum": ""
- },
- "require": {
- "php": "^5.5.9|>=7.0.8",
- "symfony/polyfill-ctype": "~1.8"
- },
- "conflict": {
- "symfony/console": "<3.4"
- },
- "require-dev": {
- "symfony/console": "~3.4|~4.0"
- },
- "suggest": {
- "symfony/console": "For validating YAML files using the lint command"
- },
- "type": "library",
- "autoload": {
- "psr-4": {
- "Symfony\\Component\\Yaml\\": ""
- },
- "exclude-from-classmap": [
- "/Tests/"
- ]
- },
- "notification-url": "https://packagist.org/downloads/",
- "license": [
- "MIT"
- ],
- "authors": [
- {
- "name": "Fabien Potencier",
- "email": "fabien@symfony.com"
- },
- {
- "name": "Symfony Community",
- "homepage": "https://symfony.com/contributors"
- }
- ],
- "description": "Symfony Yaml Component",
- "homepage": "https://symfony.com",
- "support": {
- "source": "https://github.com/symfony/yaml/tree/v3.4.47"
- },
"funding": [
{
- "url": "https://symfony.com/sponsor",
- "type": "custom"
- },
- {
- "url": "https://github.com/fabpot",
+ "url": "https://github.com/PHPCSStandards",
"type": "github"
},
{
- "url": "https://tidelift.com/funding/github/packagist/symfony/symfony",
- "type": "tidelift"
+ "url": "https://github.com/jrfnl",
+ "type": "github"
+ },
+ {
+ "url": "https://opencollective.com/php_codesniffer",
+ "type": "open_collective"
}
],
- "time": "2020-10-24T10:57:07+00:00"
+ "time": "2018-11-07T22:31:41+00:00"
}
],
"aliases": [],
diff --git a/pkgs/by-name/pd/pdepend/package.nix b/pkgs/by-name/pd/pdepend/package.nix
index b14752c38cb3..412bdc0a8955 100644
--- a/pkgs/by-name/pd/pdepend/package.nix
+++ b/pkgs/by-name/pd/pdepend/package.nix
@@ -1,20 +1,24 @@
-{ php, fetchFromGitHub, lib }:
+{ php
+, fetchFromGitHub
+, lib
+}:
php.buildComposerProject (finalAttrs: {
pname = "pdepend";
- version = "2.15.1";
+ version = "2.16.2";
src = fetchFromGitHub {
owner = "pdepend";
repo = "pdepend";
rev = finalAttrs.version;
- hash = "sha256-tVWOR0rKMnQDeHk3MHhEVOjn+dSpoMx+Ln+AwFRMwYs=";
+ hash = "sha256-2Ruubcm9IWZYu2LGeGeKm1tmHca0P5xlKYkuBCCV9ag=";
};
composerLock = ./composer.lock;
- vendorHash = "sha256-MWm8urRB9IujqrIl22x+JFFCRR+nINLQqnHUywT2pi0=";
+ vendorHash = "sha256-Rvvy6MI0q+T2W7xzf2UqWIbsqgrWhgqVnzhphQ3iw9g=";
meta = {
+ changelog = "https://github.com/pdepend/pdepend/releases/tag/${finalAttrs.version}";
description = "An adaptation of JDepend for PHP";
homepage = "https://github.com/pdepend/pdepend";
license = lib.licenses.bsd3;
@@ -24,7 +28,7 @@ php.buildComposerProject (finalAttrs: {
of your design in terms of extensibility, reusability and
maintainability.
";
+ mainProgram = "pdepend";
maintainers = lib.teams.php.members;
- platforms = lib.platforms.all;
};
})
diff --git a/pkgs/by-name/pd/pdfannots/package.nix b/pkgs/by-name/pd/pdfannots/package.nix
new file mode 100644
index 000000000000..14672b371724
--- /dev/null
+++ b/pkgs/by-name/pd/pdfannots/package.nix
@@ -0,0 +1,34 @@
+{ lib, python3, fetchFromGitHub }:
+
+python3.pkgs.buildPythonApplication rec {
+ pname = "pdfannots";
+ version = "0.4";
+ pyproject = true;
+
+ src = fetchFromGitHub {
+ owner = "0xabu";
+ repo = "pdfannots";
+ rev = "v${version}";
+ hash = "sha256-C0Ss6kZvPx0hHnpBKquEolxeuTfjshhSBSIDXcCKtM8=";
+ };
+
+ nativeBuildInputs = [
+ python3.pkgs.setuptools
+ ];
+
+ propagatedBuildInputs = [
+ python3.pkgs.pdfminer-six
+ ];
+
+ pythonImportsCheck = [
+ "pdfannots"
+ ];
+
+ meta = with lib; {
+ description = "Extracts and formats text annotations from a PDF file";
+ homepage = "https://github.com/0xabu/pdfannots";
+ license = licenses.mit;
+ maintainers = [ maintainers.marsam ];
+ mainProgram = "pdfannots";
+ };
+}
diff --git a/pkgs/by-name/pd/pdfannots2json/package.nix b/pkgs/by-name/pd/pdfannots2json/package.nix
index 01c30fe1fb52..9d32f9a7c92c 100644
--- a/pkgs/by-name/pd/pdfannots2json/package.nix
+++ b/pkgs/by-name/pd/pdfannots2json/package.nix
@@ -18,8 +18,9 @@ in
meta = with lib; {
homepage = "https://github.com/mgmeyers/pdfannots2json";
- license = licenses.agpl3;
+ license = licenses.agpl3Only;
description = "A tool to convert PDF annotations to JSON";
+ mainProgram = "pdfannots2json";
maintainers = with maintainers; [ _0nyr ];
};
}
diff --git a/pkgs/by-name/pd/pdfid/package.nix b/pkgs/by-name/pd/pdfid/package.nix
new file mode 100644
index 000000000000..62e14fa9d226
--- /dev/null
+++ b/pkgs/by-name/pd/pdfid/package.nix
@@ -0,0 +1,40 @@
+{ lib
+, fetchzip
+, python3
+, python3Packages
+, makeBinaryWrapper
+}:
+
+python3Packages.buildPythonApplication rec {
+ pname = "pdfid";
+ version = "0.2.8";
+ format = "other";
+
+ src = fetchzip {
+ url = "https://didierstevens.com/files/software/pdfid_v0_2_8.zip";
+ hash = "sha256-ZLyhBMF2KMX0c1oCvuSCjEjHTnm2gFhJtasaTD9Q1BI=";
+ stripRoot = false;
+ };
+
+ nativeBuildInputs = [
+ makeBinaryWrapper
+ ];
+
+ installPhase = ''
+ runHook preInstall
+ mkdir -p $out/{bin,share/pdfid}
+ cp -a * $out/share/pdfid/
+ makeBinaryWrapper ${lib.getExe python3} $out/bin/${meta.mainProgram} \
+ --add-flags "$out/share/pdfid/pdfid.py"
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ description = "Scan a file to look for certain PDF keywords";
+ homepage = "https://blog.didierstevens.com/programs/pdf-tools/";
+ license = with licenses; [ free ];
+ mainProgram = "pdfid";
+ maintainers = with maintainers; [ d3vil0p3r ];
+ platforms = platforms.unix;
+ };
+}
diff --git a/pkgs/by-name/pd/pdpmake/package.nix b/pkgs/by-name/pd/pdpmake/package.nix
new file mode 100644
index 000000000000..808de7bb755d
--- /dev/null
+++ b/pkgs/by-name/pd/pdpmake/package.nix
@@ -0,0 +1,29 @@
+{ lib, stdenv, fetchFromGitHub }:
+stdenv.mkDerivation rec {
+ pname = "pdpmake";
+ version = "1.4.1";
+
+ src = fetchFromGitHub {
+ owner = "rmyorston";
+ repo = "pdpmake";
+ rev = version;
+ hash = "sha256-N9MT+3nE8To0ktNTPT9tDHkKRrn4XsTYiTeYdBk9VtI=";
+ };
+
+ makeFlags = [ "PREFIX=$(out)" ];
+
+ doCheck = true;
+ checkTarget = "test";
+
+ enableParallelBuilding = true;
+
+ meta = with lib; {
+ homepage = "https://github.com/rmyorston/pdpmake";
+ description = "Public domain POSIX make";
+ license = licenses.unlicense;
+ maintainers = with maintainers; [ eownerdead ];
+ mainProgram = "pdpmake";
+ platforms = platforms.all;
+ badPlatforms = platforms.darwin; # Requires `uimensat`
+ };
+}
diff --git a/pkgs/by-name/pe/peergos/package.nix b/pkgs/by-name/pe/peergos/package.nix
index d51f0504bd49..f91c8ea78618 100644
--- a/pkgs/by-name/pe/peergos/package.nix
+++ b/pkgs/by-name/pe/peergos/package.nix
@@ -33,6 +33,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A p2p, secure file storage, social network and application protocol";
+ mainProgram = "peergos";
homepage = "https://peergos.org/";
# peergos have agpt3 license, peergos-web-ui have gpl3, both are used
license = [ licenses.agpl3Only licenses.gpl3Only ];
diff --git a/pkgs/by-name/pe/perf_data_converter/package.nix b/pkgs/by-name/pe/perf_data_converter/package.nix
new file mode 100644
index 000000000000..18b6704877ec
--- /dev/null
+++ b/pkgs/by-name/pe/perf_data_converter/package.nix
@@ -0,0 +1,63 @@
+{
+ lib,
+ stdenv,
+ buildBazelPackage,
+ fetchFromGitHub,
+ fetchpatch,
+ bazel_6,
+ jdk,
+ elfutils,
+ libcap,
+}:
+
+buildBazelPackage rec {
+ pname = "perf_data_converter";
+ version = "0-unstable-2024-03-12";
+
+ src = fetchFromGitHub {
+ owner = "google";
+ repo = "perf_data_converter";
+ rev = "e1cfe1e7e5d8cf3b728a166bf02d4227c82801eb";
+ hash = "sha256-Y3tBLH2jf1f28o6RK2inq9FulKc66qcqwKmxYdFC5tA=";
+ };
+
+ bazel = bazel_6;
+ bazelFlags = [
+ "--java_runtime_version=local_jdk"
+ "--tool_java_runtime_version=local_jdk"
+ ];
+
+ fetchAttrs = {
+ sha256 = "sha256-IauQ4zEn9YHppLgW+4XjPv4N5IZlEkp//tE/Dy7k28s=";
+ };
+
+ nativeBuildInputs = [ jdk ];
+
+ buildInputs = [
+ elfutils
+ libcap
+ ];
+
+ removeRulesCC = false;
+
+ bazelBuildFlags = [ "-c opt" ];
+ bazelTargets = [ "src:perf_to_profile" ];
+
+ bazelTestTargets = [ "src:all" ];
+
+ buildAttrs = {
+ installPhase = ''
+ runHook preInstall
+ install -Dm555 -t "$out/bin" bazel-bin/src/perf_to_profile
+ runHook postInstall
+ '';
+ };
+
+ meta = with lib; {
+ description = "Tool to convert Linux perf files to the profile.proto format used by pprof";
+ homepage = "https://github.com/google/perf_data_converter";
+ license = licenses.bsd3;
+ maintainers = with maintainers; [ hzeller ];
+ platforms = platforms.linux;
+ };
+}
diff --git a/pkgs/by-name/pe/pest/composer.lock b/pkgs/by-name/pe/pest/composer.lock
index 17d5d633b099..b6d04a35b1e9 100644
--- a/pkgs/by-name/pe/pest/composer.lock
+++ b/pkgs/by-name/pe/pest/composer.lock
@@ -4,20 +4,20 @@
"Read more about it at https://getcomposer.org/doc/01-basic-usage.md#installing-dependencies",
"This file is @generated automatically"
],
- "content-hash": "044366114136964b771d15be0e1a89ee",
+ "content-hash": "3334c385a76e74a9e5a3cc6af8daed8e",
"packages": [
{
"name": "brianium/paratest",
- "version": "v7.4.1",
+ "version": "v7.4.3",
"source": {
"type": "git",
"url": "https://github.com/paratestphp/paratest.git",
- "reference": "b2830e330011d59a799c0002e118f5b4bbdb9604"
+ "reference": "64fcfd0e28a6b8078a19dbf9127be2ee645b92ec"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/paratestphp/paratest/zipball/b2830e330011d59a799c0002e118f5b4bbdb9604",
- "reference": "b2830e330011d59a799c0002e118f5b4bbdb9604",
+ "url": "https://api.github.com/repos/paratestphp/paratest/zipball/64fcfd0e28a6b8078a19dbf9127be2ee645b92ec",
+ "reference": "64fcfd0e28a6b8078a19dbf9127be2ee645b92ec",
"shasum": ""
},
"require": {
@@ -25,13 +25,13 @@
"ext-pcre": "*",
"ext-reflection": "*",
"ext-simplexml": "*",
- "fidry/cpu-core-counter": "^1.0.0",
+ "fidry/cpu-core-counter": "^1.1.0",
"jean85/pretty-package-versions": "^2.0.5",
"php": "~8.2.0 || ~8.3.0",
"phpunit/php-code-coverage": "^10.1.11 || ^11.0.0",
"phpunit/php-file-iterator": "^4.1.0 || ^5.0.0",
"phpunit/php-timer": "^6.0.0 || ^7.0.0",
- "phpunit/phpunit": "^10.5.9 || ^11.0.2",
+ "phpunit/phpunit": "^10.5.9 || ^11.0.3",
"sebastian/environment": "^6.0.1 || ^7.0.0",
"symfony/console": "^6.4.3 || ^7.0.3",
"symfony/process": "^6.4.3 || ^7.0.3"
@@ -40,11 +40,11 @@
"doctrine/coding-standard": "^12.0.0",
"ext-pcov": "*",
"ext-posix": "*",
- "phpstan/phpstan": "^1.10.57",
+ "phpstan/phpstan": "^1.10.58",
"phpstan/phpstan-deprecation-rules": "^1.1.4",
"phpstan/phpstan-phpunit": "^1.3.15",
"phpstan/phpstan-strict-rules": "^1.5.2",
- "squizlabs/php_codesniffer": "^3.8.1",
+ "squizlabs/php_codesniffer": "^3.9.0",
"symfony/filesystem": "^6.4.3 || ^7.0.3"
},
"bin": [
@@ -86,7 +86,7 @@
],
"support": {
"issues": "https://github.com/paratestphp/paratest/issues",
- "source": "https://github.com/paratestphp/paratest/tree/v7.4.1"
+ "source": "https://github.com/paratestphp/paratest/tree/v7.4.3"
},
"funding": [
{
@@ -98,7 +98,7 @@
"type": "paypal"
}
],
- "time": "2024-02-06T13:50:28+00:00"
+ "time": "2024-02-20T07:24:02+00:00"
},
{
"name": "doctrine/deprecations",
@@ -149,16 +149,16 @@
},
{
"name": "fidry/cpu-core-counter",
- "version": "1.0.0",
+ "version": "1.1.0",
"source": {
"type": "git",
"url": "https://github.com/theofidry/cpu-core-counter.git",
- "reference": "85193c0b0cb5c47894b5eaec906e946f054e7077"
+ "reference": "f92996c4d5c1a696a6a970e20f7c4216200fcc42"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/theofidry/cpu-core-counter/zipball/85193c0b0cb5c47894b5eaec906e946f054e7077",
- "reference": "85193c0b0cb5c47894b5eaec906e946f054e7077",
+ "url": "https://api.github.com/repos/theofidry/cpu-core-counter/zipball/f92996c4d5c1a696a6a970e20f7c4216200fcc42",
+ "reference": "f92996c4d5c1a696a6a970e20f7c4216200fcc42",
"shasum": ""
},
"require": {
@@ -198,7 +198,7 @@
],
"support": {
"issues": "https://github.com/theofidry/cpu-core-counter/issues",
- "source": "https://github.com/theofidry/cpu-core-counter/tree/1.0.0"
+ "source": "https://github.com/theofidry/cpu-core-counter/tree/1.1.0"
},
"funding": [
{
@@ -206,7 +206,7 @@
"type": "github"
}
],
- "time": "2023-09-17T21:38:23+00:00"
+ "time": "2024-02-07T09:43:46+00:00"
},
{
"name": "filp/whoops",
@@ -281,16 +281,16 @@
},
{
"name": "jean85/pretty-package-versions",
- "version": "2.0.5",
+ "version": "2.0.6",
"source": {
"type": "git",
"url": "https://github.com/Jean85/pretty-package-versions.git",
- "reference": "ae547e455a3d8babd07b96966b17d7fd21d9c6af"
+ "reference": "f9fdd29ad8e6d024f52678b570e5593759b550b4"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/Jean85/pretty-package-versions/zipball/ae547e455a3d8babd07b96966b17d7fd21d9c6af",
- "reference": "ae547e455a3d8babd07b96966b17d7fd21d9c6af",
+ "url": "https://api.github.com/repos/Jean85/pretty-package-versions/zipball/f9fdd29ad8e6d024f52678b570e5593759b550b4",
+ "reference": "f9fdd29ad8e6d024f52678b570e5593759b550b4",
"shasum": ""
},
"require": {
@@ -298,9 +298,9 @@
"php": "^7.1|^8.0"
},
"require-dev": {
- "friendsofphp/php-cs-fixer": "^2.17",
+ "friendsofphp/php-cs-fixer": "^3.2",
"jean85/composer-provided-replaced-stub-package": "^1.0",
- "phpstan/phpstan": "^0.12.66",
+ "phpstan/phpstan": "^1.4",
"phpunit/phpunit": "^7.5|^8.5|^9.4",
"vimeo/psalm": "^4.3"
},
@@ -334,9 +334,9 @@
],
"support": {
"issues": "https://github.com/Jean85/pretty-package-versions/issues",
- "source": "https://github.com/Jean85/pretty-package-versions/tree/2.0.5"
+ "source": "https://github.com/Jean85/pretty-package-versions/tree/2.0.6"
},
- "time": "2021-10-08T21:21:46+00:00"
+ "time": "2024-03-08T09:58:59+00:00"
},
{
"name": "myclabs/deep-copy",
@@ -399,25 +399,27 @@
},
{
"name": "nikic/php-parser",
- "version": "v4.18.0",
+ "version": "v5.0.2",
"source": {
"type": "git",
"url": "https://github.com/nikic/PHP-Parser.git",
- "reference": "1bcbb2179f97633e98bbbc87044ee2611c7d7999"
+ "reference": "139676794dc1e9231bf7bcd123cfc0c99182cb13"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/nikic/PHP-Parser/zipball/1bcbb2179f97633e98bbbc87044ee2611c7d7999",
- "reference": "1bcbb2179f97633e98bbbc87044ee2611c7d7999",
+ "url": "https://api.github.com/repos/nikic/PHP-Parser/zipball/139676794dc1e9231bf7bcd123cfc0c99182cb13",
+ "reference": "139676794dc1e9231bf7bcd123cfc0c99182cb13",
"shasum": ""
},
"require": {
+ "ext-ctype": "*",
+ "ext-json": "*",
"ext-tokenizer": "*",
- "php": ">=7.0"
+ "php": ">=7.4"
},
"require-dev": {
"ircmaxell/php-yacc": "^0.0.7",
- "phpunit/phpunit": "^6.5 || ^7.0 || ^8.0 || ^9.0"
+ "phpunit/phpunit": "^7.0 || ^8.0 || ^9.0"
},
"bin": [
"bin/php-parse"
@@ -425,7 +427,7 @@
"type": "library",
"extra": {
"branch-alias": {
- "dev-master": "4.9-dev"
+ "dev-master": "5.0-dev"
}
},
"autoload": {
@@ -449,43 +451,43 @@
],
"support": {
"issues": "https://github.com/nikic/PHP-Parser/issues",
- "source": "https://github.com/nikic/PHP-Parser/tree/v4.18.0"
+ "source": "https://github.com/nikic/PHP-Parser/tree/v5.0.2"
},
- "time": "2023-12-10T21:03:43+00:00"
+ "time": "2024-03-05T20:51:40+00:00"
},
{
"name": "nunomaduro/collision",
- "version": "v8.1.0",
+ "version": "v8.1.1",
"source": {
"type": "git",
"url": "https://github.com/nunomaduro/collision.git",
- "reference": "0d655ffbf3edf9b366e0eea5ab9c7871e0ab3357"
+ "reference": "13e5d538b95a744d85f447a321ce10adb28e9af9"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/nunomaduro/collision/zipball/0d655ffbf3edf9b366e0eea5ab9c7871e0ab3357",
- "reference": "0d655ffbf3edf9b366e0eea5ab9c7871e0ab3357",
+ "url": "https://api.github.com/repos/nunomaduro/collision/zipball/13e5d538b95a744d85f447a321ce10adb28e9af9",
+ "reference": "13e5d538b95a744d85f447a321ce10adb28e9af9",
"shasum": ""
},
"require": {
"filp/whoops": "^2.15.4",
- "nunomaduro/termwind": "^2.0.0",
+ "nunomaduro/termwind": "^2.0.1",
"php": "^8.2.0",
- "symfony/console": "^7.0.2"
+ "symfony/console": "^7.0.4"
},
"conflict": {
"laravel/framework": "<11.0.0 || >=12.0.0",
"phpunit/phpunit": "<10.5.1 || >=12.0.0"
},
"require-dev": {
- "larastan/larastan": "^2.8.1",
+ "larastan/larastan": "^2.9.2",
"laravel/framework": "^11.0.0",
- "laravel/pint": "^1.13.8",
- "laravel/sail": "^1.27.0",
+ "laravel/pint": "^1.14.0",
+ "laravel/sail": "^1.28.2",
"laravel/sanctum": "^4.0.0",
"laravel/tinker": "^2.9.0",
"orchestra/testbench-core": "^9.0.0",
- "pestphp/pest": "^2.31.0 || ^3.0.0",
+ "pestphp/pest": "^2.34.1 || ^3.0.0",
"sebastian/environment": "^6.0.1 || ^7.0.0"
},
"type": "library",
@@ -548,36 +550,36 @@
"type": "patreon"
}
],
- "time": "2024-01-12T13:38:24+00:00"
+ "time": "2024-03-06T16:20:09+00:00"
},
{
"name": "nunomaduro/termwind",
- "version": "v2.0.0",
+ "version": "v2.0.1",
"source": {
"type": "git",
"url": "https://github.com/nunomaduro/termwind.git",
- "reference": "e534f661e09b712e51971e2cf0f662f83116d5ad"
+ "reference": "58c4c58cf23df7f498daeb97092e34f5259feb6a"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/nunomaduro/termwind/zipball/e534f661e09b712e51971e2cf0f662f83116d5ad",
- "reference": "e534f661e09b712e51971e2cf0f662f83116d5ad",
+ "url": "https://api.github.com/repos/nunomaduro/termwind/zipball/58c4c58cf23df7f498daeb97092e34f5259feb6a",
+ "reference": "58c4c58cf23df7f498daeb97092e34f5259feb6a",
"shasum": ""
},
"require": {
"ext-mbstring": "*",
"php": "^8.2",
- "symfony/console": "^7.0.1"
+ "symfony/console": "^7.0.4"
},
"require-dev": {
- "ergebnis/phpstan-rules": "^2.1.0",
+ "ergebnis/phpstan-rules": "^2.2.0",
"illuminate/console": "^11.0.0",
- "laravel/pint": "^1.13.7",
- "mockery/mockery": "^1.6.6",
- "pestphp/pest": "^2.28.0",
- "phpstan/phpstan": "^1.10.48",
+ "laravel/pint": "^1.14.0",
+ "mockery/mockery": "^1.6.7",
+ "pestphp/pest": "^2.34.1",
+ "phpstan/phpstan": "^1.10.59",
"phpstan/phpstan-strict-rules": "^1.5.2",
- "symfony/var-dumper": "^7.0.0",
+ "symfony/var-dumper": "^7.0.4",
"thecodingmachine/phpstan-strict-rules": "^1.0.0"
},
"type": "library",
@@ -620,7 +622,7 @@
],
"support": {
"issues": "https://github.com/nunomaduro/termwind/issues",
- "source": "https://github.com/nunomaduro/termwind/tree/v2.0.0"
+ "source": "https://github.com/nunomaduro/termwind/tree/v2.0.1"
},
"funding": [
{
@@ -636,7 +638,7 @@
"type": "github"
}
],
- "time": "2023-12-08T16:23:40+00:00"
+ "time": "2024-03-06T16:17:14+00:00"
},
{
"name": "pestphp/pest-plugin",
@@ -781,20 +783,21 @@
},
{
"name": "phar-io/manifest",
- "version": "2.0.3",
+ "version": "2.0.4",
"source": {
"type": "git",
"url": "https://github.com/phar-io/manifest.git",
- "reference": "97803eca37d319dfa7826cc2437fc020857acb53"
+ "reference": "54750ef60c58e43759730615a392c31c80e23176"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/phar-io/manifest/zipball/97803eca37d319dfa7826cc2437fc020857acb53",
- "reference": "97803eca37d319dfa7826cc2437fc020857acb53",
+ "url": "https://api.github.com/repos/phar-io/manifest/zipball/54750ef60c58e43759730615a392c31c80e23176",
+ "reference": "54750ef60c58e43759730615a392c31c80e23176",
"shasum": ""
},
"require": {
"ext-dom": "*",
+ "ext-libxml": "*",
"ext-phar": "*",
"ext-xmlwriter": "*",
"phar-io/version": "^3.0.1",
@@ -835,9 +838,15 @@
"description": "Component for reading phar.io manifest information from a PHP Archive (PHAR)",
"support": {
"issues": "https://github.com/phar-io/manifest/issues",
- "source": "https://github.com/phar-io/manifest/tree/2.0.3"
+ "source": "https://github.com/phar-io/manifest/tree/2.0.4"
},
- "time": "2021-07-20T11:28:43+00:00"
+ "funding": [
+ {
+ "url": "https://github.com/theseer",
+ "type": "github"
+ }
+ ],
+ "time": "2024-03-03T12:33:53+00:00"
},
{
"name": "phar-io/version",
@@ -1002,21 +1011,21 @@
},
{
"name": "phpdocumentor/type-resolver",
- "version": "1.8.0",
+ "version": "1.8.2",
"source": {
"type": "git",
"url": "https://github.com/phpDocumentor/TypeResolver.git",
- "reference": "fad452781b3d774e3337b0c0b245dd8e5a4455fc"
+ "reference": "153ae662783729388a584b4361f2545e4d841e3c"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/phpDocumentor/TypeResolver/zipball/fad452781b3d774e3337b0c0b245dd8e5a4455fc",
- "reference": "fad452781b3d774e3337b0c0b245dd8e5a4455fc",
+ "url": "https://api.github.com/repos/phpDocumentor/TypeResolver/zipball/153ae662783729388a584b4361f2545e4d841e3c",
+ "reference": "153ae662783729388a584b4361f2545e4d841e3c",
"shasum": ""
},
"require": {
"doctrine/deprecations": "^1.0",
- "php": "^7.4 || ^8.0",
+ "php": "^7.3 || ^8.0",
"phpdocumentor/reflection-common": "^2.0",
"phpstan/phpdoc-parser": "^1.13"
},
@@ -1054,22 +1063,22 @@
"description": "A PSR-5 based resolver of Class names, Types and Structural Element Names",
"support": {
"issues": "https://github.com/phpDocumentor/TypeResolver/issues",
- "source": "https://github.com/phpDocumentor/TypeResolver/tree/1.8.0"
+ "source": "https://github.com/phpDocumentor/TypeResolver/tree/1.8.2"
},
- "time": "2024-01-11T11:49:22+00:00"
+ "time": "2024-02-23T11:10:43+00:00"
},
{
"name": "phpstan/phpdoc-parser",
- "version": "1.25.0",
+ "version": "1.26.0",
"source": {
"type": "git",
"url": "https://github.com/phpstan/phpdoc-parser.git",
- "reference": "bd84b629c8de41aa2ae82c067c955e06f1b00240"
+ "reference": "231e3186624c03d7e7c890ec662b81e6b0405227"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/phpstan/phpdoc-parser/zipball/bd84b629c8de41aa2ae82c067c955e06f1b00240",
- "reference": "bd84b629c8de41aa2ae82c067c955e06f1b00240",
+ "url": "https://api.github.com/repos/phpstan/phpdoc-parser/zipball/231e3186624c03d7e7c890ec662b81e6b0405227",
+ "reference": "231e3186624c03d7e7c890ec662b81e6b0405227",
"shasum": ""
},
"require": {
@@ -1101,22 +1110,22 @@
"description": "PHPDoc parser with support for nullable, intersection and generic types",
"support": {
"issues": "https://github.com/phpstan/phpdoc-parser/issues",
- "source": "https://github.com/phpstan/phpdoc-parser/tree/1.25.0"
+ "source": "https://github.com/phpstan/phpdoc-parser/tree/1.26.0"
},
- "time": "2024-01-04T17:06:16+00:00"
+ "time": "2024-02-23T16:05:55+00:00"
},
{
"name": "phpunit/php-code-coverage",
- "version": "10.1.11",
+ "version": "10.1.14",
"source": {
"type": "git",
"url": "https://github.com/sebastianbergmann/php-code-coverage.git",
- "reference": "78c3b7625965c2513ee96569a4dbb62601784145"
+ "reference": "e3f51450ebffe8e0efdf7346ae966a656f7d5e5b"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/sebastianbergmann/php-code-coverage/zipball/78c3b7625965c2513ee96569a4dbb62601784145",
- "reference": "78c3b7625965c2513ee96569a4dbb62601784145",
+ "url": "https://api.github.com/repos/sebastianbergmann/php-code-coverage/zipball/e3f51450ebffe8e0efdf7346ae966a656f7d5e5b",
+ "reference": "e3f51450ebffe8e0efdf7346ae966a656f7d5e5b",
"shasum": ""
},
"require": {
@@ -1173,7 +1182,7 @@
"support": {
"issues": "https://github.com/sebastianbergmann/php-code-coverage/issues",
"security": "https://github.com/sebastianbergmann/php-code-coverage/security/policy",
- "source": "https://github.com/sebastianbergmann/php-code-coverage/tree/10.1.11"
+ "source": "https://github.com/sebastianbergmann/php-code-coverage/tree/10.1.14"
},
"funding": [
{
@@ -1181,7 +1190,7 @@
"type": "github"
}
],
- "time": "2023-12-21T15:38:30+00:00"
+ "time": "2024-03-12T15:33:41+00:00"
},
{
"name": "phpunit/php-file-iterator",
@@ -1428,16 +1437,16 @@
},
{
"name": "phpunit/phpunit",
- "version": "10.5.9",
+ "version": "10.5.13",
"source": {
"type": "git",
"url": "https://github.com/sebastianbergmann/phpunit.git",
- "reference": "0bd663704f0165c9e76fe4f06ffa6a1ca727fdbe"
+ "reference": "20a63fc1c6db29b15da3bd02d4b6cf59900088a7"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/sebastianbergmann/phpunit/zipball/0bd663704f0165c9e76fe4f06ffa6a1ca727fdbe",
- "reference": "0bd663704f0165c9e76fe4f06ffa6a1ca727fdbe",
+ "url": "https://api.github.com/repos/sebastianbergmann/phpunit/zipball/20a63fc1c6db29b15da3bd02d4b6cf59900088a7",
+ "reference": "20a63fc1c6db29b15da3bd02d4b6cf59900088a7",
"shasum": ""
},
"require": {
@@ -1509,7 +1518,7 @@
"support": {
"issues": "https://github.com/sebastianbergmann/phpunit/issues",
"security": "https://github.com/sebastianbergmann/phpunit/security/policy",
- "source": "https://github.com/sebastianbergmann/phpunit/tree/10.5.9"
+ "source": "https://github.com/sebastianbergmann/phpunit/tree/10.5.13"
},
"funding": [
{
@@ -1525,7 +1534,7 @@
"type": "tidelift"
}
],
- "time": "2024-01-22T14:35:40+00:00"
+ "time": "2024-03-12T15:37:41+00:00"
},
{
"name": "psr/container",
@@ -1632,16 +1641,16 @@
},
{
"name": "sebastian/cli-parser",
- "version": "2.0.0",
+ "version": "2.0.1",
"source": {
"type": "git",
"url": "https://github.com/sebastianbergmann/cli-parser.git",
- "reference": "efdc130dbbbb8ef0b545a994fd811725c5282cae"
+ "reference": "c34583b87e7b7a8055bf6c450c2c77ce32a24084"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/sebastianbergmann/cli-parser/zipball/efdc130dbbbb8ef0b545a994fd811725c5282cae",
- "reference": "efdc130dbbbb8ef0b545a994fd811725c5282cae",
+ "url": "https://api.github.com/repos/sebastianbergmann/cli-parser/zipball/c34583b87e7b7a8055bf6c450c2c77ce32a24084",
+ "reference": "c34583b87e7b7a8055bf6c450c2c77ce32a24084",
"shasum": ""
},
"require": {
@@ -1676,7 +1685,8 @@
"homepage": "https://github.com/sebastianbergmann/cli-parser",
"support": {
"issues": "https://github.com/sebastianbergmann/cli-parser/issues",
- "source": "https://github.com/sebastianbergmann/cli-parser/tree/2.0.0"
+ "security": "https://github.com/sebastianbergmann/cli-parser/security/policy",
+ "source": "https://github.com/sebastianbergmann/cli-parser/tree/2.0.1"
},
"funding": [
{
@@ -1684,7 +1694,7 @@
"type": "github"
}
],
- "time": "2023-02-03T06:58:15+00:00"
+ "time": "2024-03-02T07:12:49+00:00"
},
{
"name": "sebastian/code-unit",
@@ -1934,16 +1944,16 @@
},
{
"name": "sebastian/diff",
- "version": "5.1.0",
+ "version": "5.1.1",
"source": {
"type": "git",
"url": "https://github.com/sebastianbergmann/diff.git",
- "reference": "fbf413a49e54f6b9b17e12d900ac7f6101591b7f"
+ "reference": "c41e007b4b62af48218231d6c2275e4c9b975b2e"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/sebastianbergmann/diff/zipball/fbf413a49e54f6b9b17e12d900ac7f6101591b7f",
- "reference": "fbf413a49e54f6b9b17e12d900ac7f6101591b7f",
+ "url": "https://api.github.com/repos/sebastianbergmann/diff/zipball/c41e007b4b62af48218231d6c2275e4c9b975b2e",
+ "reference": "c41e007b4b62af48218231d6c2275e4c9b975b2e",
"shasum": ""
},
"require": {
@@ -1951,7 +1961,7 @@
},
"require-dev": {
"phpunit/phpunit": "^10.0",
- "symfony/process": "^4.2 || ^5"
+ "symfony/process": "^6.4"
},
"type": "library",
"extra": {
@@ -1989,7 +1999,7 @@
"support": {
"issues": "https://github.com/sebastianbergmann/diff/issues",
"security": "https://github.com/sebastianbergmann/diff/security/policy",
- "source": "https://github.com/sebastianbergmann/diff/tree/5.1.0"
+ "source": "https://github.com/sebastianbergmann/diff/tree/5.1.1"
},
"funding": [
{
@@ -1997,7 +2007,7 @@
"type": "github"
}
],
- "time": "2023-12-22T10:55:06+00:00"
+ "time": "2024-03-02T07:15:17+00:00"
},
{
"name": "sebastian/environment",
@@ -2065,16 +2075,16 @@
},
{
"name": "sebastian/exporter",
- "version": "5.1.1",
+ "version": "5.1.2",
"source": {
"type": "git",
"url": "https://github.com/sebastianbergmann/exporter.git",
- "reference": "64f51654862e0f5e318db7e9dcc2292c63cdbddc"
+ "reference": "955288482d97c19a372d3f31006ab3f37da47adf"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/sebastianbergmann/exporter/zipball/64f51654862e0f5e318db7e9dcc2292c63cdbddc",
- "reference": "64f51654862e0f5e318db7e9dcc2292c63cdbddc",
+ "url": "https://api.github.com/repos/sebastianbergmann/exporter/zipball/955288482d97c19a372d3f31006ab3f37da47adf",
+ "reference": "955288482d97c19a372d3f31006ab3f37da47adf",
"shasum": ""
},
"require": {
@@ -2131,7 +2141,7 @@
"support": {
"issues": "https://github.com/sebastianbergmann/exporter/issues",
"security": "https://github.com/sebastianbergmann/exporter/security/policy",
- "source": "https://github.com/sebastianbergmann/exporter/tree/5.1.1"
+ "source": "https://github.com/sebastianbergmann/exporter/tree/5.1.2"
},
"funding": [
{
@@ -2139,20 +2149,20 @@
"type": "github"
}
],
- "time": "2023-09-24T13:22:09+00:00"
+ "time": "2024-03-02T07:17:12+00:00"
},
{
"name": "sebastian/global-state",
- "version": "6.0.1",
+ "version": "6.0.2",
"source": {
"type": "git",
"url": "https://github.com/sebastianbergmann/global-state.git",
- "reference": "7ea9ead78f6d380d2a667864c132c2f7b83055e4"
+ "reference": "987bafff24ecc4c9ac418cab1145b96dd6e9cbd9"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/sebastianbergmann/global-state/zipball/7ea9ead78f6d380d2a667864c132c2f7b83055e4",
- "reference": "7ea9ead78f6d380d2a667864c132c2f7b83055e4",
+ "url": "https://api.github.com/repos/sebastianbergmann/global-state/zipball/987bafff24ecc4c9ac418cab1145b96dd6e9cbd9",
+ "reference": "987bafff24ecc4c9ac418cab1145b96dd6e9cbd9",
"shasum": ""
},
"require": {
@@ -2186,14 +2196,14 @@
}
],
"description": "Snapshotting of global state",
- "homepage": "http://www.github.com/sebastianbergmann/global-state",
+ "homepage": "https://www.github.com/sebastianbergmann/global-state",
"keywords": [
"global state"
],
"support": {
"issues": "https://github.com/sebastianbergmann/global-state/issues",
"security": "https://github.com/sebastianbergmann/global-state/security/policy",
- "source": "https://github.com/sebastianbergmann/global-state/tree/6.0.1"
+ "source": "https://github.com/sebastianbergmann/global-state/tree/6.0.2"
},
"funding": [
{
@@ -2201,7 +2211,7 @@
"type": "github"
}
],
- "time": "2023-07-19T07:19:23+00:00"
+ "time": "2024-03-02T07:19:19+00:00"
},
{
"name": "sebastian/lines-of-code",
@@ -2547,16 +2557,16 @@
},
{
"name": "symfony/console",
- "version": "v7.0.3",
+ "version": "v7.0.4",
"source": {
"type": "git",
"url": "https://github.com/symfony/console.git",
- "reference": "c5010d50f1ee4b25cfa0201d9915cf1b14071456"
+ "reference": "6b099f3306f7c9c2d2786ed736d0026b2903205f"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/symfony/console/zipball/c5010d50f1ee4b25cfa0201d9915cf1b14071456",
- "reference": "c5010d50f1ee4b25cfa0201d9915cf1b14071456",
+ "url": "https://api.github.com/repos/symfony/console/zipball/6b099f3306f7c9c2d2786ed736d0026b2903205f",
+ "reference": "6b099f3306f7c9c2d2786ed736d0026b2903205f",
"shasum": ""
},
"require": {
@@ -2620,7 +2630,7 @@
"terminal"
],
"support": {
- "source": "https://github.com/symfony/console/tree/v7.0.3"
+ "source": "https://github.com/symfony/console/tree/v7.0.4"
},
"funding": [
{
@@ -2636,7 +2646,7 @@
"type": "tidelift"
}
],
- "time": "2024-01-23T15:02:46+00:00"
+ "time": "2024-02-22T20:27:20+00:00"
},
{
"name": "symfony/finder",
@@ -3022,16 +3032,16 @@
},
{
"name": "symfony/process",
- "version": "v7.0.3",
+ "version": "v7.0.4",
"source": {
"type": "git",
"url": "https://github.com/symfony/process.git",
- "reference": "937a195147e0c27b2759ade834169ed006d0bc74"
+ "reference": "0e7727191c3b71ebec6d529fa0e50a01ca5679e9"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/symfony/process/zipball/937a195147e0c27b2759ade834169ed006d0bc74",
- "reference": "937a195147e0c27b2759ade834169ed006d0bc74",
+ "url": "https://api.github.com/repos/symfony/process/zipball/0e7727191c3b71ebec6d529fa0e50a01ca5679e9",
+ "reference": "0e7727191c3b71ebec6d529fa0e50a01ca5679e9",
"shasum": ""
},
"require": {
@@ -3063,7 +3073,7 @@
"description": "Executes commands in sub-processes",
"homepage": "https://symfony.com",
"support": {
- "source": "https://github.com/symfony/process/tree/v7.0.3"
+ "source": "https://github.com/symfony/process/tree/v7.0.4"
},
"funding": [
{
@@ -3079,7 +3089,7 @@
"type": "tidelift"
}
],
- "time": "2024-01-23T15:02:46+00:00"
+ "time": "2024-02-22T20:27:20+00:00"
},
{
"name": "symfony/service-contracts",
@@ -3165,16 +3175,16 @@
},
{
"name": "symfony/string",
- "version": "v7.0.3",
+ "version": "v7.0.4",
"source": {
"type": "git",
"url": "https://github.com/symfony/string.git",
- "reference": "524aac4a280b90a4420d8d6a040718d0586505ac"
+ "reference": "f5832521b998b0bec40bee688ad5de98d4cf111b"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/symfony/string/zipball/524aac4a280b90a4420d8d6a040718d0586505ac",
- "reference": "524aac4a280b90a4420d8d6a040718d0586505ac",
+ "url": "https://api.github.com/repos/symfony/string/zipball/f5832521b998b0bec40bee688ad5de98d4cf111b",
+ "reference": "f5832521b998b0bec40bee688ad5de98d4cf111b",
"shasum": ""
},
"require": {
@@ -3231,7 +3241,7 @@
"utf8"
],
"support": {
- "source": "https://github.com/symfony/string/tree/v7.0.3"
+ "source": "https://github.com/symfony/string/tree/v7.0.4"
},
"funding": [
{
@@ -3247,7 +3257,7 @@
"type": "tidelift"
}
],
- "time": "2024-01-29T15:41:16+00:00"
+ "time": "2024-02-01T13:17:36+00:00"
},
{
"name": "ta-tikoma/phpunit-architecture-test",
@@ -3310,16 +3320,16 @@
},
{
"name": "theseer/tokenizer",
- "version": "1.2.2",
+ "version": "1.2.3",
"source": {
"type": "git",
"url": "https://github.com/theseer/tokenizer.git",
- "reference": "b2ad5003ca10d4ee50a12da31de12a5774ba6b96"
+ "reference": "737eda637ed5e28c3413cb1ebe8bb52cbf1ca7a2"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/theseer/tokenizer/zipball/b2ad5003ca10d4ee50a12da31de12a5774ba6b96",
- "reference": "b2ad5003ca10d4ee50a12da31de12a5774ba6b96",
+ "url": "https://api.github.com/repos/theseer/tokenizer/zipball/737eda637ed5e28c3413cb1ebe8bb52cbf1ca7a2",
+ "reference": "737eda637ed5e28c3413cb1ebe8bb52cbf1ca7a2",
"shasum": ""
},
"require": {
@@ -3348,7 +3358,7 @@
"description": "A small library for converting tokenized PHP source code into XML and potentially other formats",
"support": {
"issues": "https://github.com/theseer/tokenizer/issues",
- "source": "https://github.com/theseer/tokenizer/tree/1.2.2"
+ "source": "https://github.com/theseer/tokenizer/tree/1.2.3"
},
"funding": [
{
@@ -3356,7 +3366,7 @@
"type": "github"
}
],
- "time": "2023-11-20T00:12:19+00:00"
+ "time": "2024-03-03T12:36:25+00:00"
},
{
"name": "webmozart/assert",
@@ -3420,39 +3430,38 @@
"packages-dev": [
{
"name": "ergebnis/phpstan-rules",
- "version": "2.1.0",
+ "version": "2.2.0",
"source": {
"type": "git",
"url": "https://github.com/ergebnis/phpstan-rules.git",
- "reference": "119e229c48688946450ccca9f1c57c9ca4fb6f02"
+ "reference": "2e9946491d39ea1eb043738309895e08f025a7a0"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/ergebnis/phpstan-rules/zipball/119e229c48688946450ccca9f1c57c9ca4fb6f02",
- "reference": "119e229c48688946450ccca9f1c57c9ca4fb6f02",
+ "url": "https://api.github.com/repos/ergebnis/phpstan-rules/zipball/2e9946491d39ea1eb043738309895e08f025a7a0",
+ "reference": "2e9946491d39ea1eb043738309895e08f025a7a0",
"shasum": ""
},
"require": {
"ext-mbstring": "*",
- "nikic/php-parser": "^4.2.3",
+ "nikic/php-parser": "^4.2.3 || ^5.0.0",
"php": "~8.1.0 || ~8.2.0 || ~8.3.0",
"phpstan/phpstan": "^1.10.21"
},
"require-dev": {
- "doctrine/orm": "^2.16.1",
- "ergebnis/composer-normalize": "^2.35.0",
- "ergebnis/license": "^2.1.0",
- "ergebnis/php-cs-fixer-config": "^5.13.0",
- "ergebnis/phpunit-slow-test-detector": "^2.3.0",
- "infection/infection": "~0.27.0",
- "nette/di": "^3.1.3",
+ "doctrine/orm": "^3.0.0",
+ "ergebnis/composer-normalize": "^2.42.0",
+ "ergebnis/license": "^2.4.0",
+ "ergebnis/php-cs-fixer-config": "^6.22.0",
+ "ergebnis/phpunit-slow-test-detector": "^2.10.0",
+ "nette/di": "^3.2.0",
"phpstan/phpstan-deprecation-rules": "^1.1.4",
- "phpstan/phpstan-strict-rules": "^1.1.0",
- "phpunit/phpunit": "^10.3.2",
+ "phpstan/phpstan-strict-rules": "^1.5.2",
+ "phpunit/phpunit": "^10.5.10",
"psalm/plugin-phpunit": "~0.18.4",
- "psr/container": "^1.1.2",
- "rector/rector": "~0.17.13",
- "vimeo/psalm": "^5.14.1"
+ "psr/container": "^2.0.2",
+ "rector/rector": "^1.0.0",
+ "vimeo/psalm": "^5.21.1"
},
"type": "phpstan-extension",
"extra": {
@@ -3474,34 +3483,35 @@
"authors": [
{
"name": "Andreas Möller",
- "email": "am@localheinz.com"
+ "email": "am@localheinz.com",
+ "homepage": "https://localheinz.com"
}
],
- "description": "Provides additional rules for phpstan/phpstan.",
+ "description": "Provides rules for phpstan/phpstan.",
"homepage": "https://github.com/ergebnis/phpstan-rules",
"keywords": [
"PHPStan",
- "phpstan-extreme-rules",
"phpstan-rules"
],
"support": {
"issues": "https://github.com/ergebnis/phpstan-rules/issues",
+ "security": "https://github.com/ergebnis/phpstan-rules/blob/main/.github/SECURITY.md",
"source": "https://github.com/ergebnis/phpstan-rules"
},
- "time": "2023-08-17T10:28:37+00:00"
+ "time": "2024-02-07T17:49:28+00:00"
},
{
"name": "laravel/pint",
- "version": "v1.13.10",
+ "version": "v1.14.0",
"source": {
"type": "git",
"url": "https://github.com/laravel/pint.git",
- "reference": "e2b5060885694ca30ac008c05dc9d47f10ed1abf"
+ "reference": "6b127276e3f263f7bb17d5077e9e0269e61b2a0e"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/laravel/pint/zipball/e2b5060885694ca30ac008c05dc9d47f10ed1abf",
- "reference": "e2b5060885694ca30ac008c05dc9d47f10ed1abf",
+ "url": "https://api.github.com/repos/laravel/pint/zipball/6b127276e3f263f7bb17d5077e9e0269e61b2a0e",
+ "reference": "6b127276e3f263f7bb17d5077e9e0269e61b2a0e",
"shasum": ""
},
"require": {
@@ -3512,13 +3522,13 @@
"php": "^8.1.0"
},
"require-dev": {
- "friendsofphp/php-cs-fixer": "^3.47.1",
- "illuminate/view": "^10.41.0",
+ "friendsofphp/php-cs-fixer": "^3.49.0",
+ "illuminate/view": "^10.43.0",
"larastan/larastan": "^2.8.1",
"laravel-zero/framework": "^10.3.0",
"mockery/mockery": "^1.6.7",
"nunomaduro/termwind": "^1.15.1",
- "pestphp/pest": "^2.31.0"
+ "pestphp/pest": "^2.33.6"
},
"bin": [
"builds/pint"
@@ -3554,7 +3564,7 @@
"issues": "https://github.com/laravel/pint/issues",
"source": "https://github.com/laravel/pint"
},
- "time": "2024-01-22T09:04:15+00:00"
+ "time": "2024-02-20T17:38:05+00:00"
},
{
"name": "nette/utils",
@@ -3704,22 +3714,22 @@
},
{
"name": "pestphp/pest-plugin-type-coverage",
- "version": "v2.8.0",
+ "version": "v2.8.1",
"source": {
"type": "git",
"url": "https://github.com/pestphp/pest-plugin-type-coverage.git",
- "reference": "cfb7436391d38b7bfd755549a3a5b190c39ffd24"
+ "reference": "4be0cf0faca7d207c5d97af8ab68a450ebf8da24"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/pestphp/pest-plugin-type-coverage/zipball/cfb7436391d38b7bfd755549a3a5b190c39ffd24",
- "reference": "cfb7436391d38b7bfd755549a3a5b190c39ffd24",
+ "url": "https://api.github.com/repos/pestphp/pest-plugin-type-coverage/zipball/4be0cf0faca7d207c5d97af8ab68a450ebf8da24",
+ "reference": "4be0cf0faca7d207c5d97af8ab68a450ebf8da24",
"shasum": ""
},
"require": {
"pestphp/pest-plugin": "^2.1.1",
"php": "^8.1",
- "phpstan/phpstan": "^1.10.55",
+ "phpstan/phpstan": "^1.10.60",
"tomasvotruba/type-coverage": "^0.2.1"
},
"require-dev": {
@@ -3757,7 +3767,7 @@
],
"support": {
"issues": "https://github.com/pestphp/pest-plugin-type-coverage/issues",
- "source": "https://github.com/pestphp/pest-plugin-type-coverage/tree/v2.8.0"
+ "source": "https://github.com/pestphp/pest-plugin-type-coverage/tree/v2.8.1"
},
"funding": [
{
@@ -3773,20 +3783,20 @@
"type": "patreon"
}
],
- "time": "2024-01-10T12:07:51+00:00"
+ "time": "2024-03-08T09:48:04+00:00"
},
{
"name": "phpstan/phpstan",
- "version": "1.10.57",
+ "version": "1.10.62",
"source": {
"type": "git",
"url": "https://github.com/phpstan/phpstan.git",
- "reference": "1627b1d03446904aaa77593f370c5201d2ecc34e"
+ "reference": "cd5c8a1660ed3540b211407c77abf4af193a6af9"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/phpstan/phpstan/zipball/1627b1d03446904aaa77593f370c5201d2ecc34e",
- "reference": "1627b1d03446904aaa77593f370c5201d2ecc34e",
+ "url": "https://api.github.com/repos/phpstan/phpstan/zipball/cd5c8a1660ed3540b211407c77abf4af193a6af9",
+ "reference": "cd5c8a1660ed3540b211407c77abf4af193a6af9",
"shasum": ""
},
"require": {
@@ -3835,7 +3845,7 @@
"type": "tidelift"
}
],
- "time": "2024-01-24T11:51:34+00:00"
+ "time": "2024-03-13T12:27:20+00:00"
},
{
"name": "phpstan/phpstan-strict-rules",
@@ -4016,16 +4026,16 @@
},
{
"name": "symfony/var-dumper",
- "version": "v6.4.3",
+ "version": "v6.4.4",
"source": {
"type": "git",
"url": "https://github.com/symfony/var-dumper.git",
- "reference": "0435a08f69125535336177c29d56af3abc1f69da"
+ "reference": "b439823f04c98b84d4366c79507e9da6230944b1"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/symfony/var-dumper/zipball/0435a08f69125535336177c29d56af3abc1f69da",
- "reference": "0435a08f69125535336177c29d56af3abc1f69da",
+ "url": "https://api.github.com/repos/symfony/var-dumper/zipball/b439823f04c98b84d4366c79507e9da6230944b1",
+ "reference": "b439823f04c98b84d4366c79507e9da6230944b1",
"shasum": ""
},
"require": {
@@ -4081,7 +4091,7 @@
"dump"
],
"support": {
- "source": "https://github.com/symfony/var-dumper/tree/v6.4.3"
+ "source": "https://github.com/symfony/var-dumper/tree/v6.4.4"
},
"funding": [
{
@@ -4097,25 +4107,24 @@
"type": "tidelift"
}
],
- "time": "2024-01-23T14:53:30+00:00"
+ "time": "2024-02-15T11:23:52+00:00"
},
{
"name": "symplify/phpstan-rules",
- "version": "12.4.7",
+ "version": "12.4.9",
"source": {
"type": "git",
"url": "https://github.com/symplify/phpstan-rules.git",
- "reference": "7ebbcf2883c5e5ee3807c6580f7a9865970e83b7"
+ "reference": "14b2f776414109648ddc1680a6bab0c0641e4d3a"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/symplify/phpstan-rules/zipball/7ebbcf2883c5e5ee3807c6580f7a9865970e83b7",
- "reference": "7ebbcf2883c5e5ee3807c6580f7a9865970e83b7",
+ "url": "https://api.github.com/repos/symplify/phpstan-rules/zipball/14b2f776414109648ddc1680a6bab0c0641e4d3a",
+ "reference": "14b2f776414109648ddc1680a6bab0c0641e4d3a",
"shasum": ""
},
"require": {
- "nette/utils": "^3.2 || ^4.0",
- "nikic/php-parser": "^4.17.1",
+ "nette/utils": "^3.2.9 || ^4.0",
"php": "^7.2|^8.0",
"phpstan/phpstan": "^1.10.30",
"webmozart/assert": "^1.11"
@@ -4140,7 +4149,7 @@
"description": "Set of Symplify rules for PHPStan",
"support": {
"issues": "https://github.com/symplify/phpstan-rules/issues",
- "source": "https://github.com/symplify/phpstan-rules/tree/12.4.7"
+ "source": "https://github.com/symplify/phpstan-rules/tree/12.4.9"
},
"funding": [
{
@@ -4152,7 +4161,7 @@
"type": "github"
}
],
- "time": "2024-01-17T11:30:56+00:00"
+ "time": "2024-03-05T15:24:56+00:00"
},
{
"name": "thecodingmachine/phpstan-strict-rules",
@@ -4211,16 +4220,16 @@
},
{
"name": "tomasvotruba/type-coverage",
- "version": "0.2.1",
+ "version": "0.2.4",
"source": {
"type": "git",
"url": "https://github.com/TomasVotruba/type-coverage.git",
- "reference": "a152ac431b2312ec173f3093a628ff988b7ed10f"
+ "reference": "47f75151c3b3c4e040e0c68d9bba47597bf5ad6f"
},
"dist": {
"type": "zip",
- "url": "https://api.github.com/repos/TomasVotruba/type-coverage/zipball/a152ac431b2312ec173f3093a628ff988b7ed10f",
- "reference": "a152ac431b2312ec173f3093a628ff988b7ed10f",
+ "url": "https://api.github.com/repos/TomasVotruba/type-coverage/zipball/47f75151c3b3c4e040e0c68d9bba47597bf5ad6f",
+ "reference": "47f75151c3b3c4e040e0c68d9bba47597bf5ad6f",
"shasum": ""
},
"require": {
@@ -4252,7 +4261,7 @@
],
"support": {
"issues": "https://github.com/TomasVotruba/type-coverage/issues",
- "source": "https://github.com/TomasVotruba/type-coverage/tree/0.2.1"
+ "source": "https://github.com/TomasVotruba/type-coverage/tree/0.2.4"
},
"funding": [
{
@@ -4264,7 +4273,7 @@
"type": "github"
}
],
- "time": "2023-08-27T10:33:51+00:00"
+ "time": "2024-03-15T11:34:50+00:00"
}
],
"aliases": [],
diff --git a/pkgs/by-name/pe/pest/package.nix b/pkgs/by-name/pe/pest/package.nix
index 4d5fc7defe56..652245af56a8 100644
--- a/pkgs/by-name/pe/pest/package.nix
+++ b/pkgs/by-name/pe/pest/package.nix
@@ -2,17 +2,18 @@
php.buildComposerProject (finalAttrs: {
pname = "pest";
- version = "2.33.4";
+ version = "2.34.4";
src = fetchFromGitHub {
owner = "pestphp";
repo = "pest";
rev = "v${finalAttrs.version}";
- hash = "sha256-9AJww0mynlacBsQvqb++vWn0vsapxFeXsA/tJJEQGFI=";
+ hash = "sha256-/Ygm/jb08t+0EG4KHM2utAavka28VzmjVU/uXODMFvI=";
};
composerLock = ./composer.lock;
- vendorHash = "sha256-Z3vmHqySLU0zRqnDoVTt6FURxtJjVOyUXlURSsO6XE8=";
+
+ vendorHash = "sha256-RDTmNfXD8Lk50i7dY09JNUgg8hcEM0dtwJnh8UpHgQ4=";
meta = {
changelog = "https://github.com/pestphp/pest/releases/tag/v${finalAttrs.version}";
diff --git a/pkgs/by-name/pg/pg-dump-anon/package.nix b/pkgs/by-name/pg/pg-dump-anon/package.nix
new file mode 100644
index 000000000000..fedcf9f40b6a
--- /dev/null
+++ b/pkgs/by-name/pg/pg-dump-anon/package.nix
@@ -0,0 +1,32 @@
+{ lib, fetchFromGitLab, buildGoModule, nixosTests, postgresql, makeWrapper }:
+
+buildGoModule rec {
+ pname = "pg-dump-anon";
+ version = "1.3.1";
+ src = fetchFromGitLab {
+ owner = "dalibo";
+ repo = "postgresql_anonymizer";
+ rev = version;
+ hash = "sha256-Z5Oz/cIYDxFUZwQijRk4xAOUdOK0LWR+px8WOcs+Rs0=";
+ };
+
+ sourceRoot = "${src.name}/pg_dump_anon";
+
+ vendorHash = "sha256-CwU1zoIayxvfnGL9kPdummPJiV+ECfSz4+q6gZGb8pw=";
+
+ passthru.tests = { inherit (nixosTests) pg_anonymizer; };
+
+ nativeBuildInputs = [ makeWrapper ];
+ postInstall = ''
+ wrapProgram $out/bin/pg_dump_anon \
+ --prefix PATH : ${lib.makeBinPath [ postgresql ]}
+ '';
+
+ meta = with lib; {
+ description = "Export databases with data being anonymized with the anonymizer extension";
+ homepage = "https://postgresql-anonymizer.readthedocs.io/en/stable/";
+ maintainers = teams.flyingcircus.members;
+ license = licenses.postgresql;
+ mainProgram = "pg_dump_anon";
+ };
+}
diff --git a/pkgs/development/tools/database/pgagroal/do-not-search-libatomic.patch b/pkgs/by-name/pg/pgagroal/do-not-search-libatomic.patch
similarity index 100%
rename from pkgs/development/tools/database/pgagroal/do-not-search-libatomic.patch
rename to pkgs/by-name/pg/pgagroal/do-not-search-libatomic.patch
diff --git a/pkgs/by-name/pg/pgagroal/package.nix b/pkgs/by-name/pg/pgagroal/package.nix
new file mode 100644
index 000000000000..8ba0803d96bc
--- /dev/null
+++ b/pkgs/by-name/pg/pgagroal/package.nix
@@ -0,0 +1,29 @@
+{ lib, stdenv, fetchFromGitHub, cjson, cmake, docutils, libev, openssl, systemd }:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "pgagroal";
+ version = "1.6.0";
+
+ src = fetchFromGitHub {
+ owner = "agroal";
+ repo = "pgagroal";
+ rev = finalAttrs.version;
+ hash = "sha256-bgJvGJ35RdFopW88o+H1DLpG70anP197y6xrpRRrxUA=";
+ };
+
+ patches = [ ./do-not-search-libatomic.patch ];
+
+ nativeBuildInputs = [ cmake docutils ];
+
+ buildInputs = [ cjson libev openssl ]
+ ++ lib.optionals stdenv.isLinux [ systemd ];
+
+ meta = with lib; {
+ description = "High-performance connection pool for PostgreSQL";
+ homepage = "https://agroal.github.io/pgagroal/";
+ changelog = "https://github.com/agroal/pgagroal/releases/tag/${finalAttrs.version}";
+ license = licenses.bsd3;
+ maintainers = [ maintainers.marsam ];
+ platforms = platforms.unix;
+ };
+})
diff --git a/pkgs/by-name/pg/pgmoneta/package.nix b/pkgs/by-name/pg/pgmoneta/package.nix
index c4ee9ce37382..ee9ac2bbeefa 100644
--- a/pkgs/by-name/pg/pgmoneta/package.nix
+++ b/pkgs/by-name/pg/pgmoneta/package.nix
@@ -19,13 +19,13 @@
stdenv.mkDerivation rec {
pname = "pgmoneta";
- version = "0.8.0";
+ version = "0.9.0";
src = fetchFromGitHub {
owner = "pgmoneta";
repo = "pgmoneta";
rev = version;
- hash = "sha256-bIuVFF8v7O++g7lorGduAlOGx4XoiqjqkTWHM3RNNdg=";
+ hash = "sha256-KVweAsmAQGUkBAxR7gPJe6mygfG7xApvJFRiCbSFq9E=";
};
nativeBuildInputs = [
diff --git a/pkgs/by-name/ph/phel/package.nix b/pkgs/by-name/ph/phel/package.nix
index 2c6431da2887..209f7bd8bbd4 100644
--- a/pkgs/by-name/ph/phel/package.nix
+++ b/pkgs/by-name/ph/phel/package.nix
@@ -17,7 +17,7 @@ php.buildComposerProject (finalAttrs: {
vendorHash = "sha256-83GX/dxHa6w1E34wnJshg7yxlVyRkDT5jmAPCCqPdtA=";
doInstallCheck = true;
- postCheckInstall = ''
+ postInstallCheck = ''
$out/bin/phel --version
'';
diff --git a/pkgs/by-name/ph/photocollage/package.nix b/pkgs/by-name/ph/photocollage/package.nix
new file mode 100644
index 000000000000..f02efb9f2e90
--- /dev/null
+++ b/pkgs/by-name/ph/photocollage/package.nix
@@ -0,0 +1,59 @@
+{ lib
+, python3Packages
+, fetchFromGitHub
+, gettext
+, gdk-pixbuf
+, gobject-introspection
+, wrapGAppsHook
+}:
+
+python3Packages.buildPythonApplication rec {
+ pname = "photocollage";
+ version = "1.4.6";
+
+ src = fetchFromGitHub {
+ owner = "adrienverge";
+ repo = "PhotoCollage";
+ rev = "v${version}";
+ hash = "sha256-jDb2mFsok8TNi9+A/FAieqo7YbAUsmrFRBGwdGv71Xg=";
+ };
+
+ propagatedBuildInputs = with python3Packages; [
+ pillow
+ pycairo
+ pygobject3
+ ];
+
+ buildInputs = [
+ gdk-pixbuf
+ ];
+
+ nativeBuildInputs = [
+ gettext
+ gobject-introspection
+ wrapGAppsHook
+ ];
+
+ dontWrapGApps = true;
+
+ preFixup = ''
+ makeWrapperArgs+=("''${gappsWrapperArgs[@]}")
+ '';
+
+ postInstall = ''
+ # Based on the debian package's list of files. Link:
+ # https://packages.debian.org/bookworm/all/photocollage/filelist
+ install -Dm0644 ./data/photocollage.desktop $out/share/applications/photocollage.desktop
+ install -Dm0644 ./data/photocollage.appdata.xml $out/share/appdata/photocollage.appdata.xml
+ cp -r ./data/icons $out/share/icons
+ '';
+
+ meta = {
+ description = "Graphical tool to make photo collage posters";
+ homepage = "https://github.com/adrienverge/PhotoCollage";
+ license = lib.licenses.gpl2Plus;
+ maintainers = with lib.maintainers; [ eliandoran ];
+ platforms = lib.platforms.linux;
+ mainProgram = "photocollage";
+ };
+}
diff --git a/pkgs/by-name/ph/phpdocumentor/package.nix b/pkgs/by-name/ph/phpdocumentor/package.nix
index 3f2002a0da4a..d94b143a0c0e 100644
--- a/pkgs/by-name/ph/phpdocumentor/package.nix
+++ b/pkgs/by-name/ph/phpdocumentor/package.nix
@@ -5,16 +5,19 @@
php.buildComposerProject (finalAttrs: {
pname = "phpdocumentor";
- version = "3.4.1";
+ version = "3.4.3";
src = fetchFromGitHub {
owner = "phpDocumentor";
repo = "phpDocumentor";
rev = "v${finalAttrs.version}";
- hash = "sha256-fNjix3pJDRCTWM3Xtn+AtZe4RJfgQ60kiJB9J9tC5t4=";
+ hash = "sha256-NCBCwQ8im6ttFuQBaG+bzmtinf+rqNnbogcK8r60dCM=";
};
- vendorHash = "sha256-rsBg2EHbvYLVr6haN1brHZFVjLDaxqdkNWf0HL3Eoy0=";
+ vendorHash = "sha256-/TJ/CahmOWcRBlAsJDzWcfhlDd+ypRapruFT0Dvlb1w=";
+
+ # Needed because of the unbound version constraint on phpdocumentor/json-path
+ composerStrictValidation = false;
installPhase = ''
runHook preInstall
diff --git a/pkgs/by-name/ph/phpunit/package.nix b/pkgs/by-name/ph/phpunit/package.nix
index c7b6495c5120..11077d951240 100644
--- a/pkgs/by-name/ph/phpunit/package.nix
+++ b/pkgs/by-name/ph/phpunit/package.nix
@@ -1,17 +1,20 @@
-{ lib, fetchFromGitHub, php }:
+{ lib
+, fetchFromGitHub
+, php
+}:
php.buildComposerProject (finalAttrs: {
pname = "phpunit";
- version = "11.0.2";
+ version = "11.0.4";
src = fetchFromGitHub {
owner = "sebastianbergmann";
repo = "phpunit";
rev = finalAttrs.version;
- hash = "sha256-k0ox4/Djpu6DoWGzQdo7wYSZHSeaCtNVuEwK3bhBgQQ=";
+ hash = "sha256-ucUDeiqz8QkCsKM/SfHVjJSnfs0TRaV04CTKepSzyo0=";
};
- vendorHash = "sha256-2rG0ERgI5oVW3MuU8yFwgssoWX6zwUwXpro2IVkX7ac=";
+ vendorHash = "sha256-0jbSUIT4Eh1lWu11REgE4ilGlw1zuawXeKCPBHnoxdk=";
meta = {
changelog = "https://github.com/sebastianbergmann/phpunit/blob/${finalAttrs.version}/ChangeLog-${lib.versions.majorMinor finalAttrs.version}.md";
diff --git a/pkgs/by-name/pi/pianotrans/package.nix b/pkgs/by-name/pi/pianotrans/package.nix
index 1c493bc87c68..d36fffb0c990 100644
--- a/pkgs/by-name/pi/pianotrans/package.nix
+++ b/pkgs/by-name/pi/pianotrans/package.nix
@@ -32,6 +32,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "Simple GUI for ByteDance's Piano Transcription with Pedals";
+ mainProgram = "pianotrans";
homepage = "https://github.com/azuwis/pianotrans";
license = licenses.mit;
maintainers = with maintainers; [ azuwis ];
diff --git a/pkgs/applications/window-managers/picom/default.nix b/pkgs/by-name/pi/picom/package.nix
similarity index 100%
rename from pkgs/applications/window-managers/picom/default.nix
rename to pkgs/by-name/pi/picom/package.nix
diff --git a/pkgs/by-name/pi/pinact/package.nix b/pkgs/by-name/pi/pinact/package.nix
index 7e5b90100ee3..a5cee76cd9c6 100644
--- a/pkgs/by-name/pi/pinact/package.nix
+++ b/pkgs/by-name/pi/pinact/package.nix
@@ -1,6 +1,6 @@
{ lib
, fetchFromGitHub
-, buildGo120Module
+, buildGoModule
, testers
, pinact
}:
@@ -15,7 +15,7 @@ let
hash = "sha256-OQo21RHk0c+eARKrA2qB4NAWWanb94DOZm4b9lqDz8o=";
};
in
-buildGo120Module {
+buildGoModule {
inherit pname version src;
vendorHash = "sha256-g7rdIE+w/pn70i8fOmAo/QGjpla3AUWm7a9MOhNmrgE=";
diff --git a/pkgs/by-name/pi/pixi/package.nix b/pkgs/by-name/pi/pixi/package.nix
index 1592ce9849f9..2c7a1d8f207e 100644
--- a/pkgs/by-name/pi/pixi/package.nix
+++ b/pkgs/by-name/pi/pixi/package.nix
@@ -12,16 +12,16 @@
rustPlatform.buildRustPackage rec {
pname = "pixi";
- version = "0.13.0";
+ version = "0.15.2";
src = fetchFromGitHub {
owner = "prefix-dev";
repo = "pixi";
rev = "v${version}";
- hash = "sha256-4EKJwHXNDUGhwlSSZFoPHdG5WBDoHFAQncG+CpD2sik=";
+ hash = "sha256-bh8Uu6Q2AND50Qzivc6k1Z8JWudkHC2i4YW1Hxa69SM=";
};
- cargoHash = "sha256-s1ODwuYv1x5/iP8yHS5FRk5MacrW81LaXI7/J+qtPNM=";
+ cargoHash = "sha256-yMIcPwnuN7F2ZrOtJw8T+nxeSzLsYn+iC34bYeWpi/w=";
nativeBuildInputs = [
pkg-config
@@ -35,6 +35,13 @@ rustPlatform.buildRustPackage rec {
with darwin.apple_sdk_11_0.frameworks; [ CoreFoundation IOKit SystemConfiguration Security ]
);
+ # There are some CI failures with Rattler. Tests on Aarch64 has been skipped.
+ # See https://github.com/prefix-dev/pixi/pull/241.
+ doCheck = !stdenv.isAarch64;
+
+ preCheck = ''
+ export HOME="$(mktemp -d)"
+ '';
checkFlags = [
# Skip tests requiring network
@@ -49,7 +56,7 @@ rustPlatform.buildRustPackage rec {
];
postInstall = ''
- installShellCompletion --cmd pix \
+ installShellCompletion --cmd pixi \
--bash <($out/bin/pixi completion --shell bash) \
--fish <($out/bin/pixi completion --shell fish) \
--zsh <($out/bin/pixi completion --shell zsh)
diff --git a/pkgs/by-name/pl/plow/package.nix b/pkgs/by-name/pl/plow/package.nix
new file mode 100644
index 000000000000..237b889c71e0
--- /dev/null
+++ b/pkgs/by-name/pl/plow/package.nix
@@ -0,0 +1,30 @@
+{ lib, buildGoModule, fetchFromGitHub, testers, plow }:
+
+buildGoModule rec {
+ pname = "plow";
+ version = "1.3.1";
+
+ src = fetchFromGitHub {
+ owner = "six-ddc";
+ repo = "plow";
+ rev = "refs/tags/v${version}";
+ hash = "sha256-TynFq7e4MtZlA5SmGMybhmCVw67yHYgZWffQjuyhTDA=";
+ };
+
+ vendorHash = "sha256-t2lBPyCn8bu9hLsWmaCGir9egbX0mQR+8kB0RfY7nHE=";
+
+ ldflags = [ "-s" "-w" ];
+
+ passthru.tests.version = testers.testVersion {
+ package = plow;
+ };
+
+ meta = with lib; {
+ description = "A high-performance HTTP benchmarking tool that includes a real-time web UI and terminal display";
+ homepage = "https://github.com/six-ddc/plow";
+ changelog = "https://github.com/six-ddc/plow/releases/tag/v${version}";
+ license = licenses.asl20;
+ maintainers = with maintainers; [ ecklf ];
+ mainProgram = "plow";
+ };
+}
diff --git a/pkgs/by-name/pl/plumber/package.nix b/pkgs/by-name/pl/plumber/package.nix
index e306d09cdf35..760eea36573a 100644
--- a/pkgs/by-name/pl/plumber/package.nix
+++ b/pkgs/by-name/pl/plumber/package.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "plumber";
- version = "2.5.2";
+ version = "2.6.0";
src = fetchFromGitHub {
owner = "streamdal";
repo = pname;
rev = "v${version}";
- hash = "sha256-ftXLipJQjRdOSNO56rIRfAKKU0kHtAK85hgcT3nYOKA=";
+ hash = "sha256-H1tyMedYKj1bePNcaEWYP3njHw57cJ0jgxwC7zDXQvk=";
};
vendorHash = null;
@@ -18,16 +18,17 @@ buildGoModule rec {
export HOME="$(mktemp -d)"
'';
+ subPackages = [ "." ];
+
ldflags = [
"-s"
"-w"
"-X github.com/streamdal/plumber/options.VERSION=${version}"
- # remove once module in go.mod is renamed to github.com/batchcorp/streamdal
- "-X github.com/batchcorp/plumber/options.VERSION=${version}"
];
meta = with lib; {
description = "A CLI devtool for interacting with data in message systems like Kafka, RabbitMQ, GCP PubSub and more";
+ mainProgram = "plumber";
homepage = "https://github.com/streamdal/plumber";
license = licenses.mit;
maintainers = with maintainers; [ svrana ];
diff --git a/pkgs/by-name/pm/pmtiles/package.nix b/pkgs/by-name/pm/pmtiles/package.nix
index 4e9cffd9173e..503b32dc22fa 100644
--- a/pkgs/by-name/pm/pmtiles/package.nix
+++ b/pkgs/by-name/pm/pmtiles/package.nix
@@ -1,13 +1,13 @@
{ lib, buildGoModule, fetchFromGitHub }:
buildGoModule rec {
pname = "pmtiles";
- version = "1.14.1";
+ version = "1.17.0";
src = fetchFromGitHub {
owner = "protomaps";
repo = "go-pmtiles";
rev = "v${version}";
- hash = "sha256-CnREcPXNehxOMZm/cuedkDeWtloc7TGWNmmoFZhSTZE=";
+ hash = "sha256-BHzQMSIE94LW6SGcpaMdX/ztzVLlmWquwWMbruLAt00=";
};
vendorHash = "sha256-tSQjCdgEXIGlSWcIB6lLQulAiEAebgW3pXL9Z2ujgIs=";
diff --git a/pkgs/by-name/pn/pnpm-shell-completion/package.nix b/pkgs/by-name/pn/pnpm-shell-completion/package.nix
new file mode 100644
index 000000000000..b789a1024a72
--- /dev/null
+++ b/pkgs/by-name/pn/pnpm-shell-completion/package.nix
@@ -0,0 +1,36 @@
+{
+ rustPlatform,
+ fetchFromGitHub,
+ lib,
+ installShellFiles,
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "pnpm-shell-completion";
+ version = "0.5.3";
+
+ src = fetchFromGitHub {
+ owner = "g-plane";
+ repo = "pnpm-shell-completion";
+ rev = "v${version}";
+ hash = "sha256-UKuAUN1uGNy/1Fm4vXaTWBClHgda+Vns9C4ugfHm+0s=";
+ };
+
+ cargoHash = "sha256-Kf28hQ5PUHeH5ZSRSRdfHljlqIYU8MN0zQsyT0Sa2+4=";
+
+ nativeBuildInputs = [ installShellFiles ];
+
+ postInstall = ''
+ installShellCompletion --cmd pnpm \
+ --fish pnpm.fish \
+ --zsh pnpm-shell-completion.plugin.zsh
+ '';
+
+ meta = with lib; {
+ homepage = "https://github.com/g-plane/pnpm-shell-completion";
+ description = "Complete your pnpm command fastly";
+ license = licenses.mit;
+ maintainers = with maintainers; [ donovanglover ];
+ mainProgram = "pnpm-shell-completion";
+ };
+}
diff --git a/pkgs/by-name/po/poethepoet/package.nix b/pkgs/by-name/po/poethepoet/package.nix
index 4faecc29cff1..063e56d85bdb 100644
--- a/pkgs/by-name/po/poethepoet/package.nix
+++ b/pkgs/by-name/po/poethepoet/package.nix
@@ -5,14 +5,14 @@
python3.pkgs.buildPythonApplication rec {
pname = "poethepoet";
- version = "0.24.4";
+ version = "0.25.0";
pyproject = true;
src = fetchFromGitHub {
owner = "nat-n";
repo = "poethepoet";
- rev = "v${version}";
- hash = "sha256-RTV3TVNciJE7dC/gtViZcSWFXR2A4qNMAJ/1OEzMAus=";
+ rev = "refs/tags/v${version}";
+ hash = "sha256-7EHSTkmHIR13FgncmXpjZNrJFomJW6LTVw+BAbnrfRM=";
};
nativeBuildInputs = [
diff --git a/pkgs/by-name/po/powerhub/package.nix b/pkgs/by-name/po/powerhub/package.nix
new file mode 100644
index 000000000000..220098569966
--- /dev/null
+++ b/pkgs/by-name/po/powerhub/package.nix
@@ -0,0 +1,64 @@
+{ lib
+, fetchFromGitHub
+, python3
+}:
+
+python3.pkgs.buildPythonApplication rec {
+ pname = "powerhub";
+ version = "2.0.7";
+ pyproject = true;
+
+ src = fetchFromGitHub {
+ owner = "AdrianVollmer";
+ repo = "PowerHub";
+ rev = "refs/tags/${version}";
+ hash = "sha256-ejdG/vMINyvToP8GAhRMdp/Jq8rZNBubDbRcg2i05lM=";
+ };
+
+ nativeBuildInputs = with python3.pkgs; [
+ setuptools
+ setuptools-scm
+ ];
+
+ propagatedBuildInputs = with python3.pkgs; [
+ cheroot
+ cryptography
+ dnspython
+ flask
+ flask-socketio
+ flask-sqlalchemy
+ importlib-metadata
+ jinja2
+ pyopenssl
+ python-magic
+ python-socketio
+ requests
+ service-identity
+ simple-websocket
+ sqlalchemy
+ twisted
+ watchdog
+ werkzeug
+ wsgidav
+ ];
+
+ # Tests uses XDG
+ doCheck = false;
+
+ pythonImportsCheck = [
+ "powerhub"
+ ];
+
+ preCheck = ''
+ cd tests/helpers
+ '';
+
+ meta = with lib; {
+ description = "Post exploitation tool based on a web application, focusing on bypassing endpoint protection and application whitelisting";
+ homepage = "https://github.com/AdrianVollmer/PowerHub";
+ changelog = "https://github.com/AdrianVollmer/PowerHub/blob/${version}/CHANGELOG.md";
+ license = licenses.mit;
+ maintainers = with maintainers; [ fab ];
+ mainProgram = "powerhub";
+ };
+}
diff --git a/pkgs/by-name/pq/pqiv/package.nix b/pkgs/by-name/pq/pqiv/package.nix
new file mode 100644
index 000000000000..ec621a56dca3
--- /dev/null
+++ b/pkgs/by-name/pq/pqiv/package.nix
@@ -0,0 +1,48 @@
+{
+ lib,
+ stdenv,
+ fetchFromGitHub,
+ pkg-config,
+ ffmpeg,
+ gtk3,
+ imagemagick,
+ libarchive,
+ libspectre,
+ libwebp,
+ poppler,
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "pqiv";
+ version = "2.13.1";
+
+ src = fetchFromGitHub {
+ owner = "phillipberndt";
+ repo = "pqiv";
+ rev = finalAttrs.version;
+ hash = "sha256-Op+N4zzq7MazjFvx5VisjsRXbIqLtWPv4hdVjmS7lPY=";
+ };
+
+ nativeBuildInputs = [ pkg-config ];
+
+ buildInputs = [
+ ffmpeg
+ gtk3
+ imagemagick
+ libarchive
+ libspectre
+ libwebp
+ poppler
+ ];
+
+ prePatch = "patchShebangs .";
+
+ meta = with lib; {
+ description = "Powerful image viewer with minimal UI";
+ homepage = "https://www.pberndt.com/Programme/Linux/pqiv";
+ license = licenses.gpl3Plus;
+ maintainers = with maintainers; [ donovanglover ];
+ platforms = platforms.linux;
+ mainProgram = "pqiv";
+ };
+})
diff --git a/pkgs/by-name/pq/pql/package.nix b/pkgs/by-name/pq/pql/package.nix
new file mode 100644
index 000000000000..9697d80ad25f
--- /dev/null
+++ b/pkgs/by-name/pq/pql/package.nix
@@ -0,0 +1,28 @@
+{ lib
+, buildGoModule
+, fetchFromGitHub
+}:
+
+buildGoModule rec {
+ pname = "pql";
+ version = "0.1.0";
+
+ src = fetchFromGitHub {
+ owner = "runreveal";
+ repo = "pql";
+ rev = "v${version}";
+ hash = "sha256-xNWwjDdnF4+IvS814iJlqCFYNOGNF2nHEnnbRqxJsjM=";
+ };
+
+ vendorHash = "sha256-j/R+1PWfX+lmm99cHWSuo+v8RxKg089Bvb4rFHpmpfE=";
+
+ ldflags = [ "-s" "-w" ];
+
+ meta = with lib; {
+ description = "Pipelined Query Language";
+ homepage = "https://github.com/runreveal/pql";
+ license = licenses.asl20;
+ maintainers = with maintainers; [ dit7ya ];
+ mainProgram = "pql";
+ };
+}
diff --git a/pkgs/by-name/pr/pre2k/package.nix b/pkgs/by-name/pr/pre2k/package.nix
new file mode 100644
index 000000000000..4865269b5565
--- /dev/null
+++ b/pkgs/by-name/pr/pre2k/package.nix
@@ -0,0 +1,50 @@
+{ lib
+, fetchFromGitHub
+, python3
+}:
+
+python3.pkgs.buildPythonApplication rec {
+ pname = "pre2k";
+ version = "3.0";
+ pyproject = true;
+
+ src = fetchFromGitHub {
+ owner = "garrettfoster13";
+ repo = "pre2k";
+ rev = "refs/tags/${version}";
+ hash = "sha256-z1ttuRos7x/zdWiYYozxWzRarFExd4W5rUYAEiUMugU=";
+ };
+
+ pythonRelaxDeps = [
+ "impacket"
+ "pyasn1"
+ "rich"
+ "typer"
+ ];
+
+ nativeBuildInputs = with python3.pkgs; [
+ poetry-core
+ pythonRelaxDepsHook
+ ];
+
+ propagatedBuildInputs = with python3.pkgs; [
+ impacket
+ ldap3
+ pyasn1
+ rich
+ typer
+ ];
+
+ pythonImportsCheck = [
+ "pre2k"
+ ];
+
+ meta = with lib; {
+ description = "Tool to query for the existence of pre-windows 2000 computer objects";
+ homepage = "https://github.com/garrettfoster13/pre2k";
+ changelog = "https://github.com/garrettfoster13/pre2k/releases/tag/${version}";
+ license = licenses.mit;
+ maintainers = with maintainers; [ fab ];
+ mainProgram = "pre2k";
+ };
+}
diff --git a/pkgs/by-name/pr/presenterm/package.nix b/pkgs/by-name/pr/presenterm/package.nix
index 8745736119ba..e14510559cbe 100644
--- a/pkgs/by-name/pr/presenterm/package.nix
+++ b/pkgs/by-name/pr/presenterm/package.nix
@@ -9,20 +9,20 @@
rustPlatform.buildRustPackage rec {
pname = "presenterm";
- version = "0.6.1";
+ version = "0.7.0";
src = fetchFromGitHub {
owner = "mfontanini";
repo = "presenterm";
rev = "refs/tags/v${version}";
- hash = "sha256-+XESFDseRScWYOry58JLknGv+xhewTKx38lrzQu2mQ4=";
+ hash = "sha256-I5L+Wygj9ApQu/5fm55okwNbyxOiF++7BDl765MLnjY=";
};
buildInputs = [
libsixel
];
- cargoHash = "sha256-xZLGm+tGAmmo/OzDMrgQK0uH7GMG6fTkpPsXwLe94VM=";
+ cargoHash = "sha256-w1uXCH8Ybf78EPTIKrhPlPHAnNBp1iiBpFJHY98IPWY=";
# Crashes at runtime on darwin with:
# Library not loaded: .../out/lib/libsixel.1.dylib
diff --git a/pkgs/by-name/pr/preserves-tools/package.nix b/pkgs/by-name/pr/preserves-tools/package.nix
index 7b8407aea02f..170184efe72f 100644
--- a/pkgs/by-name/pr/preserves-tools/package.nix
+++ b/pkgs/by-name/pr/preserves-tools/package.nix
@@ -2,14 +2,14 @@
rustPlatform.buildRustPackage rec {
pname = "preserves-tools";
- version = "4.992.2";
+ version = "4.994.0";
src = fetchCrate {
inherit pname version;
- hash = "sha256-1IX6jTAH6qWE8X7YtIka5Z4y70obiVotOXzRnu+Z6a0=";
+ hash = "sha256-+I2uxdAe4SHg8ZLRvkIUr862FH6GvCwnyhxcCPD3JBA=";
};
- cargoHash = "sha256-D/ZCKRqZtPoCJ9t+5+q1Zm79z3K6Rew4eyuyDiGVGUs=";
+ cargoHash = "sha256-09uxXD9EZzzk42tBYbuqaLRFyGmOUuvC7G0XMDjsK6E=";
meta = {
description =
diff --git a/pkgs/by-name/pr/pretalx/package.nix b/pkgs/by-name/pr/pretalx/package.nix
index a4bf9fc9b5ef..bea6f3cc41c4 100644
--- a/pkgs/by-name/pr/pretalx/package.nix
+++ b/pkgs/by-name/pr/pretalx/package.nix
@@ -27,18 +27,20 @@ let
};
};
- version = "2023.1.3";
+ version = "2024.1.0";
src = fetchFromGitHub {
owner = "pretalx";
repo = "pretalx";
rev = "v${version}";
- hash = "sha256-YxmkjfftNrInIcSkK21wJXiEU6hbdDa1Od8p+HiLprs=";
+ hash = "sha256-rFOlovybaEZnv5wBx6Dv8bVkP1D+CgYAKRXuNb6hLKQ=";
};
meta = with lib; {
description = "Conference planning tool: CfP, scheduling, speaker management";
+ mainProgram = "pretalx-manage";
homepage = "https://github.com/pretalx/pretalx";
+ changelog = "https://docs.pretalx.org/en/latest/changelog.html";
license = licenses.asl20;
maintainers = teams.c3d2.members;
platforms = platforms.linux;
@@ -50,7 +52,7 @@ let
sourceRoot = "${src.name}/src/pretalx/frontend/schedule-editor";
- npmDepsHash = "sha256-4cnBHZ8WpHgp/bbsYYbdtrhuD6ffUAZq9ZjoLpWGfRg=";
+ npmDepsHash = "sha256-B9R3Nn4tURNxzeyLDHscqHxYOQK9AcmDnyNq3k5WQQs=";
npmBuildScript = "build";
@@ -72,22 +74,19 @@ python.pkgs.buildPythonApplication rec {
--replace 'subprocess.check_call(["npm", "run", "build"], cwd=frontend_dir, env=env)' ""
substituteInPlace src/setup.cfg \
- --replace "--cov=./" ""
+ --replace "--cov=./ --cov-report=" ""
'';
nativeBuildInputs = [
gettext
- python.pkgs.pythonRelaxDepsHook
- ];
+ ] ++ (with python.pkgs; [
+ pythonRelaxDepsHook
+ setuptools
+ ]);
pythonRelaxDeps = [
- "bleach"
- "cssutils"
- "django-filter"
- "django-formtools"
- "libsass"
- "markdown"
- "pillow"
+ "django-csp"
+ "python-dateutil"
];
propagatedBuildInputs = with python.pkgs; [
@@ -174,6 +173,7 @@ python.pkgs.buildPythonApplication rec {
nativeCheckInputs = with python.pkgs; [
faker
freezegun
+ jsonschema
pytest-django
pytest-mock
pytest-xdist
@@ -185,9 +185,16 @@ python.pkgs.buildPythonApplication rec {
# tries to run npm run i18n:extract
"test_common_custom_makemessages_does_not_blow_up"
# Expected to perform X queries or less but Y were done
+ "test_can_see_schedule"
"test_schedule_export_public"
"test_schedule_frab_json_export"
+ "test_schedule_frab_xcal_export"
"test_schedule_frab_xml_export"
+ "test_schedule_frab_xml_export_control_char"
+ "test_schedule_page_text_list"
+ "test_schedule_page_text_table"
+ "test_schedule_page_text_wrong_format"
+ "test_versioned_schedule_page"
];
passthru = {
diff --git a/pkgs/by-name/pr/pretix/language-build.patch b/pkgs/by-name/pr/pretix/language-build.patch
new file mode 100644
index 000000000000..b4043b95446d
--- /dev/null
+++ b/pkgs/by-name/pr/pretix/language-build.patch
@@ -0,0 +1,12 @@
+diff --git a/src/pretix/_build_settings.py b/src/pretix/_build_settings.py
+index d1ea73b84..9e13cdc87 100644
+--- a/src/pretix/_build_settings.py
++++ b/src/pretix/_build_settings.py
+@@ -49,6 +49,7 @@ HAS_MEMCACHED = False
+ HAS_CELERY = False
+ HAS_GEOIP = False
+ SENTRY_ENABLED = False
++LANGUAGES = ALL_LANGUAGES
+
+ for entry_point in entry_points(group='pretix.plugin'):
+ INSTALLED_APPS.append(entry_point.module) # noqa: F405
diff --git a/pkgs/by-name/pr/pretix/package.nix b/pkgs/by-name/pr/pretix/package.nix
new file mode 100644
index 000000000000..7b238ff6f8e4
--- /dev/null
+++ b/pkgs/by-name/pr/pretix/package.nix
@@ -0,0 +1,270 @@
+{ lib
+, buildNpmPackage
+, fetchFromGitHub
+, fetchPypi
+, fetchpatch2
+, nodejs
+, python3
+, gettext
+, nixosTests
+, plugins ? [ ]
+}:
+
+let
+ python = python3.override {
+ packageOverrides = self: super: {
+ django = super.django_4;
+
+ stripe = super.stripe.overridePythonAttrs rec {
+ version = "7.9.0";
+
+ src = fetchPypi {
+ pname = "stripe";
+ inherit version;
+ hash = "sha256-hOXkMINaSwzU/SpXzjhTJp0ds0OREc2mtu11LjSc9KE=";
+ };
+ };
+
+ pretix-plugin-build = self.callPackage ./plugin-build.nix { };
+ };
+ };
+
+ pname = "pretix";
+ version = "2024.2.0";
+
+ src = fetchFromGitHub {
+ owner = "pretix";
+ repo = "pretix";
+ rev = "refs/tags/v${version}";
+ hash = "sha256-emtF5dDXEXN8GIucHbjF+m9Vkg1Jj6nmQdHhBOkXMAs=";
+ };
+
+ npmDeps = buildNpmPackage {
+ pname = "pretix-node-modules";
+ inherit version src;
+
+ sourceRoot = "${src.name}/src/pretix/static/npm_dir";
+ npmDepsHash = "sha256-kE13dcTdWZZNHPMcHEiK0a2dEcu3Z3/q815YhaVkLbQ=";
+
+ dontBuild = true;
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir $out
+ cp -R node_modules $out/
+
+ runHook postInstall
+ '';
+ };
+in
+python.pkgs.buildPythonApplication rec {
+ inherit pname version src;
+ pyproject = true;
+
+ patches = [
+ # Discover pretix.plugin entrypoints during build and add them into
+ # INSTALLED_APPS, so that their static files are collected.
+ ./plugin-build.patch
+
+ # Configure django-statici18n to compile all available languages at
+ # build time.
+ ./language-build.patch
+
+ (fetchpatch2 {
+ # Allow customization of cache and log directory
+ # https://github.com/pretix/pretix/pull/3997
+ name = "pretix-directory-customization.patch";
+ url = "https://github.com/pretix/pretix/commit/e151d1d1f08917e547df49da0779b36bb73b7294.patch";
+ hash = "sha256-lO5eCKSqUaCwSm7rouMTFMwauWl9Tz/Yf0JE/IO+bnI=";
+ })
+ ];
+
+ postPatch = ''
+ NODE_PREFIX=src/pretix/static.dist/node_prefix
+ mkdir -p $NODE_PREFIX
+ cp -R ${npmDeps}/node_modules $NODE_PREFIX/
+ chmod -R u+w $NODE_PREFIX/
+
+ # unused
+ sed -i "/setuptools-rust/d" pyproject.toml
+
+ substituteInPlace pyproject.toml \
+ --replace-fail phonenumberslite phonenumbers \
+ --replace-fail psycopg2-binary psycopg2 \
+ --replace-fail vat_moss_forked==2020.3.20.0.11.0 vat-moss \
+ --replace-fail "bleach==5.0.*" bleach \
+ --replace-fail "dnspython==2.5.*" dnspython \
+ --replace-fail "importlib_metadata==7.*" importlib_metadata \
+ --replace-fail "protobuf==4.25.*" protobuf \
+ --replace-fail "pycryptodome==3.20.*" pycryptodome \
+ --replace-fail "pypdf==3.9.*" pypdf \
+ --replace-fail "python-dateutil==2.8.*" python-dateutil \
+ --replace-fail "sentry-sdk==1.40.*" sentry-sdk \
+ --replace-fail "stripe==7.9.*" stripe
+ '';
+
+ build-system = with python.pkgs; [
+ gettext
+ nodejs
+ pythonRelaxDepsHook
+ setuptools
+ tomli
+ ];
+
+ dependencies = with python.pkgs; [
+ arabic-reshaper
+ babel
+ beautifulsoup4
+ bleach
+ celery
+ chardet
+ cryptography
+ css-inline
+ defusedcsv
+ dj-static
+ django
+ django-bootstrap3
+ django-compressor
+ django-countries
+ django-filter
+ django-formset-js-improved
+ django-formtools
+ django-hierarkey
+ django-hijack
+ django-i18nfield
+ django-libsass
+ django-localflavor
+ django-markup
+ django-oauth-toolkit
+ django-otp
+ django-phonenumber-field
+ django-redis
+ django-scopes
+ django-statici18n
+ djangorestframework
+ dnspython
+ drf-ujson2
+ geoip2
+ importlib-metadata
+ isoweek
+ jsonschema
+ kombu
+ libsass
+ lxml
+ markdown
+ mt-940
+ oauthlib
+ openpyxl
+ packaging
+ paypalrestsdk
+ paypal-checkout-serversdk
+ pyjwt
+ phonenumbers
+ pillow
+ pretix-plugin-build
+ protobuf
+ psycopg2
+ pycountry
+ pycparser
+ pycryptodome
+ pypdf
+ python-bidi
+ python-dateutil
+ pytz
+ pytz-deprecation-shim
+ pyuca
+ qrcode
+ redis
+ reportlab
+ requests
+ sentry-sdk
+ sepaxml
+ slimit
+ static3
+ stripe
+ text-unidecode
+ tlds
+ tqdm
+ vat-moss
+ vobject
+ webauthn
+ zeep
+ ] ++ plugins;
+
+ optional-dependencies = with python.pkgs; {
+ memcached = [
+ pylibmc
+ ];
+ };
+
+ postInstall = ''
+ mkdir -p $out/bin
+ cp ./src/manage.py $out/bin/pretix-manage
+
+ # Trim packages size
+ rm -rfv $out/${python.sitePackages}/pretix/static.dist/node_prefix
+ '';
+
+ dontStrip = true; # no binaries
+
+ nativeCheckInputs = with python.pkgs; [
+ pytestCheckHook
+ pytest-xdist
+ pytest-mock
+ pytest-django
+ pytest-asyncio
+ pytest-rerunfailures
+ freezegun
+ fakeredis
+ responses
+ ] ++ lib.flatten (lib.attrValues optional-dependencies);
+
+ pytestFlagsArray = [
+ "--reruns" "3"
+
+ # tests fail when run before 4:30am
+ # https://github.com/pretix/pretix/pull/3987
+ "--deselect=src/tests/base/test_orders.py::PaymentReminderTests::test_sent_days"
+ "--deselect=src/tests/plugins/sendmail/test_rules.py::test_sendmail_rule_specified_subevent"
+ ];
+
+ preCheck = ''
+ export PYTHONPATH=$(pwd)/src:$PYTHONPATH
+ export DJANGO_SETTINGS_MODULE=tests.settings
+ '';
+
+ passthru = {
+ inherit
+ npmDeps
+ python
+ ;
+ plugins = lib.recurseIntoAttrs
+ (python.pkgs.callPackage ./plugins {
+ inherit (python.pkgs) callPackage;
+ }
+ );
+ tests = {
+ inherit (nixosTests) pretix;
+ };
+ };
+
+ meta = with lib; {
+ description = "Ticketing software that cares about your event—all the way";
+ homepage = "https://github.com/pretix/pretix";
+ license = with licenses; [
+ agpl3Only
+ # 3rd party components below src/pretix/static
+ bsd2
+ isc
+ mit
+ ofl # fontawesome
+ unlicense
+ # all other files below src/pretix/static and src/pretix/locale and aux scripts
+ asl20
+ ];
+ maintainers = with maintainers; [ hexa ];
+ mainProgram = "pretix-manage";
+ platforms = platforms.linux;
+ };
+}
diff --git a/pkgs/by-name/pr/pretix/plugin-build.nix b/pkgs/by-name/pr/pretix/plugin-build.nix
new file mode 100644
index 000000000000..307ff4114eb7
--- /dev/null
+++ b/pkgs/by-name/pr/pretix/plugin-build.nix
@@ -0,0 +1,37 @@
+{
+ lib,
+ buildPythonPackage,
+ fetchPypi,
+ setuptools,
+ django,
+ gettext,
+}:
+
+buildPythonPackage rec {
+ pname = "pretix-plugin-build";
+ version = "1.0.1";
+ pyproject = true;
+
+ src = fetchPypi {
+ inherit pname version;
+ hash = "sha256-iLbqcCAbeK4PyLXiebpdE27rt6bOP7eXczIG2bdvvYo=";
+ };
+
+ build-system = [
+ setuptools
+ ];
+
+ dependencies = [
+ django
+ gettext
+ ];
+
+ doCheck = false; # no tests
+
+ meta = with lib; {
+ description = "";
+ homepage = "https://github.com/pretix/pretix-plugin-build";
+ license = licenses.asl20;
+ maintainers = with maintainers; [ hexa ];
+ };
+}
diff --git a/pkgs/by-name/pr/pretix/plugin-build.patch b/pkgs/by-name/pr/pretix/plugin-build.patch
new file mode 100644
index 000000000000..aa935ed28148
--- /dev/null
+++ b/pkgs/by-name/pr/pretix/plugin-build.patch
@@ -0,0 +1,20 @@
+diff --git a/src/pretix/_build_settings.py b/src/pretix/_build_settings.py
+index c03f56a1a..d1ea73b84 100644
+--- a/src/pretix/_build_settings.py
++++ b/src/pretix/_build_settings.py
+@@ -24,6 +24,8 @@
+ This file contains settings that we need at wheel require time. All settings that we only need at runtime are set
+ in settings.py.
+ """
++from importlib_metadata import entry_points
++
+ from ._base_settings import * # NOQA
+
+ ENTROPY = {
+@@ -47,3 +49,6 @@ HAS_MEMCACHED = False
+ HAS_CELERY = False
+ HAS_GEOIP = False
+ SENTRY_ENABLED = False
++
++for entry_point in entry_points(group='pretix.plugin'):
++ INSTALLED_APPS.append(entry_point.module) # noqa: F405
diff --git a/pkgs/by-name/pr/pretix/plugins/default.nix b/pkgs/by-name/pr/pretix/plugins/default.nix
new file mode 100644
index 000000000000..70e643705c00
--- /dev/null
+++ b/pkgs/by-name/pr/pretix/plugins/default.nix
@@ -0,0 +1,13 @@
+{ callPackage
+, ...
+}:
+
+{
+ pages = callPackage ./pages.nix { };
+
+ passbook = callPackage ./passbook.nix { };
+
+ reluctant-stripe = callPackage ./reluctant-stripe.nix { };
+
+ stretchgoals = callPackage ./stretchgoals.nix { };
+}
diff --git a/pkgs/by-name/pr/pretix/plugins/pages.nix b/pkgs/by-name/pr/pretix/plugins/pages.nix
new file mode 100644
index 000000000000..91f8ef032f77
--- /dev/null
+++ b/pkgs/by-name/pr/pretix/plugins/pages.nix
@@ -0,0 +1,37 @@
+{ lib
+, buildPythonPackage
+, fetchFromGitHub
+, pretix-plugin-build
+, setuptools
+}:
+
+buildPythonPackage rec {
+ pname = "pretix-pages";
+ version = "1.6.0";
+ pyproject = true;
+
+ src = fetchFromGitHub {
+ owner = "pretix";
+ repo = "pretix-pages";
+ rev = "v${version}";
+ hash = "sha256-cO5tAiOifLpqFEQwYgrGoByUecpzvue8YmChpPwm+y0=";
+ };
+
+ build-system = [
+ pretix-plugin-build
+ setuptools
+ ];
+
+ doCheck = false; # no tests
+
+ pythonImportsCheck = [
+ "pretix_pages"
+ ];
+
+ meta = with lib; {
+ description = "Plugin to add static pages to your pretix event";
+ homepage = "https://github.com/pretix/pretix-pages";
+ license = licenses.asl20;
+ maintainers = with maintainers; [ hexa ];
+ };
+}
diff --git a/pkgs/by-name/pr/pretix/plugins/passbook-openssl.patch b/pkgs/by-name/pr/pretix/plugins/passbook-openssl.patch
new file mode 100644
index 000000000000..44c0d56886af
--- /dev/null
+++ b/pkgs/by-name/pr/pretix/plugins/passbook-openssl.patch
@@ -0,0 +1,33 @@
+diff --git a/pretix_passbook/apps.py b/pretix_passbook/apps.py
+index e34eee1..a7ad382 100644
+--- a/pretix_passbook/apps.py
++++ b/pretix_passbook/apps.py
+@@ -22,15 +22,6 @@ class PassbookApp(AppConfig):
+ def ready(self):
+ from . import signals # NOQA
+
+- @cached_property
+- def compatibility_errors(self):
+- import shutil
+-
+- errs = []
+- if not shutil.which("openssl"):
+- errs.append("The OpenSSL binary is not installed or not in the PATH.")
+- return errs
+-
+ @cached_property
+ def compatibility_warnings(self):
+ errs = []
+diff --git a/pretix_passbook/forms.py b/pretix_passbook/forms.py
+index 2a38604..aec38de 100644
+--- a/pretix_passbook/forms.py
++++ b/pretix_passbook/forms.py
+@@ -41,7 +41,7 @@ class CertificateFileField(forms.FileField):
+ return SimpleUploadedFile("cert.pem", content, "text/plain")
+
+ openssl_cmd = [
+- "openssl",
++ "@openssl@",
+ "x509",
+ "-inform",
+ "DER",
diff --git a/pkgs/by-name/pr/pretix/plugins/passbook.nix b/pkgs/by-name/pr/pretix/plugins/passbook.nix
new file mode 100644
index 000000000000..b7d380aa681d
--- /dev/null
+++ b/pkgs/by-name/pr/pretix/plugins/passbook.nix
@@ -0,0 +1,59 @@
+{ lib
+, buildPythonPackage
+, fetchFromGitHub
+, substituteAll
+
+# build-system
+, pretix-plugin-build
+, setuptools
+
+# runtime
+, openssl
+
+# dependencies
+, googlemaps
+, wallet-py3k
+}:
+
+buildPythonPackage rec {
+ pname = "pretix-passbook";
+ version = "1.13.1";
+ pyproject = true;
+
+ src = fetchFromGitHub {
+ owner = "pretix";
+ repo = "pretix-passbook";
+ rev = "v${version}";
+ hash = "sha256-bp64wCEMon05JhOaDr/cVbqUxc+7ndcsSuSesxJt8GE=";
+ };
+
+ patches = [
+ (substituteAll {
+ src = ./passbook-openssl.patch;
+ openssl = lib.getExe openssl;
+ })
+ ];
+
+ build-system = [
+ pretix-plugin-build
+ setuptools
+ ];
+
+ dependencies = [
+ googlemaps
+ wallet-py3k
+ ];
+
+ doCheck = false; # no tests
+
+ pythonImportsCheck = [
+ "pretix_passbook"
+ ];
+
+ meta = with lib; {
+ description = "Support for Apple Wallet/Passbook files in pretix";
+ homepage = "https://github.com/pretix/pretix-passbook";
+ license = licenses.asl20;
+ maintainers = with maintainers; [ hexa ];
+ };
+}
diff --git a/pkgs/by-name/pr/pretix/plugins/reluctant-stripe.nix b/pkgs/by-name/pr/pretix/plugins/reluctant-stripe.nix
new file mode 100644
index 000000000000..40ab96a36bca
--- /dev/null
+++ b/pkgs/by-name/pr/pretix/plugins/reluctant-stripe.nix
@@ -0,0 +1,37 @@
+{ lib
+, buildPythonPackage
+, fetchFromGitHub
+, pretix-plugin-build
+, setuptools
+}:
+
+buildPythonPackage {
+ pname = "pretix-reluctant-stripe";
+ version = "unstable-2023-08-03";
+ pyproject = true;
+
+ src = fetchFromGitHub {
+ owner = "metarheinmain";
+ repo = "pretix-reluctant-stripe";
+ rev = "ae2d770442553e5fc00815ff4521a8fd2c113fd9";
+ hash = "sha256-bw9aDMxl4/uar5KHjj+wwkYkaGMRxHWY/c1N75bxu0o=";
+ };
+
+ build-system = [
+ pretix-plugin-build
+ setuptools
+ ];
+
+ doCheck = false; # no tests
+
+ pythonImportsCheck = [
+ "pretix_reluctant_stripe"
+ ];
+
+ meta = with lib; {
+ description = "Nudge users to not use Stripe as a payment provider";
+ homepage = "https://github.com/metarheinmain/pretix-reluctant-stripe";
+ license = licenses.asl20;
+ maintainers = with maintainers; [ hexa ];
+ };
+}
diff --git a/pkgs/by-name/pr/pretix/plugins/stretchgoals.nix b/pkgs/by-name/pr/pretix/plugins/stretchgoals.nix
new file mode 100644
index 000000000000..4d1cb8d02e84
--- /dev/null
+++ b/pkgs/by-name/pr/pretix/plugins/stretchgoals.nix
@@ -0,0 +1,37 @@
+{ lib
+, buildPythonPackage
+, fetchFromGitHub
+, pretix-plugin-build
+, setuptools
+}:
+
+buildPythonPackage {
+ pname = "pretix-avgchart";
+ version = "unstable-2023-11-27";
+ pyproject = true;
+
+ src = fetchFromGitHub {
+ owner = "rixx";
+ repo = "pretix-avgchart";
+ rev = "219816c7ec523a5c23778523b2616ac0c835cb3a";
+ hash = "sha256-1V/0PUvStgQeBQ0v6GoofAgyPmWs3RD+v5ekmAO9vFU=";
+ };
+
+ build-system = [
+ pretix-plugin-build
+ setuptools
+ ];
+
+ doCheck = false; # no tests
+
+ pythonImportsCheck = [
+ "pretix_stretchgoals"
+ ];
+
+ meta = with lib; {
+ description = "Display the average ticket sales price over time";
+ homepage = "https://github.com/rixx/pretix-avgchart";
+ license = licenses.asl20;
+ maintainers = with maintainers; [ hexa ];
+ };
+}
diff --git a/pkgs/applications/science/math/primecount/default.nix b/pkgs/by-name/pr/primecount/package.nix
similarity index 70%
rename from pkgs/applications/science/math/primecount/default.nix
rename to pkgs/by-name/pr/primecount/package.nix
index c3e2565989ed..791acca6ae5d 100644
--- a/pkgs/applications/science/math/primecount/default.nix
+++ b/pkgs/by-name/pr/primecount/package.nix
@@ -1,21 +1,23 @@
{ lib
-, stdenv
-, fetchFromGitHub
, cmake
+, fetchFromGitHub
, primesieve
+, stdenv
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "primecount";
version = "7.10";
src = fetchFromGitHub {
owner = "kimwalisch";
repo = "primecount";
- rev = "v${version}";
+ rev = "v${finalAttrs.version}";
hash = "sha256-z7sHGR6zZSTV1PbL0WPGHf52CYQ572KC1yznCuIEJbQ=";
};
+ outputs = [ "out" "dev" "lib" "man" ];
+
nativeBuildInputs = [
cmake
];
@@ -24,17 +26,18 @@ stdenv.mkDerivation rec {
primesieve
];
+ strictDeps = true;
+
cmakeFlags = [
- "-DBUILD_LIBPRIMESIEVE=ON"
- "-DBUILD_PRIMECOUNT=ON"
- "-DBUILD_SHARED_LIBS=ON"
- "-DBUILD_STATIC_LIBS=OFF"
- "-DBUILD_TESTS=ON"
+ (lib.cmakeBool "BUILD_LIBPRIMESIEVE" true)
+ (lib.cmakeBool "BUILD_PRIMECOUNT" true)
+ (lib.cmakeBool "BUILD_SHARED_LIBS" (!stdenv.hostPlatform.isStatic))
+ (lib.cmakeBool "BUILD_STATIC_LIBS" stdenv.hostPlatform.isStatic)
+ (lib.cmakeBool "BUILD_TESTS" true)
];
- meta = with lib; {
+ meta = {
homepage = "https://github.com/kimwalisch/primecount";
- changelog = "https://github.com/kimwalisch/primecount/blob/v${version}/ChangeLog";
description = "Fast prime counting function implementations";
longDescription = ''
primecount is a command-line program and C/C++ library that counts the
@@ -50,7 +53,9 @@ stdenv.mkDerivation rec {
of CPU cores. primecount has already been used to compute several prime
counting function world records.
'';
- license = licenses.bsd2;
+ changelog = "https://github.com/kimwalisch/primecount/blob/${finalAttrs.src.rev}/ChangeLog";
+ license = lib.licenses.bsd2;
+ mainProgram = "primecount";
inherit (primesieve.meta) maintainers platforms;
};
-}
+})
diff --git a/pkgs/applications/science/math/primesieve/default.nix b/pkgs/by-name/pr/primesieve/package.nix
similarity index 55%
rename from pkgs/applications/science/math/primesieve/default.nix
rename to pkgs/by-name/pr/primesieve/package.nix
index 20da1d342831..470b62111b3f 100644
--- a/pkgs/applications/science/math/primesieve/default.nix
+++ b/pkgs/by-name/pr/primesieve/package.nix
@@ -1,25 +1,35 @@
{ lib
-, stdenv
-, fetchFromGitHub
, cmake
+, fetchFromGitHub
+, stdenv
+, primecount
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "primesieve";
- version = "11.2";
+ version = "12.1";
src = fetchFromGitHub {
owner = "kimwalisch";
repo = "primesieve";
- rev = "v${version}";
- hash = "sha256-HtVuUS4dmTC7KosyBhqZ0QRstvon9WMxYf9Ocs1XIrs=";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-AHl2GfZ1oJ8ZyjJzvg10AqN7TA7HFZ+qa6N2v51Qa78=";
};
+ outputs = [ "out" "dev" "lib" "man" ];
+
nativeBuildInputs = [ cmake ];
- meta = with lib; {
+ strictDeps = true;
+
+ passthru = {
+ tests = {
+ inherit primecount; # dependent
+ };
+ };
+
+ meta = {
homepage = "https://primesieve.org/";
- changelog = "https://github.com/kimwalisch/primesieve/blob/v${version}/ChangeLog";
description = "Fast C/C++ prime number generator";
longDescription = ''
primesieve is a command-line program and C/C++ library for quickly
@@ -29,9 +39,11 @@ stdenv.mkDerivation rec {
CPU cores whenever possible i.e. if sequential ordering is not
required. primesieve can generate primes and prime k-tuplets up to 264.
'';
- license = licenses.bsd2;
- maintainers = teams.sage.members ++
- (with maintainers; [ abbradar AndersonTorres ]);
- platforms = platforms.unix;
+ changelog = "https://github.com/kimwalisch/primesieve/blob/${finalAttrs.src.rev}/ChangeLog";
+ license = lib.licenses.bsd2;
+ mainProgram = "primesieve";
+ maintainers = lib.teams.sage.members ++
+ (with lib.maintainers; [ abbradar AndersonTorres ]);
+ platforms = lib.platforms.unix;
};
-}
+})
diff --git a/pkgs/by-name/pr/prometheus-restic-exporter/package.nix b/pkgs/by-name/pr/prometheus-restic-exporter/package.nix
index 4e3736a573b6..603650a66ab6 100644
--- a/pkgs/by-name/pr/prometheus-restic-exporter/package.nix
+++ b/pkgs/by-name/pr/prometheus-restic-exporter/package.nix
@@ -8,13 +8,13 @@
stdenvNoCC.mkDerivation rec {
pname = "prometheus-restic-exporter";
- version = "1.4.0";
+ version = "1.5.0";
src = fetchFromGitHub {
owner = "ngosang";
repo = "restic-exporter";
rev = version;
- hash = "sha256-Qwhlecginl5+V+iddN/vIHfJA1kQOZtscECsoD4LJPE=";
+ hash = "sha256-SC2ZCIQ33RaFI9+l/WI6edNzGEtsxJ2bBdeGNMHuyqY=";
};
buildInputs = [
@@ -26,7 +26,7 @@ stdenvNoCC.mkDerivation rec {
install -D -m0755 restic-exporter.py $out/bin/restic-exporter.py
- substituteInPlace $out/bin/restic-exporter.py --replace \"restic\" \"${lib.makeBinPath [ restic ]}/restic\"
+ substituteInPlace $out/bin/restic-exporter.py --replace-fail \"restic\" \"${lib.makeBinPath [ restic ]}/restic\"
patchShebangs $out/bin/restic-exporter.py
diff --git a/pkgs/by-name/pr/promptfoo/package.nix b/pkgs/by-name/pr/promptfoo/package.nix
index 0aa9599f0508..e834a9c95b4c 100644
--- a/pkgs/by-name/pr/promptfoo/package.nix
+++ b/pkgs/by-name/pr/promptfoo/package.nix
@@ -5,21 +5,22 @@
buildNpmPackage rec {
pname = "promptfoo";
- version = "0.39.1";
+ version = "0.48.0";
src = fetchFromGitHub {
owner = "promptfoo";
repo = "promptfoo";
rev = "${version}";
- hash = "sha256-RnmvL3zcfWNqjnxCHNszGDAweKVT0GQ5GANJWVCRR/w=";
+ hash = "sha256-PFOwCjkkJncutYHTqoM21y4uh6X5LQiTSK+onzLT+uc=";
};
- npmDepsHash = "sha256-OGYAYd1MCOFtdTgcsZcnWgTxtx28889RZhQ6fAe2HuI=";
+ npmDepsHash = "sha256-Popm602xNKYZV4Q6sXFhHu978V8sCf5ujPPgJmlUzvc=";
dontNpmBuild = true;
meta = with lib; {
description = "Test your prompts, models, RAGs. Evaluate and compare LLM outputs, catch regressions, and improve prompt quality.";
+ mainProgram = "promptfoo";
homepage = "https://www.promptfoo.dev/";
changelog = "https://github.com/promptfoo/promptfoo/releases/tag/${version}";
license = licenses.mit;
diff --git a/pkgs/by-name/pr/protoc-gen-js/package.nix b/pkgs/by-name/pr/protoc-gen-js/package.nix
index 21cd426388f8..e9e8207b3cc9 100644
--- a/pkgs/by-name/pr/protoc-gen-js/package.nix
+++ b/pkgs/by-name/pr/protoc-gen-js/package.nix
@@ -28,6 +28,7 @@ buildBazelPackage rec {
meta = with lib; {
description = "Protobuf plugin for generating JavaScript code";
+ mainProgram = "protoc-gen-js";
homepage = "https://github.com/protocolbuffers/protobuf-javascript";
platforms = platforms.linux ++ platforms.darwin;
license = with licenses; [ asl20 bsd3 ];
diff --git a/pkgs/by-name/pr/proton-ge-bin/package.nix b/pkgs/by-name/pr/proton-ge-bin/package.nix
new file mode 100644
index 000000000000..68e147ca4f9c
--- /dev/null
+++ b/pkgs/by-name/pr/proton-ge-bin/package.nix
@@ -0,0 +1,57 @@
+{ lib
+, stdenvNoCC
+, fetchzip
+, writeScript
+}:
+stdenvNoCC.mkDerivation (finalAttrs: {
+ pname = "proton-ge-bin";
+ version = "GE-Proton9-1";
+
+ src = fetchzip {
+ url = "https://github.com/GloriousEggroll/proton-ge-custom/releases/download/${finalAttrs.version}/${finalAttrs.version}.tar.gz";
+ hash = "sha256-odpzRlzW7MJGRcorRNo784Rh97ssViO70/1azHRggf0=";
+ };
+
+ outputs = [ "out" "steamcompattool" ];
+
+ buildCommand = ''
+ runHook preBuild
+
+ # Make it impossible to add to an environment. You should use the appropriate NixOS option.
+ # Also leave some breadcrumbs in the file.
+ echo "${finalAttrs.pname} should not be installed into environments. Please use programs.steam.extraCompatPackages instead." > $out
+
+ ln -s $src $steamcompattool
+
+ runHook postBuild
+ '';
+
+ /*
+ We use the created releases, and not the tags, for the update script as nix-update loads releases.atom
+ that contains both. Sometimes upstream pushes the tags but the Github releases don't get created due to
+ CI errors. Last time this happened was on 8-33, where a tag was created but no releases were created.
+ As of 2024-03-13, there have been no announcements indicating that the CI has been fixed, and thus
+ we avoid nix-update-script and use our own update script instead.
+ See:
+ */
+ passthru.updateScript = writeScript "update-proton-ge" ''
+ #!/usr/bin/env nix-shell
+ #!nix-shell -i bash -p curl jq common-updater-scripts
+ repo="https://api.github.com/repos/GloriousEggroll/proton-ge-custom/releases"
+ version="$(curl -sL "$repo" | jq 'map(select(.prerelease == false)) | .[0].tag_name' --raw-output)"
+ update-source-version proton-ge-bin "$version"
+ '';
+
+ meta = {
+ description = ''
+ Compatibility tool for Steam Play based on Wine and additional components.
+
+ (This is intended for use in the `programs.steam.extraCompatPackages` option only.)
+ '';
+ homepage = "https://github.com/GloriousEggroll/proton-ge-custom";
+ license = lib.licenses.bsd3;
+ maintainers = with lib.maintainers; [ NotAShelf shawn8901 ];
+ platforms = [ "x86_64-linux" ];
+ sourceProvenance = [ lib.sourceTypes.binaryNativeCode ];
+ };
+})
diff --git a/pkgs/by-name/pr/protonmail-bridge-gui/package.nix b/pkgs/by-name/pr/protonmail-bridge-gui/package.nix
new file mode 100644
index 000000000000..2b15e67908d4
--- /dev/null
+++ b/pkgs/by-name/pr/protonmail-bridge-gui/package.nix
@@ -0,0 +1,113 @@
+{ lib
+, stdenv
+, pkg-config
+, libsecret
+, cmake
+, ninja
+, qt6
+, grpc
+, protobuf
+, zlib
+, gtest
+, sentry-native
+, protonmail-bridge
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "protonmail-bridge-gui";
+
+ inherit (protonmail-bridge) version src;
+
+ patches = [
+ # Use `gtest` from Nixpkgs to allow an offline build
+ ./use-nix-googletest.patch
+ ];
+
+ nativeBuildInputs = [
+ pkg-config
+ cmake
+ ninja
+ qt6.qtbase
+ qt6.qtdeclarative
+ qt6.qtwayland
+ qt6.qtsvg
+ qt6.wrapQtAppsHook
+ ];
+
+ buildInputs = [
+ libsecret
+ grpc
+ protobuf
+ zlib
+ gtest
+ sentry-native
+ ];
+
+ sourceRoot = "${finalAttrs.src.name}/internal/frontend/bridge-gui";
+
+ postPatch = ''
+ # Bypass `vcpkg` by deleting lines that `include` BridgeSetup.cmake
+ find . -type f -name "CMakeLists.txt" -exec sed -i "/BridgeSetup\\.cmake/d" {} \;
+
+ # Use the available ICU version
+ sed -i "s/libicu\(i18n\|uc\|data\)\.so\.56/libicu\1.so/g" bridge-gui/DeployLinux.cmake
+
+ # Create a Desktop Entry that uses a `protonmail-bridge-gui` binary without upstream's launcher
+ sed "s/^\(Icon\|Exec\)=.*$/\1=protonmail-bridge-gui/" ../../../dist/proton-bridge.desktop > proton-bridge-gui.desktop
+
+ # Also update `StartupWMClass` to match the GUI binary's `wmclass` (Wayland app id)
+ sed -i "s/^\(StartupWMClass=\)Proton Mail Bridge$/\1ch.proton.bridge-gui/" proton-bridge-gui.desktop
+
+ # Don't build `bridge-gui-tester`
+ sed -i "/add_subdirectory(bridge-gui-tester)/d" CMakeLists.txt
+ '';
+
+ preConfigure = ''
+ cmakeFlagsArray+=(
+ "-DCMAKE_BUILD_TYPE=Release"
+ "-DBRIDGE_APP_FULL_NAME=Proton Mail Bridge"
+ "-DBRIDGE_VENDOR=Proton AG"
+ "-DBRIDGE_REVISION=${finalAttrs.src.rev}"
+ "-DBRIDGE_TAG=${finalAttrs.version}"
+ "-DBRIDGE_BUILD_ENV=Nix"
+ "-DBRIDGE_APP_VERSION=${finalAttrs.version}"
+ )
+ '';
+
+ installPhase = ''
+ runHook preInstall
+
+ # Install the GUI binary
+ install -Dm755 bridge-gui/bridge-gui $out/lib/bridge-gui
+
+ # Symlink the backend binary from the protonmail-bridge (CLI) package
+ ln -s ${protonmail-bridge}/bin/protonmail-bridge $out/lib/bridge
+
+ # Symlink the GUI binary
+ mkdir -p $out/bin
+ ln -s $out/lib/bridge-gui $out/bin/protonmail-bridge-gui
+
+ # Install desktop assets
+ install -Dm644 ../proton-bridge-gui.desktop -t $out/share/applications
+ install -Dm644 ../../../../dist/bridge.svg $out/share/icons/hicolor/scalable/apps/protonmail-bridge-gui.svg
+
+ runHook postInstall
+ '';
+
+ meta = {
+ changelog = "https://github.com/ProtonMail/proton-bridge/blob/${finalAttrs.src.rev}/Changelog.md";
+ description = "Qt-based GUI to use your ProtonMail account with your local e-mail client";
+ downloadPage = "https://github.com/ProtonMail/proton-bridge/releases";
+ homepage = "https://github.com/ProtonMail/proton-bridge";
+ license = lib.licenses.gpl3Plus;
+ longDescription = ''
+ Provides a GUI application that runs in the background and seamlessly encrypts
+ and decrypts your mail as it enters and leaves your computer.
+
+ To work, use secret-service freedesktop.org API (e.g. Gnome keyring) or pass.
+ '';
+ mainProgram = "protonmail-bridge-gui";
+ maintainers = with lib.maintainers; [ daniel-fahey ];
+ platforms = lib.platforms.linux;
+ };
+})
diff --git a/pkgs/by-name/pr/protonmail-bridge-gui/use-nix-googletest.patch b/pkgs/by-name/pr/protonmail-bridge-gui/use-nix-googletest.patch
new file mode 100644
index 000000000000..fc1ad2b3ab16
--- /dev/null
+++ b/pkgs/by-name/pr/protonmail-bridge-gui/use-nix-googletest.patch
@@ -0,0 +1,23 @@
+diff --git a/bridgepp/CMakeLists.txt b/bridgepp/CMakeLists.txt
+index f4a0a553..02d631dc 100644
+--- a/bridgepp/CMakeLists.txt
++++ b/bridgepp/CMakeLists.txt
+@@ -172,16 +172,8 @@ if (CMAKE_VERSION VERSION_GREATER_EQUAL "3.24.0")
+ cmake_policy(SET CMP0135 NEW) # avoid warning DOWNLOAD_EXTRACT_TIMESTAMP
+ endif ()
+
+-include(FetchContent)
+-FetchContent_Declare(
+- googletest
+- URL https://github.com/google/googletest/archive/b796f7d44681514f58a683a3a71ff17c94edb0c1.zip
+-)
+-
+-# For Windows: Prevent overriding the parent project's compiler/linker settings
+-set(gtest_force_shared_crt ON CACHE BOOL "" FORCE)
+-
+-FetchContent_MakeAvailable(googletest)
++# Use find_package to use the gtest package provided by Nix
++find_package(GTest REQUIRED)
+
+ enable_testing()
+
diff --git a/pkgs/by-name/pr/prowler/package.nix b/pkgs/by-name/pr/prowler/package.nix
index 466732634cd6..702d78b78a79 100644
--- a/pkgs/by-name/pr/prowler/package.nix
+++ b/pkgs/by-name/pr/prowler/package.nix
@@ -21,6 +21,7 @@ python3.pkgs.buildPythonApplication rec {
"botocore"
"google-api-python-client"
"slack-sdk"
+ "pydantic"
];
nativeBuildInputs = with python3.pkgs; [
diff --git a/pkgs/by-name/pr/prox/package.nix b/pkgs/by-name/pr/prox/package.nix
index 7c49551423f7..6f432de3ec72 100644
--- a/pkgs/by-name/pr/prox/package.nix
+++ b/pkgs/by-name/pr/prox/package.nix
@@ -25,6 +25,7 @@ buildGoModule rec {
meta = with lib; {
homepage = "https://github.com/fgrosse/prox";
description = "A process runner for Procfile-based applications ";
+ mainProgram = "prox";
license = licenses.bsd2;
maintainers = with maintainers; [ lucperkins ];
};
diff --git a/pkgs/applications/audio/ptcollab/default.nix b/pkgs/by-name/pt/ptcollab/package.nix
similarity index 73%
rename from pkgs/applications/audio/ptcollab/default.nix
rename to pkgs/by-name/pt/ptcollab/package.nix
index ca98012c3ff5..d2db8def2ab8 100644
--- a/pkgs/applications/audio/ptcollab/default.nix
+++ b/pkgs/by-name/pt/ptcollab/package.nix
@@ -1,40 +1,39 @@
-{ mkDerivation
+{ stdenv
, lib
-, stdenv
, fetchFromGitHub
, nix-update-script
+, libsForQt5
, libvorbis
, pkg-config
-, qmake
-, qtbase
-, qttools
-, qtmultimedia
, rtmidi
}:
-mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "ptcollab";
- version = "0.6.4.7";
+ version = "0.6.4.8";
src = fetchFromGitHub {
owner = "yuxshao";
repo = "ptcollab";
- rev = "v${version}";
- hash = "sha256-KYNov/HbKM2d8VVO8iyWA3XWFDE9iWeKkRCNC1xlPNw=";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-9u2K79QJRfYKL66e1lsRrQMEqmKTWbK+ucal3/u4rP4=";
};
nativeBuildInputs = [
pkg-config
+ ] ++ (with libsForQt5; [
qmake
qttools
- ];
+ wrapQtAppsHook
+ ]);
buildInputs = [
libvorbis
+ rtmidi
+ ] ++ (with libsForQt5; [
qtbase
qtmultimedia
- rtmidi
- ];
+ ]);
postInstall = lib.optionalString stdenv.hostPlatform.isDarwin ''
# Move appbundles to Applications before wrapping happens
@@ -53,9 +52,11 @@ mkDerivation rec {
meta = with lib; {
description = "Experimental pxtone editor where you can collaborate with friends";
+ mainProgram = "ptcollab";
homepage = "https://yuxshao.github.io/ptcollab/";
+ changelog = "https://github.com/yuxshao/ptcollab/releases/tag/v${finalAttrs.version}";
license = licenses.mit;
maintainers = with maintainers; [ OPNA2608 ];
platforms = platforms.all;
};
-}
+})
diff --git a/pkgs/by-name/pt/ptouch-driver/package.nix b/pkgs/by-name/pt/ptouch-driver/package.nix
new file mode 100644
index 000000000000..851fb0862aa3
--- /dev/null
+++ b/pkgs/by-name/pt/ptouch-driver/package.nix
@@ -0,0 +1,82 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, cups
+, cups-filters
+, foomatic-db-engine
+, fetchpatch
+, ghostscript
+, libpng
+, libxml2
+, autoreconfHook
+, perl
+, patchPpdFilesHook
+}:
+
+stdenv.mkDerivation rec {
+ pname = "ptouch-driver";
+ version = "1.7";
+
+ src = fetchFromGitHub {
+ owner = "philpem";
+ repo = "printer-driver-ptouch";
+ rev = "v${version}";
+ hash = "sha256-3ZotSHn7lERp53hAzx47Ct/k565rEoensCcltwX/Xls=";
+ };
+
+ patches = [
+ # Fixes some invalid XML file that otherwise causes a build failure
+ (fetchpatch {
+ name = "fix-brother-ql-600.patch";
+ url = "https://github.com/philpem/printer-driver-ptouch/commit/b3c53b3bc4dd98ed172f2c79405c7c09b3b3836a.patch";
+ hash = "sha256-y5bHKFeRXx8Wdl1++l4QNGgiY41LY5uzrRdOlaZyF9I=";
+ })
+ ];
+
+ buildInputs = [ cups cups-filters ghostscript libpng libxml2 ];
+ nativeBuildInputs = [
+ autoreconfHook
+ foomatic-db-engine
+ patchPpdFilesHook
+ (perl.withPackages (pp: with pp; [ XMLLibXML ]))
+ ];
+
+ postPatch = ''
+ patchShebangs ./foomaticalize
+ '';
+
+ postInstall = ''
+ export FOOMATICDB="${placeholder "out"}/share/foomatic"
+ mkdir -p "${placeholder "out"}/share/cups/model"
+ foomatic-compiledb -j "$NIX_BUILD_CORES" -d "${placeholder "out"}/share/cups/model/ptouch-driver"
+ '';
+
+ # compress ppd files
+ postFixup = ''
+ echo 'compressing ppd files'
+ find -H "${placeholder "out"}/share/cups/model/ptouch-driver" -type f -iname '*.ppd' -print0 \
+ | xargs -0r -n 4 -P "$NIX_BUILD_CORES" gzip -9n
+ '';
+
+ # Comments indicate the respective
+ # package the command is contained in.
+ ppdFileCommands = [
+ "rastertoptch" # ptouch-driver
+ "gs" # ghostscript
+ "foomatic-rip" # cups-filters
+ ];
+
+ meta = with lib; {
+ changelog = "https://github.com/philpem/printer-driver-ptouch/releases/tag/v${version}";
+ description = "Printer Driver for Brother P-touch and QL Label Printers";
+ downloadPage = "https://github.com/philpem/printer-driver-ptouch";
+ homepage = "https://github.com/philpem/printer-driver-ptouch";
+ license = licenses.gpl2Plus;
+ maintainers = with maintainers; [ sascha8a ];
+ platforms = platforms.linux;
+ longDescription = ''
+ This is ptouch-driver, a printer driver based on CUPS and foomatic,
+ for the Brother P-touch and QL label printer families.
+ '';
+ };
+}
diff --git a/pkgs/by-name/pt/ptunnel/package.nix b/pkgs/by-name/pt/ptunnel/package.nix
new file mode 100644
index 000000000000..fedc0e3a5fe0
--- /dev/null
+++ b/pkgs/by-name/pt/ptunnel/package.nix
@@ -0,0 +1,52 @@
+{ lib
+, stdenv
+, fetchurl
+, fetchpatch
+, libpcap
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "ptunnel";
+ version = "0.72";
+
+ src = fetchurl {
+ url = "https://www.cs.uit.no/~daniels/PingTunnel/PingTunnel-${finalAttrs.version}.tar.gz";
+ hash = "sha256-sxj3qn2IkYtiadBUp+JvBPl9iHD0e9Sadsssmcc0B6Q=";
+ };
+
+ patches = [
+ # fix hyphen-used-as-minus-sign lintian warning in manpage.
+ (fetchpatch {
+ url = "https://salsa.debian.org/alteholz/ptunnel/-/raw/7475a32bc401056aeeb1b99e56b9ae5f1ee9c960/debian/patches/fix_minus_chars_in_man.patch";
+ hash = "sha256-DcMsCZczO+SxOiQuFbdSJn5UH5E4TVf3+vupJ4OurVg=";
+ })
+ # fix typo in README file.
+ (fetchpatch {
+ url = "https://salsa.debian.org/alteholz/ptunnel/-/raw/7475a32bc401056aeeb1b99e56b9ae5f1ee9c960/debian/patches/fix_typo.diff";
+ hash = "sha256-9cdOCfr2r9FnTmxJwvoClW5uf27j05zWQLykahKMJQg=";
+ })
+ # reverse parameters to memset.
+ (fetchpatch {
+ url = "https://salsa.debian.org/alteholz/ptunnel/-/raw/1dbf9b69507e19c86ac539fd8e3c60fc274717b3/debian/patches/memset-fix.patch";
+ hash = "sha256-dYbuMM0/ZUgi3OxukBIp5rKhlwAjGu7cl/3w3sWr/xU=";
+ })
+ ];
+
+ makeFlags = [
+ "prefix=$(out)"
+ "CC=cc"
+ ];
+
+ buildInputs = [
+ libpcap
+ ];
+
+ meta = with lib; {
+ description = "A tool for reliably tunneling TCP connections over ICMP echo request and reply packets";
+ homepage = "https://www.cs.uit.no/~daniels/PingTunnel";
+ license = licenses.bsd3;
+ mainProgram = "ptunnel";
+ maintainers = with maintainers; [ d3vil0p3r ];
+ platforms = platforms.unix;
+ };
+})
diff --git a/pkgs/by-name/pu/pupdate/add-runtime-identifier.patch b/pkgs/by-name/pu/pupdate/add-runtime-identifier.patch
index c70aaad58966..e42677b62572 100644
--- a/pkgs/by-name/pu/pupdate/add-runtime-identifier.patch
+++ b/pkgs/by-name/pu/pupdate/add-runtime-identifier.patch
@@ -1,6 +1,6 @@
-From f56083d95304752c45cc569fe42c3b0d7a2430bd Mon Sep 17 00:00:00 2001
+From 84d8c110882fe23bdd05d9007225b71d4395bbaa Mon Sep 17 00:00:00 2001
From: Philipp Rintz
-Date: Wed, 24 Jan 2024 22:11:50 +0100
+Date: Sun, 3 Mar 2024 18:05:40 +0100
Subject: [PATCH] uncommited
---
@@ -8,17 +8,16 @@ Subject: [PATCH] uncommited
1 file changed, 1 insertion(+)
diff --git a/pupdate.csproj b/pupdate.csproj
-index a6f59a8..0563137 100644
+index 04fe5e0..962682f 100644
--- a/pupdate.csproj
+++ b/pupdate.csproj
@@ -12,6 +12,7 @@
Matt Pannella
Pupdate
- https://github.com/mattpannella/pocket-updater-utility
+ https://github.com/mattpannella/pupdate
+ @RuntimeIdentifier@
+ Pannella
-
--
-2.40.1
-
+2.42.0
diff --git a/pkgs/by-name/pu/pupdate/deps.nix b/pkgs/by-name/pu/pupdate/deps.nix
index eea7ea49accb..ddbc8888d1b5 100644
--- a/pkgs/by-name/pu/pupdate/deps.nix
+++ b/pkgs/by-name/pu/pupdate/deps.nix
@@ -7,4 +7,5 @@
(fetchNuGet { pname = "Crc32.NET"; version = "1.2.0"; sha256 = "0qaj3192k1vfji87zf50rhydn5mrzyzybrs2k4v7ap29k8i0vi5h"; })
(fetchNuGet { pname = "Microsoft.NETCore.Platforms"; version = "1.1.0"; sha256 = "08vh1r12g6ykjygq5d3vq09zylgb84l63k49jc4v8faw9g93iqqm"; })
(fetchNuGet { pname = "NETStandard.Library"; version = "2.0.0"; sha256 = "1bc4ba8ahgk15m8k4nd7x406nhi0kwqzbgjk2dmw52ss553xz7iy"; })
+ (fetchNuGet { pname = "Newtonsoft.Json"; version = "13.0.3"; sha256 = "0xrwysmrn4midrjal8g2hr1bbg38iyisl0svamb11arqws4w2bw7"; })
]
diff --git a/pkgs/by-name/pu/pupdate/package.nix b/pkgs/by-name/pu/pupdate/package.nix
index 54a979fa6bb1..b9671c7bc930 100644
--- a/pkgs/by-name/pu/pupdate/package.nix
+++ b/pkgs/by-name/pu/pupdate/package.nix
@@ -12,13 +12,13 @@
buildDotnetModule rec {
pname = "pupdate";
- version = "3.2.1";
+ version = "3.9.0";
src = fetchFromGitHub {
owner = "mattpannella";
repo = "${pname}";
rev = "${version}";
- hash = "sha256-jAZozcCHgKFTPCRktajrI77iH/GBbzhWa+QKZz1w62Y=";
+ hash = "sha256-T37zIYtfnoNJ/aHMfqKIx/zj6mqmY/3sN3LmxJglxHM=";
};
buildInputs = [
@@ -43,11 +43,11 @@ buildDotnetModule rec {
executables = [ "pupdate" ];
dotnetFlags = [
- "-p:PackageRuntime=${dotnetCorePackages.systemToDotnetRid stdenv.hostPlatform.system}"
+ "-p:PackageRuntime=${dotnetCorePackages.systemToDotnetRid stdenv.hostPlatform.system} -p:TrimMode=partial"
];
- dotnet-sdk = dotnetCorePackages.sdk_6_0;
- dotnet-runtime = dotnetCorePackages.runtime_6_0;
+ dotnet-sdk = dotnetCorePackages.sdk_7_0;
+ dotnet-runtime = dotnetCorePackages.runtime_7_0;
passthru = {
updateScript = nix-update-script { };
diff --git a/pkgs/by-name/pu/purescm/.gitignore b/pkgs/by-name/pu/purescm/.gitignore
new file mode 100644
index 000000000000..c2658d7d1b31
--- /dev/null
+++ b/pkgs/by-name/pu/purescm/.gitignore
@@ -0,0 +1 @@
+node_modules/
diff --git a/pkgs/by-name/pu/purescm/README.rst b/pkgs/by-name/pu/purescm/README.rst
new file mode 100644
index 000000000000..635d4b46d811
--- /dev/null
+++ b/pkgs/by-name/pu/purescm/README.rst
@@ -0,0 +1,19 @@
+========================================================================
+purescm
+========================================================================
+
+
+Suggested additional ``buildInputs``
+====================================
+
+``chez-racket``
+ Upstream is using the Racket fork of Chez Scheme to execute the
+ generated Scheme output.
+
+
+To update this package
+======================
+
+#. Bump the ``./package.json`` version pin
+#. Run ``nix-shell -p nodejs --command "npm i --package-lock-only"``
+#. Update ``npmDeps.hash`` in the ``package.nix``
diff --git a/pkgs/by-name/pu/purescm/package-lock.json b/pkgs/by-name/pu/purescm/package-lock.json
new file mode 100644
index 000000000000..979e42ae62fd
--- /dev/null
+++ b/pkgs/by-name/pu/purescm/package-lock.json
@@ -0,0 +1,20 @@
+{
+ "name": "purescm",
+ "lockfileVersion": 3,
+ "requires": true,
+ "packages": {
+ "": {
+ "dependencies": {
+ "purescm": "1.8.2"
+ }
+ },
+ "node_modules/purescm": {
+ "version": "1.8.2",
+ "resolved": "https://registry.npmjs.org/purescm/-/purescm-1.8.2.tgz",
+ "integrity": "sha512-r+iaiRagOO2rHxGIke391l+pMlpE85vOVpQA32pdftJTdKeUVGIYy0UAs1nOkQSNxdHMXsNIkrskAwOSiyX3PA==",
+ "bin": {
+ "purescm": "index.js"
+ }
+ }
+ }
+}
diff --git a/pkgs/by-name/pu/purescm/package.json b/pkgs/by-name/pu/purescm/package.json
new file mode 100644
index 000000000000..96b51c2d5e1d
--- /dev/null
+++ b/pkgs/by-name/pu/purescm/package.json
@@ -0,0 +1,5 @@
+{
+ "dependencies": {
+ "purescm": "1.8.2"
+ }
+}
diff --git a/pkgs/by-name/pu/purescm/package.nix b/pkgs/by-name/pu/purescm/package.nix
new file mode 100644
index 000000000000..c03f1339d18a
--- /dev/null
+++ b/pkgs/by-name/pu/purescm/package.nix
@@ -0,0 +1,45 @@
+{ lib
+, buildNpmPackage
+, fetchNpmDeps
+, testers
+}:
+
+let
+ inherit (lib) fileset;
+
+ packageLock = builtins.fromJSON (builtins.readFile ./package-lock.json);
+
+ pname = "purescm";
+ version = packageLock.packages."node_modules/${pname}".version;
+
+ package = buildNpmPackage {
+ inherit pname version;
+
+ src = ./.;
+ dontNpmBuild = true;
+
+ npmDeps = fetchNpmDeps {
+ src = ./.;
+ hash = "sha256-ljeFcLvIET77Q0OR6O5Ok1fGnaxaKaoywpcy2aHq/6o=";
+ };
+
+ installPhase = ''
+ mkdir -p $out/share/${pname}
+ cp -r node_modules/ $out/share/${pname}
+ ln -s $out/share/${pname}/node_modules/.bin $out/bin
+ '';
+
+ passthru.tests = {
+ version = testers.testVersion { inherit package; };
+ };
+
+ meta = {
+ description = "Chez Scheme back-end for PureScript";
+ homepage = "https://github.com/purescm/purescm";
+ license = lib.licenses.asl20;
+ maintainers = with lib.maintainers; [ toastal ];
+ mainProgram = "purescm";
+ };
+ };
+in
+package
diff --git a/pkgs/by-name/py/pynitrokey/package.nix b/pkgs/by-name/py/pynitrokey/package.nix
new file mode 100644
index 000000000000..beafb787b63b
--- /dev/null
+++ b/pkgs/by-name/py/pynitrokey/package.nix
@@ -0,0 +1,28 @@
+{ python3
+, fetchPypi
+, rustPlatform
+}:
+
+let
+ python = python3.override {
+ packageOverrides = self: super: {
+ # https://github.com/nxp-mcuxpresso/spsdk/issues/64
+ cryptography = super.cryptography.overridePythonAttrs (old: rec {
+ version = "41.0.7";
+ src = fetchPypi {
+ inherit (old) pname;
+ inherit version;
+ hash = "sha256-E/k86b6oAWwlOzSvxr1qdZk+XEBnLtVAWpyDLw1KALw=";
+ };
+ cargoDeps = rustPlatform.fetchCargoTarball {
+ inherit src;
+ sourceRoot = "${old.pname}-${version}/${old.cargoRoot}";
+ name = "${old.pname}-${version}";
+ hash = "sha256-VeZhKisCPDRvmSjGNwCgJJeVj65BZ0Ge+yvXbZw86Rw=";
+ };
+ patches = [ ];
+ doCheck = false; # would require overriding cryptography-vectors
+ });
+ };
+ };
+in with python.pkgs; toPythonApplication pynitrokey
diff --git a/pkgs/by-name/py/pyp/package.nix b/pkgs/by-name/py/pyp/package.nix
index 1ba4629220db..08f3fdc63d49 100644
--- a/pkgs/by-name/py/pyp/package.nix
+++ b/pkgs/by-name/py/pyp/package.nix
@@ -1,51 +1,60 @@
{ lib
-, fetchFromGitHub
-, python3
, bc
+, fetchFromGitHub
, jq
+, python3
}:
let
- version = "1.1.0";
-in python3.pkgs.buildPythonApplication {
- pname = "pyp";
- inherit version;
- format = "pyproject";
+ pythonPackages = python3.pkgs;
+ finalAttrs = {
+ pname = "pyp";
+ version = "1.2.0";
- src = fetchFromGitHub {
- owner = "hauntsaninja";
- repo = "pyp";
- rev = "v${version}";
- hash = "sha256-A1Ip41kxH17BakHEWEuymfa24eBEl5FIHAWL+iZFM4I=";
+ src = fetchFromGitHub {
+ owner = "hauntsaninja";
+ repo = "pyp";
+ rev = "refs/tags/v${finalAttrs.version}";
+ hash = "sha256-hnEgqWOIVj2ugOhd2aS9IulfkVnrlkhwOtrgH4qQqO8=";
+ };
+
+ pyproject = true;
+
+ build-system = with pythonPackages; [
+ flit-core
+ ];
+
+ nativeCheckInputs = (with pythonPackages; [
+ pytestCheckHook
+ ]) ++ [
+ bc
+ jq
+ ];
+
+ pythonImportsCheck = [
+ "pyp"
+ ];
+
+ # without this, the tests fail because they are unable to find the pyp tool
+ # itself...
+ preCheck = ''
+ _OLD_PATH_=$PATH
+ PATH=$out/bin:$PATH
+ '';
+
+ # And a cleanup!
+ postCheck = ''
+ PATH=$_OLD_PATH_
+ '';
+
+ meta = {
+ homepage = "https://github.com/hauntsaninja/pyp";
+ description = "Easily run Python at the shell";
+ changelog = "https://github.com/hauntsaninja/pyp/blob/${finalAttrs.version}/CHANGELOG.md";
+ license = with lib.licenses; [ mit ];
+ mainProgram = "pyp";
+ maintainers = with lib.maintainers; [ rmcgibbo AndersonTorres ];
+ };
};
-
- nativeBuildInputs = [
- python3.pkgs.flit-core
- ];
-
- nativeCheckInputs = [
- python3.pkgs.pytestCheckHook
- bc
- jq
- ];
-
- # without this, the tests fail because they are unable to find the pyp tool
- # itself...
- preCheck = ''
- _OLD_PATH_=$PATH
- PATH=$out/bin:$PATH
- '';
-
- # And a cleanup
- postCheck = ''
- PATH=$_OLD_PATH_
- '';
-
- meta = {
- homepage = "https://github.com/hauntsaninja/pyp";
- description = "Easily run Python at the shell";
- changelog = "https://github.com/hauntsaninja/pyp/blob/${version}/CHANGELOG.md";
- license = lib.licenses.mit;
- maintainers = with lib.maintainers; [ AndersonTorres ];
- };
-}
+in
+pythonPackages.buildPythonPackage finalAttrs
diff --git a/pkgs/by-name/py/pyprland/package.nix b/pkgs/by-name/py/pyprland/package.nix
index 32def482f369..c7c8c9cf33b7 100644
--- a/pkgs/by-name/py/pyprland/package.nix
+++ b/pkgs/by-name/py/pyprland/package.nix
@@ -2,7 +2,7 @@
python3Packages.buildPythonApplication rec {
pname = "pyprland";
- version = "1.10.2";
+ version = "2.0.9";
format = "pyproject";
disabled = python3Packages.pythonOlder "3.10";
@@ -11,11 +11,13 @@ python3Packages.buildPythonApplication rec {
owner = "hyprland-community";
repo = "pyprland";
rev = "refs/tags/${version}";
- hash = "sha256-ZbxrfxgURs+XHegsdZ7Z42o7flQ8Jt2rfR2RwDmGpls=";
+ hash = "sha256-dfE4KQguLp9DEWOuCtNDw8TA3sK9vEqU4VqAVlVaUvw=";
};
nativeBuildInputs = with python3Packages; [ poetry-core ];
+ propagatedBuildInputs = with python3Packages; [ aiofiles ];
+
postInstall = ''
# file has shebang but cant be run due to a relative import, has proper entrypoint in /bin
chmod -x $out/${python3Packages.python.sitePackages}/pyprland/command.py
@@ -26,12 +28,15 @@ python3Packages.buildPythonApplication rec {
pythonImportsCheck = [
"pyprland"
+ "pyprland.adapters"
+ "pyprland.adapters.menus"
"pyprland.command"
"pyprland.common"
"pyprland.ipc"
"pyprland.plugins"
"pyprland.plugins.experimental"
"pyprland.plugins.expose"
+ "pyprland.plugins.fetch_client_menu"
"pyprland.plugins.interface"
"pyprland.plugins.layout_center"
"pyprland.plugins.lost_windows"
@@ -41,6 +46,8 @@ python3Packages.buildPythonApplication rec {
"pyprland.plugins.pyprland"
"pyprland.plugins.scratchpads"
"pyprland.plugins.shift_monitors"
+ "pyprland.plugins.shortcuts_menu"
+ "pyprland.plugins.system_notifier"
"pyprland.plugins.toggle_dpms"
"pyprland.plugins.toggle_special"
"pyprland.plugins.workspaces_follow_focus"
diff --git a/pkgs/development/python-modules/clarabel/Cargo.lock b/pkgs/by-name/py/pyxel/Cargo.lock
similarity index 54%
rename from pkgs/development/python-modules/clarabel/Cargo.lock
rename to pkgs/by-name/py/pyxel/Cargo.lock
index ffc1908e7b75..48e718510249 100644
--- a/pkgs/development/python-modules/clarabel/Cargo.lock
+++ b/pkgs/by-name/py/pyxel/Cargo.lock
@@ -2,21 +2,6 @@
# It is not intended for manual editing.
version = 3
-[[package]]
-name = "accelerate-src"
-version = "0.3.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "415ed64958754dbe991900f3940677e6a7eefb4d7367afd70d642677b0c7d19d"
-
-[[package]]
-name = "addr2line"
-version = "0.21.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8a30b2e23b9e17a9f90641c7ab1549cd9b44f296d3ccbf309d2863cfe398a0cb"
-dependencies = [
- "gimli",
-]
-
[[package]]
name = "adler"
version = "1.0.2"
@@ -24,19 +9,34 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "f26201604c87b1e01bd3d98f8d5d9a8fcbb815e8cedb41ffccbeb4bf593a35fe"
[[package]]
-name = "amd"
-version = "0.2.2"
+name = "adler32"
+version = "1.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a679e001575697a3bd195813feb57a4718ecc08dc194944015cbc5f6213c2b96"
+checksum = "aae1277d39aeec15cb388266ecc24b11c80469deae6067e17a1a7aa9e5c1f234"
+
+[[package]]
+name = "aho-corasick"
+version = "1.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b2969dcb958b36655471fc61f7e416fa76033bdd4bfed0678d8fee1e2d07a1f0"
dependencies = [
- "num-traits",
+ "memchr",
]
[[package]]
-name = "anyhow"
-version = "1.0.79"
+name = "android-tzdata"
+version = "0.1.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "080e9890a082662b09c1ad45f567faeeb47f22b5fb23895fbe1e651e718e25ca"
+checksum = "e999941b234f3131b00bc13c22d06e8c5ff726d1b6318ac7eb276997bbb4fef0"
+
+[[package]]
+name = "android_system_properties"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "819e7219dbd41043ac279b19830f2efc897156490d7fd6ea916720117ee66311"
+dependencies = [
+ "libc",
+]
[[package]]
name = "autocfg"
@@ -45,25 +45,32 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "d468802bab17cbc0cc575e9b053f41e72aa36bfa6b7f55e3529ffa43161b97fa"
[[package]]
-name = "backtrace"
-version = "0.3.69"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2089b7e3f35b9dd2d0ed921ead4f6d318c27680d4a5bd167b3ee120edb105837"
+name = "bindgen"
+version = "0.69.1"
+source = "git+https://github.com/rust-lang/rust-bindgen.git?rev=d77e53ed8398743bf68831d25063719fa0f4f136#d77e53ed8398743bf68831d25063719fa0f4f136"
dependencies = [
- "addr2line",
- "cc",
- "cfg-if 1.0.0",
- "libc",
- "miniz_oxide",
- "object",
- "rustc-demangle",
+ "bitflags 2.4.2",
+ "cexpr",
+ "clang-sys",
+ "lazy_static",
+ "lazycell",
+ "log",
+ "peeking_take_while",
+ "prettyplease",
+ "proc-macro2",
+ "quote",
+ "regex",
+ "rustc-hash",
+ "shlex",
+ "syn",
+ "which",
]
[[package]]
-name = "base64"
-version = "0.21.7"
+name = "bit_field"
+version = "0.10.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9d297deb1925b89f2ccc13d7635fa0714f12c87adce1c75356b39ca9b7178567"
+checksum = "dc827186963e592360843fb5ba4b973e145841266c1357f7180c43526f2e5b61"
[[package]]
name = "bitflags"
@@ -73,42 +80,27 @@ checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a"
[[package]]
name = "bitflags"
-version = "2.4.1"
+version = "2.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "327762f6e5a765692301e5bb513e0d9fef63be86bbc14528052b1cd3e6f03e07"
+checksum = "ed570934406eb16438a4e976b1b4500774099c13b8cb96eec99f620f05090ddf"
[[package]]
-name = "blas"
-version = "0.22.0"
+name = "bumpalo"
+version = "3.15.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ae980f75c3215bfe8203c349b28149b0f4130a262e072913ccb55f877cd239dc"
-dependencies = [
- "blas-sys",
- "libc",
- "num-complex",
-]
+checksum = "d32a994c2b3ca201d9b263612a374263f05e7adde37c4707f693dcd375076d1f"
[[package]]
-name = "blas-src"
-version = "0.9.0"
+name = "bytemuck"
+version = "1.14.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "aa443ee19b4cde6cdbd49043eb8964f9dd367b6d98d67f04395958ebfa28f39d"
-dependencies = [
- "accelerate-src",
- "intel-mkl-src",
- "netlib-src",
- "openblas-src",
- "r-src",
-]
+checksum = "a2ef034f05691a48569bd920a96c81b9d91bbad1ab5ac7c4616c1f6ef36cb79f"
[[package]]
-name = "blas-sys"
-version = "0.7.1"
+name = "byteorder"
+version = "1.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "13b1b279ceb25d7c4faaea95a5f7addbe7d8c34f9462044bd8e630cebcfc2440"
-dependencies = [
- "libc",
-]
+checksum = "1fd0f2584146f6f2ef48085050886acf353beff7305ebd1ae69500e27c67f64b"
[[package]]
name = "cc"
@@ -116,15 +108,17 @@ version = "1.0.83"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "f1174fb0b6ec23863f8b971027804a42614e347eafb0a95bf0b12cdae21fc4d0"
dependencies = [
- "jobserver",
"libc",
]
[[package]]
-name = "cfg-if"
-version = "0.1.10"
+name = "cexpr"
+version = "0.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4785bdd1c96b2a846b2bd7cc02e86b6b3dbf14e7e53446c4f54c92a361040822"
+checksum = "6fac387a98bb7c37292057cffc56d62ecb629900026402633ae9160df93a8766"
+dependencies = [
+ "nom",
+]
[[package]]
name = "cfg-if"
@@ -133,26 +127,28 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd"
[[package]]
-name = "clarabel"
-version = "0.6.0"
+name = "chrono"
+version = "0.4.34"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5bc015644b92d5890fab7489e49d21f879d5c990186827d42ec511919404f38b"
dependencies = [
- "amd",
- "blas",
- "blas-src",
- "cfg-if 1.0.0",
- "derive_builder",
- "enum_dispatch",
- "itertools 0.11.0",
- "lapack",
- "lapack-src",
- "lazy_static",
- "libc",
- "num-derive",
+ "android-tzdata",
+ "iana-time-zone",
+ "js-sys",
"num-traits",
- "pyo3",
- "serde",
- "serde_json",
- "thiserror",
+ "wasm-bindgen",
+ "windows-targets 0.52.0",
+]
+
+[[package]]
+name = "clang-sys"
+version = "1.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "67523a3b4be3ce1989d607a828d036249522dd9c1c8de7f4dd2dae43a37369d1"
+dependencies = [
+ "glob",
+ "libc",
+ "libloading",
]
[[package]]
@@ -165,14 +161,10 @@ dependencies = [
]
[[package]]
-name = "core-foundation"
-version = "0.9.4"
+name = "color_quant"
+version = "1.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "91e195e091a93c46f7102ec7818a2aa394e1e1771c3ab4825963fa03e45afb8f"
-dependencies = [
- "core-foundation-sys",
- "libc",
-]
+checksum = "3d7b894f5411737b7867f4827955924d7c254fc9f4d91a6aad6b097804b1018b"
[[package]]
name = "core-foundation-sys"
@@ -182,133 +174,69 @@ checksum = "06ea2b9bc92be3c2baa9334a323ebca2d6f074ff852cd1d7b11064035cd3868f"
[[package]]
name = "crc32fast"
-version = "1.3.2"
+version = "1.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b540bd8bc810d3885c6ea91e2018302f68baba2129ab3e88f32389ee9370880d"
+checksum = "b3855a8a784b474f333699ef2bbca9db2c4a1f6d9088a90a2d25b1eb53111eaa"
dependencies = [
- "cfg-if 1.0.0",
+ "cfg-if",
]
[[package]]
-name = "curl"
-version = "0.4.44"
+name = "crossbeam-deque"
+version = "0.8.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "509bd11746c7ac09ebd19f0b17782eae80aadee26237658a6b4808afb5c11a22"
+checksum = "613f8cc01fe9cf1a3eb3d7f488fd2fa8388403e97039e2f73692932e291a770d"
dependencies = [
- "curl-sys",
- "libc",
- "openssl-probe",
- "openssl-sys",
- "schannel",
- "socket2",
- "winapi",
+ "crossbeam-epoch",
+ "crossbeam-utils",
]
[[package]]
-name = "curl-sys"
-version = "0.4.70+curl-8.5.0"
+name = "crossbeam-epoch"
+version = "0.9.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3c0333d8849afe78a4c8102a429a446bfdd055832af071945520e835ae2d841e"
+checksum = "5b82ac4a3c2ca9c3460964f020e1402edd5753411d7737aa39c3714ad1b5420e"
dependencies = [
- "cc",
- "libc",
- "libz-sys",
- "openssl-sys",
- "pkg-config",
- "vcpkg",
- "windows-sys 0.48.0",
+ "crossbeam-utils",
]
[[package]]
-name = "darling"
-version = "0.14.4"
+name = "crossbeam-utils"
+version = "0.8.19"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7b750cb3417fd1b327431a470f388520309479ab0bf5e323505daf0290cd3850"
+checksum = "248e3bacc7dc6baa3b21e405ee045c3047101a49145e7e9eca583ab4c2ca5345"
+
+[[package]]
+name = "crunchy"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7a81dae078cea95a014a339291cec439d2f232ebe854a9d672b796c6afafa9b7"
+
+[[package]]
+name = "deflate"
+version = "0.8.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "73770f8e1fe7d64df17ca66ad28994a0a623ea497fa69486e14984e715c5d174"
dependencies = [
- "darling_core",
- "darling_macro",
+ "adler32",
+ "byteorder",
]
[[package]]
-name = "darling_core"
-version = "0.14.4"
+name = "dirs-next"
+version = "1.0.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "109c1ca6e6b7f82cc233a97004ea8ed7ca123a9af07a8230878fcfda9b158bf0"
+checksum = "cf36e65a80337bea855cd4ef9b8401ffce06a7baedf2e85ec467b1ac3f6e82b6"
dependencies = [
- "fnv",
- "ident_case",
- "proc-macro2 1.0.76",
- "quote 1.0.35",
- "strsim",
- "syn 1.0.109",
+ "cfg-if",
+ "dirs-sys-next",
]
[[package]]
-name = "darling_macro"
-version = "0.14.4"
+name = "dirs-sys-next"
+version = "0.1.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a4aab4dbc9f7611d8b55048a3a16d2d010c2c8334e46304b40ac1cc14bf3b48e"
-dependencies = [
- "darling_core",
- "quote 1.0.35",
- "syn 1.0.109",
-]
-
-[[package]]
-name = "derive_builder"
-version = "0.11.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d07adf7be193b71cc36b193d0f5fe60b918a3a9db4dad0449f57bcfd519704a3"
-dependencies = [
- "derive_builder_macro",
-]
-
-[[package]]
-name = "derive_builder_core"
-version = "0.11.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1f91d4cfa921f1c05904dc3c57b4a32c38aed3340cce209f3a6fd1478babafc4"
-dependencies = [
- "darling",
- "proc-macro2 1.0.76",
- "quote 1.0.35",
- "syn 1.0.109",
-]
-
-[[package]]
-name = "derive_builder_macro"
-version = "0.11.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8f0314b72bed045f3a68671b3c86328386762c93f82d98c65c3cb5e5f573dd68"
-dependencies = [
- "derive_builder_core",
- "syn 1.0.109",
-]
-
-[[package]]
-name = "dirs"
-version = "2.0.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "13aea89a5c93364a98e9b37b2fa237effbb694d5cfe01c5b70941f7eb087d5e3"
-dependencies = [
- "cfg-if 0.1.10",
- "dirs-sys",
-]
-
-[[package]]
-name = "dirs"
-version = "3.0.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "30baa043103c9d0c2a57cf537cc2f35623889dc0d405e6c3cccfadbc81c71309"
-dependencies = [
- "dirs-sys",
-]
-
-[[package]]
-name = "dirs-sys"
-version = "0.3.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1b1d1d91c932ef41c0f2663aa8b0ca0342d444d842c06914aa0a7e352d0bada6"
+checksum = "4ebda144c4fe02d1f7ea1a7d9641b6fc6b580adcfa024ae48797ecdeb6825b4d"
dependencies = [
"libc",
"redox_users",
@@ -317,21 +245,15 @@ dependencies = [
[[package]]
name = "either"
-version = "1.9.0"
+version = "1.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a26ae43d7bcc3b814de94796a5e736d4029efb0ee900c12e2d54c993ad1a1e07"
+checksum = "11157ac094ffbdde99aa67b23417ebdd801842852b500e395a45a9c0aac03e4a"
[[package]]
-name = "enum_dispatch"
-version = "0.3.12"
+name = "equivalent"
+version = "1.0.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8f33313078bb8d4d05a2733a94ac4c2d8a0df9a2b84424ebf4f33bfc224a890e"
-dependencies = [
- "once_cell",
- "proc-macro2 1.0.76",
- "quote 1.0.35",
- "syn 2.0.48",
-]
+checksum = "5443807d6dff69373d433ab9ef5378ad8df50ca6298caf15de6e52e24aaf54d5"
[[package]]
name = "errno"
@@ -344,40 +266,37 @@ dependencies = [
]
[[package]]
-name = "failure"
-version = "0.1.8"
+name = "exr"
+version = "1.72.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d32e9bd16cc02eae7db7ef620b392808b89f6a5e16bb3497d159c6b92a0f4f86"
+checksum = "887d93f60543e9a9362ef8a21beedd0a833c5d9610e18c67abe15a5963dcb1a4"
dependencies = [
- "backtrace",
- "failure_derive",
+ "bit_field",
+ "flume",
+ "half",
+ "lebe",
+ "miniz_oxide 0.7.2",
+ "rayon-core",
+ "smallvec",
+ "zune-inflate",
]
[[package]]
-name = "failure_derive"
-version = "0.1.8"
+name = "fdeflate"
+version = "0.3.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "aa4da3c766cd7a0db8242e326e9e4e081edd567072893ed320008189715366a4"
+checksum = "4f9bfee30e4dedf0ab8b422f03af778d9612b63f502710fc500a334ebe2de645"
dependencies = [
- "proc-macro2 1.0.76",
- "quote 1.0.35",
- "syn 1.0.109",
- "synstructure",
+ "simd-adler32",
]
-[[package]]
-name = "fastrand"
-version = "2.0.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "25cbce373ec4653f1a01a31e8a5e5ec0c622dc27ff9c4e6606eefef5cbbed4a5"
-
[[package]]
name = "filetime"
version = "0.2.23"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "1ee447700ac8aa0b2f2bd7bc4462ad686ba06baa6727ac149a2d6277f0d240fd"
dependencies = [
- "cfg-if 1.0.0",
+ "cfg-if",
"libc",
"redox_syscall",
"windows-sys 0.52.0",
@@ -390,37 +309,27 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "46303f565772937ffe1d394a4fac6f411c6013172fadde9dcdb1e147a086940e"
dependencies = [
"crc32fast",
- "miniz_oxide",
+ "miniz_oxide 0.7.2",
]
[[package]]
-name = "fnv"
-version = "1.0.7"
+name = "flume"
+version = "0.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3f9eec918d3f24069decb9af1554cad7c880e2da24a9afd88aca000531ab82c1"
-
-[[package]]
-name = "foreign-types"
-version = "0.3.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f6f339eb8adc052cd2ca78910fda869aefa38d22d5cb648e6485e4d3fc06f3b1"
+checksum = "55ac459de2512911e4b674ce33cf20befaba382d05b62b008afc1c8b57cbf181"
dependencies = [
- "foreign-types-shared",
+ "spin",
]
[[package]]
-name = "foreign-types-shared"
-version = "0.1.1"
+name = "getrandom"
+version = "0.1.16"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "00b0228411908ca8685dba7fc2cdd70ec9990a6e753e89b6ac91a84c40fbaf4b"
-
-[[package]]
-name = "form_urlencoded"
-version = "1.2.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e13624c2627564efccf4934284bdd98cbaa14e79b0b5a141218e507b3a823456"
+checksum = "8fc3cb4d91f53b50155bdcfd23f6a4c39ae1969c2ae85982b135750cccaf5fce"
dependencies = [
- "percent-encoding",
+ "cfg-if",
+ "libc",
+ "wasi 0.9.0+wasi-snapshot-preview1",
]
[[package]]
@@ -429,16 +338,40 @@ version = "0.2.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "190092ea657667030ac6a35e305e62fc4dd69fd98ac98631e5d3a2b1575a12b5"
dependencies = [
- "cfg-if 1.0.0",
+ "cfg-if",
"libc",
- "wasi",
+ "wasi 0.11.0+wasi-snapshot-preview1",
]
[[package]]
-name = "gimli"
-version = "0.28.1"
+name = "gif"
+version = "0.11.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4271d37baee1b8c7e4b708028c57d816cf9d2434acb33a549475f78c181f6253"
+checksum = "3edd93c6756b4dfaf2709eafcc345ba2636565295c198a9cfbf75fa5e3e00b06"
+dependencies = [
+ "color_quant",
+ "weezl",
+]
+
+[[package]]
+name = "gif"
+version = "0.12.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "80792593675e051cf94a4b111980da2ba60d4a83e43e0048c5693baab3977045"
+dependencies = [
+ "color_quant",
+ "weezl",
+]
+
+[[package]]
+name = "gif"
+version = "0.13.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3fb2d69b19215e18bb912fa30f7ce15846e301408695e44e0ef719f1da9e19f2"
+dependencies = [
+ "color_quant",
+ "weezl",
+]
[[package]]
name = "glob"
@@ -447,116 +380,149 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "d2fabcfbdc87f4758337ca535fb41a6d701b65693ce38287d856d1674551ec9b"
[[package]]
-name = "ident_case"
-version = "1.0.1"
+name = "glow"
+version = "0.13.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b9e0384b61958566e926dc50660321d12159025e767c18e043daf26b70104c39"
+checksum = "bd348e04c43b32574f2de31c8bb397d96c9fcfa1371bd4ca6d8bdc464ab121b1"
+dependencies = [
+ "js-sys",
+ "slotmap",
+ "wasm-bindgen",
+ "web-sys",
+]
[[package]]
-name = "idna"
-version = "0.5.0"
+name = "half"
+version = "2.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "634d9b1461af396cad843f47fdba5597a4f9e6ddd4bfb6ff5d85028c25cb12f6"
+checksum = "bc52e53916c08643f1b56ec082790d1e86a32e58dc5268f897f313fbae7b4872"
dependencies = [
- "unicode-bidi",
- "unicode-normalization",
+ "cfg-if",
+ "crunchy",
+]
+
+[[package]]
+name = "hashbrown"
+version = "0.14.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "290f1a1d9242c78d09ce40a5e87e7554ee637af1351968159f4952f028f75604"
+
+[[package]]
+name = "heck"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "95505c38b4572b2d910cecb0281560f54b440a19336cbbcb27bf6ce6adc6f5a8"
+
+[[package]]
+name = "home"
+version = "0.5.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e3d1354bf6b7235cb4a0576c2619fd4ed18183f689b12b006a0ee7329eeff9a5"
+dependencies = [
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "iana-time-zone"
+version = "0.1.60"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e7ffbb5a1b541ea2561f8c41c087286cc091e21e556a4f09a8f6cbf17b69b141"
+dependencies = [
+ "android_system_properties",
+ "core-foundation-sys",
+ "iana-time-zone-haiku",
+ "js-sys",
+ "wasm-bindgen",
+ "windows-core",
+]
+
+[[package]]
+name = "iana-time-zone-haiku"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f31827a206f56af32e590ba56d5d2d085f558508192593743f16b2306495269f"
+dependencies = [
+ "cc",
+]
+
+[[package]]
+name = "image"
+version = "0.23.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "24ffcb7e7244a9bf19d35bf2883b9c080c4ced3c07a9895572178cdb8f13f6a1"
+dependencies = [
+ "bytemuck",
+ "byteorder",
+ "color_quant",
+ "gif 0.11.4",
+ "jpeg-decoder 0.1.22",
+ "num-iter",
+ "num-rational",
+ "num-traits",
+ "png 0.16.8",
+ "scoped_threadpool",
+ "tiff 0.6.1",
+]
+
+[[package]]
+name = "image"
+version = "0.24.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "034bbe799d1909622a74d1193aa50147769440040ff36cb2baa947609b0a4e23"
+dependencies = [
+ "bytemuck",
+ "byteorder",
+ "color_quant",
+ "exr",
+ "gif 0.12.0",
+ "jpeg-decoder 0.3.1",
+ "num-traits",
+ "png 0.17.12",
+ "qoi",
+ "tiff 0.9.1",
+]
+
+[[package]]
+name = "indexmap"
+version = "2.2.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "233cf39063f058ea2caae4091bf4a3ef70a653afbc026f5c4a4135d114e3c177"
+dependencies = [
+ "equivalent",
+ "hashbrown",
]
[[package]]
name = "indoc"
-version = "1.0.9"
+version = "2.0.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bfa799dd5ed20a7e349f3b4639aa80d74549c81716d9ec4f994c9b5815598306"
+checksum = "1e186cfbae8084e513daff4240b4797e342f988cecda4fb6c939150f96315fd8"
[[package]]
-name = "intel-mkl-src"
-version = "0.5.0"
+name = "jpeg-decoder"
+version = "0.1.22"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7260b33a735eaebcb942800728b38c5760b125ea5e4346290d78397b5422b894"
+checksum = "229d53d58899083193af11e15917b5640cd40b29ff475a1fe4ef725deb02d0f2"
dependencies = [
- "intel-mkl-tool",
+ "rayon",
]
[[package]]
-name = "intel-mkl-tool"
-version = "0.1.0"
+name = "jpeg-decoder"
+version = "0.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ada23f955fb7d06cb5db9424863caa7251f8f9b525f4c4816144465f77cfded7"
+checksum = "f5d4a7da358eff58addd2877a45865158f0d78c911d43a5784ceb7bbf52833b0"
dependencies = [
- "curl",
- "dirs 2.0.2",
- "failure",
- "glob",
- "log",
- "pkg-config",
- "tar",
- "zstd",
+ "rayon",
]
[[package]]
-name = "itertools"
-version = "0.9.0"
+name = "js-sys"
+version = "0.3.68"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "284f18f85651fe11e8a991b2adb42cb078325c996ed026d994719efcfca1d54b"
+checksum = "406cda4b368d531c842222cf9d2600a9a4acce8d29423695379c6868a143a9ee"
dependencies = [
- "either",
-]
-
-[[package]]
-name = "itertools"
-version = "0.11.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b1c173a5686ce8bfa551b3563d0c2170bf24ca44da99c7ca4bfdab5418c3fe57"
-dependencies = [
- "either",
-]
-
-[[package]]
-name = "itoa"
-version = "1.0.10"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b1a46d1a171d865aa5f83f92695765caa047a9b4cbae2cbf37dbd613a793fd4c"
-
-[[package]]
-name = "jobserver"
-version = "0.1.27"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8c37f63953c4c63420ed5fd3d6d398c719489b9f872b9fa683262f8edd363c7d"
-dependencies = [
- "libc",
-]
-
-[[package]]
-name = "lapack"
-version = "0.19.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ad676a6b4df7e76a9fd80a0c50c619a3948d6105b62a0ab135f064d99c51d207"
-dependencies = [
- "lapack-sys",
- "libc",
- "num-complex",
-]
-
-[[package]]
-name = "lapack-src"
-version = "0.9.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "24c81fcc728418323178fd40407619d0ed26dbbbd1a553693c6290ef5d6698c6"
-dependencies = [
- "accelerate-src",
- "intel-mkl-src",
- "netlib-src",
- "openblas-src",
- "r-src",
-]
-
-[[package]]
-name = "lapack-sys"
-version = "0.14.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "447f56c85fb410a7a3d36701b2153c1018b1d2b908c5fbaf01c1b04fac33bcbe"
-dependencies = [
- "libc",
+ "wasm-bindgen",
]
[[package]]
@@ -566,10 +532,32 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "e2abad23fbc42b3700f2f279844dc832adb2b2eb069b2df918f455c4e18cc646"
[[package]]
-name = "libc"
-version = "0.2.152"
+name = "lazycell"
+version = "1.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "13e3bf6590cbc649f4d1a3eefc9d5d6eb746f5200ffb04e5e142700b8faa56e7"
+checksum = "830d08ce1d1d941e6b30645f1a0eb5643013d835ce3779a5fc208261dbe10f55"
+
+[[package]]
+name = "lebe"
+version = "0.5.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "03087c2bad5e1034e8cace5926dec053fb3790248370865f5117a7d0213354c8"
+
+[[package]]
+name = "libc"
+version = "0.2.153"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9c198f91728a82281a64e1f4f9eeb25d82cb32a5de251c6bd1b5154d63a8e7bd"
+
+[[package]]
+name = "libloading"
+version = "0.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c571b676ddfc9a8c12f1f3d3085a7b163966a8fd8098a90640953ce5f6170161"
+dependencies = [
+ "cfg-if",
+ "windows-sys 0.48.0",
+]
[[package]]
name = "libredox"
@@ -577,28 +565,16 @@ version = "0.0.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "85c833ca1e66078851dba29046874e38f08b2c883700aa29a03ddd3b23814ee8"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"libc",
"redox_syscall",
]
-[[package]]
-name = "libz-sys"
-version = "1.1.14"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "295c17e837573c8c821dbaeb3cceb3d745ad082f7572191409e69cbc1b3fd050"
-dependencies = [
- "cc",
- "libc",
- "pkg-config",
- "vcpkg",
-]
-
[[package]]
name = "linux-raw-sys"
-version = "0.4.12"
+version = "0.4.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c4cd1a83af159aa67994778be9070f0ae1bd732942279cabb14f86f986a21456"
+checksum = "01cda141df6706de531b6c46c3a33ecca755538219bd484262fa09410c13539c"
[[package]]
name = "lock_api"
@@ -624,163 +600,124 @@ checksum = "523dc4f511e55ab87b694dc30d0f820d60906ef06413f93d4d7a1385599cc149"
[[package]]
name = "memoffset"
-version = "0.6.5"
+version = "0.9.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5aa361d4faea93603064a027415f07bd8e1d5c88c9fbf68bf56a285428fd79ce"
+checksum = "5a634b1c61a95585bd15607c6ab0c4e5b226e695ff2800ba0cdccddf208c406c"
dependencies = [
"autocfg",
]
+[[package]]
+name = "minimal-lexical"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "68354c5c6bd36d73ff3feceb05efa59b6acb7626617f4962be322a825e61f79a"
+
+[[package]]
+name = "miniz_oxide"
+version = "0.3.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "791daaae1ed6889560f8c4359194f56648355540573244a5448a83ba1ecc7435"
+dependencies = [
+ "adler32",
+]
+
+[[package]]
+name = "miniz_oxide"
+version = "0.4.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a92518e98c078586bc6c934028adcca4c92a53d6a958196de835170a01d84e4b"
+dependencies = [
+ "adler",
+ "autocfg",
+]
+
[[package]]
name = "miniz_oxide"
-version = "0.7.1"
+version = "0.7.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e7810e0be55b428ada41041c41f32c9f1a42817901b4ccf45fa3d4b6561e74c7"
+checksum = "9d811f3e15f28568be3407c8e7fdb6514c1cda3cb30683f15b6a1a1dc4ea14a7"
dependencies = [
"adler",
+ "simd-adler32",
]
[[package]]
-name = "native-tls"
-version = "0.2.11"
+name = "noise"
+version = "0.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "07226173c32f2926027b63cce4bcd8076c3552846cbe7925f3aaffeac0a3b92e"
+checksum = "82051dd6745d5184c6efb7bc8be14892a7f6d4f3ad6dbf754d1c7d7d5fe24b43"
dependencies = [
- "lazy_static",
- "libc",
- "log",
- "openssl",
- "openssl-probe",
- "openssl-sys",
- "schannel",
- "security-framework",
- "security-framework-sys",
- "tempfile",
+ "image 0.23.14",
+ "rand 0.7.3",
+ "rand_xorshift",
]
[[package]]
-name = "netlib-src"
-version = "0.8.0"
+name = "nom"
+version = "7.1.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "39f41f36bb4d46906d5a72da5b73a804d9de1a7282eb7c89617201acda7b8212"
+checksum = "d273983c5a657a70a3e8f2a01329822f3b8c8172b73826411a55751e404a0a4a"
dependencies = [
- "cmake",
+ "memchr",
+ "minimal-lexical",
]
[[package]]
-name = "num-complex"
-version = "0.4.4"
+name = "ntapi"
+version = "0.4.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ba157ca0885411de85d6ca030ba7e2a83a28636056c7c699b07c8b6f7383214"
+checksum = "e8a3895c6391c39d7fe7ebc444a87eb2991b2a0bc718fdabd071eec617fc68e4"
+dependencies = [
+ "winapi",
+]
+
+[[package]]
+name = "num-integer"
+version = "0.1.46"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7969661fd2958a5cb096e56c8e1ad0444ac2bbcd0061bd28660485a44879858f"
dependencies = [
"num-traits",
]
[[package]]
-name = "num-derive"
-version = "0.2.5"
+name = "num-iter"
+version = "0.1.44"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "eafd0b45c5537c3ba526f79d3e75120036502bebacbb3f3220914067ce39dbf2"
+checksum = "d869c01cc0c455284163fd0092f1f93835385ccab5a98a0dcc497b2f8bf055a9"
dependencies = [
- "proc-macro2 0.4.30",
- "quote 0.6.13",
- "syn 0.15.44",
+ "autocfg",
+ "num-integer",
+ "num-traits",
+]
+
+[[package]]
+name = "num-rational"
+version = "0.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "12ac428b1cb17fce6f731001d307d351ec70a6d202fc2e60f7d4c5e42d8f4f07"
+dependencies = [
+ "autocfg",
+ "num-integer",
+ "num-traits",
]
[[package]]
name = "num-traits"
-version = "0.2.17"
+version = "0.2.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "39e3200413f237f41ab11ad6d161bc7239c84dcb631773ccd7de3dfe4b5c267c"
+checksum = "da0df0e5185db44f69b44f26786fe401b6c293d1907744beaa7fa62b2e5a517a"
dependencies = [
"autocfg",
]
-[[package]]
-name = "object"
-version = "0.32.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a6a622008b6e321afc04970976f62ee297fdbaa6f95318ca343e3eebb9648441"
-dependencies = [
- "memchr",
-]
-
[[package]]
name = "once_cell"
version = "1.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "3fdb12b2476b595f9358c5161aa467c2438859caa136dec86c26fdd2efe17b92"
-[[package]]
-name = "openblas-build"
-version = "0.10.8"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "eba42c395477605f400a8d79ee0b756cfb82abe3eb5618e35fa70d3a36010a7f"
-dependencies = [
- "anyhow",
- "flate2",
- "native-tls",
- "tar",
- "thiserror",
- "ureq",
- "walkdir",
-]
-
-[[package]]
-name = "openblas-src"
-version = "0.10.8"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "38e5d8af0b707ac2fe1574daa88b4157da73b0de3dc7c39fe3e2c0bb64070501"
-dependencies = [
- "dirs 3.0.2",
- "openblas-build",
- "vcpkg",
-]
-
-[[package]]
-name = "openssl"
-version = "0.10.62"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8cde4d2d9200ad5909f8dac647e29482e07c3a35de8a13fce7c9c7747ad9f671"
-dependencies = [
- "bitflags 2.4.1",
- "cfg-if 1.0.0",
- "foreign-types",
- "libc",
- "once_cell",
- "openssl-macros",
- "openssl-sys",
-]
-
-[[package]]
-name = "openssl-macros"
-version = "0.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a948666b637a0f465e8564c73e89d4dde00d72d4d473cc972f390fc3dcee7d9c"
-dependencies = [
- "proc-macro2 1.0.76",
- "quote 1.0.35",
- "syn 2.0.48",
-]
-
-[[package]]
-name = "openssl-probe"
-version = "0.1.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ff011a302c396a5197692431fc1948019154afc178baf7d8e37367442a4601cf"
-
-[[package]]
-name = "openssl-sys"
-version = "0.9.98"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c1665caf8ab2dc9aef43d1c0023bd904633a6a05cb30b0ad59bec2ae986e57a7"
-dependencies = [
- "cc",
- "libc",
- "pkg-config",
- "vcpkg",
-]
-
[[package]]
name = "parking_lot"
version = "0.12.1"
@@ -797,7 +734,7 @@ version = "0.9.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "4c42a9226546d68acdd9c0a280d17ce19bfe27a46bf68784e4066115788d008e"
dependencies = [
- "cfg-if 1.0.0",
+ "cfg-if",
"libc",
"redox_syscall",
"smallvec",
@@ -805,42 +742,83 @@ dependencies = [
]
[[package]]
-name = "percent-encoding"
-version = "2.3.1"
+name = "paste"
+version = "1.0.14"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e3148f5046208a5d56bcfc03053e3ca6334e51da8dfb19b6cdc8b306fae3283e"
+checksum = "de3145af08024dea9fa9914f381a17b8fc6034dfb00f3a84013f7ff43f29ed4c"
[[package]]
-name = "pkg-config"
-version = "0.3.28"
+name = "peeking_take_while"
+version = "0.1.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "69d3587f8a9e599cc7ec2c00e331f71c4e69a5f9a4b8a6efd5b07466b9736f9a"
+checksum = "19b17cddbe7ec3f8bc800887bab5e717348c95ea2ca0b1bf0837fb964dc67099"
[[package]]
-name = "proc-macro2"
-version = "0.4.30"
+name = "platform-dirs"
+version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cf3d2011ab5c909338f7887f4fc896d35932e29146c12c8d01da6b22a80ba759"
+checksum = "e188d043c1a692985f78b5464853a263f1a27e5bd6322bad3a4078ee3c998a38"
dependencies = [
- "unicode-xid 0.1.0",
+ "dirs-next",
+]
+
+[[package]]
+name = "png"
+version = "0.16.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3c3287920cb847dee3de33d301c463fba14dda99db24214ddf93f83d3021f4c6"
+dependencies = [
+ "bitflags 1.3.2",
+ "crc32fast",
+ "deflate",
+ "miniz_oxide 0.3.7",
+]
+
+[[package]]
+name = "png"
+version = "0.17.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "78c2378060fb13acff3ba0325b83442c1d2c44fbb76df481160ddc1687cce160"
+dependencies = [
+ "bitflags 1.3.2",
+ "crc32fast",
+ "fdeflate",
+ "flate2",
+ "miniz_oxide 0.7.2",
+]
+
+[[package]]
+name = "ppv-lite86"
+version = "0.2.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5b40af805b3121feab8a3c29f04d8ad262fa8e0561883e7653e024ae4479e6de"
+
+[[package]]
+name = "prettyplease"
+version = "0.2.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a41cf62165e97c7f814d2221421dbb9afcbcdb0a88068e5ea206e19951c2cbb5"
+dependencies = [
+ "proc-macro2",
+ "syn",
]
[[package]]
name = "proc-macro2"
-version = "1.0.76"
+version = "1.0.78"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "95fc56cda0b5c3325f5fbbd7ff9fda9e02bb00bb3dac51252d2f1bfa1cb8cc8c"
+checksum = "e2422ad645d89c99f8f3e6b88a9fdeca7fabeac836b1002371c4367c8f984aae"
dependencies = [
"unicode-ident",
]
[[package]]
name = "pyo3"
-version = "0.17.3"
+version = "0.20.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "268be0c73583c183f2b14052337465768c07726936a260f480f0857cb95ba543"
+checksum = "9a89dc7a5850d0e983be1ec2a463a171d20990487c3cfcd68b5363f1ee3d6fe0"
dependencies = [
- "cfg-if 1.0.0",
+ "cfg-if",
"indoc",
"libc",
"memoffset",
@@ -853,9 +831,9 @@ dependencies = [
[[package]]
name = "pyo3-build-config"
-version = "0.17.3"
+version = "0.20.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "28fcd1e73f06ec85bf3280c48c67e731d8290ad3d730f8be9dc07946923005c8"
+checksum = "07426f0d8fe5a601f26293f300afd1a7b1ed5e78b2a705870c5f30893c5163be"
dependencies = [
"once_cell",
"target-lexicon",
@@ -863,9 +841,9 @@ dependencies = [
[[package]]
name = "pyo3-ffi"
-version = "0.17.3"
+version = "0.20.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0f6cb136e222e49115b3c51c32792886defbfb0adead26a688142b346a0b9ffc"
+checksum = "dbb7dec17e17766b46bca4f1a4215a85006b4c2ecde122076c562dd058da6cf1"
dependencies = [
"libc",
"pyo3-build-config",
@@ -873,34 +851,83 @@ dependencies = [
[[package]]
name = "pyo3-macros"
-version = "0.17.3"
+version = "0.20.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "94144a1266e236b1c932682136dc35a9dee8d3589728f68130c7c3861ef96b28"
+checksum = "05f738b4e40d50b5711957f142878cfa0f28e054aa0ebdfc3fd137a843f74ed3"
dependencies = [
- "proc-macro2 1.0.76",
+ "proc-macro2",
"pyo3-macros-backend",
- "quote 1.0.35",
- "syn 1.0.109",
+ "quote",
+ "syn",
]
[[package]]
name = "pyo3-macros-backend"
-version = "0.17.3"
+version = "0.20.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c8df9be978a2d2f0cdebabb03206ed73b11314701a5bfe71b0d753b81997777f"
+checksum = "0fc910d4851847827daf9d6cdd4a823fbdaab5b8818325c5e97a86da79e8881f"
dependencies = [
- "proc-macro2 1.0.76",
- "quote 1.0.35",
- "syn 1.0.109",
+ "heck",
+ "proc-macro2",
+ "quote",
+ "syn",
]
[[package]]
-name = "quote"
-version = "0.6.13"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6ce23b6b870e8f94f81fb0a363d65d86675884b34a09043c81e5562f11c1f8e1"
+name = "pyxel-engine"
+version = "2.0.7"
dependencies = [
- "proc-macro2 0.4.30",
+ "cfg-if",
+ "chrono",
+ "gif 0.13.1",
+ "glow",
+ "image 0.24.8",
+ "indexmap",
+ "noise",
+ "once_cell",
+ "parking_lot",
+ "platform-dirs",
+ "pyxel-platform",
+ "rand 0.8.5",
+ "rand_xoshiro",
+ "semver",
+ "serde",
+ "serde-xml-rs",
+ "toml",
+ "zip",
+]
+
+[[package]]
+name = "pyxel-platform"
+version = "2.0.7"
+dependencies = [
+ "bindgen",
+ "cfg-if",
+ "cmake",
+ "flate2",
+ "glow",
+ "once_cell",
+ "parking_lot",
+ "paste",
+ "tar",
+]
+
+[[package]]
+name = "pyxel-wrapper"
+version = "2.0.7"
+dependencies = [
+ "pyo3",
+ "pyxel-engine",
+ "sysinfo",
+]
+
+[[package]]
+name = "qoi"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f6d64c71eb498fe9eae14ce4ec935c555749aef511cca85b5568910d6e48001"
+dependencies = [
+ "bytemuck",
]
[[package]]
@@ -909,14 +936,117 @@ version = "1.0.35"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "291ec9ab5efd934aaf503a6466c5d5251535d108ee747472c3977cc5acc868ef"
dependencies = [
- "proc-macro2 1.0.76",
+ "proc-macro2",
]
[[package]]
-name = "r-src"
-version = "0.1.0"
+name = "rand"
+version = "0.7.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ea397956e1043a8d947ea84b13971d9cb30fce65ca66a921081755ff2e899b6a"
+checksum = "6a6b1679d49b24bbfe0c803429aa1874472f50d9b363131f0e89fc356b544d03"
+dependencies = [
+ "getrandom 0.1.16",
+ "libc",
+ "rand_chacha 0.2.2",
+ "rand_core 0.5.1",
+ "rand_hc",
+]
+
+[[package]]
+name = "rand"
+version = "0.8.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "34af8d1a0e25924bc5b7c43c079c942339d8f0a8b57c39049bef581b46327404"
+dependencies = [
+ "libc",
+ "rand_chacha 0.3.1",
+ "rand_core 0.6.4",
+]
+
+[[package]]
+name = "rand_chacha"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f4c8ed856279c9737206bf725bf36935d8666ead7aa69b52be55af369d193402"
+dependencies = [
+ "ppv-lite86",
+ "rand_core 0.5.1",
+]
+
+[[package]]
+name = "rand_chacha"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e6c10a63a0fa32252be49d21e7709d4d4baf8d231c2dbce1eaa8141b9b127d88"
+dependencies = [
+ "ppv-lite86",
+ "rand_core 0.6.4",
+]
+
+[[package]]
+name = "rand_core"
+version = "0.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "90bde5296fc891b0cef12a6d03ddccc162ce7b2aff54160af9338f8d40df6d19"
+dependencies = [
+ "getrandom 0.1.16",
+]
+
+[[package]]
+name = "rand_core"
+version = "0.6.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ec0be4795e2f6a28069bec0b5ff3e2ac9bafc99e6a9a7dc3547996c5c816922c"
+dependencies = [
+ "getrandom 0.2.12",
+]
+
+[[package]]
+name = "rand_hc"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ca3129af7b92a17112d59ad498c6f81eaf463253766b90396d39ea7a39d6613c"
+dependencies = [
+ "rand_core 0.5.1",
+]
+
+[[package]]
+name = "rand_xorshift"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "77d416b86801d23dde1aa643023b775c3a462efc0ed96443add11546cdf1dca8"
+dependencies = [
+ "rand_core 0.5.1",
+]
+
+[[package]]
+name = "rand_xoshiro"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6f97cdb2a36ed4183de61b2f824cc45c9f1037f28afe0a322e9fff4c108b5aaa"
+dependencies = [
+ "rand_core 0.6.4",
+]
+
+[[package]]
+name = "rayon"
+version = "1.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fa7237101a77a10773db45d62004a272517633fbcc3df19d96455ede1122e051"
+dependencies = [
+ "either",
+ "rayon-core",
+]
+
+[[package]]
+name = "rayon-core"
+version = "1.12.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1465873a3dfdaa8ae7cb14b4383657caab0b3e8a0aa9ae8e04b044854c8dfce2"
+dependencies = [
+ "crossbeam-deque",
+ "crossbeam-utils",
+]
[[package]]
name = "redox_syscall"
@@ -933,24 +1063,53 @@ version = "0.4.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "a18479200779601e498ada4e8c1e1f50e3ee19deb0259c25825a98b5603b2cb4"
dependencies = [
- "getrandom",
+ "getrandom 0.2.12",
"libredox",
"thiserror",
]
[[package]]
-name = "rustc-demangle"
-version = "0.1.23"
+name = "regex"
+version = "1.10.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d626bb9dae77e28219937af045c257c28bfd3f69333c512553507f5f9798cb76"
+checksum = "b62dbe01f0b06f9d8dc7d49e05a0785f153b00b2c227856282f671e0318c9b15"
+dependencies = [
+ "aho-corasick",
+ "memchr",
+ "regex-automata",
+ "regex-syntax",
+]
+
+[[package]]
+name = "regex-automata"
+version = "0.4.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5bb987efffd3c6d0d8f5f89510bb458559eab11e4f869acb20bf845e016259cd"
+dependencies = [
+ "aho-corasick",
+ "memchr",
+ "regex-syntax",
+]
+
+[[package]]
+name = "regex-syntax"
+version = "0.8.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c08c74e62047bb2de4ff487b251e4a92e24f48745648451635cec7d591162d9f"
+
+[[package]]
+name = "rustc-hash"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "08d43f7aa6b08d49f382cde6a7982047c3426db949b1424bc4b7ec9ae12c6ce2"
[[package]]
name = "rustix"
-version = "0.38.30"
+version = "0.38.31"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "322394588aaf33c24007e8bb3238ee3e4c5c09c084ab32bc73890b99ff326bca"
+checksum = "6ea3e1a662af26cd7a3ba09c0297a31af215563ecf42817c98df621387f4e949"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"errno",
"libc",
"linux-raw-sys",
@@ -958,49 +1117,10 @@ dependencies = [
]
[[package]]
-name = "rustls-native-certs"
-version = "0.6.3"
+name = "scoped_threadpool"
+version = "0.1.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a9aace74cb666635c918e9c12bc0d348266037aa8eb599b5cba565709a8dff00"
-dependencies = [
- "openssl-probe",
- "rustls-pemfile",
- "schannel",
- "security-framework",
-]
-
-[[package]]
-name = "rustls-pemfile"
-version = "1.0.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1c74cae0a4cf6ccbbf5f359f08efdf8ee7e1dc532573bf0db71968cb56b1448c"
-dependencies = [
- "base64",
-]
-
-[[package]]
-name = "ryu"
-version = "1.0.16"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f98d2aa92eebf49b69786be48e4477826b256916e84a57ff2a4f21923b48eb4c"
-
-[[package]]
-name = "same-file"
-version = "1.0.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "93fc1dc3aaa9bfed95e02e6eadabb4baf7e3078b0bd1b4d7b6b0b68378900502"
-dependencies = [
- "winapi-util",
-]
-
-[[package]]
-name = "schannel"
-version = "0.1.23"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fbc91545643bcf3a0bbb6569265615222618bdf33ce4ffbbd13c4bbd4c093534"
-dependencies = [
- "windows-sys 0.52.0",
-]
+checksum = "1d51f5df5af43ab3f1360b429fa5e0152ac5ce8c0bd6485cae490332e96846a8"
[[package]]
name = "scopeguard"
@@ -1009,124 +1129,112 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "94143f37725109f92c262ed2cf5e59bce7498c01bcc1502d7b9afe439a4e9f49"
[[package]]
-name = "security-framework"
-version = "2.9.2"
+name = "semver"
+version = "1.0.21"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "05b64fb303737d99b81884b2c63433e9ae28abebe5eb5045dcdd175dc2ecf4de"
-dependencies = [
- "bitflags 1.3.2",
- "core-foundation",
- "core-foundation-sys",
- "libc",
- "security-framework-sys",
-]
-
-[[package]]
-name = "security-framework-sys"
-version = "2.9.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e932934257d3b408ed8f30db49d85ea163bfe74961f017f405b025af298f0c7a"
-dependencies = [
- "core-foundation-sys",
- "libc",
-]
+checksum = "b97ed7a9823b74f99c7742f5336af7be5ecd3eeafcb1507d1fa93347b1d589b0"
[[package]]
name = "serde"
-version = "1.0.195"
+version = "1.0.196"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "63261df402c67811e9ac6def069e4786148c4563f4b50fd4bf30aa370d626b02"
+checksum = "870026e60fa08c69f064aa766c10f10b1d62db9ccd4d0abb206472bee0ce3b32"
dependencies = [
"serde_derive",
]
[[package]]
-name = "serde_derive"
-version = "1.0.195"
+name = "serde-xml-rs"
+version = "0.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "46fe8f8603d81ba86327b23a2e9cdf49e1255fb94a4c5f297f6ee0547178ea2c"
+checksum = "fb3aa78ecda1ebc9ec9847d5d3aba7d618823446a049ba2491940506da6e2782"
dependencies = [
- "proc-macro2 1.0.76",
- "quote 1.0.35",
- "syn 2.0.48",
+ "log",
+ "serde",
+ "thiserror",
+ "xml-rs",
]
[[package]]
-name = "serde_json"
-version = "1.0.111"
+name = "serde_derive"
+version = "1.0.196"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "176e46fa42316f18edd598015a5166857fc835ec732f5215eac6b7bdbf0a84f4"
+checksum = "33c85360c95e7d137454dc81d9a4ed2b8efd8fbe19cee57357b32b9771fccb67"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "serde_spanned"
+version = "0.6.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eb3622f419d1296904700073ea6cc23ad690adbd66f13ea683df73298736f0c1"
dependencies = [
- "itoa",
- "ryu",
"serde",
]
+[[package]]
+name = "shlex"
+version = "1.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0fda2ff0d084019ba4d7c6f371c95d8fd75ce3524c3cb8fb653a3023f6323e64"
+
+[[package]]
+name = "simd-adler32"
+version = "0.3.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d66dc143e6b11c1eddc06d5c423cfc97062865baf299914ab64caa38182078fe"
+
+[[package]]
+name = "slotmap"
+version = "1.0.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dbff4acf519f630b3a3ddcfaea6c06b42174d9a44bc70c620e9ed1649d58b82a"
+dependencies = [
+ "version_check",
+]
+
[[package]]
name = "smallvec"
-version = "1.11.2"
+version = "1.13.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4dccd0940a2dcdf68d092b8cbab7dc0ad8fa938bf95787e1b916b0e3d0e8e970"
+checksum = "e6ecd384b10a64542d77071bd64bd7b231f4ed5940fba55e98c3de13824cf3d7"
[[package]]
-name = "socket2"
-version = "0.4.10"
+name = "spin"
+version = "0.9.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9f7916fc008ca5542385b89a3d3ce689953c143e9304a9bf8beec1de48994c0d"
+checksum = "6980e8d7511241f8acf4aebddbb1ff938df5eebe98691418c4468d0b72a96a67"
dependencies = [
+ "lock_api",
+]
+
+[[package]]
+name = "syn"
+version = "2.0.49"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "915aea9e586f80826ee59f8453c1101f9d1c4b3964cd2460185ee8e299ada496"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "unicode-ident",
+]
+
+[[package]]
+name = "sysinfo"
+version = "0.30.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1fb4f3438c8f6389c864e61221cbc97e9bca98b4daf39a5beb7bea660f528bb2"
+dependencies = [
+ "cfg-if",
+ "core-foundation-sys",
"libc",
- "winapi",
-]
-
-[[package]]
-name = "strsim"
-version = "0.10.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "73473c0e59e6d5812c5dfe2a064a6444949f089e20eec9a2e5506596494e4623"
-
-[[package]]
-name = "syn"
-version = "0.15.44"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9ca4b3b69a77cbe1ffc9e198781b7acb0c7365a883670e8f1c1bc66fba79a5c5"
-dependencies = [
- "proc-macro2 0.4.30",
- "quote 0.6.13",
- "unicode-xid 0.1.0",
-]
-
-[[package]]
-name = "syn"
-version = "1.0.109"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "72b64191b275b66ffe2469e8af2c1cfe3bafa67b529ead792a6d0160888b4237"
-dependencies = [
- "proc-macro2 1.0.76",
- "quote 1.0.35",
- "unicode-ident",
-]
-
-[[package]]
-name = "syn"
-version = "2.0.48"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0f3531638e407dfc0814761abb7c00a5b54992b849452a0646b7f65c9f770f3f"
-dependencies = [
- "proc-macro2 1.0.76",
- "quote 1.0.35",
- "unicode-ident",
-]
-
-[[package]]
-name = "synstructure"
-version = "0.12.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f36bdaa60a83aca3921b5259d5400cbf5e90fc51931376a9bd4a0eb79aa7210f"
-dependencies = [
- "proc-macro2 1.0.76",
- "quote 1.0.35",
- "syn 1.0.109",
- "unicode-xid 0.2.4",
+ "ntapi",
+ "once_cell",
+ "rayon",
+ "windows",
]
[[package]]
@@ -1146,59 +1254,81 @@ version = "0.12.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "69758bda2e78f098e4ccb393021a0963bb3442eac05f135c30f61b7370bbafae"
-[[package]]
-name = "tempfile"
-version = "3.9.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "01ce4141aa927a6d1bd34a041795abd0db1cccba5d5f24b009f694bdf3a1f3fa"
-dependencies = [
- "cfg-if 1.0.0",
- "fastrand",
- "redox_syscall",
- "rustix",
- "windows-sys 0.52.0",
-]
-
[[package]]
name = "thiserror"
-version = "1.0.56"
+version = "1.0.57"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d54378c645627613241d077a3a79db965db602882668f9136ac42af9ecb730ad"
+checksum = "1e45bcbe8ed29775f228095caf2cd67af7a4ccf756ebff23a306bf3e8b47b24b"
dependencies = [
"thiserror-impl",
]
[[package]]
name = "thiserror-impl"
-version = "1.0.56"
+version = "1.0.57"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fa0faa943b50f3db30a20aa7e265dbc66076993efed8463e8de414e5d06d3471"
+checksum = "a953cb265bef375dae3de6663da4d3804eee9682ea80d8e2542529b73c531c81"
dependencies = [
- "proc-macro2 1.0.76",
- "quote 1.0.35",
- "syn 2.0.48",
+ "proc-macro2",
+ "quote",
+ "syn",
]
[[package]]
-name = "tinyvec"
-version = "1.6.0"
+name = "tiff"
+version = "0.6.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "87cc5ceb3875bb20c2890005a4e226a4651264a5c75edb2421b52861a0a0cb50"
+checksum = "9a53f4706d65497df0c4349241deddf35f84cee19c87ed86ea8ca590f4464437"
dependencies = [
- "tinyvec_macros",
+ "jpeg-decoder 0.1.22",
+ "miniz_oxide 0.4.4",
+ "weezl",
]
[[package]]
-name = "tinyvec_macros"
-version = "0.1.1"
+name = "tiff"
+version = "0.9.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20"
+checksum = "ba1310fcea54c6a9a4fd1aad794ecc02c31682f6bfbecdf460bf19533eed1e3e"
+dependencies = [
+ "flate2",
+ "jpeg-decoder 0.3.1",
+ "weezl",
+]
[[package]]
-name = "unicode-bidi"
-version = "0.3.14"
+name = "toml"
+version = "0.8.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6f2528f27a9eb2b21e69c95319b30bd0efd85d09c379741b0f78ea1d86be2416"
+checksum = "9a9aad4a3066010876e8dcf5a8a06e70a558751117a145c6ce2b82c2e2054290"
+dependencies = [
+ "serde",
+ "serde_spanned",
+ "toml_datetime",
+ "toml_edit",
+]
+
+[[package]]
+name = "toml_datetime"
+version = "0.6.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3550f4e9685620ac18a50ed434eb3aec30db8ba93b0287467bca5826ea25baf1"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "toml_edit"
+version = "0.22.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2c1b5fd4128cc8d3e0cb74d4ed9a9cc7c7284becd4df68f5f940e1ad123606f6"
+dependencies = [
+ "indexmap",
+ "serde",
+ "serde_spanned",
+ "toml_datetime",
+ "winnow",
+]
[[package]]
name = "unicode-ident"
@@ -1206,74 +1336,23 @@ version = "1.0.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "3354b9ac3fae1ff6755cb6db53683adb661634f67557942dea4facebec0fee4b"
-[[package]]
-name = "unicode-normalization"
-version = "0.1.22"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5c5713f0fc4b5db668a2ac63cdb7bb4469d8c9fed047b1d0292cc7b0ce2ba921"
-dependencies = [
- "tinyvec",
-]
-
-[[package]]
-name = "unicode-xid"
-version = "0.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fc72304796d0818e357ead4e000d19c9c174ab23dc11093ac919054d20a6a7fc"
-
-[[package]]
-name = "unicode-xid"
-version = "0.2.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f962df74c8c05a667b5ee8bcf162993134c104e96440b663c8daa176dc772d8c"
-
[[package]]
name = "unindent"
-version = "0.1.11"
+version = "0.2.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e1766d682d402817b5ac4490b3c3002d91dfa0d22812f341609f97b08757359c"
+checksum = "c7de7d73e1754487cb58364ee906a499937a0dfabd86bcb980fa99ec8c8fa2ce"
[[package]]
-name = "ureq"
-version = "2.9.1"
+name = "version_check"
+version = "0.9.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f8cdd25c339e200129fe4de81451814e5228c9b771d57378817d6117cc2b3f97"
-dependencies = [
- "base64",
- "flate2",
- "log",
- "native-tls",
- "once_cell",
- "rustls-native-certs",
- "url",
-]
+checksum = "49874b5167b65d7193b8aba1567f5c7d93d001cafc34600cee003eda787e483f"
[[package]]
-name = "url"
-version = "2.5.0"
+name = "wasi"
+version = "0.9.0+wasi-snapshot-preview1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "31e6302e3bb753d46e83516cae55ae196fc0c309407cf11ab35cc51a4c2a4633"
-dependencies = [
- "form_urlencoded",
- "idna",
- "percent-encoding",
-]
-
-[[package]]
-name = "vcpkg"
-version = "0.2.15"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "accd4ea62f7bb7a82fe23066fb0957d48ef677f6eeb8215f372f52e48bb32426"
-
-[[package]]
-name = "walkdir"
-version = "2.4.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d71d857dc86794ca4c280d616f7da00d2dbfd8cd788846559a6813e6aa4b54ee"
-dependencies = [
- "same-file",
- "winapi-util",
-]
+checksum = "cccddf32554fecc6acb585f82a32a72e28b48f8c4c1883ddfeeeaa96f7d8e519"
[[package]]
name = "wasi"
@@ -1281,6 +1360,88 @@ version = "0.11.0+wasi-snapshot-preview1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
+[[package]]
+name = "wasm-bindgen"
+version = "0.2.91"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c1e124130aee3fb58c5bdd6b639a0509486b0338acaaae0c84a5124b0f588b7f"
+dependencies = [
+ "cfg-if",
+ "wasm-bindgen-macro",
+]
+
+[[package]]
+name = "wasm-bindgen-backend"
+version = "0.2.91"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c9e7e1900c352b609c8488ad12639a311045f40a35491fb69ba8c12f758af70b"
+dependencies = [
+ "bumpalo",
+ "log",
+ "once_cell",
+ "proc-macro2",
+ "quote",
+ "syn",
+ "wasm-bindgen-shared",
+]
+
+[[package]]
+name = "wasm-bindgen-macro"
+version = "0.2.91"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b30af9e2d358182b5c7449424f017eba305ed32a7010509ede96cdc4696c46ed"
+dependencies = [
+ "quote",
+ "wasm-bindgen-macro-support",
+]
+
+[[package]]
+name = "wasm-bindgen-macro-support"
+version = "0.2.91"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "642f325be6301eb8107a83d12a8ac6c1e1c54345a7ef1a9261962dfefda09e66"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn",
+ "wasm-bindgen-backend",
+ "wasm-bindgen-shared",
+]
+
+[[package]]
+name = "wasm-bindgen-shared"
+version = "0.2.91"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4f186bd2dcf04330886ce82d6f33dd75a7bfcf69ecf5763b89fcde53b6ac9838"
+
+[[package]]
+name = "web-sys"
+version = "0.3.68"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "96565907687f7aceb35bc5fc03770a8a0471d82e479f25832f54a0e3f4b28446"
+dependencies = [
+ "js-sys",
+ "wasm-bindgen",
+]
+
+[[package]]
+name = "weezl"
+version = "0.1.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "53a85b86a771b1c87058196170769dd264f66c0782acf1ae6cc51bfd64b39082"
+
+[[package]]
+name = "which"
+version = "4.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "87ba24419a2078cd2b0f2ede2691b6c66d8e47836da3b6db8265ebad47afbfc7"
+dependencies = [
+ "either",
+ "home",
+ "once_cell",
+ "rustix",
+]
+
[[package]]
name = "winapi"
version = "0.3.9"
@@ -1297,21 +1458,31 @@ version = "0.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "ac3b87c63620426dd9b991e5ce0329eff545bccbbb34f3be09ff6fb6ab51b7b6"
-[[package]]
-name = "winapi-util"
-version = "0.1.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f29e6f9198ba0d26b4c9f07dbe6f9ed633e1f3d5b8b414090084349e46a52596"
-dependencies = [
- "winapi",
-]
-
[[package]]
name = "winapi-x86_64-pc-windows-gnu"
version = "0.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
+[[package]]
+name = "windows"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e48a53791691ab099e5e2ad123536d0fff50652600abaf43bbf952894110d0be"
+dependencies = [
+ "windows-core",
+ "windows-targets 0.52.0",
+]
+
+[[package]]
+name = "windows-core"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "33ab640c8d7e35bf8ba19b884ba838ceb4fba93a4e8c65a9059d08afcfc683d9"
+dependencies = [
+ "windows-targets 0.52.0",
+]
+
[[package]]
name = "windows-sys"
version = "0.48.0"
@@ -1445,10 +1616,19 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "dff9641d1cd4be8d1a070daf9e3773c5f67e78b4d9d42263020c057706765c04"
[[package]]
-name = "xattr"
-version = "1.2.0"
+name = "winnow"
+version = "0.6.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "914566e6413e7fa959cc394fb30e563ba80f3541fbd40816d4c05a0fc3f2a0f1"
+checksum = "d90f4e0f530c4c69f62b80d839e9ef3855edc9cba471a160c4d692deed62b401"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "xattr"
+version = "1.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8da84f1a25939b27f6820d92aed108f83ff920fdf11a7b19366c27c4cda81d4f"
dependencies = [
"libc",
"linux-raw-sys",
@@ -1456,32 +1636,28 @@ dependencies = [
]
[[package]]
-name = "zstd"
-version = "0.5.4+zstd.1.4.7"
+name = "xml-rs"
+version = "0.8.19"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "69996ebdb1ba8b1517f61387a883857818a66c8a295f487b1ffd8fd9d2c82910"
+checksum = "0fcb9cbac069e033553e8bb871be2fbdffcab578eb25bd0f7c508cedc6dcd75a"
+
+[[package]]
+name = "zip"
+version = "0.6.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "760394e246e4c28189f19d488c058bf16f564016aefac5d32bb1f3b51d5e9261"
dependencies = [
- "zstd-safe",
+ "byteorder",
+ "crc32fast",
+ "crossbeam-utils",
+ "flate2",
]
[[package]]
-name = "zstd-safe"
-version = "2.0.6+zstd.1.4.7"
+name = "zune-inflate"
+version = "0.2.54"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "98aa931fb69ecee256d44589d19754e61851ae4769bf963b385119b1cc37a49e"
+checksum = "73ab332fe2f6680068f3582b16a24f90ad7096d5d39b974d1c0aff0125116f02"
dependencies = [
- "libc",
- "zstd-sys",
-]
-
-[[package]]
-name = "zstd-sys"
-version = "1.4.18+zstd.1.4.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a1e6e8778706838f43f771d80d37787cb2fe06dafe89dd3aebaf6721b9eaec81"
-dependencies = [
- "cc",
- "glob",
- "itertools 0.9.0",
- "libc",
+ "simd-adler32",
]
diff --git a/pkgs/by-name/py/pyxel/never-bundle-sdl2.patch b/pkgs/by-name/py/pyxel/never-bundle-sdl2.patch
new file mode 100644
index 000000000000..e3448d4ede63
--- /dev/null
+++ b/pkgs/by-name/py/pyxel/never-bundle-sdl2.patch
@@ -0,0 +1,13 @@
+diff --git a/crates/pyxel-platform/build.rs b/crates/pyxel-platform/build.rs
+index 35cdeef5..68a93ed9 100644
+--- a/crates/pyxel-platform/build.rs
++++ b/crates/pyxel-platform/build.rs
+@@ -30,7 +30,7 @@ impl SDL2BindingsBuilder {
+ }
+
+ fn should_bundle_sdl2(&self) -> bool {
+- self.target_os.contains("windows") || self.target_os == "darwin"
++ false
+ }
+
+ fn download_sdl2(&self) {
diff --git a/pkgs/by-name/py/pyxel/package.nix b/pkgs/by-name/py/pyxel/package.nix
new file mode 100644
index 000000000000..4c9cd40682ff
--- /dev/null
+++ b/pkgs/by-name/py/pyxel/package.nix
@@ -0,0 +1,75 @@
+{ lib
+, stdenv
+, python3
+, fetchFromGitHub
+, rustPlatform
+, SDL2
+, libiconv
+, darwin
+}:
+
+python3.pkgs.buildPythonApplication rec {
+ pname = "pyxel";
+ version = "2.0.7";
+ pyproject = true;
+
+ disabled = python3.pythonOlder "3.7";
+
+ src = fetchFromGitHub {
+ owner = "kitao";
+ repo = "pyxel";
+ rev = "v${version}";
+ hash = "sha256-5Jrwfi79HbS4hh+eMwI49Rsk4jrAdAuDhNpUT2cEvDo=";
+ };
+
+ patches = [
+ ./never-bundle-sdl2.patch
+ ./update-bindgen-f16-support.patch # can be removed once rust-bindgen gets a new release
+ ];
+
+ cargoRoot = "crates/pyxel-wrapper";
+
+ # Lockfile is generated by applying patches with `git apply`
+ # and then running `cargo generate-lockfile` in `crates/pyxel-wrapper`
+ cargoDeps = rustPlatform.importCargoLock {
+ lockFile = ./Cargo.lock;
+ outputHashes = {
+ "bindgen-0.69.1" = "sha256-1967EmuyWgmrKmhwAcW49dlmuWPNuKjuRr5/u7ZKpXQ=";
+ };
+ };
+
+ postPatch = ''
+ cp ${./Cargo.lock} crates/pyxel-wrapper/Cargo.lock
+ '';
+
+ nativeBuildInputs = with rustPlatform; [
+ cargoSetupHook
+ maturinBuildHook
+ bindgenHook
+ ];
+
+ buildInputs = [ SDL2 ] ++ lib.optionals stdenv.isDarwin [
+ libiconv
+ darwin.apple_sdk.frameworks.IOKit
+ ];
+
+ env.NIX_CFLAGS_COMPILE = "-I${lib.getDev SDL2}/include/SDL2";
+
+ # Tests can't use the display
+ dontCheck = true;
+
+ pythonImportsCheck = [
+ "pyxel"
+ "pyxel.pyxel_wrapper"
+ ];
+
+ meta = {
+ changelog = "https://github.com/kitao/pyxel/tree/${src.rev}/CHANGELOG.md";
+ description = "A retro game engine for Python";
+ homepage = "https://github.com/kitao/pyxel";
+ license = lib.licenses.mit;
+ mainProgram = "pyxel";
+ maintainers = with lib.maintainers; [ tomasajt ];
+ platforms = lib.platforms.linux ++ lib.platforms.darwin;
+ };
+}
diff --git a/pkgs/by-name/py/pyxel/update-bindgen-f16-support.patch b/pkgs/by-name/py/pyxel/update-bindgen-f16-support.patch
new file mode 100644
index 000000000000..9b7257c7670c
--- /dev/null
+++ b/pkgs/by-name/py/pyxel/update-bindgen-f16-support.patch
@@ -0,0 +1,13 @@
+diff --git a/crates/pyxel-platform/Cargo.toml b/crates/pyxel-platform/Cargo.toml
+index 01a87b68..088ffbdd 100644
+--- a/crates/pyxel-platform/Cargo.toml
++++ b/crates/pyxel-platform/Cargo.toml
+@@ -22,7 +22,7 @@ parking_lot = "0.12"
+ paste = "1.0"
+
+ [build-dependencies]
+-bindgen = "0.69"
++bindgen = { git = "https://github.com/rust-lang/rust-bindgen.git", rev = "d77e53ed8398743bf68831d25063719fa0f4f136" }
+ cmake = "0.1"
+ flate2 = "1.0"
+ tar = "0.4"
diff --git a/pkgs/by-name/qa/qadwaitadecorations/package.nix b/pkgs/by-name/qa/qadwaitadecorations/package.nix
index def921a3f6d0..1949373f2d1c 100644
--- a/pkgs/by-name/qa/qadwaitadecorations/package.nix
+++ b/pkgs/by-name/qa/qadwaitadecorations/package.nix
@@ -19,13 +19,13 @@ let
in stdenv.mkDerivation (finalAttrs: {
pname = "qadwaitadecorations";
- version = "0.1.4";
+ version = "0.1.5";
src = fetchFromGitHub {
owner = "FedoraQt";
repo = "QAdwaitaDecorations";
rev = finalAttrs.version;
- hash = "sha256-vG6nK+9hUX0ZxNFz5ZA/EC1rSFTGl5rDTBlsraRlrTU=";
+ hash = "sha256-aqjm93tmBfDkmce1WG5xx8MCDCvo6AOrRHArj/+Ko9E=";
};
nativeBuildInputs = [
diff --git a/pkgs/applications/misc/qdirstat/default.nix b/pkgs/by-name/qd/qdirstat/package.nix
similarity index 72%
rename from pkgs/applications/misc/qdirstat/default.nix
rename to pkgs/by-name/qd/qdirstat/package.nix
index dc112d2d6935..e933cafc2e80 100644
--- a/pkgs/applications/misc/qdirstat/default.nix
+++ b/pkgs/by-name/qd/qdirstat/package.nix
@@ -1,23 +1,26 @@
-{ lib, fetchFromGitHub, qmake
-, coreutils, xdg-utils, bash
-, makeWrapper, perlPackages, mkDerivation }:
+{
+ lib,
+ fetchFromGitHub,
+ libsForQt5,
+ coreutils,
+ xdg-utils,
+ bash,
+ makeWrapper,
+ perlPackages,
+}:
-let
+libsForQt5.mkDerivation rec {
pname = "qdirstat";
version = "1.9";
src = fetchFromGitHub {
owner = "shundhammer";
- repo = pname;
+ repo = "qdirstat";
rev = version;
- sha256 = "sha256-pwdmltHDNwUMx1FNOoiXl5Pna0zlKqahmicBCN6UVSU=";
+ hash = "sha256-pwdmltHDNwUMx1FNOoiXl5Pna0zlKqahmicBCN6UVSU=";
};
-in
-mkDerivation {
- inherit pname version src;
-
- nativeBuildInputs = [ qmake makeWrapper ];
+ nativeBuildInputs = [ makeWrapper ] ++ (with libsForQt5; [ qmake ]);
buildInputs = [ perlPackages.perl ];
@@ -49,9 +52,9 @@ mkDerivation {
meta = with lib; {
description = "Graphical disk usage analyzer";
- homepage = src.meta.homepage;
+ homepage = "https://github.com/shundhammer/qdirstat";
license = licenses.gpl2Plus;
- maintainers = with maintainers; [ ];
+ maintainers = with maintainers; [ donovanglover ];
platforms = platforms.linux;
mainProgram = "qdirstat";
};
diff --git a/pkgs/by-name/qg/qgrep/package.nix b/pkgs/by-name/qg/qgrep/package.nix
index 57f7d0088d65..57c3d20db283 100644
--- a/pkgs/by-name/qg/qgrep/package.nix
+++ b/pkgs/by-name/qg/qgrep/package.nix
@@ -45,6 +45,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Fast regular expression grep for source code with incremental index updates";
+ mainProgram = "qgrep";
homepage = "https://github.com/zeux/qgrep";
license = licenses.mit;
maintainers = [ maintainers.yrashk ];
diff --git a/pkgs/by-name/qr/qrtool/package.nix b/pkgs/by-name/qr/qrtool/package.nix
index 0eca4c6ada02..274804829962 100644
--- a/pkgs/by-name/qr/qrtool/package.nix
+++ b/pkgs/by-name/qr/qrtool/package.nix
@@ -8,16 +8,16 @@
rustPlatform.buildRustPackage rec {
pname = "qrtool";
- version = "0.10.4";
+ version = "0.10.6";
src = fetchFromGitHub {
owner = "sorairolake";
repo = "qrtool";
rev = "v${version}";
- sha256 = "sha256-b1dNGEdjmY2RSZ3M7lwWVeookMij2rUsVtevsYYNtw0=";
+ sha256 = "sha256-uniYvYFrwlYfTPpg4lKa2GLURdLHDRFfym8FjrKfSL4=";
};
- cargoHash = "sha256-9Zd4zETDy8iM/rrZI55NOybpa4Sn9AzYsNYmLDzxL+Q=";
+ cargoHash = "sha256-f0ufdFGrOIHFrDLz3rwNSl3AlM6um0r9bQzfn0Syd1M=";
nativeBuildInputs = [ asciidoctor installShellFiles ];
diff --git a/pkgs/by-name/qt/qtractor/package.nix b/pkgs/by-name/qt/qtractor/package.nix
index a19e84467f8d..67f2e2b86501 100644
--- a/pkgs/by-name/qt/qtractor/package.nix
+++ b/pkgs/by-name/qt/qtractor/package.nix
@@ -29,11 +29,11 @@
stdenv.mkDerivation rec {
pname = "qtractor";
- version = "0.9.38";
+ version = "0.9.39";
src = fetchurl {
url = "mirror://sourceforge/qtractor/qtractor-${version}.tar.gz";
- hash = "sha256-aAUOz9gztk9ynQYRq+mniUk++rM6Rdne9U1QM7jKPcU=";
+ hash = "sha256-5gyPNxthrBbSHvlvJbQ0rvxVEq68uQEg+qnxHQb+NVU=";
};
nativeBuildInputs = [
diff --git a/pkgs/by-name/qu/quark-goldleaf/99-quark-goldleaf.rules b/pkgs/by-name/qu/quark-goldleaf/99-quark-goldleaf.rules
new file mode 100644
index 000000000000..aa79720d7b55
--- /dev/null
+++ b/pkgs/by-name/qu/quark-goldleaf/99-quark-goldleaf.rules
@@ -0,0 +1,2 @@
+# Nintendo Switch HOS
+SUBSYSTEM=="usb", ATTRS{idVendor}=="057e", ATTRS{idProduct}=="3000", MODE="0666"
diff --git a/pkgs/by-name/qu/quark-goldleaf/fix-maven-plugin-versions.patch b/pkgs/by-name/qu/quark-goldleaf/fix-maven-plugin-versions.patch
new file mode 100644
index 000000000000..efe2e13c69cc
--- /dev/null
+++ b/pkgs/by-name/qu/quark-goldleaf/fix-maven-plugin-versions.patch
@@ -0,0 +1,88 @@
+diff --git a/pom.xml b/pom.xml
+index 5a683ca..be71e5d 100644
+--- a/pom.xml
++++ b/pom.xml
+@@ -104,7 +105,7 @@
+
+ org.apache.maven.plugins
+ maven-compiler-plugin
+- 3.1
++ 3.11.0
+
+
+ 1.8
+@@ -113,7 +114,7 @@
+
+
+ maven-jar-plugin
+- 2.4
++ 3.3.0
+
+
+ default-jar
+@@ -134,7 +135,7 @@
+
+ org.apache.maven.plugins
+ maven-assembly-plugin
+- 3.1.0
++ 3.6.0
+
+ Quark
+ false
+@@ -157,6 +158,56 @@
+
+
+
++
++
++ org.apache.maven.plugins
++ maven-enforcer-plugin
++ 3.3.0
++
++
++ require-all-plugin-versions-to-be-set
++ validate
++
++ enforce
++
++
++
++
++
++
++
++
++
++
++ org.apache.maven.plugins
++ maven-deploy-plugin
++ 3.1.1
++
++
++ org.apache.maven.plugins
++ maven-resources-plugin
++ 3.3.1
++
++
++ org.apache.maven.plugins
++ maven-site-plugin
++ 4.0.0-M9
++
++
++ org.apache.maven.plugins
++ maven-install-plugin
++ 3.1.1
++
++
++ org.apache.maven.plugins
++ maven-clean-plugin
++ 3.3.1
++
++
++ org.apache.maven.plugins
++ maven-surefire-plugin
++ 3.1.2
++
+
+
+
diff --git a/pkgs/by-name/qu/quark-goldleaf/package.nix b/pkgs/by-name/qu/quark-goldleaf/package.nix
new file mode 100644
index 000000000000..71321a76fbd5
--- /dev/null
+++ b/pkgs/by-name/qu/quark-goldleaf/package.nix
@@ -0,0 +1,114 @@
+{ lib
+, jdk
+, maven
+, fetchFromGitHub
+, fetchpatch
+, makeDesktopItem
+, copyDesktopItems
+, imagemagick
+, wrapGAppsHook
+, gtk3
+}:
+
+let
+ jdk' = jdk.override { enableJavaFX = true; };
+ maven' = maven.override { jdk = jdk'; };
+in
+maven'.buildMavenPackage rec {
+ pname = "quark-goldleaf";
+ version = "1.0.0";
+
+ src = fetchFromGitHub {
+ owner = "XorTroll";
+ repo = "Goldleaf";
+ rev = version;
+ hash = "sha256-gagIQGOiygJ0Onm0SrkbFWaovqWX2WJNx7LpSRheCLM=";
+ };
+
+ sourceRoot = "${src.name}/Quark";
+
+ patches = [
+ ./fix-maven-plugin-versions.patch
+ ./remove-pom-jfx.patch
+ (fetchpatch {
+ name = "fix-config-path.patch";
+ url = "https://github.com/XorTroll/Goldleaf/commit/714ecc2755df9c1252615ad02cafff9c0311a739.patch";
+ hash = "sha256-4j+6uLIOdltZ4XIb3OtOzZg9ReH9660gZMMNQpHnn4o=";
+ relative = "Quark";
+ })
+ ];
+
+ mvnHash = "sha256-gA3HsQZFa2POP9cyJLb1l8t3hrJYzDowhJU+5Xl79p4=";
+
+ # set fixed build timestamp for deterministic jar
+ mvnParameters = "-Dproject.build.outputTimestamp=1980-01-01T00:00:02Z";
+
+ nativeBuildInputs = [
+ imagemagick # for icon conversion
+ copyDesktopItems
+ wrapGAppsHook
+ ];
+
+ buildInputs = [ gtk3 ];
+
+ # don't double-wrap
+ dontWrapGApps = true;
+
+ installPhase = ''
+ runHook preInstall
+
+ install -Dm644 ${./99-quark-goldleaf.rules} $out/etc/udev/rules.d/99-quark-goldleaf.rules
+ install -Dm644 target/Quark.jar $out/share/java/quark-goldleaf.jar
+
+ for size in 16 24 32 48 64 128; do
+ mkdir -p $out/share/icons/hicolor/"$size"x"$size"/apps
+ convert -resize "$size"x"$size" src/main/resources/Icon.png $out/share/icons/hicolor/"$size"x"$size"/apps/quark-goldleaf.png
+ done
+
+ runHook postInstall
+ '';
+
+ postFixup = ''
+ # This is in postFixup because gappsWrapperArgs are generated during preFixup
+ makeWrapper ${jdk'}/bin/java $out/bin/quark-goldleaf \
+ "''${gappsWrapperArgs[@]}" \
+ --add-flags "-jar $out/share/java/quark-goldleaf.jar"
+ '';
+
+ desktopItems = [
+ (makeDesktopItem {
+ name = "quark-goldleaf";
+ exec = "quark-goldleaf";
+ icon = "quark-goldleaf";
+ desktopName = "Quark";
+ comment = meta.description;
+ terminal = false;
+ categories = [ "Utility" "FileTransfer" ];
+ keywords = [ "nintendo" "switch" "goldleaf" ];
+ })
+ ];
+
+ meta = {
+ changelog = "https://github.com/XorTroll/Goldleaf/releases/tag/${src.rev}";
+ description = "A GUI tool for transfering files between a computer and a Nintendo Switch running Goldleaf";
+ homepage = "https://github.com/XorTroll/Goldleaf#quark-and-remote-browsing";
+ longDescription = ''
+ ${meta.description}
+
+ For the program to work properly, you will have to install Nintendo Switch udev rules.
+
+ You can either do this by enabling the NixOS module:
+
+ `programs.quark-goldleaf.enable = true;`
+
+ or by adding the package manually to udev packages:
+
+ `services.udev.packages = [ pkgs.quark-goldleaf ];
+ '';
+ license = lib.licenses.gpl3Only;
+ mainProgram = "quark-goldleaf";
+ maintainers = with lib.maintainers; [ tomasajt ];
+ platforms = with lib.platforms; linux ++ darwin;
+ };
+}
+
diff --git a/pkgs/by-name/qu/quark-goldleaf/remove-pom-jfx.patch b/pkgs/by-name/qu/quark-goldleaf/remove-pom-jfx.patch
new file mode 100644
index 000000000000..3a28ee27086a
--- /dev/null
+++ b/pkgs/by-name/qu/quark-goldleaf/remove-pom-jfx.patch
@@ -0,0 +1,93 @@
+diff --git a/pom.xml b/pom.xml
+index 51ce56b..44dcd09 100644
+--- a/pom.xml
++++ b/pom.xml
+@@ -15,72 +15,6 @@
+
+
+
+-
+- org.openjfx
+- javafx-controls
+- 17
+- linux
+- compile
+-
+-
+- org.openjfx
+- javafx-controls
+- 17
+- win
+- compile
+-
+-
+- org.openjfx
+- javafx-controls
+- 17
+- mac
+- compile
+-
+-
+-
+- org.openjfx
+- javafx-fxml
+- 17
+- linux
+- compile
+-
+-
+- org.openjfx
+- javafx-fxml
+- 17
+- win
+- compile
+-
+-
+- org.openjfx
+- javafx-fxml
+- 17
+- mac
+- compile
+-
+-
+-
+- org.openjfx
+- javafx-graphics
+- 17
+- linux
+- compile
+-
+-
+- org.openjfx
+- javafx-graphics
+- 17
+- win
+- compile
+-
+-
+- org.openjfx
+- javafx-graphics
+- 17
+- mac
+- compile
+-
+-
+
+ org.usb4java
+ usb4java-javax
+@@ -123,15 +57,6 @@
+
+
+
+-
+- org.openjfx
+- javafx-maven-plugin
+- 0.0.8
+-
+- xortroll.goldleaf.quark.Main
+-
+-
+-
+
+ org.apache.maven.plugins
+ maven-assembly-plugin
diff --git a/pkgs/by-name/qu/quickjs-ng/package.nix b/pkgs/by-name/qu/quickjs-ng/package.nix
index 13e728b4b66b..88b46c8377f2 100644
--- a/pkgs/by-name/qu/quickjs-ng/package.nix
+++ b/pkgs/by-name/qu/quickjs-ng/package.nix
@@ -8,13 +8,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "quickjs-ng";
- version = "0.3.0";
+ version = "0.4.1";
src = fetchFromGitHub {
owner = "quickjs-ng";
repo = "quickjs";
rev = "v${finalAttrs.version}";
- hash = "sha256-4nFc9xdxrfRWeOY9VNQAI4Ph7G1GMnw06XZiO6xA72o=";
+ hash = "sha256-mo+YBhaCqGRWfVRvZCD0iB2pd/DsHsfWGFeFxwwyxPk=";
};
outputs = [ "bin" "out" "dev" "doc" "info" ];
diff --git a/pkgs/by-name/qu/quicktype/package.nix b/pkgs/by-name/qu/quicktype/package.nix
index 585dd1ebf471..fb4a801af6bd 100644
--- a/pkgs/by-name/qu/quicktype/package.nix
+++ b/pkgs/by-name/qu/quicktype/package.nix
@@ -1,14 +1,14 @@
-{ lib, buildNpmPackage, fetchFromGitHub, jq }:
+{ lib, buildNpmPackage, fetchFromGitHub, jq, quicktype, testers }:
buildNpmPackage rec {
pname = "quicktype";
- version = "23.0.81"; # version from https://npm.im/quicktype
+ version = "23.0.105"; # version from https://npm.im/quicktype
src = fetchFromGitHub {
owner = "glideapps";
repo = "quicktype";
- rev = "838c5e0e63a50d7c7790dc81118e664480fc4a80"; # version not tagged
- hash = "sha256-+VMkfkBSomxxlkuOeMqBCySe7VCx2K5bIdF/tmVgK/Y=";
+ rev = "0b5924db1d3858d6f4abe5923cce53b2f4e581aa"; # version not tagged
+ hash = "sha256-JqpTnIhxLxLECqW8DjG1Oig/HOs9PpwmjdfhwE8sJAA=";
};
postPatch = ''
@@ -21,6 +21,10 @@ buildNpmPackage rec {
mv packages/ $out/lib/node_modules/quicktype/
'';
+ passthru.tests = {
+ version = testers.testVersion { package = quicktype; };
+ };
+
meta = with lib; {
description = "Generate types and converters from JSON, Schema, and GraphQL";
homepage = "https://quicktype.io/";
diff --git a/pkgs/by-name/ra/raspberrypi-eeprom/package.nix b/pkgs/by-name/ra/raspberrypi-eeprom/package.nix
index 533ce4847317..afad529c8261 100644
--- a/pkgs/by-name/ra/raspberrypi-eeprom/package.nix
+++ b/pkgs/by-name/ra/raspberrypi-eeprom/package.nix
@@ -12,13 +12,13 @@
}:
stdenvNoCC.mkDerivation (finalAttrs: {
pname = "raspberrypi-eeprom";
- version = "2024.01.05-2712";
+ version = "2024.02.16-2712";
src = fetchFromGitHub {
owner = "raspberrypi";
repo = "rpi-eeprom";
- rev = "refs/tags/v.${finalAttrs.version}";
- hash = "sha256-/DWnGtNyN9DEDNdz+mOBWu38bGj7YIbbgqUVN/B2VcM=";
+ rev = "refs/tags/v${finalAttrs.version}";
+ hash = "sha256-R3X7JaS8Ob6KwN1KYaJDZA8aI4Hv6Y3R+nOMSPjt2ew=";
};
buildInputs = [ python3 ];
diff --git a/pkgs/by-name/rc/rclip/package.nix b/pkgs/by-name/rc/rclip/package.nix
index 938ee211ca89..e98c41815e75 100644
--- a/pkgs/by-name/rc/rclip/package.nix
+++ b/pkgs/by-name/rc/rclip/package.nix
@@ -4,14 +4,14 @@
}:
python3Packages.buildPythonApplication rec {
pname = "rclip";
- version = "1.7.24";
+ version = "1.7.26";
pyproject = true;
src = fetchFromGitHub {
owner = "yurijmikhalevich";
repo = "rclip";
rev = "v${version}";
- hash = "sha256-JWtKgvSP7oaPg19vWnnCDfm7P5Uew+v9yuvH7y2eHHM=";
+ hash = "sha256-u+xnrqJXtjElVXlwkCTHztcRl998CwoTEIvaGYzGOLU=";
};
nativeBuildInputs = with python3Packages; [
diff --git a/pkgs/by-name/rc/rcp/package.nix b/pkgs/by-name/rc/rcp/package.nix
index 109d81bec9f5..0a9661f2a97a 100644
--- a/pkgs/by-name/rc/rcp/package.nix
+++ b/pkgs/by-name/rc/rcp/package.nix
@@ -5,16 +5,16 @@
rustPlatform.buildRustPackage rec {
pname = "rcp";
- version = "0.5.0";
+ version = "0.6.0";
src = fetchFromGitHub {
owner = "wykurz";
repo = "rcp";
rev = "v${version}";
- hash = "sha256-5CqQwTJAQhO9mLfMan6JhNY3N2gfwR6wmGtVBYzVxuc=";
+ hash = "sha256-a/gjphldS17W2OWUXpo+bayqaxINVLI7B27wlicT4Ks=";
};
- cargoHash = "sha256-sF7RjuVRNfJa3vw71S+BKIBLeWT6biekAE/56BsZYkw=";
+ cargoHash = "sha256-i8CrS0WlqlyXmI1waYrbiSFifAn5vqRW0YeQ1Izu0XE=";
checkFlags = [
# this test also sets setuid permissions on a test file (3oXXX) which doesn't work in a sandbox
diff --git a/pkgs/by-name/rd/rdwatool/package.nix b/pkgs/by-name/rd/rdwatool/package.nix
new file mode 100644
index 000000000000..49459eb39a9e
--- /dev/null
+++ b/pkgs/by-name/rd/rdwatool/package.nix
@@ -0,0 +1,49 @@
+{ lib
+, fetchFromGitHub
+, python3
+}:
+
+python3.pkgs.buildPythonApplication rec {
+ pname = "rdwatool";
+ version = "1.2-unstable-2023-11-27";
+ pyproject = true;
+
+ src = fetchFromGitHub {
+ owner = "p0dalirius";
+ repo = "RDWAtool";
+ rev = "60b7816f06d155bd3d218b76b69d9419b8a82dbe";
+ hash = "sha256-0mjnZiF8DxVbI8Lr12b7jzn+x+mn6Mel8LaIy8heEdI=";
+ };
+
+ pythonRelaxDeps = [
+ "urllib3"
+ ];
+
+ pythonRemoveDeps = [
+ "bs4"
+ ];
+
+ nativeBuildInputs = with python3.pkgs; [
+ pythonRelaxDepsHook
+ setuptools
+ ];
+
+ propagatedBuildInputs = with python3.pkgs; [
+ beautifulsoup4
+ requests
+ urllib3
+ xlsxwriter
+ ];
+
+ pythonImportsCheck = [
+ "rdwatool"
+ ];
+
+ meta = with lib; {
+ description = "Tool to extract information from a Microsoft Remote Desktop Web Access (RDWA) application";
+ homepage = "https://github.com/p0dalirius/RDWAtool";
+ license = licenses.gpl2Only;
+ maintainers = with maintainers; [ fab ];
+ mainProgram = "rdwatool";
+ };
+}
diff --git a/pkgs/by-name/re/ready-check/package.nix b/pkgs/by-name/re/ready-check/package.nix
new file mode 100644
index 000000000000..84dcc83454a7
--- /dev/null
+++ b/pkgs/by-name/re/ready-check/package.nix
@@ -0,0 +1,40 @@
+{ lib
+, fetchFromGitHub
+, python3
+}:
+
+python3.pkgs.buildPythonApplication rec {
+ pname = "ready-check";
+ version = "1.2.6";
+ pyproject = true;
+
+ src = fetchFromGitHub {
+ owner = "sesh";
+ repo = "ready";
+ rev = "refs/tags/v${version}";
+ hash = "sha256-j0UY2Q1jYGRtjvaWMwgMJrNaQZQnEJ5ST4o4PAVYWVc=";
+ };
+
+ nativeBuildInputs = with python3.pkgs; [
+ setuptools
+ ];
+
+ propagatedBuildInputs = with python3.pkgs; [
+ beautifulsoup4
+ thttp
+ tld
+ ];
+
+ pythonImportsCheck = [
+ "ready"
+ ];
+
+ meta = with lib; {
+ description = "Tool to check readiness of websites";
+ homepage = "https://github.com/sesh/ready";
+ changelog = "https://github.com/sesh/ready/releases/tag/v${version}";
+ license = licenses.isc;
+ maintainers = with maintainers; [ fab ];
+ mainProgram = "ready";
+ };
+}
diff --git a/pkgs/by-name/re/recoverdm/0001-darwin-build-fixes.patch b/pkgs/by-name/re/recoverdm/0001-darwin-build-fixes.patch
new file mode 100644
index 000000000000..9a06ffd4ab65
--- /dev/null
+++ b/pkgs/by-name/re/recoverdm/0001-darwin-build-fixes.patch
@@ -0,0 +1,121 @@
+From 9b46e151b9fdaf5684618482e69ef4a307c0d47c Mon Sep 17 00:00:00 2001
+From: annalee <150648636+a-n-n-a-l-e-e@users.noreply.github.com>
+Date: Sun, 18 Feb 2024 19:54:21 +0000
+Subject: [PATCH] darwin build fixes
+
+---
+ compat.h | 14 ++++++++++++++
+ dev.c | 1 +
+ error.c | 1 +
+ io.c | 1 +
+ mergebad.c | 1 +
+ recoverdm.c | 1 +
+ utils.c | 1 +
+ utils.h | 1 +
+ 8 files changed, 21 insertions(+)
+ create mode 100644 src/compat.h
+
+diff --git a/compat.h b/compat.h
+new file mode 100644
+index 0000000..181c8ea
+--- /dev/null
++++ b/compat.h
+@@ -0,0 +1,14 @@
++#pragma once
++#ifdef __APPLE__
++#include
++_Static_assert(sizeof(off_t) == 8, "off_t must be 8 bytes");
++typedef off_t off64_t;
++#define stat64 stat
++#define lseek64 lseek
++#define open64 open
++#define POSIX_FADV_SEQUENTIAL 1
++static inline int posix_fadvise(int fd, off_t offset, off_t len, int advice)
++{
++ return 0;
++}
++#endif
+diff --git a/dev.c b/dev.c
+index c1ce748..ae3ce2c 100644
+--- a/dev.c
++++ b/dev.c
+@@ -18,6 +18,7 @@
+ #include
+ #include
+ #endif
++#include "compat.h"
+
+ #include "dev.h"
+
+diff --git a/error.c b/error.c
+index d2f8acf..550e1af 100644
+--- a/error.c
++++ b/error.c
+@@ -4,6 +4,7 @@
+ #include
+ #include
+ #include
++#include "compat.h"
+
+ void error_exit(char *format, ...)
+ {
+diff --git a/io.c b/io.c
+index 9d66534..e784d75 100644
+--- a/io.c
++++ b/io.c
+@@ -7,6 +7,7 @@
+ #include
+ #include
+ #include
++#include "compat.h"
+
+ #include "io.h"
+ #include "error.h"
+diff --git a/mergebad.c b/mergebad.c
+index 34a6ef7..580c3bc 100644
+--- a/mergebad.c
++++ b/mergebad.c
+@@ -7,6 +7,7 @@
+ #include
+ #include
+ #include
++#include "compat.h"
+
+ #include "io.h"
+ #include "dev.h"
+diff --git a/recoverdm.c b/recoverdm.c
+index 8b71ae1..5dddeb3 100644
+--- a/recoverdm.c
++++ b/recoverdm.c
+@@ -7,6 +7,7 @@
+ #include
+ #include
+ #include
++#include "compat.h"
+
+ #include "io.h"
+ #include "dev.h"
+diff --git a/utils.c b/utils.c
+index 5791404..ee42a0a 100644
+--- a/utils.c
++++ b/utils.c
+@@ -7,6 +7,7 @@
+ #include
+ #include
+ #include
++#include "compat.h"
+
+ #include "io.h"
+ #include "dev.h"
+diff --git a/utils.h b/utils.h
+index c749c2e..acb0888 100644
+--- a/utils.h
++++ b/utils.h
+@@ -1,3 +1,4 @@
++#include "compat.h"
+ void * mymalloc(size_t size, char *what);
+ void * myrealloc(void *oldp, size_t newsize, char *what);
+ off64_t get_filesize(char *filename);
+--
+2.43.0
+
diff --git a/pkgs/by-name/re/recoverdm/package.nix b/pkgs/by-name/re/recoverdm/package.nix
new file mode 100644
index 000000000000..b0de4b7d1cfe
--- /dev/null
+++ b/pkgs/by-name/re/recoverdm/package.nix
@@ -0,0 +1,53 @@
+{ lib
+, stdenv
+, fetchFromGitLab
+, fetchpatch
+, installShellFiles
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "recoverdm";
+ version = "0.20-8";
+
+ src = fetchFromGitLab {
+ domain = "salsa.debian.org";
+ owner = "pkg-security-team";
+ repo = "recoverdm";
+ rev = "debian/${finalAttrs.version}";
+ hash = "sha256-1iW3Ug85ZLGpvG29N5zJt8oooSQGnLsr+8XIcp4aSSM=";
+ };
+
+ patches = let patch = name: "./debian/patches/${name}"; in [
+ (patch "10_fix-makefile.patch")
+ (patch "20_fix-typo-binary.patch")
+ (patch "30-fix-BTS-mergebad-crash.patch")
+ (patch "40_dev-c.patch")
+ ./0001-darwin-build-fixes.patch
+ ];
+
+ postPatch = ''
+ substituteInPlace Makefile \
+ --replace-fail '$(DESTDIR)/usr/bin' $out/bin
+ '';
+
+ nativeBuildInputs = [
+ installShellFiles
+ ];
+
+ preInstall = ''
+ mkdir -p $out/bin
+ '';
+
+ postInstall = ''
+ installManPage recoverdm.1
+ '';
+
+ meta = with lib; {
+ description = "Recover damaged CD DVD and disks with bad sectors";
+ mainProgram = "recoverdm";
+ homepage = "https://salsa.debian.org/pkg-security-team/recoverdm";
+ maintainers = with maintainers; [ d3vil0p3r ];
+ platforms = platforms.unix;
+ license = licenses.gpl1Only;
+ };
+})
diff --git a/pkgs/by-name/re/rectangle-pro/package.nix b/pkgs/by-name/re/rectangle-pro/package.nix
index 710ec7121c98..0768912463bb 100644
--- a/pkgs/by-name/re/rectangle-pro/package.nix
+++ b/pkgs/by-name/re/rectangle-pro/package.nix
@@ -6,11 +6,11 @@
stdenvNoCC.mkDerivation (finalAttrs: {
pname = "rectangle-pro";
- version = "3.0.11";
+ version = "3.0.19";
src = fetchurl {
url = "https://rectangleapp.com/pro/downloads/Rectangle%20Pro%20${finalAttrs.version}.dmg";
- hash = "sha256-Hs2eRO5DpYoY0rLfcmGZRHjmg+wddz/+LE0u4E9gCTk=";
+ hash = "sha256-ZWIjxaxV90I42Stg7jFUItJBZLoXm8iLIeQzcssRQLA=";
};
sourceRoot = ".";
diff --git a/pkgs/by-name/re/redfishtool/package.nix b/pkgs/by-name/re/redfishtool/package.nix
new file mode 100644
index 000000000000..d9457c3e1e6d
--- /dev/null
+++ b/pkgs/by-name/re/redfishtool/package.nix
@@ -0,0 +1,28 @@
+{ lib
+, fetchPypi
+, python3
+}:
+
+let
+ pname = "redfishtool";
+ version = "1.1.8";
+in
+python3.pkgs.buildPythonApplication {
+ inherit pname version;
+ format = "setuptools";
+
+ src = fetchPypi {
+ inherit pname version;
+ hash = "sha256-X/G6osOHCBidKZG/Y2nmHadifDacJhjBIc7WYrUCPn8=";
+ };
+
+ propagatedBuildInputs = with python3.pkgs; [ requests python-dateutil ];
+
+ meta = with lib; {
+ description = "A Python34 program that implements a command line tool for accessing the Redfish API";
+ homepage = "https://github.com/DMTF/Redfishtool";
+ license = licenses.bsd3;
+ maintainers = with maintainers; [ jfvillablanca ];
+ mainProgram = "redfishtool";
+ };
+}
diff --git a/pkgs/by-name/re/redocly-cli/package.nix b/pkgs/by-name/re/redocly-cli/package.nix
index aa1c49cae249..fd3606c1e4c8 100644
--- a/pkgs/by-name/re/redocly-cli/package.nix
+++ b/pkgs/by-name/re/redocly-cli/package.nix
@@ -6,16 +6,16 @@
buildNpmPackage rec {
pname = "redocly-cli";
- version = "1.5.0";
+ version = "1.6.0";
src = fetchFromGitHub {
owner = "Redocly";
repo = "redocly-cli";
rev = "@redocly/cli@${version}";
- hash = "sha256-Wi3IxPeNqD1s1Q0Pi9cCus6jCQM0noBTHIAp9HUSpZk=";
+ hash = "sha256-xoehTTpXT/9tlL0VoDQwKbyUnNGeMyH+VBIVLiz69ko=";
};
- npmDepsHash = "sha256-BcjQ9z2i1YBt6lBqgkRcv29P/WZeuGjVSeVmekaFugM=";
+ npmDepsHash = "sha256-9fI9P96iNmHrhjbgjePpRnknFe3yIjkirOoIMkVGkH4=";
npmBuildScript = "prepare";
diff --git a/pkgs/by-name/re/regols/package.nix b/pkgs/by-name/re/regols/package.nix
index 3b62c387d40f..64515e5ed72e 100644
--- a/pkgs/by-name/re/regols/package.nix
+++ b/pkgs/by-name/re/regols/package.nix
@@ -15,6 +15,7 @@ buildGoModule rec {
meta = with lib; {
description = "OPA Rego language server";
+ mainProgram = "regols";
homepage = "https://github.com/kitagry/regols";
license = licenses.mit;
maintainers = with maintainers; [ alias-dev ];
diff --git a/pkgs/by-name/re/ren-find/package.nix b/pkgs/by-name/re/ren-find/package.nix
new file mode 100644
index 000000000000..caddd17ae1d5
--- /dev/null
+++ b/pkgs/by-name/re/ren-find/package.nix
@@ -0,0 +1,26 @@
+{ lib
+, rustPlatform
+, fetchFromGitHub
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "ren-find";
+ version = "0-unstable-2024-01-11";
+
+ src = fetchFromGitHub {
+ owner = "robenkleene";
+ repo = "ren-find";
+ rev = "50c40172e354caffee48932266edd7c7a76a20f";
+ hash = "sha256-zVIt6Xp+Mvym6gySvHIZJt1QgzKVP/wbTGTubWk6kzI=";
+ };
+
+ cargoHash = "sha256-pUy8850v4m9P5OuL15qxmDDQYYyae9HFXRbg3b4f3Lw=";
+
+ meta = with lib; {
+ description = "A command-line utility that takes find-formatted lines and batch renames them.";
+ homepage = "https://github.com/robenkleene/ren-find";
+ license = licenses.mit;
+ maintainers = with maintainers; [ philiptaron ];
+ mainProgram = "ren";
+ };
+}
diff --git a/pkgs/by-name/re/renode-dts2repl/package.nix b/pkgs/by-name/re/renode-dts2repl/package.nix
index 4726ee47421a..8f277a02e220 100644
--- a/pkgs/by-name/re/renode-dts2repl/package.nix
+++ b/pkgs/by-name/re/renode-dts2repl/package.nix
@@ -6,14 +6,14 @@
python3.pkgs.buildPythonApplication {
pname = "renode-dts2repl";
- version = "unstable-2024-02-08";
+ version = "unstable-2024-03-19";
pyproject = true;
src = fetchFromGitHub {
owner = "antmicro";
repo = "dts2repl";
- rev = "6e8ab15760db19614122bd54c8bb39217fbcb318";
- hash = "sha256-bJFqAcEdjMyHSk0iji4jc0Vw45zEAmCqDWjAOIZfXWs=";
+ rev = "dc4160a3a4c23aee846625ac9115fe2cbb91fe42";
+ hash = "sha256-cy4XLKKiWqEvWWDHmj2bhp38pbtSxp+P92r7NxueAaE=";
};
nativeBuildInputs = [
diff --git a/pkgs/by-name/re/renode-unstable/package.nix b/pkgs/by-name/re/renode-unstable/package.nix
index 680df83aa093..15fbcdc11fdc 100644
--- a/pkgs/by-name/re/renode-unstable/package.nix
+++ b/pkgs/by-name/re/renode-unstable/package.nix
@@ -7,10 +7,10 @@
inherit buildUnstable;
}).overrideAttrs (finalAttrs: _: {
pname = "renode-unstable";
- version = "1.14.0+20240212git8eb88bb9c";
+ version = "1.15.0+20240320git97be875a3";
src = fetchurl {
url = "https://builds.renode.io/renode-${finalAttrs.version}.linux-portable.tar.gz";
- hash = "sha256-WwsIiyKF6hskv6NSTPiyY80nE3q97xzH359wFmN0OkU=";
+ hash = "sha256-+1tOZ44fg/Z4n4gjPylRQlRE7KnL0AGcODlue/HLb3I=";
};
})
diff --git a/pkgs/by-name/re/renode/package.nix b/pkgs/by-name/re/renode/package.nix
index 33646daac8c5..7ea55e0fff98 100644
--- a/pkgs/by-name/re/renode/package.nix
+++ b/pkgs/by-name/re/renode/package.nix
@@ -23,11 +23,11 @@ let
in
stdenv.mkDerivation (finalAttrs: {
pname = "renode";
- version = "1.14.0";
+ version = "1.15.0";
src = fetchurl {
- url = "https://builds.renode.io/renode-${finalAttrs.version}.linux-portable.tar.gz";
- hash = "sha256-1wfVHtCYc99ACz8m2XEg1R0nIDh9xP4ffV/vxeeEHxE=";
+ url = "https://github.com/renode/renode/releases/download/v${finalAttrs.version}/renode-${finalAttrs.version}.linux-portable.tar.gz";
+ hash = "sha256-w3HKYctW1LmiAse/27Y1Gmz9hDprQ1CK7+TXIexCrkg=";
};
nativeBuildInputs = [
@@ -95,7 +95,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
description = "Virtual development framework for complex embedded systems";
- homepage = "https://renode.org";
+ homepage = "https://renode.io";
license = lib.licenses.bsd3;
maintainers = with lib.maintainers; [ otavio ];
platforms = [ "x86_64-linux" ];
diff --git a/pkgs/by-name/re/rep-grep/package.nix b/pkgs/by-name/re/rep-grep/package.nix
new file mode 100644
index 000000000000..1869938cb55b
--- /dev/null
+++ b/pkgs/by-name/re/rep-grep/package.nix
@@ -0,0 +1,26 @@
+{ lib
+, rustPlatform
+, fetchFromGitHub
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "rep-grep";
+ version = "0-unstable-2024-02-06";
+
+ src = fetchFromGitHub {
+ owner = "robenkleene";
+ repo = "rep-grep";
+ rev = "10510d47e392cb9d30a861c69f702fd194b3fa88";
+ hash = "sha256-/dH+mNtNHaYFndVhoqmz4Sc3HeemoQt1HGD98mb9Qhw=";
+ };
+
+ cargoHash = "sha256-ch+RMLc+xogL0gkrnw+n+bmUVIcixdPTaNPHPuJ0/EI=";
+
+ meta = with lib; {
+ description = "A command-line utility that takes grep-formatted lines and performs a find-and-replace on them.";
+ homepage = "https://github.com/robenkleene/rep-grep";
+ license = licenses.mit;
+ maintainers = with maintainers; [ philiptaron ];
+ mainProgram = "rep";
+ };
+}
diff --git a/pkgs/by-name/re/reproxy/package.nix b/pkgs/by-name/re/reproxy/package.nix
new file mode 100644
index 000000000000..f90ad1246cf8
--- /dev/null
+++ b/pkgs/by-name/re/reproxy/package.nix
@@ -0,0 +1,37 @@
+{ lib, stdenv, buildGoModule, fetchFromGitHub }:
+
+buildGoModule rec {
+ pname = "reproxy";
+ version = "1.1.1";
+
+ src = fetchFromGitHub {
+ owner = "umputun";
+ repo = "reproxy";
+ rev = "v${version}";
+ hash = "sha256-/ydpqi7O4z41YxYb/RngPWk/79h3MIxAopzqIDMgw1g=";
+ };
+
+ vendorHash = null;
+
+ ldflags = [
+ "-s" "-w" "-X main.revision=${version}"
+ ];
+
+ checkFlags = [
+ # Requires network access or fluky
+ "-skip=^Test(_MainWithPlugin|_MainWithSSL|_Main|Http_matchHandler|Http_withBasicAuth|File_Events|File_Events_BusyListener)$"
+ ];
+
+ postInstall = ''
+ mv $out/bin/{app,reproxy}
+ '';
+
+ meta = with lib; {
+ description = "Simple edge server / reverse proxy";
+ homepage = "https://reproxy.io/";
+ changelog = "https://github.com/umputun/reproxy/releases/tag/${src.rev}";
+ license = licenses.mit;
+ maintainers = with maintainers; [ sikmir ];
+ mainProgram = "reproxy";
+ };
+}
diff --git a/pkgs/by-name/re/rerun/0001-re_space_view_time_series-utils-patch-out-doctests-w.patch b/pkgs/by-name/re/rerun/0001-re_space_view_time_series-utils-patch-out-doctests-w.patch
new file mode 100644
index 000000000000..d43ae339641e
--- /dev/null
+++ b/pkgs/by-name/re/rerun/0001-re_space_view_time_series-utils-patch-out-doctests-w.patch
@@ -0,0 +1,31 @@
+From f6c5dde13a39bd149d892162e2ef72267f4c4a57 Mon Sep 17 00:00:00 2001
+From: Someone Serge
+Date: Thu, 15 Feb 2024 18:05:16 +0000
+Subject: [PATCH] re_space_view_time_series: utils: patch out doctests w
+ unstable features
+
+---
+ crates/re_space_view_time_series/src/util.rs | 7 +------
+ 1 file changed, 1 insertion(+), 6 deletions(-)
+
+diff --git a/crates/re_space_view_time_series/src/util.rs b/crates/re_space_view_time_series/src/util.rs
+index 83ce5362f..59d3b9734 100644
+--- a/crates/re_space_view_time_series/src/util.rs
++++ b/crates/re_space_view_time_series/src/util.rs
+@@ -288,12 +288,7 @@ fn add_series_runs(
+ /// is finite `x == x.next_up().next_down()` also holds.
+ ///
+ /// ```rust
+-/// #![feature(float_next_up_down)]
+-/// // f64::EPSILON is the difference between 1.0 and the next number up.
+-/// assert_eq!(1.0f64.next_up(), 1.0 + f64::EPSILON);
+-/// // But not for most numbers.
+-/// assert!(0.1f64.next_up() < 0.1 + f64::EPSILON);
+-/// assert_eq!(9007199254740992f64.next_up(), 9007199254740994.0);
++/// // PATCHED OUT THE UNSTABLE float_next_up_down
+ /// ```
+ ///
+ /// [`NEG_INFINITY`]: f64::NEG_INFINITY
+--
+2.43.0
+
diff --git a/pkgs/by-name/re/rerun/package.nix b/pkgs/by-name/re/rerun/package.nix
new file mode 100644
index 000000000000..9c96b86f64c9
--- /dev/null
+++ b/pkgs/by-name/re/rerun/package.nix
@@ -0,0 +1,123 @@
+{
+ lib,
+ rustPlatform,
+ fetchFromGitHub,
+ pkg-config,
+ stdenv,
+ binaryen,
+ rustfmt,
+ lld,
+ darwin,
+ freetype,
+ glib,
+ gtk3,
+ libxkbcommon,
+ openssl,
+ protobuf,
+ vulkan-loader,
+ wayland,
+ python3Packages,
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "rerun";
+ version = "0.13.0";
+
+ src = fetchFromGitHub {
+ owner = "rerun-io";
+ repo = "rerun";
+ rev = version;
+ hash = "sha256-HgzzuvCpzKgWC8it0PSq62hBjjqpdgYtQQ50SNbr3do=";
+ };
+ patches = [
+ # Disables a doctest that depends on a nightly feature
+ ./0001-re_space_view_time_series-utils-patch-out-doctests-w.patch
+ ];
+
+ cargoHash = "sha256-qvnkOlcjADV4b+JfFAy9yNaZGaf0ZO7hh9HBg5XmPi0=";
+
+ nativeBuildInputs = [
+ (lib.getBin binaryen) # wasm-opt
+
+ # @SomeoneSerge: Upstream suggests `mold`, but I didn't get it to work
+ lld
+
+ pkg-config
+ protobuf
+ rustfmt
+ ];
+
+ buildInputs =
+ [
+ freetype
+ glib
+ gtk3
+ (lib.getDev openssl)
+ libxkbcommon
+ vulkan-loader
+ ]
+ ++ lib.optionals stdenv.isDarwin [
+ darwin.apple_sdk.frameworks.AppKit
+ darwin.apple_sdk.frameworks.CoreFoundation
+ darwin.apple_sdk.frameworks.CoreGraphics
+ darwin.apple_sdk.frameworks.CoreServices
+ darwin.apple_sdk.frameworks.Foundation
+ darwin.apple_sdk.frameworks.IOKit
+ darwin.apple_sdk.frameworks.Metal
+ darwin.apple_sdk.frameworks.QuartzCore
+ darwin.apple_sdk.frameworks.Security
+ ]
+ ++ lib.optionals stdenv.isLinux [ (lib.getLib wayland) ];
+
+ env.CARGO_TARGET_WASM32_UNKNOWN_UNKNOWN_LINKER = "lld";
+
+ addDlopenRunpaths = map (p: "${lib.getLib p}/lib") (
+ lib.optionals stdenv.hostPlatform.isLinux [
+ libxkbcommon
+ vulkan-loader
+ wayland
+ ]
+ );
+
+ addDlopenRunpathsPhase = ''
+ elfHasDynamicSection() {
+ patchelf --print-rpath "$1" >& /dev/null
+ }
+
+ while IFS= read -r -d $'\0' path ; do
+ elfHasDynamicSection "$path" || continue
+ for dep in $addDlopenRunpaths ; do
+ patchelf "$path" --add-rpath "$dep"
+ done
+ done < <(
+ for o in $(getAllOutputNames) ; do
+ find "''${!o}" -type f -and "(" -executable -or -iname '*.so' ")" -print0
+ done
+ )
+ '';
+
+ postPhases = lib.optionals stdenv.hostPlatform.isLinux [ "addDlopenRunpathsPhase" ];
+
+ cargoTestFlags = [
+ "-p"
+ "rerun"
+ "--workspace"
+ "--exclude=crates/rerun/src/lib.rs"
+ ];
+
+ passthru.tests = {
+ inherit (python3Packages) rerun-sdk;
+ };
+
+ meta = with lib; {
+ description = "Visualize streams of multimodal data. Fast, easy to use, and simple to integrate. Built in Rust using egui";
+ homepage = "https://github.com/rerun-io/rerun";
+ changelog = "https://github.com/rerun-io/rerun/blob/${src.rev}/CHANGELOG.md";
+ license = with licenses; [
+ asl20
+ mit
+ ];
+ maintainers = with maintainers; [ SomeoneSerge ];
+ mainProgram = "rerun";
+ };
+}
diff --git a/pkgs/by-name/re/resonance/package.nix b/pkgs/by-name/re/resonance/package.nix
new file mode 100644
index 000000000000..fe7be58060a3
--- /dev/null
+++ b/pkgs/by-name/re/resonance/package.nix
@@ -0,0 +1,83 @@
+{ lib
+, cargo
+, dbus
+, desktop-file-utils
+, fetchFromGitHub
+, glib
+, gst_all_1
+, gtk4
+, libadwaita
+, libxml2
+, meson
+, ninja
+, nix-update-script
+, openssl
+, pkg-config
+, python3
+, python3Packages
+, rustPlatform
+, rustc
+, sqlite
+, stdenv
+, wrapGAppsHook4
+}:
+stdenv.mkDerivation (finalAttrs: {
+ pname = "resonance";
+ version = "0-unstable-2023-06-06";
+
+ src = fetchFromGitHub {
+ owner = "nate-xyz";
+ repo = "resonance";
+ rev = "97826093e22418c0efdb4e61cc75d981bb82c120";
+ hash = "sha256-DgNUjb8+2WTw91OGgFf97YL6lnODtkftYAP/c05RUPI=";
+ };
+
+ cargoDeps = rustPlatform.fetchCargoTarball {
+ src = finalAttrs.src;
+ hash = "sha256-/v3OokClOk95GOzidBHRkUG7kjHQm35yPeC1n3PzcyM=";
+ };
+
+ nativeBuildInputs = [
+ cargo
+ desktop-file-utils
+ meson
+ ninja
+ pkg-config
+ python3
+ rustPlatform.cargoSetupHook
+ rustc
+ wrapGAppsHook4
+ ];
+
+ buildInputs = [
+ dbus
+ glib
+ gtk4
+ libadwaita
+ libxml2
+ openssl
+ sqlite
+ ] ++ (with gst_all_1; [
+ gst-libav
+ gst-plugins-bad
+ gst-plugins-base
+ gst-plugins-good
+ gst-plugins-ugly
+ gstreamer
+ ]);
+
+ preFixup = ''
+ gappsWrapperArgs+=(--prefix PYTHONPATH : ${python3.pkgs.makePythonPath (with python3Packages; [ tqdm mutagen loguru ])})
+ '';
+
+ passthru.updateScript = nix-update-script { };
+
+ meta = with lib; {
+ description = "Intuitive GTK4/LibAdwaita music player";
+ homepage = "https://github.com/nate-xyz/resonance";
+ license = licenses.gpl3Plus;
+ mainProgram = "resonance";
+ maintainers = with maintainers; [ Guanran928 ];
+ platforms = platforms.linux;
+ };
+})
diff --git a/pkgs/by-name/re/restinio_0_6/package.nix b/pkgs/by-name/re/restinio_0_6/package.nix
new file mode 100644
index 000000000000..9472bd0a554e
--- /dev/null
+++ b/pkgs/by-name/re/restinio_0_6/package.nix
@@ -0,0 +1,29 @@
+{ lib, stdenvNoCC, fetchurl }:
+
+stdenvNoCC.mkDerivation rec {
+ pname = "restinio";
+ version = "0.6.19";
+
+ src = fetchurl {
+ url = "https://github.com/Stiffstream/restinio/releases/download/v.${version}/${pname}-${version}.tar.bz2";
+ hash = "sha256-fyHuvrlm4XDWq1TpsZiskn1DkJASFzngN8D6O7NnskA=";
+ };
+
+ sourceRoot = ".";
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p $out/include
+ mv restinio-*/dev/restinio $out/include
+
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ description = "Cross-platform, efficient, customizable, and robust asynchronous HTTP/WebSocket server C++14 library";
+ homepage = "https://github.com/Stiffstream/restinio";
+ license = licenses.bsd3;
+ platforms = platforms.all;
+ };
+}
diff --git a/pkgs/applications/misc/retool/default.nix b/pkgs/by-name/re/retool/package.nix
similarity index 67%
rename from pkgs/applications/misc/retool/default.nix
rename to pkgs/by-name/re/retool/package.nix
index 8d7811120979..18a982176d9c 100644
--- a/pkgs/applications/misc/retool/default.nix
+++ b/pkgs/by-name/re/retool/package.nix
@@ -7,30 +7,25 @@
python3.pkgs.buildPythonApplication {
pname = "retool";
- version = "unstable-2023-08-24";
+ version = "2.02.2-unstable-2024-03-17";
- format = "pyproject";
+ pyproject = true;
disabled = python3.pkgs.pythonOlder "3.10";
src = fetchFromGitHub {
owner = "unexpectedpanda";
repo = "retool";
- rev = "d8acdb960d35b5a6b01d7dc66b7e40b3ec451301";
- hash = "sha256-6y/7RR7O2xYKXdxaFtkRfnSlwygp/LRDUozUJo6ue7s=";
+ rev = "30d547c7d04b8cbf7710b2037388bf18a00a0c22";
+ hash = "sha256-5Tmi3eVJh9STP9A0dDNPDs4SlIWHw8sk+g1GgpnmqeE=";
};
nativeBuildInputs = with python3.pkgs; [
- poetry-core
- pythonRelaxDepsHook
+ hatchling
qt6.wrapQtAppsHook
];
pythonRelaxDeps = true;
- # ERROR: Could not find a version that satisfies the requirement PySide6 (from retool) (from versions: none)
- # ERROR: No matching distribution found for PySide6
- pythonRemoveDeps = [ "PySide6" ];
-
buildInputs = [
qt6.qtbase
] ++
@@ -40,11 +35,12 @@ python3.pkgs.buildPythonApplication {
propagatedBuildInputs = with python3.pkgs; [
alive-progress
+ darkdetect
lxml
psutil
- validators
pyside6
strictyaml
+ validators
];
# Upstream has no tests
diff --git a/pkgs/by-name/ri/ricochet-refresh/package.nix b/pkgs/by-name/ri/ricochet-refresh/package.nix
index c90c6aabbd92..384932ed7c85 100644
--- a/pkgs/by-name/ri/ricochet-refresh/package.nix
+++ b/pkgs/by-name/ri/ricochet-refresh/package.nix
@@ -55,6 +55,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
description = "Secure chat without DNS or WebPKI";
+ mainProgram = "ricochet-refresh";
longDescription = ''
Ricochet Refresh is a peer-to-peer messenger app that uses Tor
to connect clients.
diff --git a/pkgs/by-name/ri/ripunzip/package.nix b/pkgs/by-name/ri/ripunzip/package.nix
index 3dcdf976fcaa..1942b61f5fb8 100644
--- a/pkgs/by-name/ri/ripunzip/package.nix
+++ b/pkgs/by-name/ri/ripunzip/package.nix
@@ -41,6 +41,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "A tool to unzip files in parallel";
+ mainProgram = "ripunzip";
homepage = "https://github.com/google/ripunzip";
license = with lib.licenses; [ mit asl20 ];
maintainers = [ maintainers.lesuisse ];
diff --git a/pkgs/by-name/rk/rkbin/package.nix b/pkgs/by-name/rk/rkbin/package.nix
new file mode 100644
index 000000000000..9ccff94a4e4b
--- /dev/null
+++ b/pkgs/by-name/rk/rkbin/package.nix
@@ -0,0 +1,36 @@
+{ stdenv
+, lib
+, fetchFromGitHub
+, rkbin
+}:
+
+stdenv.mkDerivation {
+ pname = "rkbin";
+ version = "unstable-2024.02.22";
+
+ src = fetchFromGitHub {
+ owner = "rockchip-linux";
+ repo = "rkbin";
+ rev = "a2a0b89b6c8c612dca5ed9ed8a68db8a07f68bc0";
+ hash = "sha256-U/jeUsV7bhqMw3BljmO6SI07NCDAd/+sEp3dZnyXeeA=";
+ };
+
+ installPhase = ''
+ mkdir $out
+ mv bin doc $out/
+ '';
+
+ passthru = {
+ BL31_RK3568 = "${rkbin}/bin/rk35/rk3568_bl31_v1.44.elf";
+ TPL_RK3568 = "${rkbin}/bin/rk35/rk3568_ddr_1056MHz_v1.21.bin";
+ TPL_RK3588 = "${rkbin}/bin/rk35/rk3588_ddr_lp4_2112MHz_lp5_2400MHz_v1.16.bin";
+ };
+
+ meta = with lib; {
+ description = "Rockchip proprietary bootloader blobs";
+ homepage = "https://github.com/rockchip-linux/rkbin";
+ license = licenses.unfreeRedistributable;
+ maintainers = with maintainers; [ thefossguy ];
+ platforms = [ "aarch64-linux" ];
+ };
+}
diff --git a/pkgs/by-name/rl/rl_json/package.nix b/pkgs/by-name/rl/rl_json/package.nix
index 3a19df59d93a..81076dd07677 100644
--- a/pkgs/by-name/rl/rl_json/package.nix
+++ b/pkgs/by-name/rl/rl_json/package.nix
@@ -5,15 +5,15 @@
, tcl
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "rl_json";
- version = "0.14";
+ version = "0.15.1";
src = fetchFromGitHub {
owner = "RubyLane";
repo = "rl_json";
- rev = version;
- hash = "sha256-7xjZQ8F8czrkr7p2Xg1xAZRCsDpiWXHXVxPhG0f9PNg=";
+ rev = finalAttrs.version;
+ hash = "sha256-FkOsdOHPE75bSkKw3cdaech6jAv0f/RJ9tgRVzPSAdA=";
fetchSubmodules = true;
};
@@ -39,6 +39,8 @@ stdenv.mkDerivation rec {
values, and comparable in speed.
'';
maintainers = with lib.maintainers; [ fgaz ];
- platforms = lib.platforms.all;
+ platforms = tcl.meta.platforms;
+ # From version 0.15.1: 'endian.h' file not found
+ broken = stdenv.isDarwin;
};
-}
+})
diff --git a/pkgs/by-name/ro/robo/package.nix b/pkgs/by-name/ro/robo/package.nix
index 3caf4f7ee5b4..7310488376dd 100644
--- a/pkgs/by-name/ro/robo/package.nix
+++ b/pkgs/by-name/ro/robo/package.nix
@@ -6,16 +6,16 @@
php.buildComposerProject (finalAttrs: {
pname = "robo";
- version = "4.0.4";
+ version = "4.0.6";
src = fetchFromGitHub {
owner = "consolidation";
repo = "robo";
rev = finalAttrs.version;
- hash = "sha256-4sQc3ec34F5eBy9hquTqmzUgvFCTlml3LJdP39gPim4=";
+ hash = "sha256-rpCs24Q15XM4BdW1+IfysFR/8/ZU4o5b4MyJL48uDaU=";
};
- vendorHash = "sha256-QX7AFtW6Vm9P0ABOuTs1U++nvWBzpvtxhTbK40zDYqc=";
+ vendorHash = "sha256-Ul8XjH0Nav37UVpNQslOkF2bkiyqUAEZiIbcSW2tGkQ=";
meta = {
changelog = "https://github.com/consolidation/robo/blob/${finalAttrs.version}/CHANGELOG.md";
diff --git a/pkgs/applications/misc/rofimoji/default.nix b/pkgs/by-name/ro/rofimoji/package.nix
similarity index 62%
rename from pkgs/applications/misc/rofimoji/default.nix
rename to pkgs/by-name/ro/rofimoji/package.nix
index f620bb7bd832..56730c975fd7 100644
--- a/pkgs/applications/misc/rofimoji/default.nix
+++ b/pkgs/by-name/ro/rofimoji/package.nix
@@ -1,13 +1,11 @@
-{ buildPythonApplication
+{ lib
+, python3Packages
, fetchFromGitHub
-, lib
-, python3
, installShellFiles
, waylandSupport ? true
, x11Support ? true
-, configargparse
, rofi
, wl-clipboard
, wtype
@@ -15,28 +13,28 @@
, xsel
}:
-buildPythonApplication rec {
+python3Packages.buildPythonApplication rec {
pname = "rofimoji";
- version = "6.1.0";
- format = "pyproject";
+ version = "6.2.0";
+ pyproject = true;
src = fetchFromGitHub {
owner = "fdw";
repo = "rofimoji";
- rev = "refs/tags/${version}";
- sha256 = "sha256-eyzdTMLW9nk0x74T/AhvoVSrxXugc1HgNJy8EB5BApE=";
+ rev = version;
+ hash = "sha256-9P9hXBEfq6sqCvb2SfPBNadEoXAdWF3cmcKGEOK+EHE=";
};
nativeBuildInputs = [
- python3.pkgs.poetry-core
+ python3Packages.poetry-core
installShellFiles
];
# `rofi` and the `waylandSupport` and `x11Support` dependencies
# contain binaries needed at runtime.
- propagatedBuildInputs = with lib; [ configargparse rofi ]
- ++ optionals waylandSupport [ wl-clipboard wtype ]
- ++ optionals x11Support [ xdotool xsel ];
+ propagatedBuildInputs = [ python3Packages.configargparse rofi ]
+ ++ lib.optionals waylandSupport [ wl-clipboard wtype ]
+ ++ lib.optionals x11Support [ xdotool xsel ];
# The 'extractors' sub-module is used for development
# and has additional dependencies.
@@ -50,7 +48,9 @@ buildPythonApplication rec {
meta = with lib; {
description = "A simple emoji and character picker for rofi";
+ mainProgram = "rofimoji";
homepage = "https://github.com/fdw/rofimoji";
+ changelog = "https://github.com/fdw/rofimoji/blob/${src.rev}/CHANGELOG.md";
license = licenses.mit;
platforms = platforms.linux;
maintainers = with maintainers; [ justinlovinger ];
diff --git a/pkgs/by-name/ro/roon-tui/Cargo.lock b/pkgs/by-name/ro/roon-tui/Cargo.lock
new file mode 100644
index 000000000000..fa20b80d0bd7
--- /dev/null
+++ b/pkgs/by-name/ro/roon-tui/Cargo.lock
@@ -0,0 +1,1509 @@
+# This file is automatically @generated by Cargo.
+# It is not intended for manual editing.
+version = 3
+
+[[package]]
+name = "addr2line"
+version = "0.20.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f4fa78e18c64fce05e902adecd7a5eed15a5e0a3439f7b0e169f0252214865e3"
+dependencies = [
+ "gimli",
+]
+
+[[package]]
+name = "adler"
+version = "1.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f26201604c87b1e01bd3d98f8d5d9a8fcbb815e8cedb41ffccbeb4bf593a35fe"
+
+[[package]]
+name = "ahash"
+version = "0.8.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "91429305e9f0a25f6205c5b8e0d2db09e0708a7a6df0f42212bb56c32c8ac97a"
+dependencies = [
+ "cfg-if",
+ "once_cell",
+ "version_check",
+ "zerocopy",
+]
+
+[[package]]
+name = "aho-corasick"
+version = "1.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "43f6cb1bf222025340178f382c426f13757b2960e89779dfcb319c32542a5a41"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "allocator-api2"
+version = "0.2.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0942ffc6dcaadf03badf6e6a2d0228460359d5e34b57ccdc720b7382dfbd5ec5"
+
+[[package]]
+name = "android-tzdata"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e999941b234f3131b00bc13c22d06e8c5ff726d1b6318ac7eb276997bbb4fef0"
+
+[[package]]
+name = "android_system_properties"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "819e7219dbd41043ac279b19830f2efc897156490d7fd6ea916720117ee66311"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "anstream"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b1f58811cfac344940f1a400b6e6231ce35171f614f26439e80f8c1465c5cc0c"
+dependencies = [
+ "anstyle",
+ "anstyle-parse",
+ "anstyle-query",
+ "anstyle-wincon",
+ "colorchoice",
+ "utf8parse",
+]
+
+[[package]]
+name = "anstyle"
+version = "1.0.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b84bf0a05bbb2a83e5eb6fa36bb6e87baa08193c35ff52bbf6b38d8af2890e46"
+
+[[package]]
+name = "anstyle-parse"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "938874ff5980b03a87c5524b3ae5b59cf99b1d6bc836848df7bc5ada9643c333"
+dependencies = [
+ "utf8parse",
+]
+
+[[package]]
+name = "anstyle-query"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5ca11d4be1bab0c8bc8734a9aa7bf4ee8316d462a08c6ac5052f888fef5b494b"
+dependencies = [
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "anstyle-wincon"
+version = "2.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "58f54d10c6dfa51283a066ceab3ec1ab78d13fae00aa49243a45e4571fb79dfd"
+dependencies = [
+ "anstyle",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "any_ascii"
+version = "0.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ea50b14b7a4b9343f8c627a7a53c52076482bd4bdad0a24fd3ec533ed616cc2c"
+
+[[package]]
+name = "autocfg"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d468802bab17cbc0cc575e9b053f41e72aa36bfa6b7f55e3529ffa43161b97fa"
+
+[[package]]
+name = "backtrace"
+version = "0.3.68"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4319208da049c43661739c5fade2ba182f09d1dc2299b32298d3a31692b17e12"
+dependencies = [
+ "addr2line",
+ "cc",
+ "cfg-if",
+ "libc",
+ "miniz_oxide",
+ "object",
+ "rustc-demangle",
+]
+
+[[package]]
+name = "bitflags"
+version = "1.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a"
+
+[[package]]
+name = "bitflags"
+version = "2.3.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "630be753d4e58660abd17930c71b647fe46c27ea6b63cc59e1e3851406972e42"
+
+[[package]]
+name = "block-buffer"
+version = "0.10.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3078c7629b62d3f0439517fa394996acacc5cbc91c5a20d8c658e77abd503a71"
+dependencies = [
+ "generic-array",
+]
+
+[[package]]
+name = "bumpalo"
+version = "3.14.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f30e7476521f6f8af1a1c4c0b8cc94f0bee37d91763d0ca2665f299b6cd8aec"
+
+[[package]]
+name = "byteorder"
+version = "1.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "14c189c53d098945499cdfa7ecc63567cf3886b3332b312a5b4585d8d3a6a610"
+
+[[package]]
+name = "bytes"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b700ce4376041dcd0a327fd0097c41095743c4c8af8887265942faf1100bd040"
+
+[[package]]
+name = "cassowary"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "df8670b8c7b9dae1793364eafadf7239c40d669904660c5960d74cfd80b46a53"
+
+[[package]]
+name = "cc"
+version = "1.0.79"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "50d30906286121d95be3d479533b458f87493b30a4b5f79a607db8f5d11aa91f"
+
+[[package]]
+name = "cfg-if"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd"
+
+[[package]]
+name = "chrono"
+version = "0.4.31"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f2c685bad3eb3d45a01354cedb7d5faa66194d1d58ba6e267a8de788f79db38"
+dependencies = [
+ "android-tzdata",
+ "iana-time-zone",
+ "num-traits",
+ "windows-targets 0.48.1",
+]
+
+[[package]]
+name = "clap"
+version = "4.4.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b1d7b8d5ec32af0fadc644bf1fd509a688c2103b185644bb1e29d164e0703136"
+dependencies = [
+ "clap_builder",
+ "clap_derive",
+]
+
+[[package]]
+name = "clap_builder"
+version = "4.4.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5179bb514e4d7c2051749d8fcefa2ed6d06a9f4e6d69faf3805f5d80b8cf8d56"
+dependencies = [
+ "anstream",
+ "anstyle",
+ "clap_lex",
+ "strsim",
+]
+
+[[package]]
+name = "clap_derive"
+version = "4.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0862016ff20d69b84ef8247369fabf5c008a7417002411897d40ee1f4532b873"
+dependencies = [
+ "heck",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.38",
+]
+
+[[package]]
+name = "clap_lex"
+version = "0.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cd7cc57abe963c6d3b9d8be5b06ba7c8957a930305ca90304f24ef040aa6f961"
+
+[[package]]
+name = "colorchoice"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "acbf1af155f9b9ef647e42cdc158db4b64a1b61f743629225fde6f3e0be2a7c7"
+
+[[package]]
+name = "core-foundation-sys"
+version = "0.8.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e496a50fda8aacccc86d7529e2c1e0892dbd0f898a6b5645b5561b89c3210efa"
+
+[[package]]
+name = "cpufeatures"
+version = "0.2.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a17b76ff3a4162b0b27f354a0c87015ddad39d35f9c0c36607a3bdd175dde1f1"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "crossterm"
+version = "0.27.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f476fe445d41c9e991fd07515a6f463074b782242ccf4a5b7b1d1012e70824df"
+dependencies = [
+ "bitflags 2.3.3",
+ "crossterm_winapi",
+ "libc",
+ "mio",
+ "parking_lot",
+ "signal-hook",
+ "signal-hook-mio",
+ "winapi",
+]
+
+[[package]]
+name = "crossterm_winapi"
+version = "0.9.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "acdd7c62a3665c7f6830a51635d9ac9b23ed385797f70a83bb8bafe9c572ab2b"
+dependencies = [
+ "winapi",
+]
+
+[[package]]
+name = "crypto-common"
+version = "0.1.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1bfb12502f3fc46cca1bb51ac28df9d618d813cdc3d2f25b9fe775a34af26bb3"
+dependencies = [
+ "generic-array",
+ "typenum",
+]
+
+[[package]]
+name = "data-encoding"
+version = "2.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c2e66c9d817f1720209181c316d28635c050fa304f9c79e47a520882661b7308"
+
+[[package]]
+name = "deranged"
+version = "0.3.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0f32d04922c60427da6f9fef14d042d9edddef64cb9d4ce0d64d0685fbeb1fd3"
+dependencies = [
+ "powerfmt",
+]
+
+[[package]]
+name = "digest"
+version = "0.10.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9ed9a281f7bc9b7576e61468ba615a66a5c8cfdff42420a70aa82701a3b1e292"
+dependencies = [
+ "block-buffer",
+ "crypto-common",
+]
+
+[[package]]
+name = "either"
+version = "1.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a26ae43d7bcc3b814de94796a5e736d4029efb0ee900c12e2d54c993ad1a1e07"
+
+[[package]]
+name = "eyre"
+version = "0.6.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4c2b6b5a29c02cdc822728b7d7b8ae1bab3e3b05d44522770ddd49722eeac7eb"
+dependencies = [
+ "indenter",
+ "once_cell",
+]
+
+[[package]]
+name = "fnv"
+version = "1.0.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3f9eec918d3f24069decb9af1554cad7c880e2da24a9afd88aca000531ab82c1"
+
+[[package]]
+name = "form_urlencoded"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a62bc1cf6f830c2ec14a513a9fb124d0a213a629668a4186f329db21fe045652"
+dependencies = [
+ "percent-encoding",
+]
+
+[[package]]
+name = "futures-core"
+version = "0.3.28"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4bca583b7e26f571124fe5b7561d49cb2868d79116cfa0eefce955557c6fee8c"
+
+[[package]]
+name = "futures-macro"
+version = "0.3.28"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "89ca545a94061b6365f2c7355b4b32bd20df3ff95f02da9329b34ccc3bd6ee72"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.38",
+]
+
+[[package]]
+name = "futures-sink"
+version = "0.3.28"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f43be4fe21a13b9781a69afa4985b0f6ee0e1afab2c6f454a8cf30e2b2237b6e"
+
+[[package]]
+name = "futures-task"
+version = "0.3.28"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "76d3d132be6c0e6aa1534069c705a74a5997a356c0dc2f86a47765e5617c5b65"
+
+[[package]]
+name = "futures-util"
+version = "0.3.28"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "26b01e40b772d54cf6c6d721c1d1abd0647a0106a12ecaa1c186273392a69533"
+dependencies = [
+ "futures-core",
+ "futures-macro",
+ "futures-sink",
+ "futures-task",
+ "pin-project-lite",
+ "pin-utils",
+ "slab",
+]
+
+[[package]]
+name = "generic-array"
+version = "0.14.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "85649ca51fd72272d7821adaf274ad91c288277713d9c18820d8499a7ff69e9a"
+dependencies = [
+ "typenum",
+ "version_check",
+]
+
+[[package]]
+name = "getrandom"
+version = "0.2.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "be4136b2a15dd319360be1c07d9933517ccf0be8f16bf62a3bee4f0d618df427"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "wasi",
+]
+
+[[package]]
+name = "gimli"
+version = "0.27.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b6c80984affa11d98d1b88b66ac8853f143217b399d3c74116778ff8fdb4ed2e"
+
+[[package]]
+name = "hashbrown"
+version = "0.14.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f93e7192158dbcda357bdec5fb5788eebf8bbac027f3f33e719d29135ae84156"
+dependencies = [
+ "ahash",
+ "allocator-api2",
+]
+
+[[package]]
+name = "heck"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "95505c38b4572b2d910cecb0281560f54b440a19336cbbcb27bf6ce6adc6f5a8"
+
+[[package]]
+name = "hermit-abi"
+version = "0.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "443144c8cdadd93ebf52ddb4056d257f5b52c04d3c804e657d19eb73fc33668b"
+
+[[package]]
+name = "http"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b32afd38673a8016f7c9ae69e5af41a58f81b1d31689040f2f1959594ce194ea"
+dependencies = [
+ "bytes",
+ "fnv",
+ "itoa",
+]
+
+[[package]]
+name = "httparse"
+version = "1.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d897f394bad6a705d5f4104762e116a75639e470d80901eed05a860a95cb1904"
+
+[[package]]
+name = "iana-time-zone"
+version = "0.1.58"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8326b86b6cff230b97d0d312a6c40a60726df3332e721f72a1b035f451663b20"
+dependencies = [
+ "android_system_properties",
+ "core-foundation-sys",
+ "iana-time-zone-haiku",
+ "js-sys",
+ "wasm-bindgen",
+ "windows-core",
+]
+
+[[package]]
+name = "iana-time-zone-haiku"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f31827a206f56af32e590ba56d5d2d085f558508192593743f16b2306495269f"
+dependencies = [
+ "cc",
+]
+
+[[package]]
+name = "idna"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7d20d6b07bfbc108882d88ed8e37d39636dcc260e15e30c45e6ba089610b917c"
+dependencies = [
+ "unicode-bidi",
+ "unicode-normalization",
+]
+
+[[package]]
+name = "if-addrs"
+version = "0.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5ad1fe622fcc3ccd2bc6d08f7485577535a15af46be880abb7535e5f3a4c322d"
+dependencies = [
+ "libc",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "indenter"
+version = "0.3.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ce23b50ad8242c51a442f3ff322d56b02f08852c77e4c0b4d3fd684abc89c683"
+
+[[package]]
+name = "indoc"
+version = "2.0.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2c785eefb63ebd0e33416dfcb8d6da0bf27ce752843a45632a67bf10d4d4b5c4"
+
+[[package]]
+name = "itertools"
+version = "0.12.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "25db6b064527c5d482d0423354fcd07a89a2dfe07b67892e62411946db7f07b0"
+dependencies = [
+ "either",
+]
+
+[[package]]
+name = "itoa"
+version = "1.0.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "af150ab688ff2122fcef229be89cb50dd66af9e01a4ff320cc137eecc9bacc38"
+
+[[package]]
+name = "js-sys"
+version = "0.3.58"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c3fac17f7123a73ca62df411b1bf727ccc805daa070338fda671c86dac1bdc27"
+dependencies = [
+ "wasm-bindgen",
+]
+
+[[package]]
+name = "lazy_static"
+version = "1.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e2abad23fbc42b3700f2f279844dc832adb2b2eb069b2df918f455c4e18cc646"
+
+[[package]]
+name = "libc"
+version = "0.2.147"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b4668fb0ea861c1df094127ac5f1da3409a82116a4ba74fca2e58ef927159bb3"
+
+[[package]]
+name = "lock_api"
+version = "0.4.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c1cc9717a20b1bb222f333e6a92fd32f7d8a18ddc5a3191a11af45dcbf4dcd16"
+dependencies = [
+ "autocfg",
+ "scopeguard",
+]
+
+[[package]]
+name = "log"
+version = "0.4.19"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b06a4cde4c0f271a446782e3eff8de789548ce57dbc8eca9292c27f4a42004b4"
+
+[[package]]
+name = "lru"
+version = "0.12.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1efa59af2ddfad1854ae27d75009d538d0998b4b2fd47083e743ac1a10e46c60"
+dependencies = [
+ "hashbrown",
+]
+
+[[package]]
+name = "memchr"
+version = "2.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2dffe52ecf27772e601905b7522cb4ef790d2cc203488bbd0e2fe85fcb74566d"
+
+[[package]]
+name = "miniz_oxide"
+version = "0.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e7810e0be55b428ada41041c41f32c9f1a42817901b4ccf45fa3d4b6561e74c7"
+dependencies = [
+ "adler",
+]
+
+[[package]]
+name = "mio"
+version = "0.8.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "927a765cd3fc26206e66b296465fa9d3e5ab003e651c1b3c060e7956d96b19d2"
+dependencies = [
+ "libc",
+ "log",
+ "wasi",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "num-traits"
+version = "0.2.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "39e3200413f237f41ab11ad6d161bc7239c84dcb631773ccd7de3dfe4b5c267c"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "num_cpus"
+version = "1.16.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4161fcb6d602d4d2081af7c3a45852d875a03dd337a6bfdd6e06407b61342a43"
+dependencies = [
+ "hermit-abi",
+ "libc",
+]
+
+[[package]]
+name = "num_threads"
+version = "0.1.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2819ce041d2ee131036f4fc9d6ae7ae125a3a40e97ba64d04fe799ad9dabbb44"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "object"
+version = "0.31.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8bda667d9f2b5051b8833f59f3bf748b28ef54f850f4fcb389a252aa383866d1"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "once_cell"
+version = "1.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dd8b5dd2ae5ed71462c540258bedcb51965123ad7e7ccf4b9a8cafaa4a63576d"
+
+[[package]]
+name = "parking_lot"
+version = "0.12.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3742b2c103b9f06bc9fff0a37ff4912935851bee6d36f3c02bcc755bcfec228f"
+dependencies = [
+ "lock_api",
+ "parking_lot_core",
+]
+
+[[package]]
+name = "parking_lot_core"
+version = "0.9.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "93f00c865fe7cabf650081affecd3871070f26767e7b2070a3ffae14c654b447"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "redox_syscall",
+ "smallvec",
+ "windows-targets 0.48.1",
+]
+
+[[package]]
+name = "paste"
+version = "1.0.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "de3145af08024dea9fa9914f381a17b8fc6034dfb00f3a84013f7ff43f29ed4c"
+
+[[package]]
+name = "percent-encoding"
+version = "2.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9b2a4787296e9989611394c33f193f676704af1686e70b8f8033ab5ba9a35a94"
+
+[[package]]
+name = "pin-project-lite"
+version = "0.2.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4c40d25201921e5ff0c862a505c6557ea88568a4e3ace775ab55e93f2f4f9d57"
+
+[[package]]
+name = "pin-utils"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8b870d8c151b6f2fb93e84a13146138f05d02ed11c7e7c54f8826aaaf7c9f184"
+
+[[package]]
+name = "powerfmt"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "439ee305def115ba05938db6eb1644ff94165c5ab5e9420d1c1bcedbba909391"
+
+[[package]]
+name = "ppv-lite86"
+version = "0.2.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5b40af805b3121feab8a3c29f04d8ad262fa8e0561883e7653e024ae4479e6de"
+
+[[package]]
+name = "proc-macro2"
+version = "1.0.69"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "134c189feb4956b20f6f547d2cf727d4c0fe06722b20a0eec87ed445a97f92da"
+dependencies = [
+ "unicode-ident",
+]
+
+[[package]]
+name = "quote"
+version = "1.0.31"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5fe8a65d69dd0808184ebb5f836ab526bb259db23c657efa38711b1072ee47f0"
+dependencies = [
+ "proc-macro2",
+]
+
+[[package]]
+name = "rand"
+version = "0.8.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "34af8d1a0e25924bc5b7c43c079c942339d8f0a8b57c39049bef581b46327404"
+dependencies = [
+ "libc",
+ "rand_chacha",
+ "rand_core",
+]
+
+[[package]]
+name = "rand_chacha"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e6c10a63a0fa32252be49d21e7709d4d4baf8d231c2dbce1eaa8141b9b127d88"
+dependencies = [
+ "ppv-lite86",
+ "rand_core",
+]
+
+[[package]]
+name = "rand_core"
+version = "0.6.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ec0be4795e2f6a28069bec0b5ff3e2ac9bafc99e6a9a7dc3547996c5c816922c"
+dependencies = [
+ "getrandom",
+]
+
+[[package]]
+name = "ratatui"
+version = "0.25.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a5659e52e4ba6e07b2dad9f1158f578ef84a73762625ddb51536019f34d180eb"
+dependencies = [
+ "bitflags 2.3.3",
+ "cassowary",
+ "crossterm",
+ "indoc",
+ "itertools",
+ "lru",
+ "paste",
+ "stability",
+ "strum",
+ "unicode-segmentation",
+ "unicode-width",
+]
+
+[[package]]
+name = "redox_syscall"
+version = "0.3.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "567664f262709473930a4bf9e51bf2ebf3348f2e748ccc50dea20646858f8f29"
+dependencies = [
+ "bitflags 1.3.2",
+]
+
+[[package]]
+name = "regex"
+version = "1.9.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b2eae68fc220f7cf2532e4494aded17545fce192d59cd996e0fe7887f4ceb575"
+dependencies = [
+ "aho-corasick",
+ "memchr",
+ "regex-automata",
+ "regex-syntax",
+]
+
+[[package]]
+name = "regex-automata"
+version = "0.3.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "39354c10dd07468c2e73926b23bb9c2caca74c5501e38a35da70406f1d923310"
+dependencies = [
+ "aho-corasick",
+ "memchr",
+ "regex-syntax",
+]
+
+[[package]]
+name = "regex-syntax"
+version = "0.7.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e5ea92a5b6195c6ef2a0295ea818b312502c6fc94dde986c5553242e18fd4ce2"
+
+[[package]]
+name = "roon-api"
+version = "0.1.1"
+source = "git+https://github.com/TheAppgineer/rust-roon-api.git?tag=0.1.1#bae225136a9e20b013b95819736b3835a1974dd0"
+dependencies = [
+ "futures-util",
+ "if-addrs",
+ "log",
+ "regex",
+ "serde",
+ "serde_json",
+ "tokio",
+ "tokio-tungstenite",
+ "url",
+ "uuid",
+]
+
+[[package]]
+name = "roon-tui"
+version = "0.3.0"
+dependencies = [
+ "any_ascii",
+ "chrono",
+ "clap",
+ "crossterm",
+ "eyre",
+ "log",
+ "rand",
+ "ratatui",
+ "roon-api",
+ "serde",
+ "serde_json",
+ "simplelog",
+ "time",
+ "tokio",
+]
+
+[[package]]
+name = "rustc-demangle"
+version = "0.1.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d626bb9dae77e28219937af045c257c28bfd3f69333c512553507f5f9798cb76"
+
+[[package]]
+name = "rustversion"
+version = "1.0.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7ffc183a10b4478d04cbbbfc96d0873219d962dd5accaff2ffbd4ceb7df837f4"
+
+[[package]]
+name = "ryu"
+version = "1.0.15"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1ad4cc8da4ef723ed60bced201181d83791ad433213d8c24efffda1eec85d741"
+
+[[package]]
+name = "scopeguard"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d29ab0c6d3fc0ee92fe66e2d99f700eab17a8d57d1c1d3b748380fb20baa78cd"
+
+[[package]]
+name = "serde"
+version = "1.0.190"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "91d3c334ca1ee894a2c6f6ad698fe8c435b76d504b13d436f0685d648d6d96f7"
+dependencies = [
+ "serde_derive",
+]
+
+[[package]]
+name = "serde_derive"
+version = "1.0.190"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "67c5609f394e5c2bd7fc51efda478004ea80ef42fee983d5c67a65e34f32c0e3"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.38",
+]
+
+[[package]]
+name = "serde_json"
+version = "1.0.103"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d03b412469450d4404fe8499a268edd7f8b79fecb074b0d812ad64ca21f4031b"
+dependencies = [
+ "itoa",
+ "ryu",
+ "serde",
+]
+
+[[package]]
+name = "sha1"
+version = "0.10.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f04293dc80c3993519f2d7f6f511707ee7094fe0c6d3406feb330cdb3540eba3"
+dependencies = [
+ "cfg-if",
+ "cpufeatures",
+ "digest",
+]
+
+[[package]]
+name = "signal-hook"
+version = "0.3.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8621587d4798caf8eb44879d42e56b9a93ea5dcd315a6487c357130095b62801"
+dependencies = [
+ "libc",
+ "signal-hook-registry",
+]
+
+[[package]]
+name = "signal-hook-mio"
+version = "0.2.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "29ad2e15f37ec9a6cc544097b78a1ec90001e9f71b81338ca39f430adaca99af"
+dependencies = [
+ "libc",
+ "mio",
+ "signal-hook",
+]
+
+[[package]]
+name = "signal-hook-registry"
+version = "1.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d8229b473baa5980ac72ef434c4415e70c4b5e71b423043adb4ba059f89c99a1"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "simplelog"
+version = "0.12.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "acee08041c5de3d5048c8b3f6f13fafb3026b24ba43c6a695a0c76179b844369"
+dependencies = [
+ "log",
+ "termcolor",
+ "time",
+]
+
+[[package]]
+name = "slab"
+version = "0.4.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6528351c9bc8ab22353f9d776db39a20288e8d6c37ef8cfe3317cf875eecfc2d"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "smallvec"
+version = "1.6.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fe0f37c9e8f3c5a4a66ad655a93c74daac4ad00c441533bf5c6e7990bb42604e"
+
+[[package]]
+name = "socket2"
+version = "0.4.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "64a4a911eed85daf18834cfaa86a79b7d266ff93ff5ba14005426219480ed662"
+dependencies = [
+ "libc",
+ "winapi",
+]
+
+[[package]]
+name = "stability"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ebd1b177894da2a2d9120208c3386066af06a488255caabc5de8ddca22dbc3ce"
+dependencies = [
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "strsim"
+version = "0.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "73473c0e59e6d5812c5dfe2a064a6444949f089e20eec9a2e5506596494e4623"
+
+[[package]]
+name = "strum"
+version = "0.25.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "290d54ea6f91c969195bdbcd7442c8c2a2ba87da8bf60a7ee86a235d4bc1e125"
+dependencies = [
+ "strum_macros",
+]
+
+[[package]]
+name = "strum_macros"
+version = "0.25.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ad8d03b598d3d0fff69bf533ee3ef19b8eeb342729596df84bcc7e1f96ec4059"
+dependencies = [
+ "heck",
+ "proc-macro2",
+ "quote",
+ "rustversion",
+ "syn 2.0.38",
+]
+
+[[package]]
+name = "syn"
+version = "1.0.109"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "72b64191b275b66ffe2469e8af2c1cfe3bafa67b529ead792a6d0160888b4237"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "unicode-ident",
+]
+
+[[package]]
+name = "syn"
+version = "2.0.38"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e96b79aaa137db8f61e26363a0c9b47d8b4ec75da28b7d1d614c2303e232408b"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "unicode-ident",
+]
+
+[[package]]
+name = "termcolor"
+version = "1.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bab24d30b911b2376f3a13cc2cd443142f0c81dda04c118693e35b3835757755"
+dependencies = [
+ "winapi-util",
+]
+
+[[package]]
+name = "thiserror"
+version = "1.0.43"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a35fc5b8971143ca348fa6df4f024d4d55264f3468c71ad1c2f365b0a4d58c42"
+dependencies = [
+ "thiserror-impl",
+]
+
+[[package]]
+name = "thiserror-impl"
+version = "1.0.43"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "463fe12d7993d3b327787537ce8dd4dfa058de32fc2b195ef3cde03dc4771e8f"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.38",
+]
+
+[[package]]
+name = "time"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c4a34ab300f2dee6e562c10a046fc05e358b29f9bf92277f30c3c8d82275f6f5"
+dependencies = [
+ "deranged",
+ "itoa",
+ "libc",
+ "num_threads",
+ "powerfmt",
+ "serde",
+ "time-core",
+ "time-macros",
+]
+
+[[package]]
+name = "time-core"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ef927ca75afb808a4d64dd374f00a2adf8d0fcff8e7b184af886c3c87ec4a3f3"
+
+[[package]]
+name = "time-macros"
+version = "0.2.15"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4ad70d68dba9e1f8aceda7aa6711965dfec1cac869f311a51bd08b3a2ccbce20"
+dependencies = [
+ "time-core",
+]
+
+[[package]]
+name = "tinyvec"
+version = "1.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "87cc5ceb3875bb20c2890005a4e226a4651264a5c75edb2421b52861a0a0cb50"
+dependencies = [
+ "tinyvec_macros",
+]
+
+[[package]]
+name = "tinyvec_macros"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20"
+
+[[package]]
+name = "tokio"
+version = "1.29.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "532826ff75199d5833b9d2c5fe410f29235e25704ee5f0ef599fb51c21f4a4da"
+dependencies = [
+ "autocfg",
+ "backtrace",
+ "bytes",
+ "libc",
+ "mio",
+ "num_cpus",
+ "pin-project-lite",
+ "socket2",
+ "tokio-macros",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "tokio-macros"
+version = "2.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "630bdcf245f78637c13ec01ffae6187cca34625e8c63150d424b59e55af2675e"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.38",
+]
+
+[[package]]
+name = "tokio-tungstenite"
+version = "0.21.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c83b561d025642014097b66e6c1bb422783339e0909e4429cde4749d1990bc38"
+dependencies = [
+ "futures-util",
+ "log",
+ "tokio",
+ "tungstenite",
+]
+
+[[package]]
+name = "tungstenite"
+version = "0.21.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9ef1a641ea34f399a848dea702823bbecfb4c486f911735368f1f137cb8257e1"
+dependencies = [
+ "byteorder",
+ "bytes",
+ "data-encoding",
+ "http",
+ "httparse",
+ "log",
+ "rand",
+ "sha1",
+ "thiserror",
+ "url",
+ "utf-8",
+]
+
+[[package]]
+name = "typenum"
+version = "1.16.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "497961ef93d974e23eb6f433eb5fe1b7930b659f06d12dec6fc44a8f554c0bba"
+
+[[package]]
+name = "unicode-bidi"
+version = "0.3.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "92888ba5573ff080736b3648696b70cafad7d250551175acbaa4e0385b3e1460"
+
+[[package]]
+name = "unicode-ident"
+version = "1.0.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "301abaae475aa91687eb82514b328ab47a211a533026cb25fc3e519b86adfc3c"
+
+[[package]]
+name = "unicode-normalization"
+version = "0.1.22"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5c5713f0fc4b5db668a2ac63cdb7bb4469d8c9fed047b1d0292cc7b0ce2ba921"
+dependencies = [
+ "tinyvec",
+]
+
+[[package]]
+name = "unicode-segmentation"
+version = "1.10.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1dd624098567895118886609431a7c3b8f516e41d30e0643f03d94592a147e36"
+
+[[package]]
+name = "unicode-width"
+version = "0.1.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9337591893a19b88d8d87f2cec1e73fad5cdfd10e5a6f349f498ad6ea2ffb1e3"
+
+[[package]]
+name = "url"
+version = "2.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "50bff7831e19200a85b17131d085c25d7811bc4e186efdaf54bbd132994a88cb"
+dependencies = [
+ "form_urlencoded",
+ "idna",
+ "percent-encoding",
+]
+
+[[package]]
+name = "utf-8"
+version = "0.7.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "09cc8ee72d2a9becf2f2febe0205bbed8fc6615b7cb429ad062dc7b7ddd036a9"
+
+[[package]]
+name = "utf8parse"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "711b9620af191e0cdc7468a8d14e709c3dcdb115b36f838e601583af800a370a"
+
+[[package]]
+name = "uuid"
+version = "1.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "79daa5ed5740825c40b389c5e50312b9c86df53fccd33f281df655642b43869d"
+dependencies = [
+ "getrandom",
+]
+
+[[package]]
+name = "version_check"
+version = "0.9.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "49874b5167b65d7193b8aba1567f5c7d93d001cafc34600cee003eda787e483f"
+
+[[package]]
+name = "wasi"
+version = "0.11.0+wasi-snapshot-preview1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
+
+[[package]]
+name = "wasm-bindgen"
+version = "0.2.81"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7c53b543413a17a202f4be280a7e5c62a1c69345f5de525ee64f8cfdbc954994"
+dependencies = [
+ "cfg-if",
+ "wasm-bindgen-macro",
+]
+
+[[package]]
+name = "wasm-bindgen-backend"
+version = "0.2.81"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5491a68ab4500fa6b4d726bd67408630c3dbe9c4fe7bda16d5c82a1fd8c7340a"
+dependencies = [
+ "bumpalo",
+ "lazy_static",
+ "log",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+ "wasm-bindgen-shared",
+]
+
+[[package]]
+name = "wasm-bindgen-macro"
+version = "0.2.81"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c441e177922bc58f1e12c022624b6216378e5febc2f0533e41ba443d505b80aa"
+dependencies = [
+ "quote",
+ "wasm-bindgen-macro-support",
+]
+
+[[package]]
+name = "wasm-bindgen-macro-support"
+version = "0.2.81"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7d94ac45fcf608c1f45ef53e748d35660f168490c10b23704c7779ab8f5c3048"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+ "wasm-bindgen-backend",
+ "wasm-bindgen-shared",
+]
+
+[[package]]
+name = "wasm-bindgen-shared"
+version = "0.2.81"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6a89911bd99e5f3659ec4acf9c4d93b0a90fe4a2a11f15328472058edc5261be"
+
+[[package]]
+name = "winapi"
+version = "0.3.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5c839a674fcd7a98952e593242ea400abe93992746761e38641405d28b00f419"
+dependencies = [
+ "winapi-i686-pc-windows-gnu",
+ "winapi-x86_64-pc-windows-gnu",
+]
+
+[[package]]
+name = "winapi-i686-pc-windows-gnu"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ac3b87c63620426dd9b991e5ce0329eff545bccbbb34f3be09ff6fb6ab51b7b6"
+
+[[package]]
+name = "winapi-util"
+version = "0.1.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f29e6f9198ba0d26b4c9f07dbe6f9ed633e1f3d5b8b414090084349e46a52596"
+dependencies = [
+ "winapi",
+]
+
+[[package]]
+name = "winapi-x86_64-pc-windows-gnu"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
+
+[[package]]
+name = "windows-core"
+version = "0.50.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "af6041b3f84485c21b57acdc0fee4f4f0c93f426053dc05fa5d6fc262537bbff"
+dependencies = [
+ "windows-targets 0.48.1",
+]
+
+[[package]]
+name = "windows-sys"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "677d2418bec65e3338edb076e806bc1ec15693c5d0104683f2efe857f61056a9"
+dependencies = [
+ "windows-targets 0.48.1",
+]
+
+[[package]]
+name = "windows-sys"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "282be5f36a8ce781fad8c8ae18fa3f9beff57ec1b52cb3de0789201425d9a33d"
+dependencies = [
+ "windows-targets 0.52.0",
+]
+
+[[package]]
+name = "windows-targets"
+version = "0.48.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "05d4b17490f70499f20b9e791dcf6a299785ce8af4d709018206dc5b4953e95f"
+dependencies = [
+ "windows_aarch64_gnullvm 0.48.0",
+ "windows_aarch64_msvc 0.48.0",
+ "windows_i686_gnu 0.48.0",
+ "windows_i686_msvc 0.48.0",
+ "windows_x86_64_gnu 0.48.0",
+ "windows_x86_64_gnullvm 0.48.0",
+ "windows_x86_64_msvc 0.48.0",
+]
+
+[[package]]
+name = "windows-targets"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8a18201040b24831fbb9e4eb208f8892e1f50a37feb53cc7ff887feb8f50e7cd"
+dependencies = [
+ "windows_aarch64_gnullvm 0.52.0",
+ "windows_aarch64_msvc 0.52.0",
+ "windows_i686_gnu 0.52.0",
+ "windows_i686_msvc 0.52.0",
+ "windows_x86_64_gnu 0.52.0",
+ "windows_x86_64_gnullvm 0.52.0",
+ "windows_x86_64_msvc 0.52.0",
+]
+
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "91ae572e1b79dba883e0d315474df7305d12f569b400fcf90581b06062f7e1bc"
+
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cb7764e35d4db8a7921e09562a0304bf2f93e0a51bfccee0bd0bb0b666b015ea"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b2ef27e0d7bdfcfc7b868b317c1d32c641a6fe4629c171b8928c7b08d98d7cf3"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bbaa0368d4f1d2aaefc55b6fcfee13f41544ddf36801e793edbbfd7d7df075ef"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "622a1962a7db830d6fd0a69683c80a18fda201879f0f447f065a3b7467daa241"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a28637cb1fa3560a16915793afb20081aba2c92ee8af57b4d5f28e4b3e7df313"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4542c6e364ce21bf45d69fdd2a8e455fa38d316158cfd43b3ac1c5b1b19f8e00"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ffe5e8e31046ce6230cc7215707b816e339ff4d4d67c65dffa206fd0f7aa7b9a"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ca2b8a661f7628cbd23440e50b05d705db3686f894fc9580820623656af974b1"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3d6fa32db2bc4a2f5abeacf2b69f7992cd09dca97498da74a151a3132c26befd"
+
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7896dbc1f41e08872e9d5e8f8baa8fdd2677f29468c4e156210174edc7f7b953"
+
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1a657e1e9d3f514745a572a6846d3c7aa7dbe1658c056ed9c3344c4109a6949e"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1a515f5799fe4961cb532f983ce2b23082366b898e52ffbce459c86f67c8378a"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dff9641d1cd4be8d1a070daf9e3773c5f67e78b4d9d42263020c057706765c04"
+
+[[package]]
+name = "zerocopy"
+version = "0.7.24"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "092cd76b01a033a9965b9097da258689d9e17c69ded5dcf41bca001dd20ebc6d"
+dependencies = [
+ "zerocopy-derive",
+]
+
+[[package]]
+name = "zerocopy-derive"
+version = "0.7.24"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a13a20a7c6a90e2034bcc65495799da92efcec6a8dd4f3fcb6f7a48988637ead"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.38",
+]
diff --git a/pkgs/by-name/ro/roon-tui/package.nix b/pkgs/by-name/ro/roon-tui/package.nix
new file mode 100644
index 000000000000..fb0ed9f6e681
--- /dev/null
+++ b/pkgs/by-name/ro/roon-tui/package.nix
@@ -0,0 +1,32 @@
+{ stdenv
+, lib
+, rustPlatform
+, fetchFromGitHub
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "roon-tui";
+ version = "0.3.0";
+
+ src = fetchFromGitHub {
+ owner = "TheAppgineer";
+ repo = "roon-tui";
+ rev = version;
+ hash = "sha256-rwZPUa6NyKs+jz0+JQC0kSrw0T/EL+ms2m+AzHvrI7o=";
+ };
+
+ cargoLock = {
+ lockFile = ./Cargo.lock;
+ outputHashes = {
+ "roon-api-0.1.1" = "sha256-aFcS8esfgMxzzhWLeynTRFp1FZj2z6aHIivU/5p+uec=";
+ };
+ };
+
+ meta = {
+ description = "A Roon Remote for the terminal";
+ homepage = "https://github.com/TheAppgineer/roon-tui";
+ license = lib.licenses.mit;
+ maintainers = with lib.maintainers; [ MichaelCDormann ];
+ mainProgram = "roon-tui";
+ };
+}
diff --git a/pkgs/by-name/ro/rose-pine-cursor/package.nix b/pkgs/by-name/ro/rose-pine-cursor/package.nix
new file mode 100644
index 000000000000..812a52854afc
--- /dev/null
+++ b/pkgs/by-name/ro/rose-pine-cursor/package.nix
@@ -0,0 +1,38 @@
+{ lib
+, stdenvNoCC
+, fetchurl
+}:
+
+stdenvNoCC.mkDerivation (finalAttrs: {
+ pname = "rose-pine-cursor";
+ version = "1.1.0";
+
+ srcs = [
+ (fetchurl {
+ url = "https://github.com/rose-pine/cursor/releases/download/v${finalAttrs.version}/BreezeX-RosePine-Linux.tar.xz";
+ hash = "sha256-szDVnOjg5GAgn2OKl853K3jZ5rVsz2PIpQ6dlBKJoa8=";
+ })
+ (fetchurl {
+ url = "https://github.com/rose-pine/cursor/releases/download/v${finalAttrs.version}/BreezeX-RosePineDawn-Linux.tar.xz";
+ hash = "sha256-hanfwx9ooT1TbmcgCr63KVYwC1OIzTwjmxzi4Zjcrdg=";
+ })
+ ];
+
+ sourceRoot = ".";
+
+ installPhase = ''
+ runHook preInstall
+ mkdir -p $out/share/icons
+ cp -R BreezeX-RosePine-Linux $out/share/icons/
+ cp -R BreezeX-RosePineDawn-Linux $out/share/icons/
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ description = "Soho vibes for Cursors";
+ downloadPage = "https://github.com/rose-pine/cursor/releases";
+ homepage = "https://rosepinetheme.com/";
+ license = licenses.gpl3;
+ maintainers = with maintainers; [ aikooo7 ];
+ };
+})
diff --git a/pkgs/by-name/ro/roslyn-ls/deps.nix b/pkgs/by-name/ro/roslyn-ls/deps.nix
new file mode 100644
index 000000000000..de59d9ff468c
--- /dev/null
+++ b/pkgs/by-name/ro/roslyn-ls/deps.nix
@@ -0,0 +1,224 @@
+# This file was automatically generated by passthru.fetch-deps.
+# Please dont edit it manually, your changes might get overwritten!
+
+{ fetchNuGet }: [
+ (fetchNuGet { pname = "dotnet-format"; version = "7.0.360304"; sha256 = "1kxsigz0adld1lnqx82nwkrmvi09i4qjz8adxwjqgbls2wi5ks2f"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/d1622942-d16f-48e5-bc83-96f4539e7601/nuget/v3/flat2/dotnet-format/7.0.360304/dotnet-format.7.0.360304.nupkg"; })
+ (fetchNuGet { pname = "Humanizer.Core"; version = "2.14.1"; sha256 = "1ai7hgr0qwd7xlqfd92immddyi41j3ag91h3594yzfsgsy6yhyqi"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/humanizer.core/2.14.1/humanizer.core.2.14.1.nupkg"; })
+ (fetchNuGet { pname = "ICSharpCode.Decompiler"; version = "8.1.1.7464"; sha256 = "1qyfqsv4gv0gnqy73pps10qfsvqm2jcwb5p8bj8zl8ch7gvxwpzg"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/icsharpcode.decompiler/8.1.1.7464/icsharpcode.decompiler.8.1.1.7464.nupkg"; })
+ (fetchNuGet { pname = "MessagePack"; version = "2.5.108"; sha256 = "0cnaz28lhrdmavnxjkakl9q8p2yv8mricvp1b0wxdfnz8v41gwzs"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/messagepack/2.5.108/messagepack.2.5.108.nupkg"; })
+ (fetchNuGet { pname = "MessagePack.Annotations"; version = "2.5.108"; sha256 = "0nb1fx8dwl7304kw0bc375bvlhb7pg351l4cl3vqqd7d8zqjwx5v"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/messagepack.annotations/2.5.108/messagepack.annotations.2.5.108.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.Razor.ExternalAccess.RoslynWorkspace"; version = "7.0.0-preview.23525.7"; sha256 = "1vx5wl7rj85889xx8iaqvjw5rfgdfhpc22f6dzkpr3q7ngad6b21"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/d1622942-d16f-48e5-bc83-96f4539e7601/nuget/v3/flat2/microsoft.aspnetcore.razor.externalaccess.roslynworkspace/7.0.0-preview.23525.7/microsoft.aspnetcore.razor.externalaccess.roslynworkspace.7.0.0-preview.23525.7.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.Bcl.AsyncInterfaces"; version = "7.0.0"; sha256 = "1waiggh3g1cclc81gmjrqbh128kwfjky3z79ma4bd2ms9pa3gvfm"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.bcl.asyncinterfaces/7.0.0/microsoft.bcl.asyncinterfaces.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.Build"; version = "17.3.2"; sha256 = "17g4ka0c28l9v3pmf3i7cvic137h7zg6xqc78qf5j5hj7qbcps5g"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.build/17.3.2/microsoft.build.17.3.2.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.Build"; version = "17.7.2"; sha256 = "18sa4d7yl2gb7hix4v7fkyk1xnr6h0lmav89riscn2ziscanfzlk"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.build/17.7.2/microsoft.build.17.7.2.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.Build"; version = "17.9.0-preview-23551-05"; sha256 = "0arxaw9xhmy85z9dicpkhmdfc0r03f2f88zzckh1m1gfk6fqzrr0"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/d1622942-d16f-48e5-bc83-96f4539e7601/nuget/v3/flat2/microsoft.build/17.9.0-preview-23551-05/microsoft.build.17.9.0-preview-23551-05.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.Build.Framework"; version = "17.3.2"; sha256 = "1p8ikc91qc2b1h68w44brb64dy5kmkb089hdliwp02gba3dszw67"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.build.framework/17.3.2/microsoft.build.framework.17.3.2.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.Build.Framework"; version = "17.7.2"; sha256 = "1b0n96h9870g8iy4my3s6mrl15589m3w99h1g3pr0k050rasdmbw"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.build.framework/17.7.2/microsoft.build.framework.17.7.2.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.Build.Framework"; version = "17.9.0-preview-23551-05"; sha256 = "0cnjy7j9s97yk0ax82ydih2kq01w4n4y4bx21b4nr156gnz9jf5v"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/d1622942-d16f-48e5-bc83-96f4539e7601/nuget/v3/flat2/microsoft.build.framework/17.9.0-preview-23551-05/microsoft.build.framework.17.9.0-preview-23551-05.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.Build.Locator"; version = "1.6.10"; sha256 = "18xavj7zii38gkk6bkblif7j1j7y33z7f06xm81ljdl2124lbqc4"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.build.locator/1.6.10/microsoft.build.locator.1.6.10.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.Build.Tasks.Core"; version = "17.3.2"; sha256 = "1mxm6xrq4illg502kjz4l7j0vjcpfv2li9wrvf4ix9m09vdwk2jl"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.build.tasks.core/17.3.2/microsoft.build.tasks.core.17.3.2.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.Build.Tasks.Core"; version = "17.7.2"; sha256 = "15drzqhsa1z5zivy2has1nd5qc60z7slk6j96njk27qrd2lpzd9s"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.build.tasks.core/17.7.2/microsoft.build.tasks.core.17.7.2.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.Build.Tasks.Core"; version = "17.9.0-preview-23551-05"; sha256 = "1byfrjbp8g1zh00n5dh9nm62xphvd9bf5gqmq889715hbybmhhqv"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/d1622942-d16f-48e5-bc83-96f4539e7601/nuget/v3/flat2/microsoft.build.tasks.core/17.9.0-preview-23551-05/microsoft.build.tasks.core.17.9.0-preview-23551-05.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.Build.Utilities.Core"; version = "17.3.2"; sha256 = "0r82hrjjqpxjp3l7ncy8jdj30p7y0p1hhr1dbfrj5l3i0zxrrcj4"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.build.utilities.core/17.3.2/microsoft.build.utilities.core.17.3.2.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.Build.Utilities.Core"; version = "17.7.2"; sha256 = "10330h9nnplr7fd01204xqndj7zx6sl392z3wgdmjgzflz84bax1"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.build.utilities.core/17.7.2/microsoft.build.utilities.core.17.7.2.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.Build.Utilities.Core"; version = "17.9.0-preview-23551-05"; sha256 = "0s4r68bfhmf6r9v9r54wjnkb6bd1y15aqqiwv0j10gycwzwhjk09"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/d1622942-d16f-48e5-bc83-96f4539e7601/nuget/v3/flat2/microsoft.build.utilities.core/17.9.0-preview-23551-05/microsoft.build.utilities.core.17.9.0-preview-23551-05.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.CodeAnalysis.Analyzers"; version = "3.3.4"; sha256 = "0wd6v57p53ahz5z9zg4iyzmy3src7rlsncyqpcag02jjj1yx6g58"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.codeanalysis.analyzers/3.3.4/microsoft.codeanalysis.analyzers.3.3.4.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.CodeAnalysis.AnalyzerUtilities"; version = "3.3.0"; sha256 = "0b2xy6m3l1y6j2xc97cg5llia169jv4nszrrrqclh505gpw6qccz"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.codeanalysis.analyzerutilities/3.3.0/microsoft.codeanalysis.analyzerutilities.3.3.0.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.CodeAnalysis.BannedApiAnalyzers"; version = "3.11.0-beta1.23364.2"; sha256 = "0xi0pjbgpj5aass3l0qsa2jn2c5gq4scb7zp8gkdgzpcwkfikwdi"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/49a1bb2b-12b0-475f-adbd-1560fc76be38/nuget/v3/flat2/microsoft.codeanalysis.bannedapianalyzers/3.11.0-beta1.23364.2/microsoft.codeanalysis.bannedapianalyzers.3.11.0-beta1.23364.2.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.CodeAnalysis.BannedApiAnalyzers"; version = "3.3.4"; sha256 = "1vzrni7n94f17bzc13lrvcxvgspx9s25ap1p005z6i1ikx6wgx30"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.codeanalysis.bannedapianalyzers/3.3.4/microsoft.codeanalysis.bannedapianalyzers.3.3.4.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.CodeAnalysis.Common"; version = "4.1.0"; sha256 = "1mbwbp0gq6fnh2fkvsl9yzry9bykcar58gbzx22y6x6zw74lnx43"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.codeanalysis.common/4.1.0/microsoft.codeanalysis.common.4.1.0.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.CodeAnalysis.Elfie"; version = "1.0.0"; sha256 = "1y5r6pm9rp70xyiaj357l3gdl4i4r8xxvqllgdyrwn9gx2aqzzqk"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.codeanalysis.elfie/1.0.0/microsoft.codeanalysis.elfie.1.0.0.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.CodeAnalysis.NetAnalyzers"; version = "8.0.0-preview.23468.1"; sha256 = "1y2jwh74n88z1rx9vprxijx7f00i6j89ffiy568xsbzddsf7s0fv"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/49a1bb2b-12b0-475f-adbd-1560fc76be38/nuget/v3/flat2/microsoft.codeanalysis.netanalyzers/8.0.0-preview.23468.1/microsoft.codeanalysis.netanalyzers.8.0.0-preview.23468.1.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.CodeAnalysis.PerformanceSensitiveAnalyzers"; version = "3.3.4-beta1.22504.1"; sha256 = "179b4r9y0ylz8y9sj9yjlag3qm34fzms85fywq3a50al32sq708x"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/e31c6eea-0277-49f3-8194-142be67a9f72/nuget/v3/flat2/microsoft.codeanalysis.performancesensitiveanalyzers/3.3.4-beta1.22504.1/microsoft.codeanalysis.performancesensitiveanalyzers.3.3.4-beta1.22504.1.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.CodeAnalysis.PublicApiAnalyzers"; version = "3.11.0-beta1.23364.2"; sha256 = "0fl9d686366zk3r7hh10x9rdw33040cq96g1drmmda2mm7ynarlf"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/49a1bb2b-12b0-475f-adbd-1560fc76be38/nuget/v3/flat2/microsoft.codeanalysis.publicapianalyzers/3.11.0-beta1.23364.2/microsoft.codeanalysis.publicapianalyzers.3.11.0-beta1.23364.2.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.CSharp"; version = "4.7.0"; sha256 = "0gd67zlw554j098kabg887b5a6pq9kzavpa3jjy5w53ccjzjfy8j"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.csharp/4.7.0/microsoft.csharp.4.7.0.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.DiaSymReader"; version = "2.0.0"; sha256 = "0g4fqxqy68bgsqzxdpz8n1sw0az1zgk33zc0xa8bwibwd1k2s6pj"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.diasymreader/2.0.0/microsoft.diasymreader.2.0.0.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.DotNet.Arcade.Sdk"; version = "8.0.0-beta.24059.4"; sha256 = "1xpmhdlvdcwg4dwq97pg4p7fba7qakvc5bc1n8lki0kyxb6in9la"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/1a5f89f6-d8da-4080-b15f-242650c914a8/nuget/v3/flat2/microsoft.dotnet.arcade.sdk/8.0.0-beta.24059.4/microsoft.dotnet.arcade.sdk.8.0.0-beta.24059.4.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.DotNet.XliffTasks"; version = "9.0.0-beta.24076.5"; sha256 = "0zb41d8vv24lp4ysrpx6y11hfkzp45hp7clclgqc1hagrqpl9i75"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/1a5f89f6-d8da-4080-b15f-242650c914a8/nuget/v3/flat2/microsoft.dotnet.xlifftasks/9.0.0-beta.24076.5/microsoft.dotnet.xlifftasks.9.0.0-beta.24076.5.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.Configuration"; version = "7.0.0"; sha256 = "0n1grglxql9llmrsbbnlz5chx8mxrb5cpvjngm0hfyrkgzcwz90d"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.extensions.configuration/7.0.0/microsoft.extensions.configuration.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.Configuration.Abstractions"; version = "7.0.0"; sha256 = "1as8cygz0pagg17w22nsf6mb49lr2mcl1x8i3ad1wi8lyzygy1a3"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.extensions.configuration.abstractions/7.0.0/microsoft.extensions.configuration.abstractions.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.Configuration.Binder"; version = "7.0.0"; sha256 = "1qifb1pv7s76lih8wnjk418wdk4qwn87q2n6dx54knfvxai410bl"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.extensions.configuration.binder/7.0.0/microsoft.extensions.configuration.binder.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.DependencyInjection"; version = "7.0.0"; sha256 = "121zs4jp8iimgbpzm3wsglhjwkc06irg1pxy8c1zcdlsg34cfq1p"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.extensions.dependencyinjection/7.0.0/microsoft.extensions.dependencyinjection.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.DependencyInjection.Abstractions"; version = "7.0.0"; sha256 = "181d7mp9307fs17lyy42f8cxnjwysddmpsalky4m0pqxcimnr6g7"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.extensions.dependencyinjection.abstractions/7.0.0/microsoft.extensions.dependencyinjection.abstractions.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.Logging"; version = "7.0.0"; sha256 = "1bqd3pqn5dacgnkq0grc17cgb2i0w8z1raw12nwm3p3zhrfcvgxf"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.extensions.logging/7.0.0/microsoft.extensions.logging.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.Logging.Abstractions"; version = "7.0.0"; sha256 = "1gn7d18i1wfy13vrwhmdv1rmsb4vrk26kqdld4cgvh77yigj90xs"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.extensions.logging.abstractions/7.0.0/microsoft.extensions.logging.abstractions.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.Logging.Configuration"; version = "7.0.0"; sha256 = "1f5fhpvzwyrwxh3g1ry027s4skmklf6mbm2w0p13h0x6fbmxcb24"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.extensions.logging.configuration/7.0.0/microsoft.extensions.logging.configuration.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.Logging.Console"; version = "7.0.0"; sha256 = "1m8ri2m3vlv9vzk0068jkrx0vkk4sqmk1kxmn8pc3wys38d38qaf"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.extensions.logging.console/7.0.0/microsoft.extensions.logging.console.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.ObjectPool"; version = "6.0.0"; sha256 = "12w6mjbq5wqqwnpclpp8482jbmz4a41xq450lx7wvjhp0zqxdh17"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.extensions.objectpool/6.0.0/microsoft.extensions.objectpool.6.0.0.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.Options"; version = "7.0.0"; sha256 = "0b90zkrsk5dw3wr749rbynhpxlg4bgqdnd7d5vdlw2g9c7zlhgx6"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.extensions.options/7.0.0/microsoft.extensions.options.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.Options.ConfigurationExtensions"; version = "7.0.0"; sha256 = "1liyprh0zha2vgmqh92n8kkjz61zwhr7g16f0gmr297z2rg1j5pj"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.extensions.options.configurationextensions/7.0.0/microsoft.extensions.options.configurationextensions.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.Extensions.Primitives"; version = "7.0.0"; sha256 = "1b4km9fszid9vp2zb3gya5ni9fn8bq62bzaas2ck2r7gs0sdys80"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.extensions.primitives/7.0.0/microsoft.extensions.primitives.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.IO.Redist"; version = "6.0.0"; sha256 = "17d02106ksijzcnh03h8qaijs77xsba5l50chng6gb8nwi7wrbd5"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.io.redist/6.0.0/microsoft.io.redist.6.0.0.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.Net.Compilers.Toolset"; version = "4.10.0-1.24061.4"; sha256 = "1irnlg14ffymmxr5kgqyqja7z3jsql3wn7nmbbfnyr8y625jbn2g"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/d1622942-d16f-48e5-bc83-96f4539e7601/nuget/v3/flat2/microsoft.net.compilers.toolset/4.10.0-1.24061.4/microsoft.net.compilers.toolset.4.10.0-1.24061.4.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.NET.StringTools"; version = "17.3.2"; sha256 = "1sg1wr7lza5c0xc4cncqr9fbsr30jlzrd1kwszr9744pfqfk1jj3"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.net.stringtools/17.3.2/microsoft.net.stringtools.17.3.2.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.NET.StringTools"; version = "17.4.0"; sha256 = "1smx30nq22plrn2mw4wb5vfgxk6hyx12b60c4wabmpnr81lq3nzv"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.net.stringtools/17.4.0/microsoft.net.stringtools.17.4.0.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.NET.StringTools"; version = "17.7.2"; sha256 = "12izr6vdf8dqfra2445w2zxz8diwl2nmciynpfr0nwd063nk80c5"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.net.stringtools/17.7.2/microsoft.net.stringtools.17.7.2.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.NET.StringTools"; version = "17.9.0-preview-23551-05"; sha256 = "0iq5pkdhlgpawq7kyxpzhdxlais89wyl5c3jr6ch7vb61lfrbwzb"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/d1622942-d16f-48e5-bc83-96f4539e7601/nuget/v3/flat2/microsoft.net.stringtools/17.9.0-preview-23551-05/microsoft.net.stringtools.17.9.0-preview-23551-05.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.Platforms"; version = "5.0.0"; sha256 = "0mwpwdflidzgzfx2dlpkvvnkgkr2ayaf0s80737h4wa35gaj11rc"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.netcore.platforms/5.0.0/microsoft.netcore.platforms.5.0.0.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.Targets"; version = "1.1.0"; sha256 = "193xwf33fbm0ni3idxzbr5fdq3i2dlfgihsac9jj7whj0gd902nh"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.netcore.targets/1.1.0/microsoft.netcore.targets.1.1.0.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.Targets"; version = "1.1.3"; sha256 = "05smkcyxir59rgrmp7d6327vvrlacdgldfxhmyr1azclvga1zfsq"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.netcore.targets/1.1.3/microsoft.netcore.targets.1.1.3.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.NETFramework.ReferenceAssemblies"; version = "1.0.3"; sha256 = "0hc4d4d4358g5192mf8faijwk0bpf9pjwcfd3h85sr67j0zhj6hl"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.netframework.referenceassemblies/1.0.3/microsoft.netframework.referenceassemblies.1.0.3.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.NETFramework.ReferenceAssemblies.net472"; version = "1.0.3"; sha256 = "0z7mpiljkqjw1qi5zapv7mg9pyfyzlgmil34j4wi3y9r19bsb87z"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.netframework.referenceassemblies.net472/1.0.3/microsoft.netframework.referenceassemblies.net472.1.0.3.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.ServiceHub.Analyzers"; version = "4.4.22"; sha256 = "0zfy8r1jn0v3fl1jaia1iblyh72i5cvkkcgxpniwpp8h1hbpkxbg"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.servicehub.analyzers/4.4.22/microsoft.servicehub.analyzers.4.4.22.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.ServiceHub.Client"; version = "4.2.1017"; sha256 = "082l1kz1jy1g0dczzb5ysxrgb4aq4z53ydpx744gfr99h75mzj01"; url = "https://pkgs.dev.azure.com/azure-public/3ccf6661-f8ce-4e8a-bb2e-eff943ddd3c7/_packaging/2a239fd0-3e21-40b0-b9d6-bc122fec7eb2/nuget/v3/flat2/microsoft.servicehub.client/4.2.1017/microsoft.servicehub.client.4.2.1017.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.ServiceHub.Framework"; version = "4.4.22"; sha256 = "07wr0ix76cdrpiaajpblkpzfl194f4k5majxfm11dfpllyg08z4r"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.servicehub.framework/4.4.22/microsoft.servicehub.framework.4.4.22.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.ServiceHub.Resources"; version = "4.2.1017"; sha256 = "1p0qk5nfzn12vbnl6nzlixzas5p4cckd8j6ki8mi6knbqn7baypa"; url = "https://pkgs.dev.azure.com/azure-public/3ccf6661-f8ce-4e8a-bb2e-eff943ddd3c7/_packaging/2a239fd0-3e21-40b0-b9d6-bc122fec7eb2/nuget/v3/flat2/microsoft.servicehub.resources/4.2.1017/microsoft.servicehub.resources.4.2.1017.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.TestPlatform.ObjectModel"; version = "17.5.0"; sha256 = "1y0cv7lzn5gvh75bimikqqd5wv1gxnrh85wxi9b3qsfixpdavh1k"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/d1622942-d16f-48e5-bc83-96f4539e7601/nuget/v3/flat2/microsoft.testplatform.objectmodel/17.5.0/microsoft.testplatform.objectmodel.17.5.0.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.TestPlatform.TranslationLayer"; version = "17.5.0"; sha256 = "04340sz5djyawmz43sf0h6qyza2pmmnsw70l4sbkmwn5bxg1wn5d"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/d1622942-d16f-48e5-bc83-96f4539e7601/nuget/v3/flat2/microsoft.testplatform.translationlayer/17.5.0/microsoft.testplatform.translationlayer.17.5.0.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.VisualStudio.Composition"; version = "17.7.29"; sha256 = "02aj4q4xiykmj14rnf2nr2llcqfj8bgqnk0wnabsil00qkx8rw0x"; url = "https://pkgs.dev.azure.com/azure-public/3ccf6661-f8ce-4e8a-bb2e-eff943ddd3c7/_packaging/491596af-6d2d-439e-80bb-1ebb3b54f9a8/nuget/v3/flat2/microsoft.visualstudio.composition/17.7.29/microsoft.visualstudio.composition.17.7.29.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.VisualStudio.Composition.Analyzers"; version = "17.7.40"; sha256 = "1zqgff4gg2r07lnz3p7f1188536jj83hl88npswp4hrb3lqsd5wf"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.visualstudio.composition.analyzers/17.7.40/microsoft.visualstudio.composition.analyzers.17.7.40.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.VisualStudio.RemoteControl"; version = "16.3.52"; sha256 = "04fdz3dj1wdnr8a6bm81l1105lb9x6lwirsa66skig38rwhs1xr7"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.visualstudio.remotecontrol/16.3.52/microsoft.visualstudio.remotecontrol.16.3.52.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.VisualStudio.Setup.Configuration.Interop"; version = "3.2.2146"; sha256 = "0d3prb0i8h35l46am18d1qi62qcyrfslqbgj4lqal8c7r78n3kl9"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.visualstudio.setup.configuration.interop/3.2.2146/microsoft.visualstudio.setup.configuration.interop.3.2.2146.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.VisualStudio.Telemetry"; version = "17.9.13"; sha256 = "1a17j0dfydq2jjpb3sfllzjmpv6zrfdxxji86yj0lj2hdi9hhb72"; url = "https://pkgs.dev.azure.com/azure-public/3ccf6661-f8ce-4e8a-bb2e-eff943ddd3c7/_packaging/491596af-6d2d-439e-80bb-1ebb3b54f9a8/nuget/v3/flat2/microsoft.visualstudio.telemetry/17.9.13/microsoft.visualstudio.telemetry.17.9.13.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.VisualStudio.Threading"; version = "17.9.3-alpha"; sha256 = "1k36jgaqikj9cvlfqhwpl06qjh9na7ppp3kphyn364rpbr85d2r2"; url = "https://pkgs.dev.azure.com/azure-public/3ccf6661-f8ce-4e8a-bb2e-eff943ddd3c7/_packaging/491596af-6d2d-439e-80bb-1ebb3b54f9a8/nuget/v3/flat2/microsoft.visualstudio.threading/17.9.3-alpha/microsoft.visualstudio.threading.17.9.3-alpha.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.VisualStudio.Threading.Analyzers"; version = "17.10.12-preview"; sha256 = "05a8k79qgcffzpjcw6b5fg50isgla7xvbra8z7p970w8ih5cb7b2"; url = "https://pkgs.dev.azure.com/azure-public/3ccf6661-f8ce-4e8a-bb2e-eff943ddd3c7/_packaging/491596af-6d2d-439e-80bb-1ebb3b54f9a8/nuget/v3/flat2/microsoft.visualstudio.threading.analyzers/17.10.12-preview/microsoft.visualstudio.threading.analyzers.17.10.12-preview.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.VisualStudio.Utilities.Internal"; version = "16.3.56"; sha256 = "14z8qd6wkx5m79wph2fyf0hfj8z8fv93pjv8z39vpnxpfkq0rqhy"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.visualstudio.utilities.internal/16.3.56/microsoft.visualstudio.utilities.internal.16.3.56.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.VisualStudio.Validation"; version = "17.6.11"; sha256 = "0qx4nzsx28galgzzjkgf541254d433dgxcaf7y2y1qyyxgsfjj1f"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.visualstudio.validation/17.6.11/microsoft.visualstudio.validation.17.6.11.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.VisualStudio.Validation"; version = "17.8.8"; sha256 = "0sra63pv7l51kyl89d4g3id87n00si4hb7msrg7ps7c930nhc7xh"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.visualstudio.validation/17.8.8/microsoft.visualstudio.validation.17.8.8.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.Win32.Primitives"; version = "4.3.0"; sha256 = "0j0c1wj4ndj21zsgivsc24whiya605603kxrbiw6wkfdync464wq"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.win32.primitives/4.3.0/microsoft.win32.primitives.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.Win32.Registry"; version = "5.0.0"; sha256 = "102hvhq2gmlcbq8y2cb7hdr2dnmjzfp2k3asr1ycwrfacwyaak7n"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.win32.registry/5.0.0/microsoft.win32.registry.5.0.0.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.Win32.SystemEvents"; version = "7.0.0"; sha256 = "1bh77misznh19m1swqm3dsbji499b8xh9gk6w74sgbkarf6ni8lb"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.win32.systemevents/7.0.0/microsoft.win32.systemevents.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.WindowsDesktop.App.Ref"; version = "6.0.27"; sha256 = "0h6xm9cc835pfpmrjvpf1fi6wq1sh1s9f7v04270cmr3d8k0ihj0"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.windowsdesktop.app.ref/6.0.27/microsoft.windowsdesktop.app.ref.6.0.27.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.WindowsDesktop.App.Ref"; version = "7.0.16"; sha256 = "02wn0x6p44g60zypk46dlliq8ic1n0dsb112zv9hdghln8kpm1rp"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.windowsdesktop.app.ref/7.0.16/microsoft.windowsdesktop.app.ref.7.0.16.nupkg"; })
+ (fetchNuGet { pname = "Microsoft.WindowsDesktop.App.Ref"; version = "8.0.2"; sha256 = "1jdnz219800q1wwy01qm6p43jrzbhvsfgp8gmfm0v3qw52v6zxnr"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/microsoft.windowsdesktop.app.ref/8.0.2/microsoft.windowsdesktop.app.ref.8.0.2.nupkg"; })
+ (fetchNuGet { pname = "Nerdbank.Streams"; version = "2.10.69"; sha256 = "1klsyly7k1xhbhrpq2s2iwdlmw3xyvh51rcakfazwxkv2hm5fj3b"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/nerdbank.streams/2.10.69/nerdbank.streams.2.10.69.nupkg"; })
+ (fetchNuGet { pname = "NETStandard.Library"; version = "2.0.0"; sha256 = "1bc4ba8ahgk15m8k4nd7x406nhi0kwqzbgjk2dmw52ss553xz7iy"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/netstandard.library/2.0.0/netstandard.library.2.0.0.nupkg"; })
+ (fetchNuGet { pname = "NETStandard.Library"; version = "2.0.3"; sha256 = "1fn9fxppfcg4jgypp2pmrpr6awl3qz1xmnri0cygpkwvyx27df1y"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/netstandard.library/2.0.3/netstandard.library.2.0.3.nupkg"; })
+ (fetchNuGet { pname = "Newtonsoft.Json"; version = "13.0.3"; sha256 = "0xrwysmrn4midrjal8g2hr1bbg38iyisl0svamb11arqws4w2bw7"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/newtonsoft.json/13.0.3/newtonsoft.json.13.0.3.nupkg"; })
+ (fetchNuGet { pname = "NuGet.Common"; version = "6.8.0-rc.112"; sha256 = "15qpl1s25h5b3rqc7k0p8iirr0n344ard5z624gy20as4zr0bwid"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/d1622942-d16f-48e5-bc83-96f4539e7601/nuget/v3/flat2/nuget.common/6.8.0-rc.112/nuget.common.6.8.0-rc.112.nupkg"; })
+ (fetchNuGet { pname = "NuGet.Configuration"; version = "6.8.0-rc.112"; sha256 = "0z0nnyyfg5k9p1zz9845vsa5piy2nqs9qmfnbn7wapcs321p0s5m"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/d1622942-d16f-48e5-bc83-96f4539e7601/nuget/v3/flat2/nuget.configuration/6.8.0-rc.112/nuget.configuration.6.8.0-rc.112.nupkg"; })
+ (fetchNuGet { pname = "NuGet.DependencyResolver.Core"; version = "6.8.0-rc.112"; sha256 = "0ax127jjmrrahhc1qxxn0wqpg18ydqwsmw5w8141gcgswjdhlbcx"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/d1622942-d16f-48e5-bc83-96f4539e7601/nuget/v3/flat2/nuget.dependencyresolver.core/6.8.0-rc.112/nuget.dependencyresolver.core.6.8.0-rc.112.nupkg"; })
+ (fetchNuGet { pname = "NuGet.Frameworks"; version = "5.11.0"; sha256 = "0wv26gq39hfqw9md32amr5771s73f5zn1z9vs4y77cgynxr73s4z"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/nuget.frameworks/5.11.0/nuget.frameworks.5.11.0.nupkg"; })
+ (fetchNuGet { pname = "NuGet.Frameworks"; version = "6.8.0-rc.112"; sha256 = "1bfv6q3gnvjbhx1d6y60sgkysy3qvp6and69vmbf2sygkzzrinfi"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/d1622942-d16f-48e5-bc83-96f4539e7601/nuget/v3/flat2/nuget.frameworks/6.8.0-rc.112/nuget.frameworks.6.8.0-rc.112.nupkg"; })
+ (fetchNuGet { pname = "NuGet.LibraryModel"; version = "6.8.0-rc.112"; sha256 = "125h1jbcaqkndghakhl43bvm195cbwwrm78i1l1rkph2s5x46ggh"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/d1622942-d16f-48e5-bc83-96f4539e7601/nuget/v3/flat2/nuget.librarymodel/6.8.0-rc.112/nuget.librarymodel.6.8.0-rc.112.nupkg"; })
+ (fetchNuGet { pname = "NuGet.Packaging"; version = "6.8.0-rc.112"; sha256 = "1213nlqxqbbidj6w296hsb0l6sm21d7dm77cj0hfyxqjhi63vlb7"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/d1622942-d16f-48e5-bc83-96f4539e7601/nuget/v3/flat2/nuget.packaging/6.8.0-rc.112/nuget.packaging.6.8.0-rc.112.nupkg"; })
+ (fetchNuGet { pname = "NuGet.ProjectModel"; version = "6.8.0-rc.112"; sha256 = "0yy2jfl3r8a4d8dbdfxwpamla4c3zi9998ip9y2rskliykaj3r41"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/d1622942-d16f-48e5-bc83-96f4539e7601/nuget/v3/flat2/nuget.projectmodel/6.8.0-rc.112/nuget.projectmodel.6.8.0-rc.112.nupkg"; })
+ (fetchNuGet { pname = "NuGet.Protocol"; version = "6.8.0-rc.112"; sha256 = "1xbyd4rb22pcd4j38gh4gybm9qwrn5zp9k8792dhi7in58jcrgza"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/d1622942-d16f-48e5-bc83-96f4539e7601/nuget/v3/flat2/nuget.protocol/6.8.0-rc.112/nuget.protocol.6.8.0-rc.112.nupkg"; })
+ (fetchNuGet { pname = "NuGet.Versioning"; version = "6.8.0-rc.112"; sha256 = "04a5x8p11xqqwd9h1bd3n48c33kasv3xwdq5s9ip66i9ki5icc07"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/d1622942-d16f-48e5-bc83-96f4539e7601/nuget/v3/flat2/nuget.versioning/6.8.0-rc.112/nuget.versioning.6.8.0-rc.112.nupkg"; })
+ (fetchNuGet { pname = "PowerShell"; version = "7.0.0"; sha256 = "13jhnbh12rcmdrkmlxq45ard03lmfq7bg14xg7k108jlpnpsr1la"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/powershell/7.0.0/powershell.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "RichCodeNav.EnvVarDump"; version = "0.1.1643-alpha"; sha256 = "1pp1608xizvv0h9q01bqy7isd3yzb3lxb2yp27j4k25xsvw460vg"; url = "https://pkgs.dev.azure.com/azure-public/3ccf6661-f8ce-4e8a-bb2e-eff943ddd3c7/_packaging/58ca65bb-e6c1-4210-88ac-fa55c1cd7877/nuget/v3/flat2/richcodenav.envvardump/0.1.1643-alpha/richcodenav.envvardump.0.1.1643-alpha.nupkg"; })
+ (fetchNuGet { pname = "Roslyn.Diagnostics.Analyzers"; version = "3.11.0-beta1.23364.2"; sha256 = "1dingpkgbcapbfb2znd1gjhghamvhfvhnrsskf7if2q2sm52pkjz"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/49a1bb2b-12b0-475f-adbd-1560fc76be38/nuget/v3/flat2/roslyn.diagnostics.analyzers/3.11.0-beta1.23364.2/roslyn.diagnostics.analyzers.3.11.0-beta1.23364.2.nupkg"; })
+ (fetchNuGet { pname = "runtime.any.System.Collections"; version = "4.3.0"; sha256 = "0bv5qgm6vr47ynxqbnkc7i797fdi8gbjjxii173syrx14nmrkwg0"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/runtime.any.system.collections/4.3.0/runtime.any.system.collections.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "runtime.any.System.Diagnostics.Tracing"; version = "4.3.0"; sha256 = "00j6nv2xgmd3bi347k00m7wr542wjlig53rmj28pmw7ddcn97jbn"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/runtime.any.system.diagnostics.tracing/4.3.0/runtime.any.system.diagnostics.tracing.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "runtime.any.System.Globalization"; version = "4.3.0"; sha256 = "1daqf33hssad94lamzg01y49xwndy2q97i2lrb7mgn28656qia1x"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/runtime.any.system.globalization/4.3.0/runtime.any.system.globalization.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "runtime.any.System.IO"; version = "4.3.0"; sha256 = "0l8xz8zn46w4d10bcn3l4yyn4vhb3lrj2zw8llvz7jk14k4zps5x"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/runtime.any.system.io/4.3.0/runtime.any.system.io.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "runtime.any.System.Reflection"; version = "4.3.0"; sha256 = "02c9h3y35pylc0zfq3wcsvc5nqci95nrkq0mszifc0sjx7xrzkly"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/runtime.any.system.reflection/4.3.0/runtime.any.system.reflection.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "runtime.any.System.Reflection.Primitives"; version = "4.3.0"; sha256 = "0x1mm8c6iy8rlxm8w9vqw7gb7s1ljadrn049fmf70cyh42vdfhrf"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/runtime.any.system.reflection.primitives/4.3.0/runtime.any.system.reflection.primitives.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "runtime.any.System.Resources.ResourceManager"; version = "4.3.0"; sha256 = "03kickal0iiby82wa5flar18kyv82s9s6d4xhk5h4bi5kfcyfjzl"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/runtime.any.system.resources.resourcemanager/4.3.0/runtime.any.system.resources.resourcemanager.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "runtime.any.System.Runtime"; version = "4.3.0"; sha256 = "1cqh1sv3h5j7ixyb7axxbdkqx6cxy00p4np4j91kpm492rf4s25b"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/runtime.any.system.runtime/4.3.0/runtime.any.system.runtime.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "runtime.any.System.Runtime.Handles"; version = "4.3.0"; sha256 = "0bh5bi25nk9w9xi8z23ws45q5yia6k7dg3i4axhfqlnj145l011x"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/runtime.any.system.runtime.handles/4.3.0/runtime.any.system.runtime.handles.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "runtime.any.System.Runtime.InteropServices"; version = "4.3.0"; sha256 = "0c3g3g3jmhlhw4klrc86ka9fjbl7i59ds1fadsb2l8nqf8z3kb19"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/runtime.any.system.runtime.interopservices/4.3.0/runtime.any.system.runtime.interopservices.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "runtime.any.System.Text.Encoding"; version = "4.3.0"; sha256 = "0aqqi1v4wx51h51mk956y783wzags13wa7mgqyclacmsmpv02ps3"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/runtime.any.system.text.encoding/4.3.0/runtime.any.system.text.encoding.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "runtime.any.System.Text.Encoding.Extensions"; version = "4.3.0"; sha256 = "0lqhgqi0i8194ryqq6v2gqx0fb86db2gqknbm0aq31wb378j7ip8"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/runtime.any.system.text.encoding.extensions/4.3.0/runtime.any.system.text.encoding.extensions.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "runtime.any.System.Threading.Tasks"; version = "4.3.0"; sha256 = "03mnvkhskbzxddz4hm113zsch1jyzh2cs450dk3rgfjp8crlw1va"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/runtime.any.system.threading.tasks/4.3.0/runtime.any.system.threading.tasks.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "runtime.debian.8-x64.runtime.native.System.Security.Cryptography.OpenSsl"; version = "4.3.0"; sha256 = "16rnxzpk5dpbbl1x354yrlsbvwylrq456xzpsha1n9y3glnhyx9d"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/runtime.debian.8-x64.runtime.native.system.security.cryptography.openssl/4.3.0/runtime.debian.8-x64.runtime.native.system.security.cryptography.openssl.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "runtime.fedora.23-x64.runtime.native.System.Security.Cryptography.OpenSsl"; version = "4.3.0"; sha256 = "0hkg03sgm2wyq8nqk6dbm9jh5vcq57ry42lkqdmfklrw89lsmr59"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/runtime.fedora.23-x64.runtime.native.system.security.cryptography.openssl/4.3.0/runtime.fedora.23-x64.runtime.native.system.security.cryptography.openssl.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "runtime.fedora.24-x64.runtime.native.System.Security.Cryptography.OpenSsl"; version = "4.3.0"; sha256 = "0c2p354hjx58xhhz7wv6div8xpi90sc6ibdm40qin21bvi7ymcaa"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/runtime.fedora.24-x64.runtime.native.system.security.cryptography.openssl/4.3.0/runtime.fedora.24-x64.runtime.native.system.security.cryptography.openssl.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "runtime.native.System"; version = "4.3.0"; sha256 = "15hgf6zaq9b8br2wi1i3x0zvmk410nlmsmva9p0bbg73v6hml5k4"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/runtime.native.system/4.3.0/runtime.native.system.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "runtime.native.System.Security.Cryptography.OpenSsl"; version = "4.3.0"; sha256 = "18pzfdlwsg2nb1jjjjzyb5qlgy6xjxzmhnfaijq5s2jw3cm3ab97"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/runtime.native.system.security.cryptography.openssl/4.3.0/runtime.native.system.security.cryptography.openssl.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "runtime.opensuse.13.2-x64.runtime.native.System.Security.Cryptography.OpenSsl"; version = "4.3.0"; sha256 = "0qyynf9nz5i7pc26cwhgi8j62ps27sqmf78ijcfgzab50z9g8ay3"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/runtime.opensuse.13.2-x64.runtime.native.system.security.cryptography.openssl/4.3.0/runtime.opensuse.13.2-x64.runtime.native.system.security.cryptography.openssl.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "runtime.opensuse.42.1-x64.runtime.native.System.Security.Cryptography.OpenSsl"; version = "4.3.0"; sha256 = "1klrs545awhayryma6l7g2pvnp9xy4z0r1i40r80zb45q3i9nbyf"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/runtime.opensuse.42.1-x64.runtime.native.system.security.cryptography.openssl/4.3.0/runtime.opensuse.42.1-x64.runtime.native.system.security.cryptography.openssl.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "runtime.osx.10.10-x64.runtime.native.System.Security.Cryptography.OpenSsl"; version = "4.3.0"; sha256 = "0zcxjv5pckplvkg0r6mw3asggm7aqzbdjimhvsasb0cgm59x09l3"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/runtime.osx.10.10-x64.runtime.native.system.security.cryptography.openssl/4.3.0/runtime.osx.10.10-x64.runtime.native.system.security.cryptography.openssl.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "runtime.rhel.7-x64.runtime.native.System.Security.Cryptography.OpenSsl"; version = "4.3.0"; sha256 = "0vhynn79ih7hw7cwjazn87rm9z9fj0rvxgzlab36jybgcpcgphsn"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/runtime.rhel.7-x64.runtime.native.system.security.cryptography.openssl/4.3.0/runtime.rhel.7-x64.runtime.native.system.security.cryptography.openssl.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "runtime.ubuntu.14.04-x64.runtime.native.System.Security.Cryptography.OpenSsl"; version = "4.3.0"; sha256 = "160p68l2c7cqmyqjwxydcvgw7lvl1cr0znkw8fp24d1by9mqc8p3"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/runtime.ubuntu.14.04-x64.runtime.native.system.security.cryptography.openssl/4.3.0/runtime.ubuntu.14.04-x64.runtime.native.system.security.cryptography.openssl.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "runtime.ubuntu.16.04-x64.runtime.native.System.Security.Cryptography.OpenSsl"; version = "4.3.0"; sha256 = "15zrc8fgd8zx28hdghcj5f5i34wf3l6bq5177075m2bc2j34jrqy"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/runtime.ubuntu.16.04-x64.runtime.native.system.security.cryptography.openssl/4.3.0/runtime.ubuntu.16.04-x64.runtime.native.system.security.cryptography.openssl.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "runtime.ubuntu.16.10-x64.runtime.native.System.Security.Cryptography.OpenSsl"; version = "4.3.0"; sha256 = "1p4dgxax6p7rlgj4q73k73rslcnz4wdcv8q2flg1s8ygwcm58ld5"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/runtime.ubuntu.16.10-x64.runtime.native.system.security.cryptography.openssl/4.3.0/runtime.ubuntu.16.10-x64.runtime.native.system.security.cryptography.openssl.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "runtime.unix.Microsoft.Win32.Primitives"; version = "4.3.0"; sha256 = "0y61k9zbxhdi0glg154v30kkq7f8646nif8lnnxbvkjpakggd5id"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/runtime.unix.microsoft.win32.primitives/4.3.0/runtime.unix.microsoft.win32.primitives.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "runtime.unix.System.Diagnostics.Debug"; version = "4.3.0"; sha256 = "1lps7fbnw34bnh3lm31gs5c0g0dh7548wfmb8zz62v0zqz71msj5"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/runtime.unix.system.diagnostics.debug/4.3.0/runtime.unix.system.diagnostics.debug.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "runtime.unix.System.IO.FileSystem"; version = "4.3.0"; sha256 = "14nbkhvs7sji5r1saj2x8daz82rnf9kx28d3v2qss34qbr32dzix"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/runtime.unix.system.io.filesystem/4.3.0/runtime.unix.system.io.filesystem.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "runtime.unix.System.Net.Primitives"; version = "4.3.0"; sha256 = "0bdnglg59pzx9394sy4ic66kmxhqp8q8bvmykdxcbs5mm0ipwwm4"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/runtime.unix.system.net.primitives/4.3.0/runtime.unix.system.net.primitives.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "runtime.unix.System.Net.Sockets"; version = "4.3.0"; sha256 = "03npdxzy8gfv035bv1b9rz7c7hv0rxl5904wjz51if491mw0xy12"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/runtime.unix.system.net.sockets/4.3.0/runtime.unix.system.net.sockets.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "runtime.unix.System.Private.Uri"; version = "4.3.0"; sha256 = "1jx02q6kiwlvfksq1q9qr17fj78y5v6mwsszav4qcz9z25d5g6vk"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/runtime.unix.system.private.uri/4.3.0/runtime.unix.system.private.uri.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "runtime.unix.System.Runtime.Extensions"; version = "4.3.0"; sha256 = "0pnxxmm8whx38dp6yvwgmh22smknxmqs5n513fc7m4wxvs1bvi4p"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/runtime.unix.system.runtime.extensions/4.3.0/runtime.unix.system.runtime.extensions.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "SQLitePCLRaw.bundle_green"; version = "2.1.0"; sha256 = "008bnj279y7gxcai69r4bqgxpxwsdb8jvai4kxkd97arlcr1cpjv"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/sqlitepclraw.bundle_green/2.1.0/sqlitepclraw.bundle_green.2.1.0.nupkg"; })
+ (fetchNuGet { pname = "SQLitePCLRaw.core"; version = "2.1.0"; sha256 = "0kq5x9k5kl6lh7jp1hgjn08wl37zribrykfimhln6mkqbp1myncp"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/sqlitepclraw.core/2.1.0/sqlitepclraw.core.2.1.0.nupkg"; })
+ (fetchNuGet { pname = "SQLitePCLRaw.lib.e_sqlite3"; version = "2.1.0"; sha256 = "1ibkkz5dsac64nf7alsdsr8r1jm8j87vv6chsi3azkf5zv0rphsy"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/sqlitepclraw.lib.e_sqlite3/2.1.0/sqlitepclraw.lib.e_sqlite3.2.1.0.nupkg"; })
+ (fetchNuGet { pname = "SQLitePCLRaw.provider.e_sqlite3"; version = "2.1.0"; sha256 = "1g7gi1kdil8iv67g42xbmfhr1l0pkz645gqnd8lfv3q24449shan"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/sqlitepclraw.provider.e_sqlite3/2.1.0/sqlitepclraw.provider.e_sqlite3.2.1.0.nupkg"; })
+ (fetchNuGet { pname = "StreamJsonRpc"; version = "2.17.9"; sha256 = "03c9yl99rxw3by9xb7g3rf512p04qxqyxdqza7cis6k47l3fvklw"; url = "https://pkgs.dev.azure.com/azure-public/3ccf6661-f8ce-4e8a-bb2e-eff943ddd3c7/_packaging/491596af-6d2d-439e-80bb-1ebb3b54f9a8/nuget/v3/flat2/streamjsonrpc/2.17.9/streamjsonrpc.2.17.9.nupkg"; })
+ (fetchNuGet { pname = "System.Buffers"; version = "4.5.1"; sha256 = "04kb1mdrlcixj9zh1xdi5as0k0qi8byr5mi3p3jcxx72qz93s2y3"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.buffers/4.5.1/system.buffers.4.5.1.nupkg"; })
+ (fetchNuGet { pname = "System.CodeDom"; version = "7.0.0"; sha256 = "08a2k2v7kdx8wmzl4xcpfj749yy476ggqsy4cps4iyqqszgyv0zc"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.codedom/7.0.0/system.codedom.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.Collections"; version = "4.3.0"; sha256 = "19r4y64dqyrq6k4706dnyhhw7fs24kpp3awak7whzss39dakpxk9"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.collections/4.3.0/system.collections.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "System.Collections.Immutable"; version = "8.0.0"; sha256 = "0z53a42zjd59zdkszcm7pvij4ri5xbb8jly9hzaad9khlf69bcqp"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.collections.immutable/8.0.0/system.collections.immutable.8.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.CommandLine"; version = "2.0.0-beta4.23407.1"; sha256 = "1qsil8pmy3zwzn1hb7iyw2ic9fzdj1giqd5cz27mnb13x97mi9ck"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/516521bf-6417-457e-9a9c-0a4bdfde03e7/nuget/v3/flat2/system.commandline/2.0.0-beta4.23407.1/system.commandline.2.0.0-beta4.23407.1.nupkg"; })
+ (fetchNuGet { pname = "System.ComponentModel.Composition"; version = "7.0.0"; sha256 = "1gkn56gclkn6qnsvaw5fzw6qb45pa7rffxph1gyqhq7ywvmm0nc3"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.componentmodel.composition/7.0.0/system.componentmodel.composition.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.Composition"; version = "7.0.0"; sha256 = "1aii681g7a4gv8fvgd6hbnbbwi6lpzfcnl3k0k8hqx4m7fxp2f32"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.composition/7.0.0/system.composition.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.Composition.AttributedModel"; version = "7.0.0"; sha256 = "1cxrp0sk5b2gihhkn503iz8fa99k860js2qyzjpsw9rn547pdkny"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.composition.attributedmodel/7.0.0/system.composition.attributedmodel.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.Composition.Convention"; version = "7.0.0"; sha256 = "1nbyn42xys0kv247jf45r748av6fp8kp27f1582lfhnj2n8290rp"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.composition.convention/7.0.0/system.composition.convention.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.Composition.Hosting"; version = "7.0.0"; sha256 = "0wqbjxgggskfn45ilvg86grqci3zx9xj34r5sradca4mqqc90n7f"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.composition.hosting/7.0.0/system.composition.hosting.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.Composition.Runtime"; version = "7.0.0"; sha256 = "1p9xpqzx42s8cdizv6nh15hcjvl2km0rwby66nfkj4cb472l339s"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.composition.runtime/7.0.0/system.composition.runtime.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.Composition.TypedParts"; version = "7.0.0"; sha256 = "0syz7y6wgnxxgjvfqgymn9mnaa5fjy1qp06qnsvh3agr9mvcv779"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.composition.typedparts/7.0.0/system.composition.typedparts.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.Configuration.ConfigurationManager"; version = "7.0.0"; sha256 = "149d9kmakzkbw69cip1ny0wjlgcvnhrr7vz5pavpsip36k2mw02a"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.configuration.configurationmanager/7.0.0/system.configuration.configurationmanager.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.Data.DataSetExtensions"; version = "4.5.0"; sha256 = "0gk9diqx388qjmbhljsx64b5i0p9cwcaibd4h7f8x901pz84x6ma"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.data.datasetextensions/4.5.0/system.data.datasetextensions.4.5.0.nupkg"; })
+ (fetchNuGet { pname = "System.Diagnostics.Debug"; version = "4.3.0"; sha256 = "00yjlf19wjydyr6cfviaph3vsjzg3d5nvnya26i2fvfg53sknh3y"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.diagnostics.debug/4.3.0/system.diagnostics.debug.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "System.Diagnostics.DiagnosticSource"; version = "7.0.0"; sha256 = "1jxhvsh5mzdf0sgb4dfmbys1b12ylyr5pcfyj1map354fiq3qsgm"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.diagnostics.diagnosticsource/7.0.0/system.diagnostics.diagnosticsource.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.Diagnostics.DiagnosticSource"; version = "7.0.2"; sha256 = "1h97ikph775gya93qsjjaka87qcygbyh1064rh1hnfcnp5xv0ipi"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.diagnostics.diagnosticsource/7.0.2/system.diagnostics.diagnosticsource.7.0.2.nupkg"; })
+ (fetchNuGet { pname = "System.Diagnostics.EventLog"; version = "7.0.0"; sha256 = "16p8z975dnzmncfifa9gw9n3k9ycpr2qvz7lglpghsvx0fava8k9"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.diagnostics.eventlog/7.0.0/system.diagnostics.eventlog.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.Diagnostics.PerformanceCounter"; version = "7.0.0"; sha256 = "1xg45w9gr7q539n2p0wighsrrl5ax55az8v2hpczm2pi0xd7ksdp"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.diagnostics.performancecounter/7.0.0/system.diagnostics.performancecounter.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.Diagnostics.Process"; version = "4.3.0"; sha256 = "0g4prsbkygq8m21naqmcp70f24a1ksyix3dihb1r1f71lpi3cfj7"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.diagnostics.process/4.3.0/system.diagnostics.process.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "System.Diagnostics.TraceSource"; version = "4.3.0"; sha256 = "1kyw4d7dpjczhw6634nrmg7yyyzq72k75x38y0l0nwhigdlp1766"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.diagnostics.tracesource/4.3.0/system.diagnostics.tracesource.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "System.Diagnostics.Tracing"; version = "4.3.0"; sha256 = "1m3bx6c2s958qligl67q7grkwfz3w53hpy7nc97mh6f7j5k168c4"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.diagnostics.tracing/4.3.0/system.diagnostics.tracing.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "System.Drawing.Common"; version = "7.0.0"; sha256 = "0jwyv5zjxzr4bm4vhmz394gsxqa02q6pxdqd2hwy1f116f0l30dp"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.drawing.common/7.0.0/system.drawing.common.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.Formats.Asn1"; version = "6.0.0"; sha256 = "1vvr7hs4qzjqb37r0w1mxq7xql2b17la63jwvmgv65s1hj00g8r9"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.formats.asn1/6.0.0/system.formats.asn1.6.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.Formats.Asn1"; version = "7.0.0"; sha256 = "1a14kgpqz4k7jhi7bs2gpgf67ym5wpj99203zxgwjypj7x47xhbq"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.formats.asn1/7.0.0/system.formats.asn1.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.Globalization"; version = "4.3.0"; sha256 = "1cp68vv683n6ic2zqh2s1fn4c2sd87g5hpp6l4d4nj4536jz98ki"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.globalization/4.3.0/system.globalization.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "System.IO"; version = "4.3.0"; sha256 = "05l9qdrzhm4s5dixmx68kxwif4l99ll5gqmh7rqgw554fx0agv5f"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.io/4.3.0/system.io.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "System.IO.FileSystem"; version = "4.3.0"; sha256 = "0z2dfrbra9i6y16mm9v1v6k47f0fm617vlb7s5iybjjsz6g1ilmw"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.io.filesystem/4.3.0/system.io.filesystem.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "System.IO.FileSystem.AccessControl"; version = "5.0.0"; sha256 = "0ixl68plva0fsj3byv76bai7vkin86s6wyzr8vcav3szl862blvk"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.io.filesystem.accesscontrol/5.0.0/system.io.filesystem.accesscontrol.5.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.IO.FileSystem.Primitives"; version = "4.3.0"; sha256 = "0j6ndgglcf4brg2lz4wzsh1av1gh8xrzdsn9f0yznskhqn1xzj9c"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.io.filesystem.primitives/4.3.0/system.io.filesystem.primitives.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "System.IO.Pipelines"; version = "7.0.0"; sha256 = "1ila2vgi1w435j7g2y7ykp2pdbh9c5a02vm85vql89az93b7qvav"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.io.pipelines/7.0.0/system.io.pipelines.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.IO.Pipes"; version = "4.3.0"; sha256 = "1ygv16gzpi9cnlzcqwijpv7055qc50ynwg3vw29vj1q3iha3h06r"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.io.pipes/4.3.0/system.io.pipes.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "System.Management"; version = "7.0.0"; sha256 = "1x3xwjzkmlcrj6rl6f2y8lkkp1s8xkhwqlpqk9ylpwqz7w3mhis0"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.management/7.0.0/system.management.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.Memory"; version = "4.5.5"; sha256 = "08jsfwimcarfzrhlyvjjid61j02irx6xsklf32rv57x2aaikvx0h"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.memory/4.5.5/system.memory.4.5.5.nupkg"; })
+ (fetchNuGet { pname = "System.Net.NameResolution"; version = "4.3.0"; sha256 = "15r75pwc0rm3vvwsn8rvm2krf929mjfwliv0mpicjnii24470rkq"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.net.nameresolution/4.3.0/system.net.nameresolution.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "System.Net.Primitives"; version = "4.3.0"; sha256 = "0c87k50rmdgmxx7df2khd9qj7q35j9rzdmm2572cc55dygmdk3ii"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.net.primitives/4.3.0/system.net.primitives.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "System.Net.Sockets"; version = "4.3.0"; sha256 = "1ssa65k6chcgi6mfmzrznvqaxk8jp0gvl77xhf1hbzakjnpxspla"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.net.sockets/4.3.0/system.net.sockets.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "System.Numerics.Vectors"; version = "4.4.0"; sha256 = "0rdvma399070b0i46c4qq1h2yvjj3k013sqzkilz4bz5cwmx1rba"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.numerics.vectors/4.4.0/system.numerics.vectors.4.4.0.nupkg"; })
+ (fetchNuGet { pname = "System.Numerics.Vectors"; version = "4.5.0"; sha256 = "1kzrj37yzawf1b19jq0253rcs8hsq1l2q8g69d7ipnhzb0h97m59"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.numerics.vectors/4.5.0/system.numerics.vectors.4.5.0.nupkg"; })
+ (fetchNuGet { pname = "System.Private.Uri"; version = "4.3.2"; sha256 = "019s7jz73d236p23mnpfaxxwib019i0v1fbwbkys0hskgddvw7cc"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.private.uri/4.3.2/system.private.uri.4.3.2.nupkg"; })
+ (fetchNuGet { pname = "System.Reflection"; version = "4.3.0"; sha256 = "0xl55k0mw8cd8ra6dxzh974nxif58s3k1rjv1vbd7gjbjr39j11m"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.reflection/4.3.0/system.reflection.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "System.Reflection.Emit"; version = "4.7.0"; sha256 = "121l1z2ypwg02yz84dy6gr82phpys0njk7yask3sihgy214w43qp"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.reflection.emit/4.7.0/system.reflection.emit.4.7.0.nupkg"; })
+ (fetchNuGet { pname = "System.Reflection.Emit.ILGeneration"; version = "4.7.0"; sha256 = "0l8jpxhpgjlf1nkz5lvp61r4kfdbhr29qi8aapcxn3izd9wd0j8r"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.reflection.emit.ilgeneration/4.7.0/system.reflection.emit.ilgeneration.4.7.0.nupkg"; })
+ (fetchNuGet { pname = "System.Reflection.Emit.Lightweight"; version = "4.7.0"; sha256 = "0mbjfajmafkca47zr8v36brvknzks5a7pgb49kfq2d188pyv6iap"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.reflection.emit.lightweight/4.7.0/system.reflection.emit.lightweight.4.7.0.nupkg"; })
+ (fetchNuGet { pname = "System.Reflection.Metadata"; version = "8.0.0"; sha256 = "10a8vm0c3n5cili5nix6bdmiaxr69qisvk356pb81f2s8bgq40bm"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.reflection.metadata/8.0.0/system.reflection.metadata.8.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.Reflection.MetadataLoadContext"; version = "6.0.0"; sha256 = "1ijfiqpi3flp5g9amridhjjmzz6md1c6pnxx5h7pdbiqqx9rwrpk"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.reflection.metadataloadcontext/6.0.0/system.reflection.metadataloadcontext.6.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.Reflection.MetadataLoadContext"; version = "7.0.0"; sha256 = "0cmrvrmsf8hifcfyspmqmd4pv4h2g9yj87hf0fyl5pzma147m2am"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.reflection.metadataloadcontext/7.0.0/system.reflection.metadataloadcontext.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.Reflection.Primitives"; version = "4.3.0"; sha256 = "04xqa33bld78yv5r93a8n76shvc8wwcdgr1qvvjh959g3rc31276"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.reflection.primitives/4.3.0/system.reflection.primitives.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "System.Resources.Extensions"; version = "7.0.0"; sha256 = "0d5gk5g5qqkwa728jwx9yabgjvgywsy6k8r5vgqv2dmlvjrqflb4"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.resources.extensions/7.0.0/system.resources.extensions.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.Resources.ResourceManager"; version = "4.3.0"; sha256 = "0sjqlzsryb0mg4y4xzf35xi523s4is4hz9q4qgdvlvgivl7qxn49"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.resources.resourcemanager/4.3.0/system.resources.resourcemanager.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "System.Runtime"; version = "4.3.0"; sha256 = "066ixvgbf2c929kgknshcxqj6539ax7b9m570cp8n179cpfkapz7"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.runtime/4.3.0/system.runtime.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "System.Runtime.CompilerServices.Unsafe"; version = "6.0.0"; sha256 = "0qm741kh4rh57wky16sq4m0v05fxmkjjr87krycf5vp9f0zbahbc"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.runtime.compilerservices.unsafe/6.0.0/system.runtime.compilerservices.unsafe.6.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.Runtime.Extensions"; version = "4.3.0"; sha256 = "1ykp3dnhwvm48nap8q23893hagf665k0kn3cbgsqpwzbijdcgc60"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.runtime.extensions/4.3.0/system.runtime.extensions.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "System.Runtime.Handles"; version = "4.3.0"; sha256 = "0sw2gfj2xr7sw9qjn0j3l9yw07x73lcs97p8xfc9w1x9h5g5m7i8"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.runtime.handles/4.3.0/system.runtime.handles.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "System.Runtime.InteropServices"; version = "4.3.0"; sha256 = "00hywrn4g7hva1b2qri2s6rabzwgxnbpw9zfxmz28z09cpwwgh7j"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.runtime.interopservices/4.3.0/system.runtime.interopservices.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "System.Runtime.InteropServices.RuntimeInformation"; version = "4.3.0"; sha256 = "0q18r1sh4vn7bvqgd6dmqlw5v28flbpj349mkdish2vjyvmnb2ii"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.runtime.interopservices.runtimeinformation/4.3.0/system.runtime.interopservices.runtimeinformation.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "System.Runtime.Loader"; version = "4.3.0"; sha256 = "07fgipa93g1xxgf7193a6vw677mpzgr0z0cfswbvqqb364cva8dk"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.runtime.loader/4.3.0/system.runtime.loader.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "System.Security.AccessControl"; version = "5.0.0"; sha256 = "17n3lrrl6vahkqmhlpn3w20afgz09n7i6rv0r3qypngwi7wqdr5r"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.security.accesscontrol/5.0.0/system.security.accesscontrol.5.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.Security.AccessControl"; version = "6.0.0"; sha256 = "0a678bzj8yxxiffyzy60z2w1nczzpi8v97igr4ip3byd2q89dv58"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.security.accesscontrol/6.0.0/system.security.accesscontrol.6.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.Security.Cryptography.Pkcs"; version = "6.0.1"; sha256 = "0wswhbvm3gh06azg9k1zfvmhicpzlh7v71qzd4x5zwizq4khv7iq"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.security.cryptography.pkcs/6.0.1/system.security.cryptography.pkcs.6.0.1.nupkg"; })
+ (fetchNuGet { pname = "System.Security.Cryptography.Pkcs"; version = "6.0.4"; sha256 = "0hh5h38pnxmlrnvs72f2hzzpz4b2caiiv6xf8y7fzdg84r3imvfr"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.security.cryptography.pkcs/6.0.4/system.security.cryptography.pkcs.6.0.4.nupkg"; })
+ (fetchNuGet { pname = "System.Security.Cryptography.Pkcs"; version = "7.0.2"; sha256 = "0px6snb8gdb6mpwsqrhlpbkmjgd63h4yamqm2gvyf9rwibymjbm9"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.security.cryptography.pkcs/7.0.2/system.security.cryptography.pkcs.7.0.2.nupkg"; })
+ (fetchNuGet { pname = "System.Security.Cryptography.ProtectedData"; version = "4.4.0"; sha256 = "1q8ljvqhasyynp94a1d7jknk946m20lkwy2c3wa8zw2pc517fbj6"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.security.cryptography.protecteddata/4.4.0/system.security.cryptography.protecteddata.4.4.0.nupkg"; })
+ (fetchNuGet { pname = "System.Security.Cryptography.ProtectedData"; version = "7.0.0"; sha256 = "15s9s6hsj9bz0nzw41mxbqdjgjd71w2djqbv0aj413gfi9amybk9"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.security.cryptography.protecteddata/7.0.0/system.security.cryptography.protecteddata.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.Security.Cryptography.Xml"; version = "6.0.0"; sha256 = "0aybd4mp9f8d4kgdnrnad7bmdg872044p75nk37f8a4lvkh2sywd"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.security.cryptography.xml/6.0.0/system.security.cryptography.xml.6.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.Security.Cryptography.Xml"; version = "7.0.1"; sha256 = "0p6kx6ag0il7rxxcvm84w141phvr7fafjzxybf920bxwa0jkwzq8"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.security.cryptography.xml/7.0.1/system.security.cryptography.xml.7.0.1.nupkg"; })
+ (fetchNuGet { pname = "System.Security.Permissions"; version = "6.0.0"; sha256 = "0jsl4xdrkqi11iwmisi1r2f2qn5pbvl79mzq877gndw6ans2zhzw"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.security.permissions/6.0.0/system.security.permissions.6.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.Security.Permissions"; version = "7.0.0"; sha256 = "0wkm6bj4abknzj41ygkziifx8mzhj4bix92wjvj6lihaw1gniq8c"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.security.permissions/7.0.0/system.security.permissions.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.Security.Principal"; version = "4.3.0"; sha256 = "12cm2zws06z4lfc4dn31iqv7072zyi4m910d4r6wm8yx85arsfxf"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.security.principal/4.3.0/system.security.principal.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "System.Security.Principal.Windows"; version = "5.0.0"; sha256 = "1mpk7xj76lxgz97a5yg93wi8lj0l8p157a5d50mmjy3gbz1904q8"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.security.principal.windows/5.0.0/system.security.principal.windows.5.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.Text.Encoding"; version = "4.3.0"; sha256 = "1f04lkir4iladpp51sdgmis9dj4y8v08cka0mbmsy0frc9a4gjqr"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.text.encoding/4.3.0/system.text.encoding.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "System.Text.Encoding.CodePages"; version = "7.0.0"; sha256 = "0sn6hxdjm7bw3xgsmg041ccchsa4sp02aa27cislw3x61dbr68kq"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.text.encoding.codepages/7.0.0/system.text.encoding.codepages.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.Text.Encoding.Extensions"; version = "4.3.0"; sha256 = "11q1y8hh5hrp5a3kw25cb6l00v5l5dvirkz8jr3sq00h1xgcgrxy"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.text.encoding.extensions/4.3.0/system.text.encoding.extensions.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "System.Text.Encodings.Web"; version = "7.0.0"; sha256 = "1151hbyrcf8kyg1jz8k9awpbic98lwz9x129rg7zk1wrs6vjlpxl"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.text.encodings.web/7.0.0/system.text.encodings.web.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.Text.Json"; version = "7.0.3"; sha256 = "0zjrnc9lshagm6kdb9bdh45dmlnkpwcpyssa896sda93ngbmj8k9"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.text.json/7.0.3/system.text.json.7.0.3.nupkg"; })
+ (fetchNuGet { pname = "System.Threading"; version = "4.3.0"; sha256 = "0rw9wfamvhayp5zh3j7p1yfmx9b5khbf4q50d8k5rk993rskfd34"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.threading/4.3.0/system.threading.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "System.Threading.Channels"; version = "7.0.0"; sha256 = "1qrmqa6hpzswlmyp3yqsbnmia9i5iz1y208xpqc1y88b1f6j1v8a"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.threading.channels/7.0.0/system.threading.channels.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.Threading.Overlapped"; version = "4.3.0"; sha256 = "1nahikhqh9nk756dh8p011j36rlcp1bzz3vwi2b4m1l2s3vz8idm"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.threading.overlapped/4.3.0/system.threading.overlapped.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "System.Threading.Tasks"; version = "4.3.0"; sha256 = "134z3v9abw3a6jsw17xl3f6hqjpak5l682k2vz39spj4kmydg6k7"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.threading.tasks/4.3.0/system.threading.tasks.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "System.Threading.Tasks.Dataflow"; version = "7.0.0"; sha256 = "0ham9l8xrmlq2qwin53n82iz1wanci2h695i3cq83jcw4n28qdr9"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.threading.tasks.dataflow/7.0.0/system.threading.tasks.dataflow.7.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.Threading.Tasks.Extensions"; version = "4.5.4"; sha256 = "0y6ncasgfcgnjrhynaf0lwpkpkmv4a07sswwkwbwb5h7riisj153"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.threading.tasks.extensions/4.5.4/system.threading.tasks.extensions.4.5.4.nupkg"; })
+ (fetchNuGet { pname = "System.Threading.Thread"; version = "4.3.0"; sha256 = "0y2xiwdfcph7znm2ysxanrhbqqss6a3shi1z3c779pj2s523mjx4"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.threading.thread/4.3.0/system.threading.thread.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "System.Threading.ThreadPool"; version = "4.3.0"; sha256 = "027s1f4sbx0y1xqw2irqn6x161lzj8qwvnh2gn78ciiczdv10vf1"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.threading.threadpool/4.3.0/system.threading.threadpool.4.3.0.nupkg"; })
+ (fetchNuGet { pname = "System.ValueTuple"; version = "4.5.0"; sha256 = "00k8ja51d0f9wrq4vv5z2jhq8hy31kac2rg0rv06prylcybzl8cy"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.valuetuple/4.5.0/system.valuetuple.4.5.0.nupkg"; })
+ (fetchNuGet { pname = "System.Windows.Extensions"; version = "6.0.0"; sha256 = "1wy9pq9vn1bqg5qnv53iqrbx04yzdmjw4x5yyi09y3459vaa1sip"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.windows.extensions/6.0.0/system.windows.extensions.6.0.0.nupkg"; })
+ (fetchNuGet { pname = "System.Windows.Extensions"; version = "7.0.0"; sha256 = "11r9f0v7qp365bdpq5ax023yra4qvygljz18dlqs650d44iay669"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/45bacae2-5efb-47c8-91e5-8ec20c22b4f8/nuget/v3/flat2/system.windows.extensions/7.0.0/system.windows.extensions.7.0.0.nupkg"; })
+]
diff --git a/pkgs/by-name/ro/roslyn-ls/package.nix b/pkgs/by-name/ro/roslyn-ls/package.nix
new file mode 100644
index 000000000000..d5b67bfc0342
--- /dev/null
+++ b/pkgs/by-name/ro/roslyn-ls/package.nix
@@ -0,0 +1,82 @@
+{ lib, fetchFromGitHub, buildDotnetModule, dotnetCorePackages, stdenvNoCC, testers, roslyn-ls, jq }:
+let
+ pname = "roslyn-ls";
+ # see https://github.com/dotnet/roslyn/blob/main/eng/targets/TargetFrameworks.props
+ dotnet-sdk = with dotnetCorePackages; combinePackages [ sdk_6_0 sdk_7_0 sdk_8_0 ];
+ # need sdk on runtime as well
+ dotnet-runtime = dotnetCorePackages.sdk_8_0;
+
+ project = "Microsoft.CodeAnalysis.LanguageServer";
+in
+buildDotnetModule rec {
+ inherit pname dotnet-sdk dotnet-runtime;
+
+ vsVersion = "2.17.7";
+ src = fetchFromGitHub {
+ owner = "dotnet";
+ repo = "roslyn";
+ rev = "VSCode-CSharp-${vsVersion}";
+ hash = "sha256-afsYOMoM4I/CdP6IwThJpGl9M2xx/eDeuOj9CTk2fFI=";
+ };
+
+ # versioned independently from vscode-csharp
+ # "roslyn" in here:
+ # https://github.com/dotnet/vscode-csharp/blob/main/package.json
+ version = "4.10.0-2.24102.11";
+ projectFile = "src/Features/LanguageServer/${project}/${project}.csproj";
+ useDotnetFromEnv = true;
+ nugetDeps = ./deps.nix;
+
+ nativeBuildInputs = [ jq ];
+
+ postPatch = ''
+ # Upstream uses rollForward = latestPatch, which pins to an *exact* .NET SDK version.
+ jq '.sdk.rollForward = "latestMinor"' < global.json > global.json.tmp
+ mv global.json.tmp global.json
+
+ substituteInPlace $projectFile \
+ --replace-fail \
+ 'win-x64;win-x86;win-arm64;linux-x64;linux-arm64;alpine-x64;alpine-arm64;osx-x64;osx-arm64' \
+ 'linux-x64;linux-arm64;osx-x64;osx-arm64'
+ '';
+
+ # two problems solved here:
+ # 1. --no-build removed -> BuildHost project within roslyn is running Build target during publish
+ # 2. missing crossgen2 7.* in local nuget directory when PublishReadyToRun=true
+ # the latter should be fixable here but unsure how
+ installPhase =
+ let
+ rid = dotnetCorePackages.systemToDotnetRid stdenvNoCC.targetPlatform.system;
+ in
+ ''
+ runHook preInstall
+
+ env dotnet publish $projectFile \
+ -p:ContinuousIntegrationBuild=true \
+ -p:Deterministic=true \
+ -p:InformationalVersion=$version \
+ -p:UseAppHost=true \
+ -p:PublishTrimmed=false \
+ -p:PublishReadyToRun=false \
+ --configuration Release \
+ --no-self-contained \
+ --output "$out/lib/$pname" \
+ --runtime ${rid}
+
+ runHook postInstall
+ '';
+
+ passthru = {
+ tests.version = testers.testVersion { package = roslyn-ls; };
+ updateScript = ./update.sh;
+ };
+
+ meta = {
+ homepage = "https://github.com/dotnet/vscode-csharp";
+ description = "The language server behind C# Dev Kit for Visual Studio Code";
+ changelog = "https://github.com/dotnet/vscode-csharp/releases/tag/v${vsVersion}";
+ license = lib.licenses.mit;
+ maintainers = with lib.maintainers; [ konradmalik ];
+ mainProgram = "Microsoft.CodeAnalysis.LanguageServer";
+ };
+}
diff --git a/pkgs/by-name/ro/roslyn-ls/update.sh b/pkgs/by-name/ro/roslyn-ls/update.sh
new file mode 100755
index 000000000000..e0d8d1194b4f
--- /dev/null
+++ b/pkgs/by-name/ro/roslyn-ls/update.sh
@@ -0,0 +1,25 @@
+#! /usr/bin/env nix-shell
+#! nix-shell -I nixpkgs=./. -i bash -p curl jq common-updater-scripts
+# shellcheck shell=bash
+
+set -euo pipefail
+
+cd "$(dirname "${BASH_SOURCE[0]}")"
+
+old_vs_version="$(sed -nE 's/\s*vsVersion = "(.*)".*/\1/p' ./package.nix)"
+new_vs_version="$(curl -s "https://api.github.com/repos/dotnet/vscode-csharp/tags?per_page=1" | jq -r '.[0].name' | sed 's/v//')"
+
+if [[ "$new_vs_version" == "$old_vs_version" ]]; then
+ echo "Already up to date!"
+ exit 0
+fi
+
+old_roslyn_version="$(sed -nE 's/\s*version = "(.*)".*/\1/p' ./package.nix)"
+new_roslyn_version="$(curl -s "https://raw.githubusercontent.com/dotnet/vscode-csharp/v$new_vs_version/package.json" | jq -r .defaults.roslyn)"
+
+sed -i "s/ = \"${old_roslyn_version}\"/ = \"${new_roslyn_version}\"/" ./package.nix
+
+cd ../../../..
+update-source-version roslyn-ls "${new_vs_version}" --version-key=vsVersion
+
+$(nix-build -A roslyn-ls.fetch-deps --no-out-link)
diff --git a/pkgs/by-name/ro/route-graph/package.nix b/pkgs/by-name/ro/route-graph/package.nix
new file mode 100644
index 000000000000..7b5e20451a98
--- /dev/null
+++ b/pkgs/by-name/ro/route-graph/package.nix
@@ -0,0 +1,51 @@
+{ lib
+, fetchFromGitHub
+, graphviz
+, python3
+}:
+
+python3.pkgs.buildPythonApplication rec {
+ pname = "route-graph";
+ version = "0.2.1";
+ pyproject = true;
+
+ src = fetchFromGitHub {
+ owner = "audiusGmbH";
+ repo = "route-graph";
+ rev = "refs/tags/${version}";
+ hash = "sha256-OOXLmHxWre5t4tysDXV23PTkyUG6Zcpanw0fVCOLFTM=";
+ };
+
+ pythonRelaxDeps = [
+ "typing-extensions"
+ ];
+
+ nativeBuildInputs = with python3.pkgs; [
+ poetry-core
+ pythonRelaxDepsHook
+ ];
+
+ propagatedBuildInputs = [
+ graphviz
+ ] ++ (with python3.pkgs; [
+ scapy
+ typer
+ typing-extensions
+ ] ++ typer.optional-dependencies.all);
+
+ # Project has no tests
+ doCheck = false;
+
+ pythonImportsCheck = [
+ "route_graph"
+ ];
+
+ meta = with lib; {
+ description = "CLI tool for creating graphs of routes";
+ homepage = "https://github.com/audiusGmbH/route-graph";
+ changelog = "https://github.com/audiusGmbH/route-graph/releases/tag/${version}";
+ license = licenses.mit;
+ maintainers = with maintainers; [ fab ];
+ mainProgram = "route-graph";
+ };
+}
diff --git a/pkgs/by-name/ro/roxterm/package.nix b/pkgs/by-name/ro/roxterm/package.nix
index 8b4f2e79c2be..8a978e98b6d4 100644
--- a/pkgs/by-name/ro/roxterm/package.nix
+++ b/pkgs/by-name/ro/roxterm/package.nix
@@ -31,13 +31,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "roxterm";
- version = "3.14.3";
+ version = "3.15.0";
src = fetchFromGitHub {
owner = "realh";
repo = "roxterm";
rev = finalAttrs.version;
- hash = "sha256-NSOGq3rN+9X4WA8Q0gMbZ9spO/dbZkzeo4zEno/Kgcs=";
+ hash = "sha256-mmfnpZTCsLJ4EPxsKZXeHBZnpvc2n1TCEPmiIHmnxKc=";
};
nativeBuildInputs = [
diff --git a/pkgs/by-name/rp/rpcs3/package.nix b/pkgs/by-name/rp/rpcs3/package.nix
index ef87774636b2..058d95bb4414 100644
--- a/pkgs/by-name/rp/rpcs3/package.nix
+++ b/pkgs/by-name/rp/rpcs3/package.nix
@@ -22,6 +22,7 @@
, flatbuffers
, llvm_16
, cubeb
+, enableDiscordRpc ? false
, faudioSupport ? true
, faudio
, SDL2
@@ -36,7 +37,7 @@ let
rpcs3Revision = "ebf48800e6bf2569fa0a59974ab2daaeb3a92f23";
rpcs3Hash = "sha256-HJQ+DCZy8lwMCfq0N9StKD8bP1hCBxGMAucbQ9esy/I=";
- inherit (qt6Packages) qtbase qtmultimedia wrapQtAppsHook;
+ inherit (qt6Packages) qtbase qtmultimedia wrapQtAppsHook qtwayland;
in
stdenv.mkDerivation {
pname = "rpcs3";
@@ -62,30 +63,32 @@ stdenv.mkDerivation {
'';
cmakeFlags = [
- "-DUSE_SYSTEM_ZLIB=ON"
- "-DUSE_SYSTEM_LIBUSB=ON"
- "-DUSE_SYSTEM_LIBPNG=ON"
- "-DUSE_SYSTEM_FFMPEG=ON"
- "-DUSE_SYSTEM_CURL=ON"
- "-DUSE_SYSTEM_WOLFSSL=ON"
- "-DUSE_SYSTEM_FAUDIO=ON"
- "-DUSE_SYSTEM_PUGIXML=ON"
- "-DUSE_SYSTEM_FLATBUFFERS=ON"
- "-DUSE_SYSTEM_SDL=ON"
- "-DWITH_LLVM=ON"
- "-DBUILD_LLVM=OFF"
- "-DUSE_NATIVE_INSTRUCTIONS=OFF"
- "-DUSE_FAUDIO=${if faudioSupport then "ON" else "OFF"}"
+ (lib.cmakeBool "USE_SYSTEM_ZLIB" true)
+ (lib.cmakeBool "USE_SYSTEM_LIBUSB" true)
+ (lib.cmakeBool "USE_SYSTEM_LIBPNG" true)
+ (lib.cmakeBool "USE_SYSTEM_FFMPEG" true)
+ (lib.cmakeBool "USE_SYSTEM_CURL" true)
+ (lib.cmakeBool "USE_SYSTEM_WOLFSSL" true)
+ (lib.cmakeBool "USE_SYSTEM_FAUDIO" true)
+ (lib.cmakeBool "USE_SYSTEM_PUGIXML" true)
+ (lib.cmakeBool "USE_SYSTEM_FLATBUFFERS" true)
+ (lib.cmakeBool "USE_SYSTEM_SDL" true)
+ (lib.cmakeBool "USE_SDL" true)
+ (lib.cmakeBool "WITH_LLVM" true)
+ (lib.cmakeBool "BUILD_LLVM" false)
+ (lib.cmakeBool "USE_NATIVE_INSTRUCTIONS" false)
+ (lib.cmakeBool "USE_DISCORD_RPC" enableDiscordRpc)
+ (lib.cmakeBool "USE_FAUDIO" faudioSupport)
];
nativeBuildInputs = [ cmake pkg-config git wrapQtAppsHook ];
buildInputs = [
qtbase qtmultimedia openal glew vulkan-headers vulkan-loader libpng ffmpeg
- libevdev zlib libusb1 curl wolfssl python3 pugixml flatbuffers llvm_16 libSM
+ libevdev zlib libusb1 curl wolfssl python3 pugixml SDL2 flatbuffers llvm_16 libSM
] ++ cubeb.passthru.backendLibs
- ++ lib.optionals faudioSupport [ faudio SDL2 ]
- ++ lib.optional waylandSupport wayland;
+ ++ lib.optional faudioSupport faudio
+ ++ lib.optionals waylandSupport [ wayland qtwayland ];
postInstall = ''
# Taken from https://wiki.rpcs3.net/index.php?title=Help:Controller_Configuration
diff --git a/pkgs/by-name/rq/rqbit/Cargo.lock b/pkgs/by-name/rq/rqbit/Cargo.lock
index 4e4072d44157..571545d740e3 100644
--- a/pkgs/by-name/rq/rqbit/Cargo.lock
+++ b/pkgs/by-name/rq/rqbit/Cargo.lock
@@ -175,18 +175,18 @@ dependencies = [
[[package]]
name = "axum"
-version = "0.7.3"
+version = "0.7.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d09dbe0e490df5da9d69b36dca48a76635288a82f92eca90024883a56202026d"
+checksum = "1236b4b292f6c4d6dc34604bb5120d85c3fe1d1aa596bd5cc52ca054d13e7b9e"
dependencies = [
"async-trait",
- "axum-core 0.4.2",
+ "axum-core 0.4.3",
"bytes",
"futures-util",
"http 1.0.0",
"http-body 1.0.0",
"http-body-util",
- "hyper 1.1.0",
+ "hyper 1.2.0",
"hyper-util",
"itoa",
"matchit",
@@ -226,9 +226,9 @@ dependencies = [
[[package]]
name = "axum-core"
-version = "0.4.2"
+version = "0.4.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e87c8503f93e6d144ee5690907ba22db7ba79ab001a932ab99034f0fe836b3df"
+checksum = "a15c63fd72d41492dc4f497196f5da1fb04fb7529e631d73630d1b491e47a2e3"
dependencies = [
"async-trait",
"bytes",
@@ -868,9 +868,9 @@ dependencies = [
[[package]]
name = "h2"
-version = "0.4.0"
+version = "0.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e1d308f63daf4181410c242d34c11f928dcb3aa105852019e043c9d1f4e4368a"
+checksum = "31d030e59af851932b72ceebadf4a2b5986dba4c3b99dd2493f8273a0f151943"
dependencies = [
"bytes",
"fnv",
@@ -1034,20 +1034,21 @@ dependencies = [
[[package]]
name = "hyper"
-version = "1.1.0"
+version = "1.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fb5aa53871fc917b1a9ed87b683a5d86db645e23acb32c2e0785a353e522fb75"
+checksum = "186548d73ac615b32a73aafe38fb4f56c0d340e110e5a200bcadbaf2e199263a"
dependencies = [
"bytes",
"futures-channel",
"futures-util",
- "h2 0.4.0",
+ "h2 0.4.2",
"http 1.0.0",
"http-body 1.0.0",
"httparse",
"httpdate",
"itoa",
"pin-project-lite",
+ "smallvec",
"tokio",
]
@@ -1101,7 +1102,7 @@ dependencies = [
"futures-util",
"http 1.0.0",
"http-body 1.0.0",
- "hyper 1.1.0",
+ "hyper 1.2.0",
"pin-project-lite",
"socket2",
"tokio",
@@ -1253,10 +1254,10 @@ dependencies = [
[[package]]
name = "librqbit"
-version = "5.4.2"
+version = "5.5.0"
dependencies = [
"anyhow",
- "axum 0.7.3",
+ "axum 0.7.4",
"backoff",
"base64",
"bincode",
@@ -2025,7 +2026,7 @@ dependencies = [
[[package]]
name = "rqbit"
-version = "5.4.2"
+version = "5.5.0"
dependencies = [
"anyhow",
"bytes",
@@ -2291,9 +2292,9 @@ dependencies = [
[[package]]
name = "smallvec"
-version = "1.11.2"
+version = "1.13.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4dccd0940a2dcdf68d092b8cbab7dc0ad8fa938bf95787e1b916b0e3d0e8e970"
+checksum = "e6ecd384b10a64542d77071bd64bd7b231f4ed5940fba55e98c3de13824cf3d7"
[[package]]
name = "socket2"
diff --git a/pkgs/by-name/rq/rqbit/package.nix b/pkgs/by-name/rq/rqbit/package.nix
index 42b3c9e61aa6..2553acc39631 100644
--- a/pkgs/by-name/rq/rqbit/package.nix
+++ b/pkgs/by-name/rq/rqbit/package.nix
@@ -2,13 +2,13 @@
rustPlatform.buildRustPackage rec {
pname = "rqbit";
- version = "5.4.2";
+ version = "5.5.0";
src = fetchFromGitHub {
owner = "ikatson";
repo = "rqbit";
rev = "v${version}";
- hash = "sha256-ZC68RQi0UcdALKVgwRUyO0+ZmKtGMjudYQabsAnghzg=";
+ hash = "sha256-3Wqej2Zb/RxxOOhWscZiyafGftl3ShozqVkUF7V0fP4=";
};
cargoLock = {
diff --git a/pkgs/by-name/rs/rs-tftpd/package.nix b/pkgs/by-name/rs/rs-tftpd/package.nix
new file mode 100644
index 000000000000..43b010913ea8
--- /dev/null
+++ b/pkgs/by-name/rs/rs-tftpd/package.nix
@@ -0,0 +1,26 @@
+{ lib
+, rustPlatform
+, fetchFromGitHub
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "rs-tftpd";
+ version = "0.2.12";
+
+ src = fetchFromGitHub {
+ owner = "altugbakan";
+ repo = "rs-tftpd";
+ rev = version;
+ hash = "sha256-H67lXwX+4guHpdq0yTHe6tl1NxC41saNrM9g+yH5otk=";
+ };
+
+ cargoHash = "sha256-B5kduRuX9Lcdd31yj4PsDo8fyy0nabtmsiAXvc8RlYo=";
+
+ meta = with lib; {
+ description = "TFTP Server Daemon implemented in Rust";
+ homepage = "https://github.com/altugbakan/rs-tftpd";
+ license = licenses.mit;
+ maintainers = with maintainers; [ matthewcroughan ];
+ mainProgram = "tftpd";
+ };
+}
diff --git a/pkgs/by-name/rs/rs/package.nix b/pkgs/by-name/rs/rs/package.nix
index 141d72dfe077..1b369eec9b34 100644
--- a/pkgs/by-name/rs/rs/package.nix
+++ b/pkgs/by-name/rs/rs/package.nix
@@ -38,6 +38,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "http://www.mirbsd.org/htman/i386/man1/rs.htm";
description = "Reshape a data array from standard input";
+ mainProgram = "rs";
longDescription = ''
rs reads the standard input, interpreting each line as a row of blank-
separated entries in an array, transforms the array according to the op-
diff --git a/pkgs/by-name/rs/rsgain/package.nix b/pkgs/by-name/rs/rsgain/package.nix
index 79b86ca95493..b759b50892d5 100644
--- a/pkgs/by-name/rs/rsgain/package.nix
+++ b/pkgs/by-name/rs/rsgain/package.nix
@@ -13,13 +13,13 @@
stdenv.mkDerivation rec {
pname = "rsgain";
- version = "3.4";
+ version = "3.5";
src = fetchFromGitHub {
owner = "complexlogic";
repo = "rsgain";
rev = "v${version}";
- sha256 = "sha256-AiNjsrwTF6emcwXo2TPMbs8mLavGS7NsvytAppMGKfY=";
+ sha256 = "sha256-qIRtdgfGDNbZk9TQ3GC3lYetRqjOk8QPhAb4MuFuN0U=";
};
cmakeFlags = ["-DCMAKE_BUILD_TYPE='Release'"];
@@ -29,6 +29,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A simple, but powerful ReplayGain 2.0 tagging utility";
+ mainProgram = "rsgain";
homepage = "https://github.com/complexlogic/rsgain";
changelog = "https://github.com/complexlogic/rsgain/blob/v${version}/CHANGELOG";
license = licenses.bsd2;
diff --git a/pkgs/by-name/rs/rsmangler/package.nix b/pkgs/by-name/rs/rsmangler/package.nix
new file mode 100644
index 000000000000..7621eb14a752
--- /dev/null
+++ b/pkgs/by-name/rs/rsmangler/package.nix
@@ -0,0 +1,45 @@
+{ lib
+, stdenvNoCC
+, fetchFromGitHub
+, testers
+, ruby
+}:
+
+stdenvNoCC.mkDerivation (finalAttrs: {
+ pname = "rsmangler";
+ version = "1.5-unstable-2019-07-24";
+
+ src = fetchFromGitHub {
+ owner = "digininja";
+ repo = "RSMangler";
+ rev = "e85da7d4a6e6241a92389aecf376077adc7544c3";
+ hash = "sha256-DN20XzrlkunLyk4nkgytUJEtCOlFjWUUUAQ416l3Aug=";
+ };
+
+ buildInputs = [ ruby ];
+
+ postPatch = ''
+ substituteInPlace rsmangler.rb \
+ --replace-quiet ./rsmangler.rb rsmangler \
+ --replace-quiet rsmangler.rb rsmangler
+ '';
+
+ postInstall = ''
+ install -Dm555 rsmangler.rb $out/bin/rsmangler
+ '';
+
+ passthru.tests.version = testers.testVersion {
+ package = finalAttrs.finalPackage;
+ command = "rsmangler --help";
+ version = "rsmangler v ${lib.versions.majorMinor finalAttrs.version}";
+ };
+
+ meta = with lib; {
+ description = "Perform various manipulations on the wordlists";
+ homepage = "https://github.com/digininja/RSMangler";
+ license = licenses.cc-by-sa-20;
+ mainProgram = "rsmangler";
+ maintainers = with maintainers; [ d3vil0p3r ];
+ platforms = ruby.meta.platforms;
+ };
+})
diff --git a/pkgs/by-name/rs/rspamd-trainer/package.nix b/pkgs/by-name/rs/rspamd-trainer/package.nix
index 0479b8f07da4..49dd46d29564 100644
--- a/pkgs/by-name/rs/rspamd-trainer/package.nix
+++ b/pkgs/by-name/rs/rspamd-trainer/package.nix
@@ -53,6 +53,7 @@ python3Packages.buildPythonApplication {
meta = {
homepage = "https://gitlab.com/onlime/rspamd-trainer";
description = "Grabs messages from a spam mailbox via IMAP and feeds them to Rspamd for training";
+ mainProgram = "rspamd-trainer";
license = lib.licenses.gpl3Only;
maintainers = with lib.maintainers; [ onny ];
};
diff --git a/pkgs/by-name/rs/rst2html5/package.nix b/pkgs/by-name/rs/rst2html5/package.nix
index 61b75130fe1b..82def912da99 100644
--- a/pkgs/by-name/rs/rst2html5/package.nix
+++ b/pkgs/by-name/rs/rst2html5/package.nix
@@ -19,6 +19,7 @@ python3Packages.buildPythonPackage rec {
meta = with lib;{
homepage = "https://rst2html5.readthedocs.io/en/latest/";
description = "Converts ReSTructuredText to (X)HTML5";
+ mainProgram = "rst2html5";
license = licenses.mit;
maintainers = with maintainers; [ AndersonTorres ];
};
diff --git a/pkgs/by-name/ru/rustdesk-flutter/package.nix b/pkgs/by-name/ru/rustdesk-flutter/package.nix
index dc24dad68e47..2c82eade26da 100644
--- a/pkgs/by-name/ru/rustdesk-flutter/package.nix
+++ b/pkgs/by-name/ru/rustdesk-flutter/package.nix
@@ -39,7 +39,7 @@
sharedLibraryExt = rustc.stdenv.hostPlatform.extensions.sharedLibrary;
-in flutter316.buildFlutterApplication {
+in flutter316.buildFlutterApplication rec {
pname = "rustdesk";
version = "1.2.3-unstable-2024-02-11";
src = fetchFromGitHub {
@@ -52,7 +52,7 @@ in flutter316.buildFlutterApplication {
strictDeps = true;
# Configure the Flutter/Dart build
- sourceRoot = "source/flutter";
+ sourceRoot = "${src.name}/flutter";
# curl https://raw.githubusercontent.com/rustdesk/rustdesk/16db977fd81e14af62ec5ac7760a7661a5c24be8/flutter/pubspec.lock | yq
pubspecLock = lib.importJSON ./pubspec.lock.json;
gitHashes = {
diff --git a/pkgs/by-name/ru/rusti-cal/package.nix b/pkgs/by-name/ru/rusti-cal/package.nix
index 3dd368e19257..97b5e107314d 100644
--- a/pkgs/by-name/ru/rusti-cal/package.nix
+++ b/pkgs/by-name/ru/rusti-cal/package.nix
@@ -24,6 +24,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "Minimal command line calendar, similar to cal";
+ mainProgram = "rusti-cal";
homepage = "https://github.com/arthurhenrique/rusti-cal";
license = [ licenses.mit ];
maintainers = [ maintainers.detegr ];
diff --git a/pkgs/by-name/rw/rwpspread/package.nix b/pkgs/by-name/rw/rwpspread/package.nix
index aa7a7ba41914..e9cded71c368 100644
--- a/pkgs/by-name/rw/rwpspread/package.nix
+++ b/pkgs/by-name/rw/rwpspread/package.nix
@@ -8,29 +8,19 @@
rustPlatform.buildRustPackage rec {
pname = "rwpspread";
- version = "0.1.9";
+ version = "0.2.4";
src = fetchFromGitHub {
owner = "0xk1f0";
repo = "rwpspread";
rev = "v${version}";
- hash = "sha256-oZgHMklHMKUpVY3g7wS2rna+5+ePEbcvdVJc9jPTeoI=";
+ hash = "sha256-ACYELJU7Y4Xv+abQ/Vgo3xaP+jbO43K/CBE2yuEddko=";
};
+ cargoHash = "sha256-ZNWDUOEhh36YjbGZpljyXsL0g7iW6GheLi2WxCj4w+s=";
- cargoLock = {
- lockFile = ./Cargo.lock;
- outputHashes = {
- "smithay-client-toolkit-0.18.0" = "sha256-7s5XPmIflUw2qrKRAZUz30cybYKvzD5Hu4ViDpzGC3s=";
- };
- };
+ nativeBuildInputs = [ pkg-config ];
- nativeBuildInputs = [
- pkg-config
- ];
-
- buildInputs = [
- libxkbcommon
- ];
+ buildInputs = [ libxkbcommon ];
passthru.updateScript = nix-update-script { };
diff --git a/pkgs/applications/emulators/ryujinx/deps.nix b/pkgs/by-name/ry/ryujinx/deps.nix
similarity index 88%
rename from pkgs/applications/emulators/ryujinx/deps.nix
rename to pkgs/by-name/ry/ryujinx/deps.nix
index badf22fe833c..04b6bab6647d 100644
--- a/pkgs/applications/emulators/ryujinx/deps.nix
+++ b/pkgs/by-name/ry/ryujinx/deps.nix
@@ -2,29 +2,28 @@
# Please dont edit it manually, your changes might get overwritten!
{ fetchNuGet }: [
- (fetchNuGet { pname = "Avalonia"; version = "11.0.7"; sha256 = "1rh7c4ia0n7v8kd5kspj15sh49hc5gy3fcnm7nb2xsarv9gvmwcg"; })
+ (fetchNuGet { pname = "Avalonia"; version = "11.0.10"; sha256 = "0mvsc6fg8qbvdqkdkia61jkprb3yhvvgvq6s8hgd09v6lzjsbq8n"; })
(fetchNuGet { pname = "Avalonia.Angle.Windows.Natives"; version = "2.1.0.2023020321"; sha256 = "1az4s1g22ipak9a3xfh55z2h3rm6lpqh7svbpw6ag4ysrgsjjsjd"; })
(fetchNuGet { pname = "Avalonia.BuildServices"; version = "0.0.29"; sha256 = "05mm7f0jssih3gbzqfgjnfq5cnqa85ihsg0z1897ciihv8qd3waq"; })
+ (fetchNuGet { pname = "Avalonia.Controls.ColorPicker"; version = "11.0.10"; sha256 = "0s2wn7sf0dsa861gh6ghfgf881p6bvyahfpl583rcnsi6ci2hjhv"; })
(fetchNuGet { pname = "Avalonia.Controls.ColorPicker"; version = "11.0.4"; sha256 = "1sqdcaknqazq4mw2x1jb6pfmfnyhpkd4xh6fl4ld85qikzzj7796"; })
- (fetchNuGet { pname = "Avalonia.Controls.ColorPicker"; version = "11.0.7"; sha256 = "1386lhzkc5mal70imw3vxfkbz7z94njylg662ymr2m3hhwz34w3l"; })
- (fetchNuGet { pname = "Avalonia.Controls.DataGrid"; version = "11.0.7"; sha256 = "080w1k4mia6kkl9lw5hl03n75xrkd2rlh5901jrpk11jyy36w00s"; })
- (fetchNuGet { pname = "Avalonia.Controls.ItemsRepeater"; version = "11.0.0-rc2.1"; sha256 = "0pmc0fi2abn9qaqwx9lvqnd1a5a8lzp8zin72d3k3xjsh1w1g0n8"; })
+ (fetchNuGet { pname = "Avalonia.Controls.DataGrid"; version = "11.0.10"; sha256 = "13g5sac0ba8dy1pn21j2g4fin57x1vs1pl07gzgv53bl8nz1xznj"; })
(fetchNuGet { pname = "Avalonia.Controls.ItemsRepeater"; version = "11.0.4"; sha256 = "1p7mz33a6dn6ghvwajxdghq15mn5f6isvvqzxcjbnhh3m5c1zhrz"; })
- (fetchNuGet { pname = "Avalonia.Desktop"; version = "11.0.7"; sha256 = "0z5jypzqxh83r1pzvl1k7x1wxhnr3f0knp4wr0fkcgj97k2bnjy1"; })
- (fetchNuGet { pname = "Avalonia.Diagnostics"; version = "11.0.7"; sha256 = "1n9bdmbc9m0r7x7iqkin4b8c6pdf19lbsvl258ncymhln6j8y0xw"; })
- (fetchNuGet { pname = "Avalonia.FreeDesktop"; version = "11.0.7"; sha256 = "0xd3gmgh2rd1krd1q7yh3vrqggxap23fgfph2vfkfg3cxgyyfcml"; })
- (fetchNuGet { pname = "Avalonia.Markup.Xaml.Loader"; version = "11.0.7"; sha256 = "1k5zfwhjkqbk2jb2h5gbvf85q3shz411hgf5xa80yi5wxw1d2nr6"; })
- (fetchNuGet { pname = "Avalonia.Native"; version = "11.0.7"; sha256 = "164zyd1aaa42xryci82km2fznzmjag9s1f3i8yjyg2ip5agkh289"; })
+ (fetchNuGet { pname = "Avalonia.Desktop"; version = "11.0.10"; sha256 = "0s27ajknbrymnchv66rybrs3snzh825iy0nqby72yk726znp52vw"; })
+ (fetchNuGet { pname = "Avalonia.Diagnostics"; version = "11.0.10"; sha256 = "1c7hv9ypvn1ncg6cmzn2cs0nkax0y0pnbx1h1asjzn8rnbwcvnca"; })
+ (fetchNuGet { pname = "Avalonia.FreeDesktop"; version = "11.0.10"; sha256 = "18f9vpsxfaak4qpqvcz9rdygx3k8dhzb64iqlhva88nhahwlwlxr"; })
+ (fetchNuGet { pname = "Avalonia.Markup.Xaml.Loader"; version = "11.0.10"; sha256 = "0vlvysxi2qdg3na604jivzs68ldry1i43lmxxa3lhh7q9pqqpzjy"; })
+ (fetchNuGet { pname = "Avalonia.Native"; version = "11.0.10"; sha256 = "06pihfddbvdw1s3rs6v183ljch1bsxym80fclfqrh3npa3ag9n1z"; })
+ (fetchNuGet { pname = "Avalonia.Remote.Protocol"; version = "11.0.10"; sha256 = "0p75z6k4ivzhdn9y9gwqsqmja7x03d4mxaicbccjbnz06irybnxa"; })
(fetchNuGet { pname = "Avalonia.Remote.Protocol"; version = "11.0.4"; sha256 = "096436hhg45v02pp4f43mf00xn6blx7x66sb8fq5j4jn7479fynp"; })
- (fetchNuGet { pname = "Avalonia.Remote.Protocol"; version = "11.0.7"; sha256 = "0a5a1wz9ka1r7ch0c2b5nvnsgm49kdhlj16rvrvhdz30qf9m671n"; })
(fetchNuGet { pname = "Avalonia.Skia"; version = "11.0.0"; sha256 = "1ra1kd0kkblppr5zy7rzdbwllggrzvp9lkxblf9mg3y8rnp6fk83"; })
+ (fetchNuGet { pname = "Avalonia.Skia"; version = "11.0.10"; sha256 = "0w45j4ypqnwmsh3byzaghn43ycfkfnn8415i5lw2q5ip7vp3a9fm"; })
(fetchNuGet { pname = "Avalonia.Skia"; version = "11.0.4"; sha256 = "1ysmq4f8bxabpq3nhcrrvgwvxb9z7gx9565bvdyksdhsq16wyxym"; })
- (fetchNuGet { pname = "Avalonia.Skia"; version = "11.0.7"; sha256 = "1v3g13a447k5dmd0n26aibjwxawx3vqn8g2jmwpw533rx1f509h5"; })
- (fetchNuGet { pname = "Avalonia.Svg"; version = "11.0.0.13"; sha256 = "1cf2y8bhb5xcpkrzbkfw1lr8iwz99p0lv34sh51xd9inx0rnvm4g"; })
- (fetchNuGet { pname = "Avalonia.Svg.Skia"; version = "11.0.0.13"; sha256 = "0hbc1m5lv8l9fflz8z46f9pwrrd4hisn8qp38944388r9ay0v4ip"; })
- (fetchNuGet { pname = "Avalonia.Themes.Simple"; version = "11.0.7"; sha256 = "0ggrsir3zskg22as65f3i93f4dmhqm5lqq0irb1rqi8dfficsmzx"; })
- (fetchNuGet { pname = "Avalonia.Win32"; version = "11.0.7"; sha256 = "0zbh1cd8zykc5rrannz6i9pyiiygm041db3nrpzyi43xsknnhl7r"; })
- (fetchNuGet { pname = "Avalonia.X11"; version = "11.0.7"; sha256 = "03skfjxw2xpynl8j2gjpb4v1v642qw1qnx9lcq9whgmlj03yz9nc"; })
+ (fetchNuGet { pname = "Avalonia.Svg"; version = "11.0.0.16"; sha256 = "12bk984wylqyyl3fcgxg640pqf6bjbqfkgp1fldrprncca0fx80k"; })
+ (fetchNuGet { pname = "Avalonia.Svg.Skia"; version = "11.0.0.16"; sha256 = "1yd9zf1vbfci52f6yyig8ar2w8wpwiafbf65ah11qqrm32rwd7z6"; })
+ (fetchNuGet { pname = "Avalonia.Themes.Simple"; version = "11.0.10"; sha256 = "0vssdz6rng0k85qsv2xn6x0dldaalnnx718n7plwxg3j1pddr1z7"; })
+ (fetchNuGet { pname = "Avalonia.Win32"; version = "11.0.10"; sha256 = "1gh3fad9ya56qwzhk7590bdzkky76yx1jjj60rqr013b97qbd3gs"; })
+ (fetchNuGet { pname = "Avalonia.X11"; version = "11.0.10"; sha256 = "1x09mp8q3mrj5fijqk7qp5qivrysqnbc2bkj2ssvawb9rjy6497w"; })
(fetchNuGet { pname = "CommandLineParser"; version = "2.9.1"; sha256 = "1sldkj8lakggn4hnyabjj1fppqh50fkdrr1k99d4gswpbk5kv582"; })
(fetchNuGet { pname = "Concentus"; version = "1.1.7"; sha256 = "0y5z444wrbhlmsqpy2sxmajl1fbf74843lvgj3y6vz260dn2q0l0"; })
(fetchNuGet { pname = "DiscordRichPresence"; version = "1.2.1.24"; sha256 = "0maw0yd6xgwy0cgk593z3zva0r5j267zpdmmpq8avj3zbna6n4x1"; })
@@ -43,47 +42,45 @@
(fetchNuGet { pname = "HarfBuzzSharp.NativeAssets.WebAssembly"; version = "7.3.0"; sha256 = "0dcmclnyryb82wzsky1dn0gbjsvx84mfx46v984f5fmg4v238lpm"; })
(fetchNuGet { pname = "HarfBuzzSharp.NativeAssets.Win32"; version = "2.8.2.3"; sha256 = "08khd2jqm8sw58ljz5srangzfm2sz3gd2q1jzc5fr80lj8rv6r74"; })
(fetchNuGet { pname = "HarfBuzzSharp.NativeAssets.Win32"; version = "7.3.0"; sha256 = "1hyvmz7rfbrxbcpnwyvb64gdk1hifcpz3rln58yyb7g1pnbpnw2s"; })
- (fetchNuGet { pname = "jp2masa.Avalonia.Flexbox"; version = "0.3.0-beta.4"; sha256 = "17847ssn15l755zmspvb69wsfbj9ayvy9xl8zgjx6wvvwp6x89cp"; })
(fetchNuGet { pname = "LibHac"; version = "0.19.0"; sha256 = "06fyfqxi92mz55adzkk2y56spvf0217icnri2s1gcpyvc5w2cc8l"; })
(fetchNuGet { pname = "MicroCom.CodeGenerator.MSBuild"; version = "0.11.0"; sha256 = "0ynvaq3faqh4pirl0l8l6xq2ikk3f27xw05i8vm3vwamgy4p7k2f"; })
(fetchNuGet { pname = "MicroCom.Runtime"; version = "0.11.0"; sha256 = "0p9c3m0zk59x9dcqw077hzd2yk60myisbacvm36mnwpcjwzjkp2m"; })
(fetchNuGet { pname = "Microsoft.CodeAnalysis.Analyzers"; version = "3.0.0"; sha256 = "0bbl0jpqywqmzz2gagld1p2gvdfldjfjmm25hil9wj2nq1zc4di8"; })
(fetchNuGet { pname = "Microsoft.CodeAnalysis.Analyzers"; version = "3.3.4"; sha256 = "0wd6v57p53ahz5z9zg4iyzmy3src7rlsncyqpcag02jjj1yx6g58"; })
(fetchNuGet { pname = "Microsoft.CodeAnalysis.Common"; version = "3.8.0"; sha256 = "12n7rvr39bzkf2maw7zplw8rwpxpxss4ich3bb2pw770rx4nyvyw"; })
- (fetchNuGet { pname = "Microsoft.CodeAnalysis.Common"; version = "4.8.0"; sha256 = "0gmbxn91h4r23fhzpl1dh56cpva4sg2h659kdbdazayrajfj50fw"; })
+ (fetchNuGet { pname = "Microsoft.CodeAnalysis.Common"; version = "4.9.2"; sha256 = "097lb4acla3x0m2s0w47f6321q3k2phnhpbh338d79an4b5ffks1"; })
(fetchNuGet { pname = "Microsoft.CodeAnalysis.CSharp"; version = "3.8.0"; sha256 = "1kmry65csvfn72zzc16vj1nfbfwam28wcmlrk3m5rzb8ydbzgylb"; })
- (fetchNuGet { pname = "Microsoft.CodeAnalysis.CSharp"; version = "4.8.0"; sha256 = "0idaksbib90zgi8xlycmdzk77dlxichspp23wpnfrzfxkdfafqrj"; })
+ (fetchNuGet { pname = "Microsoft.CodeAnalysis.CSharp"; version = "4.9.2"; sha256 = "002llvcwkaqnpzsipp9s20piw61i89spamr0wlxrvss41zh90klg"; })
(fetchNuGet { pname = "Microsoft.CodeAnalysis.CSharp.Scripting"; version = "3.8.0"; sha256 = "0w0yx0lpg54iw5jazqk46h48gx43ij32gwac8iywdj6kxfxm03vw"; })
(fetchNuGet { pname = "Microsoft.CodeAnalysis.Scripting.Common"; version = "3.8.0"; sha256 = "0hjgxcsj5zy27lqk0986m59n5dbplx2vjjla2lsvg4bwg8qa7bpk"; })
- (fetchNuGet { pname = "Microsoft.CodeCoverage"; version = "17.8.0"; sha256 = "173wjadp3gan4x2jfjchngnc4ca4mb95h1sbb28jydfkfw0z1zvj"; })
+ (fetchNuGet { pname = "Microsoft.CodeCoverage"; version = "17.9.0"; sha256 = "1gljgi69k0fz8vy8bn6xlyxabj6q4vls2zza9wz7ng6ix3irm89r"; })
(fetchNuGet { pname = "Microsoft.CSharp"; version = "4.3.0"; sha256 = "0gw297dgkh0al1zxvgvncqs0j15lsna9l1wpqas4rflmys440xvb"; })
(fetchNuGet { pname = "Microsoft.CSharp"; version = "4.7.0"; sha256 = "0gd67zlw554j098kabg887b5a6pq9kzavpa3jjy5w53ccjzjfy8j"; })
(fetchNuGet { pname = "Microsoft.DotNet.PlatformAbstractions"; version = "3.1.6"; sha256 = "0b9myd7gqbpaw9pkd2bx45jhik9mwj0f1ss57sk2cxmag2lkdws5"; })
(fetchNuGet { pname = "Microsoft.Extensions.DependencyModel"; version = "6.0.0"; sha256 = "08c4fh1n8vsish1vh7h73mva34g0as4ph29s4lvps7kmjb4z64nl"; })
- (fetchNuGet { pname = "Microsoft.IdentityModel.Abstractions"; version = "7.3.0"; sha256 = "1x183b0gz1vcfiljggrn30g6jvixlwks0lfpl4hl9nnjbpg0fdvq"; })
- (fetchNuGet { pname = "Microsoft.IdentityModel.JsonWebTokens"; version = "7.3.0"; sha256 = "03nnqmz0w42wiqgf5y0wkn6w0n3m93q8ihqmrrz7rdh85v06f999"; })
- (fetchNuGet { pname = "Microsoft.IdentityModel.Logging"; version = "7.3.0"; sha256 = "1b24pf0ippwbdjc3k1wzr13lr1zqlcbymi2hpvfmxmk4i6vzn4mv"; })
- (fetchNuGet { pname = "Microsoft.IdentityModel.Tokens"; version = "7.3.0"; sha256 = "1qdcqcnczaqfd0cii3bcymbc7rvkypm25idxgx7hfc81h9ysh79h"; })
+ (fetchNuGet { pname = "Microsoft.IdentityModel.Abstractions"; version = "7.4.0"; sha256 = "138jyzwap55a8wgg26zwzm0lifc3im1cs65cjgrpw3b13szfqd5g"; })
+ (fetchNuGet { pname = "Microsoft.IdentityModel.JsonWebTokens"; version = "7.4.0"; sha256 = "0dv05mh0g3g39plza0qlsaak52z1drkansh65nhfjwhj968v7rr1"; })
+ (fetchNuGet { pname = "Microsoft.IdentityModel.Logging"; version = "7.4.0"; sha256 = "16r0qyxbn7gibwpgprlxra5c183ps3njrxp5si28k50jhyjm7rxf"; })
+ (fetchNuGet { pname = "Microsoft.IdentityModel.Tokens"; version = "7.4.0"; sha256 = "056dg7iwgdp4kmw87rq7yzp5a2l02krssxdpga4rxrkj21iranm9"; })
(fetchNuGet { pname = "Microsoft.IO.RecyclableMemoryStream"; version = "3.0.0"; sha256 = "1zl39k27r4zq75r1x1zr1yl4nzxpkxdnnv6dwd4qp0xr22my85aq"; })
- (fetchNuGet { pname = "Microsoft.NET.Test.Sdk"; version = "17.8.0"; sha256 = "1syvl3g0hbrcgfi9rq6pld8s8hqqww4dflf1lxn59ccddyyx0gmv"; })
+ (fetchNuGet { pname = "Microsoft.NET.Test.Sdk"; version = "17.9.0"; sha256 = "1lls1fly2gr1n9n1xyl9k33l2v4pwfmylyzkq8v4v5ldnwkl1zdb"; })
(fetchNuGet { pname = "Microsoft.NETCore.Platforms"; version = "1.0.1"; sha256 = "01al6cfxp68dscl15z7rxfw9zvhm64dncsw09a1vmdkacsa2v6lr"; })
(fetchNuGet { pname = "Microsoft.NETCore.Platforms"; version = "1.1.0"; sha256 = "08vh1r12g6ykjygq5d3vq09zylgb84l63k49jc4v8faw9g93iqqm"; })
(fetchNuGet { pname = "Microsoft.NETCore.Platforms"; version = "2.0.0"; sha256 = "1fk2fk2639i7nzy58m9dvpdnzql4vb8yl8vr19r2fp8lmj9w2jr0"; })
(fetchNuGet { pname = "Microsoft.NETCore.Platforms"; version = "2.1.2"; sha256 = "1507hnpr9my3z4w1r6xk5n0s1j3y6a2c2cnynj76za7cphxi1141"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.Platforms"; version = "5.0.0"; sha256 = "0mwpwdflidzgzfx2dlpkvvnkgkr2ayaf0s80737h4wa35gaj11rc"; })
(fetchNuGet { pname = "Microsoft.NETCore.Targets"; version = "1.0.1"; sha256 = "0ppdkwy6s9p7x9jix3v4402wb171cdiibq7js7i13nxpdky7074p"; })
(fetchNuGet { pname = "Microsoft.NETCore.Targets"; version = "1.1.0"; sha256 = "193xwf33fbm0ni3idxzbr5fdq3i2dlfgihsac9jj7whj0gd902nh"; })
- (fetchNuGet { pname = "Microsoft.TestPlatform.ObjectModel"; version = "17.8.0"; sha256 = "0b0i7lmkrcfvim8i3l93gwqvkhhhfzd53fqfnygdqvkg6np0cg7m"; })
- (fetchNuGet { pname = "Microsoft.TestPlatform.TestHost"; version = "17.8.0"; sha256 = "0f5jah93kjkvxwmhwb78lw11m9pkkq9fvf135hpymmmpxqbdh97q"; })
+ (fetchNuGet { pname = "Microsoft.TestPlatform.ObjectModel"; version = "17.9.0"; sha256 = "1kgsl9w9fganbm9wvlkqgk0ag9hfi58z88rkfybc6kvg78bx89ca"; })
+ (fetchNuGet { pname = "Microsoft.TestPlatform.TestHost"; version = "17.9.0"; sha256 = "19ffh31a1jxzn8j69m1vnk5hyfz3dbxmflq77b8x82zybiilh5nl"; })
(fetchNuGet { pname = "Microsoft.Win32.Primitives"; version = "4.0.1"; sha256 = "1n8ap0cmljbqskxpf8fjzn7kh1vvlndsa75k01qig26mbw97k2q7"; })
(fetchNuGet { pname = "Microsoft.Win32.Registry"; version = "4.5.0"; sha256 = "1zapbz161ji8h82xiajgriq6zgzmb1f3ar517p2h63plhsq5gh2q"; })
- (fetchNuGet { pname = "Microsoft.Win32.SystemEvents"; version = "8.0.0"; sha256 = "05392f41ijgn17y8pbjcx535l1k09krnq3xdp60kyq568sn6xk2i"; })
(fetchNuGet { pname = "MsgPack.Cli"; version = "1.0.1"; sha256 = "1dk2bs3g16lsxcjjm7gfx6jxa4667wccw94jlh2ql7y7smvh9z8r"; })
(fetchNuGet { pname = "NetCoreServer"; version = "8.0.7"; sha256 = "171mn5b56ikkjvsx3hvgmh3lga9c2ja31as0hnfr3040rdrj4ij5"; })
(fetchNuGet { pname = "NETStandard.Library"; version = "1.6.0"; sha256 = "0nmmv4yw7gw04ik8ialj3ak0j6pxa9spih67hnn1h2c38ba8h58k"; })
(fetchNuGet { pname = "NETStandard.Library"; version = "2.0.0"; sha256 = "1bc4ba8ahgk15m8k4nd7x406nhi0kwqzbgjk2dmw52ss553xz7iy"; })
(fetchNuGet { pname = "NETStandard.Library"; version = "2.0.3"; sha256 = "1fn9fxppfcg4jgypp2pmrpr6awl3qz1xmnri0cygpkwvyx27df1y"; })
(fetchNuGet { pname = "Newtonsoft.Json"; version = "13.0.1"; sha256 = "0fijg0w6iwap8gvzyjnndds0q4b8anwxxvik7y8vgq97dram4srb"; })
- (fetchNuGet { pname = "NuGet.Frameworks"; version = "6.5.0"; sha256 = "0s37d1p4md0k6d4cy6sq36f2dgkd9qfbzapxhkvi8awwh0vrynhj"; })
(fetchNuGet { pname = "NUnit"; version = "3.13.3"; sha256 = "0wdzfkygqnr73s6lpxg5b1pwaqz9f414fxpvpdmf72bvh4jaqzv6"; })
(fetchNuGet { pname = "NUnit3TestAdapter"; version = "4.1.0"; sha256 = "1z5g15npmsjszhfmkrdmp4ds7jpxzhxblss2rjl5mfn5sihy4cww"; })
(fetchNuGet { pname = "OpenTK.Audio.OpenAL"; version = "4.8.2"; sha256 = "1r89s76nq5v4pc1p77avq3vdp2k9n0byf7clcdwc0d0k6s4r34lb"; })
@@ -139,23 +136,23 @@
(fetchNuGet { pname = "Ryujinx.GdkSharp"; version = "3.24.24.59-ryujinx"; sha256 = "1fqilm4fzddq88y2g5jx811wcjbzjd6bk5n7cxvy4c71iknhlmdg"; })
(fetchNuGet { pname = "Ryujinx.GioSharp"; version = "3.24.24.59-ryujinx"; sha256 = "1m8s91zvx8drynsar75xi1nm8c4jyvrq406qadf0p8clbsgxvdxi"; })
(fetchNuGet { pname = "Ryujinx.GLibSharp"; version = "3.24.24.59-ryujinx"; sha256 = "0samifm14g1960z87hzxmqb8bzp0vckaja7gn5fy8akgh03z96yd"; })
- (fetchNuGet { pname = "Ryujinx.Graphics.Nvdec.Dependencies"; version = "5.0.1-build13"; sha256 = "1hjr1604s8xyq4r8hh2l7xqwsfalvi65vnr74v8i9hffz15cq8zp"; })
+ (fetchNuGet { pname = "Ryujinx.Graphics.Nvdec.Dependencies"; version = "5.0.3-build14"; sha256 = "0559wbj59b81hc89g0s360x6j556is1swj9hcnm8z0d0anvgxxzr"; })
(fetchNuGet { pname = "Ryujinx.Graphics.Vulkan.Dependencies.MoltenVK"; version = "1.2.0"; sha256 = "1qkas5b6k022r57acpc4h981ddmzz9rwjbgbxbphrjd8h7lz1l5x"; })
(fetchNuGet { pname = "Ryujinx.GtkSharp"; version = "3.24.24.59-ryujinx"; sha256 = "0dri508x5kca2wk0mpgwg6fxj4n5n3kplapwdmlcpfcbwbmrrnyr"; })
(fetchNuGet { pname = "Ryujinx.PangoSharp"; version = "3.24.24.59-ryujinx"; sha256 = "1bdxm5k54zs0h6n2dh20j5jlyn0yml9r8qr828ql0k8zl7yhlq40"; })
- (fetchNuGet { pname = "Ryujinx.SDL2-CS"; version = "2.28.1-build28"; sha256 = "0kn7f6cgvb2rsybiif6g7xkw1srmfr306zpv029lvi264dv6aj6l"; })
+ (fetchNuGet { pname = "Ryujinx.SDL2-CS"; version = "2.30.0-build32"; sha256 = "18alxq2ydnkwjv1rdfnssrs80l5pkmfjyjg8kjkwnp99ml7fbfia"; })
(fetchNuGet { pname = "securifybv.PropertyStore"; version = "0.1.0"; sha256 = "1s7bga6989jdpz4mk4kf1ysgq13pwjmk21xf4rh4kj4b9psd6cwd"; })
(fetchNuGet { pname = "securifybv.ShellLink"; version = "0.1.0"; sha256 = "1v52d01590m8y06bybis6hlg296wk3y7ilqyh01ram62v5wrjvq2"; })
(fetchNuGet { pname = "shaderc.net"; version = "0.1.0"; sha256 = "0f35s9h0vj9f1rx9bssj66hibc3j9bzrb4wgb5q2jwkf5xncxbpq"; })
(fetchNuGet { pname = "SharpZipLib"; version = "1.4.2"; sha256 = "0ijrzz2szxjmv2cipk7rpmg14dfaigdkg7xabjvb38ih56m9a27y"; })
- (fetchNuGet { pname = "ShimSkiaSharp"; version = "1.0.0.13"; sha256 = "1bkpx7zk5vh2rymam165kkqky2768nasgzqcil8kxzryqd853af4"; })
+ (fetchNuGet { pname = "ShimSkiaSharp"; version = "1.0.0.16"; sha256 = "0af7qhv5mxmynh08snqb345n0ykc9mywqgqlb6lng1f001n9038z"; })
(fetchNuGet { pname = "Silk.NET.Core"; version = "2.16.0"; sha256 = "1mkqc2aicvknmpyfry2v7jjxh3apaxa6dmk1vfbwxnkysl417x0k"; })
(fetchNuGet { pname = "Silk.NET.Vulkan"; version = "2.16.0"; sha256 = "0sg5mxv7ga5pq6wc0lz52j07fxrcfmb0an30r4cxsxk66298z2wy"; })
(fetchNuGet { pname = "Silk.NET.Vulkan.Extensions.EXT"; version = "2.16.0"; sha256 = "05918f6fl8byla2m7qjp7dvxww2rbpj2sqd4xq26rl885fmddfvf"; })
(fetchNuGet { pname = "Silk.NET.Vulkan.Extensions.KHR"; version = "2.16.0"; sha256 = "1j4wsv7kjgjkmf2vlm5jjnqkdh265rkz5s1hx42i0f4bmdaz2kj1"; })
- (fetchNuGet { pname = "SixLabors.Fonts"; version = "1.0.0-beta0013"; sha256 = "0r0aw8xxd32rwcawawcz6asiyggz02hnzg5hvz8gimq8hvwx1wql"; })
- (fetchNuGet { pname = "SixLabors.ImageSharp"; version = "1.0.4"; sha256 = "0fmgn414my76gjgp89qlc210a0lqvnvkvk2fcwnpwxdhqpfvyilr"; })
- (fetchNuGet { pname = "SixLabors.ImageSharp.Drawing"; version = "1.0.0-beta11"; sha256 = "0hl0rs3kr1zdnx3gdssxgli6fyvmwzcfp99f4db71s0i8j8b2bp5"; })
+ (fetchNuGet { pname = "SixLabors.Fonts"; version = "1.0.0"; sha256 = "1lsc789fqsnh3jx5w0g5k2n1wlww58zyzrcf5rs3wx2fjrqi084k"; })
+ (fetchNuGet { pname = "SixLabors.ImageSharp"; version = "2.1.7"; sha256 = "07rd8vbd23ynhpz4y81b8fqnbn5q5q7rvcdwcky3nzngdg3vgw08"; })
+ (fetchNuGet { pname = "SixLabors.ImageSharp.Drawing"; version = "1.0.0"; sha256 = "0gh6xzw0jhjxf2slky11sdj0s8mp5dmps5k0rlx4krm68xb98zr0"; })
(fetchNuGet { pname = "SkiaSharp"; version = "2.88.3"; sha256 = "1yq694myq2rhfp2hwwpyzcg1pzpxcp7j72wib8p9pw9dfj7008sv"; })
(fetchNuGet { pname = "SkiaSharp"; version = "2.88.6"; sha256 = "0xs11zjw9ha68maw3l825kfwlrid43qwy0mswljxhpjh0y1k6k6b"; })
(fetchNuGet { pname = "SkiaSharp"; version = "2.88.7"; sha256 = "0f6wbk9dnjiffb9ycjachy1m9zw3pai2m503nym07qgb0izxm792"; })
@@ -170,10 +167,10 @@
(fetchNuGet { pname = "SkiaSharp.NativeAssets.Win32"; version = "2.88.3"; sha256 = "03wwfbarsxjnk70qhqyd1dw65098dncqk2m0vksx92j70i7lry6q"; })
(fetchNuGet { pname = "SkiaSharp.NativeAssets.Win32"; version = "2.88.6"; sha256 = "1w2mwcwkqvrg4x4ybc4674xnkqwh1n2ihg520gqgpnqfc11ghc4n"; })
(fetchNuGet { pname = "SkiaSharp.NativeAssets.Win32"; version = "2.88.7"; sha256 = "119mlbh5hmlis7vb111s95dwg5p1anm2hmv7cm6fz7gy18473d7v"; })
- (fetchNuGet { pname = "SPB"; version = "0.0.4-build28"; sha256 = "1ran6qwzlkv6xpvnp7n0nkva0zfrzwlcxj7zfzz9v8mpicqs297x"; })
- (fetchNuGet { pname = "Svg.Custom"; version = "1.0.0.13"; sha256 = "040w8xqjfyda8742387y0jq1bgs3m57id7qdgiwchv4860v7s97s"; })
- (fetchNuGet { pname = "Svg.Model"; version = "1.0.0.13"; sha256 = "06ppak6gxyiq716zjf919zanl7kb2jwg5d8rhxf9f6fnyd5mjaiv"; })
- (fetchNuGet { pname = "Svg.Skia"; version = "1.0.0.13"; sha256 = "0kr2hlrds1w38pilbq17jnc8xy37b7zis2m1sg6vqrsqp9blhlb7"; })
+ (fetchNuGet { pname = "SPB"; version = "0.0.4-build32"; sha256 = "0fk803f4llcc7g111g7wdn6fwqjrlyr64p97lv9xannbk9bxnk0r"; })
+ (fetchNuGet { pname = "Svg.Custom"; version = "1.0.0.16"; sha256 = "1xm30503b8921dn1mvpbhfx4g88hk0mq20zrp41bykhwcfmircqg"; })
+ (fetchNuGet { pname = "Svg.Model"; version = "1.0.0.16"; sha256 = "0nd0ibjc2l50rd9xx2lh1zsfva6qp97zk6gl5iv2ds72dm669smz"; })
+ (fetchNuGet { pname = "Svg.Skia"; version = "1.0.0.16"; sha256 = "1msyivfdkjdiiw1ngfmplk1wwcv1glkfsx7qvfn4wsgahc775wzr"; })
(fetchNuGet { pname = "System.AppContext"; version = "4.1.0"; sha256 = "0fv3cma1jp4vgj7a8hqc9n7hr1f1kjp541s6z0q1r6nazb4iz9mz"; })
(fetchNuGet { pname = "System.Buffers"; version = "4.0.0"; sha256 = "13s659bcmg9nwb6z78971z1lr6bmh2wghxi1ayqyzl4jijd351gr"; })
(fetchNuGet { pname = "System.Buffers"; version = "4.3.0"; sha256 = "0fgns20ispwrfqll4q1zc1waqcmylb3zc50ys9x8zlwxh9pmd9jy"; })
@@ -184,7 +181,7 @@
(fetchNuGet { pname = "System.Collections"; version = "4.3.0"; sha256 = "19r4y64dqyrq6k4706dnyhhw7fs24kpp3awak7whzss39dakpxk9"; })
(fetchNuGet { pname = "System.Collections.Concurrent"; version = "4.0.12"; sha256 = "07y08kvrzpak873pmyxs129g1ch8l27zmg51pcyj2jvq03n0r0fc"; })
(fetchNuGet { pname = "System.Collections.Immutable"; version = "5.0.0"; sha256 = "1kvcllagxz2q92g81zkz81djkn2lid25ayjfgjalncyc68i15p0r"; })
- (fetchNuGet { pname = "System.Collections.Immutable"; version = "7.0.0"; sha256 = "1n9122cy6v3qhsisc9lzwa1m1j62b8pi2678nsmnlyvfpk0zdagm"; })
+ (fetchNuGet { pname = "System.Collections.Immutable"; version = "8.0.0"; sha256 = "0z53a42zjd59zdkszcm7pvij4ri5xbb8jly9hzaad9khlf69bcqp"; })
(fetchNuGet { pname = "System.ComponentModel.Annotations"; version = "4.5.0"; sha256 = "1jj6f6g87k0iwsgmg3xmnn67a14mq88np0l1ys5zkxhkvbc8976p"; })
(fetchNuGet { pname = "System.Console"; version = "4.0.0"; sha256 = "0ynxqbc3z1nwbrc11hkkpw9skw116z4y9wjzn7id49p9yi7mzmlf"; })
(fetchNuGet { pname = "System.Diagnostics.Debug"; version = "4.0.11"; sha256 = "0gmjghrqmlgzxivd2xl50ncbglb7ljzb66rlx8ws6dv8jm0d5siz"; })
@@ -192,7 +189,6 @@
(fetchNuGet { pname = "System.Diagnostics.DiagnosticSource"; version = "4.0.0"; sha256 = "1n6c3fbz7v8d3pn77h4v5wvsfrfg7v1c57lg3nff3cjyh597v23m"; })
(fetchNuGet { pname = "System.Diagnostics.Tools"; version = "4.0.1"; sha256 = "19cknvg07yhakcvpxg3cxa0bwadplin6kyxd8mpjjpwnp56nl85x"; })
(fetchNuGet { pname = "System.Diagnostics.Tracing"; version = "4.1.0"; sha256 = "1d2r76v1x610x61ahfpigda89gd13qydz6vbwzhpqlyvq8jj6394"; })
- (fetchNuGet { pname = "System.Drawing.Common"; version = "8.0.1"; sha256 = "02l7y2j6f2qykl90iac28nvw1cnhic8vzixlq5fznw0zj72knz25"; })
(fetchNuGet { pname = "System.Dynamic.Runtime"; version = "4.3.0"; sha256 = "1d951hrvrpndk7insiag80qxjbf2y0y39y8h5hnq9612ws661glk"; })
(fetchNuGet { pname = "System.Globalization"; version = "4.0.11"; sha256 = "070c5jbas2v7smm660zaf1gh0489xanjqymkvafcs4f8cdrs1d5d"; })
(fetchNuGet { pname = "System.Globalization"; version = "4.3.0"; sha256 = "1cp68vv683n6ic2zqh2s1fn4c2sd87g5hpp6l4d4nj4536jz98ki"; })
@@ -236,7 +232,7 @@
(fetchNuGet { pname = "System.Reflection.Extensions"; version = "4.3.0"; sha256 = "02bly8bdc98gs22lqsfx9xicblszr2yan7v2mmw3g7hy6miq5hwq"; })
(fetchNuGet { pname = "System.Reflection.Metadata"; version = "1.6.0"; sha256 = "1wdbavrrkajy7qbdblpbpbalbdl48q3h34cchz24gvdgyrlf15r4"; })
(fetchNuGet { pname = "System.Reflection.Metadata"; version = "5.0.0"; sha256 = "17qsl5nanlqk9iz0l5wijdn6ka632fs1m1fvx18dfgswm258r3ss"; })
- (fetchNuGet { pname = "System.Reflection.Metadata"; version = "7.0.0"; sha256 = "1wilasn2qmj870h2bhw348lspamm7pbinpb4m89icg113510l00v"; })
+ (fetchNuGet { pname = "System.Reflection.Metadata"; version = "8.0.0"; sha256 = "10a8vm0c3n5cili5nix6bdmiaxr69qisvk356pb81f2s8bgq40bm"; })
(fetchNuGet { pname = "System.Reflection.Primitives"; version = "4.0.1"; sha256 = "1bangaabhsl4k9fg8khn83wm6yial8ik1sza7401621jc6jrym28"; })
(fetchNuGet { pname = "System.Reflection.Primitives"; version = "4.3.0"; sha256 = "04xqa33bld78yv5r93a8n76shvc8wwcdgr1qvvjh959g3rc31276"; })
(fetchNuGet { pname = "System.Reflection.TypeExtensions"; version = "4.1.0"; sha256 = "1bjli8a7sc7jlxqgcagl9nh8axzfl11f4ld3rjqsyxc516iijij7"; })
@@ -245,7 +241,6 @@
(fetchNuGet { pname = "System.Resources.ResourceManager"; version = "4.3.0"; sha256 = "0sjqlzsryb0mg4y4xzf35xi523s4is4hz9q4qgdvlvgivl7qxn49"; })
(fetchNuGet { pname = "System.Runtime"; version = "4.1.0"; sha256 = "02hdkgk13rvsd6r9yafbwzss8kr55wnj8d5c7xjnp8gqrwc8sn0m"; })
(fetchNuGet { pname = "System.Runtime"; version = "4.3.0"; sha256 = "066ixvgbf2c929kgknshcxqj6539ax7b9m570cp8n179cpfkapz7"; })
- (fetchNuGet { pname = "System.Runtime.CompilerServices.Unsafe"; version = "4.7.0"; sha256 = "16r6sn4czfjk8qhnz7bnqlyiaaszr0ihinb7mq9zzr1wba257r54"; })
(fetchNuGet { pname = "System.Runtime.CompilerServices.Unsafe"; version = "4.7.1"; sha256 = "119br3pd85lq8zcgh4f60jzmv1g976q1kdgi3hvqdlhfbw6siz2j"; })
(fetchNuGet { pname = "System.Runtime.CompilerServices.Unsafe"; version = "5.0.0"; sha256 = "02k25ivn50dmqx5jn8hawwmz24yf0454fjd823qk6lygj9513q4x"; })
(fetchNuGet { pname = "System.Runtime.CompilerServices.Unsafe"; version = "6.0.0"; sha256 = "0qm741kh4rh57wky16sq4m0v05fxmkjjr87krycf5vp9f0zbahbc"; })
@@ -272,7 +267,8 @@
(fetchNuGet { pname = "System.Text.Encoding"; version = "4.0.11"; sha256 = "1dyqv0hijg265dwxg6l7aiv74102d6xjiwplh2ar1ly6xfaa4iiw"; })
(fetchNuGet { pname = "System.Text.Encoding"; version = "4.3.0"; sha256 = "1f04lkir4iladpp51sdgmis9dj4y8v08cka0mbmsy0frc9a4gjqr"; })
(fetchNuGet { pname = "System.Text.Encoding.CodePages"; version = "4.5.1"; sha256 = "1z21qyfs6sg76rp68qdx0c9iy57naan89pg7p6i3qpj8kyzn921w"; })
- (fetchNuGet { pname = "System.Text.Encoding.CodePages"; version = "7.0.0"; sha256 = "0sn6hxdjm7bw3xgsmg041ccchsa4sp02aa27cislw3x61dbr68kq"; })
+ (fetchNuGet { pname = "System.Text.Encoding.CodePages"; version = "5.0.0"; sha256 = "1bn2pzaaq4wx9ixirr8151vm5hynn3lmrljcgjx9yghmm4k677k0"; })
+ (fetchNuGet { pname = "System.Text.Encoding.CodePages"; version = "8.0.0"; sha256 = "1lgdd78cik4qyvp2fggaa0kzxasw6kc9a6cjqw46siagrm0qnc3y"; })
(fetchNuGet { pname = "System.Text.Encoding.Extensions"; version = "4.0.11"; sha256 = "08nsfrpiwsg9x5ml4xyl3zyvjfdi4mvbqf93kjdh11j4fwkznizs"; })
(fetchNuGet { pname = "System.Text.Encodings.Web"; version = "6.0.0"; sha256 = "06n9ql3fmhpjl32g3492sj181zjml5dlcc5l76xq2h38c4f87sai"; })
(fetchNuGet { pname = "System.Text.Json"; version = "6.0.0"; sha256 = "1si2my1g0q0qv1hiqnji4xh9wd05qavxnzj9dwgs23iqvgjky0gl"; })
diff --git a/pkgs/applications/emulators/ryujinx/default.nix b/pkgs/by-name/ry/ryujinx/package.nix
similarity index 83%
rename from pkgs/applications/emulators/ryujinx/default.nix
rename to pkgs/by-name/ry/ryujinx/package.nix
index 0dfbfd06ea07..410afb7c837c 100644
--- a/pkgs/applications/emulators/ryujinx/default.nix
+++ b/pkgs/by-name/ry/ryujinx/package.nix
@@ -2,7 +2,6 @@
, buildDotnetModule
, dotnetCorePackages
, fetchFromGitHub
-, wrapGAppsHook
, libX11
, libgdiplus
, ffmpeg
@@ -10,8 +9,6 @@
, libsoundio
, sndio
, pulseaudio
-, gtk3
-, gdk-pixbuf
, vulkan-loader
, libICE
, libSM
@@ -28,13 +25,13 @@
buildDotnetModule rec {
pname = "ryujinx";
- version = "1.1.1155"; # Based off of the official github actions builds: https://github.com/Ryujinx/Ryujinx/actions/workflows/release.yml
+ version = "1.1.1239"; # Based off of the official github actions builds: https://github.com/Ryujinx/Ryujinx/actions/workflows/release.yml
src = fetchFromGitHub {
owner = "Ryujinx";
repo = "Ryujinx";
- rev = "d704bcd93b90c288e6e200378373403525b59220";
- sha256 = "0vf964rgr5jry8aszzbjm3jh7qd0d8b6rpzibb7b564awzy6kzda";
+ rev = "d26ef2eec309a7a7b30b103c245044d1cdc08add";
+ sha256 = "0kdhxkgnnzhvmwlnzb5d0xx2f240y5h0vc1fhwiqk2cvwly5nd6y";
};
dotnet-sdk = dotnetCorePackages.sdk_8_0;
@@ -42,17 +39,7 @@ buildDotnetModule rec {
nugetDeps = ./deps.nix;
- nativeBuildInputs = [
- wrapGAppsHook
- ];
-
- buildInputs = [
- gtk3
- gdk-pixbuf
- ];
-
runtimeDeps = [
- gtk3
libX11
libgdiplus
SDL2_mixer
@@ -88,13 +75,11 @@ buildDotnetModule rec {
executables = [
"Ryujinx.Headless.SDL2"
- "Ryujinx.Ava"
"Ryujinx"
];
makeWrapperArgs = [
# Without this Ryujinx fails to start on wayland. See https://github.com/Ryujinx/Ryujinx/issues/2714
- "--set GDK_BACKEND x11"
"--set SDL_VIDEODRIVER x11"
];
@@ -109,11 +94,12 @@ buildDotnetModule rec {
pushd ${src}/distribution/linux
install -D ./Ryujinx.desktop $out/share/applications/Ryujinx.desktop
+ install -D ./Ryujinx.sh $out/bin/Ryujinx.sh
install -D ./mime/Ryujinx.xml $out/share/mime/packages/Ryujinx.xml
install -D ../misc/Logo.svg $out/share/icons/hicolor/scalable/apps/Ryujinx.svg
substituteInPlace $out/share/applications/Ryujinx.desktop \
- --replace "Ryujinx %f" "$out/bin/Ryujinx %f"
+ --replace "Ryujinx.sh %f" "$out/bin/Ryujinx.sh %f"
ln -s $out/bin/Ryujinx $out/bin/ryujinx
@@ -134,8 +120,8 @@ buildDotnetModule rec {
2017.
'';
license = licenses.mit;
- maintainers = with maintainers; [ ivar jk ];
- platforms = [ "x86_64-linux" ];
+ maintainers = with maintainers; [ ivar jk artemist ];
+ platforms = [ "x86_64-linux" "aarch64-linux" ];
mainProgram = "Ryujinx";
};
}
diff --git a/pkgs/applications/emulators/ryujinx/updater.sh b/pkgs/by-name/ry/ryujinx/updater.sh
similarity index 97%
rename from pkgs/applications/emulators/ryujinx/updater.sh
rename to pkgs/by-name/ry/ryujinx/updater.sh
index 3aae3943aa5a..74b291640077 100755
--- a/pkgs/applications/emulators/ryujinx/updater.sh
+++ b/pkgs/by-name/ry/ryujinx/updater.sh
@@ -54,7 +54,7 @@ if [ -z ${NEW_VERSION+x} ] && [ -z ${COMMIT+x} ]; then
NEW_VERSION="${BASE_VERSION}.${PATCH_VERSION}"
fi
-OLD_VERSION="$(sed -nE 's/\s*version = "(.*)".*/\1/p' ./default.nix)"
+OLD_VERSION="$(sed -nE 's/\s*version = "(.*)".*/\1/p' ./package.nix)"
echo "comparing versions $OLD_VERSION -> $NEW_VERSION"
if [[ "$OLD_VERSION" == "$NEW_VERSION" ]]; then
diff --git a/pkgs/by-name/s3/s3proxy/package.nix b/pkgs/by-name/s3/s3proxy/package.nix
new file mode 100644
index 000000000000..b2fd1b03a5ab
--- /dev/null
+++ b/pkgs/by-name/s3/s3proxy/package.nix
@@ -0,0 +1,44 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, jre
+, makeWrapper
+, maven
+}:
+
+let
+ pname = "s3proxy";
+ version = "2.1.0";
+in
+maven.buildMavenPackage {
+ inherit pname version;
+ mvnHash = "sha256-85mE/pZ0DXkzOKvTAqBXGatAt8gc4VPRCxmEyIlyVGI=";
+
+ src = fetchFromGitHub {
+ owner = "gaul";
+ repo = pname;
+ rev = "s3proxy-${version}";
+ hash = "sha256-GhZPvo8wlXInHwg8rSmpwMMkZVw5SMpnZyKqFUYLbrE=";
+ };
+
+ mvnParameters = lib.optionalString stdenv.isDarwin "-DskipTests";
+
+ nativeBuildInputs = [ makeWrapper ];
+
+ installPhase = ''
+ install -D --mode=644 --target-directory=$out/share/s3proxy target/s3proxy-${version}-jar-with-dependencies.jar
+
+ makeWrapper ${jre}/bin/java $out/bin/s3proxy \
+ --add-flags "-jar $out/share/s3proxy/s3proxy-${version}-jar-with-dependencies.jar"
+ '';
+
+ meta = with lib; {
+ description = "Access other storage backends via the S3 API";
+ mainProgram = "s3proxy";
+ homepage = "https://github.com/gaul/s3proxy";
+ changelog = "https://github.com/gaul/s3proxy/releases/tag/s3proxy-${version}";
+ license = licenses.asl20;
+ maintainers = with maintainers; [ camelpunch ];
+ };
+}
+
diff --git a/pkgs/applications/gis/saga/default.nix b/pkgs/by-name/sa/saga/package.nix
similarity index 85%
rename from pkgs/applications/gis/saga/default.nix
rename to pkgs/by-name/sa/saga/package.nix
index 817fa7b86f24..3e9497b699da 100644
--- a/pkgs/applications/gis/saga/default.nix
+++ b/pkgs/by-name/sa/saga/package.nix
@@ -9,7 +9,7 @@
, gdal
, wxGTK32
, proj
-, dxflib
+, libsForQt5
, curl
, libiodbc
, xz
@@ -17,7 +17,7 @@
, opencv
, vigra
, postgresql
-, Cocoa
+, darwin
, unixODBC
, poppler
, hdf5
@@ -31,11 +31,11 @@
stdenv.mkDerivation rec {
pname = "saga";
- version = "9.3.1";
+ version = "9.3.2";
src = fetchurl {
url = "mirror://sourceforge/saga-gis/saga-${version}.tar.gz";
- sha256 = "sha256-QrpEbb8zN003Afnu9UZUanWE0lIiy95POSWd1jB8EtA=";
+ sha256 = "sha256-741O6C7amxSnOOTledF0izmVhiT79tFI4+EOtpNqP2Q=";
};
sourceRoot = "saga-${version}/saga-gis";
@@ -47,7 +47,7 @@ stdenv.mkDerivation rec {
buildInputs = [
curl
- dxflib
+ libsForQt5.dxflib
fftw
libsvm
hdf5
@@ -66,7 +66,7 @@ stdenv.mkDerivation rec {
# See https://groups.google.com/forum/#!topic/nix-devel/h_vSzEJAPXs
# for why the have additional buildInputs on darwin
++ lib.optionals stdenv.isDarwin [
- Cocoa
+ darwin.apple_sdk.frameworks.Cocoa
unixODBC
poppler
netcdf
@@ -74,7 +74,7 @@ stdenv.mkDerivation rec {
];
cmakeFlags = [
- "-DOpenMP_SUPPORT=${if stdenv.isDarwin then "OFF" else "ON"}"
+ (lib.cmakeBool "OpenMP_SUPPORT" (!stdenv.isDarwin))
];
meta = with lib; {
diff --git a/pkgs/by-name/sa/salmon/fetch-pufferfish.patch b/pkgs/by-name/sa/salmon/fetch-pufferfish.patch
index 7010a1a69fac..a8682426fcc4 100644
--- a/pkgs/by-name/sa/salmon/fetch-pufferfish.patch
+++ b/pkgs/by-name/sa/salmon/fetch-pufferfish.patch
@@ -1,5 +1,5 @@
diff --git a/scripts/fetchPufferfish.sh b/scripts/fetchPufferfish.sh
-index bf2574e0..42582806 100755
+index 95e30053..8866767c 100755
--- a/scripts/fetchPufferfish.sh
+++ b/scripts/fetchPufferfish.sh
@@ -11,10 +11,6 @@ CURR_DIR=$( cd "$( dirname "${BASH_SOURCE[0]}" )" && pwd )
@@ -17,11 +17,11 @@ index bf2574e0..42582806 100755
rm -fr ${INSTALL_DIR}/src/pufferfish
fi
--SVER=salmon-v1.10.2
+-SVER=salmon-v1.10.3
-#SVER=develop
-#SVER=sketch-mode
-
--EXPECTED_SHA256=f225b74833f71dcf767a565345224357fb091f90ce79717abc836814d9ccd101
+-EXPECTED_SHA256=52b6699de0d33814b73edb3455175568c2330d8014be017dce7b564e54134860
-
-mkdir -p ${EXTERNAL_DIR}
-curl -k -L https://github.com/COMBINE-lab/pufferfish/archive/${SVER}.zip -o ${EXTERNAL_DIR}/pufferfish.zip
diff --git a/pkgs/by-name/sa/salmon/package.nix b/pkgs/by-name/sa/salmon/package.nix
index e4442e96fd6f..5d65e2698a42 100644
--- a/pkgs/by-name/sa/salmon/package.nix
+++ b/pkgs/by-name/sa/salmon/package.nix
@@ -20,20 +20,20 @@
stdenv.mkDerivation (finalAttrs: {
pname = "salmon";
- version = "1.10.2";
+ version = "1.10.3";
pufferFishSrc = fetchFromGitHub {
owner = "COMBINE-lab";
repo = "pufferfish";
rev = "salmon-v${finalAttrs.version}";
- hash = "sha256-JKbUFBEsqnENl4vFqve1FCd4TI3n9bRi2RNHC8QGQGc=";
+ hash = "sha256-g4pfNuc620WQ7UDv8PQHVbbTVt78aGVqcHHMszmBIkA=";
};
src = fetchFromGitHub {
owner = "COMBINE-lab";
repo = "salmon";
rev = "v${finalAttrs.version}";
- hash = "sha256-kwqoUmVCqjr/xRxJjQKaFjjCQW+MFASHJ2f9OiAumNU=";
+ hash = "sha256-HGcDqu0XzgrU3erHavigXCoj3VKk82ixMLY10Kk9MW4=";
};
patches = [
@@ -63,6 +63,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
description =
"Tool for quantifying the expression of transcripts using RNA-seq data";
+ mainProgram = "salmon";
longDescription = ''
Salmon is a tool for quantifying the expression of transcripts
using RNA-seq data. Salmon uses new algorithms (specifically,
diff --git a/pkgs/by-name/sa/samdump2/package.nix b/pkgs/by-name/sa/samdump2/package.nix
new file mode 100644
index 000000000000..a1a045af7664
--- /dev/null
+++ b/pkgs/by-name/sa/samdump2/package.nix
@@ -0,0 +1,70 @@
+{ lib
+, stdenv
+, fetchurl
+, fetchpatch
+, openssl
+, pkg-config
+, which
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "samdump2";
+ version = "3.0.0";
+
+ src = fetchurl {
+ url = "mirror://sourceforge/project/ophcrack/samdump2/${finalAttrs.version}/samdump2-${finalAttrs.version}.tar.bz2";
+ hash = "sha256-YCZZrzDFZXUPoBZQ4KIj0mNVtd+Y8vvDDjpsWT7U5SY=";
+ };
+
+ nativeBuildInputs = [ pkg-config which ];
+ buildInputs = [
+ openssl
+ ];
+
+ patches = [
+ (fetchpatch {
+ # fixes a FTBFS linker bug
+ url = "https://salsa.debian.org/pkg-security-team/samdump2/-/raw/b4c9f14f5a1925106e7c62c9967d430c1104df0c/debian/patches/10_ftbfs_link.patch";
+ hash = "sha256-TGzxi44dDAispG+rK/kYYMzKjt10p+ZyfVDWKG+Gw/s=";
+ })
+ (fetchpatch {
+ # the makefile overrides flags so you can't set them via d/rules or the environment
+ url = "https://salsa.debian.org/pkg-security-team/samdump2/-/raw/b4c9f14f5a1925106e7c62c9967d430c1104df0c/debian/patches/20_compiler_flags.patch";
+ hash = "sha256-VdDiNAQhlauAB4Ws/pvWMJY2rMKr3qhyVGX2GoxaagI=";
+ })
+ (fetchpatch {
+ # the makefile has a infos dep, but no target
+ url = "https://salsa.debian.org/pkg-security-team/samdump2/-/raw/b4c9f14f5a1925106e7c62c9967d430c1104df0c/debian/patches/30_install_infos.patch";
+ hash = "sha256-Y7kdU+ywUYFm2VySGFa0QE1OvzoTa0eFSWp0VFmY5iM=";
+ })
+ (fetchpatch {
+ # change the formatting in the manpage to make it more readable
+ url = "https://salsa.debian.org/pkg-security-team/samdump2/-/raw/b4c9f14f5a1925106e7c62c9967d430c1104df0c/debian/patches/40_manpage_formatting.patch";
+ hash = "sha256-L4BjtiGk91nTKZdr0SXbaxkD2mzmkU3UJlc4TZfXS4Y=";
+ })
+ (fetchpatch {
+ # fix a FTBFS with OpenSSL 1.1.0. (Closes: #828537)
+ url = "https://salsa.debian.org/pkg-security-team/samdump2/-/raw/b4c9f14f5a1925106e7c62c9967d430c1104df0c/debian/patches/50_openssl.patch";
+ hash = "sha256-pdLOSt7kX9uPg4wDVstxh3NC/DboQCP+5/wCjuJmruY=";
+ })
+ ];
+
+ postPatch = ''
+ substituteInPlace Makefile \
+ --replace " -o root -g root" ""
+ '';
+
+ makeFlags = [
+ "PREFIX=$(out)"
+ "CC=cc"
+ ];
+
+ meta = with lib; {
+ description = "Dump password hashes from a Windows NT/2k/XP installation";
+ mainProgram = "samdump2";
+ homepage = "https://sourceforge.net/projects/ophcrack/files/samdump2";
+ license = licenses.gpl2Plus;
+ maintainers = with maintainers; [ d3vil0p3r ];
+ platforms = platforms.unix;
+ };
+})
diff --git a/pkgs/by-name/sa/samrewritten/package.nix b/pkgs/by-name/sa/samrewritten/package.nix
index de0c4e985727..f90c31395f73 100644
--- a/pkgs/by-name/sa/samrewritten/package.nix
+++ b/pkgs/by-name/sa/samrewritten/package.nix
@@ -39,6 +39,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
description = "Steam Achievement Manager For Linux. Rewritten in C++";
+ mainProgram = "samrewritten";
homepage = "https://github.com/PaulCombal/SamRewritten";
license = lib.licenses.gpl3Plus;
maintainers = with lib.maintainers; [ ludovicopiero ];
diff --git a/pkgs/data/fonts/sarasa-gothic/default.nix b/pkgs/by-name/sa/sarasa-gothic/package.nix
similarity index 57%
rename from pkgs/data/fonts/sarasa-gothic/default.nix
rename to pkgs/by-name/sa/sarasa-gothic/package.nix
index 35f098442c22..6184bbda5a7e 100644
--- a/pkgs/data/fonts/sarasa-gothic/default.nix
+++ b/pkgs/by-name/sa/sarasa-gothic/package.nix
@@ -1,19 +1,24 @@
-{ lib, stdenvNoCC, fetchurl, p7zip }:
+{
+ lib,
+ stdenvNoCC,
+ fetchurl,
+ unzip,
+}:
-stdenvNoCC.mkDerivation rec {
+stdenvNoCC.mkDerivation (finalAttrs: {
pname = "sarasa-gothic";
- version = "1.0.5";
+ version = "1.0.7";
src = fetchurl {
# Use the 'ttc' files here for a smaller closure size.
# (Using 'ttf' files gives a closure size about 15x larger, as of November 2021.)
- url = "https://github.com/be5invis/Sarasa-Gothic/releases/download/v${version}/Sarasa-TTC-${version}.7z";
- hash = "sha256-OPoX6GNCilA8Lj9kLO6RHapU7mpZTiNa/8LL72TG1Wk=";
+ url = "https://github.com/be5invis/Sarasa-Gothic/releases/download/v${finalAttrs.version}/Sarasa-TTC-${finalAttrs.version}.zip";
+ hash = "sha256-R0mVOKYlxSk3s6zPG/h9ddKUZX+WJp47QCulFUO97YI=";
};
sourceRoot = ".";
- nativeBuildInputs = [ p7zip ];
+ nativeBuildInputs = [ unzip ];
installPhase = ''
runHook preInstall
@@ -24,11 +29,14 @@ stdenvNoCC.mkDerivation rec {
runHook postInstall
'';
- meta = with lib; {
+ meta = {
description = "A CJK programming font based on Iosevka and Source Han Sans";
homepage = "https://github.com/be5invis/Sarasa-Gothic";
- license = licenses.ofl;
- maintainers = [ maintainers.ChengCat ];
- platforms = platforms.all;
+ license = lib.licenses.ofl;
+ maintainers = with lib.maintainers; [
+ ChengCat
+ wegank
+ ];
+ platforms = lib.platforms.all;
};
-}
+})
diff --git a/pkgs/by-name/sa/satellite/package.nix b/pkgs/by-name/sa/satellite/package.nix
new file mode 100644
index 000000000000..e1ab6b934c3b
--- /dev/null
+++ b/pkgs/by-name/sa/satellite/package.nix
@@ -0,0 +1,57 @@
+{ lib
+, python3
+, fetchFromGitea
+, gobject-introspection
+, gtk3
+, libhandy
+, modemmanager
+, wrapGAppsHook
+}:
+
+python3.pkgs.buildPythonApplication rec {
+ pname = "satellite";
+ version = "0.4.2";
+
+ pyproject = true;
+
+ src = fetchFromGitea {
+ domain ="codeberg.org";
+ owner = "tpikonen";
+ repo = "satellite";
+ rev = version;
+ hash = "sha256-VPljvbHsPpBvH//LFs1P0YiyMfQxTLHrrxqnVk261hg=";
+ };
+
+ nativeBuildInputs = [
+ gobject-introspection
+ python3.pkgs.setuptools
+ wrapGAppsHook
+ ];
+
+ buildInputs = [
+ gtk3
+ libhandy
+ modemmanager
+ ];
+
+ propagatedBuildInputs = with python3.pkgs; [
+ gpxpy
+ pygobject3
+ pynmea2
+ ];
+
+ strictDeps = true;
+
+ meta = with lib; {
+ description = "A program for showing navigation satellite data";
+ longDescription = ''
+ Satellite is an adaptive GTK3 / libhandy application which displays global navigation satellite system (GNSS: GPS et al.) data obtained from ModemManager or gnss-share.
+ It can also save your position to a GPX-file.
+ '';
+ homepage = "https://codeberg.org/tpikonen/satellite";
+ license = licenses.gpl3Only;
+ mainProgram = "satellite";
+ platforms = platforms.linux;
+ maintainers = with maintainers; [ Luflosi ];
+ };
+}
diff --git a/pkgs/by-name/sa/satty/package.nix b/pkgs/by-name/sa/satty/package.nix
index 98f5d92d7ee5..31e860de8440 100644
--- a/pkgs/by-name/sa/satty/package.nix
+++ b/pkgs/by-name/sa/satty/package.nix
@@ -3,12 +3,12 @@
, fetchFromGitHub
, pkg-config
, wrapGAppsHook4
-, cairo
, gdk-pixbuf
, glib
, gtk4
, libadwaita
-, pango
+, libepoxy
+, libGL
, copyDesktopItems
, installShellFiles
}:
@@ -16,16 +16,16 @@
rustPlatform.buildRustPackage rec {
pname = "satty";
- version = "0.9.0";
+ version = "0.11.3";
src = fetchFromGitHub {
owner = "gabm";
repo = "Satty";
rev = "v${version}";
- hash = "sha256-640npBvOO4SZfQI5Tq1FY+B7Bg75YsaoGd/XhWAy9Zs=";
+ hash = "sha256-TKpotVVjXWm2uue4a4QEqVH/qHKSsegL2MNcsnk0CHw=";
};
- cargoHash = "sha256-H+PnZWNaxdNaPLZmKJIcnEBTnpeXCxGC9cXnzR2hfoc=";
+ cargoHash = "sha256-0AyzjKkTNZwGCT73Xo5AY7rPJwQ9GgAxtMf6lJnrTSA=";
nativeBuildInputs = [
copyDesktopItems
@@ -35,12 +35,12 @@ rustPlatform.buildRustPackage rec {
];
buildInputs = [
- cairo
gdk-pixbuf
glib
gtk4
libadwaita
- pango
+ libepoxy
+ libGL
];
postInstall = ''
diff --git a/pkgs/by-name/sc/scalingo/package.nix b/pkgs/by-name/sc/scalingo/package.nix
index 5115a84f2671..38f1a8691c51 100644
--- a/pkgs/by-name/sc/scalingo/package.nix
+++ b/pkgs/by-name/sc/scalingo/package.nix
@@ -2,13 +2,13 @@
buildGoModule rec {
pname = "scalingo";
- version = "1.30.1";
+ version = "1.31.0";
src = fetchFromGitHub {
owner = pname;
repo = "cli";
rev = version;
- hash = "sha256-Dzm1f7iNVCzbSogYfjDIHJ2UbPnP1F9nF9QASe/H3TU=";
+ hash = "sha256-Y162BHTlerTbsRJ1KhT82iBMv+jI7Rq+h8JfBIV0uIs=";
};
vendorHash = null;
@@ -23,6 +23,7 @@ buildGoModule rec {
meta = with lib; {
description = "Command line client for the Scalingo PaaS";
+ mainProgram = "scalingo";
homepage = "https://doc.scalingo.com/platform/cli/start";
changelog = "https://github.com/Scalingo/cli/blob/master/CHANGELOG.md";
license = licenses.bsdOriginal;
diff --git a/pkgs/by-name/sc/scdoc/package.nix b/pkgs/by-name/sc/scdoc/package.nix
index 3d8d759a769a..5ad6fb3dbaf4 100644
--- a/pkgs/by-name/sc/scdoc/package.nix
+++ b/pkgs/by-name/sc/scdoc/package.nix
@@ -6,13 +6,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "scdoc";
- version = "1.11.2-unstable-2023-03-08";
+ version = "1.11.3";
src = fetchFromSourcehut {
owner = "~sircmpwn";
repo = "scdoc";
- rev = "afeda241f3f9b2c27e461f32d9c2a704ab82ef61";
- hash = "sha256-jIYygjUXP/6o5d9drlZjdr25KjEQx8oy4TaQwQEu8fM=";
+ rev = finalAttrs.version;
+ hash = "sha256-MbLDhLn/JY6OcdOz9/mIPAQRp5TZ6IKuQ/FQ/R3wjGc=";
};
outputs = [ "out" "man" "dev" ];
diff --git a/pkgs/by-name/sc/scion/package.nix b/pkgs/by-name/sc/scion/package.nix
new file mode 100644
index 000000000000..100fe1746c70
--- /dev/null
+++ b/pkgs/by-name/sc/scion/package.nix
@@ -0,0 +1,53 @@
+{ lib
+, buildGoModule
+, fetchFromGitHub
+}:
+let
+ version = "0.10.0";
+
+ # Injects a `t.Skip()` into a given test since there's apparently no other way to skip tests here.
+ # ref: https://github.com/NixOS/nixpkgs/blob/047bc33866bf7004d0ce9ed0af78dab5ceddaab0/pkgs/by-name/vi/vikunja/package.nix#L96
+ skipTest = lineOffset: testCase: file:
+ let
+ jumpAndAppend = lib.concatStringsSep ";" (lib.replicate (lineOffset - 1) "n" ++ [ "a" ]);
+ in
+ ''
+ sed -i -e '/${testCase}/{
+ ${jumpAndAppend} t.Skip();
+ }' ${file}
+ '';
+in
+
+buildGoModule {
+ pname = "scion";
+
+ inherit version;
+
+ src = fetchFromGitHub {
+ owner = "scionproto";
+ repo = "scion";
+ rev = "v${version}";
+ hash = "sha256-8yXjEDo1k0+7O0gx2acAZMrG/r+iePfNCG+FolCSKwI=";
+ };
+
+ vendorHash = "sha256-4nTp6vOyS7qDn8HmNO0NGCNU7wCb8ww8a15Yv3MPEq8=";
+
+ excludedPackages = [ "acceptance" "demo" "tools" "pkg/private/xtest/graphupdater" ];
+
+ # This can be removed in the next release of scion since its fixed upstream
+ # https://github.com/scionproto/scion/pull/4476
+ postConfigure = ''
+ # This test needs docker, so lets skip it
+ ${skipTest 1 "TestOpensslCompatible" "scion-pki/trcs/sign_test.go"}
+ '';
+
+ doCheck = true;
+
+ meta = with lib; {
+ description = "A future Internet architecture utilizing path-aware networking";
+ homepage = "https://scion-architecture.net/";
+ platforms = platforms.unix;
+ license = licenses.asl20;
+ maintainers = with maintainers; [ sarcasticadmin matthewcroughan ];
+ };
+}
diff --git a/pkgs/by-name/sc/scitokens-cpp/package.nix b/pkgs/by-name/sc/scitokens-cpp/package.nix
index 691e89fa2b80..56cc5ba18bb8 100644
--- a/pkgs/by-name/sc/scitokens-cpp/package.nix
+++ b/pkgs/by-name/sc/scitokens-cpp/package.nix
@@ -2,14 +2,14 @@
stdenv.mkDerivation rec {
pname = "scitokens-cpp";
- version = "1.1.0";
+ version = "1.1.1";
src = fetchFromGitHub {
owner = "scitokens";
repo = "scitokens-cpp";
- rev = "v1.1.0";
- hash = "sha256-g97Ah5Oob0iOvMQegpG/AACLZCW37kA0RpSIcKOyQnE=";
+ rev = "v1.1.1";
+ hash = "sha256-G3z9DYYWCNeA/rufNHQP3SwT5WS2AvUWm1rd8lx6XxA=";
};
nativeBuildInputs = [ cmake pkg-config ];
diff --git a/pkgs/by-name/sc/scout/package.nix b/pkgs/by-name/sc/scout/package.nix
index 30f3a9fce3c4..4c1a80ff109b 100644
--- a/pkgs/by-name/sc/scout/package.nix
+++ b/pkgs/by-name/sc/scout/package.nix
@@ -18,6 +18,7 @@ buildGoModule rec {
meta = with lib; {
description = "Lightweight URL fuzzer and spider: Discover a web server's undisclosed files, directories and VHOSTs";
+ mainProgram = "scout";
homepage = "https://github.com/liamg/scout";
platforms = platforms.unix;
license = licenses.unlicense;
diff --git a/pkgs/by-name/sc/screenly-cli/package.nix b/pkgs/by-name/sc/screenly-cli/package.nix
index 4113e3c57f4c..3c18ef4f809c 100644
--- a/pkgs/by-name/sc/screenly-cli/package.nix
+++ b/pkgs/by-name/sc/screenly-cli/package.nix
@@ -1,5 +1,4 @@
{ darwin
-, fetchpatch
, fetchFromGitHub
, lib
, perl
@@ -7,31 +6,21 @@
, openssl
, rustPlatform
, stdenv
+, nix-update-script
}:
rustPlatform.buildRustPackage rec {
pname = "screenly-cli";
- version = "0.2.3";
+ version = "0.2.4";
src = fetchFromGitHub {
owner = "screenly";
repo = "cli";
rev = "refs/tags/v${version}";
- hash = "sha256-rQK1EYb1xYtcxq0Oj4eY9PCFMoaYinr42W8NkG36ps0=";
+ hash = "sha256-DSeI7ddsdsb+DLVPRyqpvz6WIRFBBaWjYJHlFpN8SrY=";
};
- cargoPatches = [
- # This patch introduces the Cargo.lock file, which was previously missing from the repository.
- # This can be removed at the next release of the Screenly CLI. The patch was introduced in
- # this PR: https://github.com/Screenly/cli/pull/139.
- (fetchpatch {
- url = "https://github.com/Screenly/cli/commit/898bd2e5e3a9653e3c3dde17e951469885734c40.patch";
- hash = "sha256-Cqc1PHRhgS3zK19bSqpU2v+R3jSlOY6oaLJXpUy6+50=";
- includes = [ "Cargo.lock" ];
- })
- ];
-
- cargoHash = "sha256-TzJ56Wuk77qrxDLL17fYEj4i/YhAS6DRmjoqrzb+5AA=";
+ cargoHash = "sha256-W8xFOotHxFlBZhEUDRTJGsbr+GjG3ALynaoMgTxPPmM=";
nativeBuildInputs = [
pkg-config
@@ -47,9 +36,12 @@ rustPlatform.buildRustPackage rec {
darwin.apple_sdk.frameworks.SystemConfiguration
];
+ passthru.updateScript = nix-update-script { };
+
meta = {
description = "Tools for managing digital signs and screens at scale";
homepage = "https://github.com/Screenly/cli";
+ changelog = "https://github.com/Screenly/cli/releases/tag/v${version}";
license = lib.licenses.mit;
mainProgram = "screenly";
maintainers = with lib.maintainers; [ jnsgruk vpetersson ];
diff --git a/pkgs/by-name/sc/screentest/package.nix b/pkgs/by-name/sc/screentest/package.nix
index d64f3300256f..8efba0354e5f 100644
--- a/pkgs/by-name/sc/screentest/package.nix
+++ b/pkgs/by-name/sc/screentest/package.nix
@@ -33,6 +33,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "A simple screen testing tool";
+ mainProgram = "screentest";
homepage = "https://github.com/TobiX/screentest";
changelog = "https://github.com/TobiX/screentest/blob/${finalAttrs.src.rev}/NEWS";
license = licenses.gpl2Only;
diff --git a/pkgs/by-name/sc/scrounge-ntfs/darwin.diff b/pkgs/by-name/sc/scrounge-ntfs/darwin.diff
new file mode 100644
index 000000000000..fb4620198d07
--- /dev/null
+++ b/pkgs/by-name/sc/scrounge-ntfs/darwin.diff
@@ -0,0 +1,42 @@
+diff -ur a/src/compat.h b/src/compat.h
+--- a/src/compat.h 2007-05-27 00:59:43.000000000 +0000
++++ b/src/compat.h 2024-02-17 11:53:01.541895388 +0000
+@@ -27,6 +27,7 @@
+ #ifdef HAVE_CONFIG_H
+ #include "config.h"
+ #endif
++#include
+ #endif
+
+ #include
+@@ -186,6 +187,8 @@
+ void vwarnc(int code, const char *fmt, va_list ap);
+ void warnx(const char *fmt, ...);
+ void vwarnx(const char *fmt, va_list ap);
++#else
++#include
+ #endif
+
+ #ifndef HAVE_REALLOCF
+@@ -323,7 +326,8 @@
+ #ifdef _WIN32
+ #define lseek64 _lseeki64
+ #else
+- #if SIZEOF_OFF_T == 8
++ #if SIZEOF_OFF_T == 8 || defined(__APPLE__)
++ _Static_assert(sizeof(off_t) == 8, "off_t must be 8 bytes");
+ #define lseek64 lseek
+ #else
+ #error ERROR: Must have a working 64 bit seek function
+diff -ur a/src/ntfs.c b/src/ntfs.c
+--- a/src/ntfs.c 2007-05-27 01:00:08.000000000 +0000
++++ b/src/ntfs.c 2024-02-17 11:48:19.402694507 +0000
+@@ -20,7 +20,7 @@
+ #include "usuals.h"
+ #include "ntfs.h"
+
+-#include "malloc.h"
++#include "stdlib.h"
+ #include "string.h"
+
+
diff --git a/pkgs/by-name/sc/scrounge-ntfs/package.nix b/pkgs/by-name/sc/scrounge-ntfs/package.nix
new file mode 100644
index 000000000000..51c0b1860750
--- /dev/null
+++ b/pkgs/by-name/sc/scrounge-ntfs/package.nix
@@ -0,0 +1,27 @@
+{ lib
+, stdenv
+, fetchurl
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "scrounge-ntfs";
+ version = "0.9";
+
+ src = fetchurl {
+ url = "http://thewalter.net/stef/software/scrounge/scrounge-ntfs-${finalAttrs.version}.tar.gz";
+ hash = "sha256-HYrMIMTRPmgAac/vaZ1jaUFchyAl5B0quxgHH0DHJ84=";
+ };
+
+ patches = [
+ ./darwin.diff
+ ];
+
+ meta = with lib; {
+ description = "Data recovery program for NTFS file systems";
+ mainProgram = "scrounge-ntfs";
+ homepage = "http://thewalter.net/stef/software/scrounge/";
+ maintainers = with maintainers; [ d3vil0p3r ];
+ platforms = platforms.unix;
+ license = licenses.bsd3;
+ };
+})
diff --git a/pkgs/by-name/sc/scrutiny-collector/package.nix b/pkgs/by-name/sc/scrutiny-collector/package.nix
new file mode 100644
index 000000000000..984a52b21405
--- /dev/null
+++ b/pkgs/by-name/sc/scrutiny-collector/package.nix
@@ -0,0 +1,55 @@
+{ buildGoModule
+, fetchFromGitHub
+, makeWrapper
+, smartmontools
+, nixosTests
+, lib
+, nix-update-script
+}:
+let
+ version = "0.8.0";
+in
+buildGoModule rec {
+ inherit version;
+ pname = "scrutiny-collector";
+
+ src = fetchFromGitHub {
+ owner = "AnalogJ";
+ repo = "scrutiny";
+ rev = "refs/tags/v${version}";
+ hash = "sha256-ysjE2nn1WwhEiFIvJ5cRCJQf9mECTgiGUyenwf3mKTA=";
+ };
+
+ subPackages = "collector/cmd/collector-metrics";
+
+ vendorHash = "sha256-SiQw6pq0Fyy8Ia39S/Vgp9Mlfog2drtVn43g+GXiQuI=";
+
+ buildInputs = [ makeWrapper ];
+
+ CGO_ENABLED = 0;
+
+ ldflags = [ "-extldflags=-static" ];
+
+ tags = [ "static" ];
+
+ installPhase = ''
+ runHook preInstall
+ mkdir -p $out/bin
+ cp $GOPATH/bin/collector-metrics $out/bin/scrutiny-collector-metrics
+ wrapProgram $out/bin/scrutiny-collector-metrics \
+ --prefix PATH : ${lib.makeBinPath [ smartmontools ]}
+ runHook postInstall
+ '';
+
+ passthru.tests.scrutiny-collector = nixosTests.scrutiny;
+ passthru.updateScript = nix-update-script { };
+
+ meta = {
+ description = "Hard disk metrics collector for Scrutiny.";
+ homepage = "https://github.com/AnalogJ/scrutiny";
+ license = lib.licenses.mit;
+ maintainers = with lib.maintainers; [ jnsgruk ];
+ mainProgram = "scrutiny-collector-metrics";
+ platforms = lib.platforms.linux;
+ };
+}
diff --git a/pkgs/by-name/sc/scrutiny/package.nix b/pkgs/by-name/sc/scrutiny/package.nix
new file mode 100644
index 000000000000..34712b96e431
--- /dev/null
+++ b/pkgs/by-name/sc/scrutiny/package.nix
@@ -0,0 +1,72 @@
+{ buildNpmPackage
+, buildGoModule
+, fetchFromGitHub
+, nixosTests
+, lib
+, nix-update-script
+}:
+let
+ pname = "scrutiny";
+ version = "0.8.0";
+
+ src = fetchFromGitHub {
+ owner = "AnalogJ";
+ repo = "scrutiny";
+ rev = "refs/tags/v${version}";
+ hash = "sha256-ysjE2nn1WwhEiFIvJ5cRCJQf9mECTgiGUyenwf3mKTA=";
+ };
+
+ frontend = buildNpmPackage {
+ inherit version;
+ pname = "${pname}-webapp";
+ src = "${src}/webapp/frontend";
+
+ npmDepsHash = "sha256-M8P41LPg7oJ/C9abDuNM5Mn+OO0zK56CKi2BwLxv8oQ=";
+
+ buildPhase = ''
+ runHook preBuild
+ mkdir dist
+ npm run build:prod --offline -- --output-path=dist
+ runHook postBuild
+ '';
+
+ installPhase = ''
+ runHook preInstall
+ mkdir $out
+ cp -r dist/* $out
+ runHook postInstall
+ '';
+
+ passthru.updatescript = nix-update-script { };
+ };
+in
+buildGoModule rec {
+ inherit pname src version;
+
+ subPackages = "webapp/backend/cmd/scrutiny";
+
+ vendorHash = "sha256-SiQw6pq0Fyy8Ia39S/Vgp9Mlfog2drtVn43g+GXiQuI=";
+
+ CGO_ENABLED = 0;
+
+ ldflags = [ "-extldflags=-static" ];
+
+ tags = [ "static" ];
+
+ postInstall = ''
+ mkdir -p $out/share/scrutiny
+ cp -r ${frontend}/* $out/share/scrutiny
+ '';
+
+ passthru.tests.scrutiny = nixosTests.scrutiny;
+ passthru.updatescript = nix-update-script { };
+
+ meta = {
+ description = "Hard Drive S.M.A.R.T Monitoring, Historical Trends & Real World Failure Thresholds.";
+ homepage = "https://github.com/AnalogJ/scrutiny";
+ license = lib.licenses.mit;
+ maintainers = with lib.maintainers; [ jnsgruk ];
+ mainProgram = "scrutiny";
+ platforms = lib.platforms.linux;
+ };
+}
diff --git a/pkgs/by-name/sd/sdcc/package.nix b/pkgs/by-name/sd/sdcc/package.nix
index eaac606fbdb7..e8c20ac045c3 100644
--- a/pkgs/by-name/sd/sdcc/package.nix
+++ b/pkgs/by-name/sd/sdcc/package.nix
@@ -18,11 +18,11 @@ assert lib.subtractLists [
] excludePorts == [];
stdenv.mkDerivation (finalAttrs: {
pname = "sdcc";
- version = "4.2.0";
+ version = "4.4.0";
src = fetchurl {
url = "mirror://sourceforge/sdcc/sdcc-src-${finalAttrs.version}.tar.bz2";
- hash = "sha256-tJuuHSO81gV6gsT/5WE/nNDLz9HpQOnYTEv+nfCowFM=";
+ hash = "sha256-rowSFl6xdoDf9EsyjYh5mWMGtyQe+jqDsuOy0veQanU=";
};
outputs = [ "out" "doc" "man" ];
diff --git a/pkgs/by-name/se/seabird/package.nix b/pkgs/by-name/se/seabird/package.nix
new file mode 100644
index 000000000000..2727b040674c
--- /dev/null
+++ b/pkgs/by-name/se/seabird/package.nix
@@ -0,0 +1,66 @@
+{ lib
+, buildGo122Module
+, copyDesktopItems
+, fetchFromGitHub
+, pkg-config
+, wrapGAppsHook4
+, gobject-introspection
+, gtk4
+, gtksourceview5
+, libadwaita
+, libxml2
+, vte-gtk4
+}:
+
+buildGo122Module rec {
+ pname = "seabird";
+ version = "0.2.2";
+
+ src = fetchFromGitHub {
+ owner = "getseabird";
+ repo = "seabird";
+ rev = "v${version}";
+ hash = "sha256-wrZLWDTgcUS8snCqc5rInqitAkrsStL8zmc8vjl4ApQ=";
+ };
+
+ vendorHash = "sha256-z9l6g5NkAErRQo8oiqwKG9ssm8K2S+eSZBD0w4kO3kc=";
+
+ nativeBuildInputs = [
+ copyDesktopItems
+ libxml2
+ pkg-config
+ wrapGAppsHook4
+ ];
+
+ buildInputs = [
+ gobject-introspection
+ gtk4
+ gtksourceview5
+ libadwaita
+ vte-gtk4
+ ];
+
+ ldflags = [ "-s" "-w" ];
+
+ postPatch = ''
+ substituteInPlace main.go --replace-fail 'version = "dev"' 'version = "${version}"'
+ '';
+
+ preBuild = ''
+ go generate internal/icon/icon.go
+ '';
+
+ postInstall = ''
+ install -Dm644 internal/icon/seabird.svg $out/share/pixmaps/dev.skynomads.Seabird.svg
+ '';
+
+ desktopItems = [ "dev.skynomads.Seabird.desktop" ];
+
+ meta = with lib; {
+ description = "Native Kubernetes desktop client";
+ homepage = "https://getseabird.github.io";
+ license = licenses.mpl20;
+ maintainers = with maintainers; [ nicolas-goudry ];
+ mainProgram = "seabird";
+ };
+}
diff --git a/pkgs/by-name/se/searxng/package.nix b/pkgs/by-name/se/searxng/package.nix
index 24fd8be88478..2fdf2e8b9284 100644
--- a/pkgs/by-name/se/searxng/package.nix
+++ b/pkgs/by-name/se/searxng/package.nix
@@ -5,13 +5,13 @@
python3.pkgs.toPythonModule (python3.pkgs.buildPythonApplication rec {
pname = "searxng";
- version = "unstable-2023-10-31";
+ version = "0-unstable-2024-03-08";
src = fetchFromGitHub {
- owner = pname;
- repo = pname;
- rev = "b05a15540e1dc2dfb8e4e25aa537b2a68e713844";
- hash = "sha256-x0PyS+A4KjbBnTpca17Wx3BQjtOHvVuWpusPPc1ULnU=";
+ owner = "searxng";
+ repo = "searxng";
+ rev = "9c08a0cdddae7ceafbe5e00ce94cf7f1d36c97e0";
+ hash = "sha256-0qlOpJqpOmseIeIafd0NLd2lF5whu18QxmwOua8dKzg=";
};
postPatch = ''
@@ -20,7 +20,7 @@ python3.pkgs.toPythonModule (python3.pkgs.buildPythonApplication rec {
preBuild =
let
- versionString = lib.concatStringsSep "." (builtins.tail (lib.splitString "-" version));
+ versionString = lib.concatStringsSep "." (builtins.tail (lib.splitString "-" (lib.removePrefix "0-" version)));
commitAbbrev = builtins.substring 0 8 src.rev;
in
''
@@ -66,13 +66,14 @@ python3.pkgs.toPythonModule (python3.pkgs.buildPythonApplication rec {
ln -s ../${python3.sitePackages}/searx/static $out/share/
# copy config schema for the limiter
- cp searx/botdetection/limiter.toml $out/${python3.sitePackages}/searx/botdetection/limiter.toml
+ cp searx/limiter.toml $out/${python3.sitePackages}/searx/limiter.toml
'';
meta = with lib; {
homepage = "https://github.com/searxng/searxng";
description = "A fork of Searx, a privacy-respecting, hackable metasearch engine";
license = licenses.agpl3Plus;
+ mainProgram = "searxng-run";
maintainers = with maintainers; [ SuperSandro2000 _999eagle ];
};
})
diff --git a/pkgs/by-name/se/seclists/package.nix b/pkgs/by-name/se/seclists/package.nix
index 51017d7373f1..8bb86ce2e614 100644
--- a/pkgs/by-name/se/seclists/package.nix
+++ b/pkgs/by-name/se/seclists/package.nix
@@ -5,13 +5,13 @@
stdenvNoCC.mkDerivation {
pname = "seclists";
- version = "2023.4";
+ version = "2024.1";
src = fetchFromGitHub {
owner = "danielmiessler";
repo = "SecLists";
- rev = "2023.4";
- hash = "sha256-AX2n+kpXJGYEI88iJKHHcR7NFf0YCvu4FakEPkiwXTo=";
+ rev = "2024.1";
+ hash = "sha256-0wK/8cJC9FSFrQUPhRy1/uwQONx2dR3U0XOdnMpxBuA=";
};
installPhase = ''
diff --git a/pkgs/by-name/se/segger-jlink/package.nix b/pkgs/by-name/se/segger-jlink/package.nix
index cfec869a04ca..074f687f35f0 100755
--- a/pkgs/by-name/se/segger-jlink/package.nix
+++ b/pkgs/by-name/se/segger-jlink/package.nix
@@ -15,25 +15,25 @@ let
supported = {
x86_64-linux = {
name = "x86_64";
- hash = "sha256-WGEDvB6TJ8Y2Xl1VUB1JWVMK54OevvPoVGris3I27t4=";
+ hash = "sha256-CELUteYzy0oMxDonOot+DR5MgGjSRwLgRCbJRAaS/EY=";
};
i686-linux = {
name = "i386";
- hash = "sha256-BOQ4yExDRGKuUvsPUUswElrps0SpXcDCHxy2tmGbV/I=";
+ hash = "sha256-lw3gqgCjmASkelj5lPDnltRJ1Cb+318QjrbirQ6oRFI=";
};
aarch64-linux = {
name = "arm64";
- hash = "sha256-ZWzaWCUgV4x5Fbz+jphj771kIyLyeoRZKjgf8rmbFxQ=";
+ hash = "sha256-yq/L9k+22OWhwnAROJlsyYd/AH5SHJD231y6xd83N6g=";
};
armv7l-linux = {
name = "arm";
- hash = "sha256-Qjb5P1XH/CoiLP9iqWyEX0YHUjDIuSdw5ej1bE61T48=";
+ hash = "sha256-FAnzZzz3tgSxgX5n3CUrCbD5lfub91cDkjdD/lVaf0g=";
};
};
platform = supported.${stdenv.system} or (throw "unsupported platform ${stdenv.system}");
- version = "794a";
+ version = "794l";
url = "https://www.segger.com/downloads/jlink/JLink_Linux_V${version}_${platform.name}.tgz";
diff --git a/pkgs/by-name/se/selenium-manager/package.nix b/pkgs/by-name/se/selenium-manager/package.nix
new file mode 100644
index 000000000000..d3703ba66993
--- /dev/null
+++ b/pkgs/by-name/se/selenium-manager/package.nix
@@ -0,0 +1,40 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, rustPlatform
+, darwin
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "selenium-manager";
+ version = "4.18.1";
+
+ src = fetchFromGitHub {
+ owner = "SeleniumHQ";
+ repo = "selenium";
+ rev = "selenium-${version}";
+ hash = "sha256-1C9Epsk9rFlShxHGGzbWl6smrMzPn2h3yCWlzUIMpY8=";
+ };
+
+ sourceRoot = "${src.name}/rust";
+
+ cargoHash = "sha256-BystESOFIitw3ER2K1TPOf5luOBvKXFuqc/unL93yRY=";
+
+ buildInputs = lib.optionals stdenv.isDarwin [
+ darwin.apple_sdk.frameworks.SystemConfiguration
+ ];
+
+ # TODO: enable tests
+ # The test suite depends on a number of browsers and network requests,
+ # check the Gentoo package for inspiration
+ doCheck = false;
+
+ meta = with lib; {
+ description = "A browser automation framework and ecosystem";
+ homepage = "https://github.com/SeleniumHQ/selenium";
+ license = licenses.asl20;
+ maintainers = with maintainers; [ ];
+ mainProgram = "selenium-manager";
+ platforms = platforms.all;
+ };
+}
diff --git a/pkgs/tools/security/sequoia-sq/default.nix b/pkgs/by-name/se/sequoia-sq/package.nix
similarity index 58%
rename from pkgs/tools/security/sequoia-sq/default.nix
rename to pkgs/by-name/se/sequoia-sq/package.nix
index 699a8ee63632..54e19ba073fa 100644
--- a/pkgs/tools/security/sequoia-sq/default.nix
+++ b/pkgs/by-name/se/sequoia-sq/package.nix
@@ -1,31 +1,44 @@
{ stdenv
, fetchFromGitLab
+, fetchpatch
, lib
, darwin
, nettle
, nix-update-script
, rustPlatform
, pkg-config
+, capnproto
+, installShellFiles
, openssl
, sqlite
}:
rustPlatform.buildRustPackage rec {
pname = "sequoia-sq";
- version = "0.32.0";
+ version = "0.34.0";
src = fetchFromGitLab {
owner = "sequoia-pgp";
repo = "sequoia-sq";
rev = "v${version}";
- hash = "sha256-2a6LIW5ohSi7fbMwk/wmNJ0AOz5JIXiXJI7EoVKv1Sk=";
+ hash = "sha256-voFektWZnkmIQzI7s5nKzVVWQtEhzk2GKtxX926RtxU=";
};
+ patches = [
+ # Fixes test failing on Darwin, see:
+ # https://gitlab.com/sequoia-pgp/sequoia-sq/-/issues/211
+ (fetchpatch {
+ url = "https://gitlab.com/sequoia-pgp/sequoia-sq/-/commit/21221a935e0d058ed269ae6c8f45c5fa7ea0d598.patch";
+ hash = "sha256-ZjTl3EumeFwMJUl+qMpX+P2maYz4Ow/Tn9KwYbHDbes=";
+ })
+ ];
- cargoHash = "sha256-beA0viJVDjfANsPegkc/x2syVp8uGKTMnrPcM7jcvG4=";
+ cargoHash = "sha256-3ncBpRi0v6g6wwPkSASDwt0d8cOOAUv9BwZaYvnif1U=";
nativeBuildInputs = [
pkg-config
rustPlatform.bindgenHook
+ capnproto
+ installShellFiles
];
buildInputs = [
@@ -41,14 +54,19 @@ rustPlatform.buildRustPackage rec {
"--skip=macros::time_it"
];
- # Install manual pages, see https://gitlab.com/sequoia-pgp/sequoia-sq#building
- postInstall = ''
- mkdir -p $out/share/man
- SQ_MAN=$out/share/man/man1 cargo run
- '';
+ env.ASSET_OUT_DIR = "/tmp/";
doCheck = true;
+ postInstall = ''
+ installManPage /tmp/man-pages/*.*
+ installShellCompletion \
+ --cmd sq \
+ --bash /tmp/shell-completions/sq.bash \
+ --fish /tmp/shell-completions/sq.fish \
+ --zsh /tmp/shell-completions/_sq
+ '';
+
passthru.updateScript = nix-update-script { };
meta = with lib; {
diff --git a/pkgs/by-name/se/sesh/package.nix b/pkgs/by-name/se/sesh/package.nix
new file mode 100644
index 000000000000..ccfc53274e2c
--- /dev/null
+++ b/pkgs/by-name/se/sesh/package.nix
@@ -0,0 +1,29 @@
+{
+ lib,
+ fetchFromGitHub,
+ buildGoModule,
+}:
+buildGoModule rec {
+ pname = "sesh";
+ version = "0.15.0";
+
+ src = fetchFromGitHub {
+ owner = "joshmedeski";
+ repo = "sesh";
+ rev = "v${version}";
+ hash = "sha256-vV1b0YhDBt/dJJCrxvVV/FIuOIleTg4mI496n4/Y/Hk=";
+ };
+
+ vendorHash = "sha256-zt1/gE4bVj+3yr9n0kT2FMYMEmiooy3k1lQ77rN6sTk=";
+
+ ldflags = [ "-s" "-w" ];
+
+ meta = {
+ description = "Smart session manager for the terminal";
+ homepage = "https://github.com/joshmedeski/sesh";
+ changelog = "https://github.com/joshmedeski/sesh/releases/tag/${src.rev}";
+ license = lib.licenses.mit;
+ maintainers = with lib.maintainers; [ gwg313 ];
+ mainProgram = "sesh";
+ };
+}
diff --git a/pkgs/by-name/sh/shittier/package.nix b/pkgs/by-name/sh/shittier/package.nix
new file mode 100644
index 000000000000..2ba1bd3d0f94
--- /dev/null
+++ b/pkgs/by-name/sh/shittier/package.nix
@@ -0,0 +1,29 @@
+{ lib
+, buildNpmPackage
+, fetchFromGitHub
+}:
+
+buildNpmPackage rec {
+ pname = "shittier";
+ # No tagged release on GitHub yet
+ # Commit corresponds to release tagged as 0.1.1 on [npm](https://www.npmjs.com/package/shittier)
+ # See issue https://github.com/rohitdhas/shittier/issues/7
+ version = "0-unstable-2023-12-22";
+
+ src = fetchFromGitHub {
+ owner = "rohitdhas";
+ repo = "shittier";
+ rev = "c61b443c06dbaa8085a88b16360941cc4ba6baa2";
+ hash = "sha256-qdG1PdIZGWoJI7KgJqM/fayubPbPk+od/SgKfZQADz8=";
+ };
+
+ npmDepsHash = "sha256-oC9eOpoMZLZbyx9XnC4m5zzqORQWP62uRDNVZjyVnBs=";
+
+ meta = {
+ description = "Unconventional code formatting tool for JavaScript";
+ mainProgram = "shittier";
+ homepage = "https://github.com/rohitdhas/shittier";
+ license = lib.licenses.mit;
+ maintainers = with lib.maintainers; [ totoroot ];
+ };
+}
diff --git a/pkgs/by-name/sh/shopware-cli/package.nix b/pkgs/by-name/sh/shopware-cli/package.nix
index 024b50387057..8504a28a22a9 100644
--- a/pkgs/by-name/sh/shopware-cli/package.nix
+++ b/pkgs/by-name/sh/shopware-cli/package.nix
@@ -9,18 +9,18 @@
buildGoModule rec {
pname = "shopware-cli";
- version = "0.4.23";
+ version = "0.4.29";
src = fetchFromGitHub {
repo = "shopware-cli";
owner = "FriendsOfShopware";
rev = version;
- hash = "sha256-miuZsrIPvdYdEu9qc/qRxcNxfPLxCHxokywhLgplehY=";
+ hash = "sha256-gAn/AkubIwcNBrqBWggVXEmqXuXxjt1xZop0dQ291pA=";
};
nativeBuildInputs = [ installShellFiles makeWrapper ];
nativeCheckInputs = [ git dart-sass ];
- vendorHash = "sha256-JgeyIj4YfnHZm2u+Gy3taX+WoFwe3jfqkVOO63adzgU=";
+ vendorHash = "sha256-S7M7B4jtAe1jD6W5q2UewgwG++ecE46Rrp2Qt6kCDeQ=";
postInstall = ''
export HOME="$(mktemp -d)"
@@ -43,6 +43,7 @@ buildGoModule rec {
meta = with lib; {
description = "Command line tool for Shopware 6";
+ mainProgram = "shopware-cli";
homepage = "https://github.com/FriendsOfShopware/shopware-cli";
changelog = "https://github.com/FriendsOfShopware/shopware-cli/releases/tag/${version}";
license = licenses.mit;
diff --git a/pkgs/by-name/si/signal-export/package.nix b/pkgs/by-name/si/signal-export/package.nix
index 1ec9762d62bc..3f9f9fa54ead 100644
--- a/pkgs/by-name/si/signal-export/package.nix
+++ b/pkgs/by-name/si/signal-export/package.nix
@@ -6,12 +6,12 @@
python3.pkgs.buildPythonApplication rec {
pname = "signal-export";
- version = "1.8.1";
+ version = "1.8.2";
pyproject = true;
src = fetchPypi {
inherit pname version;
- sha256 = "sha256-v4civFGu+CLRTGicQAMSei+k6Iyz0GAznTLEr7ylx24=";
+ sha256 = "sha256-Hm0BVF2RUsxDacsAB3MJtk1t9FYmBPjeb5JzwaLkZ14=";
};
nativeBuildInputs = with python3.pkgs; [
diff --git a/pkgs/by-name/si/signaturepdf/package.nix b/pkgs/by-name/si/signaturepdf/package.nix
index 34eaab9213ed..dfac73d89950 100644
--- a/pkgs/by-name/si/signaturepdf/package.nix
+++ b/pkgs/by-name/si/signaturepdf/package.nix
@@ -48,10 +48,11 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Web software for signing PDFs and also organize pages, edit metadata and compress pdf";
+ mainProgram = "signaturepdf";
homepage = "https://pdf.24eme.fr/";
changelog =
"https://github.com/24eme/signaturepdf/releases/tag/v${version}";
- license = licenses.agpl3;
+ license = licenses.agpl3Only;
platforms = platforms.all;
maintainers = with maintainers; [ DamienCassou ];
};
diff --git a/pkgs/by-name/si/simdutf/package.nix b/pkgs/by-name/si/simdutf/package.nix
index 615f6af85da4..6c447b2d6b1f 100644
--- a/pkgs/by-name/si/simdutf/package.nix
+++ b/pkgs/by-name/si/simdutf/package.nix
@@ -7,13 +7,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "simdutf";
- version = "4.0.9";
+ version = "5.0.0";
src = fetchFromGitHub {
owner = "simdutf";
repo = "simdutf";
rev = "v${finalAttrs.version}";
- hash = "sha256-LRMnjiO/J+DaSIBksrudjnkoEZtVG/RmW9S6w1gAB60=";
+ hash = "sha256-ZCpLSMmgZSLAlVKzXFsaENnZwQAeKbNfKkj241PM26c=";
};
# Fix build on darwin
diff --git a/pkgs/by-name/si/simplex-chat-desktop/package.nix b/pkgs/by-name/si/simplex-chat-desktop/package.nix
index 2fb556080961..1868e2669cdb 100644
--- a/pkgs/by-name/si/simplex-chat-desktop/package.nix
+++ b/pkgs/by-name/si/simplex-chat-desktop/package.nix
@@ -6,11 +6,11 @@
let
pname = "simplex-chat-desktop";
- version = "5.4.4";
+ version = "5.5.5";
src = fetchurl {
url = "https://github.com/simplex-chat/simplex-chat/releases/download/v${version}/simplex-desktop-x86_64.AppImage";
- hash = "sha256-f4P31e099bKBKsavP5f+xBGsqQfM6CYgUtUIOLe+cAE=";
+ hash = "sha256-MD1AbpHlpaMaPlpJmGp0oPbOYPmJEhhIXmexkpCr1wY=";
};
appimageContents = appimageTools.extract {
@@ -38,6 +38,7 @@ in appimageTools.wrapType2 {
meta = with lib; {
description = "Desktop application for SimpleX Chat";
+ mainProgram = "simplex-chat-desktop";
homepage = "https://simplex.chat";
changelog = "https://github.com/simplex-chat/simplex-chat/releases/tag/v${version}";
license = licenses.agpl3Only;
diff --git a/pkgs/by-name/si/sink-rotate/package.nix b/pkgs/by-name/si/sink-rotate/package.nix
new file mode 100644
index 000000000000..8d0c8afe6e9d
--- /dev/null
+++ b/pkgs/by-name/si/sink-rotate/package.nix
@@ -0,0 +1,41 @@
+{ lib
+, rustPlatform
+, fetchFromGitHub
+, pipewire
+, wireplumber
+, makeWrapper
+}:
+let
+ version = "1.0.4";
+in
+rustPlatform.buildRustPackage {
+ pname = "sink-rotate";
+ inherit version;
+
+ src = fetchFromGitHub {
+ owner = "mightyiam";
+ repo = "sink-rotate";
+ rev = "v${version}";
+ hash = "sha256-q20uUr+7yLJlZc5YgEkY125YrZ2cuJrPv5IgWXaYRlo=";
+ };
+
+ cargoHash = "sha256-MPeyPTkxpi6iw/BT5m4S7jVBD0c2zG2rsv+UZWQxpUU=";
+
+ buildInputs = [ makeWrapper ];
+
+ postFixup = ''
+ wrapProgram $out/bin/sink-rotate \
+ --prefix PATH : ${pipewire}/bin/pw-dump \
+ --prefix PATH : ${wireplumber}/bin/wpctl
+ '';
+
+ meta = with lib; {
+ description = "Command that rotates default between two PipeWire audio sinks.";
+ homepage = "https://github.com/mightyiam/sink-rotate";
+ license = licenses.mit;
+ maintainers = with maintainers; [ mightyiam ];
+ mainProgram = "sink-rotate";
+ platforms = platforms.linux;
+ };
+}
+
diff --git a/pkgs/servers/slimserver/default.nix b/pkgs/by-name/sl/slimserver/package.nix
similarity index 86%
rename from pkgs/servers/slimserver/default.nix
rename to pkgs/by-name/sl/slimserver/package.nix
index 1703c03dee26..94b13c99e9dc 100644
--- a/pkgs/servers/slimserver/default.nix
+++ b/pkgs/by-name/sl/slimserver/package.nix
@@ -6,7 +6,7 @@
, makeWrapper
, monkeysAudio
, nixosTests
-, perl538Packages
+, perlPackages
, sox
, stdenv
, wavpack
@@ -15,20 +15,18 @@
}:
let
- perlPackages = perl538Packages;
-
binPath = lib.makeBinPath ([ lame flac faad2 sox wavpack ] ++ (lib.optional stdenv.isLinux monkeysAudio));
libPath = lib.makeLibraryPath [ zlib stdenv.cc.cc.lib ];
in
perlPackages.buildPerlPackage rec {
pname = "slimserver";
- version = "8.4.0";
+ version = "8.5.0";
src = fetchFromGitHub {
- owner = "Logitech";
+ owner = "LMS-Community";
repo = "slimserver";
rev = version;
- hash = "sha256-92mKchgAWRIrNOeK/zXUYRqIAk6THdtz1zQe3fg2kE0=";
+ hash = "sha256-yDJVqZ0+qVm4r/wmQK/hf9uRJaN56WQMO28RE59mNNI=";
};
nativeBuildInputs = [ makeWrapper ];
@@ -58,7 +56,7 @@ perlPackages.buildPerlPackage rec {
DataURIEncode
DBDSQLite
DBI
- # DBIxClass # https://github.com/Logitech/slimserver/issues/138
+ # DBIxClass # https://github.com/LMS-Community/slimserver/issues/138
DigestSHA1
EncodeDetect
EV
@@ -144,16 +142,20 @@ perlPackages.buildPerlPackage rec {
outputs = [ "out" ];
- passthru.tests = {
- inherit (nixosTests) slimserver;
+ passthru = {
+ tests = {
+ inherit (nixosTests) slimserver;
+ };
+
+ updateScript = ./update.nu;
};
meta = with lib; {
- homepage = "https://github.com/Logitech/slimserver";
- changelog = "https://github.com/Logitech/slimserver/blob/${version}/Changelog${lib.versions.major version}.html";
+ homepage = "https://github.com/LMS-Community/slimserver";
+ changelog = "https://github.com/LMS-Community/slimserver/blob/${version}/Changelog${lib.versions.major version}.html";
description = "Server for Logitech Squeezebox players. This server is also called Logitech Media Server";
# the firmware is not under a free license, so we do not include firmware in the default package
- # https://github.com/Logitech/slimserver/blob/public/8.3/License.txt
+ # https://github.com/LMS-Community/slimserver/blob/public/8.3/License.txt
license = if enableUnfreeFirmware then licenses.unfree else licenses.gpl2Only;
mainProgram = "slimserver";
maintainers = with maintainers; [ adamcstephens jecaro ];
diff --git a/pkgs/by-name/sl/slimserver/update.nu b/pkgs/by-name/sl/slimserver/update.nu
new file mode 100755
index 000000000000..556ed236bb68
--- /dev/null
+++ b/pkgs/by-name/sl/slimserver/update.nu
@@ -0,0 +1,14 @@
+#!/usr/bin/env nix-shell
+#!nix-shell -i nu -p nushell common-updater-scripts
+
+# get latest tag, but drop versions 10.0 tags since they are 10+ years old
+let latest_tag = list-git-tags --url=https://github.com/LMS-Community/slimserver | lines | find --invert 10.0 | sort --natural | last
+
+let current_version = nix eval --raw -f default.nix slimserver | str trim
+
+if $latest_tag != $current_version {
+ update-source-version slimserver $latest_tag $"--file=(pwd)/pkgs/by-name/sl/slimserver/package.nix"
+ {before: $current_version, after: $latest_tag}
+} else {
+ "No new version"
+}
diff --git a/pkgs/by-name/sm/smag/package.nix b/pkgs/by-name/sm/smag/package.nix
new file mode 100644
index 000000000000..b56d3aa2678d
--- /dev/null
+++ b/pkgs/by-name/sm/smag/package.nix
@@ -0,0 +1,28 @@
+{ lib, fetchFromGitHub, rustPlatform }:
+
+rustPlatform.buildRustPackage rec {
+ pname = "smag";
+ version = "0.7.0";
+
+ src = fetchFromGitHub {
+ owner = "aantn";
+ repo = pname;
+ rev = "v${version}";
+ hash = "sha256-PdrK4kblXju23suMe3nYFT1KEbyQu4fwP/XTb2kV1fs=";
+ };
+
+ cargoHash = "sha256-SX6tOodmB0usM0laOt8mjIINPYbzHI4gyUhsR21Oqrw=";
+
+ meta = with lib; {
+ description = "Easily create graphs from cli commands and view them in the terminal";
+ longDescription = ''
+ Easily create graphs from cli commands and view them in the terminal.
+ Like the watch command but with a graph of the output.
+ '';
+ homepage = "https://github.com/aantn/smag";
+ license = licenses.mit;
+ changelog = "https://github.com/aantn/smag/releases/tag/v${version}";
+ mainProgram = "smag";
+ maintainers = with maintainers; [ zebreus ];
+ };
+}
diff --git a/pkgs/applications/version-management/smartgithg/default.nix b/pkgs/by-name/sm/smartgithg/package.nix
similarity index 95%
rename from pkgs/applications/version-management/smartgithg/default.nix
rename to pkgs/by-name/sm/smartgithg/package.nix
index 693505b20305..4693511951a1 100644
--- a/pkgs/applications/version-management/smartgithg/default.nix
+++ b/pkgs/by-name/sm/smartgithg/package.nix
@@ -2,7 +2,7 @@
, stdenv
, fetchurl
, makeDesktopItem
-, openjdk19
+, openjdk21
, gtk3
, glib
, gnome
@@ -11,15 +11,15 @@
, which
}:
let
- jre = openjdk19;
+ jre = openjdk21;
in
stdenv.mkDerivation rec {
pname = "smartgithg";
- version = "23.1.1";
+ version = "23.1.2";
src = fetchurl {
url = "https://www.syntevo.com/downloads/smartgit/smartgit-linux-${builtins.replaceStrings [ "." ] [ "_" ] version}.tar.gz";
- sha256 = "sha256-LXB+OymApJjL4bgOZ8Vfd193jZHVbQ6G2zmrHNE/OJk=";
+ hash = "sha256-gXfHmRPUhs8s7IQIhN0vQyx8NpLrS28ufNNYOMA4AXw=";
};
nativeBuildInputs = [ wrapGAppsHook ];
diff --git a/pkgs/by-name/sm/smlfut/package.nix b/pkgs/by-name/sm/smlfut/package.nix
index b3d60ce133d1..7caafc4db345 100644
--- a/pkgs/by-name/sm/smlfut/package.nix
+++ b/pkgs/by-name/sm/smlfut/package.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "smlfut";
- version = "1.2.0";
+ version = "1.3.0";
src = fetchFromGitHub {
owner = "diku-dk";
repo = "smlfut";
rev = "v${version}";
- hash = "sha256-bPqvHExAoOCd6Z2/rfKd6kHeYxu/jNDz5qTklqJtlzI=";
+ hash = "sha256-Oj5+UNtV2GKB2GNsSFKePVpa1msSZwwJI/YElwBSH98=";
};
enableParallelBuilding = true;
diff --git a/pkgs/by-name/sm/smuview/package.nix b/pkgs/by-name/sm/smuview/package.nix
index 7c67e012cd14..e2825cdb783f 100644
--- a/pkgs/by-name/sm/smuview/package.nix
+++ b/pkgs/by-name/sm/smuview/package.nix
@@ -49,6 +49,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A Qt based source measure unit GUI for sigrok";
+ mainProgram = "smuview";
longDescription = "SmuView is a GUI for sigrok that supports power supplies, electronic loads and all sorts of measurement devices like multimeters, LCR meters and so on";
homepage = "https://github.com/knarfS/smuview";
license = licenses.gpl3Plus;
diff --git a/pkgs/tools/security/sn0int/default.nix b/pkgs/by-name/sn/sn0int/package.nix
similarity index 80%
rename from pkgs/tools/security/sn0int/default.nix
rename to pkgs/by-name/sn/sn0int/package.nix
index 66f89f77e8ea..2410fa0cc230 100644
--- a/pkgs/tools/security/sn0int/default.nix
+++ b/pkgs/by-name/sn/sn0int/package.nix
@@ -7,6 +7,7 @@
, pkgs
, sqlite
, stdenv
+, installShellFiles
}:
rustPlatform.buildRustPackage rec {
@@ -24,6 +25,7 @@ rustPlatform.buildRustPackage rec {
nativeBuildInputs = [
pkg-config
+ installShellFiles
];
buildInputs = [
@@ -39,6 +41,13 @@ rustPlatform.buildRustPackage rec {
# in "checkPhase", hence fails in sandbox of "nix".
doCheck = false;
+ postInstall = ''
+ installShellCompletion --cmd sn0int \
+ --bash <($out/bin/sn0int completions bash) \
+ --fish <($out/bin/sn0int completions fish) \
+ --zsh <($out/bin/sn0int completions zsh)
+ '';
+
meta = with lib; {
description = "Semi-automatic OSINT framework and package manager";
homepage = "https://github.com/kpcyrd/sn0int";
@@ -46,5 +55,6 @@ rustPlatform.buildRustPackage rec {
license = with licenses; [ gpl3Plus ];
maintainers = with maintainers; [ fab xrelkd ];
platforms = platforms.linux ++ platforms.darwin;
+ mainProgram = "sn0int";
};
}
diff --git a/pkgs/by-name/sn/snekim/package.nix b/pkgs/by-name/sn/snekim/package.nix
index e041c1f0e314..d5e80a3c75fe 100644
--- a/pkgs/by-name/sn/snekim/package.nix
+++ b/pkgs/by-name/sn/snekim/package.nix
@@ -25,6 +25,7 @@ buildNimPackage (finalAttrs: {
meta = {
homepage = "https://codeberg.org/annaaurora/snekim";
description = "A simple implementation of the classic snake game";
+ mainProgram = "snekim";
license = lib.licenses.lgpl3Only;
maintainers = [ lib.maintainers.annaaurora ];
};
diff --git a/pkgs/servers/web-apps/snipe-it/default.nix b/pkgs/by-name/sn/snipe-it/package.nix
similarity index 92%
rename from pkgs/servers/web-apps/snipe-it/default.nix
rename to pkgs/by-name/sn/snipe-it/package.nix
index 33fedd334a9e..b8a9639b1a8d 100644
--- a/pkgs/servers/web-apps/snipe-it/default.nix
+++ b/pkgs/by-name/sn/snipe-it/package.nix
@@ -8,16 +8,16 @@
php.buildComposerProject (finalAttrs: {
pname = "snipe-it";
- version = "6.2.2";
+ version = "6.3.3";
src = fetchFromGitHub {
owner = "snipe";
repo = "snipe-it";
rev = "v${finalAttrs.version}";
- hash = "sha256-EU+teGxo7YZkD7kNXk9jRyARpzWz5OMRmaWqQ6eMKYY=";
+ hash = "sha256-ePE55mK8woopNuRXox51I0sJGBmjF6XDfjE+k+ncoJ0=";
};
- vendorHash = "sha256-JcBcrETbjGJFlG1dH/XXqmb9MlKr0ICdnEx7/61Z5io=";
+ vendorHash = "sha256-wO+hKttiI7T7C+4oSl8G0I4pQEfZpXjYspUhoaaLrAQ=";
postInstall = ''
snipe_it_out="$out/share/php/snipe-it"
diff --git a/pkgs/by-name/sn/snippetexpanderx/package.nix b/pkgs/by-name/sn/snippetexpanderx/package.nix
index 3c91adbbbc0c..bb7ad94f51c9 100644
--- a/pkgs/by-name/sn/snippetexpanderx/package.nix
+++ b/pkgs/by-name/sn/snippetexpanderx/package.nix
@@ -21,7 +21,7 @@ stdenv.mkDerivation rec {
pname = "snippetexpanderx";
- sourceRoot = "source/cmd/snippetexpanderx";
+ sourceRoot = "${src.name}/cmd/snippetexpanderx";
nativeBuildInputs = [
pkg-config
diff --git a/pkgs/by-name/so/sophus/package.nix b/pkgs/by-name/so/sophus/package.nix
new file mode 100644
index 000000000000..e748e864d0ce
--- /dev/null
+++ b/pkgs/by-name/so/sophus/package.nix
@@ -0,0 +1,41 @@
+{ lib
+, stdenv
+, eigen
+, fmt
+, fetchFromGitHub
+, cmake
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "sophus";
+ version = "1.22.10";
+
+ src = fetchFromGitHub {
+ owner = "strasdat";
+ repo = "Sophus";
+ rev = finalAttrs.version;
+ hash = "sha256-TNuUoL9r1s+kGE4tCOGFGTDv1sLaHJDUKa6c9x41Z7w=";
+ };
+
+ nativeBuildInputs = [
+ cmake
+ ];
+
+ buildInputs = [
+ eigen
+ fmt
+ ];
+
+ cmakeFlags = [
+ (lib.cmakeBool "BUILD_SOPHUS_TESTS" false)
+ (lib.cmakeBool "BUILD_SOPHUS_EXAMPLES" false)
+ ];
+
+ meta = {
+ description = "C++ implementation of Lie Groups using Eigen";
+ homepage = "https://github.com/strasdat/Sophus";
+ license = lib.licenses.mit;
+ maintainers = with lib.maintainers; [ locochoco acowley ];
+ platforms = lib.platforms.all;
+ };
+})
diff --git a/pkgs/by-name/so/sopwith/package.nix b/pkgs/by-name/so/sopwith/package.nix
new file mode 100644
index 000000000000..b881454fff78
--- /dev/null
+++ b/pkgs/by-name/so/sopwith/package.nix
@@ -0,0 +1,41 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, glib
+, SDL2
+, libGL
+, pkg-config
+, autoreconfHook
+}:
+
+stdenv.mkDerivation rec {
+ pname = "sopwith";
+ version = "2.4.0";
+
+ src = fetchFromGitHub {
+ owner = "fragglet";
+ repo = "sdl-sopwith";
+ rev = "refs/tags/sdl-sopwith-${version}";
+ hash = "sha256-7/xTg41NYxzeGNyt/ClbM/uHMTAE87wn6vc9Ai6P+30=";
+ };
+
+ nativeBuildInputs = [
+ autoreconfHook
+ pkg-config
+ ];
+
+ buildInputs = [
+ glib
+ SDL2
+ libGL
+ ];
+
+ meta = with lib; {
+ homepage = "https://github.com/fragglet/sdl-sopwith";
+ description = "Classic biplane shoot ‘em-up game.";
+ license = licenses.gpl2Plus;
+ mainProgram = "sopwith";
+ maintainers = with maintainers; [ evilbulgarian ];
+ platforms = platforms.unix;
+ };
+}
diff --git a/pkgs/tools/typesetting/soupault/default.nix b/pkgs/by-name/so/soupault/package.nix
similarity index 74%
rename from pkgs/tools/typesetting/soupault/default.nix
rename to pkgs/by-name/so/soupault/package.nix
index 82ea1e6f5979..639af4136729 100644
--- a/pkgs/tools/typesetting/soupault/default.nix
+++ b/pkgs/by-name/so/soupault/package.nix
@@ -1,5 +1,5 @@
{ lib
-, fetchFromGitea
+, fetchzip
, ocamlPackages
, soupault
, testers
@@ -8,19 +8,19 @@
let
pname = "soupault";
- version = "4.8.0";
+ version = "4.9.0";
in
ocamlPackages.buildDunePackage {
inherit pname version;
minimalOCamlVersion = "4.13";
- src = fetchFromGitea {
- domain = "codeberg.org";
- owner = "PataphysicalSociety";
- repo = pname;
- rev = version;
- hash = "sha256-/QpT0zgrfMgRKjHyLHugaAlICpPkqaQ7f8fFAL0P02Y=";
+ src = fetchzip {
+ urls = [
+ "https://github.com/PataphysicalSociety/soupault/archive/${version}.tar.gz"
+ "https://codeberg.org/PataphysicalSociety/soupault/archive/${version}.tar.gz"
+ ];
+ hash = "sha256-vGTJUbAeYs/EYFykNSmCc4c9G66/Lz3BsUYnZQ8feFo=";
};
buildInputs = with ocamlPackages; [
diff --git a/pkgs/by-name/sp/spacedrive/package.nix b/pkgs/by-name/sp/spacedrive/package.nix
index bf281e372709..f6b9372709e9 100644
--- a/pkgs/by-name/sp/spacedrive/package.nix
+++ b/pkgs/by-name/sp/spacedrive/package.nix
@@ -9,20 +9,20 @@
let
pname = "spacedrive";
- version = "0.1.4";
+ version = "0.2.4";
src = fetchurl {
aarch64-darwin = {
url = "https://github.com/spacedriveapp/spacedrive/releases/download/${version}/Spacedrive-darwin-aarch64.dmg";
- hash = "sha256-gKboB5W0vW6ssZHRRivqbVPE0d0FCUdiNCsP0rKKtNo=";
+ hash = "sha256-rVRmlhsvvFFRr3ghX0cvfcJO3WlbaNNBo+r4I556YEg=";
};
x86_64-darwin = {
url = "https://github.com/spacedriveapp/spacedrive/releases/download/${version}/Spacedrive-darwin-x86_64.dmg";
- hash = "sha256-KD1hw6aDyqCsXLYM8WrOTI2AfFx7t++UWV7SaCmtypI=";
+ hash = "sha256-etRAcGC5S0GwVrBWICfB5ef83xcp/35K0/QndKmPUSE=";
};
x86_64-linux = {
url = "https://github.com/spacedriveapp/spacedrive/releases/download/${version}/Spacedrive-linux-x86_64.AppImage";
- hash = "sha256-iBdW8iPuvztP0L5xLyVs7/K8yFe7kD7QwdTuKJLhB+c=";
+ hash = "sha256-D8etNXrDVLHa1wg+7Xu9yXUvhlAXxMVBM3GpOerFsu0=";
};
}.${stdenv.system} or (throw "${pname}-${version}: ${stdenv.system} is unsupported.");
@@ -33,7 +33,7 @@ let
platforms = [ "aarch64-darwin" "x86_64-darwin" "x86_64-linux" ];
license = lib.licenses.agpl3Plus;
sourceProvenance = with lib.sourceTypes; [ binaryNativeCode ];
- maintainers = with lib.maintainers; [ heisfer mikaelfangel stepbrobd ];
+ maintainers = with lib.maintainers; [ DataHearth heisfer mikaelfangel stepbrobd ];
mainProgram = "spacedrive";
};
@@ -66,12 +66,12 @@ else appimageTools.wrapType2 {
in
''
# Remove version from entrypoint
- mv $out/bin/spacedrive-"${version}" $out/bin/spacedrive
+ mv $out/bin/spacedrive-${version} $out/bin/spacedrive
# Install .desktop files
- install -Dm444 ${appimageContents}/spacedrive.desktop -t $out/share/applications
+ install -Dm444 ${appimageContents}/com.spacedrive.desktop -t $out/share/applications
install -Dm444 ${appimageContents}/spacedrive.png -t $out/share/pixmaps
- substituteInPlace $out/share/applications/spacedrive.desktop \
- --replace 'Exec=AppRun --no-sandbox %U' 'Exec=spacedrive'
+ substituteInPlace $out/share/applications/com.spacedrive.desktop \
+ --replace 'Exec=usr/bin/spacedrive' 'Exec=spacedrive'
'';
}
diff --git a/pkgs/by-name/sp/spade/Cargo.lock b/pkgs/by-name/sp/spade/Cargo.lock
index 02f87b96c6b8..0a236b50a51d 100644
--- a/pkgs/by-name/sp/spade/Cargo.lock
+++ b/pkgs/by-name/sp/spade/Cargo.lock
@@ -95,7 +95,7 @@ version = "0.2.14"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "d9b39be18770d11421cdb1b9947a45dd3f37e93092cbf377614828a319d5fee8"
dependencies = [
- "hermit-abi 0.1.19",
+ "hermit-abi",
"libc",
"winapi",
]
@@ -203,7 +203,7 @@ dependencies = [
"heck",
"proc-macro2",
"quote",
- "syn 2.0.38",
+ "syn",
]
[[package]]
@@ -276,11 +276,10 @@ checksum = "acbf1af155f9b9ef647e42cdc158db4b64a1b61f743629225fde6f3e0be2a7c7"
[[package]]
name = "colored"
-version = "2.0.4"
+version = "2.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2674ec482fbc38012cf31e6c42ba0177b431a0cb6f15fe40efa5aab1bda516f6"
+checksum = "cbf2150cce219b664a8a70df7a1f933836724b503f8a413af9365b4dcc4d90b8"
dependencies = [
- "is-terminal",
"lazy_static",
"windows-sys 0.48.0",
]
@@ -321,7 +320,7 @@ dependencies = [
"proc-macro2",
"quote",
"scratch",
- "syn 2.0.38",
+ "syn",
]
[[package]]
@@ -338,18 +337,18 @@ checksum = "587663dd5fb3d10932c8aecfe7c844db1bcf0aee93eeab08fac13dc1212c2e7f"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.38",
+ "syn",
]
[[package]]
-name = "derivative"
-version = "2.2.0"
+name = "derive-where"
+version = "1.2.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fcc3dd5e9e9c0b295d6e1e4d811fb6f157d5ffd784b8d202fc62eac8035a770b"
+checksum = "62d671cc41a825ebabc75757b62d3d168c577f9149b2d49ece1dad1f72119d25"
dependencies = [
"proc-macro2",
"quote",
- "syn 1.0.109",
+ "syn",
]
[[package]]
@@ -370,16 +369,6 @@ version = "0.3.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "a357d28ed41a50f9c765dbfe56cbc04a64e53e5fc58ba79fbc34c10ef3df831f"
-[[package]]
-name = "errno"
-version = "0.3.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ac3e13f66a2f95e32a39eaa81f6b95d42878ca0e1db0c7543723dfe12557e860"
-dependencies = [
- "libc",
- "windows-sys 0.48.0",
-]
-
[[package]]
name = "eyre"
version = "0.6.8"
@@ -423,24 +412,12 @@ dependencies = [
"libc",
]
-[[package]]
-name = "hermit-abi"
-version = "0.3.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d77f7ec81a6d05a3abb01ab6eb7590f6083d08449fe5a1c8b1e620283546ccb7"
-
[[package]]
name = "indenter"
version = "0.3.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "ce23b50ad8242c51a442f3ff322d56b02f08852c77e4c0b4d3fd684abc89c683"
-[[package]]
-name = "indoc"
-version = "1.0.9"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bfa799dd5ed20a7e349f3b4639aa80d74549c81716d9ec4f994c9b5815598306"
-
[[package]]
name = "indoc"
version = "2.0.4"
@@ -460,22 +437,11 @@ dependencies = [
"yaml-rust",
]
-[[package]]
-name = "is-terminal"
-version = "0.4.9"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cb0889898416213fab133e1d33a0e5858a48177452750691bde3666d0fdbaf8b"
-dependencies = [
- "hermit-abi 0.3.3",
- "rustix",
- "windows-sys 0.48.0",
-]
-
[[package]]
name = "itertools"
-version = "0.10.5"
+version = "0.12.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b0fd2260e829bddf4cb6ea802289de2f86d6a7a690192fbe91b3f46e0f2c8473"
+checksum = "25db6b064527c5d482d0423354fcd07a89a2dfe07b67892e62411946db7f07b0"
dependencies = [
"either",
]
@@ -513,20 +479,15 @@ version = "0.5.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "0717cef1bc8b636c6e1c1bbdefc09e6322da8a9321966e8928ef80d20f7f770f"
-[[package]]
-name = "linux-raw-sys"
-version = "0.4.10"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "da2479e8c062e40bf0066ffa0bc823de0a9368974af99c9f6df941d2c231e03f"
-
[[package]]
name = "local-impl"
-version = "0.1.0"
-source = "git+https://gitlab.com/sornas/local-impl#09293b492c653d78bb2f5fd64187e0c68ea51733"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5e36d656622c9ac5c84be07696931c71e483d27daf86914d7011d0fa6370418b"
dependencies = [
"proc-macro2",
"quote",
- "syn 1.0.109",
+ "syn",
]
[[package]]
@@ -565,7 +526,7 @@ dependencies = [
"proc-macro2",
"quote",
"regex-syntax 0.6.29",
- "syn 2.0.38",
+ "syn",
]
[[package]]
@@ -600,9 +561,9 @@ checksum = "f665ee40bc4a3c5590afb1e9677db74a508659dfd71e126420da8274909a0167"
[[package]]
name = "memoffset"
-version = "0.8.0"
+version = "0.9.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d61c719bcfbcf5d62b3a09efa6088de8c54bc0bfcd3ea7ae39fcc186108b8de1"
+checksum = "5a634b1c61a95585bd15607c6ab0c4e5b226e695ff2800ba0cdccddf208c406c"
dependencies = [
"autocfg",
]
@@ -796,30 +757,6 @@ dependencies = [
"pad",
]
-[[package]]
-name = "proc-macro-error"
-version = "1.0.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "da25490ff9892aab3fcf7c36f08cfb902dd3e71ca0f9f9517bea02a73a5ce38c"
-dependencies = [
- "proc-macro-error-attr",
- "proc-macro2",
- "quote",
- "syn 1.0.109",
- "version_check",
-]
-
-[[package]]
-name = "proc-macro-error-attr"
-version = "1.0.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a1be40180e52ecc98ad80b184934baf3d0d29f979574e439af5a55274b35f869"
-dependencies = [
- "proc-macro2",
- "quote",
- "version_check",
-]
-
[[package]]
name = "proc-macro2"
version = "1.0.69"
@@ -831,27 +768,27 @@ dependencies = [
[[package]]
name = "pyo3"
-version = "0.18.3"
+version = "0.20.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e3b1ac5b3731ba34fdaa9785f8d74d17448cd18f30cf19e0c7e7b1fdb5272109"
+checksum = "9a89dc7a5850d0e983be1ec2a463a171d20990487c3cfcd68b5363f1ee3d6fe0"
dependencies = [
"cfg-if",
"eyre",
- "indoc 1.0.9",
+ "indoc",
"libc",
"memoffset",
"parking_lot",
"pyo3-build-config",
"pyo3-ffi",
"pyo3-macros",
- "unindent 0.1.11",
+ "unindent",
]
[[package]]
name = "pyo3-build-config"
-version = "0.18.3"
+version = "0.20.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9cb946f5ac61bb61a5014924910d936ebd2b23b705f7a4a3c40b05c720b079a3"
+checksum = "07426f0d8fe5a601f26293f300afd1a7b1ed5e78b2a705870c5f30893c5163be"
dependencies = [
"once_cell",
"target-lexicon",
@@ -859,9 +796,9 @@ dependencies = [
[[package]]
name = "pyo3-ffi"
-version = "0.18.3"
+version = "0.20.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fd4d7c5337821916ea2a1d21d1092e8443cf34879e53a0ac653fbb98f44ff65c"
+checksum = "dbb7dec17e17766b46bca4f1a4215a85006b4c2ecde122076c562dd058da6cf1"
dependencies = [
"libc",
"pyo3-build-config",
@@ -869,25 +806,26 @@ dependencies = [
[[package]]
name = "pyo3-macros"
-version = "0.18.3"
+version = "0.20.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a9d39c55dab3fc5a4b25bbd1ac10a2da452c4aca13bb450f22818a002e29648d"
+checksum = "05f738b4e40d50b5711957f142878cfa0f28e054aa0ebdfc3fd137a843f74ed3"
dependencies = [
"proc-macro2",
"pyo3-macros-backend",
"quote",
- "syn 1.0.109",
+ "syn",
]
[[package]]
name = "pyo3-macros-backend"
-version = "0.18.3"
+version = "0.20.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "97daff08a4c48320587b5224cc98d609e3c27b6d437315bd40b605c98eeb5918"
+checksum = "0fc910d4851847827daf9d6cdd4a823fbdaab5b8818325c5e97a86da79e8881f"
dependencies = [
+ "heck",
"proc-macro2",
"quote",
- "syn 1.0.109",
+ "syn",
]
[[package]]
@@ -970,19 +908,6 @@ version = "0.1.23"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "d626bb9dae77e28219937af045c257c28bfd3f69333c512553507f5f9798cb76"
-[[package]]
-name = "rustix"
-version = "0.38.21"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2b426b0506e5d50a7d8dafcf2e81471400deb602392c7dd110815afb4eaf02a3"
-dependencies = [
- "bitflags 2.4.1",
- "errno",
- "libc",
- "linux-raw-sys",
- "windows-sys 0.48.0",
-]
-
[[package]]
name = "ryu"
version = "1.0.15"
@@ -1018,7 +943,7 @@ checksum = "67c5609f394e5c2bd7fc51efda478004ea80ef42fee983d5c67a65e34f32c0e3"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.38",
+ "syn",
]
[[package]]
@@ -1055,7 +980,7 @@ checksum = "942b4a808e05215192e39f4ab80813e599068285906cc91aa64f923db842bd5a"
[[package]]
name = "spade"
-version = "0.4.0"
+version = "0.7.0"
dependencies = [
"atty",
"clap",
@@ -1063,7 +988,7 @@ dependencies = [
"codespan-reporting 0.12.0",
"color-eyre",
"colored",
- "indoc 2.0.4",
+ "indoc",
"itertools",
"logos",
"pretty_assertions",
@@ -1082,7 +1007,6 @@ dependencies = [
"spade-typeinference",
"spade-types",
"spade-wordlength-inference",
- "thiserror",
"tracing",
"tracing-subscriber",
"tracing-tree",
@@ -1090,7 +1014,7 @@ dependencies = [
[[package]]
name = "spade-ast"
-version = "0.4.0"
+version = "0.7.0"
dependencies = [
"num",
"spade-common",
@@ -1098,7 +1022,7 @@ dependencies = [
[[package]]
name = "spade-ast-lowering"
-version = "0.4.0"
+version = "0.7.0"
dependencies = [
"itertools",
"local-impl",
@@ -1116,7 +1040,7 @@ dependencies = [
[[package]]
name = "spade-common"
-version = "0.4.0"
+version = "0.7.0"
dependencies = [
"codespan",
"codespan-reporting 0.12.0",
@@ -1142,12 +1066,13 @@ dependencies = [
[[package]]
name = "spade-diagnostics"
-version = "0.4.0"
+version = "0.7.0"
dependencies = [
"codespan",
"codespan-reporting 0.12.0",
"colored",
"insta",
+ "itertools",
"local-impl",
"logos",
"spade-ast",
@@ -1159,7 +1084,7 @@ dependencies = [
[[package]]
name = "spade-hir"
-version = "0.4.0"
+version = "0.7.0"
dependencies = [
"codespan-reporting 0.12.0",
"colored",
@@ -1177,12 +1102,12 @@ dependencies = [
[[package]]
name = "spade-hir-lowering"
-version = "0.4.0"
+version = "0.7.0"
dependencies = [
"codespan",
"codespan-reporting 0.12.0",
"colored",
- "indoc 2.0.4",
+ "indoc",
"itertools",
"local-impl",
"num",
@@ -1192,6 +1117,7 @@ dependencies = [
"spade-common",
"spade-diagnostics",
"spade-hir",
+ "spade-macros",
"spade-mir",
"spade-typeinference",
"spade-types",
@@ -1202,24 +1128,23 @@ dependencies = [
[[package]]
name = "spade-macros"
-version = "0.4.0"
+version = "0.7.0"
dependencies = [
- "proc-macro-error",
"proc-macro2",
"quote",
- "syn 1.0.109",
+ "syn",
"trybuild",
]
[[package]]
name = "spade-mir"
-version = "0.4.0"
+version = "0.7.0"
dependencies = [
"codespan",
"codespan-reporting 0.12.0",
"colored",
- "derivative",
- "indoc 2.0.4",
+ "derive-where",
+ "indoc",
"itertools",
"logos",
"nesty",
@@ -1234,10 +1159,9 @@ dependencies = [
[[package]]
name = "spade-parser"
-version = "0.4.0"
+version = "0.7.0"
dependencies = [
"codespan",
- "codespan-reporting 0.12.0",
"colored",
"itertools",
"local-impl",
@@ -1253,7 +1177,7 @@ dependencies = [
[[package]]
name = "spade-python"
-version = "0.4.0"
+version = "0.7.0"
dependencies = [
"codespan-reporting 0.12.0",
"color-eyre",
@@ -1282,7 +1206,7 @@ dependencies = [
[[package]]
name = "spade-simulation-ext"
-version = "0.4.0"
+version = "0.7.0"
dependencies = [
"codespan-reporting 0.12.0",
"color-eyre",
@@ -1310,11 +1234,11 @@ dependencies = [
[[package]]
name = "spade-tests"
-version = "0.4.0"
+version = "0.7.0"
dependencies = [
"codespan-reporting 0.12.0",
"colored",
- "indoc 2.0.4",
+ "indoc",
"insta",
"logos",
"pretty_assertions",
@@ -1333,12 +1257,12 @@ dependencies = [
"tracing",
"tracing-subscriber",
"tracing-tree",
- "unindent 0.2.3",
+ "unindent",
]
[[package]]
name = "spade-typeinference"
-version = "0.4.0"
+version = "0.7.0"
dependencies = [
"assert_matches",
"codespan",
@@ -1361,7 +1285,7 @@ dependencies = [
[[package]]
name = "spade-types"
-version = "0.4.0"
+version = "0.7.0"
dependencies = [
"num",
"serde",
@@ -1370,7 +1294,7 @@ dependencies = [
[[package]]
name = "spade-wordlength-inference"
-version = "0.4.0"
+version = "0.7.0"
dependencies = [
"codespan",
"codespan-reporting 0.12.0",
@@ -1396,17 +1320,6 @@ version = "0.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "73473c0e59e6d5812c5dfe2a064a6444949f089e20eec9a2e5506596494e4623"
-[[package]]
-name = "syn"
-version = "1.0.109"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "72b64191b275b66ffe2469e8af2c1cfe3bafa67b529ead792a6d0160888b4237"
-dependencies = [
- "proc-macro2",
- "quote",
- "unicode-ident",
-]
-
[[package]]
name = "syn"
version = "2.0.38"
@@ -1456,7 +1369,7 @@ checksum = "266b2e40bc00e5a6c09c3584011e08b06f123c00362c92b975ba9843aaaa14b8"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.38",
+ "syn",
]
[[package]]
@@ -1488,7 +1401,7 @@ checksum = "34704c8d6ebcbc939824180af020566b01a7c01f80641264eba0999f6c2b6be7"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.38",
+ "syn",
]
[[package]]
@@ -1579,12 +1492,6 @@ version = "0.1.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "e51733f11c9c4f72aa0c160008246859e340b00807569a0da0e7a1079b27ba85"
-[[package]]
-name = "unindent"
-version = "0.1.11"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e1766d682d402817b5ac4490b3c3002d91dfa0d22812f341609f97b08757359c"
-
[[package]]
name = "unindent"
version = "0.2.3"
@@ -1628,12 +1535,6 @@ dependencies = [
"vcd",
]
-[[package]]
-name = "version_check"
-version = "0.9.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "49874b5167b65d7193b8aba1567f5c7d93d001cafc34600cee003eda787e483f"
-
[[package]]
name = "winapi"
version = "0.3.9"
diff --git a/pkgs/by-name/sp/spade/package.nix b/pkgs/by-name/sp/spade/package.nix
index b8e00125753c..9248568deae2 100644
--- a/pkgs/by-name/sp/spade/package.nix
+++ b/pkgs/by-name/sp/spade/package.nix
@@ -6,13 +6,13 @@
rustPlatform.buildRustPackage rec {
pname = "spade";
- version = "0.5.0";
+ version = "0.7.0";
src = fetchFromGitLab {
owner = "spade-lang";
repo = "spade";
rev = "v${version}";
- hash = "sha256-PvheMYpsDWAXPf8K3K8yloCH0UTjzzVPuMBlcGC1xKU=";
+ hash = "sha256-oJfOgWobjt+DAVdP465E8iLMJCdqhs0vzJJFgRqVAP8=";
# only needed for vatch, which contains test data
fetchSubmodules = true;
};
@@ -21,16 +21,10 @@ rustPlatform.buildRustPackage rec {
lockFile = ./Cargo.lock;
outputHashes = {
"codespan-0.12.0" = "sha256-3F2006BR3hyhxcUTaQiOjzTEuRECKJKjIDyXonS/lrE=";
- "local-impl-0.1.0" = "sha256-w6kQ4wM/ZQJmOqmAAq9FFDzyt9xHOY14av5dsSIFRU0=";
"tracing-tree-0.2.0" = "sha256-/JNeAKjAXmKPh0et8958yS7joORDbid9dhFB0VUAhZc=";
};
};
- # Cargo.lock is outdated
- postConfigure = ''
- cargo metadata --offline
- '';
-
meta = with lib; {
description = "A better hardware description language";
homepage = "https://gitlab.com/spade-lang/spade";
diff --git a/pkgs/by-name/sp/speakersafetyd/package.nix b/pkgs/by-name/sp/speakersafetyd/package.nix
index 4351ae55176e..aa44ad62c02b 100644
--- a/pkgs/by-name/sp/speakersafetyd/package.nix
+++ b/pkgs/by-name/sp/speakersafetyd/package.nix
@@ -39,6 +39,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "A userspace daemon written in Rust that implements an analogue of the Texas Instruments Smart Amp speaker protection model";
+ mainProgram = "speakersafetyd";
homepage = "https://github.com/AsahiLinux/speakersafetyd";
maintainers = with maintainers; [ yuka ];
license = licenses.mit;
diff --git a/pkgs/by-name/sp/spice-autorandr/package.nix b/pkgs/by-name/sp/spice-autorandr/package.nix
index e79f4cb18bd9..1291a0f612d7 100644
--- a/pkgs/by-name/sp/spice-autorandr/package.nix
+++ b/pkgs/by-name/sp/spice-autorandr/package.nix
@@ -32,6 +32,7 @@ stdenv.mkDerivation {
meta = {
description = "Automatically adjust the client window resolution in Linux KVM guests using the SPICE driver.";
+ mainProgram = "spice-autorandr";
longDescription = ''
Some desktop environments update the display resolution automatically,
this package is only useful when running without a DE or with a DE that
diff --git a/pkgs/by-name/sp/spicetify-cli/package.nix b/pkgs/by-name/sp/spicetify-cli/package.nix
index 570bf0ea09f7..c1e79f9d6d86 100644
--- a/pkgs/by-name/sp/spicetify-cli/package.nix
+++ b/pkgs/by-name/sp/spicetify-cli/package.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "spicetify-cli";
- version = "2.31.2";
+ version = "2.34.1";
src = fetchFromGitHub {
owner = "spicetify";
repo = "spicetify-cli";
rev = "v${version}";
- hash = "sha256-kOjWjubYkAUIU18jKa6WMcBgrMFOg9lql59WXusAoa8=";
+ hash = "sha256-tVB0dkxp19EpUXN7X2rnnRlYuSc7Ja7sokmN9tNvY2k=";
};
- vendorHash = "sha256-9rYShpUVI3KSY6UgGmoXo899NkUezkAAkTgFPdq094E=";
+ vendorHash = "sha256-axE1SY+UW5oddyhOiktq+vNfhw2/SFX4ut4Hivg6TYQ=";
ldflags = [
"-s -w"
diff --git a/pkgs/by-name/sp/spigot/package.nix b/pkgs/by-name/sp/spigot/package.nix
index 421af163cd4f..9515a573b713 100644
--- a/pkgs/by-name/sp/spigot/package.nix
+++ b/pkgs/by-name/sp/spigot/package.nix
@@ -42,6 +42,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
homepage = "https://www.chiark.greenend.org.uk/~sgtatham/spigot/";
description = "A command-line exact real calculator";
+ mainProgram = "spigot";
license = lib.licenses.mit;
maintainers = with lib.maintainers; [ AndersonTorres ];
platforms = lib.platforms.unix;
diff --git a/pkgs/by-name/sp/spooftooph/package.nix b/pkgs/by-name/sp/spooftooph/package.nix
new file mode 100644
index 000000000000..3a78341128c2
--- /dev/null
+++ b/pkgs/by-name/sp/spooftooph/package.nix
@@ -0,0 +1,36 @@
+{ lib
+, stdenv
+, fetchurl
+, bluez
+, ncurses
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "spooftooph";
+ version = "0.5.2";
+
+ src = fetchurl {
+ url = "mirror://sourceforge/project/spooftooph/spooftooph-${finalAttrs.version}/spooftooph-${finalAttrs.version}.tar.gz";
+ hash = "sha256-JH5+fHpe83NJV9AR5MXKnrwaTqz4s2BGAcczbddVNHw=";
+ };
+
+ buildInputs = [
+ bluez
+ ncurses
+ ];
+
+ makeFlags = [ "BIN=$(out)/bin" ];
+
+ preInstall = ''
+ mkdir -p $out/bin
+ '';
+
+ meta = with lib; {
+ homepage = "https://sourceforge.net/projects/spooftooph";
+ description = "Automate spoofing or clone Bluetooth device Name, Class, and Address";
+ mainProgram = "spooftooph";
+ license = licenses.gpl2Only;
+ platforms = platforms.linux;
+ maintainers = with maintainers; [ d3vil0p3r ];
+ };
+})
diff --git a/pkgs/by-name/sp/spotifywm/package.nix b/pkgs/by-name/sp/spotifywm/package.nix
new file mode 100644
index 000000000000..b8a7db9a3d39
--- /dev/null
+++ b/pkgs/by-name/sp/spotifywm/package.nix
@@ -0,0 +1,51 @@
+{
+ lib,
+ stdenv,
+ fetchFromGitHub,
+ libX11,
+ lndir,
+ makeBinaryWrapper,
+ spotify,
+}:
+stdenv.mkDerivation {
+ pname = "spotifywm";
+ version = "0-unstable-2022-10-25";
+
+ src = fetchFromGitHub {
+ owner = "dasJ";
+ repo = "spotifywm";
+ rev = "8624f539549973c124ed18753881045968881745";
+ hash = "sha256-AsXqcoqUXUFxTG+G+31lm45gjP6qGohEnUSUtKypew0=";
+ };
+
+ nativeBuildInputs = [
+ makeBinaryWrapper
+ lndir
+ ];
+
+ buildInputs = [ libX11 ];
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p $out
+
+ lndir -silent ${spotify} $out
+
+ install -Dm644 spotifywm.so $out/lib/spotifywm.so
+
+ wrapProgram $out/bin/spotify \
+ --suffix LD_PRELOAD : "$out/lib/spotifywm.so"
+
+ runHook postInstall
+ '';
+
+ meta = {
+ homepage = "https://github.com/dasJ/spotifywm";
+ description = "Wrapper around Spotify that correctly sets class name before opening the window";
+ license = lib.licenses.mit;
+ platforms = lib.platforms.linux;
+ maintainers = with lib.maintainers; [ jqueiroz the-argus ];
+ mainProgram = "spotify";
+ };
+}
diff --git a/pkgs/by-name/sp/spotube/package.nix b/pkgs/by-name/sp/spotube/package.nix
index 8b0a057daec8..c5b29a43187e 100644
--- a/pkgs/by-name/sp/spotube/package.nix
+++ b/pkgs/by-name/sp/spotube/package.nix
@@ -17,7 +17,7 @@
let
pname = "spotube";
- version = "3.4.1";
+ version = "3.5.0";
meta = {
description = "An open source, cross-platform Spotify client compatible across multiple platforms";
@@ -46,7 +46,7 @@ let
src = fetchArtifact {
filename = "Spotube-macos-universal.dmg";
- hash = "sha256-VobLCxsmE5kGIlDDa3v5xIHkw2x2YV14fgHHcDb+bLo=";
+ hash = "sha256-omXhiH/hVxFef03GqmpYf65SfdLjLyeMyuAWuvSpYiI=";
};
sourceRoot = ".";
@@ -67,7 +67,7 @@ let
src = fetchArtifact {
filename = "Spotube-linux-x86_64.deb";
- hash = "sha256-NEGhzNz0E8jK2NPmigzoPAvYcU7zN9YHikuXHpzWfx0=";
+ hash = "sha256-Rea4GvxdkUfZF8lCBzI9UrD9Iz9D5vq9oxYBn5bahZE=";
};
nativeBuildInputs = [
diff --git a/pkgs/by-name/sq/squirreldisk/Cargo.lock b/pkgs/by-name/sq/squirreldisk/Cargo.lock
new file mode 100644
index 000000000000..667c8b758091
--- /dev/null
+++ b/pkgs/by-name/sq/squirreldisk/Cargo.lock
@@ -0,0 +1,4060 @@
+# This file is automatically @generated by Cargo.
+# It is not intended for manual editing.
+version = 3
+
+[[package]]
+name = "adler"
+version = "1.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f26201604c87b1e01bd3d98f8d5d9a8fcbb815e8cedb41ffccbeb4bf593a35fe"
+
+[[package]]
+name = "aho-corasick"
+version = "0.7.20"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cc936419f96fa211c1b9166887b38e5e40b19958e5b895be7c1f93adec7071ac"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "alloc-no-stdlib"
+version = "2.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cc7bb162ec39d46ab1ca8c77bf72e890535becd1751bb45f64c597edb4c8c6b3"
+
+[[package]]
+name = "alloc-stdlib"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "94fb8275041c72129eb51b7d0322c29b8387a0386127718b096429201a5d6ece"
+dependencies = [
+ "alloc-no-stdlib",
+]
+
+[[package]]
+name = "anyhow"
+version = "1.0.68"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2cb2f989d18dd141ab8ae82f64d1a8cdd37e0840f73a406896cf5e99502fab61"
+
+[[package]]
+name = "assert-cmp"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "737bf4aa6df38f69a17efc233b4d0343cc5aa0d2c3b53e7007bd4c9866038ffd"
+
+[[package]]
+name = "atk"
+version = "0.15.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2c3d816ce6f0e2909a96830d6911c2aff044370b1ef92d7f267b43bae5addedd"
+dependencies = [
+ "atk-sys",
+ "bitflags",
+ "glib",
+ "libc",
+]
+
+[[package]]
+name = "atk-sys"
+version = "0.15.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "58aeb089fb698e06db8089971c7ee317ab9644bade33383f63631437b03aafb6"
+dependencies = [
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps 6.0.3",
+]
+
+[[package]]
+name = "attohttpc"
+version = "0.22.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1fcf00bc6d5abb29b5f97e3c61a90b6d3caa12f3faf897d4a3e3607c050a35a7"
+dependencies = [
+ "flate2",
+ "http",
+ "log",
+ "native-tls",
+ "serde",
+ "serde_json",
+ "serde_urlencoded",
+ "url",
+]
+
+[[package]]
+name = "autocfg"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d468802bab17cbc0cc575e9b053f41e72aa36bfa6b7f55e3529ffa43161b97fa"
+
+[[package]]
+name = "base64"
+version = "0.13.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9e1b586273c5702936fe7b7d6896644d8be71e6314cfe09d3167c95f712589e8"
+
+[[package]]
+name = "bitflags"
+version = "1.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a"
+
+[[package]]
+name = "block"
+version = "0.1.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0d8c1fef690941d3e7788d328517591fecc684c084084702d6ff1641e993699a"
+
+[[package]]
+name = "block-buffer"
+version = "0.10.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "69cce20737498f97b993470a6e536b8523f0af7892a4f928cceb1ac5e52ebe7e"
+dependencies = [
+ "generic-array",
+]
+
+[[package]]
+name = "brotli"
+version = "3.3.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a1a0b1dbcc8ae29329621f8d4f0d835787c1c38bb1401979b49d13b0b305ff68"
+dependencies = [
+ "alloc-no-stdlib",
+ "alloc-stdlib",
+ "brotli-decompressor",
+]
+
+[[package]]
+name = "brotli-decompressor"
+version = "2.3.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4b6561fd3f895a11e8f72af2cb7d22e08366bebc2b6b57f7744c4bda27034744"
+dependencies = [
+ "alloc-no-stdlib",
+ "alloc-stdlib",
+]
+
+[[package]]
+name = "bstr"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b45ea9b00a7b3f2988e9a65ad3917e62123c38dba709b666506207be96d1790b"
+dependencies = [
+ "memchr",
+ "serde",
+]
+
+[[package]]
+name = "bumpalo"
+version = "3.12.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0d261e256854913907f67ed06efbc3338dfe6179796deefc1ff763fc1aee5535"
+
+[[package]]
+name = "bytemuck"
+version = "1.13.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c041d3eab048880cb0b86b256447da3f18859a163c3b8d8893f4e6368abe6393"
+
+[[package]]
+name = "byteorder"
+version = "1.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "14c189c53d098945499cdfa7ecc63567cf3886b3332b312a5b4585d8d3a6a610"
+
+[[package]]
+name = "bytes"
+version = "1.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dfb24e866b15a1af2a1b663f10c6b6b8f397a84aadb828f12e5b289ec23a3a3c"
+
+[[package]]
+name = "cairo-rs"
+version = "0.15.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c76ee391b03d35510d9fa917357c7f1855bd9a6659c95a1b392e33f49b3369bc"
+dependencies = [
+ "bitflags",
+ "cairo-sys-rs",
+ "glib",
+ "libc",
+ "thiserror",
+]
+
+[[package]]
+name = "cairo-sys-rs"
+version = "0.15.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3c55d429bef56ac9172d25fecb85dc8068307d17acd74b377866b7a1ef25d3c8"
+dependencies = [
+ "glib-sys",
+ "libc",
+ "system-deps 6.0.3",
+]
+
+[[package]]
+name = "cargo_toml"
+version = "0.13.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "497049e9477329f8f6a559972ee42e117487d01d1e8c2cc9f836ea6fa23a9e1a"
+dependencies = [
+ "serde",
+ "toml",
+]
+
+[[package]]
+name = "cc"
+version = "1.0.78"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a20104e2335ce8a659d6dd92a51a767a0c062599c73b343fd152cb401e828c3d"
+
+[[package]]
+name = "cesu8"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6d43a04d8753f35258c91f8ec639f792891f748a1edbd759cf1dcea3382ad83c"
+
+[[package]]
+name = "cfb"
+version = "0.6.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "74f89d248799e3f15f91b70917f65381062a01bb8e222700ea0e5a7ff9785f9c"
+dependencies = [
+ "byteorder",
+ "uuid 0.8.2",
+]
+
+[[package]]
+name = "cfg-expr"
+version = "0.9.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3431df59f28accaf4cb4eed4a9acc66bea3f3c3753aa6cdc2f024174ef232af7"
+dependencies = [
+ "smallvec",
+]
+
+[[package]]
+name = "cfg-expr"
+version = "0.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b0357a6402b295ca3a86bc148e84df46c02e41f41fef186bda662557ef6328aa"
+dependencies = [
+ "smallvec",
+]
+
+[[package]]
+name = "cfg-if"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd"
+
+[[package]]
+name = "clap"
+version = "4.1.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f13b9c79b5d1dd500d20ef541215a6423c75829ef43117e1b4d17fd8af0b5d76"
+dependencies = [
+ "bitflags",
+ "clap_derive",
+ "clap_lex",
+ "is-terminal",
+ "once_cell",
+ "strsim",
+ "termcolor",
+]
+
+[[package]]
+name = "clap-utilities"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "15bcff807ef65113605e59223ac0ce77adc2cc0976e3ece014e0f2c17e4a7798"
+dependencies = [
+ "clap",
+ "clap_complete",
+ "pipe-trait",
+ "thiserror",
+]
+
+[[package]]
+name = "clap_complete"
+version = "4.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3d6540eedc41f8a5a76cf3d8d458057dcdf817be4158a55b5f861f7a5483de75"
+dependencies = [
+ "clap",
+]
+
+[[package]]
+name = "clap_derive"
+version = "4.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "684a277d672e91966334af371f1a7b5833f9aa00b07c84e92fbce95e00208ce8"
+dependencies = [
+ "heck 0.4.0",
+ "proc-macro-error",
+ "proc-macro2",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "clap_lex"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "783fe232adfca04f90f56201b26d79682d4cd2625e0bc7290b95123afe558ade"
+dependencies = [
+ "os_str_bytes",
+]
+
+[[package]]
+name = "cocoa"
+version = "0.24.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f425db7937052c684daec3bd6375c8abe2d146dca4b8b143d6db777c39138f3a"
+dependencies = [
+ "bitflags",
+ "block",
+ "cocoa-foundation",
+ "core-foundation",
+ "core-graphics",
+ "foreign-types",
+ "libc",
+ "objc",
+]
+
+[[package]]
+name = "cocoa-foundation"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7ade49b65d560ca58c403a479bb396592b155c0185eada742ee323d1d68d6318"
+dependencies = [
+ "bitflags",
+ "block",
+ "core-foundation",
+ "core-graphics-types",
+ "foreign-types",
+ "libc",
+ "objc",
+]
+
+[[package]]
+name = "color_quant"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3d7b894f5411737b7867f4827955924d7c254fc9f4d91a6aad6b097804b1018b"
+
+[[package]]
+name = "combine"
+version = "4.6.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "35ed6e9d84f0b51a7f52daf1c7d71dd136fd7a3f41a8462b8cdb8c78d920fad4"
+dependencies = [
+ "bytes",
+ "memchr",
+]
+
+[[package]]
+name = "convert_case"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6245d59a3e82a7fc217c5828a6692dbc6dfb63a0c8c90495621f7b9d79704a0e"
+
+[[package]]
+name = "core-foundation"
+version = "0.9.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "194a7a9e6de53fa55116934067c844d9d749312f75c6f6d0980e8c252f8c2146"
+dependencies = [
+ "core-foundation-sys",
+ "libc",
+]
+
+[[package]]
+name = "core-foundation-sys"
+version = "0.8.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5827cebf4670468b8772dd191856768aedcb1b0278a04f989f7766351917b9dc"
+
+[[package]]
+name = "core-graphics"
+version = "0.22.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2581bbab3b8ffc6fcbd550bf46c355135d16e9ff2a6ea032ad6b9bf1d7efe4fb"
+dependencies = [
+ "bitflags",
+ "core-foundation",
+ "core-graphics-types",
+ "foreign-types",
+ "libc",
+]
+
+[[package]]
+name = "core-graphics-types"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3a68b68b3446082644c91ac778bf50cd4104bfb002b5a6a7c44cca5a2c70788b"
+dependencies = [
+ "bitflags",
+ "core-foundation",
+ "foreign-types",
+ "libc",
+]
+
+[[package]]
+name = "cpufeatures"
+version = "0.2.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "28d997bd5e24a5928dd43e46dc529867e207907fe0b239c3477d924f7f2ca320"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "crc32fast"
+version = "1.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b540bd8bc810d3885c6ea91e2018302f68baba2129ab3e88f32389ee9370880d"
+dependencies = [
+ "cfg-if",
+]
+
+[[package]]
+name = "crossbeam-channel"
+version = "0.5.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c2dd04ddaf88237dc3b8d8f9a3c1004b506b54b3313403944054d23c0870c521"
+dependencies = [
+ "cfg-if",
+ "crossbeam-utils",
+]
+
+[[package]]
+name = "crossbeam-deque"
+version = "0.8.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "715e8152b692bba2d374b53d4875445368fdf21a94751410af607a5ac677d1fc"
+dependencies = [
+ "cfg-if",
+ "crossbeam-epoch",
+ "crossbeam-utils",
+]
+
+[[package]]
+name = "crossbeam-epoch"
+version = "0.9.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "01a9af1f4c2ef74bb8aa1f7e19706bc72d03598c8a570bb5de72243c7a9d9d5a"
+dependencies = [
+ "autocfg",
+ "cfg-if",
+ "crossbeam-utils",
+ "memoffset 0.7.1",
+ "scopeguard",
+]
+
+[[package]]
+name = "crossbeam-utils"
+version = "0.8.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4fb766fa798726286dbbb842f174001dab8abc7b627a1dd86e0b7222a95d929f"
+dependencies = [
+ "cfg-if",
+]
+
+[[package]]
+name = "crypto-common"
+version = "0.1.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1bfb12502f3fc46cca1bb51ac28df9d618d813cdc3d2f25b9fe775a34af26bb3"
+dependencies = [
+ "generic-array",
+ "typenum",
+]
+
+[[package]]
+name = "cssparser"
+version = "0.27.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "754b69d351cdc2d8ee09ae203db831e005560fc6030da058f86ad60c92a9cb0a"
+dependencies = [
+ "cssparser-macros",
+ "dtoa-short",
+ "itoa 0.4.8",
+ "matches",
+ "phf 0.8.0",
+ "proc-macro2",
+ "quote",
+ "smallvec",
+ "syn",
+]
+
+[[package]]
+name = "cssparser-macros"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dfae75de57f2b2e85e8768c3ea840fd159c8f33e2b6522c7835b7abac81be16e"
+dependencies = [
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "ctor"
+version = "0.1.26"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6d2301688392eb071b0bf1a37be05c469d3cc4dbbd95df672fe28ab021e6a096"
+dependencies = [
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "cty"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b365fabc795046672053e29c954733ec3b05e4be654ab130fe8f1f94d7051f35"
+
+[[package]]
+name = "darling"
+version = "0.12.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5f2c43f534ea4b0b049015d00269734195e6d3f0f6635cb692251aca6f9f8b3c"
+dependencies = [
+ "darling_core 0.12.4",
+ "darling_macro 0.12.4",
+]
+
+[[package]]
+name = "darling"
+version = "0.13.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a01d95850c592940db9b8194bc39f4bc0e89dee5c4265e4b1807c34a9aba453c"
+dependencies = [
+ "darling_core 0.13.4",
+ "darling_macro 0.13.4",
+]
+
+[[package]]
+name = "darling_core"
+version = "0.12.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8e91455b86830a1c21799d94524df0845183fa55bafd9aa137b01c7d1065fa36"
+dependencies = [
+ "fnv",
+ "ident_case",
+ "proc-macro2",
+ "quote",
+ "strsim",
+ "syn",
+]
+
+[[package]]
+name = "darling_core"
+version = "0.13.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "859d65a907b6852c9361e3185c862aae7fafd2887876799fa55f5f99dc40d610"
+dependencies = [
+ "fnv",
+ "ident_case",
+ "proc-macro2",
+ "quote",
+ "strsim",
+ "syn",
+]
+
+[[package]]
+name = "darling_macro"
+version = "0.12.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "29b5acf0dea37a7f66f7b25d2c5e93fd46f8f6968b1a5d7a3e02e97768afc95a"
+dependencies = [
+ "darling_core 0.12.4",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "darling_macro"
+version = "0.13.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9c972679f83bdf9c42bd905396b6c3588a843a17f0f16dfcfa3e2c5d57441835"
+dependencies = [
+ "darling_core 0.13.4",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "dbus"
+version = "0.9.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1bb21987b9fb1613058ba3843121dd18b163b254d8a6e797e144cbac14d96d1b"
+dependencies = [
+ "libc",
+ "libdbus-sys",
+ "winapi",
+]
+
+[[package]]
+name = "derive_builder"
+version = "0.10.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d13202debe11181040ae9063d739fa32cfcaaebe2275fe387703460ae2365b30"
+dependencies = [
+ "derive_builder_macro",
+]
+
+[[package]]
+name = "derive_builder_core"
+version = "0.10.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "66e616858f6187ed828df7c64a6d71720d83767a7f19740b2d1b6fe6327b36e5"
+dependencies = [
+ "darling 0.12.4",
+ "proc-macro2",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "derive_builder_macro"
+version = "0.10.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "58a94ace95092c5acb1e97a7e846b310cfbd499652f72297da7493f618a98d73"
+dependencies = [
+ "derive_builder_core",
+ "syn",
+]
+
+[[package]]
+name = "derive_more"
+version = "0.99.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4fb810d30a7c1953f91334de7244731fc3f3c10d7fe163338a35b9f640960321"
+dependencies = [
+ "convert_case",
+ "proc-macro2",
+ "quote",
+ "rustc_version 0.4.0",
+ "syn",
+]
+
+[[package]]
+name = "digest"
+version = "0.10.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8168378f4e5023e7218c89c891c0fd8ecdb5e5e4f18cb78f38cf245dd021e76f"
+dependencies = [
+ "block-buffer",
+ "crypto-common",
+]
+
+[[package]]
+name = "dirs-next"
+version = "2.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b98cf8ebf19c3d1b223e151f99a4f9f0690dca41414773390fc824184ac833e1"
+dependencies = [
+ "cfg-if",
+ "dirs-sys-next",
+]
+
+[[package]]
+name = "dirs-sys-next"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4ebda144c4fe02d1f7ea1a7d9641b6fc6b580adcfa024ae48797ecdeb6825b4d"
+dependencies = [
+ "libc",
+ "redox_users",
+ "winapi",
+]
+
+[[package]]
+name = "dispatch"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bd0c93bb4b0c6d9b77f4435b0ae98c24d17f1c45b2ff844c6151a07256ca923b"
+
+[[package]]
+name = "dtoa"
+version = "0.4.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "56899898ce76aaf4a0f24d914c97ea6ed976d42fec6ad33fcbb0a1103e07b2b0"
+
+[[package]]
+name = "dtoa-short"
+version = "0.3.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bde03329ae10e79ede66c9ce4dc930aa8599043b0743008548680f25b91502d6"
+dependencies = [
+ "dtoa",
+]
+
+[[package]]
+name = "dunce"
+version = "1.0.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0bd4b30a6560bbd9b4620f4de34c3f14f60848e58a9b7216801afcb4c7b31c3c"
+
+[[package]]
+name = "either"
+version = "1.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7fcaabb2fef8c910e7f4c7ce9f67a1283a1715879a7c230ca9d6d1ae31f16d91"
+
+[[package]]
+name = "embed_plist"
+version = "1.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4ef6b89e5b37196644d8796de5268852ff179b44e96276cf4290264843743bb7"
+
+[[package]]
+name = "encoding_rs"
+version = "0.8.31"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9852635589dc9f9ea1b6fe9f05b50ef208c85c834a562f0c6abb1c475736ec2b"
+dependencies = [
+ "cfg-if",
+]
+
+[[package]]
+name = "errno"
+version = "0.2.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f639046355ee4f37944e44f60642c6f3a7efa3cf6b78c78a0d989a8ce6c396a1"
+dependencies = [
+ "errno-dragonfly",
+ "libc",
+ "winapi",
+]
+
+[[package]]
+name = "errno-dragonfly"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "aa68f1b12764fab894d2755d2518754e71b4fd80ecfb822714a1206c2aab39bf"
+dependencies = [
+ "cc",
+ "libc",
+]
+
+[[package]]
+name = "fastrand"
+version = "1.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a7a407cfaa3385c4ae6b23e84623d48c2798d06e3e6a1878f7f59f17b3f86499"
+dependencies = [
+ "instant",
+]
+
+[[package]]
+name = "field-offset"
+version = "0.3.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1e1c54951450cbd39f3dbcf1005ac413b49487dabf18a720ad2383eccfeffb92"
+dependencies = [
+ "memoffset 0.6.5",
+ "rustc_version 0.3.3",
+]
+
+[[package]]
+name = "filetime"
+version = "0.2.19"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4e884668cd0c7480504233e951174ddc3b382f7c2666e3b7310b5c4e7b0c37f9"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "redox_syscall",
+ "windows-sys 0.42.0",
+]
+
+[[package]]
+name = "flate2"
+version = "1.0.25"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a8a2db397cb1c8772f31494cb8917e48cd1e64f0fa7efac59fbd741a0a8ce841"
+dependencies = [
+ "crc32fast",
+ "miniz_oxide",
+]
+
+[[package]]
+name = "fmt-iter"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d0b9289d76691c7084d8830f1d0a29ddefbad768f8b5f276e012840bb0fca610"
+dependencies = [
+ "derive_more",
+ "itertools",
+]
+
+[[package]]
+name = "fnv"
+version = "1.0.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3f9eec918d3f24069decb9af1554cad7c880e2da24a9afd88aca000531ab82c1"
+
+[[package]]
+name = "foreign-types"
+version = "0.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f6f339eb8adc052cd2ca78910fda869aefa38d22d5cb648e6485e4d3fc06f3b1"
+dependencies = [
+ "foreign-types-shared",
+]
+
+[[package]]
+name = "foreign-types-shared"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "00b0228411908ca8685dba7fc2cdd70ec9990a6e753e89b6ac91a84c40fbaf4b"
+
+[[package]]
+name = "form_urlencoded"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a9c384f161156f5260c24a097c56119f9be8c798586aecc13afbcbe7b7e26bf8"
+dependencies = [
+ "percent-encoding",
+]
+
+[[package]]
+name = "futf"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "df420e2e84819663797d1ec6544b13c5be84629e7bb00dc960d6917db2987843"
+dependencies = [
+ "mac",
+ "new_debug_unreachable",
+]
+
+[[package]]
+name = "futures-channel"
+version = "0.3.25"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "52ba265a92256105f45b719605a571ffe2d1f0fea3807304b522c1d778f79eed"
+dependencies = [
+ "futures-core",
+]
+
+[[package]]
+name = "futures-core"
+version = "0.3.25"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "04909a7a7e4633ae6c4a9ab280aeb86da1236243a77b694a49eacd659a4bd3ac"
+
+[[package]]
+name = "futures-executor"
+version = "0.3.25"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7acc85df6714c176ab5edf386123fafe217be88c0840ec11f199441134a074e2"
+dependencies = [
+ "futures-core",
+ "futures-task",
+ "futures-util",
+]
+
+[[package]]
+name = "futures-io"
+version = "0.3.25"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "00f5fb52a06bdcadeb54e8d3671f8888a39697dcb0b81b23b55174030427f4eb"
+
+[[package]]
+name = "futures-macro"
+version = "0.3.25"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bdfb8ce053d86b91919aad980c220b1fb8401a9394410e1c289ed7e66b61835d"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "futures-task"
+version = "0.3.25"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2ffb393ac5d9a6eaa9d3fdf37ae2776656b706e200c8e16b1bdb227f5198e6ea"
+
+[[package]]
+name = "futures-util"
+version = "0.3.25"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "197676987abd2f9cadff84926f410af1c183608d36641465df73ae8211dc65d6"
+dependencies = [
+ "futures-core",
+ "futures-macro",
+ "futures-task",
+ "pin-project-lite",
+ "pin-utils",
+ "slab",
+]
+
+[[package]]
+name = "fxhash"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c31b6d751ae2c7f11320402d34e41349dd1016f8d5d45e48c4312bc8625af50c"
+dependencies = [
+ "byteorder",
+]
+
+[[package]]
+name = "gdk"
+version = "0.15.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a6e05c1f572ab0e1f15be94217f0dc29088c248b14f792a5ff0af0d84bcda9e8"
+dependencies = [
+ "bitflags",
+ "cairo-rs",
+ "gdk-pixbuf",
+ "gdk-sys",
+ "gio",
+ "glib",
+ "libc",
+ "pango",
+]
+
+[[package]]
+name = "gdk-pixbuf"
+version = "0.15.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ad38dd9cc8b099cceecdf41375bb6d481b1b5a7cd5cd603e10a69a9383f8619a"
+dependencies = [
+ "bitflags",
+ "gdk-pixbuf-sys",
+ "gio",
+ "glib",
+ "libc",
+]
+
+[[package]]
+name = "gdk-pixbuf-sys"
+version = "0.15.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "140b2f5378256527150350a8346dbdb08fadc13453a7a2d73aecd5fab3c402a7"
+dependencies = [
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps 6.0.3",
+]
+
+[[package]]
+name = "gdk-sys"
+version = "0.15.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "32e7a08c1e8f06f4177fb7e51a777b8c1689f743a7bc11ea91d44d2226073a88"
+dependencies = [
+ "cairo-sys-rs",
+ "gdk-pixbuf-sys",
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "pango-sys",
+ "pkg-config",
+ "system-deps 6.0.3",
+]
+
+[[package]]
+name = "gdkx11-sys"
+version = "0.15.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b4b7f8c7a84b407aa9b143877e267e848ff34106578b64d1e0a24bf550716178"
+dependencies = [
+ "gdk-sys",
+ "glib-sys",
+ "libc",
+ "system-deps 6.0.3",
+ "x11",
+]
+
+[[package]]
+name = "generator"
+version = "0.7.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d266041a359dfa931b370ef684cceb84b166beb14f7f0421f4a6a3d0c446d12e"
+dependencies = [
+ "cc",
+ "libc",
+ "log",
+ "rustversion",
+ "windows 0.39.0",
+]
+
+[[package]]
+name = "generic-array"
+version = "0.14.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bff49e947297f3312447abdca79f45f4738097cc82b06e72054d2223f601f1b9"
+dependencies = [
+ "typenum",
+ "version_check",
+]
+
+[[package]]
+name = "getrandom"
+version = "0.1.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8fc3cb4d91f53b50155bdcfd23f6a4c39ae1969c2ae85982b135750cccaf5fce"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "wasi 0.9.0+wasi-snapshot-preview1",
+]
+
+[[package]]
+name = "getrandom"
+version = "0.2.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c05aeb6a22b8f62540c194aac980f2115af067bfe15a0734d7277a768d396b31"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "wasi 0.11.0+wasi-snapshot-preview1",
+]
+
+[[package]]
+name = "gio"
+version = "0.15.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "68fdbc90312d462781a395f7a16d96a2b379bb6ef8cd6310a2df272771c4283b"
+dependencies = [
+ "bitflags",
+ "futures-channel",
+ "futures-core",
+ "futures-io",
+ "gio-sys",
+ "glib",
+ "libc",
+ "once_cell",
+ "thiserror",
+]
+
+[[package]]
+name = "gio-sys"
+version = "0.15.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "32157a475271e2c4a023382e9cab31c4584ee30a97da41d3c4e9fdd605abcf8d"
+dependencies = [
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps 6.0.3",
+ "winapi",
+]
+
+[[package]]
+name = "glib"
+version = "0.15.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "edb0306fbad0ab5428b0ca674a23893db909a98582969c9b537be4ced78c505d"
+dependencies = [
+ "bitflags",
+ "futures-channel",
+ "futures-core",
+ "futures-executor",
+ "futures-task",
+ "glib-macros",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "once_cell",
+ "smallvec",
+ "thiserror",
+]
+
+[[package]]
+name = "glib-macros"
+version = "0.15.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "25a68131a662b04931e71891fb14aaf65ee4b44d08e8abc10f49e77418c86c64"
+dependencies = [
+ "anyhow",
+ "heck 0.4.0",
+ "proc-macro-crate",
+ "proc-macro-error",
+ "proc-macro2",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "glib-sys"
+version = "0.15.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ef4b192f8e65e9cf76cbf4ea71fa8e3be4a0e18ffe3d68b8da6836974cc5bad4"
+dependencies = [
+ "libc",
+ "system-deps 6.0.3",
+]
+
+[[package]]
+name = "glob"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d2fabcfbdc87f4758337ca535fb41a6d701b65693ce38287d856d1674551ec9b"
+
+[[package]]
+name = "globset"
+version = "0.4.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "029d74589adefde59de1a0c4f4732695c32805624aec7b68d91503d4dba79afc"
+dependencies = [
+ "aho-corasick",
+ "bstr",
+ "fnv",
+ "log",
+ "regex",
+]
+
+[[package]]
+name = "gobject-sys"
+version = "0.15.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0d57ce44246becd17153bd035ab4d32cfee096a657fc01f2231c9278378d1e0a"
+dependencies = [
+ "glib-sys",
+ "libc",
+ "system-deps 6.0.3",
+]
+
+[[package]]
+name = "gtk"
+version = "0.15.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "92e3004a2d5d6d8b5057d2b57b3712c9529b62e82c77f25c1fecde1fd5c23bd0"
+dependencies = [
+ "atk",
+ "bitflags",
+ "cairo-rs",
+ "field-offset",
+ "futures-channel",
+ "gdk",
+ "gdk-pixbuf",
+ "gio",
+ "glib",
+ "gtk-sys",
+ "gtk3-macros",
+ "libc",
+ "once_cell",
+ "pango",
+ "pkg-config",
+]
+
+[[package]]
+name = "gtk-sys"
+version = "0.15.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d5bc2f0587cba247f60246a0ca11fe25fb733eabc3de12d1965fc07efab87c84"
+dependencies = [
+ "atk-sys",
+ "cairo-sys-rs",
+ "gdk-pixbuf-sys",
+ "gdk-sys",
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "pango-sys",
+ "system-deps 6.0.3",
+]
+
+[[package]]
+name = "gtk3-macros"
+version = "0.15.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "24f518afe90c23fba585b2d7697856f9e6a7bbc62f65588035e66f6afb01a2e9"
+dependencies = [
+ "anyhow",
+ "proc-macro-crate",
+ "proc-macro-error",
+ "proc-macro2",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "hashbrown"
+version = "0.12.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8a9ee70c43aaf417c914396645a0fa852624801b24ebb7ae78fe8272889ac888"
+
+[[package]]
+name = "heck"
+version = "0.3.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6d621efb26863f0e9924c6ac577e8275e5e6b77455db64ffa6c65c904e9e132c"
+dependencies = [
+ "unicode-segmentation",
+]
+
+[[package]]
+name = "heck"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2540771e65fc8cb83cd6e8a237f70c319bd5c29f78ed1084ba5d50eeac86f7f9"
+
+[[package]]
+name = "hermit-abi"
+version = "0.2.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ee512640fe35acbfb4bb779db6f0d80704c2cacfa2e39b601ef3e3f47d1ae4c7"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "html5ever"
+version = "0.25.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e5c13fb08e5d4dfc151ee5e88bae63f7773d61852f3bdc73c9f4b9e1bde03148"
+dependencies = [
+ "log",
+ "mac",
+ "markup5ever",
+ "proc-macro2",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "http"
+version = "0.2.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "75f43d41e26995c17e71ee126451dd3941010b0514a81a9d11f3b341debc2399"
+dependencies = [
+ "bytes",
+ "fnv",
+ "itoa 1.0.5",
+]
+
+[[package]]
+name = "http-range"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "21dec9db110f5f872ed9699c3ecf50cf16f423502706ba5c72462e28d3157573"
+
+[[package]]
+name = "ico"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "031530fe562d8c8d71c0635013d6d155bbfe8ba0aa4b4d2d24ce8af6b71047bd"
+dependencies = [
+ "byteorder",
+ "png",
+]
+
+[[package]]
+name = "ident_case"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b9e0384b61958566e926dc50660321d12159025e767c18e043daf26b70104c39"
+
+[[package]]
+name = "idna"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e14ddfc70884202db2244c223200c204c2bda1bc6e0998d11b5e024d657209e6"
+dependencies = [
+ "unicode-bidi",
+ "unicode-normalization",
+]
+
+[[package]]
+name = "ignore"
+version = "0.4.18"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "713f1b139373f96a2e0ce3ac931cd01ee973c3c5dd7c40c0c2efe96ad2b6751d"
+dependencies = [
+ "crossbeam-utils",
+ "globset",
+ "lazy_static",
+ "log",
+ "memchr",
+ "regex",
+ "same-file",
+ "thread_local",
+ "walkdir",
+ "winapi-util",
+]
+
+[[package]]
+name = "image"
+version = "0.24.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "69b7ea949b537b0fd0af141fff8c77690f2ce96f4f41f042ccb6c69c6c965945"
+dependencies = [
+ "bytemuck",
+ "byteorder",
+ "color_quant",
+ "num-rational",
+ "num-traits",
+]
+
+[[package]]
+name = "indexmap"
+version = "1.9.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1885e79c1fc4b10f0e172c475f458b7f7b93061064d98c3293e98c5ba0c8b399"
+dependencies = [
+ "autocfg",
+ "hashbrown",
+]
+
+[[package]]
+name = "infer"
+version = "0.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "20b2b533137b9cad970793453d4f921c2e91312a6d88b1085c07bc15fc51bb3b"
+dependencies = [
+ "cfb",
+]
+
+[[package]]
+name = "instant"
+version = "0.1.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7a5bbe824c507c5da5956355e86a746d82e0e1464f65d862cc5e71da70e94b2c"
+dependencies = [
+ "cfg-if",
+]
+
+[[package]]
+name = "io-lifetimes"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e7d6c6f8c91b4b9ed43484ad1a938e393caf35960fce7f82a040497207bd8e9e"
+dependencies = [
+ "libc",
+ "windows-sys 0.42.0",
+]
+
+[[package]]
+name = "is-terminal"
+version = "0.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "28dfb6c8100ccc63462345b67d1bbc3679177c75ee4bf59bf29c8b1d110b8189"
+dependencies = [
+ "hermit-abi",
+ "io-lifetimes",
+ "rustix",
+ "windows-sys 0.42.0",
+]
+
+[[package]]
+name = "itertools"
+version = "0.10.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b0fd2260e829bddf4cb6ea802289de2f86d6a7a690192fbe91b3f46e0f2c8473"
+dependencies = [
+ "either",
+]
+
+[[package]]
+name = "itoa"
+version = "0.4.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b71991ff56294aa922b450139ee08b3bfc70982c6b2c7562771375cf73542dd4"
+
+[[package]]
+name = "itoa"
+version = "1.0.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fad582f4b9e86b6caa621cabeb0963332d92eea04729ab12892c2533951e6440"
+
+[[package]]
+name = "javascriptcore-rs"
+version = "0.16.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bf053e7843f2812ff03ef5afe34bb9c06ffee120385caad4f6b9967fcd37d41c"
+dependencies = [
+ "bitflags",
+ "glib",
+ "javascriptcore-rs-sys",
+]
+
+[[package]]
+name = "javascriptcore-rs-sys"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "905fbb87419c5cde6e3269537e4ea7d46431f3008c5d057e915ef3f115e7793c"
+dependencies = [
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps 5.0.0",
+]
+
+[[package]]
+name = "jni"
+version = "0.20.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "039022cdf4d7b1cf548d31f60ae783138e5fd42013f6271049d7df7afadef96c"
+dependencies = [
+ "cesu8",
+ "combine",
+ "jni-sys",
+ "log",
+ "thiserror",
+ "walkdir",
+]
+
+[[package]]
+name = "jni-sys"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8eaf4bc02d17cbdd7ff4c7438cafcdf7fb9a4613313ad11b4f8fefe7d3fa0130"
+
+[[package]]
+name = "js-sys"
+version = "0.3.60"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "49409df3e3bf0856b916e2ceaca09ee28e6871cf7d9ce97a692cacfdb2a25a47"
+dependencies = [
+ "wasm-bindgen",
+]
+
+[[package]]
+name = "json-patch"
+version = "0.2.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eb3fa5a61630976fc4c353c70297f2e93f1930e3ccee574d59d618ccbd5154ce"
+dependencies = [
+ "serde",
+ "serde_json",
+ "treediff",
+]
+
+[[package]]
+name = "kuchiki"
+version = "0.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1ea8e9c6e031377cff82ee3001dc8026cdf431ed4e2e6b51f98ab8c73484a358"
+dependencies = [
+ "cssparser",
+ "html5ever",
+ "matches",
+ "selectors",
+]
+
+[[package]]
+name = "lazy_static"
+version = "1.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e2abad23fbc42b3700f2f279844dc832adb2b2eb069b2df918f455c4e18cc646"
+
+[[package]]
+name = "libc"
+version = "0.2.139"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "201de327520df007757c1f0adce6e827fe8562fbc28bfd9c15571c66ca1f5f79"
+
+[[package]]
+name = "libdbus-sys"
+version = "0.2.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2264f9d90a9b4e60a2dc722ad899ea0374f03c2e96e755fe22a8f551d4d5fb3c"
+dependencies = [
+ "pkg-config",
+]
+
+[[package]]
+name = "line-wrap"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f30344350a2a51da54c1d53be93fade8a237e545dbcc4bdbe635413f2117cab9"
+dependencies = [
+ "safemem",
+]
+
+[[package]]
+name = "linux-raw-sys"
+version = "0.1.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f051f77a7c8e6957c0696eac88f26b0117e54f52d3fc682ab19397a8812846a4"
+
+[[package]]
+name = "lock_api"
+version = "0.4.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "435011366fe56583b16cf956f9df0095b405b82d76425bc8981c0e22e60ec4df"
+dependencies = [
+ "autocfg",
+ "scopeguard",
+]
+
+[[package]]
+name = "log"
+version = "0.4.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "abb12e687cfb44aa40f41fc3978ef76448f9b6038cad6aef4259d3c095a2382e"
+dependencies = [
+ "cfg-if",
+]
+
+[[package]]
+name = "loom"
+version = "0.5.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ff50ecb28bb86013e935fb6683ab1f6d3a20016f123c76fd4c27470076ac30f5"
+dependencies = [
+ "cfg-if",
+ "generator",
+ "scoped-tls",
+ "serde",
+ "serde_json",
+ "tracing",
+ "tracing-subscriber",
+]
+
+[[package]]
+name = "mac"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c41e0c4fef86961ac6d6f8a82609f55f31b05e4fce149ac5710e439df7619ba4"
+
+[[package]]
+name = "mac-notification-sys"
+version = "0.5.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3e72d50edb17756489e79d52eb146927bec8eba9dd48faadf9ef08bca3791ad5"
+dependencies = [
+ "cc",
+ "dirs-next",
+ "objc-foundation",
+ "objc_id",
+ "time",
+]
+
+[[package]]
+name = "malloc_buf"
+version = "0.0.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "62bb907fe88d54d8d9ce32a3cceab4218ed2f6b7d35617cafe9adf84e43919cb"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "markup5ever"
+version = "0.10.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a24f40fb03852d1cdd84330cddcaf98e9ec08a7b7768e952fad3b4cf048ec8fd"
+dependencies = [
+ "log",
+ "phf 0.8.0",
+ "phf_codegen",
+ "string_cache",
+ "string_cache_codegen",
+ "tendril",
+]
+
+[[package]]
+name = "matchers"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8263075bb86c5a1b1427b5ae862e8889656f126e9f77c484496e8b47cf5c5558"
+dependencies = [
+ "regex-automata",
+]
+
+[[package]]
+name = "matches"
+version = "0.1.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2532096657941c2fea9c289d370a250971c689d4f143798ff67113ec042024a5"
+
+[[package]]
+name = "memchr"
+version = "2.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2dffe52ecf27772e601905b7522cb4ef790d2cc203488bbd0e2fe85fcb74566d"
+
+[[package]]
+name = "memoffset"
+version = "0.6.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5aa361d4faea93603064a027415f07bd8e1d5c88c9fbf68bf56a285428fd79ce"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "memoffset"
+version = "0.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5de893c32cde5f383baa4c04c5d6dbdd735cfd4a794b0debdb2bb1b421da5ff4"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "minisign-verify"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "933dca44d65cdd53b355d0b73d380a2ff5da71f87f036053188bf1eab6a19881"
+
+[[package]]
+name = "miniz_oxide"
+version = "0.6.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b275950c28b37e794e8c55d88aeb5e139d0ce23fdbbeda68f8d7174abdf9e8fa"
+dependencies = [
+ "adler",
+]
+
+[[package]]
+name = "native-tls"
+version = "0.2.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "07226173c32f2926027b63cce4bcd8076c3552846cbe7925f3aaffeac0a3b92e"
+dependencies = [
+ "lazy_static",
+ "libc",
+ "log",
+ "openssl",
+ "openssl-probe",
+ "openssl-sys",
+ "schannel",
+ "security-framework",
+ "security-framework-sys",
+ "tempfile",
+]
+
+[[package]]
+name = "ndk"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2032c77e030ddee34a6787a64166008da93f6a352b629261d0fee232b8742dd4"
+dependencies = [
+ "bitflags",
+ "jni-sys",
+ "ndk-sys",
+ "num_enum",
+ "thiserror",
+]
+
+[[package]]
+name = "ndk-context"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "27b02d87554356db9e9a873add8782d4ea6e3e58ea071a9adb9a2e8ddb884a8b"
+
+[[package]]
+name = "ndk-sys"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6e5a6ae77c8ee183dcbbba6150e2e6b9f3f4196a7666c02a715a95692ec1fa97"
+dependencies = [
+ "jni-sys",
+]
+
+[[package]]
+name = "new_debug_unreachable"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e4a24736216ec316047a1fc4252e27dabb04218aa4a3f37c6e7ddbf1f9782b54"
+
+[[package]]
+name = "nodrop"
+version = "0.1.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "72ef4a56884ca558e5ddb05a1d1e7e1bfd9a68d9ed024c21704cc98872dae1bb"
+
+[[package]]
+name = "nom8"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ae01545c9c7fc4486ab7debaf2aad7003ac19431791868fb2e8066df97fad2f8"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "notify-rust"
+version = "4.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3ce656bb6d22a93ae276a23de52d1aec5ba4db3ece3c0eb79dfd5add7384db6a"
+dependencies = [
+ "dbus",
+ "mac-notification-sys",
+ "tauri-winrt-notification",
+]
+
+[[package]]
+name = "ntapi"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bc51db7b362b205941f71232e56c625156eb9a929f8cf74a428fd5bc094a4afc"
+dependencies = [
+ "winapi",
+]
+
+[[package]]
+name = "nu-ansi-term"
+version = "0.46.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "77a8165726e8236064dbb45459242600304b42a5ea24ee2948e18e023bf7ba84"
+dependencies = [
+ "overload",
+ "winapi",
+]
+
+[[package]]
+name = "num-integer"
+version = "0.1.45"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "225d3389fb3509a24c93f5c29eb6bde2586b98d9f016636dff58d7c6f7569cd9"
+dependencies = [
+ "autocfg",
+ "num-traits",
+]
+
+[[package]]
+name = "num-rational"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0638a1c9d0a3c0914158145bc76cff373a75a627e6ecbfb71cbe6f453a5a19b0"
+dependencies = [
+ "autocfg",
+ "num-integer",
+ "num-traits",
+]
+
+[[package]]
+name = "num-traits"
+version = "0.2.15"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "578ede34cf02f8924ab9447f50c28075b4d3e5b269972345e7e0372b38c6cdcd"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "num_cpus"
+version = "1.15.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0fac9e2da13b5eb447a6ce3d392f23a29d8694bff781bf03a16cd9ac8697593b"
+dependencies = [
+ "hermit-abi",
+ "libc",
+]
+
+[[package]]
+name = "num_enum"
+version = "0.5.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8d829733185c1ca374f17e52b762f24f535ec625d2cc1f070e34c8a9068f341b"
+dependencies = [
+ "num_enum_derive",
+]
+
+[[package]]
+name = "num_enum_derive"
+version = "0.5.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2be1598bf1c313dcdd12092e3f1920f463462525a21b7b4e11b4168353d0123e"
+dependencies = [
+ "proc-macro-crate",
+ "proc-macro2",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "objc"
+version = "0.2.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "915b1b472bc21c53464d6c8461c9d3af805ba1ef837e1cac254428f4a77177b1"
+dependencies = [
+ "malloc_buf",
+ "objc_exception",
+]
+
+[[package]]
+name = "objc-foundation"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1add1b659e36c9607c7aab864a76c7a4c2760cd0cd2e120f3fb8b952c7e22bf9"
+dependencies = [
+ "block",
+ "objc",
+ "objc_id",
+]
+
+[[package]]
+name = "objc_exception"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ad970fb455818ad6cba4c122ad012fae53ae8b4795f86378bce65e4f6bab2ca4"
+dependencies = [
+ "cc",
+]
+
+[[package]]
+name = "objc_id"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c92d4ddb4bd7b50d730c215ff871754d0da6b2178849f8a2a2ab69712d0c073b"
+dependencies = [
+ "objc",
+]
+
+[[package]]
+name = "once_cell"
+version = "1.17.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6f61fba1741ea2b3d6a1e3178721804bb716a68a6aeba1149b5d52e3d464ea66"
+
+[[package]]
+name = "open"
+version = "3.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2078c0039e6a54a0c42c28faa984e115fb4c2d5bf2208f77d1961002df8576f8"
+dependencies = [
+ "pathdiff",
+ "windows-sys 0.42.0",
+]
+
+[[package]]
+name = "openssl"
+version = "0.10.45"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b102428fd03bc5edf97f62620f7298614c45cedf287c271e7ed450bbaf83f2e1"
+dependencies = [
+ "bitflags",
+ "cfg-if",
+ "foreign-types",
+ "libc",
+ "once_cell",
+ "openssl-macros",
+ "openssl-sys",
+]
+
+[[package]]
+name = "openssl-macros"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b501e44f11665960c7e7fcf062c7d96a14ade4aa98116c004b2e37b5be7d736c"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "openssl-probe"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ff011a302c396a5197692431fc1948019154afc178baf7d8e37367442a4601cf"
+
+[[package]]
+name = "openssl-sys"
+version = "0.9.80"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "23bbbf7854cd45b83958ebe919f0e8e516793727652e27fda10a8384cfc790b7"
+dependencies = [
+ "autocfg",
+ "cc",
+ "libc",
+ "pkg-config",
+ "vcpkg",
+]
+
+[[package]]
+name = "os_info"
+version = "3.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c4750134fb6a5d49afc80777394ad5d95b04bc12068c6abb92fae8f43817270f"
+dependencies = [
+ "log",
+ "serde",
+ "winapi",
+]
+
+[[package]]
+name = "os_pipe"
+version = "1.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c6a252f1f8c11e84b3ab59d7a488e48e4478a93937e027076638c49536204639"
+dependencies = [
+ "libc",
+ "windows-sys 0.42.0",
+]
+
+[[package]]
+name = "os_str_bytes"
+version = "6.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9b7820b9daea5457c9f21c69448905d723fbd21136ccf521748f23fd49e723ee"
+
+[[package]]
+name = "overload"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b15813163c1d831bf4a13c3610c05c0d03b39feb07f7e09fa234dac9b15aaf39"
+
+[[package]]
+name = "pango"
+version = "0.15.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "22e4045548659aee5313bde6c582b0d83a627b7904dd20dc2d9ef0895d414e4f"
+dependencies = [
+ "bitflags",
+ "glib",
+ "libc",
+ "once_cell",
+ "pango-sys",
+]
+
+[[package]]
+name = "pango-sys"
+version = "0.15.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d2a00081cde4661982ed91d80ef437c20eacaf6aa1a5962c0279ae194662c3aa"
+dependencies = [
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps 6.0.3",
+]
+
+[[package]]
+name = "parallel-disk-usage"
+version = "0.8.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e42b33f85d6d5a345c243fff616265c2b8263a44641e88e4afd80f32dbd7279b"
+dependencies = [
+ "assert-cmp",
+ "clap",
+ "clap-utilities",
+ "clap_complete",
+ "derive_more",
+ "fmt-iter",
+ "itertools",
+ "pipe-trait",
+ "rayon",
+ "rounded-div",
+ "serde",
+ "serde_json",
+ "smart-default",
+ "terminal_size",
+ "text-block-macros",
+ "thiserror",
+ "zero-copy-pads",
+]
+
+[[package]]
+name = "parking_lot"
+version = "0.12.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3742b2c103b9f06bc9fff0a37ff4912935851bee6d36f3c02bcc755bcfec228f"
+dependencies = [
+ "lock_api",
+ "parking_lot_core",
+]
+
+[[package]]
+name = "parking_lot_core"
+version = "0.9.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ba1ef8814b5c993410bb3adfad7a5ed269563e4a2f90c41f5d85be7fb47133bf"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "redox_syscall",
+ "smallvec",
+ "windows-sys 0.42.0",
+]
+
+[[package]]
+name = "paste"
+version = "1.0.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d01a5bd0424d00070b0098dd17ebca6f961a959dead1dbcbbbc1d1cd8d3deeba"
+
+[[package]]
+name = "pathdiff"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8835116a5c179084a830efb3adc117ab007512b535bc1a21c991d3b32a6b44dd"
+
+[[package]]
+name = "percent-encoding"
+version = "2.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "478c572c3d73181ff3c2539045f6eb99e5491218eae919370993b890cdbdd98e"
+
+[[package]]
+name = "pest"
+version = "2.5.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4ab62d2fa33726dbe6321cc97ef96d8cde531e3eeaf858a058de53a8a6d40d8f"
+dependencies = [
+ "thiserror",
+ "ucd-trie",
+]
+
+[[package]]
+name = "phf"
+version = "0.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3dfb61232e34fcb633f43d12c58f83c1df82962dcdfa565a4e866ffc17dafe12"
+dependencies = [
+ "phf_macros 0.8.0",
+ "phf_shared 0.8.0",
+ "proc-macro-hack",
+]
+
+[[package]]
+name = "phf"
+version = "0.10.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fabbf1ead8a5bcbc20f5f8b939ee3f5b0f6f281b6ad3468b84656b658b455259"
+dependencies = [
+ "phf_macros 0.10.0",
+ "phf_shared 0.10.0",
+ "proc-macro-hack",
+]
+
+[[package]]
+name = "phf_codegen"
+version = "0.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cbffee61585b0411840d3ece935cce9cb6321f01c45477d30066498cd5e1a815"
+dependencies = [
+ "phf_generator 0.8.0",
+ "phf_shared 0.8.0",
+]
+
+[[package]]
+name = "phf_generator"
+version = "0.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "17367f0cc86f2d25802b2c26ee58a7b23faeccf78a396094c13dced0d0182526"
+dependencies = [
+ "phf_shared 0.8.0",
+ "rand 0.7.3",
+]
+
+[[package]]
+name = "phf_generator"
+version = "0.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5d5285893bb5eb82e6aaf5d59ee909a06a16737a8970984dd7746ba9283498d6"
+dependencies = [
+ "phf_shared 0.10.0",
+ "rand 0.8.5",
+]
+
+[[package]]
+name = "phf_macros"
+version = "0.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f6fde18ff429ffc8fe78e2bf7f8b7a5a5a6e2a8b58bc5a9ac69198bbda9189c"
+dependencies = [
+ "phf_generator 0.8.0",
+ "phf_shared 0.8.0",
+ "proc-macro-hack",
+ "proc-macro2",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "phf_macros"
+version = "0.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "58fdf3184dd560f160dd73922bea2d5cd6e8f064bf4b13110abd81b03697b4e0"
+dependencies = [
+ "phf_generator 0.10.0",
+ "phf_shared 0.10.0",
+ "proc-macro-hack",
+ "proc-macro2",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "phf_shared"
+version = "0.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c00cf8b9eafe68dde5e9eaa2cef8ee84a9336a47d566ec55ca16589633b65af7"
+dependencies = [
+ "siphasher",
+]
+
+[[package]]
+name = "phf_shared"
+version = "0.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b6796ad771acdc0123d2a88dc428b5e38ef24456743ddb1744ed628f9815c096"
+dependencies = [
+ "siphasher",
+]
+
+[[package]]
+name = "pin-project-lite"
+version = "0.2.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e0a7ae3ac2f1173085d398531c705756c94a4c56843785df85a60c1a0afac116"
+
+[[package]]
+name = "pin-utils"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8b870d8c151b6f2fb93e84a13146138f05d02ed11c7e7c54f8826aaaf7c9f184"
+
+[[package]]
+name = "pipe-trait"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c1be1ec9e59f0360aefe84efa6f699198b685ab0d5718081e9f72aa2344289e2"
+
+[[package]]
+name = "pkg-config"
+version = "0.3.26"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6ac9a59f73473f1b8d852421e59e64809f025994837ef743615c6d0c5b305160"
+
+[[package]]
+name = "plist"
+version = "1.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5329b8f106a176ab0dce4aae5da86bfcb139bb74fb00882859e03745011f3635"
+dependencies = [
+ "base64",
+ "indexmap",
+ "line-wrap",
+ "quick-xml 0.26.0",
+ "serde",
+ "time",
+]
+
+[[package]]
+name = "png"
+version = "0.17.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5d708eaf860a19b19ce538740d2b4bdeeb8337fa53f7738455e706623ad5c638"
+dependencies = [
+ "bitflags",
+ "crc32fast",
+ "flate2",
+ "miniz_oxide",
+]
+
+[[package]]
+name = "ppv-lite86"
+version = "0.2.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5b40af805b3121feab8a3c29f04d8ad262fa8e0561883e7653e024ae4479e6de"
+
+[[package]]
+name = "precomputed-hash"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "925383efa346730478fb4838dbe9137d2a47675ad789c546d150a6e1dd4ab31c"
+
+[[package]]
+name = "proc-macro-crate"
+version = "1.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "66618389e4ec1c7afe67d51a9bf34ff9236480f8d51e7489b7d5ab0303c13f34"
+dependencies = [
+ "once_cell",
+ "toml_edit",
+]
+
+[[package]]
+name = "proc-macro-error"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "da25490ff9892aab3fcf7c36f08cfb902dd3e71ca0f9f9517bea02a73a5ce38c"
+dependencies = [
+ "proc-macro-error-attr",
+ "proc-macro2",
+ "quote",
+ "syn",
+ "version_check",
+]
+
+[[package]]
+name = "proc-macro-error-attr"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a1be40180e52ecc98ad80b184934baf3d0d29f979574e439af5a55274b35f869"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "version_check",
+]
+
+[[package]]
+name = "proc-macro-hack"
+version = "0.5.20+deprecated"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dc375e1527247fe1a97d8b7156678dfe7c1af2fc075c9a4db3690ecd2a148068"
+
+[[package]]
+name = "proc-macro2"
+version = "1.0.50"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6ef7d57beacfaf2d8aee5937dab7b7f28de3cb8b1828479bb5de2a7106f2bae2"
+dependencies = [
+ "unicode-ident",
+]
+
+[[package]]
+name = "quick-xml"
+version = "0.23.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "11bafc859c6815fbaffbbbf4229ecb767ac913fecb27f9ad4343662e9ef099ea"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "quick-xml"
+version = "0.26.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f50b1c63b38611e7d4d7f68b82d3ad0cc71a2ad2e7f61fc10f1328d917c93cd"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "quote"
+version = "1.0.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8856d8364d252a14d474036ea1358d63c9e6965c8e5c1885c18f73d70bff9c7b"
+dependencies = [
+ "proc-macro2",
+]
+
+[[package]]
+name = "rand"
+version = "0.7.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6a6b1679d49b24bbfe0c803429aa1874472f50d9b363131f0e89fc356b544d03"
+dependencies = [
+ "getrandom 0.1.16",
+ "libc",
+ "rand_chacha 0.2.2",
+ "rand_core 0.5.1",
+ "rand_hc",
+ "rand_pcg",
+]
+
+[[package]]
+name = "rand"
+version = "0.8.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "34af8d1a0e25924bc5b7c43c079c942339d8f0a8b57c39049bef581b46327404"
+dependencies = [
+ "libc",
+ "rand_chacha 0.3.1",
+ "rand_core 0.6.4",
+]
+
+[[package]]
+name = "rand_chacha"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f4c8ed856279c9737206bf725bf36935d8666ead7aa69b52be55af369d193402"
+dependencies = [
+ "ppv-lite86",
+ "rand_core 0.5.1",
+]
+
+[[package]]
+name = "rand_chacha"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e6c10a63a0fa32252be49d21e7709d4d4baf8d231c2dbce1eaa8141b9b127d88"
+dependencies = [
+ "ppv-lite86",
+ "rand_core 0.6.4",
+]
+
+[[package]]
+name = "rand_core"
+version = "0.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "90bde5296fc891b0cef12a6d03ddccc162ce7b2aff54160af9338f8d40df6d19"
+dependencies = [
+ "getrandom 0.1.16",
+]
+
+[[package]]
+name = "rand_core"
+version = "0.6.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ec0be4795e2f6a28069bec0b5ff3e2ac9bafc99e6a9a7dc3547996c5c816922c"
+dependencies = [
+ "getrandom 0.2.8",
+]
+
+[[package]]
+name = "rand_hc"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ca3129af7b92a17112d59ad498c6f81eaf463253766b90396d39ea7a39d6613c"
+dependencies = [
+ "rand_core 0.5.1",
+]
+
+[[package]]
+name = "rand_pcg"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "16abd0c1b639e9eb4d7c50c0b8100b0d0f849be2349829c740fe8e6eb4816429"
+dependencies = [
+ "rand_core 0.5.1",
+]
+
+[[package]]
+name = "raw-window-handle"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ed7e3d950b66e19e0c372f3fa3fbbcf85b1746b571f74e0c2af6042a5c93420a"
+dependencies = [
+ "cty",
+]
+
+[[package]]
+name = "rayon"
+version = "1.6.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6db3a213adf02b3bcfd2d3846bb41cb22857d131789e01df434fb7e7bc0759b7"
+dependencies = [
+ "either",
+ "rayon-core",
+]
+
+[[package]]
+name = "rayon-core"
+version = "1.10.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "356a0625f1954f730c0201cdab48611198dc6ce21f4acff55089b5a78e6e835b"
+dependencies = [
+ "crossbeam-channel",
+ "crossbeam-deque",
+ "crossbeam-utils",
+ "num_cpus",
+]
+
+[[package]]
+name = "redox_syscall"
+version = "0.2.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fb5a58c1855b4b6819d59012155603f0b22ad30cad752600aadfcb695265519a"
+dependencies = [
+ "bitflags",
+]
+
+[[package]]
+name = "redox_users"
+version = "0.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b033d837a7cf162d7993aded9304e30a83213c648b6e389db233191f891e5c2b"
+dependencies = [
+ "getrandom 0.2.8",
+ "redox_syscall",
+ "thiserror",
+]
+
+[[package]]
+name = "regex"
+version = "1.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "48aaa5748ba571fb95cd2c85c09f629215d3a6ece942baa100950af03a34f733"
+dependencies = [
+ "aho-corasick",
+ "memchr",
+ "regex-syntax",
+]
+
+[[package]]
+name = "regex-automata"
+version = "0.1.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6c230d73fb8d8c1b9c0b3135c5142a8acee3a0558fb8db5cf1cb65f8d7862132"
+dependencies = [
+ "regex-syntax",
+]
+
+[[package]]
+name = "regex-syntax"
+version = "0.6.28"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "456c603be3e8d448b072f410900c09faf164fbce2d480456f50eea6e25f9c848"
+
+[[package]]
+name = "remove_dir_all"
+version = "0.5.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3acd125665422973a33ac9d3dd2df85edad0f4ae9b00dafb1a05e43a9f5ef8e7"
+dependencies = [
+ "winapi",
+]
+
+[[package]]
+name = "rfd"
+version = "0.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0149778bd99b6959285b0933288206090c50e2327f47a9c463bfdbf45c8823ea"
+dependencies = [
+ "block",
+ "dispatch",
+ "glib-sys",
+ "gobject-sys",
+ "gtk-sys",
+ "js-sys",
+ "lazy_static",
+ "log",
+ "objc",
+ "objc-foundation",
+ "objc_id",
+ "raw-window-handle",
+ "wasm-bindgen",
+ "wasm-bindgen-futures",
+ "web-sys",
+ "windows 0.37.0",
+]
+
+[[package]]
+name = "rounded-div"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "464c8fb0a126d6a0326baf6abf1aa62c2da0d5780aa781a81451d64f543f5e2f"
+
+[[package]]
+name = "rustc_version"
+version = "0.3.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f0dfe2087c51c460008730de8b57e6a320782fbfb312e1f4d520e6c6fae155ee"
+dependencies = [
+ "semver 0.11.0",
+]
+
+[[package]]
+name = "rustc_version"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bfa0f585226d2e68097d4f95d113b15b83a82e819ab25717ec0590d9584ef366"
+dependencies = [
+ "semver 1.0.16",
+]
+
+[[package]]
+name = "rustix"
+version = "0.36.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d4fdebc4b395b7fbb9ab11e462e20ed9051e7b16e42d24042c776eca0ac81b03"
+dependencies = [
+ "bitflags",
+ "errno",
+ "io-lifetimes",
+ "libc",
+ "linux-raw-sys",
+ "windows-sys 0.42.0",
+]
+
+[[package]]
+name = "rustversion"
+version = "1.0.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5583e89e108996506031660fe09baa5011b9dd0341b89029313006d1fb508d70"
+
+[[package]]
+name = "ryu"
+version = "1.0.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7b4b9743ed687d4b4bcedf9ff5eaa7398495ae14e61cba0a295704edbc7decde"
+
+[[package]]
+name = "safemem"
+version = "0.3.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ef703b7cb59335eae2eb93ceb664c0eb7ea6bf567079d843e09420219668e072"
+
+[[package]]
+name = "same-file"
+version = "1.0.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "93fc1dc3aaa9bfed95e02e6eadabb4baf7e3078b0bd1b4d7b6b0b68378900502"
+dependencies = [
+ "winapi-util",
+]
+
+[[package]]
+name = "schannel"
+version = "0.1.21"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "713cfb06c7059f3588fb8044c0fad1d09e3c01d225e25b9220dbfdcf16dbb1b3"
+dependencies = [
+ "windows-sys 0.42.0",
+]
+
+[[package]]
+name = "scoped-tls"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e1cf6437eb19a8f4a6cc0f7dca544973b0b78843adbfeb3683d1a94a0024a294"
+
+[[package]]
+name = "scopeguard"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d29ab0c6d3fc0ee92fe66e2d99f700eab17a8d57d1c1d3b748380fb20baa78cd"
+
+[[package]]
+name = "security-framework"
+version = "2.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7c4437699b6d34972de58652c68b98cb5b53a4199ab126db8e20ec8ded29a721"
+dependencies = [
+ "bitflags",
+ "core-foundation",
+ "core-foundation-sys",
+ "libc",
+ "security-framework-sys",
+]
+
+[[package]]
+name = "security-framework-sys"
+version = "2.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "31c9bb296072e961fcbd8853511dd39c2d8be2deb1e17c6860b1d30732b323b4"
+dependencies = [
+ "core-foundation-sys",
+ "libc",
+]
+
+[[package]]
+name = "selectors"
+version = "0.22.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "df320f1889ac4ba6bc0cdc9c9af7af4bd64bb927bccdf32d81140dc1f9be12fe"
+dependencies = [
+ "bitflags",
+ "cssparser",
+ "derive_more",
+ "fxhash",
+ "log",
+ "matches",
+ "phf 0.8.0",
+ "phf_codegen",
+ "precomputed-hash",
+ "servo_arc",
+ "smallvec",
+ "thin-slice",
+]
+
+[[package]]
+name = "semver"
+version = "0.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f301af10236f6df4160f7c3f04eec6dbc70ace82d23326abad5edee88801c6b6"
+dependencies = [
+ "semver-parser",
+]
+
+[[package]]
+name = "semver"
+version = "1.0.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "58bc9567378fc7690d6b2addae4e60ac2eeea07becb2c64b9f218b53865cba2a"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "semver-parser"
+version = "0.10.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "00b0bef5b7f9e0df16536d3961cfb6e84331c065b4066afb39768d0e319411f7"
+dependencies = [
+ "pest",
+]
+
+[[package]]
+name = "serde"
+version = "1.0.152"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bb7d1f0d3021d347a83e556fc4683dea2ea09d87bccdf88ff5c12545d89d5efb"
+dependencies = [
+ "serde_derive",
+]
+
+[[package]]
+name = "serde_derive"
+version = "1.0.152"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "af487d118eecd09402d70a5d72551860e788df87b464af30e5ea6a38c75c541e"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "serde_json"
+version = "1.0.91"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "877c235533714907a8c2464236f5c4b2a17262ef1bd71f38f35ea592c8da6883"
+dependencies = [
+ "itoa 1.0.5",
+ "ryu",
+ "serde",
+]
+
+[[package]]
+name = "serde_repr"
+version = "0.1.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9a5ec9fa74a20ebbe5d9ac23dac1fc96ba0ecfe9f50f2843b52e537b10fbcb4e"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "serde_urlencoded"
+version = "0.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d3491c14715ca2294c4d6a88f15e84739788c1d030eed8c110436aafdaa2f3fd"
+dependencies = [
+ "form_urlencoded",
+ "itoa 1.0.5",
+ "ryu",
+ "serde",
+]
+
+[[package]]
+name = "serde_with"
+version = "1.14.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "678b5a069e50bf00ecd22d0cd8ddf7c236f68581b03db652061ed5eb13a312ff"
+dependencies = [
+ "serde",
+ "serde_with_macros",
+]
+
+[[package]]
+name = "serde_with_macros"
+version = "1.5.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e182d6ec6f05393cc0e5ed1bf81ad6db3a8feedf8ee515ecdd369809bcce8082"
+dependencies = [
+ "darling 0.13.4",
+ "proc-macro2",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "serialize-to-javascript"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c9823f2d3b6a81d98228151fdeaf848206a7855a7a042bbf9bf870449a66cafb"
+dependencies = [
+ "serde",
+ "serde_json",
+ "serialize-to-javascript-impl",
+]
+
+[[package]]
+name = "serialize-to-javascript-impl"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "74064874e9f6a15f04c1f3cb627902d0e6b410abbf36668afa873c61889f1763"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "servo_arc"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d98238b800e0d1576d8b6e3de32827c2d74bee68bb97748dcf5071fb53965432"
+dependencies = [
+ "nodrop",
+ "stable_deref_trait",
+]
+
+[[package]]
+name = "sha2"
+version = "0.10.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "82e6b795fe2e3b1e845bafcb27aa35405c4d47cdfc92af5fc8d3002f76cebdc0"
+dependencies = [
+ "cfg-if",
+ "cpufeatures",
+ "digest",
+]
+
+[[package]]
+name = "sharded-slab"
+version = "0.1.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "900fba806f70c630b0a382d0d825e17a0f19fcd059a2ade1ff237bcddf446b31"
+dependencies = [
+ "lazy_static",
+]
+
+[[package]]
+name = "shared_child"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b0d94659ad3c2137fef23ae75b03d5241d633f8acded53d672decfa0e6e0caef"
+dependencies = [
+ "libc",
+ "winapi",
+]
+
+[[package]]
+name = "siphasher"
+version = "0.3.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7bd3e3206899af3f8b12af284fafc038cc1dc2b41d1b89dd17297221c5d225de"
+
+[[package]]
+name = "slab"
+version = "0.4.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4614a76b2a8be0058caa9dbbaf66d988527d86d003c11a94fbd335d7661edcef"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "smallvec"
+version = "1.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a507befe795404456341dfab10cef66ead4c041f62b8b11bbb92bffe5d0953e0"
+
+[[package]]
+name = "smart-default"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "133659a15339456eeeb07572eb02a91c91e9815e9cbc89566944d2c8d3efdbf6"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "soup2"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b2b4d76501d8ba387cf0fefbe055c3e0a59891d09f0f995ae4e4b16f6b60f3c0"
+dependencies = [
+ "bitflags",
+ "gio",
+ "glib",
+ "libc",
+ "once_cell",
+ "soup2-sys",
+]
+
+[[package]]
+name = "soup2-sys"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "009ef427103fcb17f802871647a7fa6c60cbb654b4c4e4c0ac60a31c5f6dc9cf"
+dependencies = [
+ "bitflags",
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps 5.0.0",
+]
+
+[[package]]
+name = "squirreldisk-tauri"
+version = "0.0.0"
+dependencies = [
+ "cocoa",
+ "objc",
+ "parallel-disk-usage",
+ "raw-window-handle",
+ "regex",
+ "serde",
+ "serde_json",
+ "sysinfo",
+ "tauri",
+ "tauri-build",
+ "walkdir",
+ "window-shadows",
+ "window-vibrancy",
+ "windows-sys 0.45.0",
+]
+
+[[package]]
+name = "stable_deref_trait"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a8f112729512f8e442d81f95a8a7ddf2b7c6b8a1a6f509a95864142b30cab2d3"
+
+[[package]]
+name = "state"
+version = "0.5.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dbe866e1e51e8260c9eed836a042a5e7f6726bb2b411dffeaa712e19c388f23b"
+dependencies = [
+ "loom",
+]
+
+[[package]]
+name = "string_cache"
+version = "0.8.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "213494b7a2b503146286049378ce02b482200519accc31872ee8be91fa820a08"
+dependencies = [
+ "new_debug_unreachable",
+ "once_cell",
+ "parking_lot",
+ "phf_shared 0.10.0",
+ "precomputed-hash",
+ "serde",
+]
+
+[[package]]
+name = "string_cache_codegen"
+version = "0.5.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6bb30289b722be4ff74a408c3cc27edeaad656e06cb1fe8fa9231fa59c728988"
+dependencies = [
+ "phf_generator 0.10.0",
+ "phf_shared 0.10.0",
+ "proc-macro2",
+ "quote",
+]
+
+[[package]]
+name = "strsim"
+version = "0.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "73473c0e59e6d5812c5dfe2a064a6444949f089e20eec9a2e5506596494e4623"
+
+[[package]]
+name = "strum"
+version = "0.22.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f7ac893c7d471c8a21f31cfe213ec4f6d9afeed25537c772e08ef3f005f8729e"
+dependencies = [
+ "strum_macros",
+]
+
+[[package]]
+name = "strum_macros"
+version = "0.22.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "339f799d8b549e3744c7ac7feb216383e4005d94bdb22561b3ab8f3b808ae9fb"
+dependencies = [
+ "heck 0.3.3",
+ "proc-macro2",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "syn"
+version = "1.0.107"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1f4064b5b16e03ae50984a5a8ed5d4f8803e6bc1fd170a3cda91a1be4b18e3f5"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "unicode-ident",
+]
+
+[[package]]
+name = "sysinfo"
+version = "0.27.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "975fe381e0ecba475d4acff52466906d95b153a40324956552e027b2a9eaa89e"
+dependencies = [
+ "cfg-if",
+ "core-foundation-sys",
+ "libc",
+ "ntapi",
+ "once_cell",
+ "rayon",
+ "winapi",
+]
+
+[[package]]
+name = "system-deps"
+version = "5.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "18db855554db7bd0e73e06cf7ba3df39f97812cb11d3f75e71c39bf45171797e"
+dependencies = [
+ "cfg-expr 0.9.1",
+ "heck 0.3.3",
+ "pkg-config",
+ "toml",
+ "version-compare 0.0.11",
+]
+
+[[package]]
+name = "system-deps"
+version = "6.0.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2955b1fe31e1fa2fbd1976b71cc69a606d7d4da16f6de3333d0c92d51419aeff"
+dependencies = [
+ "cfg-expr 0.11.0",
+ "heck 0.4.0",
+ "pkg-config",
+ "toml",
+ "version-compare 0.1.1",
+]
+
+[[package]]
+name = "tao"
+version = "0.15.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ac8e6399427c8494f9849b58694754d7cc741293348a6836b6c8d2c5aa82d8e6"
+dependencies = [
+ "bitflags",
+ "cairo-rs",
+ "cc",
+ "cocoa",
+ "core-foundation",
+ "core-graphics",
+ "crossbeam-channel",
+ "dispatch",
+ "gdk",
+ "gdk-pixbuf",
+ "gdk-sys",
+ "gdkx11-sys",
+ "gio",
+ "glib",
+ "glib-sys",
+ "gtk",
+ "image",
+ "instant",
+ "jni",
+ "lazy_static",
+ "libc",
+ "log",
+ "ndk",
+ "ndk-context",
+ "ndk-sys",
+ "objc",
+ "once_cell",
+ "parking_lot",
+ "paste",
+ "png",
+ "raw-window-handle",
+ "scopeguard",
+ "serde",
+ "unicode-segmentation",
+ "uuid 1.2.2",
+ "windows 0.39.0",
+ "windows-implement",
+ "x11-dl",
+]
+
+[[package]]
+name = "tar"
+version = "0.4.38"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4b55807c0344e1e6c04d7c965f5289c39a8d94ae23ed5c0b57aabac549f871c6"
+dependencies = [
+ "filetime",
+ "libc",
+ "xattr",
+]
+
+[[package]]
+name = "tauri"
+version = "1.2.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fe7e0f1d535e7cbbbab43c82be4fc992b84f9156c16c160955617e0260ebc449"
+dependencies = [
+ "anyhow",
+ "attohttpc",
+ "base64",
+ "cocoa",
+ "dirs-next",
+ "embed_plist",
+ "encoding_rs",
+ "flate2",
+ "futures-util",
+ "glib",
+ "glob",
+ "gtk",
+ "heck 0.4.0",
+ "http",
+ "ignore",
+ "minisign-verify",
+ "notify-rust",
+ "objc",
+ "once_cell",
+ "open",
+ "os_info",
+ "os_pipe",
+ "percent-encoding",
+ "rand 0.8.5",
+ "raw-window-handle",
+ "regex",
+ "rfd",
+ "semver 1.0.16",
+ "serde",
+ "serde_json",
+ "serde_repr",
+ "serialize-to-javascript",
+ "shared_child",
+ "state",
+ "tar",
+ "tauri-macros",
+ "tauri-runtime",
+ "tauri-runtime-wry",
+ "tauri-utils",
+ "tempfile",
+ "thiserror",
+ "time",
+ "tokio",
+ "url",
+ "uuid 1.2.2",
+ "webkit2gtk",
+ "webview2-com",
+ "windows 0.39.0",
+ "zip",
+]
+
+[[package]]
+name = "tauri-build"
+version = "1.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8807c85d656b2b93927c19fe5a5f1f1f348f96c2de8b90763b3c2d561511f9b4"
+dependencies = [
+ "anyhow",
+ "cargo_toml",
+ "heck 0.4.0",
+ "json-patch",
+ "semver 1.0.16",
+ "serde_json",
+ "tauri-utils",
+ "winres",
+]
+
+[[package]]
+name = "tauri-codegen"
+version = "1.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "14388d484b6b1b5dc0f6a7d6cc6433b3b230bec85eaa576adcdf3f9fafa49251"
+dependencies = [
+ "base64",
+ "brotli",
+ "ico",
+ "json-patch",
+ "plist",
+ "png",
+ "proc-macro2",
+ "quote",
+ "regex",
+ "semver 1.0.16",
+ "serde",
+ "serde_json",
+ "sha2",
+ "tauri-utils",
+ "thiserror",
+ "time",
+ "uuid 1.2.2",
+ "walkdir",
+]
+
+[[package]]
+name = "tauri-macros"
+version = "1.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "069319e5ecbe653a799b94b0690d9f9bf5d00f7b1d3989aa331c524d4e354075"
+dependencies = [
+ "heck 0.4.0",
+ "proc-macro2",
+ "quote",
+ "syn",
+ "tauri-codegen",
+ "tauri-utils",
+]
+
+[[package]]
+name = "tauri-runtime"
+version = "0.12.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c507d954d08ac8705d235bc70ec6975b9054fb95ff7823af72dbb04186596f3b"
+dependencies = [
+ "gtk",
+ "http",
+ "http-range",
+ "rand 0.8.5",
+ "raw-window-handle",
+ "serde",
+ "serde_json",
+ "tauri-utils",
+ "thiserror",
+ "uuid 1.2.2",
+ "webview2-com",
+ "windows 0.39.0",
+]
+
+[[package]]
+name = "tauri-runtime-wry"
+version = "0.12.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "36b1c5764a41a13176a4599b5b7bd0881bea7d94dfe45e1e755f789b98317e30"
+dependencies = [
+ "cocoa",
+ "gtk",
+ "percent-encoding",
+ "rand 0.8.5",
+ "raw-window-handle",
+ "tauri-runtime",
+ "tauri-utils",
+ "uuid 1.2.2",
+ "webkit2gtk",
+ "webview2-com",
+ "windows 0.39.0",
+ "wry",
+]
+
+[[package]]
+name = "tauri-utils"
+version = "1.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5abbc109a6eb45127956ffcc26ef0e875d160150ac16cfa45d26a6b2871686f1"
+dependencies = [
+ "brotli",
+ "ctor",
+ "glob",
+ "heck 0.4.0",
+ "html5ever",
+ "infer",
+ "json-patch",
+ "kuchiki",
+ "memchr",
+ "phf 0.10.1",
+ "proc-macro2",
+ "quote",
+ "semver 1.0.16",
+ "serde",
+ "serde_json",
+ "serde_with",
+ "thiserror",
+ "url",
+ "walkdir",
+ "windows 0.39.0",
+]
+
+[[package]]
+name = "tauri-winrt-notification"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c58de036c4d2e20717024de2a3c4bf56c301f07b21bc8ef9b57189fce06f1f3b"
+dependencies = [
+ "quick-xml 0.23.1",
+ "strum",
+ "windows 0.39.0",
+]
+
+[[package]]
+name = "tempfile"
+version = "3.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5cdb1ef4eaeeaddc8fbd371e5017057064af0911902ef36b39801f67cc6d79e4"
+dependencies = [
+ "cfg-if",
+ "fastrand",
+ "libc",
+ "redox_syscall",
+ "remove_dir_all",
+ "winapi",
+]
+
+[[package]]
+name = "tendril"
+version = "0.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d24a120c5fc464a3458240ee02c299ebcb9d67b5249c8848b09d639dca8d7bb0"
+dependencies = [
+ "futf",
+ "mac",
+ "utf-8",
+]
+
+[[package]]
+name = "termcolor"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "be55cf8942feac5c765c2c993422806843c9a9a45d4d5c407ad6dd2ea95eb9b6"
+dependencies = [
+ "winapi-util",
+]
+
+[[package]]
+name = "terminal_size"
+version = "0.2.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cb20089a8ba2b69debd491f8d2d023761cbf196e999218c591fa1e7e15a21907"
+dependencies = [
+ "rustix",
+ "windows-sys 0.42.0",
+]
+
+[[package]]
+name = "text-block-macros"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f8b59b4da1c1717deaf1de80f0179a9d8b4ac91c986d5fd9f4a8ff177b84049"
+
+[[package]]
+name = "thin-slice"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8eaa81235c7058867fa8c0e7314f33dcce9c215f535d1913822a2b3f5e289f3c"
+
+[[package]]
+name = "thiserror"
+version = "1.0.38"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6a9cd18aa97d5c45c6603caea1da6628790b37f7a34b6ca89522331c5180fed0"
+dependencies = [
+ "thiserror-impl",
+]
+
+[[package]]
+name = "thiserror-impl"
+version = "1.0.38"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1fb327af4685e4d03fa8cbcf1716380da910eeb2bb8be417e7f9fd3fb164f36f"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "thread_local"
+version = "1.1.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5516c27b78311c50bf42c071425c560ac799b11c30b31f87e3081965fe5e0180"
+dependencies = [
+ "once_cell",
+]
+
+[[package]]
+name = "time"
+version = "0.3.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a561bf4617eebd33bca6434b988f39ed798e527f51a1e797d0ee4f61c0a38376"
+dependencies = [
+ "itoa 1.0.5",
+ "serde",
+ "time-core",
+ "time-macros",
+]
+
+[[package]]
+name = "time-core"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2e153e1f1acaef8acc537e68b44906d2db6436e2b35ac2c6b42640fff91f00fd"
+
+[[package]]
+name = "time-macros"
+version = "0.2.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d967f99f534ca7e495c575c62638eebc2898a8c84c119b89e250477bc4ba16b2"
+dependencies = [
+ "time-core",
+]
+
+[[package]]
+name = "tinyvec"
+version = "1.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "87cc5ceb3875bb20c2890005a4e226a4651264a5c75edb2421b52861a0a0cb50"
+dependencies = [
+ "tinyvec_macros",
+]
+
+[[package]]
+name = "tinyvec_macros"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cda74da7e1a664f795bb1f8a87ec406fb89a02522cf6e50620d016add6dbbf5c"
+
+[[package]]
+name = "tokio"
+version = "1.24.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "597a12a59981d9e3c38d216785b0c37399f6e415e8d0712047620f189371b0bb"
+dependencies = [
+ "autocfg",
+ "bytes",
+ "memchr",
+ "num_cpus",
+ "pin-project-lite",
+ "windows-sys 0.42.0",
+]
+
+[[package]]
+name = "toml"
+version = "0.5.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f4f7f0dd8d50a853a531c426359045b1998f04219d88799810762cd4ad314234"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "toml_datetime"
+version = "0.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4553f467ac8e3d374bc9a177a26801e5d0f9b211aa1673fb137a403afd1c9cf5"
+
+[[package]]
+name = "toml_edit"
+version = "0.18.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "56c59d8dd7d0dcbc6428bf7aa2f0e823e26e43b3c9aca15bbc9475d23e5fa12b"
+dependencies = [
+ "indexmap",
+ "nom8",
+ "toml_datetime",
+]
+
+[[package]]
+name = "tracing"
+version = "0.1.37"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8ce8c33a8d48bd45d624a6e523445fd21ec13d3653cd51f681abf67418f54eb8"
+dependencies = [
+ "cfg-if",
+ "pin-project-lite",
+ "tracing-attributes",
+ "tracing-core",
+]
+
+[[package]]
+name = "tracing-attributes"
+version = "0.1.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4017f8f45139870ca7e672686113917c71c7a6e02d4924eda67186083c03081a"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "tracing-core"
+version = "0.1.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "24eb03ba0eab1fd845050058ce5e616558e8f8d8fca633e6b163fe25c797213a"
+dependencies = [
+ "once_cell",
+ "valuable",
+]
+
+[[package]]
+name = "tracing-log"
+version = "0.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "78ddad33d2d10b1ed7eb9d1f518a5674713876e97e5bb9b7345a7984fbb4f922"
+dependencies = [
+ "lazy_static",
+ "log",
+ "tracing-core",
+]
+
+[[package]]
+name = "tracing-subscriber"
+version = "0.3.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a6176eae26dd70d0c919749377897b54a9276bd7061339665dd68777926b5a70"
+dependencies = [
+ "matchers",
+ "nu-ansi-term",
+ "once_cell",
+ "regex",
+ "sharded-slab",
+ "smallvec",
+ "thread_local",
+ "tracing",
+ "tracing-core",
+ "tracing-log",
+]
+
+[[package]]
+name = "treediff"
+version = "3.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "761e8d5ad7ce14bb82b7e61ccc0ca961005a275a060b9644a2431aa11553c2ff"
+dependencies = [
+ "serde_json",
+]
+
+[[package]]
+name = "typenum"
+version = "1.16.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "497961ef93d974e23eb6f433eb5fe1b7930b659f06d12dec6fc44a8f554c0bba"
+
+[[package]]
+name = "ucd-trie"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9e79c4d996edb816c91e4308506774452e55e95c3c9de07b6729e17e15a5ef81"
+
+[[package]]
+name = "unicode-bidi"
+version = "0.3.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d54675592c1dbefd78cbd98db9bacd89886e1ca50692a0692baefffdeb92dd58"
+
+[[package]]
+name = "unicode-ident"
+version = "1.0.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "84a22b9f218b40614adcb3f4ff08b703773ad44fa9423e4e0d346d5db86e4ebc"
+
+[[package]]
+name = "unicode-normalization"
+version = "0.1.22"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5c5713f0fc4b5db668a2ac63cdb7bb4469d8c9fed047b1d0292cc7b0ce2ba921"
+dependencies = [
+ "tinyvec",
+]
+
+[[package]]
+name = "unicode-segmentation"
+version = "1.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0fdbf052a0783de01e944a6ce7a8cb939e295b1e7be835a1112c3b9a7f047a5a"
+
+[[package]]
+name = "unicode-width"
+version = "0.1.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c0edd1e5b14653f783770bce4a4dabb4a5108a5370a5f5d8cfe8710c361f6c8b"
+
+[[package]]
+name = "url"
+version = "2.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0d68c799ae75762b8c3fe375feb6600ef5602c883c5d21eb51c09f22b83c4643"
+dependencies = [
+ "form_urlencoded",
+ "idna",
+ "percent-encoding",
+ "serde",
+]
+
+[[package]]
+name = "utf-8"
+version = "0.7.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "09cc8ee72d2a9becf2f2febe0205bbed8fc6615b7cb429ad062dc7b7ddd036a9"
+
+[[package]]
+name = "uuid"
+version = "0.8.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bc5cf98d8186244414c848017f0e2676b3fcb46807f6668a97dfe67359a3c4b7"
+
+[[package]]
+name = "uuid"
+version = "1.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "422ee0de9031b5b948b97a8fc04e3aa35230001a722ddd27943e0be31564ce4c"
+dependencies = [
+ "getrandom 0.2.8",
+]
+
+[[package]]
+name = "valuable"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "830b7e5d4d90034032940e4ace0d9a9a057e7a45cd94e6c007832e39edb82f6d"
+
+[[package]]
+name = "vcpkg"
+version = "0.2.15"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "accd4ea62f7bb7a82fe23066fb0957d48ef677f6eeb8215f372f52e48bb32426"
+
+[[package]]
+name = "version-compare"
+version = "0.0.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1c18c859eead79d8b95d09e4678566e8d70105c4e7b251f707a03df32442661b"
+
+[[package]]
+name = "version-compare"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "579a42fc0b8e0c63b76519a339be31bed574929511fa53c1a3acae26eb258f29"
+
+[[package]]
+name = "version_check"
+version = "0.9.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "49874b5167b65d7193b8aba1567f5c7d93d001cafc34600cee003eda787e483f"
+
+[[package]]
+name = "walkdir"
+version = "2.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "808cf2735cd4b6866113f648b791c6adc5714537bc222d9347bb203386ffda56"
+dependencies = [
+ "same-file",
+ "winapi",
+ "winapi-util",
+]
+
+[[package]]
+name = "wasi"
+version = "0.9.0+wasi-snapshot-preview1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cccddf32554fecc6acb585f82a32a72e28b48f8c4c1883ddfeeeaa96f7d8e519"
+
+[[package]]
+name = "wasi"
+version = "0.11.0+wasi-snapshot-preview1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
+
+[[package]]
+name = "wasm-bindgen"
+version = "0.2.83"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eaf9f5aceeec8be17c128b2e93e031fb8a4d469bb9c4ae2d7dc1888b26887268"
+dependencies = [
+ "cfg-if",
+ "wasm-bindgen-macro",
+]
+
+[[package]]
+name = "wasm-bindgen-backend"
+version = "0.2.83"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4c8ffb332579b0557b52d268b91feab8df3615f265d5270fec2a8c95b17c1142"
+dependencies = [
+ "bumpalo",
+ "log",
+ "once_cell",
+ "proc-macro2",
+ "quote",
+ "syn",
+ "wasm-bindgen-shared",
+]
+
+[[package]]
+name = "wasm-bindgen-futures"
+version = "0.4.33"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "23639446165ca5a5de86ae1d8896b737ae80319560fbaa4c2887b7da6e7ebd7d"
+dependencies = [
+ "cfg-if",
+ "js-sys",
+ "wasm-bindgen",
+ "web-sys",
+]
+
+[[package]]
+name = "wasm-bindgen-macro"
+version = "0.2.83"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "052be0f94026e6cbc75cdefc9bae13fd6052cdcaf532fa6c45e7ae33a1e6c810"
+dependencies = [
+ "quote",
+ "wasm-bindgen-macro-support",
+]
+
+[[package]]
+name = "wasm-bindgen-macro-support"
+version = "0.2.83"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "07bc0c051dc5f23e307b13285f9d75df86bfdf816c5721e573dec1f9b8aa193c"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn",
+ "wasm-bindgen-backend",
+ "wasm-bindgen-shared",
+]
+
+[[package]]
+name = "wasm-bindgen-shared"
+version = "0.2.83"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1c38c045535d93ec4f0b4defec448e4291638ee608530863b1e2ba115d4fff7f"
+
+[[package]]
+name = "web-sys"
+version = "0.3.60"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bcda906d8be16e728fd5adc5b729afad4e444e106ab28cd1c7256e54fa61510f"
+dependencies = [
+ "js-sys",
+ "wasm-bindgen",
+]
+
+[[package]]
+name = "webkit2gtk"
+version = "0.18.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b8f859735e4a452aeb28c6c56a852967a8a76c8eb1cc32dbf931ad28a13d6370"
+dependencies = [
+ "bitflags",
+ "cairo-rs",
+ "gdk",
+ "gdk-sys",
+ "gio",
+ "gio-sys",
+ "glib",
+ "glib-sys",
+ "gobject-sys",
+ "gtk",
+ "gtk-sys",
+ "javascriptcore-rs",
+ "libc",
+ "once_cell",
+ "soup2",
+ "webkit2gtk-sys",
+]
+
+[[package]]
+name = "webkit2gtk-sys"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4d76ca6ecc47aeba01ec61e480139dda143796abcae6f83bcddf50d6b5b1dcf3"
+dependencies = [
+ "atk-sys",
+ "bitflags",
+ "cairo-sys-rs",
+ "gdk-pixbuf-sys",
+ "gdk-sys",
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "gtk-sys",
+ "javascriptcore-rs-sys",
+ "libc",
+ "pango-sys",
+ "pkg-config",
+ "soup2-sys",
+ "system-deps 6.0.3",
+]
+
+[[package]]
+name = "webview2-com"
+version = "0.19.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b4a769c9f1a64a8734bde70caafac2b96cada12cd4aefa49196b3a386b8b4178"
+dependencies = [
+ "webview2-com-macros",
+ "webview2-com-sys",
+ "windows 0.39.0",
+ "windows-implement",
+]
+
+[[package]]
+name = "webview2-com-macros"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eaebe196c01691db62e9e4ca52c5ef1e4fd837dcae27dae3ada599b5a8fd05ac"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "webview2-com-sys"
+version = "0.19.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "aac48ef20ddf657755fdcda8dfed2a7b4fc7e4581acce6fe9b88c3d64f29dee7"
+dependencies = [
+ "regex",
+ "serde",
+ "serde_json",
+ "thiserror",
+ "windows 0.39.0",
+ "windows-bindgen",
+ "windows-metadata",
+]
+
+[[package]]
+name = "winapi"
+version = "0.3.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5c839a674fcd7a98952e593242ea400abe93992746761e38641405d28b00f419"
+dependencies = [
+ "winapi-i686-pc-windows-gnu",
+ "winapi-x86_64-pc-windows-gnu",
+]
+
+[[package]]
+name = "winapi-i686-pc-windows-gnu"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ac3b87c63620426dd9b991e5ce0329eff545bccbbb34f3be09ff6fb6ab51b7b6"
+
+[[package]]
+name = "winapi-util"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "70ec6ce85bb158151cae5e5c87f95a8e97d2c0c4b001223f33a334e3ce5de178"
+dependencies = [
+ "winapi",
+]
+
+[[package]]
+name = "winapi-x86_64-pc-windows-gnu"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
+
+[[package]]
+name = "window-shadows"
+version = "0.2.1"
+source = "git+https://github.com/adileo/window-shadows#753bcdfe39d65f1267f623f70ed9a9e9be21e2e0"
+dependencies = [
+ "cocoa",
+ "objc",
+ "raw-window-handle",
+ "windows-sys 0.45.0",
+]
+
+[[package]]
+name = "window-vibrancy"
+version = "0.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2f762d9cc392fb85e6b1b5eed1ef13d73fed5149a5cbb017a7137497d14ef612"
+dependencies = [
+ "cocoa",
+ "objc",
+ "raw-window-handle",
+ "windows-sys 0.42.0",
+]
+
+[[package]]
+name = "windows"
+version = "0.37.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "57b543186b344cc61c85b5aab0d2e3adf4e0f99bc076eff9aa5927bcc0b8a647"
+dependencies = [
+ "windows_aarch64_msvc 0.37.0",
+ "windows_i686_gnu 0.37.0",
+ "windows_i686_msvc 0.37.0",
+ "windows_x86_64_gnu 0.37.0",
+ "windows_x86_64_msvc 0.37.0",
+]
+
+[[package]]
+name = "windows"
+version = "0.39.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f1c4bd0a50ac6020f65184721f758dba47bb9fbc2133df715ec74a237b26794a"
+dependencies = [
+ "windows-implement",
+ "windows_aarch64_msvc 0.39.0",
+ "windows_i686_gnu 0.39.0",
+ "windows_i686_msvc 0.39.0",
+ "windows_x86_64_gnu 0.39.0",
+ "windows_x86_64_msvc 0.39.0",
+]
+
+[[package]]
+name = "windows-bindgen"
+version = "0.39.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "68003dbd0e38abc0fb85b939240f4bce37c43a5981d3df37ccbaaa981b47cb41"
+dependencies = [
+ "windows-metadata",
+ "windows-tokens",
+]
+
+[[package]]
+name = "windows-implement"
+version = "0.39.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ba01f98f509cb5dc05f4e5fc95e535f78260f15fea8fe1a8abdd08f774f1cee7"
+dependencies = [
+ "syn",
+ "windows-tokens",
+]
+
+[[package]]
+name = "windows-metadata"
+version = "0.39.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9ee5e275231f07c6e240d14f34e1b635bf1faa1c76c57cfd59a5cdb9848e4278"
+
+[[package]]
+name = "windows-sys"
+version = "0.42.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5a3e1820f08b8513f676f7ab6c1f99ff312fb97b553d30ff4dd86f9f15728aa7"
+dependencies = [
+ "windows_aarch64_gnullvm",
+ "windows_aarch64_msvc 0.42.1",
+ "windows_i686_gnu 0.42.1",
+ "windows_i686_msvc 0.42.1",
+ "windows_x86_64_gnu 0.42.1",
+ "windows_x86_64_gnullvm",
+ "windows_x86_64_msvc 0.42.1",
+]
+
+[[package]]
+name = "windows-sys"
+version = "0.45.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "75283be5efb2831d37ea142365f009c02ec203cd29a3ebecbc093d52315b66d0"
+dependencies = [
+ "windows-targets",
+]
+
+[[package]]
+name = "windows-targets"
+version = "0.42.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8e2522491fbfcd58cc84d47aeb2958948c4b8982e9a2d8a2a35bbaed431390e7"
+dependencies = [
+ "windows_aarch64_gnullvm",
+ "windows_aarch64_msvc 0.42.1",
+ "windows_i686_gnu 0.42.1",
+ "windows_i686_msvc 0.42.1",
+ "windows_x86_64_gnu 0.42.1",
+ "windows_x86_64_gnullvm",
+ "windows_x86_64_msvc 0.42.1",
+]
+
+[[package]]
+name = "windows-tokens"
+version = "0.39.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f838de2fe15fe6bac988e74b798f26499a8b21a9d97edec321e79b28d1d7f597"
+
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.42.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8c9864e83243fdec7fc9c5444389dcbbfd258f745e7853198f365e3c4968a608"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.37.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2623277cb2d1c216ba3b578c0f3cf9cdebeddb6e66b1b218bb33596ea7769c3a"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.39.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ec7711666096bd4096ffa835238905bb33fb87267910e154b18b44eaabb340f2"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.42.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4c8b1b673ffc16c47a9ff48570a9d85e25d265735c503681332589af6253c6c7"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.37.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d3925fd0b0b804730d44d4b6278c50f9699703ec49bcd628020f46f4ba07d9e1"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.39.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "763fc57100a5f7042e3057e7e8d9bdd7860d330070251a73d003563a3bb49e1b"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.42.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "de3887528ad530ba7bdbb1faa8275ec7a1155a45ffa57c37993960277145d640"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.37.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ce907ac74fe331b524c1298683efbf598bb031bc84d5e274db2083696d07c57c"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.39.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7bc7cbfe58828921e10a9f446fcaaf649204dcfe6c1ddd712c5eebae6bda1106"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.42.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bf4d1122317eddd6ff351aa852118a2418ad4214e6613a50e0191f7004372605"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.37.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2babfba0828f2e6b32457d5341427dcbb577ceef556273229959ac23a10af33d"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.39.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6868c165637d653ae1e8dc4d82c25d4f97dd6605eaa8d784b5c6e0ab2a252b65"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.42.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c1040f221285e17ebccbc2591ffdc2d44ee1f9186324dd3e84e99ac68d699c45"
+
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.42.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "628bfdf232daa22b0d64fdb62b09fcc36bb01f05a3939e20ab73aaf9470d0463"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.37.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f4dd6dc7df2d84cf7b33822ed5b86318fb1781948e9663bacd047fc9dd52259d"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.39.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5e4d40883ae9cae962787ca76ba76390ffa29214667a111db9e0a1ad8377e809"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.42.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "447660ad36a13288b1db4d4248e857b510e8c3a225c822ba4fb748c0aafecffd"
+
+[[package]]
+name = "winres"
+version = "0.1.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b68db261ef59e9e52806f688020631e987592bd83619edccda9c47d42cde4f6c"
+dependencies = [
+ "toml",
+]
+
+[[package]]
+name = "wry"
+version = "0.23.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4c1ad8e2424f554cc5bdebe8aa374ef5b433feff817aebabca0389961fc7ef98"
+dependencies = [
+ "base64",
+ "block",
+ "cocoa",
+ "core-graphics",
+ "crossbeam-channel",
+ "dunce",
+ "gdk",
+ "gio",
+ "glib",
+ "gtk",
+ "html5ever",
+ "http",
+ "kuchiki",
+ "libc",
+ "log",
+ "objc",
+ "objc_id",
+ "once_cell",
+ "serde",
+ "serde_json",
+ "sha2",
+ "soup2",
+ "tao",
+ "thiserror",
+ "url",
+ "webkit2gtk",
+ "webkit2gtk-sys",
+ "webview2-com",
+ "windows 0.39.0",
+ "windows-implement",
+]
+
+[[package]]
+name = "x11"
+version = "2.21.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "502da5464ccd04011667b11c435cb992822c2c0dbde1770c988480d312a0db2e"
+dependencies = [
+ "libc",
+ "pkg-config",
+]
+
+[[package]]
+name = "x11-dl"
+version = "2.21.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "38735924fedd5314a6e548792904ed8c6de6636285cb9fec04d5b1db85c1516f"
+dependencies = [
+ "libc",
+ "once_cell",
+ "pkg-config",
+]
+
+[[package]]
+name = "xattr"
+version = "0.2.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6d1526bbe5aaeb5eb06885f4d987bcdfa5e23187055de9b83fe00156a821fabc"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "zero-copy-pads"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5649a5dce1370c707880332f781f6566883736a41861a5749890f4671d5746b6"
+dependencies = [
+ "derive_builder",
+ "derive_more",
+ "fmt-iter",
+ "unicode-width",
+]
+
+[[package]]
+name = "zip"
+version = "0.6.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "537ce7411d25e54e8ae21a7ce0b15840e7bfcff15b51d697ec3266cc76bdf080"
+dependencies = [
+ "byteorder",
+ "crc32fast",
+ "crossbeam-utils",
+]
diff --git a/pkgs/by-name/sq/squirreldisk/package.json b/pkgs/by-name/sq/squirreldisk/package.json
new file mode 100644
index 000000000000..b3b80859ef64
--- /dev/null
+++ b/pkgs/by-name/sq/squirreldisk/package.json
@@ -0,0 +1,40 @@
+{
+ "name": "squirreldisk-tauri",
+ "private": true,
+ "version": "0.0.0",
+ "type": "module",
+ "scripts": {
+ "dev": "vite",
+ "build": "tsc && vite build",
+ "preview": "vite preview",
+ "tauri": "tauri"
+ },
+ "dependencies": {
+ "@tauri-apps/api": "^1.2.0",
+ "d3": "^7.8.2",
+ "mongoid-js": "^1.3.0",
+ "pretty-bytes": "^6.0.0",
+ "react": "^18.2.0",
+ "react-beautiful-dnd": "^13.1.1",
+ "react-dom": "^18.2.0",
+ "react-router-dom": "^6.8.0",
+ "shade-blend-color": "^1.0.0",
+ "uuid": "^9.0.0",
+ "vscode-icons-js": "^11.6.1"
+ },
+ "devDependencies": {
+ "@tauri-apps/cli": "^1.2.2",
+ "@types/d3": "^7.4.0",
+ "@types/node": "^18.7.10",
+ "@types/react": "^18.0.15",
+ "@types/react-beautiful-dnd": "^13.1.3",
+ "@types/react-dom": "^18.0.6",
+ "@types/uuid": "^9.0.0",
+ "@vitejs/plugin-react": "^3.0.0",
+ "autoprefixer": "^10.4.13",
+ "postcss": "^8.4.21",
+ "tailwindcss": "^3.2.4",
+ "typescript": "^4.6.4",
+ "vite": "^4.0.0"
+ }
+}
diff --git a/pkgs/by-name/sq/squirreldisk/package.nix b/pkgs/by-name/sq/squirreldisk/package.nix
new file mode 100644
index 000000000000..d274abae5e35
--- /dev/null
+++ b/pkgs/by-name/sq/squirreldisk/package.nix
@@ -0,0 +1,105 @@
+{
+ dbus,
+ openssl,
+ freetype,
+ libsoup,
+ gtk3,
+ webkitgtk,
+ pkg-config,
+ wrapGAppsHook,
+ parallel-disk-usage,
+ fetchFromGitHub,
+ buildNpmPackage,
+ rustPlatform,
+ lib,
+ stdenv,
+ copyDesktopItems,
+ makeDesktopItem,
+}: let
+ pname = "squirreldisk";
+ version = "0.3.4";
+
+ src = fetchFromGitHub {
+ owner = "adileo";
+ repo = "squirreldisk";
+ rev = "v${version}";
+ hash = "sha256-As2nvc68knjeLPuX0QLBoybj8vuvkpS5Vr+7U7E5CjA=";
+ };
+ frontend-build = buildNpmPackage {
+ inherit version src;
+ pname = "squirreldisk-ui";
+
+ npmDepsHash = "sha256-Japcn0KYP7aYIDK8+Ns+mrnbbAb0fLWXHIV2+yltI6I=";
+
+ packageJSON = ./package.json;
+ postBuild = ''
+ cp -r dist/ $out
+ '';
+ distPhase = "true";
+ dontInstall = true;
+ };
+in
+ rustPlatform.buildRustPackage rec {
+ inherit version src pname;
+
+ sourceRoot = "${src.name}/src-tauri";
+
+ cargoLock = {
+ lockFile = ./Cargo.lock;
+ outputHashes = {
+ "window-shadows-0.2.1" = "sha256-3meM04TG63PvB0M5wUH1cDMBo7ObcB0zdgwGt2aKHMs=";
+ };
+ };
+
+ # copy the frontend static resources to final build directory
+ # Also modify tauri.conf.json so that it expects the resources at the new location
+ postPatch = ''
+ cp ${./Cargo.lock} Cargo.lock
+
+ mkdir -p frontend-build
+ cp -r ${frontend-build}/* frontend-build
+
+ substituteInPlace tauri.conf.json --replace-fail '"distDir": "../dist"' '"distDir": "./frontend-build"'
+
+ # Copy pdu binary from nixpkgs, since the default packaged binary has issues.
+ cp ${parallel-disk-usage}/bin/pdu bin/pdu-${stdenv.hostPlatform.config}
+ '';
+
+ nativeBuildInputs = [pkg-config wrapGAppsHook copyDesktopItems];
+ buildInputs = [dbus openssl freetype libsoup gtk3 webkitgtk];
+
+ # Disable checkPhase, since the project doesn't contain tests
+ doCheck = false;
+
+ postInstall = ''
+ mv $out/bin/squirreldisk-tauri $out/bin/squirreldisk
+ install -DT icons/256x256.png $out/share/icons/hicolor/256x256/apps/squirrel-disk.png
+ install -DT icons/128x128@2x.png $out/share/icons/hicolor/128x128@2/apps/squirrel-disk.png
+ install -DT icons/128x128.png $out/share/icons/hicolor/128x128/apps/squirrel-disk.png
+ install -DT icons/32x32.png $out/share/icons/hicolor/32x32/apps/squirrel-disk.png
+ '';
+
+ # WEBKIT_DISABLE_COMPOSITING_MODE essential in NVIDIA + compositor https://github.com/NixOS/nixpkgs/issues/212064#issuecomment-1400202079
+ postFixup = ''
+ wrapProgram "$out/bin/squirreldisk" \
+ --set WEBKIT_DISABLE_COMPOSITING_MODE 1
+ '';
+
+ desktopItems = [
+ (makeDesktopItem {
+ name = "SquirrelDisk";
+ exec = "squirreldisk";
+ icon = "squirrel-disk";
+ desktopName = "SquirrelDisk";
+ comment = meta.description;
+ })
+ ];
+
+ meta = with lib; {
+ description = "Cross-platform disk usage analysis tool";
+ homepage = "https://www.squirreldisk.com/";
+ license = licenses.agpl3Only;
+ maintainers = with maintainers; [peret];
+ mainProgram = "squirreldisk";
+ };
+ }
diff --git a/pkgs/by-name/sr/srb2kart/package.nix b/pkgs/by-name/sr/srb2kart/package.nix
new file mode 100644
index 000000000000..9601d99263ac
--- /dev/null
+++ b/pkgs/by-name/sr/srb2kart/package.nix
@@ -0,0 +1,106 @@
+{ lib
+, stdenv
+, fetchzip
+, fetchFromGitHub
+, cmake
+, curl
+, nasm
+, game-music-emu
+, libpng
+, SDL2
+, SDL2_mixer
+, zlib
+, makeWrapper
+, makeDesktopItem
+, copyDesktopItems
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "srb2kart";
+ version = "1.6";
+
+ src = fetchFromGitHub {
+ owner = "STJr";
+ repo = "Kart-Public";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-5sIHdeenWZjczyYM2q+F8Y1SyLqL+y77yxYDUM3dVA0=";
+ };
+
+ assets = stdenv.mkDerivation {
+ pname = "srb2kart-data";
+ version = finalAttrs.version;
+
+ src = fetchzip {
+ url = "https://github.com/STJr/Kart-Public/releases/download/v${finalAttrs.version}/AssetsLinuxOnly.zip";
+ hash = "sha256-yaVdsQUnyobjSbmemeBEyu35GeZCX1ylTRcjcbDuIu4=";
+ stripRoot = false;
+ };
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p $out/share/srb2kart
+ cp -r * $out/share/srb2kart
+
+ runHook postInstall
+ '';
+ };
+
+ nativeBuildInputs = [
+ cmake
+ nasm
+ makeWrapper
+ copyDesktopItems
+ ];
+
+ buildInputs = [
+ curl
+ game-music-emu
+ libpng
+ SDL2
+ SDL2_mixer
+ zlib
+ ];
+
+ cmakeFlags = [
+ "-DSRB2_ASSET_DIRECTORY=${finalAttrs.assets}/share/srb2kart"
+ "-DGME_INCLUDE_DIR=${game-music-emu}/include"
+ "-DSDL2_MIXER_INCLUDE_DIR=${lib.getDev SDL2_mixer}/include/SDL2"
+ "-DSDL2_INCLUDE_DIR=${lib.getDev SDL2}/include/SDL2"
+ ];
+
+ desktopItems = [
+ (makeDesktopItem rec {
+ name = "Sonic Robo Blast 2 Kart";
+ exec = finalAttrs.pname;
+ icon = finalAttrs.pname;
+ comment = "Kart racing mod based on SRB2";
+ desktopName = name;
+ genericName = name;
+ startupWMClass = ".srb2kart-wrapped";
+ categories = [ "Game" ];
+ })
+ ];
+
+ installPhase = ''
+ runHook preInstall
+
+ install -Dm644 ../srb2.png $out/share/pixmaps/srb2kart.png
+ install -Dm644 ../srb2.png $out/share/icons/srb2kart.png
+ install -Dm755 bin/srb2kart $out/bin/srb2kart
+
+ wrapProgram $out/bin/srb2kart \
+ --set SRB2WADDIR "${finalAttrs.assets}/share/srb2kart"
+
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ description = "SRB2Kart is a classic styled kart racer";
+ homepage = "https://mb.srb2.org/threads/srb2kart.25868/";
+ platforms = platforms.linux;
+ license = licenses.gpl2Plus;
+ maintainers = with maintainers; [ viric donovanglover ];
+ mainProgram = "srb2kart";
+ };
+})
diff --git a/pkgs/by-name/sr/srht-gen-oauth-tok/package.nix b/pkgs/by-name/sr/srht-gen-oauth-tok/package.nix
new file mode 100644
index 000000000000..16a0b2c2cfd7
--- /dev/null
+++ b/pkgs/by-name/sr/srht-gen-oauth-tok/package.nix
@@ -0,0 +1,42 @@
+{ stdenv, pkgs, lib, fetchFromSourcehut, nixosTests }:
+
+let
+ perl = pkgs.perl.withPackages (pps: [
+ pps.CryptSSLeay
+ pps.WWWMechanize
+ pps.XMLLibXML
+ ]);
+in
+stdenv.mkDerivation rec {
+ pname = "srht-gen-oauth-tok";
+ version = "0.1";
+
+ src = fetchFromSourcehut {
+ domain = "entropic.network";
+ owner = "~nessdoor";
+ repo = pname;
+ rev = version;
+ hash = "sha256-GcqP3XbVw2sR5n4+aLUmA4fthNkuVAGnhV1h7suJYdI=";
+ };
+
+ buildInputs = [ perl ];
+ nativeBuildInputs = [ perl ];
+
+ installPhase = "install -Dm755 srht-gen-oauth-tok $out/bin/srht-gen-oauth-tok";
+
+ passthru.tests.sourcehut = nixosTests.sourcehut;
+
+ meta = {
+ description = "A script to register a new Sourcehut OAuth token for a given user";
+ longDescription = ''
+ srht-gen-oauth-tok is a Perl script for automating the generation of user
+ OAuth tokens for Sourcehut-based code forges. This is done by emulating a
+ browser and interacting with the Web interface.
+ '';
+ maintainers = with lib.maintainers; [ nessdoor ];
+ mainProgram = "srht-gen-oauth-tok";
+ license = lib.licenses.gpl3;
+ platforms = lib.platforms.all;
+ sourceProvenance = [ lib.sourceTypes.fromSource ];
+ };
+}
diff --git a/pkgs/by-name/sr/srm-cuarzo/package.nix b/pkgs/by-name/sr/srm-cuarzo/package.nix
index 9c77d755d5b9..1f636e8aaeeb 100644
--- a/pkgs/by-name/sr/srm-cuarzo/package.nix
+++ b/pkgs/by-name/sr/srm-cuarzo/package.nix
@@ -14,9 +14,9 @@
}:
stdenv.mkDerivation (self: {
pname = "srm-cuarzo";
- version = "0.5.2-1";
+ version = "0.5.5-1";
rev = "v${self.version}";
- hash = "sha256-FMd1v0K+H7DlSD0osmWrnuSKqQZxw3RUZq8JwZFm/f4=";
+ hash = "sha256-4aeKzvhfVmimz4Df7wnyZESAZa7RMjYUqbhFhqPJ59o=";
src = fetchFromGitHub {
inherit (self) rev hash;
diff --git a/pkgs/by-name/ss/ssh-openpgp-auth/daemon.nix b/pkgs/by-name/ss/ssh-openpgp-auth/daemon.nix
new file mode 100644
index 000000000000..d25b12ef6a64
--- /dev/null
+++ b/pkgs/by-name/ss/ssh-openpgp-auth/daemon.nix
@@ -0,0 +1,14 @@
+# Ideally, this file would have been placed in
+# pkgs/by-name/ss/sshd-openpgp-auth/package.nix, but since `./generic.nix` is
+# outside of the directory, the nixpkgs-check-by-name test will fail the CI. So
+# we call this file in all-packages.nix like in the old days.
+{ callPackage }:
+
+callPackage ./generic.nix {
+ pname = "sshd-openpgp-auth";
+ version = "0.3.0";
+ srcHash = "sha256-IV0Nhdqyn12HDOp1jaKz3sKTI3ktFd5b6qybCLWt27I=";
+ cargoHash = "sha256-/+lZkVMeFUMRD7NQ/MHDU5f3rkKDx1kDv5tjA41RExc=";
+ metaDescription =
+ "Command-line tool for creating and managing OpenPGP based trust anchors for SSH host keys";
+}
diff --git a/pkgs/by-name/ss/ssh-openpgp-auth/generic.nix b/pkgs/by-name/ss/ssh-openpgp-auth/generic.nix
new file mode 100644
index 000000000000..5fadd5798ae8
--- /dev/null
+++ b/pkgs/by-name/ss/ssh-openpgp-auth/generic.nix
@@ -0,0 +1,82 @@
+# This file is based upon upstream's package.nix shared among both
+# "ssh-openpgp-auth" and "sshd-openpgpg-auth"
+{ lib
+, rustPlatform
+, fetchFromGitea
+, pkg-config
+, just
+, rust-script
+, installShellFiles
+, bzip2
+, nettle
+, openssl
+, sqlite
+, stdenv
+, darwin
+, openssh
+# Arguments not supplied by callPackage
+, pname , version , srcHash , cargoHash, metaDescription
+}:
+
+rustPlatform.buildRustPackage {
+ inherit pname version;
+
+ src = fetchFromGitea {
+ domain = "codeberg.org";
+ owner = "wiktor";
+ repo = "ssh-openpgp-auth";
+ # See also: https://codeberg.org/wiktor/ssh-openpgp-auth/pulls/92#issuecomment-1635274
+ rev = "${pname}/${version}";
+ hash = srcHash;
+ };
+ buildAndTestSubdir = pname;
+ inherit cargoHash;
+
+ nativeBuildInputs = [
+ pkg-config
+ rustPlatform.bindgenHook
+ just
+ rust-script
+ installShellFiles
+ ];
+ # Otherwise just's build, check and install phases take precedence over
+ # buildRustPackage's phases.
+ dontUseJustBuild = true;
+ dontUseJustCheck = true;
+ dontUseJustInstall = true;
+
+ postInstall = ''
+ export HOME=$(mktemp -d)
+ just generate manpages ${pname} $out/share/man/man1
+ just generate shell_completions ${pname} shell_completions
+ installShellCompletion --cmd ${pname} \
+ --bash shell_completions/${pname}.bash \
+ --fish shell_completions/${pname}.fish \
+ --zsh shell_completions/_${pname}
+ '';
+
+
+ buildInputs = [
+ nettle
+ openssl
+ sqlite
+ ] ++ lib.optionals stdenv.isDarwin [
+ darwin.apple_sdk_11_0.frameworks.CoreFoundation
+ darwin.apple_sdk_11_0.frameworks.IOKit
+ darwin.apple_sdk_11_0.frameworks.Security
+ darwin.apple_sdk_11_0.frameworks.SystemConfiguration
+ ];
+
+ doCheck = true;
+ nativeCheckInputs = [
+ openssh
+ ];
+
+ meta = with lib; {
+ description = metaDescription;
+ homepage = "https://codeberg.org/wiktor/ssh-openpgp-auth";
+ license = with licenses; [ mit /* or */ asl20 ];
+ maintainers = with maintainers; [ doronbehar ];
+ mainProgram = pname;
+ };
+}
diff --git a/pkgs/by-name/ss/ssh-openpgp-auth/package.nix b/pkgs/by-name/ss/ssh-openpgp-auth/package.nix
new file mode 100644
index 000000000000..53509cc0d05c
--- /dev/null
+++ b/pkgs/by-name/ss/ssh-openpgp-auth/package.nix
@@ -0,0 +1,10 @@
+{ callPackage }:
+
+callPackage ./generic.nix {
+ pname = "ssh-openpgp-auth";
+ version = "0.2.2";
+ srcHash = "sha256-5ew6jT6Zr54QYaWFQIGYXd8sqC3yHHZjPfoaCossm8o=";
+ cargoHash = "sha256-/k/XAp7PHIJaJWf4Oa1JC1mMSR5pyeM4SSPCcr77cAg=";
+ metaDescription =
+ "Command-line tool that provides client-side functionality to transparently verify the identity of remote SSH hosts";
+}
diff --git a/pkgs/by-name/ss/sslstrip/package.nix b/pkgs/by-name/ss/sslstrip/package.nix
new file mode 100644
index 000000000000..c9ad3406667f
--- /dev/null
+++ b/pkgs/by-name/ss/sslstrip/package.nix
@@ -0,0 +1,50 @@
+{ lib
+, fetchFromGitHub
+, python3
+}:
+
+python3.pkgs.buildPythonApplication rec {
+ pname = "sslstrip";
+ version = "2.0";
+ pyproject = true;
+
+ src = fetchFromGitHub {
+ owner = "L1ghtn1ng";
+ repo = "sslstrip";
+ rev = "refs/tags/${version}";
+ hash = "sha256-iPWpbRmAUf0Yf5MDlpln1JLBxMIdmr/Ggk2ZGeQzm8s=";
+ };
+
+ postPatch = ''
+ # https://github.com/L1ghtn1ng/sslstrip/pull/58
+ substituteInPlace setup.py \
+ --replace-fail "README" "README.md"
+ '';
+
+ nativeBuildInputs = with python3.pkgs; [
+ setuptools
+ ];
+
+ propagatedBuildInputs = with python3.pkgs; [
+ cryptography
+ pyopenssl
+ service-identity
+ twisted
+ ];
+
+ # Project has no test
+ doCheck= false;
+
+ pythonImportsCheck = [
+ "sslstrip"
+ ];
+
+ meta = with lib; {
+ description = "Tool for exploiting SSL stripping attacks";
+ homepage = "https://github.com/L1ghtn1ng/sslstrip";
+ changelog = "https://github.com/L1ghtn1ng/sslstrip/releases/tag/${version}";
+ license = licenses.gpl3Plus;
+ maintainers = with maintainers; [ fab ];
+ mainProgram = "sslstrip";
+ };
+}
diff --git a/pkgs/by-name/ss/sssnake/package.nix b/pkgs/by-name/ss/sssnake/package.nix
index d50d624781b0..98b774bf4f8c 100644
--- a/pkgs/by-name/ss/sssnake/package.nix
+++ b/pkgs/by-name/ss/sssnake/package.nix
@@ -19,6 +19,7 @@ stdenv.mkDerivation rec {
];
meta = with lib; {
description = "Cli snake game that plays itself";
+ mainProgram = "sssnake";
homepage = "https://github.com/angeljumbo/sssnake";
license = with licenses; [mit];
platforms = platforms.unix;
diff --git a/pkgs/development/compilers/stanc/default.nix b/pkgs/by-name/st/stanc/package.nix
similarity index 66%
rename from pkgs/development/compilers/stanc/default.nix
rename to pkgs/by-name/st/stanc/package.nix
index 66671823d866..e5e6f79eb8cd 100644
--- a/pkgs/development/compilers/stanc/default.nix
+++ b/pkgs/by-name/st/stanc/package.nix
@@ -1,6 +1,7 @@
-{ lib
-, fetchFromGitHub
-, ocamlPackages
+{
+ lib,
+ fetchFromGitHub,
+ ocamlPackages,
}:
ocamlPackages.buildDunePackage rec {
@@ -17,15 +18,8 @@ ocamlPackages.buildDunePackage rec {
hash = "sha256-ixZCix3oLZhzs08JbmbNCO0lhAu1Jf+KnpHNKlU/FaA=";
};
- # Error: This expression has type [ `Use_Sys_unix ]
- postPatch = ''
- substituteInPlace test/integration/run_bin_on_args.ml \
- --replace "if Sys.file_exists (to_windows path) then to_windows cmd else cmd" "cmd"
- '';
+ nativeBuildInputs = with ocamlPackages; [ menhir ];
- nativeBuildInputs = with ocamlPackages; [
- menhir
- ];
buildInputs = with ocamlPackages; [
core_unix
menhirLib
diff --git a/pkgs/by-name/st/stats/package.nix b/pkgs/by-name/st/stats/package.nix
index 0a289e09897e..4da58eed1ce1 100644
--- a/pkgs/by-name/st/stats/package.nix
+++ b/pkgs/by-name/st/stats/package.nix
@@ -6,11 +6,11 @@
stdenvNoCC.mkDerivation (finalAttrs: {
pname = "stats";
- version = "2.9.11";
+ version = "2.10.3";
src = fetchurl {
url = "https://github.com/exelban/stats/releases/download/v${finalAttrs.version}/Stats.dmg";
- hash = "sha256-L7E3naVeFYxeS8OAEkXEgY7YB+6E+yrGfIAUr52EWvA=";
+ hash = "sha256-PSRK9YihiIHKHade3XE/OnAleBhmu71CNFyzJ/Upx/A=";
};
sourceRoot = ".";
diff --git a/pkgs/by-name/st/steamguard-cli/package.nix b/pkgs/by-name/st/steamguard-cli/package.nix
index 379bec22675b..748c0c1e97db 100644
--- a/pkgs/by-name/st/steamguard-cli/package.nix
+++ b/pkgs/by-name/st/steamguard-cli/package.nix
@@ -6,16 +6,16 @@
rustPlatform.buildRustPackage rec {
pname = "steamguard-cli";
- version = "0.12.5";
+ version = "0.13.0";
src = fetchFromGitHub {
owner = "dyc3";
repo = pname;
rev = "v${version}";
- hash = "sha256-YjJhCEg87xuUFjHD6cBN4dhQhx/c4F/XewyMYeA06+U=";
+ hash = "sha256-+Lax9MaNyrsckgx7HtpXC1zBWcZNt16inY8qil0CVLQ=";
};
- cargoHash = "sha256-Z1KWU7Z9iGs5yjuWilMSYhfIilSW8ng+pq5ENfunINo=";
+ cargoHash = "sha256-4QyFNy7oGWKScKZXQc63TxsI3avyEVSlqJAmv+lg1GE=";
nativeBuildInputs = [ installShellFiles ];
postInstall = ''
diff --git a/pkgs/by-name/st/stereotool/package.nix b/pkgs/by-name/st/stereotool/package.nix
new file mode 100644
index 000000000000..f1c4ea0d8e52
--- /dev/null
+++ b/pkgs/by-name/st/stereotool/package.nix
@@ -0,0 +1,171 @@
+{ lib
+, stdenv
+, fetchurl
+, autoPatchelfHook
+, libX11
+, libXpm
+, alsa-lib
+, bzip2
+, zlib
+, libsForQt5
+, libgcc
+, makeWrapper
+, copyDesktopItems
+, makeDesktopItem
+}:
+
+stdenv.mkDerivation rec {
+ pname = "stereotool";
+ version = "10.21";
+
+ srcs =
+ let
+ versionNoPoint = lib.replaceStrings [ "." ] [ "" ] version;
+ in
+ [
+ (fetchurl {
+ name = "stereo-tool-icon.png";
+ url = "https://download.thimeo.com/stereo_tool_icon_${versionNoPoint}.png";
+ hash = "sha256-dcivH6Cc7pdQ99m80vS4E5mp/SHtTlNu1EHc+0ALIGM=";
+ })
+ ] ++ (
+ {
+ # Alsa version for 64bits.
+ x86_64-linux = [
+ (fetchurl {
+ name = "alsa";
+ url = "https://download.thimeo.com/stereo_tool_gui_64_${versionNoPoint}";
+ hash = "sha256-ByRguhZ29ertQM3q+TPUUT1BMnAJGbwNe8WbNxLhcmk=";
+ })
+ # Jack version for 64bits.
+ (fetchurl {
+ name = "jack";
+ url = "https://download.thimeo.com/stereo_tool_gui_jack_64_${versionNoPoint}";
+ hash = "sha256-ByRguhZ29ertQM3q+TPUUT1BMnAJGbwNe8WbNxLhcmk=";
+ })
+ # Cmd version for 64bits
+ (fetchurl {
+ name = "cmd";
+ url = "https://download.thimeo.com/stereo_tool_cmd_64_${versionNoPoint}";
+ hash = "sha256-PGheJfOQJzI1gs05qW9vcAMoVnCPIHR2qS0GIg5V6vw=";
+ })
+ ];
+ # Sources if the system is aarch64-linux
+ aarch64-linux = [
+ (fetchurl {
+ name = "alsa";
+ url = "https://download.thimeo.com/stereo_tool_gui_pi2_64_${versionNoPoint}";
+ hash = "sha256-iwoc6c+ox+2DSqmiz8mpDotDjqki7iL0jgqc7Z1htNI=";
+ })
+ (fetchurl {
+ name = "jack";
+ url = "https://download.thimeo.com/stereo_tool_gui_jack_pi2_64_${versionNoPoint}";
+ hash = "sha256-iwoc6c+ox+2DSqmiz8mpDotDjqki7iL0jgqc7Z1htNI==";
+ })
+ (fetchurl {
+ name = "cmd";
+ url = "https://download.thimeo.com/stereo_tool_pi2_64_${versionNoPoint}";
+ hash = "sha256-bIFnQkJB9XoEKo7IG+MSMvx/ia1C8i97Cw7EX4EDizk=";
+ })
+ ];
+ # Sources if the system is aarch32-linux
+ aarch32-linux = [
+ (fetchurl {
+ name = "alsa";
+ url = "https://download.thimeo.com/stereo_tool_gui_pi2_${versionNoPoint}";
+ hash = "sha256-922yqmis5acvASU2rEi5YzFLAUuDO7BiEiW49RKfcoU=";
+ })
+ (fetchurl {
+ name = "jack";
+ url = "https://download.thimeo.com/stereo_tool_gui_jack_pi2_${versionNoPoint}";
+ hash = "sha256-922yqmis5acvASU2rEi5YzFLAUuDO7BiEiW49RKfcoU=";
+ })
+ (fetchurl {
+ name = "cmd";
+ url = "https://download.thimeo.com/stereo_tool_pi2_${versionNoPoint}";
+ hash = "sha256-xKM5Mg6gEAvbp63rd81ssnx2Bj1hUylCo36mQBYwIvg=";
+ })
+ ];
+ # Sources if the system is 32bits i686
+ i686-linux = [
+ (fetchurl {
+ # The name is the name of this source in the build directory
+ name = "alsa";
+ url = "https://download.thimeo.com/stereo_tool_gui_${versionNoPoint}";
+ hash = "sha256-iEPqJvmXKXD4AVbM+1QZeUOwpMjMT7ROYNQpmhRVZyw=";
+ })
+ (fetchurl {
+ name = "jack";
+ url = "https://download.thimeo.com/stereo_tool_gui_jack_${versionNoPoint}";
+ hash = "sha256-iEPqJvmXKXD4AVbM+1QZeUOwpMjMT7ROYNQpmhRVZyw=";
+ })
+ (fetchurl {
+ name = "cmd";
+ url = "https://download.thimeo.com/stereo_tool_cmd_${versionNoPoint}";
+ hash = "sha256-sk13wj7XvuwTDWWW6tMYHdTV9XjPeHe6hHv2JPBxBLA=";
+ })
+ ];
+ }.${stdenv.hostPlatform.system} or (throw "Unsupported system: ${stdenv.hostPlatform.system}"));
+
+ unpackPhase = ''
+ for srcFile in $srcs; do
+ cp $srcFile $(stripHash $srcFile)
+ done
+ '';
+
+ nativeBuildInputs = [
+ autoPatchelfHook
+ makeWrapper
+ copyDesktopItems
+ ];
+
+ desktopItems = [
+ (makeDesktopItem {
+ name = "stereotool-alsa";
+ desktopName = "Stereotool-Alsa";
+ exec = "stereo_tool_gui";
+ icon = "stereo-tool-icon";
+ comment = "Broadcast Audio Processing";
+ categories = [ "AudioVideo" "Audio" "AudioVideoEditing" ];
+ })
+ (makeDesktopItem {
+ name = "stereotool-jack";
+ desktopName = "Stereotool-Jack";
+ exec = "stereo_tool_gui_jack";
+ icon = "stereo-tool-icon";
+ comment = "Broadcast Audio Processing";
+ categories = [ "AudioVideo" "Audio" "AudioVideoEditing" ];
+ })
+ ];
+
+ buildInputs = [
+ libX11
+ alsa-lib
+ bzip2
+ zlib
+ libXpm
+ libgcc
+ ];
+
+ installPhase = ''
+ runHook preInstall
+ install -Dm755 alsa $out/bin/stereo_tool_gui
+ wrapProgram $out/bin/stereo_tool_gui --prefix PATH : ${lib.makeBinPath [ libsForQt5.kdialog ]}
+ install -Dm755 jack $out/bin/stereo_tool_gui_jack
+ wrapProgram $out/bin/stereo_tool_gui_jack --prefix PATH : ${lib.makeBinPath [ libsForQt5.kdialog ]}
+ install -Dm755 cmd $out/bin/stereo_tool_cmd
+ mkdir -p $out/share/icons/hicolor/48x48/apps
+ cp stereo-tool-icon.png $out/share/icons/hicolor/48x48/apps/stereo-tool-icon.png
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ homepage = "https://www.thimeo.com/stereo-tool/";
+ description = "Stereo Tool is a software-based audio processor which offers outstanding audio quality and comes with many unique features.";
+ license = licenses.unfree;
+ mainProgram = "stereo_tool_gui";
+ platforms = [ "aarch64-linux" "aarch32-linux" "x86_64-linux" "i686-linux" ];
+ maintainers = with maintainers; [ RudiOnTheAir ];
+ };
+
+}
diff --git a/pkgs/by-name/st/stormlib/package.nix b/pkgs/by-name/st/stormlib/package.nix
index d55b93ca48ca..cfa218c34e1e 100644
--- a/pkgs/by-name/st/stormlib/package.nix
+++ b/pkgs/by-name/st/stormlib/package.nix
@@ -34,6 +34,7 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/ladislav-zezula/StormLib";
license = licenses.mit;
description = "An open-source project that can work with Blizzard MPQ archives";
+ mainProgram = "storm_test";
platforms = platforms.all;
maintainers = with maintainers; [ aanderse karolchmist ];
};
diff --git a/pkgs/by-name/st/strictdoc/package.nix b/pkgs/by-name/st/strictdoc/package.nix
new file mode 100644
index 000000000000..18bc6db8c215
--- /dev/null
+++ b/pkgs/by-name/st/strictdoc/package.nix
@@ -0,0 +1,76 @@
+{ lib
+, python3
+, fetchFromGitHub
+}:
+
+python3.pkgs.buildPythonApplication rec {
+ pname = "strictdoc";
+ version = "0.0.49";
+ pyproject = true;
+
+ src = fetchFromGitHub {
+ owner = "strictdoc-project";
+ repo = "strictdoc";
+ rev = version;
+ hash = "sha256-WtDplupXBtq39oKyo31p5NgXMWtbWgxtpnKn4qCJz3I=";
+ };
+
+ nativeBuildInputs = [
+ python3.pkgs.hatchling
+ python3.pkgs.pythonRelaxDepsHook
+ ];
+
+ propagatedBuildInputs = with python3.pkgs; [
+ beautifulsoup4
+ docutils
+ fastapi
+ graphviz
+ html5lib
+ jinja2
+ lxml
+ pybtex
+ pygments
+ datauri
+ python-multipart
+ selenium
+ requests
+ spdx-tools
+ webdriver-manager
+ reqif
+ setuptools
+ textx
+ toml
+ uvicorn
+ websockets
+ xlrd
+ xlsxwriter
+ ];
+
+ passthru.optional-dependencies = with python3.pkgs; {
+ development = [
+ invoke
+ tox
+ ];
+ nuitka = [
+ nuitka
+ ordered-set
+ ];
+ };
+
+ pythonRelaxDeps = [
+ "python-datauri"
+ "xlsxwriter"
+ "lxml"
+ ];
+
+ pythonImportsCheck = [ "strictdoc" ];
+
+ meta = with lib; {
+ description = "Software for technical documentation and requirements management";
+ homepage = "https://github.com/strictdoc-project/strictdoc";
+ changelog = "https://github.com/strictdoc-project/strictdoc/blob/${src.rev}/CHANGELOG.md";
+ license = licenses.asl20;
+ maintainers = with maintainers; [ yuu ];
+ mainProgram = "strictdoc";
+ };
+}
diff --git a/pkgs/by-name/su/subtitlecomposer/package.nix b/pkgs/by-name/su/subtitlecomposer/package.nix
new file mode 100644
index 000000000000..31ede6bbedba
--- /dev/null
+++ b/pkgs/by-name/su/subtitlecomposer/package.nix
@@ -0,0 +1,51 @@
+{ lib
+, fetchFromGitLab
+, cmake
+, extra-cmake-modules
+, ffmpeg
+, openal
+, stdenv
+, libsForQt5
+}:
+
+stdenv.mkDerivation rec {
+ pname = "subtitlecomposer";
+ version = "0.8.0";
+
+ src = fetchFromGitLab {
+ domain = "invent.kde.org";
+ owner = "multimedia";
+ repo = "subtitlecomposer";
+ rev = "v${version}";
+ hash = "sha256-RKS3VTtpxnox0hzessMHmoGPpT+Ho0b3fxtQMGw9OrM=";
+ };
+
+ nativeBuildInputs = [ cmake extra-cmake-modules libsForQt5.wrapQtAppsHook ];
+ buildInputs = [ ffmpeg openal ] ++ (with libsForQt5; [
+ kcodecs
+ kconfig
+ kconfigwidgets
+ kcoreaddons
+ ki18n
+ kio
+ ktextwidgets
+ kwidgetsaddons
+ kxmlgui
+ sonnet
+ ]);
+
+ meta = with lib; {
+ homepage = "https://apps.kde.org/subtitlecomposer";
+ description = "An open source text-based subtitle editor";
+ longDescription = ''
+ An open source text-based subtitle editor that supports basic and
+ advanced editing operations, aiming to become an improved version of
+ Subtitle Workshop for every platform supported by Plasma Frameworks.
+ '';
+ changelog = "https://invent.kde.org/multimedia/subtitlecomposer/-/blob/master/ChangeLog";
+ license = licenses.gpl2Plus;
+ maintainers = with maintainers; [ kugland ];
+ mainProgram = "subtitlecomposer";
+ platforms = with platforms; linux ++ freebsd ++ windows;
+ };
+}
diff --git a/pkgs/by-name/su/supersonic/package.nix b/pkgs/by-name/su/supersonic/package.nix
index 9feb440c8087..1189dc5ba61f 100644
--- a/pkgs/by-name/su/supersonic/package.nix
+++ b/pkgs/by-name/su/supersonic/package.nix
@@ -20,16 +20,16 @@ assert waylandSupport -> stdenv.isLinux;
buildGoModule rec {
pname = "supersonic" + lib.optionalString waylandSupport "-wayland";
- version = "0.9.0";
+ version = "0.9.1";
src = fetchFromGitHub {
owner = "dweymouth";
repo = "supersonic";
rev = "v${version}";
- hash = "sha256-QHDTbcWSEFleMsjt4BR4xt6DlqPSowUbHmi4+83c0kc=";
+ hash = "sha256-R9Bn+xFq8pBSuGX1okA3l/7ralKodKDxcpGov9diuxw=";
};
- vendorHash = "sha256-ANVkQpCnPsRueHyxRJMY5cqMZ5Q/QMVW4KS+TFYMpUQ=";
+ vendorHash = "sha256-4Un1twPfjRfLVl91GqYJsyY8GbKgYoMIsdNESpumH5M=";
nativeBuildInputs = [
copyDesktopItems
diff --git a/pkgs/servers/nosql/surrealdb/default.nix b/pkgs/by-name/su/surrealdb/package.nix
similarity index 75%
rename from pkgs/servers/nosql/surrealdb/default.nix
rename to pkgs/by-name/su/surrealdb/package.nix
index d32ad5214565..f5c8fecc1b09 100644
--- a/pkgs/servers/nosql/surrealdb/default.nix
+++ b/pkgs/by-name/su/surrealdb/package.nix
@@ -7,22 +7,22 @@
, rocksdb
, testers
, surrealdb
-, SystemConfiguration
+, darwin
, protobuf
}:
rustPlatform.buildRustPackage rec {
pname = "surrealdb";
- version = "1.1.1";
+ version = "1.3.1";
src = fetchFromGitHub {
owner = "surrealdb";
repo = "surrealdb";
rev = "v${version}";
- hash = "sha256-3xH0trwTs2Cr07/PtA4K808PUwaT6WmrIr2+11L7t6A=";
+ hash = "sha256-dnfgU7nTX3vvqN9Mox6USRfpFdEI/dAOKIVZ2Jd4t9o=";
};
- cargoHash = "sha256-dNn2HMZ7c/q/EhfMUHqWV79+3gMA9URqEozdEixkV/0=";
+ cargoHash = "sha256-B+x+xEcwHqoYMolAuMQzSiO/QA1FiBGO3eis9kgN1S4=";
# error: linker `aarch64-linux-gnu-gcc` not found
postPatch = ''
@@ -41,13 +41,19 @@ rustPlatform.buildRustPackage rec {
];
buildInputs = [ openssl ]
- ++ lib.optionals stdenv.isDarwin [ SystemConfiguration ];
+ ++ lib.optionals stdenv.isDarwin [ darwin.apple_sdk.frameworks.SystemConfiguration ];
+
+ doCheck = false;
checkFlags = [
# flaky
"--skip=ws_integration::none::merge"
+ # requires docker
+ "--skip=database_upgrade"
];
+ __darwinAllowLocalNetworking = true;
+
passthru.tests.version = testers.testVersion {
package = surrealdb;
command = "surreal version";
diff --git a/pkgs/by-name/su/surrealist/Cargo.lock b/pkgs/by-name/su/surrealist/Cargo.lock
new file mode 100644
index 000000000000..fe73a7deefc4
--- /dev/null
+++ b/pkgs/by-name/su/surrealist/Cargo.lock
@@ -0,0 +1,3914 @@
+# This file is automatically @generated by Cargo.
+# It is not intended for manual editing.
+version = 3
+
+[[package]]
+name = "addr2line"
+version = "0.21.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8a30b2e23b9e17a9f90641c7ab1549cd9b44f296d3ccbf309d2863cfe398a0cb"
+dependencies = [
+ "gimli",
+]
+
+[[package]]
+name = "adler"
+version = "1.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f26201604c87b1e01bd3d98f8d5d9a8fcbb815e8cedb41ffccbeb4bf593a35fe"
+
+[[package]]
+name = "aho-corasick"
+version = "1.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b2969dcb958b36655471fc61f7e416fa76033bdd4bfed0678d8fee1e2d07a1f0"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "alloc-no-stdlib"
+version = "2.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cc7bb162ec39d46ab1ca8c77bf72e890535becd1751bb45f64c597edb4c8c6b3"
+
+[[package]]
+name = "alloc-stdlib"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "94fb8275041c72129eb51b7d0322c29b8387a0386127718b096429201a5d6ece"
+dependencies = [
+ "alloc-no-stdlib",
+]
+
+[[package]]
+name = "android-tzdata"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e999941b234f3131b00bc13c22d06e8c5ff726d1b6318ac7eb276997bbb4fef0"
+
+[[package]]
+name = "android_system_properties"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "819e7219dbd41043ac279b19830f2efc897156490d7fd6ea916720117ee66311"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "anyhow"
+version = "1.0.79"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "080e9890a082662b09c1ad45f567faeeb47f22b5fb23895fbe1e651e718e25ca"
+
+[[package]]
+name = "ascii"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d92bec98840b8f03a5ff5413de5293bfcd8bf96467cf5452609f939ec6f5de16"
+
+[[package]]
+name = "atk"
+version = "0.15.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2c3d816ce6f0e2909a96830d6911c2aff044370b1ef92d7f267b43bae5addedd"
+dependencies = [
+ "atk-sys",
+ "bitflags 1.3.2",
+ "glib",
+ "libc",
+]
+
+[[package]]
+name = "atk-sys"
+version = "0.15.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "58aeb089fb698e06db8089971c7ee317ab9644bade33383f63631437b03aafb6"
+dependencies = [
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps 6.2.0",
+]
+
+[[package]]
+name = "autocfg"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d468802bab17cbc0cc575e9b053f41e72aa36bfa6b7f55e3529ffa43161b97fa"
+
+[[package]]
+name = "backtrace"
+version = "0.3.69"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2089b7e3f35b9dd2d0ed921ead4f6d318c27680d4a5bd167b3ee120edb105837"
+dependencies = [
+ "addr2line",
+ "cc",
+ "cfg-if",
+ "libc",
+ "miniz_oxide",
+ "object",
+ "rustc-demangle",
+]
+
+[[package]]
+name = "base64"
+version = "0.13.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9e1b586273c5702936fe7b7d6896644d8be71e6314cfe09d3167c95f712589e8"
+
+[[package]]
+name = "base64"
+version = "0.21.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c79fed4cdb43e993fcdadc7e58a09fd0e3e649c4436fa11da71c9f1f3ee7feb9"
+
+[[package]]
+name = "bitflags"
+version = "1.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a"
+
+[[package]]
+name = "bitflags"
+version = "2.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "327762f6e5a765692301e5bb513e0d9fef63be86bbc14528052b1cd3e6f03e07"
+
+[[package]]
+name = "block"
+version = "0.1.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0d8c1fef690941d3e7788d328517591fecc684c084084702d6ff1641e993699a"
+
+[[package]]
+name = "block-buffer"
+version = "0.10.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3078c7629b62d3f0439517fa394996acacc5cbc91c5a20d8c658e77abd503a71"
+dependencies = [
+ "generic-array",
+]
+
+[[package]]
+name = "brotli"
+version = "3.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "516074a47ef4bce09577a3b379392300159ce5b1ba2e501ff1c819950066100f"
+dependencies = [
+ "alloc-no-stdlib",
+ "alloc-stdlib",
+ "brotli-decompressor",
+]
+
+[[package]]
+name = "brotli-decompressor"
+version = "2.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4e2e4afe60d7dd600fdd3de8d0f08c2b7ec039712e3b6137ff98b7004e82de4f"
+dependencies = [
+ "alloc-no-stdlib",
+ "alloc-stdlib",
+]
+
+[[package]]
+name = "bstr"
+version = "1.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c48f0051a4b4c5e0b6d365cd04af53aeaa209e3cc15ec2cdb69e73cc87fbd0dc"
+dependencies = [
+ "memchr",
+ "serde",
+]
+
+[[package]]
+name = "bumpalo"
+version = "3.14.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f30e7476521f6f8af1a1c4c0b8cc94f0bee37d91763d0ca2665f299b6cd8aec"
+
+[[package]]
+name = "bytemuck"
+version = "1.14.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "374d28ec25809ee0e23827c2ab573d729e293f281dfe393500e7ad618baa61c6"
+
+[[package]]
+name = "byteorder"
+version = "1.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1fd0f2584146f6f2ef48085050886acf353beff7305ebd1ae69500e27c67f64b"
+
+[[package]]
+name = "bytes"
+version = "1.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a2bd12c1caf447e69cd4528f47f94d203fd2582878ecb9e9465484c4148a8223"
+
+[[package]]
+name = "cairo-rs"
+version = "0.15.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c76ee391b03d35510d9fa917357c7f1855bd9a6659c95a1b392e33f49b3369bc"
+dependencies = [
+ "bitflags 1.3.2",
+ "cairo-sys-rs",
+ "glib",
+ "libc",
+ "thiserror",
+]
+
+[[package]]
+name = "cairo-sys-rs"
+version = "0.15.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3c55d429bef56ac9172d25fecb85dc8068307d17acd74b377866b7a1ef25d3c8"
+dependencies = [
+ "glib-sys",
+ "libc",
+ "system-deps 6.2.0",
+]
+
+[[package]]
+name = "cargo_toml"
+version = "0.15.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "599aa35200ffff8f04c1925aa1acc92fa2e08874379ef42e210a80e527e60838"
+dependencies = [
+ "serde",
+ "toml 0.7.8",
+]
+
+[[package]]
+name = "cc"
+version = "1.0.83"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f1174fb0b6ec23863f8b971027804a42614e347eafb0a95bf0b12cdae21fc4d0"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "cesu8"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6d43a04d8753f35258c91f8ec639f792891f748a1edbd759cf1dcea3382ad83c"
+
+[[package]]
+name = "cfb"
+version = "0.7.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d38f2da7a0a2c4ccf0065be06397cc26a81f4e528be095826eee9d4adbb8c60f"
+dependencies = [
+ "byteorder",
+ "fnv",
+ "uuid",
+]
+
+[[package]]
+name = "cfg-expr"
+version = "0.9.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3431df59f28accaf4cb4eed4a9acc66bea3f3c3753aa6cdc2f024174ef232af7"
+dependencies = [
+ "smallvec",
+]
+
+[[package]]
+name = "cfg-expr"
+version = "0.15.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6100bc57b6209840798d95cb2775684849d332f7bd788db2a8c8caf7ef82a41a"
+dependencies = [
+ "smallvec",
+ "target-lexicon",
+]
+
+[[package]]
+name = "cfg-if"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd"
+
+[[package]]
+name = "chrono"
+version = "0.4.31"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f2c685bad3eb3d45a01354cedb7d5faa66194d1d58ba6e267a8de788f79db38"
+dependencies = [
+ "android-tzdata",
+ "iana-time-zone",
+ "num-traits",
+ "serde",
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "chunked_transfer"
+version = "1.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6e4de3bc4ea267985becf712dc6d9eed8b04c953b3fcfb339ebc87acd9804901"
+
+[[package]]
+name = "cocoa"
+version = "0.24.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f425db7937052c684daec3bd6375c8abe2d146dca4b8b143d6db777c39138f3a"
+dependencies = [
+ "bitflags 1.3.2",
+ "block",
+ "cocoa-foundation",
+ "core-foundation",
+ "core-graphics",
+ "foreign-types",
+ "libc",
+ "objc",
+]
+
+[[package]]
+name = "cocoa-foundation"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8c6234cbb2e4c785b456c0644748b1ac416dd045799740356f8363dfe00c93f7"
+dependencies = [
+ "bitflags 1.3.2",
+ "block",
+ "core-foundation",
+ "core-graphics-types",
+ "libc",
+ "objc",
+]
+
+[[package]]
+name = "color_quant"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3d7b894f5411737b7867f4827955924d7c254fc9f4d91a6aad6b097804b1018b"
+
+[[package]]
+name = "combine"
+version = "4.6.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "35ed6e9d84f0b51a7f52daf1c7d71dd136fd7a3f41a8462b8cdb8c78d920fad4"
+dependencies = [
+ "bytes",
+ "memchr",
+]
+
+[[package]]
+name = "convert_case"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6245d59a3e82a7fc217c5828a6692dbc6dfb63a0c8c90495621f7b9d79704a0e"
+
+[[package]]
+name = "core-foundation"
+version = "0.9.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "91e195e091a93c46f7102ec7818a2aa394e1e1771c3ab4825963fa03e45afb8f"
+dependencies = [
+ "core-foundation-sys",
+ "libc",
+]
+
+[[package]]
+name = "core-foundation-sys"
+version = "0.8.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "06ea2b9bc92be3c2baa9334a323ebca2d6f074ff852cd1d7b11064035cd3868f"
+
+[[package]]
+name = "core-graphics"
+version = "0.22.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2581bbab3b8ffc6fcbd550bf46c355135d16e9ff2a6ea032ad6b9bf1d7efe4fb"
+dependencies = [
+ "bitflags 1.3.2",
+ "core-foundation",
+ "core-graphics-types",
+ "foreign-types",
+ "libc",
+]
+
+[[package]]
+name = "core-graphics-types"
+version = "0.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "45390e6114f68f718cc7a830514a96f903cccd70d02a8f6d9f643ac4ba45afaf"
+dependencies = [
+ "bitflags 1.3.2",
+ "core-foundation",
+ "libc",
+]
+
+[[package]]
+name = "cpufeatures"
+version = "0.2.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "53fe5e26ff1b7aef8bca9c6080520cfb8d9333c7568e1829cef191a9723e5504"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "crc32fast"
+version = "1.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b540bd8bc810d3885c6ea91e2018302f68baba2129ab3e88f32389ee9370880d"
+dependencies = [
+ "cfg-if",
+]
+
+[[package]]
+name = "crossbeam-channel"
+version = "0.5.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "176dc175b78f56c0f321911d9c8eb2b77a78a4860b9c19db83835fea1a46649b"
+dependencies = [
+ "crossbeam-utils",
+]
+
+[[package]]
+name = "crossbeam-deque"
+version = "0.8.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "613f8cc01fe9cf1a3eb3d7f488fd2fa8388403e97039e2f73692932e291a770d"
+dependencies = [
+ "crossbeam-epoch",
+ "crossbeam-utils",
+]
+
+[[package]]
+name = "crossbeam-epoch"
+version = "0.9.18"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5b82ac4a3c2ca9c3460964f020e1402edd5753411d7737aa39c3714ad1b5420e"
+dependencies = [
+ "crossbeam-utils",
+]
+
+[[package]]
+name = "crossbeam-utils"
+version = "0.8.19"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "248e3bacc7dc6baa3b21e405ee045c3047101a49145e7e9eca583ab4c2ca5345"
+
+[[package]]
+name = "crypto-common"
+version = "0.1.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1bfb12502f3fc46cca1bb51ac28df9d618d813cdc3d2f25b9fe775a34af26bb3"
+dependencies = [
+ "generic-array",
+ "typenum",
+]
+
+[[package]]
+name = "cssparser"
+version = "0.27.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "754b69d351cdc2d8ee09ae203db831e005560fc6030da058f86ad60c92a9cb0a"
+dependencies = [
+ "cssparser-macros",
+ "dtoa-short",
+ "itoa 0.4.8",
+ "matches",
+ "phf 0.8.0",
+ "proc-macro2",
+ "quote",
+ "smallvec",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "cssparser-macros"
+version = "0.6.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "13b588ba4ac1a99f7f2964d24b3d896ddc6bf847ee3855dbd4366f058cfcd331"
+dependencies = [
+ "quote",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "ctor"
+version = "0.2.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "30d2b3721e861707777e3195b0158f950ae6dc4a27e4d02ff9f67e3eb3de199e"
+dependencies = [
+ "quote",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "darling"
+version = "0.20.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0209d94da627ab5605dcccf08bb18afa5009cfbef48d8a8b7d7bdbc79be25c5e"
+dependencies = [
+ "darling_core",
+ "darling_macro",
+]
+
+[[package]]
+name = "darling_core"
+version = "0.20.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "177e3443818124b357d8e76f53be906d60937f0d3a90773a664fa63fa253e621"
+dependencies = [
+ "fnv",
+ "ident_case",
+ "proc-macro2",
+ "quote",
+ "strsim",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "darling_macro"
+version = "0.20.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "836a9bbc7ad63342d6d6e7b815ccab164bc77a2d95d84bc3117a8c0d5c98e2d5"
+dependencies = [
+ "darling_core",
+ "quote",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "deranged"
+version = "0.3.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b42b6fa04a440b495c8b04d0e71b707c585f83cb9cb28cf8cd0d976c315e31b4"
+dependencies = [
+ "powerfmt",
+ "serde",
+]
+
+[[package]]
+name = "derive_more"
+version = "0.99.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4fb810d30a7c1953f91334de7244731fc3f3c10d7fe163338a35b9f640960321"
+dependencies = [
+ "convert_case",
+ "proc-macro2",
+ "quote",
+ "rustc_version",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "digest"
+version = "0.10.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9ed9a281f7bc9b7576e61468ba615a66a5c8cfdff42420a70aa82701a3b1e292"
+dependencies = [
+ "block-buffer",
+ "crypto-common",
+]
+
+[[package]]
+name = "dirs-next"
+version = "2.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b98cf8ebf19c3d1b223e151f99a4f9f0690dca41414773390fc824184ac833e1"
+dependencies = [
+ "cfg-if",
+ "dirs-sys-next",
+]
+
+[[package]]
+name = "dirs-sys-next"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4ebda144c4fe02d1f7ea1a7d9641b6fc6b580adcfa024ae48797ecdeb6825b4d"
+dependencies = [
+ "libc",
+ "redox_users",
+ "winapi",
+]
+
+[[package]]
+name = "dispatch"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bd0c93bb4b0c6d9b77f4435b0ae98c24d17f1c45b2ff844c6151a07256ca923b"
+
+[[package]]
+name = "dtoa"
+version = "1.0.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dcbb2bf8e87535c23f7a8a321e364ce21462d0ff10cb6407820e8e96dfff6653"
+
+[[package]]
+name = "dtoa-short"
+version = "0.3.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dbaceec3c6e4211c79e7b1800fb9680527106beb2f9c51904a3210c03a448c74"
+dependencies = [
+ "dtoa",
+]
+
+[[package]]
+name = "dunce"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "56ce8c6da7551ec6c462cbaf3bfbc75131ebbfa1c944aeaa9dab51ca1c5f0c3b"
+
+[[package]]
+name = "embed-resource"
+version = "2.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3bde55e389bea6a966bd467ad1ad7da0ae14546a5bc794d16d1e55e7fca44881"
+dependencies = [
+ "cc",
+ "memchr",
+ "rustc_version",
+ "toml 0.8.8",
+ "vswhom",
+ "winreg",
+]
+
+[[package]]
+name = "embed_plist"
+version = "1.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4ef6b89e5b37196644d8796de5268852ff179b44e96276cf4290264843743bb7"
+
+[[package]]
+name = "encoding_rs"
+version = "0.8.33"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7268b386296a025e474d5140678f75d6de9493ae55a5d709eeb9dd08149945e1"
+dependencies = [
+ "cfg-if",
+]
+
+[[package]]
+name = "equivalent"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5443807d6dff69373d433ab9ef5378ad8df50ca6298caf15de6e52e24aaf54d5"
+
+[[package]]
+name = "errno"
+version = "0.3.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a258e46cdc063eb8519c00b9fc845fc47bcfca4130e2f08e88665ceda8474245"
+dependencies = [
+ "libc",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "fastrand"
+version = "2.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "25cbce373ec4653f1a01a31e8a5e5ec0c622dc27ff9c4e6606eefef5cbbed4a5"
+
+[[package]]
+name = "fdeflate"
+version = "0.3.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "209098dd6dfc4445aa6111f0e98653ac323eaa4dfd212c9ca3931bf9955c31bd"
+dependencies = [
+ "simd-adler32",
+]
+
+[[package]]
+name = "field-offset"
+version = "0.3.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "38e2275cc4e4fc009b0669731a1e5ab7ebf11f469eaede2bab9309a5b4d6057f"
+dependencies = [
+ "memoffset",
+ "rustc_version",
+]
+
+[[package]]
+name = "filetime"
+version = "0.2.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1ee447700ac8aa0b2f2bd7bc4462ad686ba06baa6727ac149a2d6277f0d240fd"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "redox_syscall",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "flate2"
+version = "1.0.28"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "46303f565772937ffe1d394a4fac6f411c6013172fadde9dcdb1e147a086940e"
+dependencies = [
+ "crc32fast",
+ "miniz_oxide",
+]
+
+[[package]]
+name = "fnv"
+version = "1.0.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3f9eec918d3f24069decb9af1554cad7c880e2da24a9afd88aca000531ab82c1"
+
+[[package]]
+name = "foreign-types"
+version = "0.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f6f339eb8adc052cd2ca78910fda869aefa38d22d5cb648e6485e4d3fc06f3b1"
+dependencies = [
+ "foreign-types-shared",
+]
+
+[[package]]
+name = "foreign-types-shared"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "00b0228411908ca8685dba7fc2cdd70ec9990a6e753e89b6ac91a84c40fbaf4b"
+
+[[package]]
+name = "form_urlencoded"
+version = "1.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e13624c2627564efccf4934284bdd98cbaa14e79b0b5a141218e507b3a823456"
+dependencies = [
+ "percent-encoding",
+]
+
+[[package]]
+name = "futf"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "df420e2e84819663797d1ec6544b13c5be84629e7bb00dc960d6917db2987843"
+dependencies = [
+ "mac",
+ "new_debug_unreachable",
+]
+
+[[package]]
+name = "futures-channel"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eac8f7d7865dcb88bd4373ab671c8cf4508703796caa2b1985a9ca867b3fcb78"
+dependencies = [
+ "futures-core",
+]
+
+[[package]]
+name = "futures-core"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dfc6580bb841c5a68e9ef15c77ccc837b40a7504914d52e47b8b0e9bbda25a1d"
+
+[[package]]
+name = "futures-executor"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a576fc72ae164fca6b9db127eaa9a9dda0d61316034f33a0a0d4eda41f02b01d"
+dependencies = [
+ "futures-core",
+ "futures-task",
+ "futures-util",
+]
+
+[[package]]
+name = "futures-io"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a44623e20b9681a318efdd71c299b6b222ed6f231972bfe2f224ebad6311f0c1"
+
+[[package]]
+name = "futures-macro"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "87750cf4b7a4c0625b1529e4c543c2182106e4dedc60a2a6455e00d212c489ac"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "futures-task"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "38d84fa142264698cdce1a9f9172cf383a0c82de1bddcf3092901442c4097004"
+
+[[package]]
+name = "futures-util"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3d6401deb83407ab3da39eba7e33987a73c3df0c82b4bb5813ee871c19c41d48"
+dependencies = [
+ "futures-core",
+ "futures-macro",
+ "futures-task",
+ "pin-project-lite",
+ "pin-utils",
+ "slab",
+]
+
+[[package]]
+name = "fxhash"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c31b6d751ae2c7f11320402d34e41349dd1016f8d5d45e48c4312bc8625af50c"
+dependencies = [
+ "byteorder",
+]
+
+[[package]]
+name = "gdk"
+version = "0.15.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a6e05c1f572ab0e1f15be94217f0dc29088c248b14f792a5ff0af0d84bcda9e8"
+dependencies = [
+ "bitflags 1.3.2",
+ "cairo-rs",
+ "gdk-pixbuf",
+ "gdk-sys",
+ "gio",
+ "glib",
+ "libc",
+ "pango",
+]
+
+[[package]]
+name = "gdk-pixbuf"
+version = "0.15.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ad38dd9cc8b099cceecdf41375bb6d481b1b5a7cd5cd603e10a69a9383f8619a"
+dependencies = [
+ "bitflags 1.3.2",
+ "gdk-pixbuf-sys",
+ "gio",
+ "glib",
+ "libc",
+]
+
+[[package]]
+name = "gdk-pixbuf-sys"
+version = "0.15.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "140b2f5378256527150350a8346dbdb08fadc13453a7a2d73aecd5fab3c402a7"
+dependencies = [
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps 6.2.0",
+]
+
+[[package]]
+name = "gdk-sys"
+version = "0.15.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "32e7a08c1e8f06f4177fb7e51a777b8c1689f743a7bc11ea91d44d2226073a88"
+dependencies = [
+ "cairo-sys-rs",
+ "gdk-pixbuf-sys",
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "pango-sys",
+ "pkg-config",
+ "system-deps 6.2.0",
+]
+
+[[package]]
+name = "gdkwayland-sys"
+version = "0.15.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cca49a59ad8cfdf36ef7330fe7bdfbe1d34323220cc16a0de2679ee773aee2c2"
+dependencies = [
+ "gdk-sys",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "pkg-config",
+ "system-deps 6.2.0",
+]
+
+[[package]]
+name = "gdkx11-sys"
+version = "0.15.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b4b7f8c7a84b407aa9b143877e267e848ff34106578b64d1e0a24bf550716178"
+dependencies = [
+ "gdk-sys",
+ "glib-sys",
+ "libc",
+ "system-deps 6.2.0",
+ "x11",
+]
+
+[[package]]
+name = "generator"
+version = "0.7.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5cc16584ff22b460a382b7feec54b23d2908d858152e5739a120b949293bd74e"
+dependencies = [
+ "cc",
+ "libc",
+ "log",
+ "rustversion",
+ "windows 0.48.0",
+]
+
+[[package]]
+name = "generic-array"
+version = "0.14.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "85649ca51fd72272d7821adaf274ad91c288277713d9c18820d8499a7ff69e9a"
+dependencies = [
+ "typenum",
+ "version_check",
+]
+
+[[package]]
+name = "getrandom"
+version = "0.1.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8fc3cb4d91f53b50155bdcfd23f6a4c39ae1969c2ae85982b135750cccaf5fce"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "wasi 0.9.0+wasi-snapshot-preview1",
+]
+
+[[package]]
+name = "getrandom"
+version = "0.2.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "190092ea657667030ac6a35e305e62fc4dd69fd98ac98631e5d3a2b1575a12b5"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "wasi 0.11.0+wasi-snapshot-preview1",
+]
+
+[[package]]
+name = "gimli"
+version = "0.28.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4271d37baee1b8c7e4b708028c57d816cf9d2434acb33a549475f78c181f6253"
+
+[[package]]
+name = "gio"
+version = "0.15.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "68fdbc90312d462781a395f7a16d96a2b379bb6ef8cd6310a2df272771c4283b"
+dependencies = [
+ "bitflags 1.3.2",
+ "futures-channel",
+ "futures-core",
+ "futures-io",
+ "gio-sys",
+ "glib",
+ "libc",
+ "once_cell",
+ "thiserror",
+]
+
+[[package]]
+name = "gio-sys"
+version = "0.15.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "32157a475271e2c4a023382e9cab31c4584ee30a97da41d3c4e9fdd605abcf8d"
+dependencies = [
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps 6.2.0",
+ "winapi",
+]
+
+[[package]]
+name = "glib"
+version = "0.15.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "edb0306fbad0ab5428b0ca674a23893db909a98582969c9b537be4ced78c505d"
+dependencies = [
+ "bitflags 1.3.2",
+ "futures-channel",
+ "futures-core",
+ "futures-executor",
+ "futures-task",
+ "glib-macros",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "once_cell",
+ "smallvec",
+ "thiserror",
+]
+
+[[package]]
+name = "glib-macros"
+version = "0.15.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "10c6ae9f6fa26f4fb2ac16b528d138d971ead56141de489f8111e259b9df3c4a"
+dependencies = [
+ "anyhow",
+ "heck 0.4.1",
+ "proc-macro-crate",
+ "proc-macro-error",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "glib-sys"
+version = "0.15.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ef4b192f8e65e9cf76cbf4ea71fa8e3be4a0e18ffe3d68b8da6836974cc5bad4"
+dependencies = [
+ "libc",
+ "system-deps 6.2.0",
+]
+
+[[package]]
+name = "glob"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d2fabcfbdc87f4758337ca535fb41a6d701b65693ce38287d856d1674551ec9b"
+
+[[package]]
+name = "globset"
+version = "0.4.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "57da3b9b5b85bd66f31093f8c408b90a74431672542466497dcbdfdc02034be1"
+dependencies = [
+ "aho-corasick",
+ "bstr",
+ "log",
+ "regex-automata 0.4.3",
+ "regex-syntax 0.8.2",
+]
+
+[[package]]
+name = "gobject-sys"
+version = "0.15.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0d57ce44246becd17153bd035ab4d32cfee096a657fc01f2231c9278378d1e0a"
+dependencies = [
+ "glib-sys",
+ "libc",
+ "system-deps 6.2.0",
+]
+
+[[package]]
+name = "gtk"
+version = "0.15.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "92e3004a2d5d6d8b5057d2b57b3712c9529b62e82c77f25c1fecde1fd5c23bd0"
+dependencies = [
+ "atk",
+ "bitflags 1.3.2",
+ "cairo-rs",
+ "field-offset",
+ "futures-channel",
+ "gdk",
+ "gdk-pixbuf",
+ "gio",
+ "glib",
+ "gtk-sys",
+ "gtk3-macros",
+ "libc",
+ "once_cell",
+ "pango",
+ "pkg-config",
+]
+
+[[package]]
+name = "gtk-sys"
+version = "0.15.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d5bc2f0587cba247f60246a0ca11fe25fb733eabc3de12d1965fc07efab87c84"
+dependencies = [
+ "atk-sys",
+ "cairo-sys-rs",
+ "gdk-pixbuf-sys",
+ "gdk-sys",
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "pango-sys",
+ "system-deps 6.2.0",
+]
+
+[[package]]
+name = "gtk3-macros"
+version = "0.15.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "684c0456c086e8e7e9af73ec5b84e35938df394712054550e81558d21c44ab0d"
+dependencies = [
+ "anyhow",
+ "proc-macro-crate",
+ "proc-macro-error",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "hashbrown"
+version = "0.12.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8a9ee70c43aaf417c914396645a0fa852624801b24ebb7ae78fe8272889ac888"
+
+[[package]]
+name = "hashbrown"
+version = "0.14.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "290f1a1d9242c78d09ce40a5e87e7554ee637af1351968159f4952f028f75604"
+
+[[package]]
+name = "heck"
+version = "0.3.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6d621efb26863f0e9924c6ac577e8275e5e6b77455db64ffa6c65c904e9e132c"
+dependencies = [
+ "unicode-segmentation",
+]
+
+[[package]]
+name = "heck"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "95505c38b4572b2d910cecb0281560f54b440a19336cbbcb27bf6ce6adc6f5a8"
+
+[[package]]
+name = "hermit-abi"
+version = "0.3.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d77f7ec81a6d05a3abb01ab6eb7590f6083d08449fe5a1c8b1e620283546ccb7"
+
+[[package]]
+name = "hex"
+version = "0.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f24254aa9a54b5c858eaee2f5bccdb46aaf0e486a595ed5fd8f86ba55232a70"
+
+[[package]]
+name = "html5ever"
+version = "0.26.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bea68cab48b8459f17cf1c944c67ddc572d272d9f2b274140f223ecb1da4a3b7"
+dependencies = [
+ "log",
+ "mac",
+ "markup5ever",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "http"
+version = "0.2.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8947b1a6fad4393052c7ba1f4cd97bed3e953a95c79c92ad9b051a04611d9fbb"
+dependencies = [
+ "bytes",
+ "fnv",
+ "itoa 1.0.10",
+]
+
+[[package]]
+name = "http"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b32afd38673a8016f7c9ae69e5af41a58f81b1d31689040f2f1959594ce194ea"
+dependencies = [
+ "bytes",
+ "fnv",
+ "itoa 1.0.10",
+]
+
+[[package]]
+name = "http-range"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "21dec9db110f5f872ed9699c3ecf50cf16f423502706ba5c72462e28d3157573"
+
+[[package]]
+name = "httpdate"
+version = "1.0.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "df3b46402a9d5adb4c86a0cf463f42e19994e3ee891101b1841f30a545cb49a9"
+
+[[package]]
+name = "iana-time-zone"
+version = "0.1.59"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b6a67363e2aa4443928ce15e57ebae94fd8949958fd1223c4cfc0cd473ad7539"
+dependencies = [
+ "android_system_properties",
+ "core-foundation-sys",
+ "iana-time-zone-haiku",
+ "js-sys",
+ "wasm-bindgen",
+ "windows-core",
+]
+
+[[package]]
+name = "iana-time-zone-haiku"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f31827a206f56af32e590ba56d5d2d085f558508192593743f16b2306495269f"
+dependencies = [
+ "cc",
+]
+
+[[package]]
+name = "ico"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e3804960be0bb5e4edb1e1ad67afd321a9ecfd875c3e65c099468fd2717d7cae"
+dependencies = [
+ "byteorder",
+ "png",
+]
+
+[[package]]
+name = "ident_case"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b9e0384b61958566e926dc50660321d12159025e767c18e043daf26b70104c39"
+
+[[package]]
+name = "idna"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "634d9b1461af396cad843f47fdba5597a4f9e6ddd4bfb6ff5d85028c25cb12f6"
+dependencies = [
+ "unicode-bidi",
+ "unicode-normalization",
+]
+
+[[package]]
+name = "ignore"
+version = "0.4.22"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b46810df39e66e925525d6e38ce1e7f6e1d208f72dc39757880fcb66e2c58af1"
+dependencies = [
+ "crossbeam-deque",
+ "globset",
+ "log",
+ "memchr",
+ "regex-automata 0.4.3",
+ "same-file",
+ "walkdir",
+ "winapi-util",
+]
+
+[[package]]
+name = "image"
+version = "0.24.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6f3dfdbdd72063086ff443e297b61695500514b1e41095b6fb9a5ab48a70a711"
+dependencies = [
+ "bytemuck",
+ "byteorder",
+ "color_quant",
+ "num-rational",
+ "num-traits",
+]
+
+[[package]]
+name = "indexmap"
+version = "1.9.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bd070e393353796e801d209ad339e89596eb4c8d430d18ede6a1cced8fafbd99"
+dependencies = [
+ "autocfg",
+ "hashbrown 0.12.3",
+ "serde",
+]
+
+[[package]]
+name = "indexmap"
+version = "2.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d530e1a18b1cb4c484e6e34556a0d948706958449fca0cab753d649f2bce3d1f"
+dependencies = [
+ "equivalent",
+ "hashbrown 0.14.3",
+ "serde",
+]
+
+[[package]]
+name = "infer"
+version = "0.13.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f551f8c3a39f68f986517db0d1759de85881894fdc7db798bd2a9df9cb04b7fc"
+dependencies = [
+ "cfb",
+]
+
+[[package]]
+name = "instant"
+version = "0.1.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7a5bbe824c507c5da5956355e86a746d82e0e1464f65d862cc5e71da70e94b2c"
+dependencies = [
+ "cfg-if",
+]
+
+[[package]]
+name = "itoa"
+version = "0.4.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b71991ff56294aa922b450139ee08b3bfc70982c6b2c7562771375cf73542dd4"
+
+[[package]]
+name = "itoa"
+version = "1.0.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b1a46d1a171d865aa5f83f92695765caa047a9b4cbae2cbf37dbd613a793fd4c"
+
+[[package]]
+name = "javascriptcore-rs"
+version = "0.16.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bf053e7843f2812ff03ef5afe34bb9c06ffee120385caad4f6b9967fcd37d41c"
+dependencies = [
+ "bitflags 1.3.2",
+ "glib",
+ "javascriptcore-rs-sys",
+]
+
+[[package]]
+name = "javascriptcore-rs-sys"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "905fbb87419c5cde6e3269537e4ea7d46431f3008c5d057e915ef3f115e7793c"
+dependencies = [
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps 5.0.0",
+]
+
+[[package]]
+name = "jni"
+version = "0.20.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "039022cdf4d7b1cf548d31f60ae783138e5fd42013f6271049d7df7afadef96c"
+dependencies = [
+ "cesu8",
+ "combine",
+ "jni-sys",
+ "log",
+ "thiserror",
+ "walkdir",
+]
+
+[[package]]
+name = "jni-sys"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8eaf4bc02d17cbdd7ff4c7438cafcdf7fb9a4613313ad11b4f8fefe7d3fa0130"
+
+[[package]]
+name = "js-sys"
+version = "0.3.66"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cee9c64da59eae3b50095c18d3e74f8b73c0b86d2792824ff01bbce68ba229ca"
+dependencies = [
+ "wasm-bindgen",
+]
+
+[[package]]
+name = "json-patch"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "55ff1e1486799e3f64129f8ccad108b38290df9cd7015cd31bed17239f0789d6"
+dependencies = [
+ "serde",
+ "serde_json",
+ "thiserror",
+ "treediff",
+]
+
+[[package]]
+name = "kuchikiki"
+version = "0.8.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f29e4755b7b995046f510a7520c42b2fed58b77bd94d5a87a8eb43d2fd126da8"
+dependencies = [
+ "cssparser",
+ "html5ever",
+ "indexmap 1.9.3",
+ "matches",
+ "selectors",
+]
+
+[[package]]
+name = "lazy_static"
+version = "1.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e2abad23fbc42b3700f2f279844dc832adb2b2eb069b2df918f455c4e18cc646"
+
+[[package]]
+name = "libc"
+version = "0.2.152"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "13e3bf6590cbc649f4d1a3eefc9d5d6eb746f5200ffb04e5e142700b8faa56e7"
+
+[[package]]
+name = "libredox"
+version = "0.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "85c833ca1e66078851dba29046874e38f08b2c883700aa29a03ddd3b23814ee8"
+dependencies = [
+ "bitflags 2.4.1",
+ "libc",
+ "redox_syscall",
+]
+
+[[package]]
+name = "line-wrap"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f30344350a2a51da54c1d53be93fade8a237e545dbcc4bdbe635413f2117cab9"
+dependencies = [
+ "safemem",
+]
+
+[[package]]
+name = "linux-raw-sys"
+version = "0.4.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c4cd1a83af159aa67994778be9070f0ae1bd732942279cabb14f86f986a21456"
+
+[[package]]
+name = "lock_api"
+version = "0.4.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3c168f8615b12bc01f9c17e2eb0cc07dcae1940121185446edc3744920e8ef45"
+dependencies = [
+ "autocfg",
+ "scopeguard",
+]
+
+[[package]]
+name = "log"
+version = "0.4.20"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b5e6163cb8c49088c2c36f57875e58ccd8c87c7427f7fbd50ea6710b2f3f2e8f"
+
+[[package]]
+name = "loom"
+version = "0.5.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ff50ecb28bb86013e935fb6683ab1f6d3a20016f123c76fd4c27470076ac30f5"
+dependencies = [
+ "cfg-if",
+ "generator",
+ "scoped-tls",
+ "serde",
+ "serde_json",
+ "tracing",
+ "tracing-subscriber",
+]
+
+[[package]]
+name = "mac"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c41e0c4fef86961ac6d6f8a82609f55f31b05e4fce149ac5710e439df7619ba4"
+
+[[package]]
+name = "malloc_buf"
+version = "0.0.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "62bb907fe88d54d8d9ce32a3cceab4218ed2f6b7d35617cafe9adf84e43919cb"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "markup5ever"
+version = "0.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7a2629bb1404f3d34c2e921f21fd34ba00b206124c81f65c50b43b6aaefeb016"
+dependencies = [
+ "log",
+ "phf 0.10.1",
+ "phf_codegen 0.10.0",
+ "string_cache",
+ "string_cache_codegen",
+ "tendril",
+]
+
+[[package]]
+name = "matchers"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8263075bb86c5a1b1427b5ae862e8889656f126e9f77c484496e8b47cf5c5558"
+dependencies = [
+ "regex-automata 0.1.10",
+]
+
+[[package]]
+name = "matches"
+version = "0.1.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2532096657941c2fea9c289d370a250971c689d4f143798ff67113ec042024a5"
+
+[[package]]
+name = "memchr"
+version = "2.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "523dc4f511e55ab87b694dc30d0f820d60906ef06413f93d4d7a1385599cc149"
+
+[[package]]
+name = "memoffset"
+version = "0.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5a634b1c61a95585bd15607c6ab0c4e5b226e695ff2800ba0cdccddf208c406c"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "miniz_oxide"
+version = "0.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e7810e0be55b428ada41041c41f32c9f1a42817901b4ccf45fa3d4b6561e74c7"
+dependencies = [
+ "adler",
+ "simd-adler32",
+]
+
+[[package]]
+name = "ndk"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2032c77e030ddee34a6787a64166008da93f6a352b629261d0fee232b8742dd4"
+dependencies = [
+ "bitflags 1.3.2",
+ "jni-sys",
+ "ndk-sys",
+ "num_enum",
+ "thiserror",
+]
+
+[[package]]
+name = "ndk-context"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "27b02d87554356db9e9a873add8782d4ea6e3e58ea071a9adb9a2e8ddb884a8b"
+
+[[package]]
+name = "ndk-sys"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6e5a6ae77c8ee183dcbbba6150e2e6b9f3f4196a7666c02a715a95692ec1fa97"
+dependencies = [
+ "jni-sys",
+]
+
+[[package]]
+name = "new_debug_unreachable"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e4a24736216ec316047a1fc4252e27dabb04218aa4a3f37c6e7ddbf1f9782b54"
+
+[[package]]
+name = "nodrop"
+version = "0.1.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "72ef4a56884ca558e5ddb05a1d1e7e1bfd9a68d9ed024c21704cc98872dae1bb"
+
+[[package]]
+name = "nu-ansi-term"
+version = "0.46.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "77a8165726e8236064dbb45459242600304b42a5ea24ee2948e18e023bf7ba84"
+dependencies = [
+ "overload",
+ "winapi",
+]
+
+[[package]]
+name = "num-integer"
+version = "0.1.45"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "225d3389fb3509a24c93f5c29eb6bde2586b98d9f016636dff58d7c6f7569cd9"
+dependencies = [
+ "autocfg",
+ "num-traits",
+]
+
+[[package]]
+name = "num-rational"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0638a1c9d0a3c0914158145bc76cff373a75a627e6ecbfb71cbe6f453a5a19b0"
+dependencies = [
+ "autocfg",
+ "num-integer",
+ "num-traits",
+]
+
+[[package]]
+name = "num-traits"
+version = "0.2.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "39e3200413f237f41ab11ad6d161bc7239c84dcb631773ccd7de3dfe4b5c267c"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "num_cpus"
+version = "1.16.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4161fcb6d602d4d2081af7c3a45852d875a03dd337a6bfdd6e06407b61342a43"
+dependencies = [
+ "hermit-abi",
+ "libc",
+]
+
+[[package]]
+name = "num_enum"
+version = "0.5.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1f646caf906c20226733ed5b1374287eb97e3c2a5c227ce668c1f2ce20ae57c9"
+dependencies = [
+ "num_enum_derive",
+]
+
+[[package]]
+name = "num_enum_derive"
+version = "0.5.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dcbff9bc912032c62bf65ef1d5aea88983b420f4f839db1e9b0c281a25c9c799"
+dependencies = [
+ "proc-macro-crate",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "objc"
+version = "0.2.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "915b1b472bc21c53464d6c8461c9d3af805ba1ef837e1cac254428f4a77177b1"
+dependencies = [
+ "malloc_buf",
+ "objc_exception",
+]
+
+[[package]]
+name = "objc-foundation"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1add1b659e36c9607c7aab864a76c7a4c2760cd0cd2e120f3fb8b952c7e22bf9"
+dependencies = [
+ "block",
+ "objc",
+ "objc_id",
+]
+
+[[package]]
+name = "objc_exception"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ad970fb455818ad6cba4c122ad012fae53ae8b4795f86378bce65e4f6bab2ca4"
+dependencies = [
+ "cc",
+]
+
+[[package]]
+name = "objc_id"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c92d4ddb4bd7b50d730c215ff871754d0da6b2178849f8a2a2ab69712d0c073b"
+dependencies = [
+ "objc",
+]
+
+[[package]]
+name = "object"
+version = "0.32.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a6a622008b6e321afc04970976f62ee297fdbaa6f95318ca343e3eebb9648441"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "once_cell"
+version = "1.19.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3fdb12b2476b595f9358c5161aa467c2438859caa136dec86c26fdd2efe17b92"
+
+[[package]]
+name = "open"
+version = "3.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2078c0039e6a54a0c42c28faa984e115fb4c2d5bf2208f77d1961002df8576f8"
+dependencies = [
+ "pathdiff",
+ "windows-sys 0.42.0",
+]
+
+[[package]]
+name = "overload"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b15813163c1d831bf4a13c3610c05c0d03b39feb07f7e09fa234dac9b15aaf39"
+
+[[package]]
+name = "pango"
+version = "0.15.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "22e4045548659aee5313bde6c582b0d83a627b7904dd20dc2d9ef0895d414e4f"
+dependencies = [
+ "bitflags 1.3.2",
+ "glib",
+ "libc",
+ "once_cell",
+ "pango-sys",
+]
+
+[[package]]
+name = "pango-sys"
+version = "0.15.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d2a00081cde4661982ed91d80ef437c20eacaf6aa1a5962c0279ae194662c3aa"
+dependencies = [
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps 6.2.0",
+]
+
+[[package]]
+name = "parking_lot"
+version = "0.12.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3742b2c103b9f06bc9fff0a37ff4912935851bee6d36f3c02bcc755bcfec228f"
+dependencies = [
+ "lock_api",
+ "parking_lot_core",
+]
+
+[[package]]
+name = "parking_lot_core"
+version = "0.9.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4c42a9226546d68acdd9c0a280d17ce19bfe27a46bf68784e4066115788d008e"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "redox_syscall",
+ "smallvec",
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "pathdiff"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8835116a5c179084a830efb3adc117ab007512b535bc1a21c991d3b32a6b44dd"
+
+[[package]]
+name = "percent-encoding"
+version = "2.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e3148f5046208a5d56bcfc03053e3ca6334e51da8dfb19b6cdc8b306fae3283e"
+
+[[package]]
+name = "phf"
+version = "0.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3dfb61232e34fcb633f43d12c58f83c1df82962dcdfa565a4e866ffc17dafe12"
+dependencies = [
+ "phf_macros 0.8.0",
+ "phf_shared 0.8.0",
+ "proc-macro-hack",
+]
+
+[[package]]
+name = "phf"
+version = "0.10.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fabbf1ead8a5bcbc20f5f8b939ee3f5b0f6f281b6ad3468b84656b658b455259"
+dependencies = [
+ "phf_shared 0.10.0",
+]
+
+[[package]]
+name = "phf"
+version = "0.11.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ade2d8b8f33c7333b51bcf0428d37e217e9f32192ae4772156f65063b8ce03dc"
+dependencies = [
+ "phf_macros 0.11.2",
+ "phf_shared 0.11.2",
+]
+
+[[package]]
+name = "phf_codegen"
+version = "0.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cbffee61585b0411840d3ece935cce9cb6321f01c45477d30066498cd5e1a815"
+dependencies = [
+ "phf_generator 0.8.0",
+ "phf_shared 0.8.0",
+]
+
+[[package]]
+name = "phf_codegen"
+version = "0.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4fb1c3a8bc4dd4e5cfce29b44ffc14bedd2ee294559a294e2a4d4c9e9a6a13cd"
+dependencies = [
+ "phf_generator 0.10.0",
+ "phf_shared 0.10.0",
+]
+
+[[package]]
+name = "phf_generator"
+version = "0.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "17367f0cc86f2d25802b2c26ee58a7b23faeccf78a396094c13dced0d0182526"
+dependencies = [
+ "phf_shared 0.8.0",
+ "rand 0.7.3",
+]
+
+[[package]]
+name = "phf_generator"
+version = "0.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5d5285893bb5eb82e6aaf5d59ee909a06a16737a8970984dd7746ba9283498d6"
+dependencies = [
+ "phf_shared 0.10.0",
+ "rand 0.8.5",
+]
+
+[[package]]
+name = "phf_generator"
+version = "0.11.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "48e4cc64c2ad9ebe670cb8fd69dd50ae301650392e81c05f9bfcb2d5bdbc24b0"
+dependencies = [
+ "phf_shared 0.11.2",
+ "rand 0.8.5",
+]
+
+[[package]]
+name = "phf_macros"
+version = "0.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f6fde18ff429ffc8fe78e2bf7f8b7a5a5a6e2a8b58bc5a9ac69198bbda9189c"
+dependencies = [
+ "phf_generator 0.8.0",
+ "phf_shared 0.8.0",
+ "proc-macro-hack",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "phf_macros"
+version = "0.11.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3444646e286606587e49f3bcf1679b8cef1dc2c5ecc29ddacaffc305180d464b"
+dependencies = [
+ "phf_generator 0.11.2",
+ "phf_shared 0.11.2",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "phf_shared"
+version = "0.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c00cf8b9eafe68dde5e9eaa2cef8ee84a9336a47d566ec55ca16589633b65af7"
+dependencies = [
+ "siphasher",
+]
+
+[[package]]
+name = "phf_shared"
+version = "0.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b6796ad771acdc0123d2a88dc428b5e38ef24456743ddb1744ed628f9815c096"
+dependencies = [
+ "siphasher",
+]
+
+[[package]]
+name = "phf_shared"
+version = "0.11.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "90fcb95eef784c2ac79119d1dd819e162b5da872ce6f3c3abe1e8ca1c082f72b"
+dependencies = [
+ "siphasher",
+]
+
+[[package]]
+name = "pin-project-lite"
+version = "0.2.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8afb450f006bf6385ca15ef45d71d2288452bc3683ce2e2cacc0d18e4be60b58"
+
+[[package]]
+name = "pin-utils"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8b870d8c151b6f2fb93e84a13146138f05d02ed11c7e7c54f8826aaaf7c9f184"
+
+[[package]]
+name = "pkg-config"
+version = "0.3.28"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "69d3587f8a9e599cc7ec2c00e331f71c4e69a5f9a4b8a6efd5b07466b9736f9a"
+
+[[package]]
+name = "plist"
+version = "1.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e5699cc8a63d1aa2b1ee8e12b9ad70ac790d65788cd36101fa37f87ea46c4cef"
+dependencies = [
+ "base64 0.21.6",
+ "indexmap 2.1.0",
+ "line-wrap",
+ "quick-xml",
+ "serde",
+ "time",
+]
+
+[[package]]
+name = "png"
+version = "0.17.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dd75bf2d8dd3702b9707cdbc56a5b9ef42cec752eb8b3bafc01234558442aa64"
+dependencies = [
+ "bitflags 1.3.2",
+ "crc32fast",
+ "fdeflate",
+ "flate2",
+ "miniz_oxide",
+]
+
+[[package]]
+name = "portpicker"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "be97d76faf1bfab666e1375477b23fde79eccf0276e9b63b92a39d676a889ba9"
+dependencies = [
+ "rand 0.8.5",
+]
+
+[[package]]
+name = "powerfmt"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "439ee305def115ba05938db6eb1644ff94165c5ab5e9420d1c1bcedbba909391"
+
+[[package]]
+name = "ppv-lite86"
+version = "0.2.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5b40af805b3121feab8a3c29f04d8ad262fa8e0561883e7653e024ae4479e6de"
+
+[[package]]
+name = "precomputed-hash"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "925383efa346730478fb4838dbe9137d2a47675ad789c546d150a6e1dd4ab31c"
+
+[[package]]
+name = "proc-macro-crate"
+version = "1.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f4c021e1093a56626774e81216a4ce732a735e5bad4868a03f3ed65ca0c3919"
+dependencies = [
+ "once_cell",
+ "toml_edit 0.19.15",
+]
+
+[[package]]
+name = "proc-macro-error"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "da25490ff9892aab3fcf7c36f08cfb902dd3e71ca0f9f9517bea02a73a5ce38c"
+dependencies = [
+ "proc-macro-error-attr",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+ "version_check",
+]
+
+[[package]]
+name = "proc-macro-error-attr"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a1be40180e52ecc98ad80b184934baf3d0d29f979574e439af5a55274b35f869"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "version_check",
+]
+
+[[package]]
+name = "proc-macro-hack"
+version = "0.5.20+deprecated"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dc375e1527247fe1a97d8b7156678dfe7c1af2fc075c9a4db3690ecd2a148068"
+
+[[package]]
+name = "proc-macro2"
+version = "1.0.76"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "95fc56cda0b5c3325f5fbbd7ff9fda9e02bb00bb3dac51252d2f1bfa1cb8cc8c"
+dependencies = [
+ "unicode-ident",
+]
+
+[[package]]
+name = "quick-xml"
+version = "0.31.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1004a344b30a54e2ee58d66a71b32d2db2feb0a31f9a2d302bf0536f15de2a33"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "quote"
+version = "1.0.35"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "291ec9ab5efd934aaf503a6466c5d5251535d108ee747472c3977cc5acc868ef"
+dependencies = [
+ "proc-macro2",
+]
+
+[[package]]
+name = "rand"
+version = "0.7.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6a6b1679d49b24bbfe0c803429aa1874472f50d9b363131f0e89fc356b544d03"
+dependencies = [
+ "getrandom 0.1.16",
+ "libc",
+ "rand_chacha 0.2.2",
+ "rand_core 0.5.1",
+ "rand_hc",
+ "rand_pcg",
+]
+
+[[package]]
+name = "rand"
+version = "0.8.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "34af8d1a0e25924bc5b7c43c079c942339d8f0a8b57c39049bef581b46327404"
+dependencies = [
+ "libc",
+ "rand_chacha 0.3.1",
+ "rand_core 0.6.4",
+]
+
+[[package]]
+name = "rand_chacha"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f4c8ed856279c9737206bf725bf36935d8666ead7aa69b52be55af369d193402"
+dependencies = [
+ "ppv-lite86",
+ "rand_core 0.5.1",
+]
+
+[[package]]
+name = "rand_chacha"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e6c10a63a0fa32252be49d21e7709d4d4baf8d231c2dbce1eaa8141b9b127d88"
+dependencies = [
+ "ppv-lite86",
+ "rand_core 0.6.4",
+]
+
+[[package]]
+name = "rand_core"
+version = "0.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "90bde5296fc891b0cef12a6d03ddccc162ce7b2aff54160af9338f8d40df6d19"
+dependencies = [
+ "getrandom 0.1.16",
+]
+
+[[package]]
+name = "rand_core"
+version = "0.6.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ec0be4795e2f6a28069bec0b5ff3e2ac9bafc99e6a9a7dc3547996c5c816922c"
+dependencies = [
+ "getrandom 0.2.12",
+]
+
+[[package]]
+name = "rand_hc"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ca3129af7b92a17112d59ad498c6f81eaf463253766b90396d39ea7a39d6613c"
+dependencies = [
+ "rand_core 0.5.1",
+]
+
+[[package]]
+name = "rand_pcg"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "16abd0c1b639e9eb4d7c50c0b8100b0d0f849be2349829c740fe8e6eb4816429"
+dependencies = [
+ "rand_core 0.5.1",
+]
+
+[[package]]
+name = "raw-window-handle"
+version = "0.5.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f2ff9a1f06a88b01621b7ae906ef0211290d1c8a168a15542486a8f61c0833b9"
+
+[[package]]
+name = "redox_syscall"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4722d768eff46b75989dd134e5c353f0d6296e5aaa3132e776cbdb56be7731aa"
+dependencies = [
+ "bitflags 1.3.2",
+]
+
+[[package]]
+name = "redox_users"
+version = "0.4.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a18479200779601e498ada4e8c1e1f50e3ee19deb0259c25825a98b5603b2cb4"
+dependencies = [
+ "getrandom 0.2.12",
+ "libredox",
+ "thiserror",
+]
+
+[[package]]
+name = "regex"
+version = "1.10.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "380b951a9c5e80ddfd6136919eef32310721aa4aacd4889a8d39124b026ab343"
+dependencies = [
+ "aho-corasick",
+ "memchr",
+ "regex-automata 0.4.3",
+ "regex-syntax 0.8.2",
+]
+
+[[package]]
+name = "regex-automata"
+version = "0.1.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6c230d73fb8d8c1b9c0b3135c5142a8acee3a0558fb8db5cf1cb65f8d7862132"
+dependencies = [
+ "regex-syntax 0.6.29",
+]
+
+[[package]]
+name = "regex-automata"
+version = "0.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5f804c7828047e88b2d32e2d7fe5a105da8ee3264f01902f796c8e067dc2483f"
+dependencies = [
+ "aho-corasick",
+ "memchr",
+ "regex-syntax 0.8.2",
+]
+
+[[package]]
+name = "regex-syntax"
+version = "0.6.29"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f162c6dd7b008981e4d40210aca20b4bd0f9b60ca9271061b07f78537722f2e1"
+
+[[package]]
+name = "regex-syntax"
+version = "0.8.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c08c74e62047bb2de4ff487b251e4a92e24f48745648451635cec7d591162d9f"
+
+[[package]]
+name = "rfd"
+version = "0.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0149778bd99b6959285b0933288206090c50e2327f47a9c463bfdbf45c8823ea"
+dependencies = [
+ "block",
+ "dispatch",
+ "glib-sys",
+ "gobject-sys",
+ "gtk-sys",
+ "js-sys",
+ "lazy_static",
+ "log",
+ "objc",
+ "objc-foundation",
+ "objc_id",
+ "raw-window-handle",
+ "wasm-bindgen",
+ "wasm-bindgen-futures",
+ "web-sys",
+ "windows 0.37.0",
+]
+
+[[package]]
+name = "rustc-demangle"
+version = "0.1.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d626bb9dae77e28219937af045c257c28bfd3f69333c512553507f5f9798cb76"
+
+[[package]]
+name = "rustc_version"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bfa0f585226d2e68097d4f95d113b15b83a82e819ab25717ec0590d9584ef366"
+dependencies = [
+ "semver",
+]
+
+[[package]]
+name = "rustix"
+version = "0.38.28"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "72e572a5e8ca657d7366229cdde4bd14c4eb5499a9573d4d366fe1b599daa316"
+dependencies = [
+ "bitflags 2.4.1",
+ "errno",
+ "libc",
+ "linux-raw-sys",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "rustversion"
+version = "1.0.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7ffc183a10b4478d04cbbbfc96d0873219d962dd5accaff2ffbd4ceb7df837f4"
+
+[[package]]
+name = "ryu"
+version = "1.0.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f98d2aa92eebf49b69786be48e4477826b256916e84a57ff2a4f21923b48eb4c"
+
+[[package]]
+name = "safemem"
+version = "0.3.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ef703b7cb59335eae2eb93ceb664c0eb7ea6bf567079d843e09420219668e072"
+
+[[package]]
+name = "same-file"
+version = "1.0.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "93fc1dc3aaa9bfed95e02e6eadabb4baf7e3078b0bd1b4d7b6b0b68378900502"
+dependencies = [
+ "winapi-util",
+]
+
+[[package]]
+name = "scoped-tls"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e1cf6437eb19a8f4a6cc0f7dca544973b0b78843adbfeb3683d1a94a0024a294"
+
+[[package]]
+name = "scopeguard"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "94143f37725109f92c262ed2cf5e59bce7498c01bcc1502d7b9afe439a4e9f49"
+
+[[package]]
+name = "selectors"
+version = "0.22.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "df320f1889ac4ba6bc0cdc9c9af7af4bd64bb927bccdf32d81140dc1f9be12fe"
+dependencies = [
+ "bitflags 1.3.2",
+ "cssparser",
+ "derive_more",
+ "fxhash",
+ "log",
+ "matches",
+ "phf 0.8.0",
+ "phf_codegen 0.8.0",
+ "precomputed-hash",
+ "servo_arc",
+ "smallvec",
+ "thin-slice",
+]
+
+[[package]]
+name = "semver"
+version = "1.0.21"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b97ed7a9823b74f99c7742f5336af7be5ecd3eeafcb1507d1fa93347b1d589b0"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "serde"
+version = "1.0.195"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "63261df402c67811e9ac6def069e4786148c4563f4b50fd4bf30aa370d626b02"
+dependencies = [
+ "serde_derive",
+]
+
+[[package]]
+name = "serde_derive"
+version = "1.0.195"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "46fe8f8603d81ba86327b23a2e9cdf49e1255fb94a4c5f297f6ee0547178ea2c"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "serde_json"
+version = "1.0.111"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "176e46fa42316f18edd598015a5166857fc835ec732f5215eac6b7bdbf0a84f4"
+dependencies = [
+ "itoa 1.0.10",
+ "ryu",
+ "serde",
+]
+
+[[package]]
+name = "serde_repr"
+version = "0.1.18"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0b2e6b945e9d3df726b65d6ee24060aff8e3533d431f677a9695db04eff9dfdb"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "serde_spanned"
+version = "0.6.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eb3622f419d1296904700073ea6cc23ad690adbd66f13ea683df73298736f0c1"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "serde_with"
+version = "3.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "64cd236ccc1b7a29e7e2739f27c0b2dd199804abc4290e32f59f3b68d6405c23"
+dependencies = [
+ "base64 0.21.6",
+ "chrono",
+ "hex",
+ "indexmap 1.9.3",
+ "indexmap 2.1.0",
+ "serde",
+ "serde_json",
+ "serde_with_macros",
+ "time",
+]
+
+[[package]]
+name = "serde_with_macros"
+version = "3.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "93634eb5f75a2323b16de4748022ac4297f9e76b6dced2be287a099f41b5e788"
+dependencies = [
+ "darling",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "serialize-to-javascript"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c9823f2d3b6a81d98228151fdeaf848206a7855a7a042bbf9bf870449a66cafb"
+dependencies = [
+ "serde",
+ "serde_json",
+ "serialize-to-javascript-impl",
+]
+
+[[package]]
+name = "serialize-to-javascript-impl"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "74064874e9f6a15f04c1f3cb627902d0e6b410abbf36668afa873c61889f1763"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "servo_arc"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d98238b800e0d1576d8b6e3de32827c2d74bee68bb97748dcf5071fb53965432"
+dependencies = [
+ "nodrop",
+ "stable_deref_trait",
+]
+
+[[package]]
+name = "sha2"
+version = "0.10.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "793db75ad2bcafc3ffa7c68b215fee268f537982cd901d132f89c6343f3a3dc8"
+dependencies = [
+ "cfg-if",
+ "cpufeatures",
+ "digest",
+]
+
+[[package]]
+name = "sharded-slab"
+version = "0.1.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f40ca3c46823713e0d4209592e8d6e826aa57e928f09752619fc696c499637f6"
+dependencies = [
+ "lazy_static",
+]
+
+[[package]]
+name = "simd-adler32"
+version = "0.3.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d66dc143e6b11c1eddc06d5c423cfc97062865baf299914ab64caa38182078fe"
+
+[[package]]
+name = "siphasher"
+version = "0.3.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "38b58827f4464d87d377d175e90bf58eb00fd8716ff0a62f80356b5e61555d0d"
+
+[[package]]
+name = "slab"
+version = "0.4.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f92a496fb766b417c996b9c5e57daf2f7ad3b0bebe1ccfca4856390e3d3bb67"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "smallvec"
+version = "1.11.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4dccd0940a2dcdf68d092b8cbab7dc0ad8fa938bf95787e1b916b0e3d0e8e970"
+
+[[package]]
+name = "soup2"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b2b4d76501d8ba387cf0fefbe055c3e0a59891d09f0f995ae4e4b16f6b60f3c0"
+dependencies = [
+ "bitflags 1.3.2",
+ "gio",
+ "glib",
+ "libc",
+ "once_cell",
+ "soup2-sys",
+]
+
+[[package]]
+name = "soup2-sys"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "009ef427103fcb17f802871647a7fa6c60cbb654b4c4e4c0ac60a31c5f6dc9cf"
+dependencies = [
+ "bitflags 1.3.2",
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "libc",
+ "system-deps 5.0.0",
+]
+
+[[package]]
+name = "stable_deref_trait"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a8f112729512f8e442d81f95a8a7ddf2b7c6b8a1a6f509a95864142b30cab2d3"
+
+[[package]]
+name = "state"
+version = "0.5.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dbe866e1e51e8260c9eed836a042a5e7f6726bb2b411dffeaa712e19c388f23b"
+dependencies = [
+ "loom",
+]
+
+[[package]]
+name = "string_cache"
+version = "0.8.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f91138e76242f575eb1d3b38b4f1362f10d3a43f47d182a5b359af488a02293b"
+dependencies = [
+ "new_debug_unreachable",
+ "once_cell",
+ "parking_lot",
+ "phf_shared 0.10.0",
+ "precomputed-hash",
+ "serde",
+]
+
+[[package]]
+name = "string_cache_codegen"
+version = "0.5.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6bb30289b722be4ff74a408c3cc27edeaad656e06cb1fe8fa9231fa59c728988"
+dependencies = [
+ "phf_generator 0.10.0",
+ "phf_shared 0.10.0",
+ "proc-macro2",
+ "quote",
+]
+
+[[package]]
+name = "strsim"
+version = "0.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "73473c0e59e6d5812c5dfe2a064a6444949f089e20eec9a2e5506596494e4623"
+
+[[package]]
+name = "surrealist"
+version = "0.0.0"
+dependencies = [
+ "portpicker",
+ "tauri",
+ "tauri-build",
+ "tauri-plugin-localhost",
+]
+
+[[package]]
+name = "syn"
+version = "1.0.109"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "72b64191b275b66ffe2469e8af2c1cfe3bafa67b529ead792a6d0160888b4237"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "unicode-ident",
+]
+
+[[package]]
+name = "syn"
+version = "2.0.48"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0f3531638e407dfc0814761abb7c00a5b54992b849452a0646b7f65c9f770f3f"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "unicode-ident",
+]
+
+[[package]]
+name = "system-deps"
+version = "5.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "18db855554db7bd0e73e06cf7ba3df39f97812cb11d3f75e71c39bf45171797e"
+dependencies = [
+ "cfg-expr 0.9.1",
+ "heck 0.3.3",
+ "pkg-config",
+ "toml 0.5.11",
+ "version-compare 0.0.11",
+]
+
+[[package]]
+name = "system-deps"
+version = "6.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2a2d580ff6a20c55dfb86be5f9c238f67835d0e81cbdea8bf5680e0897320331"
+dependencies = [
+ "cfg-expr 0.15.6",
+ "heck 0.4.1",
+ "pkg-config",
+ "toml 0.8.8",
+ "version-compare 0.1.1",
+]
+
+[[package]]
+name = "tao"
+version = "0.16.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "75f5aefd6be4cd3ad3f047442242fd9f57cbfb3e565379f66b5e14749364fa4f"
+dependencies = [
+ "bitflags 1.3.2",
+ "cairo-rs",
+ "cc",
+ "cocoa",
+ "core-foundation",
+ "core-graphics",
+ "crossbeam-channel",
+ "dispatch",
+ "gdk",
+ "gdk-pixbuf",
+ "gdk-sys",
+ "gdkwayland-sys",
+ "gdkx11-sys",
+ "gio",
+ "glib",
+ "glib-sys",
+ "gtk",
+ "image",
+ "instant",
+ "jni",
+ "lazy_static",
+ "libc",
+ "log",
+ "ndk",
+ "ndk-context",
+ "ndk-sys",
+ "objc",
+ "once_cell",
+ "parking_lot",
+ "png",
+ "raw-window-handle",
+ "scopeguard",
+ "serde",
+ "tao-macros",
+ "unicode-segmentation",
+ "uuid",
+ "windows 0.39.0",
+ "windows-implement",
+ "x11-dl",
+]
+
+[[package]]
+name = "tao-macros"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ec114582505d158b669b136e6851f85840c109819d77c42bb7c0709f727d18c2"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "tar"
+version = "0.4.40"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b16afcea1f22891c49a00c751c7b63b2233284064f11a200fc624137c51e2ddb"
+dependencies = [
+ "filetime",
+ "libc",
+ "xattr",
+]
+
+[[package]]
+name = "target-lexicon"
+version = "0.12.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "69758bda2e78f098e4ccb393021a0963bb3442eac05f135c30f61b7370bbafae"
+
+[[package]]
+name = "tauri"
+version = "1.5.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fd27c04b9543776a972c86ccf70660b517ecabbeced9fb58d8b961a13ad129af"
+dependencies = [
+ "anyhow",
+ "cocoa",
+ "dirs-next",
+ "embed_plist",
+ "encoding_rs",
+ "flate2",
+ "futures-util",
+ "glib",
+ "glob",
+ "gtk",
+ "heck 0.4.1",
+ "http 0.2.11",
+ "ignore",
+ "objc",
+ "once_cell",
+ "open",
+ "percent-encoding",
+ "rand 0.8.5",
+ "raw-window-handle",
+ "regex",
+ "rfd",
+ "semver",
+ "serde",
+ "serde_json",
+ "serde_repr",
+ "serialize-to-javascript",
+ "state",
+ "tar",
+ "tauri-macros",
+ "tauri-runtime",
+ "tauri-runtime-wry",
+ "tauri-utils",
+ "tempfile",
+ "thiserror",
+ "tokio",
+ "url",
+ "uuid",
+ "webkit2gtk",
+ "webview2-com",
+ "windows 0.39.0",
+]
+
+[[package]]
+name = "tauri-build"
+version = "1.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e9914a4715e0b75d9f387a285c7e26b5bbfeb1249ad9f842675a82481565c532"
+dependencies = [
+ "anyhow",
+ "cargo_toml",
+ "dirs-next",
+ "heck 0.4.1",
+ "json-patch",
+ "semver",
+ "serde",
+ "serde_json",
+ "tauri-utils",
+ "tauri-winres",
+ "walkdir",
+]
+
+[[package]]
+name = "tauri-codegen"
+version = "1.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a1554c5857f65dbc377cefb6b97c8ac77b1cb2a90d30d3448114d5d6b48a77fc"
+dependencies = [
+ "base64 0.21.6",
+ "brotli",
+ "ico",
+ "json-patch",
+ "plist",
+ "png",
+ "proc-macro2",
+ "quote",
+ "regex",
+ "semver",
+ "serde",
+ "serde_json",
+ "sha2",
+ "tauri-utils",
+ "thiserror",
+ "time",
+ "uuid",
+ "walkdir",
+]
+
+[[package]]
+name = "tauri-macros"
+version = "1.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "277abf361a3a6993ec16bcbb179de0d6518009b851090a01adfea12ac89fa875"
+dependencies = [
+ "heck 0.4.1",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+ "tauri-codegen",
+ "tauri-utils",
+]
+
+[[package]]
+name = "tauri-plugin-localhost"
+version = "0.1.0"
+source = "git+https://github.com/tauri-apps/plugins-workspace?branch=v1#c00b00a93cf78e4f1b45c80e1761fcaba7603330"
+dependencies = [
+ "http 1.0.0",
+ "log",
+ "serde",
+ "serde_json",
+ "tauri",
+ "thiserror",
+ "tiny_http",
+]
+
+[[package]]
+name = "tauri-runtime"
+version = "0.14.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cf2d0652aa2891ff3e9caa2401405257ea29ab8372cce01f186a5825f1bd0e76"
+dependencies = [
+ "gtk",
+ "http 0.2.11",
+ "http-range",
+ "rand 0.8.5",
+ "raw-window-handle",
+ "serde",
+ "serde_json",
+ "tauri-utils",
+ "thiserror",
+ "url",
+ "uuid",
+ "webview2-com",
+ "windows 0.39.0",
+]
+
+[[package]]
+name = "tauri-runtime-wry"
+version = "0.14.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6cae61fbc731f690a4899681c9052dde6d05b159b44563ace8186fc1bfb7d158"
+dependencies = [
+ "cocoa",
+ "gtk",
+ "percent-encoding",
+ "rand 0.8.5",
+ "raw-window-handle",
+ "tauri-runtime",
+ "tauri-utils",
+ "uuid",
+ "webkit2gtk",
+ "webview2-com",
+ "windows 0.39.0",
+ "wry",
+]
+
+[[package]]
+name = "tauri-utils"
+version = "1.5.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ece74810b1d3d44f29f732a7ae09a63183d63949bbdd59c61f8ed2a1b70150db"
+dependencies = [
+ "brotli",
+ "ctor",
+ "dunce",
+ "glob",
+ "heck 0.4.1",
+ "html5ever",
+ "infer",
+ "json-patch",
+ "kuchikiki",
+ "log",
+ "memchr",
+ "phf 0.11.2",
+ "proc-macro2",
+ "quote",
+ "semver",
+ "serde",
+ "serde_json",
+ "serde_with",
+ "thiserror",
+ "url",
+ "walkdir",
+ "windows-version",
+]
+
+[[package]]
+name = "tauri-winres"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5993dc129e544393574288923d1ec447c857f3f644187f4fbf7d9a875fbfc4fb"
+dependencies = [
+ "embed-resource",
+ "toml 0.7.8",
+]
+
+[[package]]
+name = "tempfile"
+version = "3.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "01ce4141aa927a6d1bd34a041795abd0db1cccba5d5f24b009f694bdf3a1f3fa"
+dependencies = [
+ "cfg-if",
+ "fastrand",
+ "redox_syscall",
+ "rustix",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "tendril"
+version = "0.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d24a120c5fc464a3458240ee02c299ebcb9d67b5249c8848b09d639dca8d7bb0"
+dependencies = [
+ "futf",
+ "mac",
+ "utf-8",
+]
+
+[[package]]
+name = "thin-slice"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8eaa81235c7058867fa8c0e7314f33dcce9c215f535d1913822a2b3f5e289f3c"
+
+[[package]]
+name = "thiserror"
+version = "1.0.56"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d54378c645627613241d077a3a79db965db602882668f9136ac42af9ecb730ad"
+dependencies = [
+ "thiserror-impl",
+]
+
+[[package]]
+name = "thiserror-impl"
+version = "1.0.56"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fa0faa943b50f3db30a20aa7e265dbc66076993efed8463e8de414e5d06d3471"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "thread_local"
+version = "1.1.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3fdd6f064ccff2d6567adcb3873ca630700f00b5ad3f060c25b5dcfd9a4ce152"
+dependencies = [
+ "cfg-if",
+ "once_cell",
+]
+
+[[package]]
+name = "time"
+version = "0.3.31"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f657ba42c3f86e7680e53c8cd3af8abbe56b5491790b46e22e19c0d57463583e"
+dependencies = [
+ "deranged",
+ "itoa 1.0.10",
+ "powerfmt",
+ "serde",
+ "time-core",
+ "time-macros",
+]
+
+[[package]]
+name = "time-core"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ef927ca75afb808a4d64dd374f00a2adf8d0fcff8e7b184af886c3c87ec4a3f3"
+
+[[package]]
+name = "time-macros"
+version = "0.2.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "26197e33420244aeb70c3e8c78376ca46571bc4e701e4791c2cd9f57dcb3a43f"
+dependencies = [
+ "time-core",
+]
+
+[[package]]
+name = "tiny_http"
+version = "0.12.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "389915df6413a2e74fb181895f933386023c71110878cd0825588928e64cdc82"
+dependencies = [
+ "ascii",
+ "chunked_transfer",
+ "httpdate",
+ "log",
+]
+
+[[package]]
+name = "tinyvec"
+version = "1.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "87cc5ceb3875bb20c2890005a4e226a4651264a5c75edb2421b52861a0a0cb50"
+dependencies = [
+ "tinyvec_macros",
+]
+
+[[package]]
+name = "tinyvec_macros"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20"
+
+[[package]]
+name = "tokio"
+version = "1.35.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c89b4efa943be685f629b149f53829423f8f5531ea21249408e8e2f8671ec104"
+dependencies = [
+ "backtrace",
+ "bytes",
+ "num_cpus",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "toml"
+version = "0.5.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f4f7f0dd8d50a853a531c426359045b1998f04219d88799810762cd4ad314234"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "toml"
+version = "0.7.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dd79e69d3b627db300ff956027cc6c3798cef26d22526befdfcd12feeb6d2257"
+dependencies = [
+ "serde",
+ "serde_spanned",
+ "toml_datetime",
+ "toml_edit 0.19.15",
+]
+
+[[package]]
+name = "toml"
+version = "0.8.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a1a195ec8c9da26928f773888e0742ca3ca1040c6cd859c919c9f59c1954ab35"
+dependencies = [
+ "serde",
+ "serde_spanned",
+ "toml_datetime",
+ "toml_edit 0.21.0",
+]
+
+[[package]]
+name = "toml_datetime"
+version = "0.6.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3550f4e9685620ac18a50ed434eb3aec30db8ba93b0287467bca5826ea25baf1"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "toml_edit"
+version = "0.19.15"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1b5bb770da30e5cbfde35a2d7b9b8a2c4b8ef89548a7a6aeab5c9a576e3e7421"
+dependencies = [
+ "indexmap 2.1.0",
+ "serde",
+ "serde_spanned",
+ "toml_datetime",
+ "winnow",
+]
+
+[[package]]
+name = "toml_edit"
+version = "0.21.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d34d383cd00a163b4a5b85053df514d45bc330f6de7737edfe0a93311d1eaa03"
+dependencies = [
+ "indexmap 2.1.0",
+ "serde",
+ "serde_spanned",
+ "toml_datetime",
+ "winnow",
+]
+
+[[package]]
+name = "tracing"
+version = "0.1.40"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c3523ab5a71916ccf420eebdf5521fcef02141234bbc0b8a49f2fdc4544364ef"
+dependencies = [
+ "pin-project-lite",
+ "tracing-attributes",
+ "tracing-core",
+]
+
+[[package]]
+name = "tracing-attributes"
+version = "0.1.27"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "34704c8d6ebcbc939824180af020566b01a7c01f80641264eba0999f6c2b6be7"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "tracing-core"
+version = "0.1.32"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c06d3da6113f116aaee68e4d601191614c9053067f9ab7f6edbcb161237daa54"
+dependencies = [
+ "once_cell",
+ "valuable",
+]
+
+[[package]]
+name = "tracing-log"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ee855f1f400bd0e5c02d150ae5de3840039a3f54b025156404e34c23c03f47c3"
+dependencies = [
+ "log",
+ "once_cell",
+ "tracing-core",
+]
+
+[[package]]
+name = "tracing-subscriber"
+version = "0.3.18"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ad0f048c97dbd9faa9b7df56362b8ebcaa52adb06b498c050d2f4e32f90a7a8b"
+dependencies = [
+ "matchers",
+ "nu-ansi-term",
+ "once_cell",
+ "regex",
+ "sharded-slab",
+ "smallvec",
+ "thread_local",
+ "tracing",
+ "tracing-core",
+ "tracing-log",
+]
+
+[[package]]
+name = "treediff"
+version = "4.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "52984d277bdf2a751072b5df30ec0377febdb02f7696d64c2d7d54630bac4303"
+dependencies = [
+ "serde_json",
+]
+
+[[package]]
+name = "typenum"
+version = "1.17.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "42ff0bf0c66b8238c6f3b578df37d0b7848e55df8577b3f74f92a69acceeb825"
+
+[[package]]
+name = "unicode-bidi"
+version = "0.3.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6f2528f27a9eb2b21e69c95319b30bd0efd85d09c379741b0f78ea1d86be2416"
+
+[[package]]
+name = "unicode-ident"
+version = "1.0.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3354b9ac3fae1ff6755cb6db53683adb661634f67557942dea4facebec0fee4b"
+
+[[package]]
+name = "unicode-normalization"
+version = "0.1.22"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5c5713f0fc4b5db668a2ac63cdb7bb4469d8c9fed047b1d0292cc7b0ce2ba921"
+dependencies = [
+ "tinyvec",
+]
+
+[[package]]
+name = "unicode-segmentation"
+version = "1.10.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1dd624098567895118886609431a7c3b8f516e41d30e0643f03d94592a147e36"
+
+[[package]]
+name = "url"
+version = "2.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "31e6302e3bb753d46e83516cae55ae196fc0c309407cf11ab35cc51a4c2a4633"
+dependencies = [
+ "form_urlencoded",
+ "idna",
+ "percent-encoding",
+ "serde",
+]
+
+[[package]]
+name = "utf-8"
+version = "0.7.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "09cc8ee72d2a9becf2f2febe0205bbed8fc6615b7cb429ad062dc7b7ddd036a9"
+
+[[package]]
+name = "uuid"
+version = "1.6.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5e395fcf16a7a3d8127ec99782007af141946b4795001f876d54fb0d55978560"
+dependencies = [
+ "getrandom 0.2.12",
+]
+
+[[package]]
+name = "valuable"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "830b7e5d4d90034032940e4ace0d9a9a057e7a45cd94e6c007832e39edb82f6d"
+
+[[package]]
+name = "version-compare"
+version = "0.0.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1c18c859eead79d8b95d09e4678566e8d70105c4e7b251f707a03df32442661b"
+
+[[package]]
+name = "version-compare"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "579a42fc0b8e0c63b76519a339be31bed574929511fa53c1a3acae26eb258f29"
+
+[[package]]
+name = "version_check"
+version = "0.9.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "49874b5167b65d7193b8aba1567f5c7d93d001cafc34600cee003eda787e483f"
+
+[[package]]
+name = "vswhom"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "be979b7f07507105799e854203b470ff7c78a1639e330a58f183b5fea574608b"
+dependencies = [
+ "libc",
+ "vswhom-sys",
+]
+
+[[package]]
+name = "vswhom-sys"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d3b17ae1f6c8a2b28506cd96d412eebf83b4a0ff2cbefeeb952f2f9dfa44ba18"
+dependencies = [
+ "cc",
+ "libc",
+]
+
+[[package]]
+name = "walkdir"
+version = "2.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d71d857dc86794ca4c280d616f7da00d2dbfd8cd788846559a6813e6aa4b54ee"
+dependencies = [
+ "same-file",
+ "winapi-util",
+]
+
+[[package]]
+name = "wasi"
+version = "0.9.0+wasi-snapshot-preview1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cccddf32554fecc6acb585f82a32a72e28b48f8c4c1883ddfeeeaa96f7d8e519"
+
+[[package]]
+name = "wasi"
+version = "0.11.0+wasi-snapshot-preview1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
+
+[[package]]
+name = "wasm-bindgen"
+version = "0.2.89"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0ed0d4f68a3015cc185aff4db9506a015f4b96f95303897bfa23f846db54064e"
+dependencies = [
+ "cfg-if",
+ "wasm-bindgen-macro",
+]
+
+[[package]]
+name = "wasm-bindgen-backend"
+version = "0.2.89"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1b56f625e64f3a1084ded111c4d5f477df9f8c92df113852fa5a374dbda78826"
+dependencies = [
+ "bumpalo",
+ "log",
+ "once_cell",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+ "wasm-bindgen-shared",
+]
+
+[[package]]
+name = "wasm-bindgen-futures"
+version = "0.4.39"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ac36a15a220124ac510204aec1c3e5db8a22ab06fd6706d881dc6149f8ed9a12"
+dependencies = [
+ "cfg-if",
+ "js-sys",
+ "wasm-bindgen",
+ "web-sys",
+]
+
+[[package]]
+name = "wasm-bindgen-macro"
+version = "0.2.89"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0162dbf37223cd2afce98f3d0785506dcb8d266223983e4b5b525859e6e182b2"
+dependencies = [
+ "quote",
+ "wasm-bindgen-macro-support",
+]
+
+[[package]]
+name = "wasm-bindgen-macro-support"
+version = "0.2.89"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f0eb82fcb7930ae6219a7ecfd55b217f5f0893484b7a13022ebb2b2bf20b5283"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+ "wasm-bindgen-backend",
+ "wasm-bindgen-shared",
+]
+
+[[package]]
+name = "wasm-bindgen-shared"
+version = "0.2.89"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7ab9b36309365056cd639da3134bf87fa8f3d86008abf99e612384a6eecd459f"
+
+[[package]]
+name = "web-sys"
+version = "0.3.66"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "50c24a44ec86bb68fbecd1b3efed7e85ea5621b39b35ef2766b66cd984f8010f"
+dependencies = [
+ "js-sys",
+ "wasm-bindgen",
+]
+
+[[package]]
+name = "webkit2gtk"
+version = "0.18.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b8f859735e4a452aeb28c6c56a852967a8a76c8eb1cc32dbf931ad28a13d6370"
+dependencies = [
+ "bitflags 1.3.2",
+ "cairo-rs",
+ "gdk",
+ "gdk-sys",
+ "gio",
+ "gio-sys",
+ "glib",
+ "glib-sys",
+ "gobject-sys",
+ "gtk",
+ "gtk-sys",
+ "javascriptcore-rs",
+ "libc",
+ "once_cell",
+ "soup2",
+ "webkit2gtk-sys",
+]
+
+[[package]]
+name = "webkit2gtk-sys"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4d76ca6ecc47aeba01ec61e480139dda143796abcae6f83bcddf50d6b5b1dcf3"
+dependencies = [
+ "atk-sys",
+ "bitflags 1.3.2",
+ "cairo-sys-rs",
+ "gdk-pixbuf-sys",
+ "gdk-sys",
+ "gio-sys",
+ "glib-sys",
+ "gobject-sys",
+ "gtk-sys",
+ "javascriptcore-rs-sys",
+ "libc",
+ "pango-sys",
+ "pkg-config",
+ "soup2-sys",
+ "system-deps 6.2.0",
+]
+
+[[package]]
+name = "webview2-com"
+version = "0.19.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b4a769c9f1a64a8734bde70caafac2b96cada12cd4aefa49196b3a386b8b4178"
+dependencies = [
+ "webview2-com-macros",
+ "webview2-com-sys",
+ "windows 0.39.0",
+ "windows-implement",
+]
+
+[[package]]
+name = "webview2-com-macros"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eaebe196c01691db62e9e4ca52c5ef1e4fd837dcae27dae3ada599b5a8fd05ac"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "webview2-com-sys"
+version = "0.19.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "aac48ef20ddf657755fdcda8dfed2a7b4fc7e4581acce6fe9b88c3d64f29dee7"
+dependencies = [
+ "regex",
+ "serde",
+ "serde_json",
+ "thiserror",
+ "windows 0.39.0",
+ "windows-bindgen",
+ "windows-metadata",
+]
+
+[[package]]
+name = "winapi"
+version = "0.3.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5c839a674fcd7a98952e593242ea400abe93992746761e38641405d28b00f419"
+dependencies = [
+ "winapi-i686-pc-windows-gnu",
+ "winapi-x86_64-pc-windows-gnu",
+]
+
+[[package]]
+name = "winapi-i686-pc-windows-gnu"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ac3b87c63620426dd9b991e5ce0329eff545bccbbb34f3be09ff6fb6ab51b7b6"
+
+[[package]]
+name = "winapi-util"
+version = "0.1.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f29e6f9198ba0d26b4c9f07dbe6f9ed633e1f3d5b8b414090084349e46a52596"
+dependencies = [
+ "winapi",
+]
+
+[[package]]
+name = "winapi-x86_64-pc-windows-gnu"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
+
+[[package]]
+name = "windows"
+version = "0.37.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "57b543186b344cc61c85b5aab0d2e3adf4e0f99bc076eff9aa5927bcc0b8a647"
+dependencies = [
+ "windows_aarch64_msvc 0.37.0",
+ "windows_i686_gnu 0.37.0",
+ "windows_i686_msvc 0.37.0",
+ "windows_x86_64_gnu 0.37.0",
+ "windows_x86_64_msvc 0.37.0",
+]
+
+[[package]]
+name = "windows"
+version = "0.39.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f1c4bd0a50ac6020f65184721f758dba47bb9fbc2133df715ec74a237b26794a"
+dependencies = [
+ "windows-implement",
+ "windows_aarch64_msvc 0.39.0",
+ "windows_i686_gnu 0.39.0",
+ "windows_i686_msvc 0.39.0",
+ "windows_x86_64_gnu 0.39.0",
+ "windows_x86_64_msvc 0.39.0",
+]
+
+[[package]]
+name = "windows"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e686886bc078bc1b0b600cac0147aadb815089b6e4da64016cbd754b6342700f"
+dependencies = [
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "windows-bindgen"
+version = "0.39.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "68003dbd0e38abc0fb85b939240f4bce37c43a5981d3df37ccbaaa981b47cb41"
+dependencies = [
+ "windows-metadata",
+ "windows-tokens",
+]
+
+[[package]]
+name = "windows-core"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "33ab640c8d7e35bf8ba19b884ba838ceb4fba93a4e8c65a9059d08afcfc683d9"
+dependencies = [
+ "windows-targets 0.52.0",
+]
+
+[[package]]
+name = "windows-implement"
+version = "0.39.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ba01f98f509cb5dc05f4e5fc95e535f78260f15fea8fe1a8abdd08f774f1cee7"
+dependencies = [
+ "syn 1.0.109",
+ "windows-tokens",
+]
+
+[[package]]
+name = "windows-metadata"
+version = "0.39.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9ee5e275231f07c6e240d14f34e1b635bf1faa1c76c57cfd59a5cdb9848e4278"
+
+[[package]]
+name = "windows-sys"
+version = "0.42.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5a3e1820f08b8513f676f7ab6c1f99ff312fb97b553d30ff4dd86f9f15728aa7"
+dependencies = [
+ "windows_aarch64_gnullvm 0.42.2",
+ "windows_aarch64_msvc 0.42.2",
+ "windows_i686_gnu 0.42.2",
+ "windows_i686_msvc 0.42.2",
+ "windows_x86_64_gnu 0.42.2",
+ "windows_x86_64_gnullvm 0.42.2",
+ "windows_x86_64_msvc 0.42.2",
+]
+
+[[package]]
+name = "windows-sys"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "677d2418bec65e3338edb076e806bc1ec15693c5d0104683f2efe857f61056a9"
+dependencies = [
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "windows-sys"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "282be5f36a8ce781fad8c8ae18fa3f9beff57ec1b52cb3de0789201425d9a33d"
+dependencies = [
+ "windows-targets 0.52.0",
+]
+
+[[package]]
+name = "windows-targets"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9a2fa6e2155d7247be68c096456083145c183cbbbc2764150dda45a87197940c"
+dependencies = [
+ "windows_aarch64_gnullvm 0.48.5",
+ "windows_aarch64_msvc 0.48.5",
+ "windows_i686_gnu 0.48.5",
+ "windows_i686_msvc 0.48.5",
+ "windows_x86_64_gnu 0.48.5",
+ "windows_x86_64_gnullvm 0.48.5",
+ "windows_x86_64_msvc 0.48.5",
+]
+
+[[package]]
+name = "windows-targets"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8a18201040b24831fbb9e4eb208f8892e1f50a37feb53cc7ff887feb8f50e7cd"
+dependencies = [
+ "windows_aarch64_gnullvm 0.52.0",
+ "windows_aarch64_msvc 0.52.0",
+ "windows_i686_gnu 0.52.0",
+ "windows_i686_msvc 0.52.0",
+ "windows_x86_64_gnu 0.52.0",
+ "windows_x86_64_gnullvm 0.52.0",
+ "windows_x86_64_msvc 0.52.0",
+]
+
+[[package]]
+name = "windows-tokens"
+version = "0.39.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f838de2fe15fe6bac988e74b798f26499a8b21a9d97edec321e79b28d1d7f597"
+
+[[package]]
+name = "windows-version"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "75aa004c988e080ad34aff5739c39d0312f4684699d6d71fc8a198d057b8b9b4"
+dependencies = [
+ "windows-targets 0.52.0",
+]
+
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "597a5118570b68bc08d8d59125332c54f1ba9d9adeedeef5b99b02ba2b0698f8"
+
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2b38e32f0abccf9987a4e3079dfb67dcd799fb61361e53e2882c3cbaf0d905d8"
+
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cb7764e35d4db8a7921e09562a0304bf2f93e0a51bfccee0bd0bb0b666b015ea"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.37.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2623277cb2d1c216ba3b578c0f3cf9cdebeddb6e66b1b218bb33596ea7769c3a"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.39.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ec7711666096bd4096ffa835238905bb33fb87267910e154b18b44eaabb340f2"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e08e8864a60f06ef0d0ff4ba04124db8b0fb3be5776a5cd47641e942e58c4d43"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dc35310971f3b2dbbf3f0690a219f40e2d9afcf64f9ab7cc1be722937c26b4bc"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bbaa0368d4f1d2aaefc55b6fcfee13f41544ddf36801e793edbbfd7d7df075ef"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.37.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d3925fd0b0b804730d44d4b6278c50f9699703ec49bcd628020f46f4ba07d9e1"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.39.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "763fc57100a5f7042e3057e7e8d9bdd7860d330070251a73d003563a3bb49e1b"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c61d927d8da41da96a81f029489353e68739737d3beca43145c8afec9a31a84f"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a75915e7def60c94dcef72200b9a8e58e5091744960da64ec734a6c6e9b3743e"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a28637cb1fa3560a16915793afb20081aba2c92ee8af57b4d5f28e4b3e7df313"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.37.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ce907ac74fe331b524c1298683efbf598bb031bc84d5e274db2083696d07c57c"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.39.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7bc7cbfe58828921e10a9f446fcaaf649204dcfe6c1ddd712c5eebae6bda1106"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "44d840b6ec649f480a41c8d80f9c65108b92d89345dd94027bfe06ac444d1060"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f55c233f70c4b27f66c523580f78f1004e8b5a8b659e05a4eb49d4166cca406"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ffe5e8e31046ce6230cc7215707b816e339ff4d4d67c65dffa206fd0f7aa7b9a"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.37.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2babfba0828f2e6b32457d5341427dcbb577ceef556273229959ac23a10af33d"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.39.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6868c165637d653ae1e8dc4d82c25d4f97dd6605eaa8d784b5c6e0ab2a252b65"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8de912b8b8feb55c064867cf047dda097f92d51efad5b491dfb98f6bbb70cb36"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "53d40abd2583d23e4718fddf1ebec84dbff8381c07cae67ff7768bbf19c6718e"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3d6fa32db2bc4a2f5abeacf2b69f7992cd09dca97498da74a151a3132c26befd"
+
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "26d41b46a36d453748aedef1486d5c7a85db22e56aff34643984ea85514e94a3"
+
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0b7b52767868a23d5bab768e390dc5f5c55825b6d30b86c844ff2dc7414044cc"
+
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1a657e1e9d3f514745a572a6846d3c7aa7dbe1658c056ed9c3344c4109a6949e"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.37.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f4dd6dc7df2d84cf7b33822ed5b86318fb1781948e9663bacd047fc9dd52259d"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.39.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5e4d40883ae9cae962787ca76ba76390ffa29214667a111db9e0a1ad8377e809"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9aec5da331524158c6d1a4ac0ab1541149c0b9505fde06423b02f5ef0106b9f0"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ed94fce61571a4006852b7389a063ab983c02eb1bb37b47f8272ce92d06d9538"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dff9641d1cd4be8d1a070daf9e3773c5f67e78b4d9d42263020c057706765c04"
+
+[[package]]
+name = "winnow"
+version = "0.5.33"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b7520bbdec7211caa7c4e682eb1fbe07abe20cee6756b6e00f537c82c11816aa"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "winreg"
+version = "0.51.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "937f3df7948156640f46aacef17a70db0de5917bda9c92b0f751f3a955b588fc"
+dependencies = [
+ "cfg-if",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "wry"
+version = "0.24.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6ad85d0e067359e409fcb88903c3eac817c392e5d638258abfb3da5ad8ba6fc4"
+dependencies = [
+ "base64 0.13.1",
+ "block",
+ "cocoa",
+ "core-graphics",
+ "crossbeam-channel",
+ "dunce",
+ "gdk",
+ "gio",
+ "glib",
+ "gtk",
+ "html5ever",
+ "http 0.2.11",
+ "kuchikiki",
+ "libc",
+ "log",
+ "objc",
+ "objc_id",
+ "once_cell",
+ "serde",
+ "serde_json",
+ "sha2",
+ "soup2",
+ "tao",
+ "thiserror",
+ "url",
+ "webkit2gtk",
+ "webkit2gtk-sys",
+ "webview2-com",
+ "windows 0.39.0",
+ "windows-implement",
+]
+
+[[package]]
+name = "x11"
+version = "2.21.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "502da5464ccd04011667b11c435cb992822c2c0dbde1770c988480d312a0db2e"
+dependencies = [
+ "libc",
+ "pkg-config",
+]
+
+[[package]]
+name = "x11-dl"
+version = "2.21.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "38735924fedd5314a6e548792904ed8c6de6636285cb9fec04d5b1db85c1516f"
+dependencies = [
+ "libc",
+ "once_cell",
+ "pkg-config",
+]
+
+[[package]]
+name = "xattr"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "914566e6413e7fa959cc394fb30e563ba80f3541fbd40816d4c05a0fc3f2a0f1"
+dependencies = [
+ "libc",
+ "linux-raw-sys",
+ "rustix",
+]
diff --git a/pkgs/by-name/su/surrealist/package.nix b/pkgs/by-name/su/surrealist/package.nix
new file mode 100644
index 000000000000..4ecda4959ae9
--- /dev/null
+++ b/pkgs/by-name/su/surrealist/package.nix
@@ -0,0 +1,186 @@
+{ buildGoModule
+, cacert
+, cairo
+, cargo
+, cargo-tauri
+, esbuild
+, fetchFromGitHub
+, gdk-pixbuf
+, gobject-introspection
+, jq
+, lib
+, libsoup
+, llvmPackages_15
+, makeBinaryWrapper
+, moreutils
+, nodePackages
+, pango
+, pkg-config
+, rustc
+, rustPlatform
+, stdenv
+, stdenvNoCC
+, wasm-bindgen-cli
+, webkitgtk
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "surrealist";
+ version = "1.11.5";
+
+ src = fetchFromGitHub {
+ owner = "StarlaneStudios";
+ repo = "Surrealist";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-9hm45bTvOhDHYYFUs7nTdOkHOsDJUiqDv8F6wQqEdFs=";
+ };
+
+ sourceRoot = "${finalAttrs.src.name}/src-tauri";
+
+ embed = stdenv.mkDerivation {
+ inherit (finalAttrs) src version;
+ pname = "${finalAttrs.pname}-embed";
+ sourceRoot = "${finalAttrs.src.name}/src-embed";
+ auditable = false;
+ dontInstall = true;
+
+ cargoDeps = rustPlatform.fetchCargoTarball {
+ inherit (finalAttrs) src;
+ sourceRoot = "${finalAttrs.src.name}/src-embed";
+ hash = "sha256-sf1sn3lOKvUu5MXxdMohS1DJ8jP9icZGftJKhrWA/JE=";
+ };
+
+ nativeBuildInputs = [
+ cargo
+ rustc
+ llvmPackages_15.clangNoLibc
+ llvmPackages_15.lld
+ rustPlatform.cargoSetupHook
+ wasm-bindgen-cli
+ ];
+
+ postBuild = ''
+ CC=clang CARGO_TARGET_WASM32_UNKNOWN_UNKNOWN_LINKER=lld cargo build \
+ --target wasm32-unknown-unknown \
+ --release
+
+ wasm-bindgen \
+ target/wasm32-unknown-unknown/release/surrealist_embed.wasm \
+ --out-dir $out \
+ --out-name surrealist-embed \
+ --target web
+ '';
+ };
+
+ pnpm-deps = stdenvNoCC.mkDerivation {
+ inherit (finalAttrs) src version;
+ pname = "${finalAttrs.pname}-pnpm-deps";
+ dontFixup = true;
+
+ nativeBuildInputs = [ cacert jq moreutils nodePackages.pnpm ];
+
+ postInstall = ''
+ export HOME=$(mktemp -d)
+ pnpm config set store-dir $out
+ # use --ignore-script and --no-optional to avoid downloading binaries
+ # use --frozen-lockfile to avoid checking git deps
+ pnpm install --frozen-lockfile --no-optional --ignore-script
+
+ # Remove timestamp and sort the json files
+ rm -rf $out/v3/tmp
+ for f in $(find $out -name "*.json"); do
+ sed -i -E -e 's/"checkedAt":[0-9]+,//g' $f
+ jq --sort-keys . $f | sponge $f
+ done
+ '';
+
+ outputHashMode = "recursive";
+ outputHash = "sha256-jT0Bw0xiusOw/5o6EUaEV3/GqkD/l6jkwXmOqc3a/nc=";
+ };
+
+ ui = stdenvNoCC.mkDerivation {
+ inherit (finalAttrs) src version;
+ pname = "${finalAttrs.pname}-ui";
+ dontFixup = true;
+
+ ESBUILD_BINARY_PATH = let version = "0.18.20";
+ in "${lib.getExe (esbuild.override {
+ buildGoModule = args:
+ buildGoModule (args // {
+ inherit version;
+ src = fetchFromGitHub {
+ owner = "evanw";
+ repo = "esbuild";
+ rev = "v${version}";
+ hash = "sha256-mED3h+mY+4H465m02ewFK/BgA1i/PQ+ksUNxBlgpUoI=";
+ };
+ vendorHash = "sha256-+BfxCyg0KkDQpHt/wycy/8CTG6YBA/VJvJFhhzUnSiQ=";
+ });
+ })}";
+
+ nativeBuildInputs = [ nodePackages.pnpm ];
+
+ postPatch = ''
+ ln -s ${finalAttrs.embed} src/generated
+ '';
+
+ postBuild = ''
+ export HOME=$(mktemp -d)
+ pnpm config set store-dir ${finalAttrs.pnpm-deps}
+ pnpm install --offline --frozen-lockfile --no-optional --ignore-script
+ pnpm build
+ '';
+
+ postInstall = ''
+ cp -r dist $out
+ '';
+ };
+
+ cargoDeps = rustPlatform.importCargoLock {
+ lockFile = ./Cargo.lock;
+ outputHashes = {
+ "tauri-plugin-localhost-0.1.0" =
+ "sha256-7PJgz6t/jPEwX/2xaOe0SYawfPSZw/F1QtOrc6iPiP0=";
+ };
+ };
+
+ nativeBuildInputs = [
+ cargo
+ cargo-tauri
+ makeBinaryWrapper
+ pkg-config
+ rustc
+ rustPlatform.cargoSetupHook
+ ];
+
+ buildInputs =
+ [ cairo gdk-pixbuf gobject-introspection libsoup pango webkitgtk ];
+
+ postPatch = ''
+ substituteInPlace ./tauri.conf.json \
+ --replace '"distDir": "../dist",' '"distDir": "${finalAttrs.ui}",' \
+ --replace '"beforeBuildCommand": "pnpm build",' '"beforeBuildCommand": "",'
+ '';
+
+ postBuild = ''
+ cargo tauri build --bundles deb
+ '';
+
+ postInstall = ''
+ install -Dm555 target/release/bundle/deb/surrealist_${finalAttrs.version}_*/data/usr/bin/surrealist -t $out/bin
+ cp -r target/release/bundle/deb/surrealist_${finalAttrs.version}_*/data/usr/share $out
+ '';
+
+ postFixup = ''
+ wrapProgram "$out/bin/surrealist" --set WEBKIT_DISABLE_COMPOSITING_MODE 1
+ '';
+
+ meta = with lib; {
+ description = "Powerful graphical SurrealDB query playground and database explorer for Browser and Desktop";
+ homepage = "https://surrealist.starlane.studio";
+ license = licenses.mit;
+ mainProgram = "surrealist";
+ maintainers = with maintainers; [ frankp ];
+ platforms = platforms.linux;
+ };
+})
diff --git a/pkgs/by-name/sv/svix-server/package.nix b/pkgs/by-name/sv/svix-server/package.nix
index e7de972488e2..7ccdf1f6b3f2 100644
--- a/pkgs/by-name/sv/svix-server/package.nix
+++ b/pkgs/by-name/sv/svix-server/package.nix
@@ -12,7 +12,7 @@ rustPlatform.buildRustPackage rec {
hash = "sha256-6758ej7bTvwZPWifl239rQMazM8uw+Y4+3EbjE8XsTg=";
};
- sourceRoot = "source/server";
+ sourceRoot = "${src.name}/server";
cargoLock = {
lockFile = ./Cargo.lock;
diff --git a/pkgs/by-name/sv/svndumpsanitizer/package.nix b/pkgs/by-name/sv/svndumpsanitizer/package.nix
new file mode 100644
index 000000000000..2c29015fbe80
--- /dev/null
+++ b/pkgs/by-name/sv/svndumpsanitizer/package.nix
@@ -0,0 +1,35 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+}:
+
+stdenv.mkDerivation {
+ pname = "svndumpsanitizer";
+ version = "2.0.7";
+ src = fetchFromGitHub {
+ owner = "dsuni";
+ repo = "svndumpsanitizer";
+ # https://github.com/dsuni/svndumpsanitizer/issues/22
+ rev = "cbf917c000ee42fbb31a8667014a4357bbfdd6a6";
+ hash = "sha256-lkVX11t0AF4y1EQQFXjPTrJmsfJhgx5Y1xj1VDlsbH0=";
+ };
+ buildPhase = ''
+ runHook preBuild
+ cc svndumpsanitizer.c -o svndumpsanitizer
+ runHook postBuild
+ '';
+ installPhase = ''
+ runHook preInstall
+ install -Dm555 svndumpsanitizer -t $out/bin
+ runHook postInstall
+ '';
+ meta = with lib; {
+ description = "Alternative to svndumpfilter that discovers which nodes should actually be kept";
+ homepage = "https://miria.homelinuxserver.org/svndumpsanitizer";
+ downloadPage = "https://github.com/dsuni/svndumpsanitizer";
+ license = licenses.gpl3Plus;
+ maintainers = with maintainers; [ lafrenierejm ];
+ mainProgram = "svndumpsanitizer";
+ platforms = platforms.unix;
+ };
+}
diff --git a/pkgs/by-name/sw/sway-assign-cgroups/package.nix b/pkgs/by-name/sw/sway-assign-cgroups/package.nix
index c420a32cf37b..83602655a669 100644
--- a/pkgs/by-name/sw/sway-assign-cgroups/package.nix
+++ b/pkgs/by-name/sw/sway-assign-cgroups/package.nix
@@ -25,6 +25,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "Place GUI applications into systemd scopes for systemd-oomd compatibility.";
+ mainProgram = "assign-cgroups.py";
longDescription = ''
Automatically assign a dedicated systemd scope to the GUI applications
launched in the same cgroup as the compositor. This could be helpful for
diff --git a/pkgs/by-name/sw/sway-unwrapped/package.nix b/pkgs/by-name/sw/sway-unwrapped/package.nix
index 452925beeabb..89af07a9af3d 100644
--- a/pkgs/by-name/sw/sway-unwrapped/package.nix
+++ b/pkgs/by-name/sw/sway-unwrapped/package.nix
@@ -2,7 +2,7 @@
, meson, ninja, pkg-config, wayland-scanner, scdoc
, libGL, wayland, libxkbcommon, pcre2, json_c, libevdev
, pango, cairo, libinput, gdk-pixbuf, librsvg
-, wlroots_0_16, wayland-protocols, libdrm
+, wlroots, wayland-protocols, libdrm
, nixosTests
# Used by the NixOS module:
, isNixOS ? false
@@ -11,19 +11,16 @@
, trayEnabled ? systemdSupport
}:
-let
- wlroots = wlroots_0_16;
-in
stdenv.mkDerivation (finalAttrs: {
pname = "sway-unwrapped";
- version = "1.8.1";
+ version = "1.9";
inherit enableXWayland isNixOS systemdSupport trayEnabled;
src = fetchFromGitHub {
owner = "swaywm";
repo = "sway";
rev = finalAttrs.version;
- hash = "sha256-WxnT+le9vneQLFPz2KoBduOI+zfZPhn1fKlaqbPL6/g=";
+ hash = "sha256-/6+iDkQfdLcL/pTJaqNc6QdP4SRVOYLjfOItEu/bZtg=";
};
patches = [
@@ -34,11 +31,6 @@ stdenv.mkDerivation (finalAttrs: {
inherit swaybg;
})
- (fetchpatch {
- name = "LIBINPUT_CONFIG_ACCEL_PROFILE_CUSTOM.patch";
- url = "https://github.com/swaywm/sway/commit/dee032d0a0ecd958c902b88302dc59703d703c7f.diff";
- hash = "sha256-dx+7MpEiAkxTBnJcsT3/1BO8rYRfNLecXmpAvhqGMD0=";
- })
] ++ lib.optionals (!finalAttrs.isNixOS) [
# References to /nix/store/... will get GC'ed which causes problems when
# copying the default configuration:
diff --git a/pkgs/by-name/sw/swaycons/package.nix b/pkgs/by-name/sw/swaycons/package.nix
index c990a2bb5186..9716f8708795 100644
--- a/pkgs/by-name/sw/swaycons/package.nix
+++ b/pkgs/by-name/sw/swaycons/package.nix
@@ -18,9 +18,10 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "Window Icons in Sway with Nerd Fonts!";
+ mainProgram = "swaycons";
homepage = "https://github.com/ActuallyAllie/swaycons";
license = licenses.asl20;
- platforms = [ "x86_64-linux" ];
+ platforms = platforms.linux;
maintainers = with maintainers; [ aacebedo ];
};
}
diff --git a/pkgs/by-name/sw/swayfx-unwrapped/package.nix b/pkgs/by-name/sw/swayfx-unwrapped/package.nix
index b49496f1383d..dfbb2e540c53 100644
--- a/pkgs/by-name/sw/swayfx-unwrapped/package.nix
+++ b/pkgs/by-name/sw/swayfx-unwrapped/package.nix
@@ -4,6 +4,7 @@
sway-unwrapped,
stdenv,
systemd,
+ wlroots_0_16,
# Used by the NixOS module:
isNixOS ? false,
enableXWayland ? true,
@@ -18,6 +19,8 @@
systemdSupport
trayEnabled
;
+
+ wlroots = wlroots_0_16;
}).overrideAttrs (oldAttrs: rec {
pname = "swayfx-unwrapped";
version = "0.3.2";
@@ -29,18 +32,6 @@
sha256 = "sha256-Gwewb0yDVhEBrefSSGDf1hLtpWcntzifPCPJQhqLqI0=";
};
- # This patch was backported into SwayFX
- # remove when next release is rebased on Sway 1.9
- patches =
- let
- removePatches = [
- "LIBINPUT_CONFIG_ACCEL_PROFILE_CUSTOM.patch"
- ];
- in
- builtins.filter
- (patch: !builtins.elem (patch.name or null) removePatches)
- (oldAttrs.patches or [ ]);
-
meta = with lib; {
description = "Sway, but with eye candy!";
homepage = "https://github.com/WillPower3309/swayfx";
diff --git a/pkgs/by-name/sw/swayimg/package.nix b/pkgs/by-name/sw/swayimg/package.nix
index 28d6711cb598..c6c838559ed3 100644
--- a/pkgs/by-name/sw/swayimg/package.nix
+++ b/pkgs/by-name/sw/swayimg/package.nix
@@ -26,13 +26,13 @@
}:
stdenv.mkDerivation (finalAttrs: {
pname = "swayimg";
- version = "2.0";
+ version = "2.1";
src = fetchFromGitHub {
owner = "artemsen";
repo = "swayimg";
rev = "v${finalAttrs.version}";
- hash = "sha256-JL48l7hwx+apQY7GJ6soaPXoOmxXk6iqrUxRy9hT5YI=";
+ hash = "sha256-+ntunT1FbgGcxpKGTcs7G7FYmoAobu/p/8ATIoBzfKE=";
};
strictDeps = true;
diff --git a/pkgs/by-name/sw/swayws/package.nix b/pkgs/by-name/sw/swayws/package.nix
index 999581153ac9..a216e9efba71 100644
--- a/pkgs/by-name/sw/swayws/package.nix
+++ b/pkgs/by-name/sw/swayws/package.nix
@@ -22,6 +22,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "A sway workspace tool which allows easy moving of workspaces to and from outputs";
+ mainProgram = "swayws";
homepage = "https://gitlab.com/w0lff/swayws";
license = licenses.mit;
maintainers = [ maintainers.atila ];
diff --git a/pkgs/by-name/sw/swaywsr/package.nix b/pkgs/by-name/sw/swaywsr/package.nix
index 23a82bd2df08..5926e605b750 100644
--- a/pkgs/by-name/sw/swaywsr/package.nix
+++ b/pkgs/by-name/sw/swaywsr/package.nix
@@ -21,6 +21,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "Automatically change sway workspace names based on their contents";
+ mainProgram = "swaywsr";
longDescription = ''
Automatically sets the workspace names to match the windows on the workspace.
The chosen name for a workspace is a composite of the app_id or WM_CLASS X11
diff --git a/pkgs/by-name/sw/switcheroo/package.nix b/pkgs/by-name/sw/switcheroo/package.nix
index 4c41d6739824..9d2327f4095a 100644
--- a/pkgs/by-name/sw/switcheroo/package.nix
+++ b/pkgs/by-name/sw/switcheroo/package.nix
@@ -1,6 +1,7 @@
{ lib
, blueprint-compiler
, cargo
+, darwin
, desktop-file-utils
, fetchFromGitLab
, glib
@@ -17,19 +18,19 @@
stdenv.mkDerivation (finalAttrs: {
pname = "switcheroo";
- version = "2.0.1";
+ version = "2.1.0";
src = fetchFromGitLab {
owner = "adhami3310";
repo = "Switcheroo";
rev = "v${finalAttrs.version}";
- hash = "sha256-3JlI0Co3yuD6fKaKlmz1Vg0epXABO+7cRvm6/PgbGUE=";
+ hash = "sha256-hopN2ynksaYoNYjXrh7plmhfmGYyqqK75GOtbsE95ZY=";
};
cargoDeps = rustPlatform.fetchCargoTarball {
src = finalAttrs.src;
name = "switcheroo-${finalAttrs.version}";
- hash = "sha256-wC57VTJGiN2hDL2Z9fFw5H9c3Txqh30AHfR9o2DbcSk=";
+ hash = "sha256-wN6MsiOgYFgzDzdGei0ptRbG+h+xMJiFfzCcg6Xtryw=";
};
nativeBuildInputs = [
@@ -48,8 +49,19 @@ stdenv.mkDerivation (finalAttrs: {
glib
gtk4
libadwaita
+ ] ++ lib.optionals stdenv.isDarwin [
+ darwin.apple_sdk.frameworks.Foundation
];
+ # Workaround for the gettext-sys issue
+ # https://github.com/Koka/gettext-rs/issues/114
+ env.NIX_CFLAGS_COMPILE = lib.optionalString
+ (
+ stdenv.cc.isClang &&
+ lib.versionAtLeast stdenv.cc.version "16"
+ )
+ "-Wno-error=incompatible-function-pointer-types";
+
meta = with lib; {
changelog = "https://gitlab.com/adhami3310/Switcheroo/-/releases/v${finalAttrs.version}";
description = "An app for converting images between different formats";
@@ -57,6 +69,6 @@ stdenv.mkDerivation (finalAttrs: {
license = licenses.gpl3Plus;
mainProgram = "switcheroo";
maintainers = with maintainers; [ michaelgrahamevans ];
- platforms = platforms.linux;
+ platforms = platforms.unix;
};
})
diff --git a/pkgs/tools/wayland/swww/default.nix b/pkgs/by-name/sw/swww/package.nix
similarity index 83%
rename from pkgs/tools/wayland/swww/default.nix
rename to pkgs/by-name/sw/swww/package.nix
index 9973e6b2fb69..cf9b878a506d 100644
--- a/pkgs/tools/wayland/swww/default.nix
+++ b/pkgs/by-name/sw/swww/package.nix
@@ -14,12 +14,12 @@ rustPlatform.buildRustPackage rec {
src = fetchFromGitHub {
owner = "LGFae";
- repo = pname;
+ repo = "swww";
rev = "refs/tags/v${version}";
hash = "sha256-n7YdUmIZGu7W7cX6OvVW+wbkKjFvont4hEAhZXYDQd8=";
};
- cargoSha256 = "sha256-lZC71M3lbsI+itMydAp5VCz0cpSHo/FpkQFC1NlN4DU=";
+ cargoHash = "sha256-lZC71M3lbsI+itMydAp5VCz0cpSHo/FpkQFC1NlN4DU=";
buildInputs = [
lz4
@@ -42,9 +42,9 @@ rustPlatform.buildRustPackage rec {
done
installShellCompletion --cmd swww \
- --bash <(cat completions/swww.bash) \
- --fish <(cat completions/swww.fish) \
- --zsh <(cat completions/_swww)
+ --bash completions/swww.bash \
+ --fish completions/swww.fish \
+ --zsh completions/_swww
'';
meta = with lib; {
diff --git a/pkgs/by-name/sy/symbolicator/package.nix b/pkgs/by-name/sy/symbolicator/package.nix
index ebec2b81a6d8..20ee664e2cd1 100644
--- a/pkgs/by-name/sy/symbolicator/package.nix
+++ b/pkgs/by-name/sy/symbolicator/package.nix
@@ -47,9 +47,6 @@ rustPlatform.buildRustPackage rec {
SYMBOLICATOR_GIT_VERSION = src.rev;
SYMBOLICATOR_RELEASE = version;
ZSTD_SYS_USE_PKG_CONFIG = true;
- } // lib.optionalAttrs stdenv.cc.isClang {
- # Work around https://github.com/NixOS/nixpkgs/issues/166205.
- NIX_LDFLAGS = "-l${stdenv.cc.libcxx.cxxabi.libName}";
};
# tests require network access
diff --git a/pkgs/development/tools/symfony-cli/default.nix b/pkgs/by-name/sy/symfony-cli/package.nix
similarity index 88%
rename from pkgs/development/tools/symfony-cli/default.nix
rename to pkgs/by-name/sy/symfony-cli/package.nix
index e316ae832231..a9133d6742f4 100644
--- a/pkgs/development/tools/symfony-cli/default.nix
+++ b/pkgs/by-name/sy/symfony-cli/package.nix
@@ -10,14 +10,14 @@
buildGoModule rec {
pname = "symfony-cli";
- version = "5.8.8";
- vendorHash = "sha256-ACK0JCaS1MOCgUi2DMEjIcKf/nMCcrdDyIdioBZv7qw=";
+ version = "5.8.13";
+ vendorHash = "sha256-OBXurPjyB2/JCQBna+tk0p3+n8gPoNLXCppXkII3ZUc=";
src = fetchFromGitHub {
owner = "symfony-cli";
repo = "symfony-cli";
rev = "v${version}";
- hash = "sha256-GdmFRGyp4s5G5RTEFKjcrY/OpaEVCgRhNVJbY1F8vk0=";
+ hash = "sha256-5fxvC+5XclHnPKZE0jt6fuWxa16uaxLH/PchlFQH7NI=";
};
ldflags = [
diff --git a/pkgs/by-name/sy/syn2mas/package.nix b/pkgs/by-name/sy/syn2mas/package.nix
index 6a147a2d647e..75fefef93689 100644
--- a/pkgs/by-name/sy/syn2mas/package.nix
+++ b/pkgs/by-name/sy/syn2mas/package.nix
@@ -14,7 +14,7 @@ buildNpmPackage rec {
hash = "sha256-DPGigs6DifTRa7VQVHgizZ3BUy3FPX3YhZi++yoBFBA=";
};
- sourceRoot = "source/tools/syn2mas";
+ sourceRoot = "${src.name}/tools/syn2mas";
npmDepsHash = "sha256-HvBFuRyP1APg5V+yhvlODAJ02MEkdpuLfNjWB/UT2vg=";
diff --git a/pkgs/servers/syncstorage-rs/Cargo.lock b/pkgs/by-name/sy/syncstorage-rs/Cargo.lock
similarity index 60%
rename from pkgs/servers/syncstorage-rs/Cargo.lock
rename to pkgs/by-name/sy/syncstorage-rs/Cargo.lock
index 059eca302cc5..976088819edb 100644
--- a/pkgs/servers/syncstorage-rs/Cargo.lock
+++ b/pkgs/by-name/sy/syncstorage-rs/Cargo.lock
@@ -4,234 +4,152 @@ version = 3
[[package]]
name = "actix-codec"
-version = "0.3.0"
+version = "0.5.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "78d1833b3838dbe990df0f1f87baf640cf6146e898166afe401839d1b001e570"
+checksum = "5f7b0a21988c1bf877cf4759ef5ddaac04c1c9fe808c9142ecb78ba97d97a28a"
dependencies = [
- "bitflags 1.3.2",
- "bytes 0.5.6",
+ "bitflags 2.4.2",
+ "bytes",
"futures-core",
"futures-sink",
- "log",
- "pin-project 0.4.30",
- "tokio 0.2.25",
- "tokio-util 0.3.1",
-]
-
-[[package]]
-name = "actix-connect"
-version = "2.0.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "177837a10863f15ba8d3ae3ec12fac1099099529ed20083a27fdfe247381d0dc"
-dependencies = [
- "actix-codec",
- "actix-rt",
- "actix-service",
- "actix-utils",
- "derive_more",
- "either",
- "futures-util",
- "http",
- "log",
- "trust-dns-proto",
- "trust-dns-resolver",
+ "memchr",
+ "pin-project-lite",
+ "tokio",
+ "tokio-util",
+ "tracing",
]
[[package]]
name = "actix-cors"
-version = "0.5.4"
+version = "0.6.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "36b133d8026a9f209a9aeeeacd028e7451bcca975f592881b305d37983f303d7"
+checksum = "0346d8c1f762b41b458ed3145eea914966bb9ad20b9be0d6d463b20d45586370"
dependencies = [
+ "actix-utils",
"actix-web",
"derive_more",
"futures-util",
"log",
"once_cell",
- "tinyvec",
+ "smallvec",
]
[[package]]
name = "actix-http"
-version = "2.2.2"
+version = "3.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2be6b66b62a794a8e6d366ac9415bb7d475ffd1e9f4671f38c1d8a8a5df950b3"
+checksum = "d223b13fd481fc0d1f83bb12659ae774d9e3601814c68a0bc539731698cca743"
dependencies = [
"actix-codec",
- "actix-connect",
"actix-rt",
"actix-service",
- "actix-threadpool",
"actix-utils",
- "base64 0.13.1",
- "bitflags 1.3.2",
+ "ahash",
+ "base64",
+ "bitflags 2.4.2",
"brotli",
- "bytes 0.5.6",
- "cookie",
- "copyless",
+ "bytes",
+ "bytestring",
"derive_more",
- "either",
"encoding_rs",
"flate2",
- "futures-channel",
"futures-core",
- "futures-util",
- "fxhash",
- "h2 0.2.7",
+ "h2",
"http",
"httparse",
- "indexmap",
- "itoa 0.4.8",
+ "httpdate",
+ "itoa",
"language-tags",
- "lazy_static",
- "log",
+ "local-channel",
"mime",
- "percent-encoding 2.3.0",
- "pin-project 1.1.3",
- "rand 0.7.3",
- "regex",
- "serde 1.0.189",
- "serde_json",
- "serde_urlencoded",
- "sha-1",
- "slab",
- "time 0.2.27",
+ "percent-encoding 2.3.1",
+ "pin-project-lite",
+ "rand",
+ "sha1",
+ "smallvec",
+ "tokio",
+ "tokio-util",
+ "tracing",
+ "zstd",
]
[[package]]
name = "actix-macros"
-version = "0.1.3"
+version = "0.2.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b4ca8ce00b267af8ccebbd647de0d61e0674b6e61185cc7a592ff88772bed655"
+checksum = "e01ed3140b2f8d422c68afa1ed2e85d996ea619c988ac834d255db32138655cb"
dependencies = [
"quote",
- "syn 1.0.109",
+ "syn 2.0.48",
]
[[package]]
name = "actix-router"
-version = "0.2.7"
+version = "0.5.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2ad299af73649e1fc893e333ccf86f377751eb95ff875d095131574c6f43452c"
+checksum = "d22475596539443685426b6bdadb926ad0ecaefdfc5fb05e5e3441f15463c511"
dependencies = [
"bytestring",
"http",
- "log",
"regex",
- "serde 1.0.189",
+ "serde 1.0.196",
+ "tracing",
]
[[package]]
name = "actix-rt"
-version = "1.1.1"
+version = "2.9.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "143fcc2912e0d1de2bcf4e2f720d2a60c28652ab4179685a1ee159e0fb3db227"
+checksum = "28f32d40287d3f402ae0028a9d54bef51af15c8769492826a69d28f81893151d"
dependencies = [
"actix-macros",
- "actix-threadpool",
- "copyless",
- "futures-channel",
- "futures-util",
- "smallvec",
- "tokio 0.2.25",
+ "futures-core",
+ "tokio",
]
[[package]]
name = "actix-server"
-version = "1.0.4"
+version = "2.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "45407e6e672ca24784baa667c5d32ef109ccdd8d5e0b5ebb9ef8a67f4dfb708e"
+checksum = "3eb13e7eef0423ea6eab0e59f6c72e7cb46d33691ad56a726b3cd07ddec2c2d4"
dependencies = [
- "actix-codec",
"actix-rt",
"actix-service",
"actix-utils",
- "futures-channel",
+ "futures-core",
"futures-util",
- "log",
- "mio 0.6.23",
- "mio-uds",
- "num_cpus",
- "slab",
- "socket2 0.3.19",
+ "mio",
+ "socket2",
+ "tokio",
+ "tracing",
]
[[package]]
name = "actix-service"
-version = "1.0.6"
+version = "2.0.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0052435d581b5be835d11f4eb3bce417c8af18d87ddf8ace99f8e67e595882bb"
+checksum = "3b894941f818cfdc7ccc4b9e60fa7e53b5042a2e8567270f9147d5591893373a"
dependencies = [
- "futures-util",
- "pin-project 0.4.30",
-]
-
-[[package]]
-name = "actix-testing"
-version = "1.0.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "47239ca38799ab74ee6a8a94d1ce857014b2ac36f242f70f3f75a66f691e791c"
-dependencies = [
- "actix-macros",
- "actix-rt",
- "actix-server",
- "actix-service",
- "log",
- "socket2 0.3.19",
-]
-
-[[package]]
-name = "actix-threadpool"
-version = "0.3.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d209f04d002854b9afd3743032a27b066158817965bf5d036824d19ac2cc0e30"
-dependencies = [
- "derive_more",
- "futures-channel",
- "lazy_static",
- "log",
- "num_cpus",
- "parking_lot 0.11.2",
- "threadpool",
-]
-
-[[package]]
-name = "actix-tls"
-version = "2.0.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "24789b7d7361cf5503a504ebe1c10806896f61e96eca9a7350e23001aca715fb"
-dependencies = [
- "actix-codec",
- "actix-service",
- "actix-utils",
- "futures-util",
+ "futures-core",
+ "paste",
+ "pin-project-lite",
]
[[package]]
name = "actix-utils"
-version = "2.0.0"
+version = "3.0.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2e9022dec56632d1d7979e59af14f0597a28a830a9c1c7fec8b2327eb9f16b5a"
+checksum = "88a1dcdff1466e3c2488e1cb5c36a71822750ad43839937f85d2f4d9f8b705d8"
dependencies = [
- "actix-codec",
- "actix-rt",
- "actix-service",
- "bitflags 1.3.2",
- "bytes 0.5.6",
- "either",
- "futures-channel",
- "futures-sink",
- "futures-util",
- "log",
- "pin-project 0.4.30",
- "slab",
+ "local-waker",
+ "pin-project-lite",
]
[[package]]
name = "actix-web"
-version = "3.3.3"
+version = "4.5.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b6534a126df581caf443ba2751cab42092c89b3f1d06a9d829b1e17edfe3e277"
+checksum = "43a6556ddebb638c2358714d853257ed226ece6023ef9364f23f0c70737ea984"
dependencies = [
"actix-codec",
"actix-http",
@@ -240,41 +158,43 @@ dependencies = [
"actix-rt",
"actix-server",
"actix-service",
- "actix-testing",
- "actix-threadpool",
- "actix-tls",
"actix-utils",
"actix-web-codegen",
- "awc",
- "bytes 0.5.6",
+ "ahash",
+ "bytes",
+ "bytestring",
+ "cfg-if",
+ "cookie",
"derive_more",
"encoding_rs",
- "futures-channel",
"futures-core",
"futures-util",
- "fxhash",
+ "itoa",
+ "language-tags",
"log",
"mime",
- "pin-project 1.1.3",
+ "once_cell",
+ "pin-project-lite",
"regex",
- "serde 1.0.189",
+ "serde 1.0.196",
"serde_json",
"serde_urlencoded",
- "socket2 0.3.19",
- "time 0.2.27",
- "tinyvec",
- "url 2.4.1",
+ "smallvec",
+ "socket2",
+ "time",
+ "url 2.5.0",
]
[[package]]
name = "actix-web-codegen"
-version = "0.4.0"
+version = "4.2.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ad26f77093333e0e7c6ffe54ebe3582d908a104e448723eec6d43d08b07143fb"
+checksum = "eb1f50ebbb30eca122b188319a4398b3f7bb4a8cdf50ecfb73bfc6a3c3ce54f5"
dependencies = [
+ "actix-router",
"proc-macro2",
"quote",
- "syn 1.0.109",
+ "syn 2.0.48",
]
[[package]]
@@ -292,6 +212,19 @@ version = "1.0.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "f26201604c87b1e01bd3d98f8d5d9a8fcbb815e8cedb41ffccbeb4bf593a35fe"
+[[package]]
+name = "ahash"
+version = "0.8.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "42cd52102d3df161c77a887b608d7a4897d7cc112886a9537b738a887a03aaff"
+dependencies = [
+ "cfg-if",
+ "getrandom",
+ "once_cell",
+ "version_check",
+ "zerocopy",
+]
+
[[package]]
name = "aho-corasick"
version = "1.1.2"
@@ -337,14 +270,14 @@ version = "0.12.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "d52a9bb7ec0cf484c551830a7ce27bd20d67eac647e1befb56b0be4ee39a55d2"
dependencies = [
- "winapi 0.3.9",
+ "winapi",
]
[[package]]
name = "anyhow"
-version = "1.0.75"
+version = "1.0.79"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a4668cab20f66d8d020e1fbc0ebe47217433c1b6c8f2040faf858554e394ace6"
+checksum = "080e9890a082662b09c1ad45f567faeeb47f22b5fb23895fbe1e651e718e25ca"
[[package]]
name = "arc-swap"
@@ -364,19 +297,19 @@ version = "2.0.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "47e4f2b81832e72834d7518d8487a0396a28cc408186a2e8854c0f98011faf12"
dependencies = [
- "serde 1.0.189",
+ "serde 1.0.196",
"serde_json",
]
[[package]]
name = "async-trait"
-version = "0.1.74"
+version = "0.1.77"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a66537f1bb974b254c98ed142ff995236e81b9d0fe4db0575f46612cb15eb0f9"
+checksum = "c980ee35e870bd1a4d2c8294d4c04d0499e67bca1e4b5cefcc693c2fa00caea9"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.38",
+ "syn 2.0.48",
]
[[package]]
@@ -387,7 +320,7 @@ checksum = "d9b39be18770d11421cdb1b9947a45dd3f37e93092cbf377614828a319d5fee8"
dependencies = [
"hermit-abi 0.1.19",
"libc",
- "winapi 0.3.9",
+ "winapi",
]
[[package]]
@@ -396,30 +329,6 @@ version = "1.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "d468802bab17cbc0cc575e9b053f41e72aa36bfa6b7f55e3529ffa43161b97fa"
-[[package]]
-name = "awc"
-version = "2.0.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b381e490e7b0cfc37ebc54079b0413d8093ef43d14a4e4747083f7fa47a9e691"
-dependencies = [
- "actix-codec",
- "actix-http",
- "actix-rt",
- "actix-service",
- "base64 0.13.1",
- "bytes 0.5.6",
- "cfg-if 1.0.0",
- "derive_more",
- "futures-core",
- "log",
- "mime",
- "percent-encoding 2.3.0",
- "rand 0.7.3",
- "serde 1.0.189",
- "serde_json",
- "serde_urlencoded",
-]
-
[[package]]
name = "backtrace"
version = "0.3.69"
@@ -428,36 +337,18 @@ checksum = "2089b7e3f35b9dd2d0ed921ead4f6d318c27680d4a5bd167b3ee120edb105837"
dependencies = [
"addr2line",
"cc",
- "cfg-if 1.0.0",
+ "cfg-if",
"libc",
"miniz_oxide",
"object",
"rustc-demangle",
]
-[[package]]
-name = "base-x"
-version = "0.2.11"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4cbbc9d0964165b47557570cce6c952866c2678457aca742aafc9fb771d30270"
-
[[package]]
name = "base64"
-version = "0.12.3"
+version = "0.21.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3441f0f7b02788e948e47f457ca01f1d7e6d92c693bc132c22b087d3141c03ff"
-
-[[package]]
-name = "base64"
-version = "0.13.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9e1b586273c5702936fe7b7d6896644d8be71e6314cfe09d3167c95f712589e8"
-
-[[package]]
-name = "base64"
-version = "0.21.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9ba43ea6f343b788c8764558649e08df62f86c6ef251fdaeb1ffd010a9ae50a2"
+checksum = "9d297deb1925b89f2ccc13d7635fa0714f12c87adce1c75356b39ca9b7178567"
[[package]]
name = "bindgen"
@@ -490,18 +381,9 @@ checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a"
[[package]]
name = "bitflags"
-version = "2.4.1"
+version = "2.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "327762f6e5a765692301e5bb513e0d9fef63be86bbc14528052b1cd3e6f03e07"
-
-[[package]]
-name = "block-buffer"
-version = "0.9.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4152116fd6e9dadb291ae18fc1ec3575ed6d84c29642d97890f4b4a3417297e4"
-dependencies = [
- "generic-array",
-]
+checksum = "ed570934406eb16438a4e976b1b4500774099c13b8cb96eec99f620f05090ddf"
[[package]]
name = "block-buffer"
@@ -514,9 +396,9 @@ dependencies = [
[[package]]
name = "boringssl-src"
-version = "0.5.2+6195bf8"
+version = "0.6.0+e46383f"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7ab565ccc5e276ea82a2013dd08bf2c999866b06daf1d4f30fee419c4aaec6d5"
+checksum = "5edec42197c014d84ea2396589f0da14b2257f63f319442b5e8475a077b90457"
dependencies = [
"cmake",
]
@@ -534,9 +416,9 @@ dependencies = [
[[package]]
name = "brotli-decompressor"
-version = "2.5.0"
+version = "2.5.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "da74e2b81409b1b743f8f0c62cc6254afefb8b8e50bbfe3735550f7aeefa3448"
+checksum = "4e2e4afe60d7dd600fdd3de8d0f08c2b7ec039712e3b6137ff98b7004e82de4f"
dependencies = [
"alloc-no-stdlib",
"alloc-stdlib",
@@ -554,12 +436,6 @@ version = "1.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "1fd0f2584146f6f2ef48085050886acf353beff7305ebd1ae69500e27c67f64b"
-[[package]]
-name = "bytes"
-version = "0.5.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0e4cec68f03f32e44924783795810fa50a7035d8c8ebe78580ad7e6c703fba38"
-
[[package]]
name = "bytes"
version = "1.5.0"
@@ -568,11 +444,11 @@ checksum = "a2bd12c1caf447e69cd4528f47f94d203fd2582878ecb9e9465484c4148a8223"
[[package]]
name = "bytestring"
-version = "1.3.0"
+version = "1.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "238e4886760d98c4f899360c834fa93e62cf7f721ac3c2da375cbdf4b8679aae"
+checksum = "74d80203ea6b29df88012294f62733de21cfeab47f17b41af3a38bc30a03ee72"
dependencies = [
- "bytes 1.5.0",
+ "bytes",
]
[[package]]
@@ -590,6 +466,7 @@ version = "1.0.83"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "f1174fb0b6ec23863f8b971027804a42614e347eafb0a95bf0b12cdae21fc4d0"
dependencies = [
+ "jobserver",
"libc",
]
@@ -602,12 +479,6 @@ dependencies = [
"nom 7.1.3",
]
-[[package]]
-name = "cfg-if"
-version = "0.1.10"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4785bdd1c96b2a846b2bd7cc02e86b6b3dbf14e7e53446c4f54c92a361040822"
-
[[package]]
name = "cfg-if"
version = "1.0.0"
@@ -616,23 +487,23 @@ checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd"
[[package]]
name = "chrono"
-version = "0.4.31"
+version = "0.4.34"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7f2c685bad3eb3d45a01354cedb7d5faa66194d1d58ba6e267a8de788f79db38"
+checksum = "5bc015644b92d5890fab7489e49d21f879d5c990186827d42ec511919404f38b"
dependencies = [
"android-tzdata",
"iana-time-zone",
"js-sys",
- "num-traits 0.2.17",
+ "num-traits 0.2.18",
"wasm-bindgen",
- "windows-targets",
+ "windows-targets 0.52.0",
]
[[package]]
name = "clang-sys"
-version = "1.6.1"
+version = "1.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c688fc74432808e3eb684cae8830a86be1d66a2bd58e1f248ed0960a590baf6f"
+checksum = "67523a3b4be3ce1989d607a828d036249522dd9c1c8de7f4dd2dae43a37369d1"
dependencies = [
"glob",
"libc",
@@ -665,13 +536,12 @@ dependencies = [
[[package]]
name = "colored"
-version = "2.0.4"
+version = "2.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2674ec482fbc38012cf31e6c42ba0177b431a0cb6f15fe40efa5aab1bda516f6"
+checksum = "cbf2150cce219b664a8a70df7a1f933836724b503f8a413af9365b4dcc4d90b8"
dependencies = [
- "is-terminal",
"lazy_static",
- "windows-sys",
+ "windows-sys 0.48.0",
]
[[package]]
@@ -682,7 +552,7 @@ checksum = "19b076e143e1d9538dde65da30f8481c2a6c44040edb8e02b9bf1351edb92ce3"
dependencies = [
"lazy_static",
"nom 5.1.3",
- "serde 1.0.189",
+ "serde 1.0.196",
]
[[package]]
@@ -694,19 +564,13 @@ dependencies = [
"lazy_static",
"nom 5.1.3",
"rust-ini",
- "serde 1.0.189",
+ "serde 1.0.196",
"serde-hjson",
"serde_json",
"toml",
"yaml-rust",
]
-[[package]]
-name = "const_fn"
-version = "0.4.9"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fbdcdcb6d86f71c5e97409ad45898af11cbc995b4ee8112d59095a28d376c935"
-
[[package]]
name = "convert_case"
version = "0.4.0"
@@ -715,26 +579,20 @@ checksum = "6245d59a3e82a7fc217c5828a6692dbc6dfb63a0c8c90495621f7b9d79704a0e"
[[package]]
name = "cookie"
-version = "0.14.4"
+version = "0.16.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "03a5d7b21829bc7b4bf4754a978a241ae54ea55a40f92bb20216e54096f4b951"
+checksum = "e859cd57d0710d9e06c381b550c06e76992472a8c6d527aecd2fc673dcc231fb"
dependencies = [
- "percent-encoding 2.3.0",
- "time 0.2.27",
+ "percent-encoding 2.3.1",
+ "time",
"version_check",
]
-[[package]]
-name = "copyless"
-version = "0.1.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a2df960f5d869b2dd8532793fde43eb5427cceb126c929747a26823ab0eeb536"
-
[[package]]
name = "core-foundation"
-version = "0.9.3"
+version = "0.9.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "194a7a9e6de53fa55116934067c844d9d749312f75c6f6d0980e8c252f8c2146"
+checksum = "91e195e091a93c46f7102ec7818a2aa394e1e1771c3ab4825963fa03e45afb8f"
dependencies = [
"core-foundation-sys",
"libc",
@@ -742,68 +600,51 @@ dependencies = [
[[package]]
name = "core-foundation-sys"
-version = "0.8.4"
+version = "0.8.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e496a50fda8aacccc86d7529e2c1e0892dbd0f898a6b5645b5561b89c3210efa"
+checksum = "06ea2b9bc92be3c2baa9334a323ebca2d6f074ff852cd1d7b11064035cd3868f"
[[package]]
name = "cpufeatures"
-version = "0.2.9"
+version = "0.2.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a17b76ff3a4162b0b27f354a0c87015ddad39d35f9c0c36607a3bdd175dde1f1"
+checksum = "53fe5e26ff1b7aef8bca9c6080520cfb8d9333c7568e1829cef191a9723e5504"
dependencies = [
"libc",
]
[[package]]
name = "crc32fast"
-version = "1.3.2"
+version = "1.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b540bd8bc810d3885c6ea91e2018302f68baba2129ab3e88f32389ee9370880d"
+checksum = "b3855a8a784b474f333699ef2bbca9db2c4a1f6d9088a90a2d25b1eb53111eaa"
dependencies = [
- "cfg-if 1.0.0",
+ "cfg-if",
]
[[package]]
name = "crossbeam-channel"
-version = "0.5.8"
+version = "0.5.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a33c2bf77f2df06183c3aa30d1e96c0695a313d4f9c453cc3762a6db39f99200"
+checksum = "176dc175b78f56c0f321911d9c8eb2b77a78a4860b9c19db83835fea1a46649b"
dependencies = [
- "cfg-if 1.0.0",
- "crossbeam-utils 0.8.16",
+ "crossbeam-utils",
]
[[package]]
name = "crossbeam-queue"
-version = "0.2.3"
+version = "0.3.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "774ba60a54c213d409d5353bda12d49cd68d14e45036a285234c8d6f91f92570"
+checksum = "df0346b5d5e76ac2fe4e327c5fd1118d6be7c51dfb18f9b7922923f287471e35"
dependencies = [
- "cfg-if 0.1.10",
- "crossbeam-utils 0.7.2",
- "maybe-uninit",
+ "crossbeam-utils",
]
[[package]]
name = "crossbeam-utils"
-version = "0.7.2"
+version = "0.8.19"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c3c7c73a2d1e9fc0886a08b93e98eb643461230d5f1925e4036204d5f2e261a8"
-dependencies = [
- "autocfg",
- "cfg-if 0.1.10",
- "lazy_static",
-]
-
-[[package]]
-name = "crossbeam-utils"
-version = "0.8.16"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5a22b2d63d4d1dc0b7f1b6b2747dd0088008a9be28b6ddf0b1e7d335e3037294"
-dependencies = [
- "cfg-if 1.0.0",
-]
+checksum = "248e3bacc7dc6baa3b21e405ee045c3047101a49145e7e9eca583ab4c2ca5345"
[[package]]
name = "crypto-common"
@@ -817,24 +658,24 @@ dependencies = [
[[package]]
name = "curl"
-version = "0.4.44"
+version = "0.4.46"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "509bd11746c7ac09ebd19f0b17782eae80aadee26237658a6b4808afb5c11a22"
+checksum = "1e2161dd6eba090ff1594084e95fd67aeccf04382ffea77999ea94ed42ec67b6"
dependencies = [
"curl-sys",
"libc",
"openssl-probe",
"openssl-sys",
"schannel",
- "socket2 0.4.9",
- "winapi 0.3.9",
+ "socket2",
+ "windows-sys 0.52.0",
]
[[package]]
name = "curl-sys"
-version = "0.4.68+curl-8.4.0"
+version = "0.4.72+curl-8.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b4a0d18d88360e374b16b2273c832b5e57258ffc1d4aa4f96b108e0738d5752f"
+checksum = "29cbdc8314c447d11e8fd156dcdd031d9e02a7a976163e396b548c03153bc9ea"
dependencies = [
"cc",
"libc",
@@ -842,20 +683,20 @@ dependencies = [
"openssl-sys",
"pkg-config",
"vcpkg",
- "windows-sys",
+ "windows-sys 0.52.0",
]
[[package]]
name = "deadpool"
-version = "0.5.2"
-source = "git+https://github.com/mozilla-services/deadpool?branch=deadpool-v0.5.2-issue92#ede2a1f1fa22a7266cf4681edd2b988dfd333af9"
+version = "0.7.0"
+source = "git+https://github.com/mozilla-services/deadpool?tag=deadpool-v0.7.0#4e041037f758186e62021021e130926d5302ebe8"
dependencies = [
"async-trait",
"config 0.10.1",
"crossbeam-queue",
"num_cpus",
- "serde 1.0.189",
- "tokio 0.2.25",
+ "serde 1.0.196",
+ "tokio",
]
[[package]]
@@ -864,15 +705,15 @@ version = "0.8.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "bef552e6f588e446098f6ba40d89ac146c8c7b64aade83c051ee00bb5d2bc18d"
dependencies = [
- "serde 1.0.189",
- "uuid 1.5.0",
+ "serde 1.0.196",
+ "uuid",
]
[[package]]
name = "deranged"
-version = "0.3.9"
+version = "0.3.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0f32d04922c60427da6f9fef14d042d9edddef64cb9d4ce0d64d0685fbeb1fd3"
+checksum = "b42b6fa04a440b495c8b04d0e71b707c585f83cb9cb28cf8cd0d976c315e31b4"
dependencies = [
"powerfmt",
]
@@ -886,7 +727,7 @@ dependencies = [
"convert_case",
"proc-macro2",
"quote",
- "rustc_version 0.4.0",
+ "rustc_version",
"syn 1.0.109",
]
@@ -940,22 +781,13 @@ version = "2.0.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "524cbf6897b527295dff137cec09ecf3a05f4fddffd7dfcd1585403449e74198"
-[[package]]
-name = "digest"
-version = "0.9.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d3dd60d1080a57a05ab032377049e0591415d2b31afd7028356dbf3cc6dcb066"
-dependencies = [
- "generic-array",
-]
-
[[package]]
name = "digest"
version = "0.10.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "9ed9a281f7bc9b7576e61468ba615a66a5c8cfdff42420a70aa82701a3b1e292"
dependencies = [
- "block-buffer 0.10.4",
+ "block-buffer",
"crypto-common",
"subtle",
]
@@ -966,7 +798,7 @@ version = "2.0.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "b98cf8ebf19c3d1b223e151f99a4f9f0690dca41414773390fc824184ac833e1"
dependencies = [
- "cfg-if 1.0.0",
+ "cfg-if",
"dirs-sys-next",
]
@@ -978,15 +810,9 @@ checksum = "4ebda144c4fe02d1f7ea1a7d9641b6fc6b580adcfa024ae48797ecdeb6825b4d"
dependencies = [
"libc",
"redox_users",
- "winapi 0.3.9",
+ "winapi",
]
-[[package]]
-name = "discard"
-version = "1.0.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "212d0f5754cb6769937f4501cc0e67f4f4483c8d2c3e1e922ee9edbe4ab4c7c0"
-
[[package]]
name = "docopt"
version = "1.1.1"
@@ -995,21 +821,21 @@ checksum = "7f3f119846c823f9eafcf953a8f6ffb6ed69bf6240883261a7f13b634579a51f"
dependencies = [
"lazy_static",
"regex",
- "serde 1.0.189",
+ "serde 1.0.196",
"strsim 0.10.0",
]
[[package]]
name = "dyn-clone"
-version = "1.0.14"
+version = "1.0.16"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "23d2f3407d9a573d666de4b5bdf10569d73ca9478087346697dcbae6244bfbcd"
+checksum = "545b22097d44f8a9581187cdf93de7a71e4722bf51200cfaba810865b49a495d"
[[package]]
name = "either"
-version = "1.9.0"
+version = "1.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a26ae43d7bcc3b814de94796a5e736d4029efb0ee900c12e2d54c993ad1a1e07"
+checksum = "11157ac094ffbdde99aa67b23417ebdd801842852b500e395a45a9c0aac03e4a"
[[package]]
name = "encoding_rs"
@@ -1017,19 +843,7 @@ version = "0.8.33"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "7268b386296a025e474d5140678f75d6de9493ae55a5d709eeb9dd08149945e1"
dependencies = [
- "cfg-if 1.0.0",
-]
-
-[[package]]
-name = "enum-as-inner"
-version = "0.3.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "570d109b813e904becc80d8d5da38376818a143348413f7149f1340fe04754d4"
-dependencies = [
- "heck",
- "proc-macro2",
- "quote",
- "syn 1.0.109",
+ "cfg-if",
]
[[package]]
@@ -1047,9 +861,9 @@ dependencies = [
[[package]]
name = "env_logger"
-version = "0.10.0"
+version = "0.10.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "85cdab6a89accf66733ad5a1693a4dcced6aeff64602b634530dd73c1f3ee9f0"
+checksum = "4cd405aab171cb85d6735e5c8d9db038c17d3ca007a4d2c25f337935c3d90580"
dependencies = [
"humantime",
"is-terminal",
@@ -1058,31 +872,31 @@ dependencies = [
"termcolor",
]
+[[package]]
+name = "equivalent"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5443807d6dff69373d433ab9ef5378ad8df50ca6298caf15de6e52e24aaf54d5"
+
[[package]]
name = "erased-serde"
version = "0.3.31"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "6c138974f9d5e7fe373eb04df7cae98833802ae4b11c24ac7039a21d5af4b26c"
dependencies = [
- "serde 1.0.189",
+ "serde 1.0.196",
]
[[package]]
name = "errno"
-version = "0.3.5"
+version = "0.3.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ac3e13f66a2f95e32a39eaa81f6b95d42878ca0e1db0c7543723dfe12557e860"
+checksum = "a258e46cdc063eb8519c00b9fc845fc47bcfca4130e2f08e88665ceda8474245"
dependencies = [
"libc",
- "windows-sys",
+ "windows-sys 0.52.0",
]
-[[package]]
-name = "fastrand"
-version = "2.0.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "25cbce373ec4653f1a01a31e8a5e5ec0c622dc27ff9c4e6606eefef5cbbed4a5"
-
[[package]]
name = "findshlibs"
version = "0.10.2"
@@ -1092,7 +906,7 @@ dependencies = [
"cc",
"lazy_static",
"libc",
- "winapi 0.3.9",
+ "winapi",
]
[[package]]
@@ -1111,46 +925,15 @@ version = "1.0.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "3f9eec918d3f24069decb9af1554cad7c880e2da24a9afd88aca000531ab82c1"
-[[package]]
-name = "foreign-types"
-version = "0.3.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f6f339eb8adc052cd2ca78910fda869aefa38d22d5cb648e6485e4d3fc06f3b1"
-dependencies = [
- "foreign-types-shared",
-]
-
-[[package]]
-name = "foreign-types-shared"
-version = "0.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "00b0228411908ca8685dba7fc2cdd70ec9990a6e753e89b6ac91a84c40fbaf4b"
-
[[package]]
name = "form_urlencoded"
-version = "1.2.0"
+version = "1.2.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a62bc1cf6f830c2ec14a513a9fb124d0a213a629668a4186f329db21fe045652"
+checksum = "e13624c2627564efccf4934284bdd98cbaa14e79b0b5a141218e507b3a823456"
dependencies = [
- "percent-encoding 2.3.0",
+ "percent-encoding 2.3.1",
]
-[[package]]
-name = "fuchsia-zircon"
-version = "0.3.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2e9763c69ebaae630ba35f74888db465e49e259ba1bc0eda7d06f4a067615d82"
-dependencies = [
- "bitflags 1.3.2",
- "fuchsia-zircon-sys",
-]
-
-[[package]]
-name = "fuchsia-zircon-sys"
-version = "0.3.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3dcaa9ae7725d12cdb85b3ad99a434db70b468c09ded17e012d86b5c1010f7a7"
-
[[package]]
name = "futures"
version = "0.1.31"
@@ -1159,9 +942,9 @@ checksum = "3a471a38ef8ed83cd6e40aa59c1ffe17db6855c18e3604d9c4ed8c08ebc28678"
[[package]]
name = "futures"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "23342abe12aba583913b2e62f22225ff9c950774065e4bfb61a19cd9770fec40"
+checksum = "645c6916888f6cb6350d2550b80fb63e734897a8498abe35cfb732b6487804b0"
dependencies = [
"futures-channel",
"futures-core",
@@ -1174,9 +957,9 @@ dependencies = [
[[package]]
name = "futures-channel"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "955518d47e09b25bbebc7a18df10b81f0c766eaf4c4f1cccef2fca5f2a4fb5f2"
+checksum = "eac8f7d7865dcb88bd4373ab671c8cf4508703796caa2b1985a9ca867b3fcb78"
dependencies = [
"futures-core",
"futures-sink",
@@ -1184,15 +967,15 @@ dependencies = [
[[package]]
name = "futures-core"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4bca583b7e26f571124fe5b7561d49cb2868d79116cfa0eefce955557c6fee8c"
+checksum = "dfc6580bb841c5a68e9ef15c77ccc837b40a7504914d52e47b8b0e9bbda25a1d"
[[package]]
name = "futures-executor"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ccecee823288125bd88b4d7f565c9e58e41858e47ab72e8ea2d64e93624386e0"
+checksum = "a576fc72ae164fca6b9db127eaa9a9dda0d61316034f33a0a0d4eda41f02b01d"
dependencies = [
"futures-core",
"futures-task",
@@ -1201,38 +984,38 @@ dependencies = [
[[package]]
name = "futures-io"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4fff74096e71ed47f8e023204cfd0aa1289cd54ae5430a9523be060cdb849964"
+checksum = "a44623e20b9681a318efdd71c299b6b222ed6f231972bfe2f224ebad6311f0c1"
[[package]]
name = "futures-macro"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "89ca545a94061b6365f2c7355b4b32bd20df3ff95f02da9329b34ccc3bd6ee72"
+checksum = "87750cf4b7a4c0625b1529e4c543c2182106e4dedc60a2a6455e00d212c489ac"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.38",
+ "syn 2.0.48",
]
[[package]]
name = "futures-sink"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f43be4fe21a13b9781a69afa4985b0f6ee0e1afab2c6f454a8cf30e2b2237b6e"
+checksum = "9fb8e00e87438d937621c1c6269e53f536c14d3fbd6a042bb24879e57d474fb5"
[[package]]
name = "futures-task"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "76d3d132be6c0e6aa1534069c705a74a5997a356c0dc2f86a47765e5617c5b65"
+checksum = "38d84fa142264698cdce1a9f9172cf383a0c82de1bddcf3092901442c4097004"
[[package]]
name = "futures-util"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "26b01e40b772d54cf6c6d721c1d1abd0647a0106a12ecaa1c186273392a69533"
+checksum = "3d6401deb83407ab3da39eba7e33987a73c3df0c82b4bb5813ee871c19c41d48"
dependencies = [
"futures 0.1.31",
"futures-channel",
@@ -1242,20 +1025,11 @@ dependencies = [
"futures-sink",
"futures-task",
"memchr",
- "pin-project-lite 0.2.13",
+ "pin-project-lite",
"pin-utils",
"slab",
]
-[[package]]
-name = "fxhash"
-version = "0.2.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c31b6d751ae2c7f11320402d34e41349dd1016f8d5d45e48c4312bc8625af50c"
-dependencies = [
- "byteorder",
-]
-
[[package]]
name = "generic-array"
version = "0.14.7"
@@ -1268,31 +1042,22 @@ dependencies = [
[[package]]
name = "getrandom"
-version = "0.1.16"
+version = "0.2.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8fc3cb4d91f53b50155bdcfd23f6a4c39ae1969c2ae85982b135750cccaf5fce"
+checksum = "190092ea657667030ac6a35e305e62fc4dd69fd98ac98631e5d3a2b1575a12b5"
dependencies = [
- "cfg-if 1.0.0",
+ "cfg-if",
+ "js-sys",
"libc",
- "wasi 0.9.0+wasi-snapshot-preview1",
-]
-
-[[package]]
-name = "getrandom"
-version = "0.2.10"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "be4136b2a15dd319360be1c07d9933517ccf0be8f16bf62a3bee4f0d618df427"
-dependencies = [
- "cfg-if 1.0.0",
- "libc",
- "wasi 0.11.0+wasi-snapshot-preview1",
+ "wasi",
+ "wasm-bindgen",
]
[[package]]
name = "gimli"
-version = "0.28.0"
+version = "0.28.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6fb8d784f27acf97159b40fc4db5ecd8aa23b9ad5ef69cdd136d3bc80665f0c0"
+checksum = "4271d37baee1b8c7e4b708028c57d816cf9d2434acb33a549475f78c181f6253"
[[package]]
name = "glob"
@@ -1302,35 +1067,35 @@ checksum = "d2fabcfbdc87f4758337ca535fb41a6d701b65693ce38287d856d1674551ec9b"
[[package]]
name = "google-cloud-rust-raw"
-version = "0.15.0"
+version = "0.16.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fbabcfb0bcc5e3222191c3f0fba962b0cbf4242d2effe2a865090eee492ffc9c"
+checksum = "864a48916c62ddbd1dc289be6d041d8ca61160c9c6169298e5cf3da11baf8370"
dependencies = [
- "futures 0.3.28",
+ "futures 0.3.30",
"grpcio",
"protobuf",
]
[[package]]
name = "grpcio"
-version = "0.12.1"
+version = "0.13.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "609832ca501baeb662dc81932fda9ed83f5d058f4b899a807ba222ce696f430a"
+checksum = "9e398946b5721d72478eb647260a1b7c1d5f70f0de35399846c3913bd369a33e"
dependencies = [
"futures-executor",
"futures-util",
"grpcio-sys",
"libc",
"log",
- "parking_lot 0.12.1",
+ "parking_lot",
"protobuf",
]
[[package]]
name = "grpcio-sys"
-version = "0.12.1+1.46.5-patched"
+version = "0.13.0+1.56.2-patched"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cf625d1803b6f44203f0428ddace847fb4994def5c803fc8a7a2f18fb3daec62"
+checksum = "b3dae9132320ae1b03ea55b5ddc88ca72a31fb85fa631a241a40157f5feffe43"
dependencies = [
"bindgen",
"boringssl-src",
@@ -1344,11 +1109,11 @@ dependencies = [
[[package]]
name = "h2"
-version = "0.2.7"
+version = "0.3.24"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5e4728fd124914ad25e99e3d15a9361a879f6620f63cb56bbb08f95abb97a535"
+checksum = "bb2c4422095b67ee78da96fbb51a4cc413b3b25883c7717ff7ca1ab31022c9c9"
dependencies = [
- "bytes 0.5.6",
+ "bytes",
"fnv",
"futures-core",
"futures-sink",
@@ -1356,50 +1121,30 @@ dependencies = [
"http",
"indexmap",
"slab",
- "tokio 0.2.25",
- "tokio-util 0.3.1",
- "tracing",
- "tracing-futures",
-]
-
-[[package]]
-name = "h2"
-version = "0.3.21"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "91fc23aa11be92976ef4729127f1a74adf36d8436f7816b185d18df956790833"
-dependencies = [
- "bytes 1.5.0",
- "fnv",
- "futures-core",
- "futures-sink",
- "futures-util",
- "http",
- "indexmap",
- "slab",
- "tokio 1.33.0",
- "tokio-util 0.7.9",
+ "tokio",
+ "tokio-util",
"tracing",
]
[[package]]
name = "hashbrown"
-version = "0.12.3"
+version = "0.14.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8a9ee70c43aaf417c914396645a0fa852624801b24ebb7ae78fe8272889ac888"
+checksum = "290f1a1d9242c78d09ce40a5e87e7554ee637af1351968159f4952f028f75604"
[[package]]
name = "hawk"
-version = "3.2.1"
+version = "5.0.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7539c8d8699bae53238aacd3f93cfb0bcaef77b85dc963902b9367c5d7a84c48"
+checksum = "2ba86b7cbed4f24e509c720688eaf4963eac20d9341689bf69bcf5ee5e0f1cd2"
dependencies = [
"anyhow",
- "base64 0.12.3",
+ "base64",
"log",
"once_cell",
"ring",
"thiserror",
- "url 2.4.1",
+ "url 2.5.0",
]
[[package]]
@@ -1419,9 +1164,9 @@ dependencies = [
[[package]]
name = "hermit-abi"
-version = "0.3.3"
+version = "0.3.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d77f7ec81a6d05a3abb01ab6eb7590f6083d08449fe5a1c8b1e620283546ccb7"
+checksum = "bd5256b483761cd23699d0da46cc6fd2ee3be420bbe6d020ae4a091e70b7e9fd"
[[package]]
name = "hex"
@@ -1431,9 +1176,9 @@ checksum = "7f24254aa9a54b5c858eaee2f5bccdb46aaf0e486a595ed5fd8f86ba55232a70"
[[package]]
name = "hkdf"
-version = "0.12.3"
+version = "0.12.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "791a029f6b9fc27657f6f188ec6e5e43f6911f6f878e0dc5501396e09809d437"
+checksum = "7b5f8eb2ad728638ea2c7d47a21db23b7b58a72ed6a38256b8a1849f15fbbdf7"
dependencies = [
"hmac",
]
@@ -1444,16 +1189,16 @@ version = "0.12.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "6c49c37c09c17a53d937dfbb742eb3a961d65a994e6bcdcf37e7399d0cc8ab5e"
dependencies = [
- "digest 0.10.7",
+ "digest",
]
[[package]]
name = "home"
-version = "0.5.5"
+version = "0.5.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5444c27eef6923071f7ebcc33e3444508466a76f7a2b93da00ed6e19f30c1ddb"
+checksum = "e3d1354bf6b7235cb4a0576c2619fd4ed18183f689b12b006a0ee7329eeff9a5"
dependencies = [
- "windows-sys",
+ "windows-sys 0.52.0",
]
[[package]]
@@ -1464,39 +1209,29 @@ checksum = "3c731c3e10504cc8ed35cfe2f1db4c9274c3d35fa486e3b31df46f068ef3e867"
dependencies = [
"libc",
"match_cfg",
- "winapi 0.3.9",
+ "winapi",
]
[[package]]
name = "http"
-version = "0.2.9"
+version = "0.2.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bd6effc99afb63425aff9b05836f029929e345a6148a14b7ecd5ab67af944482"
+checksum = "8947b1a6fad4393052c7ba1f4cd97bed3e953a95c79c92ad9b051a04611d9fbb"
dependencies = [
- "bytes 1.5.0",
+ "bytes",
"fnv",
- "itoa 1.0.9",
+ "itoa",
]
[[package]]
name = "http-body"
-version = "0.3.1"
+version = "0.4.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "13d5ff830006f7646652e057693569bfe0d51760c0085a071769d142a205111b"
+checksum = "7ceab25649e9960c0311ea418d17bee82c0dcec1bd053b5f9a66e265a693bed2"
dependencies = [
- "bytes 0.5.6",
+ "bytes",
"http",
-]
-
-[[package]]
-name = "http-body"
-version = "0.4.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d5f38f16d184e36f2408a55281cd658ecbd3ca05cce6d6510a176eca393e26d1"
-dependencies = [
- "bytes 1.5.0",
- "http",
- "pin-project-lite 0.2.13",
+ "pin-project-lite",
]
[[package]]
@@ -1505,12 +1240,6 @@ version = "1.8.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "d897f394bad6a705d5f4104762e116a75639e470d80901eed05a860a95cb1904"
-[[package]]
-name = "httpdate"
-version = "0.3.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "494b4d60369511e7dea41cf646832512a94e542f68bb9c49e54518e0f468eb47"
-
[[package]]
name = "httpdate"
version = "1.0.3"
@@ -1525,47 +1254,23 @@ checksum = "9a3a5bfb195931eeb336b2a7b4d761daec841b97f947d34394601737a7bba5e4"
[[package]]
name = "hyper"
-version = "0.13.10"
+version = "0.14.28"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8a6f157065790a3ed2f88679250419b5cdd96e714a0d65f7797fd337186e96bb"
+checksum = "bf96e135eb83a2a8ddf766e426a841d8ddd7449d5f00d34ea02b41d2f19eef80"
dependencies = [
- "bytes 0.5.6",
+ "bytes",
"futures-channel",
"futures-core",
"futures-util",
- "h2 0.2.7",
+ "h2",
"http",
- "http-body 0.3.1",
+ "http-body",
"httparse",
- "httpdate 0.3.2",
- "itoa 0.4.8",
- "pin-project 1.1.3",
- "socket2 0.3.19",
- "tokio 0.2.25",
- "tower-service",
- "tracing",
- "want",
-]
-
-[[package]]
-name = "hyper"
-version = "0.14.27"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ffb1cfd654a8219eaef89881fdb3bb3b1cdc5fa75ded05d6933b2b382e395468"
-dependencies = [
- "bytes 1.5.0",
- "futures-channel",
- "futures-core",
- "futures-util",
- "h2 0.3.21",
- "http",
- "http-body 0.4.5",
- "httparse",
- "httpdate 1.0.3",
- "itoa 1.0.9",
- "pin-project-lite 0.2.13",
- "socket2 0.4.9",
- "tokio 1.33.0",
+ "httpdate",
+ "itoa",
+ "pin-project-lite",
+ "socket2",
+ "tokio",
"tower-service",
"tracing",
"want",
@@ -1573,51 +1278,23 @@ dependencies = [
[[package]]
name = "hyper-rustls"
-version = "0.21.0"
+version = "0.24.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "37743cc83e8ee85eacfce90f2f4102030d9ff0a95244098d781e9bee4a90abb6"
+checksum = "ec3efd23720e2049821a693cbc7e65ea87c72f1c58ff2f9522ff332b1491e590"
dependencies = [
- "bytes 0.5.6",
"futures-util",
- "hyper 0.13.10",
- "log",
+ "http",
+ "hyper",
"rustls",
- "tokio 0.2.25",
+ "tokio",
"tokio-rustls",
- "webpki",
-]
-
-[[package]]
-name = "hyper-tls"
-version = "0.4.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d979acc56dcb5b8dddba3917601745e877576475aa046df3226eabdecef78eed"
-dependencies = [
- "bytes 0.5.6",
- "hyper 0.13.10",
- "native-tls",
- "tokio 0.2.25",
- "tokio-tls",
-]
-
-[[package]]
-name = "hyper-tls"
-version = "0.5.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d6183ddfa99b85da61a140bea0efc93fdf56ceaa041b37d553518030827f9905"
-dependencies = [
- "bytes 1.5.0",
- "hyper 0.14.27",
- "native-tls",
- "tokio 1.33.0",
- "tokio-native-tls",
]
[[package]]
name = "iana-time-zone"
-version = "0.1.58"
+version = "0.1.60"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8326b86b6cff230b97d0d312a6c40a60726df3332e721f72a1b035f451663b20"
+checksum = "e7ffbb5a1b541ea2561f8c41c087286cc091e21e556a4f09a8f6cbf17b69b141"
dependencies = [
"android_system_properties",
"core-foundation-sys",
@@ -1649,20 +1326,19 @@ dependencies = [
[[package]]
name = "idna"
-version = "0.2.3"
+version = "0.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "418a0a6fab821475f634efe3ccc45c013f742efe03d853e8d3355d5cb850ecf8"
+checksum = "7d20d6b07bfbc108882d88ed8e37d39636dcc260e15e30c45e6ba089610b917c"
dependencies = [
- "matches",
"unicode-bidi",
"unicode-normalization",
]
[[package]]
name = "idna"
-version = "0.4.0"
+version = "0.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7d20d6b07bfbc108882d88ed8e37d39636dcc260e15e30c45e6ba089610b917c"
+checksum = "634d9b1461af396cad843f47fdba5597a4f9e6ddd4bfb6ff5d85028c25cb12f6"
dependencies = [
"unicode-bidi",
"unicode-normalization",
@@ -1676,120 +1352,79 @@ checksum = "cb56e1aa765b4b4f3aadfab769793b7087bb03a4ea4920644a6d238e2df5b9ed"
[[package]]
name = "indexmap"
-version = "1.9.3"
+version = "2.2.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bd070e393353796e801d209ad339e89596eb4c8d430d18ede6a1cced8fafbd99"
+checksum = "233cf39063f058ea2caae4091bf4a3ef70a653afbc026f5c4a4135d114e3c177"
dependencies = [
- "autocfg",
+ "equivalent",
"hashbrown",
]
[[package]]
name = "indoc"
-version = "0.3.6"
+version = "2.0.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "47741a8bc60fb26eb8d6e0238bbb26d8575ff623fdc97b1a2c00c050b9684ed8"
+checksum = "1e186cfbae8084e513daff4240b4797e342f988cecda4fb6c939150f96315fd8"
+
+[[package]]
+name = "ipnet"
+version = "2.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f518f335dce6725a761382244631d86cf0ccb2863413590b31338feb467f9c3"
+
+[[package]]
+name = "is-terminal"
+version = "0.4.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f23ff5ef2b80d608d61efee834934d862cd92461afc0560dedf493e4c033738b"
dependencies = [
- "indoc-impl",
- "proc-macro-hack",
+ "hermit-abi 0.3.6",
+ "libc",
+ "windows-sys 0.52.0",
]
[[package]]
-name = "indoc-impl"
-version = "0.3.6"
+name = "itoa"
+version = "1.0.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ce046d161f000fffde5f432a0d034d0341dc152643b2598ed5bfce44c4f3a8f0"
-dependencies = [
- "proc-macro-hack",
- "proc-macro2",
- "quote",
- "syn 1.0.109",
- "unindent",
-]
+checksum = "b1a46d1a171d865aa5f83f92695765caa047a9b4cbae2cbf37dbd613a793fd4c"
[[package]]
-name = "instant"
-version = "0.1.12"
+name = "jobserver"
+version = "0.1.28"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7a5bbe824c507c5da5956355e86a746d82e0e1464f65d862cc5e71da70e94b2c"
-dependencies = [
- "cfg-if 1.0.0",
-]
-
-[[package]]
-name = "iovec"
-version = "0.1.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b2b3ea6ff95e175473f8ffe6a7eb7c00d054240321b84c57051175fe3c1e075e"
+checksum = "ab46a6e9526ddef3ae7f787c06f0f2600639ba80ea3eade3d8e670a2230f51d6"
dependencies = [
"libc",
]
-[[package]]
-name = "ipconfig"
-version = "0.2.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f7e2f18aece9709094573a9f24f483c4f65caa4298e2f7ae1b71cc65d853fad7"
-dependencies = [
- "socket2 0.3.19",
- "widestring",
- "winapi 0.3.9",
- "winreg 0.6.2",
-]
-
-[[package]]
-name = "ipnet"
-version = "2.8.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "28b29a3cd74f0f4598934efe3aeba42bae0eb4680554128851ebbecb02af14e6"
-
-[[package]]
-name = "is-terminal"
-version = "0.4.9"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cb0889898416213fab133e1d33a0e5858a48177452750691bde3666d0fdbaf8b"
-dependencies = [
- "hermit-abi 0.3.3",
- "rustix",
- "windows-sys",
-]
-
-[[package]]
-name = "itoa"
-version = "0.4.8"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b71991ff56294aa922b450139ee08b3bfc70982c6b2c7562771375cf73542dd4"
-
-[[package]]
-name = "itoa"
-version = "1.0.9"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "af150ab688ff2122fcef229be89cb50dd66af9e01a4ff320cc137eecc9bacc38"
-
[[package]]
name = "js-sys"
-version = "0.3.64"
+version = "0.3.68"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c5f195fe497f702db0f318b07fdd68edb16955aed830df8363d837542f8f935a"
+checksum = "406cda4b368d531c842222cf9d2600a9a4acce8d29423695379c6868a143a9ee"
dependencies = [
"wasm-bindgen",
]
[[package]]
-name = "kernel32-sys"
-version = "0.2.2"
+name = "jsonwebtoken"
+version = "9.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7507624b29483431c0ba2d82aece8ca6cdba9382bff4ddd0f7490560c056098d"
+checksum = "5c7ea04a7c5c055c175f189b6dc6ba036fd62306b58c66c9f6389036c503a3f4"
dependencies = [
- "winapi 0.2.8",
- "winapi-build",
+ "base64",
+ "js-sys",
+ "ring",
+ "serde 1.0.196",
+ "serde_json",
]
[[package]]
name = "language-tags"
-version = "0.2.2"
+version = "0.3.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a91d884b6667cd606bb5a69aa0c99ba811a115fc68915e7056ec08a46e93199a"
+checksum = "d4345964bb142484797b161f473a503a434de77149dd8c7427788c6e13379388"
[[package]]
name = "lazy_static"
@@ -1811,32 +1446,43 @@ checksum = "6607c62aa161d23d17a9072cc5da0be67cdfc89d3afb1e8d9c842bebc2525ffe"
dependencies = [
"arrayvec",
"bitflags 1.3.2",
- "cfg-if 1.0.0",
+ "cfg-if",
"ryu",
"static_assertions",
]
[[package]]
name = "libc"
-version = "0.2.149"
+version = "0.2.153"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a08173bc88b7955d1b3145aa561539096c421ac8debde8cbc3612ec635fee29b"
+checksum = "9c198f91728a82281a64e1f4f9eeb25d82cb32a5de251c6bd1b5154d63a8e7bd"
[[package]]
name = "libloading"
-version = "0.7.4"
+version = "0.8.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b67380fd3b2fbe7527a606e18729d21c6f3951633d0500574c4dc22d2d638b9f"
+checksum = "c571b676ddfc9a8c12f1f3d3085a7b163966a8fd8098a90640953ce5f6170161"
dependencies = [
- "cfg-if 1.0.0",
- "winapi 0.3.9",
+ "cfg-if",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "libredox"
+version = "0.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "85c833ca1e66078851dba29046874e38f08b2c883700aa29a03ddd3b23814ee8"
+dependencies = [
+ "bitflags 2.4.2",
+ "libc",
+ "redox_syscall",
]
[[package]]
name = "libz-sys"
-version = "1.1.12"
+version = "1.1.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d97137b25e321a73eef1418d1d5d2eda4d77e12813f8e6dead84bc52c5870a7b"
+checksum = "037731f5d3aaa87a5675e895b63ddff1a87624bc29f77004ea829809654e48f6"
dependencies = [
"cc",
"libc",
@@ -1852,9 +1498,26 @@ checksum = "0717cef1bc8b636c6e1c1bbdefc09e6322da8a9321966e8928ef80d20f7f770f"
[[package]]
name = "linux-raw-sys"
-version = "0.4.10"
+version = "0.4.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "da2479e8c062e40bf0066ffa0bc823de0a9368974af99c9f6df941d2c231e03f"
+checksum = "01cda141df6706de531b6c46c3a33ecca755538219bd484262fa09410c13539c"
+
+[[package]]
+name = "local-channel"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b6cbc85e69b8df4b8bb8b89ec634e7189099cea8927a276b7384ce5488e53ec8"
+dependencies = [
+ "futures-core",
+ "futures-sink",
+ "local-waker",
+]
+
+[[package]]
+name = "local-waker"
+version = "0.1.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4d873d7c67ce09b42110d801813efbc9364414e356be9935700d368351657487"
[[package]]
name = "lock_api"
@@ -1872,15 +1535,6 @@ version = "0.4.20"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "b5e6163cb8c49088c2c36f57875e58ccd8c87c7427f7fbd50ea6710b2f3f2e8f"
-[[package]]
-name = "lru-cache"
-version = "0.1.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "31e24f1ad8321ca0e8a1e0ac13f23cb668e6f5466c2c57319f6a5cf1cc8e3b1c"
-dependencies = [
- "linked-hash-map",
-]
-
[[package]]
name = "match_cfg"
version = "0.1.0"
@@ -1894,16 +1548,19 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "2532096657941c2fea9c289d370a250971c689d4f143798ff67113ec042024a5"
[[package]]
-name = "maybe-uninit"
-version = "2.0.0"
+name = "memchr"
+version = "2.7.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "60302e4db3a61da70c0cb7991976248362f30319e88850c487b9b95bbf059e00"
+checksum = "523dc4f511e55ab87b694dc30d0f820d60906ef06413f93d4d7a1385599cc149"
[[package]]
-name = "memchr"
-version = "2.6.4"
+name = "memoffset"
+version = "0.9.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f665ee40bc4a3c5590afb1e9677db74a508659dfd71e126420da8274909a0167"
+checksum = "5a634b1c61a95585bd15607c6ab0c4e5b226e695ff2800ba0cdccddf208c406c"
+dependencies = [
+ "autocfg",
+]
[[package]]
name = "migrations_internals"
@@ -1932,16 +1589,6 @@ version = "0.3.17"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "6877bb514081ee2a7ff5ef9de3281f14a4dd4bceac4c09388074a6b5df8a139a"
-[[package]]
-name = "mime_guess"
-version = "2.0.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4192263c238a5f0d0c6bfd21f336a313a4ce1c450542449ca191bb657b4642ef"
-dependencies = [
- "mime",
- "unicase",
-]
-
[[package]]
name = "minimal-lexical"
version = "0.2.1"
@@ -1950,64 +1597,23 @@ checksum = "68354c5c6bd36d73ff3feceb05efa59b6acb7626617f4962be322a825e61f79a"
[[package]]
name = "miniz_oxide"
-version = "0.7.1"
+version = "0.7.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e7810e0be55b428ada41041c41f32c9f1a42817901b4ccf45fa3d4b6561e74c7"
+checksum = "9d811f3e15f28568be3407c8e7fdb6514c1cda3cb30683f15b6a1a1dc4ea14a7"
dependencies = [
"adler",
]
[[package]]
name = "mio"
-version = "0.6.23"
+version = "0.8.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4afd66f5b91bf2a3bc13fad0e21caedac168ca4c707504e75585648ae80e4cc4"
+checksum = "8f3d0b296e374a4e6f3c7b0a1f5a51d748a0d34c85e7dc48fc3fa9a87657fe09"
dependencies = [
- "cfg-if 0.1.10",
- "fuchsia-zircon",
- "fuchsia-zircon-sys",
- "iovec",
- "kernel32-sys",
"libc",
"log",
- "miow",
- "net2",
- "slab",
- "winapi 0.2.8",
-]
-
-[[package]]
-name = "mio"
-version = "0.8.8"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "927a765cd3fc26206e66b296465fa9d3e5ab003e651c1b3c060e7956d96b19d2"
-dependencies = [
- "libc",
- "wasi 0.11.0+wasi-snapshot-preview1",
- "windows-sys",
-]
-
-[[package]]
-name = "mio-uds"
-version = "0.6.8"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "afcb699eb26d4332647cc848492bbc15eafb26f08d0304550d5aa1f612e066f0"
-dependencies = [
- "iovec",
- "libc",
- "mio 0.6.23",
-]
-
-[[package]]
-name = "miow"
-version = "0.2.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ebd808424166322d4a38da87083bfddd3ac4c131334ed55856112eb06d46944d"
-dependencies = [
- "kernel32-sys",
- "net2",
- "winapi 0.2.8",
- "ws2_32-sys",
+ "wasi",
+ "windows-sys 0.48.0",
]
[[package]]
@@ -2022,7 +1628,7 @@ dependencies = [
"httparse",
"lazy_static",
"log",
- "rand 0.8.5",
+ "rand",
"regex",
"serde_json",
"serde_urlencoded",
@@ -2038,35 +1644,6 @@ dependencies = [
"vcpkg",
]
-[[package]]
-name = "native-tls"
-version = "0.2.11"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "07226173c32f2926027b63cce4bcd8076c3552846cbe7925f3aaffeac0a3b92e"
-dependencies = [
- "lazy_static",
- "libc",
- "log",
- "openssl",
- "openssl-probe",
- "openssl-sys",
- "schannel",
- "security-framework",
- "security-framework-sys",
- "tempfile",
-]
-
-[[package]]
-name = "net2"
-version = "0.2.39"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b13b648036a2339d06de780866fbdfda0dde886de7b3af2ddeba8b14f4ee34ac"
-dependencies = [
- "cfg-if 0.1.10",
- "libc",
- "winapi 0.3.9",
-]
-
[[package]]
name = "nom"
version = "5.1.3"
@@ -2088,20 +1665,26 @@ dependencies = [
"minimal-lexical",
]
+[[package]]
+name = "num-conv"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "51d515d32fb182ee37cda2ccdcb92950d6a3c2893aa280e540671c2cd0f3b1d9"
+
[[package]]
name = "num-traits"
version = "0.1.43"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "92e5113e9fd4cc14ded8e499429f396a20f98c772a47cc8622a736e1ec843c31"
dependencies = [
- "num-traits 0.2.17",
+ "num-traits 0.2.18",
]
[[package]]
name = "num-traits"
-version = "0.2.17"
+version = "0.2.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "39e3200413f237f41ab11ad6d161bc7239c84dcb631773ccd7de3dfe4b5c267c"
+checksum = "da0df0e5185db44f69b44f26786fe401b6c293d1907744beaa7fa62b2e5a517a"
dependencies = [
"autocfg",
]
@@ -2112,7 +1695,7 @@ version = "1.16.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "4161fcb6d602d4d2081af7c3a45852d875a03dd337a6bfdd6e06407b61342a43"
dependencies = [
- "hermit-abi 0.3.3",
+ "hermit-abi 0.3.6",
"libc",
]
@@ -2127,50 +1710,18 @@ dependencies = [
[[package]]
name = "object"
-version = "0.32.1"
+version = "0.32.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9cf5f9dd3933bd50a9e1f149ec995f39ae2c496d31fd772c1fd45ebc27e902b0"
+checksum = "a6a622008b6e321afc04970976f62ee297fdbaa6f95318ca343e3eebb9648441"
dependencies = [
"memchr",
]
[[package]]
name = "once_cell"
-version = "1.18.0"
+version = "1.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dd8b5dd2ae5ed71462c540258bedcb51965123ad7e7ccf4b9a8cafaa4a63576d"
-
-[[package]]
-name = "opaque-debug"
-version = "0.3.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "624a8340c38c1b80fd549087862da4ba43e08858af025b236e509b6649fc13d5"
-
-[[package]]
-name = "openssl"
-version = "0.10.57"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bac25ee399abb46215765b1cb35bc0212377e58a061560d8b29b024fd0430e7c"
-dependencies = [
- "bitflags 2.4.1",
- "cfg-if 1.0.0",
- "foreign-types",
- "libc",
- "once_cell",
- "openssl-macros",
- "openssl-sys",
-]
-
-[[package]]
-name = "openssl-macros"
-version = "0.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a948666b637a0f465e8564c73e89d4dde00d72d4d473cc972f390fc3dcee7d9c"
-dependencies = [
- "proc-macro2",
- "quote",
- "syn 2.0.38",
-]
+checksum = "3fdb12b2476b595f9358c5161aa467c2438859caa136dec86c26fdd2efe17b92"
[[package]]
name = "openssl-probe"
@@ -2180,9 +1731,9 @@ checksum = "ff011a302c396a5197692431fc1948019154afc178baf7d8e37367442a4601cf"
[[package]]
name = "openssl-sys"
-version = "0.9.93"
+version = "0.9.99"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "db4d56a4c0478783083cfafcc42493dd4a981d41669da64b4572a2a089b51b1d"
+checksum = "22e1bf214306098e4832460f797824c05d25aacdf896f64a985fb0fd992454ae"
dependencies = [
"cc",
"libc",
@@ -2197,19 +1748,8 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "006e42d5b888366f1880eda20371fedde764ed2213dc8496f49622fa0c99cd5e"
dependencies = [
"log",
- "serde 1.0.189",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "parking_lot"
-version = "0.11.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7d17b78036a60663b797adeaee46f5c9dfebb86948d1255007a1d6be0271ff99"
-dependencies = [
- "instant",
- "lock_api",
- "parking_lot_core 0.8.6",
+ "serde 1.0.196",
+ "winapi",
]
[[package]]
@@ -2219,21 +1759,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "3742b2c103b9f06bc9fff0a37ff4912935851bee6d36f3c02bcc755bcfec228f"
dependencies = [
"lock_api",
- "parking_lot_core 0.9.9",
-]
-
-[[package]]
-name = "parking_lot_core"
-version = "0.8.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "60a2cfe6f0ad2bfc16aefa463b497d5c7a5ecd44a23efa72aa342d90177356dc"
-dependencies = [
- "cfg-if 1.0.0",
- "instant",
- "libc",
- "redox_syscall 0.2.16",
- "smallvec",
- "winapi 0.3.9",
+ "parking_lot_core",
]
[[package]]
@@ -2242,31 +1768,18 @@ version = "0.9.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "4c42a9226546d68acdd9c0a280d17ce19bfe27a46bf68784e4066115788d008e"
dependencies = [
- "cfg-if 1.0.0",
+ "cfg-if",
"libc",
- "redox_syscall 0.4.1",
+ "redox_syscall",
"smallvec",
- "windows-targets",
+ "windows-targets 0.48.5",
]
[[package]]
name = "paste"
-version = "0.1.18"
+version = "1.0.14"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "45ca20c77d80be666aef2b45486da86238fabe33e38306bd3118fe4af33fa880"
-dependencies = [
- "paste-impl",
- "proc-macro-hack",
-]
-
-[[package]]
-name = "paste-impl"
-version = "0.1.18"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d95a7db200b97ef370c8e6de0088252f7e0dfff7d047a28528e47456c0fc98b6"
-dependencies = [
- "proc-macro-hack",
-]
+checksum = "de3145af08024dea9fa9914f381a17b8fc6034dfb00f3a84013f7ff43f29ed4c"
[[package]]
name = "peeking_take_while"
@@ -2282,55 +1795,9 @@ checksum = "31010dd2e1ac33d5b46a5b413495239882813e0369f8ed8a5e266f173602f831"
[[package]]
name = "percent-encoding"
-version = "2.3.0"
+version = "2.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9b2a4787296e9989611394c33f193f676704af1686e70b8f8033ab5ba9a35a94"
-
-[[package]]
-name = "pin-project"
-version = "0.4.30"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3ef0f924a5ee7ea9cbcea77529dba45f8a9ba9f622419fe3386ca581a3ae9d5a"
-dependencies = [
- "pin-project-internal 0.4.30",
-]
-
-[[package]]
-name = "pin-project"
-version = "1.1.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fda4ed1c6c173e3fc7a83629421152e01d7b1f9b7f65fb301e490e8cfc656422"
-dependencies = [
- "pin-project-internal 1.1.3",
-]
-
-[[package]]
-name = "pin-project-internal"
-version = "0.4.30"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "851c8d0ce9bebe43790dedfc86614c23494ac9f423dd618d3a61fc693eafe61e"
-dependencies = [
- "proc-macro2",
- "quote",
- "syn 1.0.109",
-]
-
-[[package]]
-name = "pin-project-internal"
-version = "1.1.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4359fd9c9171ec6e8c62926d6faaf553a8dc3f64e1507e76da7911b4f6a04405"
-dependencies = [
- "proc-macro2",
- "quote",
- "syn 2.0.38",
-]
-
-[[package]]
-name = "pin-project-lite"
-version = "0.1.12"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "257b64915a082f7811703966789728173279bdebb956b143dbcd23f6f970a777"
+checksum = "e3148f5046208a5d56bcfc03053e3ca6334e51da8dfb19b6cdc8b306fae3283e"
[[package]]
name = "pin-project-lite"
@@ -2346,9 +1813,9 @@ checksum = "8b870d8c151b6f2fb93e84a13146138f05d02ed11c7e7c54f8826aaaf7c9f184"
[[package]]
name = "pkg-config"
-version = "0.3.27"
+version = "0.3.29"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "26072860ba924cbfa98ea39c8c19b4dd6a4a25423dbdf219c1eca91aa0cf6964"
+checksum = "2900ede94e305130c13ddd391e0ab7cbaeb783945ae07a279c268cb05109c6cb"
[[package]]
name = "powerfmt"
@@ -2386,17 +1853,11 @@ dependencies = [
"version_check",
]
-[[package]]
-name = "proc-macro-hack"
-version = "0.5.20+deprecated"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dc375e1527247fe1a97d8b7156678dfe7c1af2fc075c9a4db3690ecd2a148068"
-
[[package]]
name = "proc-macro2"
-version = "1.0.69"
+version = "1.0.78"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "134c189feb4956b20f6f547d2cf727d4c0fe06722b20a0eec87ed445a97f92da"
+checksum = "e2422ad645d89c99f8f3e6b88a9fdeca7fabeac836b1002371c4367c8f984aae"
dependencies = [
"unicode-ident",
]
@@ -2409,63 +1870,70 @@ checksum = "106dd99e98437432fed6519dedecfade6a06a73bb7b2a1e019fdd2bee5778d94"
[[package]]
name = "pyo3"
-version = "0.14.5"
+version = "0.20.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "35100f9347670a566a67aa623369293703322bb9db77d99d7df7313b575ae0c8"
+checksum = "9a89dc7a5850d0e983be1ec2a463a171d20990487c3cfcd68b5363f1ee3d6fe0"
dependencies = [
- "cfg-if 1.0.0",
+ "cfg-if",
"indoc",
"libc",
- "parking_lot 0.11.2",
- "paste",
+ "memoffset",
+ "parking_lot",
"pyo3-build-config",
+ "pyo3-ffi",
"pyo3-macros",
"unindent",
]
[[package]]
name = "pyo3-build-config"
-version = "0.14.5"
+version = "0.20.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d12961738cacbd7f91b7c43bc25cfeeaa2698ad07a04b3be0aa88b950865738f"
+checksum = "07426f0d8fe5a601f26293f300afd1a7b1ed5e78b2a705870c5f30893c5163be"
dependencies = [
"once_cell",
+ "target-lexicon",
+]
+
+[[package]]
+name = "pyo3-ffi"
+version = "0.20.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dbb7dec17e17766b46bca4f1a4215a85006b4c2ecde122076c562dd058da6cf1"
+dependencies = [
+ "libc",
+ "pyo3-build-config",
]
[[package]]
name = "pyo3-macros"
-version = "0.14.5"
+version = "0.20.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fc0bc5215d704824dfddddc03f93cb572e1155c68b6761c37005e1c288808ea8"
+checksum = "05f738b4e40d50b5711957f142878cfa0f28e054aa0ebdfc3fd137a843f74ed3"
dependencies = [
+ "proc-macro2",
"pyo3-macros-backend",
"quote",
- "syn 1.0.109",
+ "syn 2.0.48",
]
[[package]]
name = "pyo3-macros-backend"
-version = "0.14.5"
+version = "0.20.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "71623fc593224afaab918aa3afcaf86ed2f43d34f6afde7f3922608f253240df"
+checksum = "0fc910d4851847827daf9d6cdd4a823fbdaab5b8818325c5e97a86da79e8881f"
dependencies = [
+ "heck",
"proc-macro2",
- "pyo3-build-config",
"quote",
- "syn 1.0.109",
+ "syn 2.0.48",
]
-[[package]]
-name = "quick-error"
-version = "1.2.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a1d01941d82fa2ab50be1e79e6714289dd7cde78eba4c074bc5a4374f650dfe0"
-
[[package]]
name = "quote"
-version = "1.0.33"
+version = "1.0.35"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5267fca4496028628a95160fc423a33e8b2e6af8a5302579e322e4b520293cae"
+checksum = "291ec9ab5efd934aaf503a6466c5d5251535d108ee747472c3977cc5acc868ef"
dependencies = [
"proc-macro2",
]
@@ -2477,23 +1945,10 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "51de85fb3fb6524929c8a2eb85e6b6d363de4e8c48f9e2c2eac4944abc181c93"
dependencies = [
"log",
- "parking_lot 0.12.1",
+ "parking_lot",
"scheduled-thread-pool",
]
-[[package]]
-name = "rand"
-version = "0.7.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6a6b1679d49b24bbfe0c803429aa1874472f50d9b363131f0e89fc356b544d03"
-dependencies = [
- "getrandom 0.1.16",
- "libc",
- "rand_chacha 0.2.2",
- "rand_core 0.5.1",
- "rand_hc",
-]
-
[[package]]
name = "rand"
version = "0.8.5"
@@ -2501,18 +1956,8 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "34af8d1a0e25924bc5b7c43c079c942339d8f0a8b57c39049bef581b46327404"
dependencies = [
"libc",
- "rand_chacha 0.3.1",
- "rand_core 0.6.4",
-]
-
-[[package]]
-name = "rand_chacha"
-version = "0.2.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f4c8ed856279c9737206bf725bf36935d8666ead7aa69b52be55af369d193402"
-dependencies = [
- "ppv-lite86",
- "rand_core 0.5.1",
+ "rand_chacha",
+ "rand_core",
]
[[package]]
@@ -2522,16 +1967,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "e6c10a63a0fa32252be49d21e7709d4d4baf8d231c2dbce1eaa8141b9b127d88"
dependencies = [
"ppv-lite86",
- "rand_core 0.6.4",
-]
-
-[[package]]
-name = "rand_core"
-version = "0.5.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "90bde5296fc891b0cef12a6d03ddccc162ce7b2aff54160af9338f8d40df6d19"
-dependencies = [
- "getrandom 0.1.16",
+ "rand_core",
]
[[package]]
@@ -2540,34 +1976,7 @@ version = "0.6.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "ec0be4795e2f6a28069bec0b5ff3e2ac9bafc99e6a9a7dc3547996c5c816922c"
dependencies = [
- "getrandom 0.2.10",
-]
-
-[[package]]
-name = "rand_hc"
-version = "0.2.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ca3129af7b92a17112d59ad498c6f81eaf463253766b90396d39ea7a39d6613c"
-dependencies = [
- "rand_core 0.5.1",
-]
-
-[[package]]
-name = "redox_syscall"
-version = "0.2.16"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fb5a58c1855b4b6819d59012155603f0b22ad30cad752600aadfcb695265519a"
-dependencies = [
- "bitflags 1.3.2",
-]
-
-[[package]]
-name = "redox_syscall"
-version = "0.3.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "567664f262709473930a4bf9e51bf2ebf3348f2e748ccc50dea20646858f8f29"
-dependencies = [
- "bitflags 1.3.2",
+ "getrandom",
]
[[package]]
@@ -2581,20 +1990,20 @@ dependencies = [
[[package]]
name = "redox_users"
-version = "0.4.3"
+version = "0.4.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b033d837a7cf162d7993aded9304e30a83213c648b6e389db233191f891e5c2b"
+checksum = "a18479200779601e498ada4e8c1e1f50e3ee19deb0259c25825a98b5603b2cb4"
dependencies = [
- "getrandom 0.2.10",
- "redox_syscall 0.2.16",
+ "getrandom",
+ "libredox",
"thiserror",
]
[[package]]
name = "regex"
-version = "1.10.2"
+version = "1.10.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "380b951a9c5e80ddfd6136919eef32310721aa4aacd4889a8d39124b026ab343"
+checksum = "b62dbe01f0b06f9d8dc7d49e05a0785f153b00b2c227856282f671e0318c9b15"
dependencies = [
"aho-corasick",
"memchr",
@@ -2604,9 +2013,9 @@ dependencies = [
[[package]]
name = "regex-automata"
-version = "0.4.3"
+version = "0.4.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5f804c7828047e88b2d32e2d7fe5a105da8ee3264f01902f796c8e067dc2483f"
+checksum = "5bb987efffd3c6d0d8f5f89510bb458559eab11e4f869acb20bf845e016259cd"
dependencies = [
"aho-corasick",
"memchr",
@@ -2621,105 +2030,57 @@ checksum = "c08c74e62047bb2de4ff487b251e4a92e24f48745648451635cec7d591162d9f"
[[package]]
name = "reqwest"
-version = "0.10.10"
+version = "0.11.24"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0718f81a8e14c4dbb3b34cf23dc6aaf9ab8a0dfec160c534b3dbca1aaa21f47c"
+checksum = "c6920094eb85afde5e4a138be3f2de8bbdf28000f0029e72c45025a56b042251"
dependencies = [
- "base64 0.13.1",
- "bytes 0.5.6",
+ "base64",
+ "bytes",
"encoding_rs",
"futures-core",
"futures-util",
+ "h2",
"http",
- "http-body 0.3.1",
- "hyper 0.13.10",
+ "http-body",
+ "hyper",
"hyper-rustls",
- "hyper-tls 0.4.3",
"ipnet",
"js-sys",
- "lazy_static",
"log",
"mime",
- "mime_guess",
- "native-tls",
- "percent-encoding 2.3.0",
- "pin-project-lite 0.2.13",
+ "once_cell",
+ "percent-encoding 2.3.1",
+ "pin-project-lite",
"rustls",
- "serde 1.0.189",
+ "rustls-pemfile",
+ "serde 1.0.196",
"serde_json",
"serde_urlencoded",
- "tokio 0.2.25",
+ "sync_wrapper",
+ "system-configuration",
+ "tokio",
"tokio-rustls",
- "tokio-tls",
- "url 2.4.1",
+ "tower-service",
+ "url 2.5.0",
"wasm-bindgen",
"wasm-bindgen-futures",
"web-sys",
"webpki-roots",
- "winreg 0.7.0",
-]
-
-[[package]]
-name = "reqwest"
-version = "0.11.22"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "046cd98826c46c2ac8ddecae268eb5c2e58628688a5fc7a2643704a73faba95b"
-dependencies = [
- "base64 0.21.4",
- "bytes 1.5.0",
- "encoding_rs",
- "futures-core",
- "futures-util",
- "h2 0.3.21",
- "http",
- "http-body 0.4.5",
- "hyper 0.14.27",
- "hyper-tls 0.5.0",
- "ipnet",
- "js-sys",
- "log",
- "mime",
- "native-tls",
- "once_cell",
- "percent-encoding 2.3.0",
- "pin-project-lite 0.2.13",
- "serde 1.0.189",
- "serde_json",
- "serde_urlencoded",
- "system-configuration",
- "tokio 1.33.0",
- "tokio-native-tls",
- "tower-service",
- "url 2.4.1",
- "wasm-bindgen",
- "wasm-bindgen-futures",
- "web-sys",
- "winreg 0.50.0",
-]
-
-[[package]]
-name = "resolv-conf"
-version = "0.7.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "52e44394d2086d010551b14b53b1f24e31647570cd1deb0379e2c21b329aba00"
-dependencies = [
- "hostname",
- "quick-error",
+ "winreg",
]
[[package]]
name = "ring"
-version = "0.16.20"
+version = "0.17.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3053cf52e236a3ed746dfc745aa9cacf1b791d846bdaf412f60a8d7d6e17c8fc"
+checksum = "688c63d65483050968b2a8937f7995f443e27041a0f7700aa59b0822aedebb74"
dependencies = [
"cc",
+ "getrandom",
"libc",
- "once_cell",
"spin",
"untrusted",
- "web-sys",
- "winapi 0.3.9",
+ "windows-sys 0.48.0",
]
[[package]]
@@ -2740,48 +2101,57 @@ version = "1.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "08d43f7aa6b08d49f382cde6a7982047c3426db949b1424bc4b7ec9ae12c6ce2"
-[[package]]
-name = "rustc_version"
-version = "0.2.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "138e3e0acb6c9fb258b19b67cb8abd63c00679d2851805ea151465464fe9030a"
-dependencies = [
- "semver 0.9.0",
-]
-
[[package]]
name = "rustc_version"
version = "0.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "bfa0f585226d2e68097d4f95d113b15b83a82e819ab25717ec0590d9584ef366"
dependencies = [
- "semver 1.0.20",
+ "semver",
]
[[package]]
name = "rustix"
-version = "0.38.19"
+version = "0.38.31"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "745ecfa778e66b2b63c88a61cb36e0eea109e803b0b86bf9879fbc77c70e86ed"
+checksum = "6ea3e1a662af26cd7a3ba09c0297a31af215563ecf42817c98df621387f4e949"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"errno",
"libc",
"linux-raw-sys",
- "windows-sys",
+ "windows-sys 0.52.0",
]
[[package]]
name = "rustls"
-version = "0.18.1"
+version = "0.21.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5d1126dcf58e93cee7d098dbda643b5f92ed724f1f6a63007c1116eed6700c81"
+checksum = "f9d5a6813c0759e4609cd494e8e725babae6a2ca7b62a5536a13daaec6fcb7ba"
dependencies = [
- "base64 0.12.3",
"log",
"ring",
+ "rustls-webpki",
"sct",
- "webpki",
+]
+
+[[package]]
+name = "rustls-pemfile"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1c74cae0a4cf6ccbbf5f359f08efdf8ee7e1dc532573bf0db71968cb56b1448c"
+dependencies = [
+ "base64",
+]
+
+[[package]]
+name = "rustls-webpki"
+version = "0.101.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8b6275d1ee7a1cd780b64aca7726599a1dbc893b1e64144529e55c3c2f745765"
+dependencies = [
+ "ring",
+ "untrusted",
]
[[package]]
@@ -2792,9 +2162,9 @@ checksum = "7ffc183a10b4478d04cbbbfc96d0873219d962dd5accaff2ffbd4ceb7df837f4"
[[package]]
name = "ryu"
-version = "1.0.15"
+version = "1.0.16"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ad4cc8da4ef723ed60bced201181d83791ad433213d8c24efffda1eec85d741"
+checksum = "f98d2aa92eebf49b69786be48e4477826b256916e84a57ff2a4f21923b48eb4c"
[[package]]
name = "same-file"
@@ -2807,11 +2177,11 @@ dependencies = [
[[package]]
name = "schannel"
-version = "0.1.22"
+version = "0.1.23"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0c3733bf4cf7ea0880754e19cb5a462007c4a8c1914bff372ccc95b464f1df88"
+checksum = "fbc91545643bcf3a0bbb6569265615222618bdf33ce4ffbbd13c4bbd4c093534"
dependencies = [
- "windows-sys",
+ "windows-sys 0.52.0",
]
[[package]]
@@ -2820,7 +2190,7 @@ version = "0.2.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "3cbc66816425a074528352f5789333ecff06ca41b36b0b0efdfbb29edc391a19"
dependencies = [
- "parking_lot 0.12.1",
+ "parking_lot",
]
[[package]]
@@ -2831,83 +2201,40 @@ checksum = "94143f37725109f92c262ed2cf5e59bce7498c01bcc1502d7b9afe439a4e9f49"
[[package]]
name = "sct"
-version = "0.6.1"
+version = "0.7.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b362b83898e0e69f38515b82ee15aa80636befe47c3b6d3d89a911e78fc228ce"
+checksum = "da046153aa2352493d6cb7da4b6e5c0c057d8a1d0a9aa8560baffdd945acd414"
dependencies = [
"ring",
"untrusted",
]
-[[package]]
-name = "security-framework"
-version = "2.9.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "05b64fb303737d99b81884b2c63433e9ae28abebe5eb5045dcdd175dc2ecf4de"
-dependencies = [
- "bitflags 1.3.2",
- "core-foundation",
- "core-foundation-sys",
- "libc",
- "security-framework-sys",
-]
-
-[[package]]
-name = "security-framework-sys"
-version = "2.9.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e932934257d3b408ed8f30db49d85ea163bfe74961f017f405b025af298f0c7a"
-dependencies = [
- "core-foundation-sys",
- "libc",
-]
-
[[package]]
name = "semver"
-version = "0.9.0"
+version = "1.0.21"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1d7eb9ef2c18661902cc47e535f9bc51b78acd254da71d375c2f6720d9a40403"
-dependencies = [
- "semver-parser",
-]
-
-[[package]]
-name = "semver"
-version = "1.0.20"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "836fa6a3e1e547f9a2c4040802ec865b5d85f4014efe00555d7090a3dcaa1090"
-
-[[package]]
-name = "semver-parser"
-version = "0.7.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "388a1df253eca08550bef6c72392cfe7c30914bf41df5269b68cbd6ff8f570a3"
+checksum = "b97ed7a9823b74f99c7742f5336af7be5ecd3eeafcb1507d1fa93347b1d589b0"
[[package]]
name = "sentry"
-version = "0.31.7"
+version = "0.31.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0097a48cd1999d983909f07cb03b15241c5af29e5e679379efac1c06296abecc"
+checksum = "6ce4b57f1b521f674df7a1d200be8ff5d74e3712020ee25b553146657b5377d5"
dependencies = [
"curl",
- "httpdate 1.0.3",
- "native-tls",
- "reqwest 0.11.22",
+ "httpdate",
"sentry-backtrace",
"sentry-contexts",
"sentry-core",
"sentry-debug-images",
- "sentry-panic",
"sentry-tracing",
- "tokio 1.33.0",
- "ureq",
]
[[package]]
name = "sentry-backtrace"
-version = "0.31.7"
+version = "0.31.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "18a7b80fa1dd6830a348d38a8d3a9761179047757b7dca29aef82db0118b9670"
+checksum = "58cc8d4e04a73de8f718dc703943666d03f25d3e9e4d0fb271ca0b8c76dfa00e"
dependencies = [
"backtrace",
"once_cell",
@@ -2917,57 +2244,47 @@ dependencies = [
[[package]]
name = "sentry-contexts"
-version = "0.31.7"
+version = "0.31.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7615dc588930f1fd2e721774f25844ae93add2dbe2d3c2f995ce5049af898147"
+checksum = "6436c1bad22cdeb02179ea8ef116ffc217797c028927def303bc593d9320c0d1"
dependencies = [
"hostname",
"libc",
"os_info",
- "rustc_version 0.4.0",
+ "rustc_version",
"sentry-core",
"uname",
]
[[package]]
name = "sentry-core"
-version = "0.31.7"
+version = "0.31.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8f51264e4013ed9b16558cce43917b983fa38170de2ca480349ceb57d71d6053"
+checksum = "901f761681f97db3db836ef9e094acdd8756c40215326c194201941947164ef1"
dependencies = [
"once_cell",
- "rand 0.8.5",
+ "rand",
"sentry-types",
- "serde 1.0.189",
+ "serde 1.0.196",
"serde_json",
]
[[package]]
name = "sentry-debug-images"
-version = "0.31.7"
+version = "0.31.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2fe6180fa564d40bb942c9f0084ffb5de691c7357ead6a2b7a3154fae9e401dd"
+checksum = "afdb263e73d22f39946f6022ed455b7561b22ff5553aca9be3c6a047fa39c328"
dependencies = [
"findshlibs",
"once_cell",
"sentry-core",
]
-[[package]]
-name = "sentry-panic"
-version = "0.31.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "323160213bba549f9737317b152af116af35c0410f4468772ee9b606d3d6e0fa"
-dependencies = [
- "sentry-backtrace",
- "sentry-core",
-]
-
[[package]]
name = "sentry-tracing"
-version = "0.31.7"
+version = "0.31.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "38033822128e73f7b6ca74c1631cef8868890c6cb4008a291cf73530f87b4eac"
+checksum = "82eabcab0a047040befd44599a1da73d3adb228ff53b5ed9795ae04535577704"
dependencies = [
"sentry-backtrace",
"sentry-core",
@@ -2977,19 +2294,19 @@ dependencies = [
[[package]]
name = "sentry-types"
-version = "0.31.7"
+version = "0.31.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0e663b3eb62ddfc023c9cf5432daf5f1a4f6acb1df4d78dd80b740b32dd1a740"
+checksum = "da956cca56e0101998c8688bc65ce1a96f00673a0e58e663664023d4c7911e82"
dependencies = [
"debugid",
"hex",
- "rand 0.8.5",
- "serde 1.0.189",
+ "rand",
+ "serde 1.0.196",
"serde_json",
"thiserror",
- "time 0.3.30",
- "url 2.4.1",
- "uuid 1.5.0",
+ "time",
+ "url 2.5.0",
+ "uuid",
]
[[package]]
@@ -3000,9 +2317,9 @@ checksum = "9dad3f759919b92c3068c696c15c3d17238234498bbdcc80f2c469606f948ac8"
[[package]]
name = "serde"
-version = "1.0.189"
+version = "1.0.196"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8e422a44e74ad4001bdc8eede9a4570ab52f71190e9c076d14369f38b9200537"
+checksum = "870026e60fa08c69f064aa766c10f10b1d62db9ccd4d0abb206472bee0ce3b32"
dependencies = [
"serde_derive",
]
@@ -3021,24 +2338,24 @@ dependencies = [
[[package]]
name = "serde_derive"
-version = "1.0.189"
+version = "1.0.196"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1e48d1f918009ce3145511378cf68d613e3b3d9137d67272562080d68a2b32d5"
+checksum = "33c85360c95e7d137454dc81d9a4ed2b8efd8fbe19cee57357b32b9771fccb67"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.38",
+ "syn 2.0.48",
]
[[package]]
name = "serde_json"
-version = "1.0.107"
+version = "1.0.113"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6b420ce6e3d8bd882e9b243c6eed35dbc9a6110c9769e74b584e0d68d1f20c65"
+checksum = "69801b70b1c3dac963ecb03a364ba0ceda9cf60c71cfe475e99864759c8b8a79"
dependencies = [
- "itoa 1.0.9",
+ "itoa",
"ryu",
- "serde 1.0.189",
+ "serde 1.0.196",
]
[[package]]
@@ -3048,55 +2365,38 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "d3491c14715ca2294c4d6a88f15e84739788c1d030eed8c110436aafdaa2f3fd"
dependencies = [
"form_urlencoded",
- "itoa 1.0.9",
+ "itoa",
"ryu",
- "serde 1.0.189",
-]
-
-[[package]]
-name = "sha-1"
-version = "0.9.8"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "99cd6713db3cf16b6c84e06321e049a9b9f699826e16096d23bbcc44d15d51a6"
-dependencies = [
- "block-buffer 0.9.0",
- "cfg-if 1.0.0",
- "cpufeatures",
- "digest 0.9.0",
- "opaque-debug",
+ "serde 1.0.196",
]
[[package]]
name = "sha1"
-version = "0.6.1"
+version = "0.10.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c1da05c97445caa12d05e848c4a4fcbbea29e748ac28f7e80e9b010392063770"
+checksum = "e3bf829a2d51ab4a5ddf1352d8470c140cadc8301b2ae1789db023f01cedd6ba"
dependencies = [
- "sha1_smol",
+ "cfg-if",
+ "cpufeatures",
+ "digest",
]
-[[package]]
-name = "sha1_smol"
-version = "1.0.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ae1a47186c03a32177042e55dbc5fd5aee900b8e0069a8d70fba96a9375cd012"
-
[[package]]
name = "sha2"
version = "0.10.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "793db75ad2bcafc3ffa7c68b215fee268f537982cd901d132f89c6343f3a3dc8"
dependencies = [
- "cfg-if 1.0.0",
+ "cfg-if",
"cpufeatures",
- "digest 0.10.7",
+ "digest",
]
[[package]]
name = "shlex"
-version = "1.2.0"
+version = "1.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a7cee0529a6d40f580e7a5e6c495c8fbfe21b7b52795ed4bb5e62cdf92bc6380"
+checksum = "0fda2ff0d084019ba4d7c6f371c95d8fd75ce3524c3cb8fb653a3023f6323e64"
[[package]]
name = "signal-hook-registry"
@@ -3159,7 +2459,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "f400f1c5db96f1f52065e8931ca0c524cceb029f7537c9e6d5424488ca137ca0"
dependencies = [
"chrono",
- "serde 1.0.189",
+ "serde 1.0.196",
"serde_json",
"slog",
]
@@ -3196,60 +2496,30 @@ dependencies = [
"slog",
"term",
"thread_local",
- "time 0.3.30",
+ "time",
]
[[package]]
name = "smallvec"
-version = "1.11.1"
+version = "1.13.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "942b4a808e05215192e39f4ab80813e599068285906cc91aa64f923db842bd5a"
+checksum = "e6ecd384b10a64542d77071bd64bd7b231f4ed5940fba55e98c3de13824cf3d7"
[[package]]
name = "socket2"
-version = "0.3.19"
+version = "0.5.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "122e570113d28d773067fab24266b66753f6ea915758651696b6e35e49f88d6e"
-dependencies = [
- "cfg-if 1.0.0",
- "libc",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "socket2"
-version = "0.4.9"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "64a4a911eed85daf18834cfaa86a79b7d266ff93ff5ba14005426219480ed662"
+checksum = "7b5fac59a5cb5dd637972e5fca70daf0523c9067fcdc4842f053dae04a18f8e9"
dependencies = [
"libc",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "socket2"
-version = "0.5.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4031e820eb552adee9295814c0ced9e5cf38ddf1e8b7d566d6de8e2538ea989e"
-dependencies = [
- "libc",
- "windows-sys",
+ "windows-sys 0.48.0",
]
[[package]]
name = "spin"
-version = "0.5.2"
+version = "0.9.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6e63cff320ae2c57904679ba7cb63280a3dc4613885beafb148ee7bf9aa9042d"
-
-[[package]]
-name = "standback"
-version = "0.2.17"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e113fb6f3de07a243d434a56ec6f186dfd51cb08448239fe7bcae73f87ff28ff"
-dependencies = [
- "version_check",
-]
+checksum = "6980e8d7511241f8acf4aebddbb1ff938df5eebe98691418c4468d0b72a96a67"
[[package]]
name = "static_assertions"
@@ -3257,55 +2527,6 @@ version = "1.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "a2eb9349b6444b326872e140eb1cf5e7c522154d69e7a0ffb0fb81c06b37543f"
-[[package]]
-name = "stdweb"
-version = "0.4.20"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d022496b16281348b52d0e30ae99e01a73d737b2f45d38fed4edf79f9325a1d5"
-dependencies = [
- "discard",
- "rustc_version 0.2.3",
- "stdweb-derive",
- "stdweb-internal-macros",
- "stdweb-internal-runtime",
- "wasm-bindgen",
-]
-
-[[package]]
-name = "stdweb-derive"
-version = "0.5.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c87a60a40fccc84bef0652345bbbbbe20a605bf5d0ce81719fc476f5c03b50ef"
-dependencies = [
- "proc-macro2",
- "quote",
- "serde 1.0.189",
- "serde_derive",
- "syn 1.0.109",
-]
-
-[[package]]
-name = "stdweb-internal-macros"
-version = "0.2.9"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "58fa5ff6ad0d98d1ffa8cb115892b6e69d67799f6763e162a1c9db421dc22e11"
-dependencies = [
- "base-x",
- "proc-macro2",
- "quote",
- "serde 1.0.189",
- "serde_derive",
- "serde_json",
- "sha1",
- "syn 1.0.109",
-]
-
-[[package]]
-name = "stdweb-internal-runtime"
-version = "0.1.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "213701ba3370744dcd1a12960caa4843b3d68b4d1c0a5d575e0d65b2ee9d16c0"
-
[[package]]
name = "strsim"
version = "0.8.0"
@@ -3337,18 +2558,24 @@ dependencies = [
[[package]]
name = "syn"
-version = "2.0.38"
+version = "2.0.48"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e96b79aaa137db8f61e26363a0c9b47d8b4ec75da28b7d1d614c2303e232408b"
+checksum = "0f3531638e407dfc0814761abb7c00a5b54992b849452a0646b7f65c9f770f3f"
dependencies = [
"proc-macro2",
"quote",
"unicode-ident",
]
+[[package]]
+name = "sync_wrapper"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2047c6ded9c721764247e62cd3b03c09ffc529b2ba5b10ec482ae507a4a70160"
+
[[package]]
name = "syncserver"
-version = "0.14.1"
+version = "0.15.1"
dependencies = [
"actix-cors",
"actix-http",
@@ -3356,25 +2583,23 @@ dependencies = [
"actix-web",
"async-trait",
"backtrace",
- "base64 0.21.4",
+ "base64",
"cadence",
"chrono",
"docopt",
"dyn-clone",
- "env_logger 0.10.0",
- "futures 0.3.28",
+ "futures 0.3.30",
"hawk",
"hex",
"hmac",
"hostname",
"lazy_static",
"mime",
- "rand 0.8.5",
+ "rand",
"regex",
- "reqwest 0.10.10",
"sentry",
"sentry-backtrace",
- "serde 1.0.189",
+ "serde 1.0.196",
"serde_derive",
"serde_json",
"sha2",
@@ -3391,12 +2616,12 @@ dependencies = [
"syncstorage-db",
"syncstorage-settings",
"thiserror",
- "time 0.3.30",
+ "time",
"tokenserver-auth",
"tokenserver-common",
"tokenserver-db",
"tokenserver-settings",
- "tokio 0.2.25",
+ "tokio",
"urlencoding",
"validator",
"validator_derive",
@@ -3405,13 +2630,13 @@ dependencies = [
[[package]]
name = "syncserver-common"
-version = "0.14.1"
+version = "0.15.1"
dependencies = [
"actix-web",
"cadence",
- "futures 0.3.28",
+ "futures 0.3.30",
"hkdf",
- "serde 1.0.189",
+ "serde 1.0.196",
"serde_json",
"sha2",
"slog",
@@ -3420,13 +2645,13 @@ dependencies = [
[[package]]
name = "syncserver-db-common"
-version = "0.14.1"
+version = "0.15.1"
dependencies = [
"backtrace",
"deadpool",
"diesel",
"diesel_migrations",
- "futures 0.3.28",
+ "futures 0.3.30",
"http",
"syncserver-common",
"thiserror",
@@ -3434,30 +2659,30 @@ dependencies = [
[[package]]
name = "syncserver-settings"
-version = "0.14.1"
+version = "0.15.1"
dependencies = [
"config 0.11.0",
"num_cpus",
- "serde 1.0.189",
+ "serde 1.0.196",
"slog-scope",
"syncserver-common",
"syncstorage-settings",
"tokenserver-settings",
- "url 2.4.1",
+ "url 2.5.0",
]
[[package]]
name = "syncstorage-db"
-version = "0.14.1"
+version = "0.15.1"
dependencies = [
"async-trait",
"cadence",
- "env_logger 0.10.0",
- "futures 0.3.28",
+ "env_logger 0.10.2",
+ "futures 0.3.30",
"hostname",
"lazy_static",
"log",
- "rand 0.8.5",
+ "rand",
"slog-scope",
"syncserver-common",
"syncserver-db-common",
@@ -3466,22 +2691,22 @@ dependencies = [
"syncstorage-mysql",
"syncstorage-settings",
"syncstorage-spanner",
- "tokio 0.2.25",
+ "tokio",
]
[[package]]
name = "syncstorage-db-common"
-version = "0.14.1"
+version = "0.15.1"
dependencies = [
"async-trait",
"backtrace",
"chrono",
"diesel",
"diesel_migrations",
- "futures 0.3.28",
+ "futures 0.3.30",
"http",
"lazy_static",
- "serde 1.0.189",
+ "serde 1.0.196",
"serde_json",
"syncserver-common",
"syncserver-db-common",
@@ -3490,16 +2715,16 @@ dependencies = [
[[package]]
name = "syncstorage-mysql"
-version = "0.14.1"
+version = "0.15.1"
dependencies = [
"async-trait",
"backtrace",
- "base64 0.21.4",
+ "base64",
"diesel",
"diesel_logger",
"diesel_migrations",
- "env_logger 0.10.0",
- "futures 0.3.28",
+ "env_logger 0.10.2",
+ "futures 0.3.30",
"http",
"slog-scope",
"syncserver-common",
@@ -3508,30 +2733,30 @@ dependencies = [
"syncstorage-db-common",
"syncstorage-settings",
"thiserror",
- "url 2.4.1",
+ "url 2.5.0",
]
[[package]]
name = "syncstorage-settings"
-version = "0.14.1"
+version = "0.15.1"
dependencies = [
- "rand 0.8.5",
- "serde 1.0.189",
+ "rand",
+ "serde 1.0.196",
"syncserver-common",
- "time 0.3.30",
+ "time",
]
[[package]]
name = "syncstorage-spanner"
-version = "0.14.1"
+version = "0.15.1"
dependencies = [
"async-trait",
"backtrace",
"cadence",
"deadpool",
- "env_logger 0.10.0",
+ "env_logger 0.10.2",
"form_urlencoded",
- "futures 0.3.28",
+ "futures 0.3.30",
"google-cloud-rust-raw",
"grpcio",
"http",
@@ -3543,9 +2768,9 @@ dependencies = [
"syncstorage-db-common",
"syncstorage-settings",
"thiserror",
- "tokio 0.2.25",
- "url 2.4.1",
- "uuid 0.8.2",
+ "tokio",
+ "url 2.5.0",
+ "uuid",
]
[[package]]
@@ -3576,17 +2801,10 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "f764005d11ee5f36500a149ace24e00e3da98b0158b3e2d53a7495660d3f4d60"
[[package]]
-name = "tempfile"
-version = "3.8.0"
+name = "target-lexicon"
+version = "0.12.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cb94d2f3cc536af71caac6b6fcebf65860b347e7ce0cc9ebe8f70d3e521054ef"
-dependencies = [
- "cfg-if 1.0.0",
- "fastrand",
- "redox_syscall 0.3.5",
- "rustix",
- "windows-sys",
-]
+checksum = "69758bda2e78f098e4ccb393021a0963bb3442eac05f135c30f61b7370bbafae"
[[package]]
name = "term"
@@ -3596,14 +2814,14 @@ checksum = "c59df8ac95d96ff9bede18eb7300b0fda5e5d8d90960e76f8e14ae765eedbf1f"
dependencies = [
"dirs-next",
"rustversion",
- "winapi 0.3.9",
+ "winapi",
]
[[package]]
name = "termcolor"
-version = "1.3.0"
+version = "1.4.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6093bad37da69aab9d123a8091e4be0aa4a03e4d601ec641c327398315f62b64"
+checksum = "06794f8f6c5c898b3275aebefa6b8a1cb24cd2c6c79397ab15774837a0bc5755"
dependencies = [
"winapi-util",
]
@@ -3619,22 +2837,22 @@ dependencies = [
[[package]]
name = "thiserror"
-version = "1.0.49"
+version = "1.0.57"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1177e8c6d7ede7afde3585fd2513e611227efd6481bd78d2e82ba1ce16557ed4"
+checksum = "1e45bcbe8ed29775f228095caf2cd67af7a4ccf756ebff23a306bf3e8b47b24b"
dependencies = [
"thiserror-impl",
]
[[package]]
name = "thiserror-impl"
-version = "1.0.49"
+version = "1.0.57"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "10712f02019e9288794769fba95cd6847df9874d49d871d062172f9dd41bc4cc"
+checksum = "a953cb265bef375dae3de6663da4d3804eee9682ea80d8e2542529b73c531c81"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.38",
+ "syn 2.0.48",
]
[[package]]
@@ -3643,48 +2861,25 @@ version = "1.1.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "3fdd6f064ccff2d6567adcb3873ca630700f00b5ad3f060c25b5dcfd9a4ce152"
dependencies = [
- "cfg-if 1.0.0",
+ "cfg-if",
"once_cell",
]
-[[package]]
-name = "threadpool"
-version = "1.8.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d050e60b33d41c19108b32cea32164033a9013fe3b46cbd4457559bfbf77afaa"
-dependencies = [
- "num_cpus",
-]
-
[[package]]
name = "time"
-version = "0.2.27"
+version = "0.3.34"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4752a97f8eebd6854ff91f1c1824cd6160626ac4bd44287f7f4ea2035a02a242"
-dependencies = [
- "const_fn",
- "libc",
- "standback",
- "stdweb",
- "time-macros 0.1.1",
- "version_check",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "time"
-version = "0.3.30"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c4a34ab300f2dee6e562c10a046fc05e358b29f9bf92277f30c3c8d82275f6f5"
+checksum = "c8248b6521bb14bc45b4067159b9b6ad792e2d6d754d6c41fb50e29fefe38749"
dependencies = [
"deranged",
- "itoa 1.0.9",
+ "itoa",
"libc",
+ "num-conv",
"num_threads",
"powerfmt",
- "serde 1.0.189",
+ "serde 1.0.196",
"time-core",
- "time-macros 0.2.15",
+ "time-macros",
]
[[package]]
@@ -3695,36 +2890,14 @@ checksum = "ef927ca75afb808a4d64dd374f00a2adf8d0fcff8e7b184af886c3c87ec4a3f3"
[[package]]
name = "time-macros"
-version = "0.1.1"
+version = "0.2.17"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "957e9c6e26f12cb6d0dd7fc776bb67a706312e7299aed74c8dd5b17ebb27e2f1"
-dependencies = [
- "proc-macro-hack",
- "time-macros-impl",
-]
-
-[[package]]
-name = "time-macros"
-version = "0.2.15"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4ad70d68dba9e1f8aceda7aa6711965dfec1cac869f311a51bd08b3a2ccbce20"
+checksum = "7ba3a3ef41e6672a2f0f001392bb5dcd3ff0a9992d618ca761a11c3121547774"
dependencies = [
+ "num-conv",
"time-core",
]
-[[package]]
-name = "time-macros-impl"
-version = "0.1.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fd3c141a1b43194f3f56a1411225df8646c55781d5f26db825b3d98507eb482f"
-dependencies = [
- "proc-macro-hack",
- "proc-macro2",
- "quote",
- "standback",
- "syn 1.0.109",
-]
-
[[package]]
name = "tinyvec"
version = "1.6.0"
@@ -3742,29 +2915,39 @@ checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20"
[[package]]
name = "tokenserver-auth"
-version = "0.14.1"
+version = "0.15.1"
dependencies = [
"async-trait",
+ "base64",
"dyn-clone",
- "futures 0.3.28",
+ "futures 0.3.30",
+ "hex",
+ "hkdf",
+ "hmac",
+ "jsonwebtoken",
"mockito",
"pyo3",
- "reqwest 0.10.10",
- "serde 1.0.189",
+ "reqwest",
+ "ring",
+ "serde 1.0.196",
"serde_json",
+ "sha2",
+ "slog-scope",
"syncserver-common",
+ "thiserror",
"tokenserver-common",
"tokenserver-settings",
- "tokio 0.2.25",
+ "tokio",
]
[[package]]
name = "tokenserver-common"
-version = "0.14.1"
+version = "0.15.1"
dependencies = [
"actix-web",
"backtrace",
- "serde 1.0.189",
+ "jsonwebtoken",
+ "serde 1.0.196",
"serde_json",
"syncserver-common",
"thiserror",
@@ -3772,17 +2955,17 @@ dependencies = [
[[package]]
name = "tokenserver-db"
-version = "0.14.1"
+version = "0.15.1"
dependencies = [
"async-trait",
"backtrace",
"diesel",
"diesel_logger",
"diesel_migrations",
- "env_logger 0.10.0",
- "futures 0.3.28",
+ "env_logger 0.10.2",
+ "futures 0.3.30",
"http",
- "serde 1.0.189",
+ "serde 1.0.196",
"serde_derive",
"serde_json",
"slog-scope",
@@ -3792,123 +2975,68 @@ dependencies = [
"thiserror",
"tokenserver-common",
"tokenserver-settings",
- "tokio 0.2.25",
+ "tokio",
]
[[package]]
name = "tokenserver-settings"
-version = "0.14.1"
+version = "0.15.1"
dependencies = [
- "serde 1.0.189",
+ "jsonwebtoken",
+ "serde 1.0.196",
"tokenserver-common",
]
[[package]]
name = "tokio"
-version = "0.2.25"
+version = "1.36.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6703a273949a90131b290be1fe7b039d0fc884aa1935860dfcbe056f28cd8092"
-dependencies = [
- "bytes 0.5.6",
- "fnv",
- "futures-core",
- "iovec",
- "lazy_static",
- "libc",
- "memchr",
- "mio 0.6.23",
- "mio-uds",
- "pin-project-lite 0.1.12",
- "signal-hook-registry",
- "slab",
- "tokio-macros",
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "tokio"
-version = "1.33.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4f38200e3ef7995e5ef13baec2f432a6da0aa9ac495b2c0e8f3b7eec2c92d653"
+checksum = "61285f6515fa018fb2d1e46eb21223fff441ee8db5d0f1435e8ab4f5cdb80931"
dependencies = [
"backtrace",
- "bytes 1.5.0",
+ "bytes",
"libc",
- "mio 0.8.8",
- "num_cpus",
- "pin-project-lite 0.2.13",
- "socket2 0.5.4",
- "windows-sys",
+ "mio",
+ "parking_lot",
+ "pin-project-lite",
+ "signal-hook-registry",
+ "socket2",
+ "tokio-macros",
+ "windows-sys 0.48.0",
]
[[package]]
name = "tokio-macros"
-version = "0.2.6"
+version = "2.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e44da00bfc73a25f814cd8d7e57a68a5c31b74b3152a0a1d1f590c97ed06265a"
+checksum = "5b8a1e28f2deaa14e508979454cb3a223b10b938b45af148bc0986de36f1923b"
dependencies = [
"proc-macro2",
"quote",
- "syn 1.0.109",
-]
-
-[[package]]
-name = "tokio-native-tls"
-version = "0.3.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bbae76ab933c85776efabc971569dd6119c580d8f5d448769dec1764bf796ef2"
-dependencies = [
- "native-tls",
- "tokio 1.33.0",
+ "syn 2.0.48",
]
[[package]]
name = "tokio-rustls"
-version = "0.14.1"
+version = "0.24.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e12831b255bcfa39dc0436b01e19fea231a37db570686c06ee72c423479f889a"
+checksum = "c28327cf380ac148141087fbfb9de9d7bd4e84ab5d2c28fbc911d753de8a7081"
dependencies = [
- "futures-core",
"rustls",
- "tokio 0.2.25",
- "webpki",
-]
-
-[[package]]
-name = "tokio-tls"
-version = "0.3.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9a70f4fcd7b3b24fb194f837560168208f669ca8cb70d0c4b862944452396343"
-dependencies = [
- "native-tls",
- "tokio 0.2.25",
+ "tokio",
]
[[package]]
name = "tokio-util"
-version = "0.3.1"
+version = "0.7.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "be8242891f2b6cbef26a2d7e8605133c2c554cd35b3e4948ea892d6d68436499"
+checksum = "5419f34732d9eb6ee4c3578b7989078579b7f039cbbb9ca2c4da015749371e15"
dependencies = [
- "bytes 0.5.6",
+ "bytes",
"futures-core",
"futures-sink",
- "log",
- "pin-project-lite 0.1.12",
- "tokio 0.2.25",
-]
-
-[[package]]
-name = "tokio-util"
-version = "0.7.9"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1d68074620f57a0b21594d9735eb2e98ab38b17f80d3fcb189fca266771ca60d"
-dependencies = [
- "bytes 1.5.0",
- "futures-core",
- "futures-sink",
- "pin-project-lite 0.2.13",
- "tokio 1.33.0",
+ "pin-project-lite",
+ "tokio",
"tracing",
]
@@ -3918,7 +3046,7 @@ version = "0.5.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "f4f7f0dd8d50a853a531c426359045b1998f04219d88799810762cd4ad314234"
dependencies = [
- "serde 1.0.189",
+ "serde 1.0.196",
]
[[package]]
@@ -3929,12 +3057,12 @@ checksum = "b6bc1c9ce2b5135ac7f93c72918fc37feb872bdc6a5533a8b85eb4b86bfdae52"
[[package]]
name = "tracing"
-version = "0.1.39"
+version = "0.1.40"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ee2ef2af84856a50c1d430afce2fdded0a4ec7eda868db86409b4543df0797f9"
+checksum = "c3523ab5a71916ccf420eebdf5521fcef02141234bbc0b8a49f2fdc4544364ef"
dependencies = [
"log",
- "pin-project-lite 0.2.13",
+ "pin-project-lite",
"tracing-core",
]
@@ -3948,69 +3076,20 @@ dependencies = [
"valuable",
]
-[[package]]
-name = "tracing-futures"
-version = "0.2.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "97d095ae15e245a057c8e8451bab9b3ee1e1f68e9ba2b4fbc18d0ac5237835f2"
-dependencies = [
- "pin-project 1.1.3",
- "tracing",
-]
-
[[package]]
name = "tracing-subscriber"
-version = "0.3.17"
+version = "0.3.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "30a651bc37f915e81f087d86e62a18eec5f79550c7faff886f7090b4ea757c77"
+checksum = "ad0f048c97dbd9faa9b7df56362b8ebcaa52adb06b498c050d2f4e32f90a7a8b"
dependencies = [
"tracing-core",
]
-[[package]]
-name = "trust-dns-proto"
-version = "0.19.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1cad71a0c0d68ab9941d2fb6e82f8fb2e86d9945b94e1661dd0aaea2b88215a9"
-dependencies = [
- "async-trait",
- "cfg-if 1.0.0",
- "enum-as-inner",
- "futures 0.3.28",
- "idna 0.2.3",
- "lazy_static",
- "log",
- "rand 0.7.3",
- "smallvec",
- "thiserror",
- "tokio 0.2.25",
- "url 2.4.1",
-]
-
-[[package]]
-name = "trust-dns-resolver"
-version = "0.19.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "710f593b371175db53a26d0b38ed2978fafb9e9e8d3868b1acd753ea18df0ceb"
-dependencies = [
- "cfg-if 0.1.10",
- "futures 0.3.28",
- "ipconfig",
- "lazy_static",
- "log",
- "lru-cache",
- "resolv-conf",
- "smallvec",
- "thiserror",
- "tokio 0.2.25",
- "trust-dns-proto",
-]
-
[[package]]
name = "try-lock"
-version = "0.2.4"
+version = "0.2.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3528ecfd12c466c6f163363caf2d02a71161dd5e1cc6ae7b34207ea2d42d81ed"
+checksum = "e421abadd41a4225275504ea4d6566923418b7f05506fbc9c0fe86ba7396114b"
[[package]]
name = "typenum"
@@ -4027,20 +3106,11 @@ dependencies = [
"libc",
]
-[[package]]
-name = "unicase"
-version = "2.7.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f7d2d4dafb69621809a81864c9c1b864479e1235c0dd4e199924b9742439ed89"
-dependencies = [
- "version_check",
-]
-
[[package]]
name = "unicode-bidi"
-version = "0.3.13"
+version = "0.3.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "92888ba5573ff080736b3648696b70cafad7d250551175acbaa4e0385b3e1460"
+checksum = "08f95100a766bf4f8f28f90d77e0a5461bbdb219042e7679bebe79004fed8d75"
[[package]]
name = "unicode-ident"
@@ -4065,28 +3135,15 @@ checksum = "e51733f11c9c4f72aa0c160008246859e340b00807569a0da0e7a1079b27ba85"
[[package]]
name = "unindent"
-version = "0.1.11"
+version = "0.2.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e1766d682d402817b5ac4490b3c3002d91dfa0d22812f341609f97b08757359c"
+checksum = "c7de7d73e1754487cb58364ee906a499937a0dfabd86bcb980fa99ec8c8fa2ce"
[[package]]
name = "untrusted"
-version = "0.7.1"
+version = "0.9.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a156c684c91ea7d62626509bce3cb4e1d9ed5c4d978f7b4352658f96a4c26b4a"
-
-[[package]]
-name = "ureq"
-version = "2.8.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f5ccd538d4a604753ebc2f17cd9946e89b77bf87f6a8e2309667c6f2e87855e3"
-dependencies = [
- "base64 0.21.4",
- "log",
- "native-tls",
- "once_cell",
- "url 2.4.1",
-]
+checksum = "8ecb6da28b8a351d773b68d5825ac39017e680750f980f3a1a85cd8dd28a47c1"
[[package]]
name = "url"
@@ -4101,14 +3158,14 @@ dependencies = [
[[package]]
name = "url"
-version = "2.4.1"
+version = "2.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "143b538f18257fac9cad154828a57c6bf5157e1aa604d4816b5995bf6de87ae5"
+checksum = "31e6302e3bb753d46e83516cae55ae196fc0c309407cf11ab35cc51a4c2a4633"
dependencies = [
"form_urlencoded",
- "idna 0.4.0",
- "percent-encoding 2.3.0",
- "serde 1.0.189",
+ "idna 0.5.0",
+ "percent-encoding 2.3.1",
+ "serde 1.0.196",
]
[[package]]
@@ -4119,21 +3176,12 @@ checksum = "daf8dba3b7eb870caf1ddeed7bc9d2a049f3cfdfae7cb521b087cc33ae4c49da"
[[package]]
name = "uuid"
-version = "0.8.2"
+version = "1.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bc5cf98d8186244414c848017f0e2676b3fcb46807f6668a97dfe67359a3c4b7"
+checksum = "f00cc9702ca12d3c81455259621e676d0f7251cec66a21e98fe2e9a37db93b2a"
dependencies = [
- "getrandom 0.2.10",
- "serde 1.0.189",
-]
-
-[[package]]
-name = "uuid"
-version = "1.5.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "88ad59a7560b41a70d191093a945f0b87bc1deeda46fb237479708a1d6b6cdfc"
-dependencies = [
- "serde 1.0.189",
+ "getrandom",
+ "serde 1.0.196",
]
[[package]]
@@ -4145,10 +3193,10 @@ dependencies = [
"idna 0.4.0",
"lazy_static",
"regex",
- "serde 1.0.189",
+ "serde 1.0.196",
"serde_derive",
"serde_json",
- "url 2.4.1",
+ "url 2.5.0",
]
[[package]]
@@ -4220,12 +3268,6 @@ dependencies = [
"try-lock",
]
-[[package]]
-name = "wasi"
-version = "0.9.0+wasi-snapshot-preview1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cccddf32554fecc6acb585f82a32a72e28b48f8c4c1883ddfeeeaa96f7d8e519"
-
[[package]]
name = "wasi"
version = "0.11.0+wasi-snapshot-preview1"
@@ -4234,38 +3276,36 @@ checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
[[package]]
name = "wasm-bindgen"
-version = "0.2.87"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7706a72ab36d8cb1f80ffbf0e071533974a60d0a308d01a5d0375bf60499a342"
+checksum = "c1e124130aee3fb58c5bdd6b639a0509486b0338acaaae0c84a5124b0f588b7f"
dependencies = [
- "cfg-if 1.0.0",
- "serde 1.0.189",
- "serde_json",
+ "cfg-if",
"wasm-bindgen-macro",
]
[[package]]
name = "wasm-bindgen-backend"
-version = "0.2.87"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5ef2b6d3c510e9625e5fe6f509ab07d66a760f0885d858736483c32ed7809abd"
+checksum = "c9e7e1900c352b609c8488ad12639a311045f40a35491fb69ba8c12f758af70b"
dependencies = [
"bumpalo",
"log",
"once_cell",
"proc-macro2",
"quote",
- "syn 2.0.38",
+ "syn 2.0.48",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-futures"
-version = "0.4.37"
+version = "0.4.41"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c02dbc21516f9f1f04f187958890d7e6026df8d16540b7ad9492bc34a67cea03"
+checksum = "877b9c3f61ceea0e56331985743b13f3d25c406a7098d45180fb5f09bc19ed97"
dependencies = [
- "cfg-if 1.0.0",
+ "cfg-if",
"js-sys",
"wasm-bindgen",
"web-sys",
@@ -4273,9 +3313,9 @@ dependencies = [
[[package]]
name = "wasm-bindgen-macro"
-version = "0.2.87"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dee495e55982a3bd48105a7b947fd2a9b4a8ae3010041b9e0faab3f9cd028f1d"
+checksum = "b30af9e2d358182b5c7449424f017eba305ed32a7010509ede96cdc4696c46ed"
dependencies = [
"quote",
"wasm-bindgen-macro-support",
@@ -4283,51 +3323,38 @@ dependencies = [
[[package]]
name = "wasm-bindgen-macro-support"
-version = "0.2.87"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "54681b18a46765f095758388f2d0cf16eb8d4169b639ab575a8f5693af210c7b"
+checksum = "642f325be6301eb8107a83d12a8ac6c1e1c54345a7ef1a9261962dfefda09e66"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.38",
+ "syn 2.0.48",
"wasm-bindgen-backend",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-shared"
-version = "0.2.87"
+version = "0.2.91"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ca6ad05a4870b2bf5fe995117d3728437bd27d7cd5f06f13c17443ef369775a1"
+checksum = "4f186bd2dcf04330886ce82d6f33dd75a7bfcf69ecf5763b89fcde53b6ac9838"
[[package]]
name = "web-sys"
-version = "0.3.64"
+version = "0.3.68"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9b85cbef8c220a6abc02aefd892dfc0fc23afb1c6a426316ec33253a3877249b"
+checksum = "96565907687f7aceb35bc5fc03770a8a0471d82e479f25832f54a0e3f4b28446"
dependencies = [
"js-sys",
"wasm-bindgen",
]
-[[package]]
-name = "webpki"
-version = "0.21.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b8e38c0608262c46d4a56202ebabdeb094cef7e560ca7a226c6bf055188aa4ea"
-dependencies = [
- "ring",
- "untrusted",
-]
-
[[package]]
name = "webpki-roots"
-version = "0.20.0"
+version = "0.25.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0f20dea7535251981a9670857150d571846545088359b28e4951d350bdaf179f"
-dependencies = [
- "webpki",
-]
+checksum = "5f20c57d8d7db6d3b86154206ae5d8fba62dd39573114de97c2cb0578251f8e1"
[[package]]
name = "which"
@@ -4341,18 +3368,6 @@ dependencies = [
"rustix",
]
-[[package]]
-name = "widestring"
-version = "0.4.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c168940144dd21fd8046987c16a46a33d5fc84eec29ef9dcddc2ac9e31526b7c"
-
-[[package]]
-name = "winapi"
-version = "0.2.8"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "167dc9d6949a9b857f3451275e911c3f44255842c1f7a76f33c55103a909087a"
-
[[package]]
name = "winapi"
version = "0.3.9"
@@ -4363,12 +3378,6 @@ dependencies = [
"winapi-x86_64-pc-windows-gnu",
]
-[[package]]
-name = "winapi-build"
-version = "0.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2d315eee3b34aca4797b2da6b13ed88266e6d612562a0c46390af8299fc699bc"
-
[[package]]
name = "winapi-i686-pc-windows-gnu"
version = "0.4.0"
@@ -4381,7 +3390,7 @@ version = "0.1.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "f29e6f9198ba0d26b4c9f07dbe6f9ed633e1f3d5b8b414090084349e46a52596"
dependencies = [
- "winapi 0.3.9",
+ "winapi",
]
[[package]]
@@ -4392,11 +3401,11 @@ checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
[[package]]
name = "windows-core"
-version = "0.51.1"
+version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f1f8cf84f35d2db49a46868f947758c7a1138116f7fac3bc844f43ade1292e64"
+checksum = "33ab640c8d7e35bf8ba19b884ba838ceb4fba93a4e8c65a9059d08afcfc683d9"
dependencies = [
- "windows-targets",
+ "windows-targets 0.52.0",
]
[[package]]
@@ -4405,7 +3414,16 @@ version = "0.48.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "677d2418bec65e3338edb076e806bc1ec15693c5d0104683f2efe857f61056a9"
dependencies = [
- "windows-targets",
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "windows-sys"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "282be5f36a8ce781fad8c8ae18fa3f9beff57ec1b52cb3de0789201425d9a33d"
+dependencies = [
+ "windows-targets 0.52.0",
]
[[package]]
@@ -4414,13 +3432,28 @@ version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "9a2fa6e2155d7247be68c096456083145c183cbbbc2764150dda45a87197940c"
dependencies = [
- "windows_aarch64_gnullvm",
- "windows_aarch64_msvc",
- "windows_i686_gnu",
- "windows_i686_msvc",
- "windows_x86_64_gnu",
- "windows_x86_64_gnullvm",
- "windows_x86_64_msvc",
+ "windows_aarch64_gnullvm 0.48.5",
+ "windows_aarch64_msvc 0.48.5",
+ "windows_i686_gnu 0.48.5",
+ "windows_i686_msvc 0.48.5",
+ "windows_x86_64_gnu 0.48.5",
+ "windows_x86_64_gnullvm 0.48.5",
+ "windows_x86_64_msvc 0.48.5",
+]
+
+[[package]]
+name = "windows-targets"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8a18201040b24831fbb9e4eb208f8892e1f50a37feb53cc7ff887feb8f50e7cd"
+dependencies = [
+ "windows_aarch64_gnullvm 0.52.0",
+ "windows_aarch64_msvc 0.52.0",
+ "windows_i686_gnu 0.52.0",
+ "windows_i686_msvc 0.52.0",
+ "windows_x86_64_gnu 0.52.0",
+ "windows_x86_64_gnullvm 0.52.0",
+ "windows_x86_64_msvc 0.52.0",
]
[[package]]
@@ -4429,36 +3462,72 @@ version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "2b38e32f0abccf9987a4e3079dfb67dcd799fb61361e53e2882c3cbaf0d905d8"
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cb7764e35d4db8a7921e09562a0304bf2f93e0a51bfccee0bd0bb0b666b015ea"
+
[[package]]
name = "windows_aarch64_msvc"
version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "dc35310971f3b2dbbf3f0690a219f40e2d9afcf64f9ab7cc1be722937c26b4bc"
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bbaa0368d4f1d2aaefc55b6fcfee13f41544ddf36801e793edbbfd7d7df075ef"
+
[[package]]
name = "windows_i686_gnu"
version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "a75915e7def60c94dcef72200b9a8e58e5091744960da64ec734a6c6e9b3743e"
+[[package]]
+name = "windows_i686_gnu"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a28637cb1fa3560a16915793afb20081aba2c92ee8af57b4d5f28e4b3e7df313"
+
[[package]]
name = "windows_i686_msvc"
version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "8f55c233f70c4b27f66c523580f78f1004e8b5a8b659e05a4eb49d4166cca406"
+[[package]]
+name = "windows_i686_msvc"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ffe5e8e31046ce6230cc7215707b816e339ff4d4d67c65dffa206fd0f7aa7b9a"
+
[[package]]
name = "windows_x86_64_gnu"
version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "53d40abd2583d23e4718fddf1ebec84dbff8381c07cae67ff7768bbf19c6718e"
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3d6fa32db2bc4a2f5abeacf2b69f7992cd09dca97498da74a151a3132c26befd"
+
[[package]]
name = "windows_x86_64_gnullvm"
version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "0b7b52767868a23d5bab768e390dc5f5c55825b6d30b86c844ff2dc7414044cc"
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1a657e1e9d3f514745a572a6846d3c7aa7dbe1658c056ed9c3344c4109a6949e"
+
[[package]]
name = "windows_x86_64_msvc"
version = "0.48.5"
@@ -4466,22 +3535,10 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "ed94fce61571a4006852b7389a063ab983c02eb1bb37b47f8272ce92d06d9538"
[[package]]
-name = "winreg"
-version = "0.6.2"
+name = "windows_x86_64_msvc"
+version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b2986deb581c4fe11b621998a5e53361efe6b48a151178d0cd9eeffa4dc6acc9"
-dependencies = [
- "winapi 0.3.9",
-]
-
-[[package]]
-name = "winreg"
-version = "0.7.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0120db82e8a1e0b9fb3345a539c478767c0048d842860994d96113d5b667bd69"
-dependencies = [
- "winapi 0.3.9",
-]
+checksum = "dff9641d1cd4be8d1a070daf9e3773c5f67e78b4d9d42263020c057706765c04"
[[package]]
name = "winreg"
@@ -4489,8 +3546,8 @@ version = "0.50.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "524e57b2c537c0f9b1e69f1965311ec12182b4122e45035b1508cd24d2adadb1"
dependencies = [
- "cfg-if 1.0.0",
- "windows-sys",
+ "cfg-if",
+ "windows-sys 0.48.0",
]
[[package]]
@@ -4503,16 +3560,6 @@ dependencies = [
"regex",
]
-[[package]]
-name = "ws2_32-sys"
-version = "0.2.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d59cefebd0c892fa2dd6de581e937301d8552cb44489cdff035c6187cb63fa5e"
-dependencies = [
- "winapi 0.2.8",
- "winapi-build",
-]
-
[[package]]
name = "yaml-rust"
version = "0.4.5"
@@ -4521,3 +3568,51 @@ checksum = "56c1936c4cc7a1c9ab21a1ebb602eb942ba868cbd44a99cb7cdc5892335e1c85"
dependencies = [
"linked-hash-map",
]
+
+[[package]]
+name = "zerocopy"
+version = "0.7.32"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "74d4d3961e53fa4c9a25a8637fc2bfaf2595b3d3ae34875568a5cf64787716be"
+dependencies = [
+ "zerocopy-derive",
+]
+
+[[package]]
+name = "zerocopy-derive"
+version = "0.7.32"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9ce1b18ccd8e73a9321186f97e46f9f04b778851177567b1975109d26a08d2a6"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.48",
+]
+
+[[package]]
+name = "zstd"
+version = "0.13.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bffb3309596d527cfcba7dfc6ed6052f1d39dfbd7c867aa2e865e4a449c10110"
+dependencies = [
+ "zstd-safe",
+]
+
+[[package]]
+name = "zstd-safe"
+version = "7.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "43747c7422e2924c11144d5229878b98180ef8b06cca4ab5af37afc8a8d8ea3e"
+dependencies = [
+ "zstd-sys",
+]
+
+[[package]]
+name = "zstd-sys"
+version = "2.0.9+zstd.1.5.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9e16efa8a874a0481a574084d34cc26fdb3b99627480f785888deb6386506656"
+dependencies = [
+ "cc",
+ "pkg-config",
+]
diff --git a/pkgs/servers/syncstorage-rs/default.nix b/pkgs/by-name/sy/syncstorage-rs/package.nix
similarity index 88%
rename from pkgs/servers/syncstorage-rs/default.nix
rename to pkgs/by-name/sy/syncstorage-rs/package.nix
index 96b1945df51f..097ada49f33c 100644
--- a/pkgs/servers/syncstorage-rs/default.nix
+++ b/pkgs/by-name/sy/syncstorage-rs/package.nix
@@ -20,13 +20,13 @@ in
rustPlatform.buildRustPackage rec {
pname = "syncstorage-rs";
- version = "0.14.1";
+ version = "0.15.1";
src = fetchFromGitHub {
owner = "mozilla-services";
repo = pname;
rev = "refs/tags/${version}";
- hash = "sha256-7lIFHK0XSOtfDEy6N9jcPGOd5Por5i1CBdDZQBiHm8c=";
+ hash = "sha256-uQaiBxK+dV/AgMlKbFUvHV7bUUGX6gpypImCat7H4S8=";
};
nativeBuildInputs = [
@@ -48,7 +48,7 @@ rustPlatform.buildRustPackage rec {
cargoLock = {
lockFile = ./Cargo.lock;
outputHashes = {
- "deadpool-0.5.2" = "sha256-V3v03t8XWA6rA8RaNunq2kh2U+6Lc2C2moKdaF2bmEc=";
+ "deadpool-0.7.0" = "sha256-yQwn45EuzmPBwuT+iLJ/LLWAkBkW2vF+GLswdbpFVAY=";
};
};
diff --git a/pkgs/servers/geospatial/t-rex/default.nix b/pkgs/by-name/t-/t-rex/package.nix
similarity index 60%
rename from pkgs/servers/geospatial/t-rex/default.nix
rename to pkgs/by-name/t-/t-rex/package.nix
index c96d8a8247f5..f26592e052d0 100644
--- a/pkgs/servers/geospatial/t-rex/default.nix
+++ b/pkgs/by-name/t-/t-rex/package.nix
@@ -1,21 +1,22 @@
-{ lib, stdenv, rustPlatform, fetchFromGitHub, pkg-config, gdal, openssl, Security }:
+{ lib, stdenv, rustPlatform, fetchFromGitHub, pkg-config, gdal, openssl, darwin }:
rustPlatform.buildRustPackage rec {
pname = "t-rex";
- version = "0.14.3";
+ version = "0.15.0-alpha3";
src = fetchFromGitHub {
owner = "t-rex-tileserver";
- repo = pname;
+ repo = "t-rex";
rev = "v${version}";
- hash = "sha256-LUVk5li2cl/LKbhKOh6Bbwav0GEuI/vUbDPLn7NSRIs=";
+ hash = "sha256-oZZrR86/acoyMX3vC1JGrpc8G+DEuplqfEAnaP+TBGU=";
};
- cargoHash = "sha256-I4QmjTTKUp9iugEwzM0xCcNLvF5ozeBdYmbi8sytY88=";
+ cargoHash = "sha256-nxq4mX2Sy6Hyi8tA2CQsQwISB/kau4DEkAgIm4SvGns=";
- nativeBuildInputs = [ pkg-config ];
+ nativeBuildInputs = [ pkg-config rustPlatform.bindgenHook ];
- buildInputs = [ gdal openssl ] ++ lib.optional stdenv.isDarwin Security;
+ buildInputs = [ gdal openssl ]
+ ++ lib.optional stdenv.isDarwin darwin.apple_sdk.frameworks.Security;
meta = with lib; {
description = "Vector tile server specialized on publishing MVT tiles";
@@ -25,6 +26,5 @@ rustPlatform.buildRustPackage rec {
maintainers = teams.geospatial.members;
mainProgram = "t_rex";
platforms = platforms.unix;
- broken = true; # https://github.com/t-rex-tileserver/t-rex/issues/302
};
}
diff --git a/pkgs/by-name/ta/tabby/0001-nix-build-use-nix-native-llama-cpp-package.patch b/pkgs/by-name/ta/tabby/0001-nix-build-use-nix-native-llama-cpp-package.patch
new file mode 100644
index 000000000000..ca48d140081d
--- /dev/null
+++ b/pkgs/by-name/ta/tabby/0001-nix-build-use-nix-native-llama-cpp-package.patch
@@ -0,0 +1,29 @@
+From c0152b6bbd751313be756fdcd7b3e3912567b535 Mon Sep 17 00:00:00 2001
+From: Will Owens
+Date: Fri, 1 Mar 2024 01:37:55 -0500
+Subject: [PATCH] nix-build: use nix native llama-cpp package
+
+---
+ crates/llama-cpp-bindings/build.rs | 4 ++--
+ 1 file changed, 2 insertions(+), 2 deletions(-)
+
+diff --git a/crates/llama-cpp-bindings/build.rs b/crates/llama-cpp-bindings/build.rs
+index 06629ac4..aa004493 100644
+--- a/crates/llama-cpp-bindings/build.rs
++++ b/crates/llama-cpp-bindings/build.rs
+@@ -12,10 +12,10 @@ fn main() {
+
+ println!("cargo:rerun-if-changed=include/engine.h");
+ println!("cargo:rerun-if-changed=src/engine.cc");
++ println!("cargo:rustc-link-search=native={}", env::var("LLAMA_CPP_LIB").unwrap());
+ println!("cargo:rustc-link-lib=llama");
+- println!("cargo:rustc-link-lib=ggml_static");
++ println!("cargo:rustc-link-lib=ggml_shared");
+
+- build_llama_cpp();
+ build_cxx_binding();
+ }
+
+--
+2.43.1
+
diff --git a/pkgs/by-name/ta/tabby/Cargo.lock b/pkgs/by-name/ta/tabby/Cargo.lock
new file mode 100644
index 000000000000..ab4805aecd1d
--- /dev/null
+++ b/pkgs/by-name/ta/tabby/Cargo.lock
@@ -0,0 +1,5510 @@
+# This file is automatically @generated by Cargo.
+# It is not intended for manual editing.
+version = 3
+
+[[package]]
+name = "addr2line"
+version = "0.19.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a76fd60b23679b7d19bd066031410fb7e458ccc5e958eb5c325888ce4baedc97"
+dependencies = [
+ "gimli",
+]
+
+[[package]]
+name = "adler"
+version = "1.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f26201604c87b1e01bd3d98f8d5d9a8fcbb815e8cedb41ffccbeb4bf593a35fe"
+
+[[package]]
+name = "ahash"
+version = "0.8.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "77c3a9648d43b9cd48db467b3f87fdd6e146bcc88ab0180006cef2179fe11d01"
+dependencies = [
+ "cfg-if",
+ "once_cell",
+ "version_check",
+ "zerocopy",
+]
+
+[[package]]
+name = "aho-corasick"
+version = "1.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b2969dcb958b36655471fc61f7e416fa76033bdd4bfed0678d8fee1e2d07a1f0"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "aim-downloader"
+version = "0.8.3"
+dependencies = [
+ "async-stream",
+ "clap",
+ "custom_error",
+ "dotenvy",
+ "futures-util",
+ "home",
+ "indicatif",
+ "netrc",
+ "regex",
+ "reqwest",
+ "serial_test 2.0.0",
+ "sha2",
+ "strfmt",
+ "tokio",
+ "tokio-util",
+ "url-parse",
+]
+
+[[package]]
+name = "allocator-api2"
+version = "0.2.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0942ffc6dcaadf03badf6e6a2d0228460359d5e34b57ccdc720b7382dfbd5ec5"
+
+[[package]]
+name = "android-tzdata"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e999941b234f3131b00bc13c22d06e8c5ff726d1b6318ac7eb276997bbb4fef0"
+
+[[package]]
+name = "android_system_properties"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "819e7219dbd41043ac279b19830f2efc897156490d7fd6ea916720117ee66311"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "ansi_term"
+version = "0.12.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d52a9bb7ec0cf484c551830a7ce27bd20d67eac647e1befb56b0be4ee39a55d2"
+dependencies = [
+ "winapi",
+]
+
+[[package]]
+name = "anstream"
+version = "0.6.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2ab91ebe16eb252986481c5b62f6098f3b698a45e34b5b98200cf20dd2484a44"
+dependencies = [
+ "anstyle",
+ "anstyle-parse",
+ "anstyle-query",
+ "anstyle-wincon",
+ "colorchoice",
+ "utf8parse",
+]
+
+[[package]]
+name = "anstyle"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "41ed9a86bf92ae6580e0a31281f65a1b1d867c0cc68d5346e2ae128dddfa6a7d"
+
+[[package]]
+name = "anstyle-parse"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e765fd216e48e067936442276d1d57399e37bce53c264d6fefbe298080cb57ee"
+dependencies = [
+ "utf8parse",
+]
+
+[[package]]
+name = "anstyle-query"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5ca11d4be1bab0c8bc8734a9aa7bf4ee8316d462a08c6ac5052f888fef5b494b"
+dependencies = [
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "anstyle-wincon"
+version = "3.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f0699d10d2f4d628a98ee7b57b289abbc98ff3bad977cb3152709d4bf2330628"
+dependencies = [
+ "anstyle",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "anyhow"
+version = "1.0.71"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9c7d0618f0e0b7e8ff11427422b64564d5fb0be1940354bfe2e0529b18a9d9b8"
+
+[[package]]
+name = "arc-swap"
+version = "1.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bddcadddf5e9015d310179a59bb28c4d4b9920ad0f11e8e14dbadf654890c9a6"
+
+[[package]]
+name = "argon2"
+version = "0.5.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "17ba4cac0a46bc1d2912652a751c47f2a9f3a7fe89bcae2275d418f5270402f9"
+dependencies = [
+ "base64ct",
+ "blake2",
+ "cpufeatures",
+ "password-hash",
+]
+
+[[package]]
+name = "ascii"
+version = "0.9.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eab1c04a571841102f5345a8fc0f6bb3d31c315dec879b5c6e42e40ce7ffa34e"
+
+[[package]]
+name = "assert-json-diff"
+version = "2.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "47e4f2b81832e72834d7518d8487a0396a28cc408186a2e8854c0f98011faf12"
+dependencies = [
+ "serde",
+ "serde_json",
+]
+
+[[package]]
+name = "assert_matches"
+version = "1.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9b34d609dfbaf33d6889b2b7106d3ca345eacad44200913df5ba02bfd31d2ba9"
+
+[[package]]
+name = "async-stream"
+version = "0.3.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cd56dd203fef61ac097dd65721a419ddccb106b2d2b70ba60a6b529f03961a51"
+dependencies = [
+ "async-stream-impl",
+ "futures-core",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "async-stream-impl"
+version = "0.3.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "16e62a023e7c117e27523144c5d2459f4397fcc3cab0085af8e2224f643a0193"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.32",
+]
+
+[[package]]
+name = "async-trait"
+version = "0.1.74"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a66537f1bb974b254c98ed142ff995236e81b9d0fe4db0575f46612cb15eb0f9"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.32",
+]
+
+[[package]]
+name = "autocfg"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d468802bab17cbc0cc575e9b053f41e72aa36bfa6b7f55e3529ffa43161b97fa"
+
+[[package]]
+name = "axum"
+version = "0.6.20"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3b829e4e32b91e643de6eafe82b1d90675f5874230191a4ffbc1b336dec4d6bf"
+dependencies = [
+ "async-trait",
+ "axum-core",
+ "base64 0.21.5",
+ "bitflags 1.3.2",
+ "bytes",
+ "futures-util",
+ "headers",
+ "http",
+ "http-body",
+ "hyper",
+ "itoa",
+ "matchit",
+ "memchr",
+ "mime",
+ "percent-encoding",
+ "pin-project-lite",
+ "rustversion",
+ "serde",
+ "serde_json",
+ "serde_path_to_error",
+ "serde_urlencoded",
+ "sha1",
+ "sync_wrapper",
+ "tokio",
+ "tokio-tungstenite",
+ "tower",
+ "tower-layer",
+ "tower-service",
+]
+
+[[package]]
+name = "axum-core"
+version = "0.3.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "759fa577a247914fd3f7f76d62972792636412fbfd634cd452f6a385a74d2d2c"
+dependencies = [
+ "async-trait",
+ "bytes",
+ "futures-util",
+ "http",
+ "http-body",
+ "mime",
+ "rustversion",
+ "tower-layer",
+ "tower-service",
+]
+
+[[package]]
+name = "axum-prometheus"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "97def327c5481791abb57ac295bfc70f2e1a0727675b7dbf74bd1b27a72b6fd8"
+dependencies = [
+ "axum",
+ "axum-core",
+ "bytes",
+ "futures",
+ "futures-core",
+ "http",
+ "http-body",
+ "matchit",
+ "metrics",
+ "metrics-exporter-prometheus",
+ "once_cell",
+ "pin-project",
+ "tokio",
+ "tower",
+ "tower-http 0.4.0",
+]
+
+[[package]]
+name = "axum-tracing-opentelemetry"
+version = "0.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "164b95427e83b79583c7699a72b4a6b485a12bbdef5b5c054ee5ff2296d82f52"
+dependencies = [
+ "axum",
+ "futures",
+ "http",
+ "opentelemetry",
+ "tower",
+ "tower-http 0.3.5",
+ "tracing",
+ "tracing-opentelemetry",
+]
+
+[[package]]
+name = "backtrace"
+version = "0.3.67"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "233d376d6d185f2a3093e58f283f60f880315b6c60075b01f36b3b85154564ca"
+dependencies = [
+ "addr2line",
+ "cc",
+ "cfg-if",
+ "libc",
+ "miniz_oxide 0.6.2",
+ "object",
+ "rustc-demangle",
+]
+
+[[package]]
+name = "base64"
+version = "0.13.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9e1b586273c5702936fe7b7d6896644d8be71e6314cfe09d3167c95f712589e8"
+
+[[package]]
+name = "base64"
+version = "0.21.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "35636a1494ede3b646cc98f74f8e62c773a38a659ebc777a2cf26b9b74171df9"
+
+[[package]]
+name = "base64ct"
+version = "1.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8c3c1a368f70d6cf7302d78f8f7093da241fb8e8807c05cc9e51a125895a6d5b"
+
+[[package]]
+name = "bincode"
+version = "1.3.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b1f45e9417d87227c7a56d22e471c6206462cba514c7590c09aff4cf6d1ddcad"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "bitflags"
+version = "1.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a"
+
+[[package]]
+name = "bitflags"
+version = "2.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b4682ae6287fcf752ecaabbfcc7b6f9b72aa33933dc23a554d853aea8eea8635"
+
+[[package]]
+name = "bitpacking"
+version = "0.8.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a8c7d2ac73c167c06af4a5f37e6e59d84148d57ccbe4480b76f0273eefea82d7"
+dependencies = [
+ "crunchy",
+]
+
+[[package]]
+name = "blake2"
+version = "0.10.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "46502ad458c9a52b69d4d4d32775c788b7a1b85e8bc9d482d92250fc0e3f8efe"
+dependencies = [
+ "digest",
+]
+
+[[package]]
+name = "block-buffer"
+version = "0.10.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3078c7629b62d3f0439517fa394996acacc5cbc91c5a20d8c658e77abd503a71"
+dependencies = [
+ "generic-array",
+]
+
+[[package]]
+name = "bson"
+version = "1.2.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "de0aa578035b938855a710ba58d43cfb4d435f3619f99236fb35922a574d6cb1"
+dependencies = [
+ "base64 0.13.1",
+ "chrono",
+ "hex",
+ "lazy_static",
+ "linked-hash-map",
+ "rand 0.7.3",
+ "serde",
+ "serde_json",
+ "uuid 0.8.2",
+]
+
+[[package]]
+name = "bstr"
+version = "1.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c79ad7fb2dd38f3dabd76b09c6a5a20c038fc0213ef1e9afd30eb777f120f019"
+dependencies = [
+ "memchr",
+ "serde",
+]
+
+[[package]]
+name = "bumpalo"
+version = "3.13.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a3e2c3daef883ecc1b5d58c15adae93470a91d425f3532ba1695849656af3fc1"
+
+[[package]]
+name = "byteorder"
+version = "1.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "14c189c53d098945499cdfa7ecc63567cf3886b3332b312a5b4585d8d3a6a610"
+
+[[package]]
+name = "bytes"
+version = "1.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a2bd12c1caf447e69cd4528f47f94d203fd2582878ecb9e9465484c4148a8223"
+
+[[package]]
+name = "cargo-lock"
+version = "9.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e11c675378efb449ed3ce8de78d75d0d80542fc98487c26aba28eb3b82feac72"
+dependencies = [
+ "petgraph",
+ "semver",
+ "serde",
+ "toml",
+ "url",
+]
+
+[[package]]
+name = "cc"
+version = "1.0.83"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f1174fb0b6ec23863f8b971027804a42614e347eafb0a95bf0b12cdae21fc4d0"
+dependencies = [
+ "jobserver",
+ "libc",
+]
+
+[[package]]
+name = "census"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0fafee10a5dd1cffcb5cc560e0d0df8803d7355a2b12272e3557dee57314cb6e"
+
+[[package]]
+name = "cfg-if"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd"
+
+[[package]]
+name = "chrono"
+version = "0.4.31"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f2c685bad3eb3d45a01354cedb7d5faa66194d1d58ba6e267a8de788f79db38"
+dependencies = [
+ "android-tzdata",
+ "iana-time-zone",
+ "js-sys",
+ "num-traits",
+ "serde",
+ "wasm-bindgen",
+ "windows-targets 0.48.0",
+]
+
+[[package]]
+name = "chumsky"
+version = "0.9.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8eebd66744a15ded14960ab4ccdbfb51ad3b81f51f3f04a80adac98c985396c9"
+dependencies = [
+ "hashbrown 0.14.3",
+ "stacker",
+]
+
+[[package]]
+name = "clap"
+version = "4.4.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bfaff671f6b22ca62406885ece523383b9b64022e341e53e009a62ebc47a45f2"
+dependencies = [
+ "clap_builder",
+ "clap_derive",
+]
+
+[[package]]
+name = "clap_builder"
+version = "4.4.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a216b506622bb1d316cd51328dce24e07bdff4a6128a47c7e7fad11878d5adbb"
+dependencies = [
+ "anstream",
+ "anstyle",
+ "clap_lex",
+ "strsim 0.10.0",
+]
+
+[[package]]
+name = "clap_derive"
+version = "4.4.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cf9804afaaf59a91e75b022a30fb7229a7901f60c755489cc61c9b423b836442"
+dependencies = [
+ "heck",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.32",
+]
+
+[[package]]
+name = "clap_lex"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "702fc72eb24e5a1e48ce58027a675bc24edd52096d5397d4aea7c6dd9eca0bd1"
+
+[[package]]
+name = "cmake"
+version = "0.1.50"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a31c789563b815f77f4250caee12365734369f942439b7defd71e18a48197130"
+dependencies = [
+ "cc",
+]
+
+[[package]]
+name = "codespan-reporting"
+version = "0.11.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3538270d33cc669650c4b093848450d380def10c331d38c768e34cac80576e6e"
+dependencies = [
+ "termcolor",
+ "unicode-width",
+]
+
+[[package]]
+name = "colorchoice"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "acbf1af155f9b9ef647e42cdc158db4b64a1b61f743629225fde6f3e0be2a7c7"
+
+[[package]]
+name = "combine"
+version = "3.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "da3da6baa321ec19e1cc41d31bf599f00c783d0517095cdaf0332e3fe8d20680"
+dependencies = [
+ "ascii",
+ "byteorder",
+ "either",
+ "memchr",
+ "unreachable",
+]
+
+[[package]]
+name = "console"
+version = "0.15.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c926e00cc70edefdc64d3a5ff31cc65bb97a3460097762bd23afb4d8145fccf8"
+dependencies = [
+ "encode_unicode",
+ "lazy_static",
+ "libc",
+ "unicode-width",
+ "windows-sys 0.45.0",
+]
+
+[[package]]
+name = "core-foundation"
+version = "0.9.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "194a7a9e6de53fa55116934067c844d9d749312f75c6f6d0980e8c252f8c2146"
+dependencies = [
+ "core-foundation-sys",
+ "libc",
+]
+
+[[package]]
+name = "core-foundation-sys"
+version = "0.8.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e496a50fda8aacccc86d7529e2c1e0892dbd0f898a6b5645b5561b89c3210efa"
+
+[[package]]
+name = "cpufeatures"
+version = "0.2.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ce420fe07aecd3e67c5f910618fe65e94158f6dcc0adf44e00d69ce2bdfe0fd0"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "crc32fast"
+version = "1.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b540bd8bc810d3885c6ea91e2018302f68baba2129ab3e88f32389ee9370880d"
+dependencies = [
+ "cfg-if",
+]
+
+[[package]]
+name = "cron"
+version = "0.6.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ab00a636277f7ea5d8dd92ac7a5099fc9a46e5327bba84d3640b41ae127eada9"
+dependencies = [
+ "chrono",
+ "error-chain",
+ "nom 4.1.1",
+]
+
+[[package]]
+name = "cron"
+version = "0.12.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1ff76b51e4c068c52bfd2866e1567bee7c567ae8f24ada09fd4307019e25eab7"
+dependencies = [
+ "chrono",
+ "nom 7.1.3",
+ "once_cell",
+]
+
+[[package]]
+name = "crossbeam-channel"
+version = "0.5.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a33c2bf77f2df06183c3aa30d1e96c0695a313d4f9c453cc3762a6db39f99200"
+dependencies = [
+ "cfg-if",
+ "crossbeam-utils",
+]
+
+[[package]]
+name = "crossbeam-deque"
+version = "0.8.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ce6fd6f855243022dcecf8702fef0c297d4338e226845fe067f6341ad9fa0cef"
+dependencies = [
+ "cfg-if",
+ "crossbeam-epoch",
+ "crossbeam-utils",
+]
+
+[[package]]
+name = "crossbeam-epoch"
+version = "0.9.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "46bd5f3f85273295a9d14aedfb86f6aadbff6d8f5295c4a9edb08e819dcf5695"
+dependencies = [
+ "autocfg",
+ "cfg-if",
+ "crossbeam-utils",
+ "memoffset",
+ "scopeguard",
+]
+
+[[package]]
+name = "crossbeam-utils"
+version = "0.8.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5a22b2d63d4d1dc0b7f1b6b2747dd0088008a9be28b6ddf0b1e7d335e3037294"
+dependencies = [
+ "cfg-if",
+]
+
+[[package]]
+name = "crunchy"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7a81dae078cea95a014a339291cec439d2f232ebe854a9d672b796c6afafa9b7"
+
+[[package]]
+name = "crypto-common"
+version = "0.1.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1bfb12502f3fc46cca1bb51ac28df9d618d813cdc3d2f25b9fe775a34af26bb3"
+dependencies = [
+ "generic-array",
+ "typenum",
+]
+
+[[package]]
+name = "custom_error"
+version = "1.9.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4f8a51dd197fa6ba5b4dc98a990a43cc13693c23eb0089ebb0fcc1f04152bca6"
+
+[[package]]
+name = "cxx"
+version = "1.0.95"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "109308c20e8445959c2792e81871054c6a17e6976489a93d2769641a2ba5839c"
+dependencies = [
+ "cc",
+ "cxxbridge-flags",
+ "cxxbridge-macro",
+ "link-cplusplus",
+]
+
+[[package]]
+name = "cxx-build"
+version = "1.0.95"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "daf4c6755cdf10798b97510e0e2b3edb9573032bd9379de8fffa59d68165494f"
+dependencies = [
+ "cc",
+ "codespan-reporting",
+ "once_cell",
+ "proc-macro2",
+ "quote",
+ "scratch",
+ "syn 2.0.32",
+]
+
+[[package]]
+name = "cxxbridge-flags"
+version = "1.0.95"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "882074421238e84fe3b4c65d0081de34e5b323bf64555d3e61991f76eb64a7bb"
+
+[[package]]
+name = "cxxbridge-macro"
+version = "1.0.95"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4a076022ece33e7686fb76513518e219cca4fce5750a8ae6d1ce6c0f48fd1af9"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.32",
+]
+
+[[package]]
+name = "darling"
+version = "0.10.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0d706e75d87e35569db781a9b5e2416cff1236a47ed380831f959382ccd5f858"
+dependencies = [
+ "darling_core 0.10.2",
+ "darling_macro 0.10.2",
+]
+
+[[package]]
+name = "darling"
+version = "0.14.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7b750cb3417fd1b327431a470f388520309479ab0bf5e323505daf0290cd3850"
+dependencies = [
+ "darling_core 0.14.4",
+ "darling_macro 0.14.4",
+]
+
+[[package]]
+name = "darling_core"
+version = "0.10.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f0c960ae2da4de88a91b2d920c2a7233b400bc33cb28453a2987822d8392519b"
+dependencies = [
+ "fnv",
+ "ident_case",
+ "proc-macro2",
+ "quote",
+ "strsim 0.9.3",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "darling_core"
+version = "0.14.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "109c1ca6e6b7f82cc233a97004ea8ed7ca123a9af07a8230878fcfda9b158bf0"
+dependencies = [
+ "fnv",
+ "ident_case",
+ "proc-macro2",
+ "quote",
+ "strsim 0.10.0",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "darling_macro"
+version = "0.10.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d9b5a2f4ac4969822c62224815d069952656cadc7084fdca9751e6d959189b72"
+dependencies = [
+ "darling_core 0.10.2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "darling_macro"
+version = "0.14.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a4aab4dbc9f7611d8b55048a3a16d2d010c2c8334e46304b40ac1cc14bf3b48e"
+dependencies = [
+ "darling_core 0.14.4",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "dashmap"
+version = "5.5.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "978747c1d849a7d2ee5e8adc0159961c48fb7e5db2f06af6723b80123bb53856"
+dependencies = [
+ "cfg-if",
+ "hashbrown 0.14.3",
+ "lock_api",
+ "once_cell",
+ "parking_lot_core",
+]
+
+[[package]]
+name = "data-encoding"
+version = "2.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c2e66c9d817f1720209181c316d28635c050fa304f9c79e47a520882661b7308"
+
+[[package]]
+name = "deranged"
+version = "0.3.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f2696e8a945f658fd14dc3b87242e6b80cd0f36ff04ea560fa39082368847946"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "derive_builder"
+version = "0.12.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8d67778784b508018359cbc8696edb3db78160bab2c2a28ba7f56ef6932997f8"
+dependencies = [
+ "derive_builder_macro",
+]
+
+[[package]]
+name = "derive_builder_core"
+version = "0.12.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c11bdc11a0c47bc7d37d582b5285da6849c96681023680b906673c5707af7b0f"
+dependencies = [
+ "darling 0.14.4",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "derive_builder_macro"
+version = "0.12.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ebcda35c7a396850a55ffeac740804b40ffec779b98fffbb1738f4033f0ee79e"
+dependencies = [
+ "derive_builder_core",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "derive_utils"
+version = "0.11.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "532b4c15dccee12c7044f1fcad956e98410860b22231e44a3b827464797ca7bf"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "digest"
+version = "0.10.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9ed9a281f7bc9b7576e61468ba615a66a5c8cfdff42420a70aa82701a3b1e292"
+dependencies = [
+ "block-buffer",
+ "crypto-common",
+ "subtle",
+]
+
+[[package]]
+name = "dirs"
+version = "4.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ca3aa72a6f96ea37bbc5aa912f6788242832f75369bdfdadcb0e38423f100059"
+dependencies = [
+ "dirs-sys",
+]
+
+[[package]]
+name = "dirs-sys"
+version = "0.3.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1b1d1d91c932ef41c0f2663aa8b0ca0342d444d842c06914aa0a7e352d0bada6"
+dependencies = [
+ "libc",
+ "redox_users",
+ "winapi",
+]
+
+[[package]]
+name = "dotenvy"
+version = "0.15.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1aaf95b3e5c8f23aa320147307562d361db0ae0d51242340f558153b4eb2439b"
+
+[[package]]
+name = "downcast-rs"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9ea835d29036a4087793836fa931b08837ad5e957da9e23886b29586fb9b6650"
+
+[[package]]
+name = "either"
+version = "1.8.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7fcaabb2fef8c910e7f4c7ce9f67a1283a1715879a7c230ca9d6d1ae31f16d91"
+
+[[package]]
+name = "email-encoding"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dbfb21b9878cf7a348dcb8559109aabc0ec40d69924bd706fa5149846c4fef75"
+dependencies = [
+ "base64 0.21.5",
+ "memchr",
+]
+
+[[package]]
+name = "email_address"
+version = "0.2.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e2153bd83ebc09db15bcbdc3e2194d901804952e3dc96967e1cd3b0c5c32d112"
+
+[[package]]
+name = "encode_unicode"
+version = "0.3.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a357d28ed41a50f9c765dbfe56cbc04a64e53e5fc58ba79fbc34c10ef3df831f"
+
+[[package]]
+name = "encoding_rs"
+version = "0.8.32"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "071a31f4ee85403370b58aca746f01041ede6f0da2730960ad001edc2b71b394"
+dependencies = [
+ "cfg-if",
+]
+
+[[package]]
+name = "equivalent"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5443807d6dff69373d433ab9ef5378ad8df50ca6298caf15de6e52e24aaf54d5"
+
+[[package]]
+name = "errno"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4bcfec3a70f97c962c307b2d2c56e358cf1d00b558d74262b5f929ee8cc7e73a"
+dependencies = [
+ "errno-dragonfly",
+ "libc",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "errno-dragonfly"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "aa68f1b12764fab894d2755d2518754e71b4fd80ecfb822714a1206c2aab39bf"
+dependencies = [
+ "cc",
+ "libc",
+]
+
+[[package]]
+name = "error-chain"
+version = "0.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d9435d864e017c3c6afeac1654189b06cdb491cf2ff73dbf0d73b0f292f42ff8"
+dependencies = [
+ "backtrace",
+]
+
+[[package]]
+name = "fallible-iterator"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2acce4a10f12dc2fb14a218589d4f1f62ef011b2d0cc4b3cb1bba8e94da14649"
+
+[[package]]
+name = "fallible-streaming-iterator"
+version = "0.1.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7360491ce676a36bf9bb3c56c1aa791658183a54d2744120f27285738d90465a"
+
+[[package]]
+name = "fastdivide"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "25c7df09945d65ea8d70b3321547ed414bbc540aad5bac6883d021b970f35b04"
+
+[[package]]
+name = "fastrand"
+version = "1.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e51093e27b0797c359783294ca4f0a911c270184cb10f85783b118614a1501be"
+dependencies = [
+ "instant",
+]
+
+[[package]]
+name = "fastrand"
+version = "2.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "25cbce373ec4653f1a01a31e8a5e5ec0c622dc27ff9c4e6606eefef5cbbed4a5"
+
+[[package]]
+name = "file-rotate"
+version = "0.7.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ddf221ceec4517f3cb764dae3541b2bd87666fc8832e51322fbb97250b468c71"
+dependencies = [
+ "chrono",
+ "flate2",
+]
+
+[[package]]
+name = "filenamify"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b781e8974b2cc71ac3c587c881c11ee5fe9a379f43503674e1e1052647593b4c"
+dependencies = [
+ "regex",
+]
+
+[[package]]
+name = "fixedbitset"
+version = "0.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0ce7134b9999ecaf8bcd65542e436736ef32ddca1b3e06094cb6ec5755203b80"
+
+[[package]]
+name = "flate2"
+version = "1.0.26"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3b9429470923de8e8cbd4d2dc513535400b4b3fef0319fb5c4e1f520a7bef743"
+dependencies = [
+ "crc32fast",
+ "miniz_oxide 0.7.1",
+]
+
+[[package]]
+name = "fnv"
+version = "1.0.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3f9eec918d3f24069decb9af1554cad7c880e2da24a9afd88aca000531ab82c1"
+
+[[package]]
+name = "foreign-types"
+version = "0.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f6f339eb8adc052cd2ca78910fda869aefa38d22d5cb648e6485e4d3fc06f3b1"
+dependencies = [
+ "foreign-types-shared",
+]
+
+[[package]]
+name = "foreign-types-shared"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "00b0228411908ca8685dba7fc2cdd70ec9990a6e753e89b6ac91a84c40fbaf4b"
+
+[[package]]
+name = "form_urlencoded"
+version = "1.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e13624c2627564efccf4934284bdd98cbaa14e79b0b5a141218e507b3a823456"
+dependencies = [
+ "percent-encoding",
+]
+
+[[package]]
+name = "fs4"
+version = "0.6.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2eeb4ed9e12f43b7fa0baae3f9cdda28352770132ef2e09a23760c29cae8bd47"
+dependencies = [
+ "rustix 0.38.17",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "futures"
+version = "0.3.28"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "23342abe12aba583913b2e62f22225ff9c950774065e4bfb61a19cd9770fec40"
+dependencies = [
+ "futures-channel",
+ "futures-core",
+ "futures-executor",
+ "futures-io",
+ "futures-sink",
+ "futures-task",
+ "futures-util",
+]
+
+[[package]]
+name = "futures-channel"
+version = "0.3.29"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ff4dd66668b557604244583e3e1e1eada8c5c2e96a6d0d6653ede395b78bbacb"
+dependencies = [
+ "futures-core",
+ "futures-sink",
+]
+
+[[package]]
+name = "futures-core"
+version = "0.3.29"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eb1d22c66e66d9d72e1758f0bd7d4fd0bee04cad842ee34587d68c07e45d088c"
+
+[[package]]
+name = "futures-enum"
+version = "0.1.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3422d14de7903a52e9dbc10ae05a7e14445ec61890100e098754e120b2bd7b1e"
+dependencies = [
+ "derive_utils",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "futures-executor"
+version = "0.3.28"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ccecee823288125bd88b4d7f565c9e58e41858e47ab72e8ea2d64e93624386e0"
+dependencies = [
+ "futures-core",
+ "futures-task",
+ "futures-util",
+]
+
+[[package]]
+name = "futures-io"
+version = "0.3.29"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8bf34a163b5c4c52d0478a4d757da8fb65cabef42ba90515efee0f6f9fa45aaa"
+
+[[package]]
+name = "futures-macro"
+version = "0.3.29"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "53b153fd91e4b0147f4aced87be237c98248656bb01050b96bf3ee89220a8ddb"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.32",
+]
+
+[[package]]
+name = "futures-sink"
+version = "0.3.29"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e36d3378ee38c2a36ad710c5d30c2911d752cb941c00c72dbabfb786a7970817"
+
+[[package]]
+name = "futures-task"
+version = "0.3.29"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "efd193069b0ddadc69c46389b740bbccdd97203899b48d09c5f7969591d6bae2"
+
+[[package]]
+name = "futures-util"
+version = "0.3.29"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a19526d624e703a3179b3d322efec918b6246ea0fa51d41124525f00f1cc8104"
+dependencies = [
+ "futures-channel",
+ "futures-core",
+ "futures-io",
+ "futures-macro",
+ "futures-sink",
+ "futures-task",
+ "memchr",
+ "pin-project-lite",
+ "pin-utils",
+ "slab",
+]
+
+[[package]]
+name = "generator"
+version = "0.7.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f3e123d9ae7c02966b4d892e550bdc32164f05853cd40ab570650ad600596a8a"
+dependencies = [
+ "cc",
+ "libc",
+ "log",
+ "rustversion",
+ "windows",
+]
+
+[[package]]
+name = "generic-array"
+version = "0.14.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "85649ca51fd72272d7821adaf274ad91c288277713d9c18820d8499a7ff69e9a"
+dependencies = [
+ "typenum",
+ "version_check",
+]
+
+[[package]]
+name = "getrandom"
+version = "0.1.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8fc3cb4d91f53b50155bdcfd23f6a4c39ae1969c2ae85982b135750cccaf5fce"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "wasi 0.9.0+wasi-snapshot-preview1",
+]
+
+[[package]]
+name = "getrandom"
+version = "0.2.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fe9006bed769170c11f845cf00c7c1e9092aeb3f268e007c3e760ac68008070f"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "wasi 0.11.0+wasi-snapshot-preview1",
+]
+
+[[package]]
+name = "gimli"
+version = "0.27.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ad0a93d233ebf96623465aad4046a8d3aa4da22d4f4beba5388838c8a434bbb4"
+
+[[package]]
+name = "glob"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d2fabcfbdc87f4758337ca535fb41a6d701b65693ce38287d856d1674551ec9b"
+
+[[package]]
+name = "globset"
+version = "0.4.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "759c97c1e17c55525b57192c06a267cda0ac5210b222d6b82189a2338fa1c13d"
+dependencies = [
+ "aho-corasick",
+ "bstr",
+ "fnv",
+ "log",
+ "regex",
+]
+
+[[package]]
+name = "globwalk"
+version = "0.7.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d9db17aec586697a93219b19726b5b68307eba92898c34b170857343fe67c99d"
+dependencies = [
+ "ignore",
+ "walkdir",
+]
+
+[[package]]
+name = "graphql-parser"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d1abd4ce5247dfc04a03ccde70f87a048458c9356c7e41d21ad8c407b3dde6f2"
+dependencies = [
+ "combine",
+ "thiserror",
+]
+
+[[package]]
+name = "h2"
+version = "0.3.19"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d357c7ae988e7d2182f7d7871d0b963962420b0678b0997ce7de72001aeab782"
+dependencies = [
+ "bytes",
+ "fnv",
+ "futures-core",
+ "futures-sink",
+ "futures-util",
+ "http",
+ "indexmap 1.9.3",
+ "slab",
+ "tokio",
+ "tokio-util",
+ "tracing",
+]
+
+[[package]]
+name = "hashbrown"
+version = "0.12.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8a9ee70c43aaf417c914396645a0fa852624801b24ebb7ae78fe8272889ac888"
+
+[[package]]
+name = "hashbrown"
+version = "0.13.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "33ff8ae62cd3a9102e5637afc8452c55acf3844001bd5374e0b0bd7b6616c038"
+dependencies = [
+ "ahash",
+]
+
+[[package]]
+name = "hashbrown"
+version = "0.14.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "290f1a1d9242c78d09ce40a5e87e7554ee637af1351968159f4952f028f75604"
+dependencies = [
+ "ahash",
+ "allocator-api2",
+]
+
+[[package]]
+name = "hashlink"
+version = "0.8.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e8094feaf31ff591f651a2664fb9cfd92bba7a60ce3197265e9482ebe753c8f7"
+dependencies = [
+ "hashbrown 0.14.3",
+]
+
+[[package]]
+name = "headers"
+version = "0.3.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f3e372db8e5c0d213e0cd0b9be18be2aca3d44cf2fe30a9d46a65581cd454584"
+dependencies = [
+ "base64 0.13.1",
+ "bitflags 1.3.2",
+ "bytes",
+ "headers-core",
+ "http",
+ "httpdate",
+ "mime",
+ "sha1",
+]
+
+[[package]]
+name = "headers-core"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e7f66481bfee273957b1f20485a4ff3362987f85b2c236580d81b4eb7a326429"
+dependencies = [
+ "http",
+]
+
+[[package]]
+name = "heck"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "95505c38b4572b2d910cecb0281560f54b440a19336cbbcb27bf6ce6adc6f5a8"
+
+[[package]]
+name = "hermit-abi"
+version = "0.2.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ee512640fe35acbfb4bb779db6f0d80704c2cacfa2e39b601ef3e3f47d1ae4c7"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "hermit-abi"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fed44880c466736ef9a5c5b5facefb5ed0785676d0c02d612db14e54f0d84286"
+
+[[package]]
+name = "hex"
+version = "0.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f24254aa9a54b5c858eaee2f5bccdb46aaf0e486a595ed5fd8f86ba55232a70"
+
+[[package]]
+name = "home"
+version = "0.5.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5444c27eef6923071f7ebcc33e3444508466a76f7a2b93da00ed6e19f30c1ddb"
+dependencies = [
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "hostname"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3c731c3e10504cc8ed35cfe2f1db4c9274c3d35fa486e3b31df46f068ef3e867"
+dependencies = [
+ "libc",
+ "match_cfg",
+ "winapi",
+]
+
+[[package]]
+name = "htmlescape"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e9025058dae765dee5070ec375f591e2ba14638c63feff74f13805a72e523163"
+
+[[package]]
+name = "http"
+version = "0.2.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8947b1a6fad4393052c7ba1f4cd97bed3e953a95c79c92ad9b051a04611d9fbb"
+dependencies = [
+ "bytes",
+ "fnv",
+ "itoa",
+]
+
+[[package]]
+name = "http-api-bindings"
+version = "0.8.3"
+dependencies = [
+ "anyhow",
+ "async-trait",
+ "futures",
+ "reqwest",
+ "serde",
+ "serde_json",
+ "tabby-inference",
+ "tokio",
+ "tracing",
+]
+
+[[package]]
+name = "http-body"
+version = "0.4.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d5f38f16d184e36f2408a55281cd658ecbd3ca05cce6d6510a176eca393e26d1"
+dependencies = [
+ "bytes",
+ "http",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "http-range-header"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0bfe8eed0a9285ef776bb792479ea3834e8b94e13d615c2f66d03dd50a435a29"
+
+[[package]]
+name = "httparse"
+version = "1.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d897f394bad6a705d5f4104762e116a75639e470d80901eed05a860a95cb1904"
+
+[[package]]
+name = "httpdate"
+version = "1.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c4a1e36c821dbe04574f602848a19f742f4fb3c98d40449f11bcad18d6b17421"
+
+[[package]]
+name = "humantime"
+version = "2.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9a3a5bfb195931eeb336b2a7b4d761daec841b97f947d34394601737a7bba5e4"
+
+[[package]]
+name = "hyper"
+version = "0.14.27"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ffb1cfd654a8219eaef89881fdb3bb3b1cdc5fa75ded05d6933b2b382e395468"
+dependencies = [
+ "bytes",
+ "futures-channel",
+ "futures-core",
+ "futures-util",
+ "h2",
+ "http",
+ "http-body",
+ "httparse",
+ "httpdate",
+ "itoa",
+ "pin-project-lite",
+ "socket2 0.4.9",
+ "tokio",
+ "tower-service",
+ "tracing",
+ "want",
+]
+
+[[package]]
+name = "hyper-timeout"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bbb958482e8c7be4bc3cf272a766a2b0bf1a6755e7a6ae777f017a31d11b13b1"
+dependencies = [
+ "hyper",
+ "pin-project-lite",
+ "tokio",
+ "tokio-io-timeout",
+]
+
+[[package]]
+name = "hyper-tls"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d6183ddfa99b85da61a140bea0efc93fdf56ceaa041b37d553518030827f9905"
+dependencies = [
+ "bytes",
+ "hyper",
+ "native-tls",
+ "tokio",
+ "tokio-native-tls",
+]
+
+[[package]]
+name = "iana-time-zone"
+version = "0.1.57"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2fad5b825842d2b38bd206f3e81d6957625fd7f0a361e345c30e01a0ae2dd613"
+dependencies = [
+ "android_system_properties",
+ "core-foundation-sys",
+ "iana-time-zone-haiku",
+ "js-sys",
+ "wasm-bindgen",
+ "windows",
+]
+
+[[package]]
+name = "iana-time-zone-haiku"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f31827a206f56af32e590ba56d5d2d085f558508192593743f16b2306495269f"
+dependencies = [
+ "cc",
+]
+
+[[package]]
+name = "ident_case"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b9e0384b61958566e926dc50660321d12159025e767c18e043daf26b70104c39"
+
+[[package]]
+name = "idna"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7d20d6b07bfbc108882d88ed8e37d39636dcc260e15e30c45e6ba089610b917c"
+dependencies = [
+ "unicode-bidi",
+ "unicode-normalization",
+]
+
+[[package]]
+name = "idna"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "634d9b1461af396cad843f47fdba5597a4f9e6ddd4bfb6ff5d85028c25cb12f6"
+dependencies = [
+ "unicode-bidi",
+ "unicode-normalization",
+]
+
+[[package]]
+name = "if_chain"
+version = "1.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cb56e1aa765b4b4f3aadfab769793b7087bb03a4ea4920644a6d238e2df5b9ed"
+
+[[package]]
+name = "ignore"
+version = "0.4.20"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dbe7873dab538a9a44ad79ede1faf5f30d49f9a5c883ddbab48bce81b64b7492"
+dependencies = [
+ "globset",
+ "lazy_static",
+ "log",
+ "memchr",
+ "regex",
+ "same-file",
+ "thread_local",
+ "walkdir",
+ "winapi-util",
+]
+
+[[package]]
+name = "include_dir"
+version = "0.7.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "18762faeff7122e89e0857b02f7ce6fcc0d101d5e9ad2ad7846cc01d61b7f19e"
+dependencies = [
+ "include_dir_macros",
+]
+
+[[package]]
+name = "include_dir_macros"
+version = "0.7.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b139284b5cf57ecfa712bcc66950bb635b31aff41c188e8a4cfc758eca374a3f"
+dependencies = [
+ "proc-macro2",
+ "quote",
+]
+
+[[package]]
+name = "indexmap"
+version = "1.9.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bd070e393353796e801d209ad339e89596eb4c8d430d18ede6a1cced8fafbd99"
+dependencies = [
+ "autocfg",
+ "hashbrown 0.12.3",
+ "serde",
+]
+
+[[package]]
+name = "indexmap"
+version = "2.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ad227c3af19d4914570ad36d30409928b75967c298feb9ea1969db3a610bb14e"
+dependencies = [
+ "equivalent",
+ "hashbrown 0.14.3",
+]
+
+[[package]]
+name = "indicatif"
+version = "0.17.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fb28741c9db9a713d93deb3bb9515c20788cef5815265bee4980e87bde7e0f25"
+dependencies = [
+ "console",
+ "instant",
+ "number_prefix",
+ "portable-atomic",
+ "unicode-width",
+]
+
+[[package]]
+name = "insta"
+version = "1.34.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5d64600be34b2fcfc267740a243fa7744441bb4947a619ac4e5bb6507f35fbfc"
+dependencies = [
+ "console",
+ "lazy_static",
+ "linked-hash-map",
+ "pest",
+ "pest_derive",
+ "serde",
+ "similar",
+ "yaml-rust",
+]
+
+[[package]]
+name = "instant"
+version = "0.1.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7a5bbe824c507c5da5956355e86a746d82e0e1464f65d862cc5e71da70e94b2c"
+dependencies = [
+ "cfg-if",
+ "js-sys",
+ "wasm-bindgen",
+ "web-sys",
+]
+
+[[package]]
+name = "io-lifetimes"
+version = "1.0.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eae7b9aee968036d54dce06cebaefd919e4472e753296daccd6d344e3e2df0c2"
+dependencies = [
+ "hermit-abi 0.3.1",
+ "libc",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "ipnet"
+version = "2.7.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "12b6ee2129af8d4fb011108c73d99a1b83a85977f23b82460c0ae2e25bb4b57f"
+
+[[package]]
+name = "itertools"
+version = "0.10.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b0fd2260e829bddf4cb6ea802289de2f86d6a7a690192fbe91b3f46e0f2c8473"
+dependencies = [
+ "either",
+]
+
+[[package]]
+name = "itertools"
+version = "0.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b1c173a5686ce8bfa551b3563d0c2170bf24ca44da99c7ca4bfdab5418c3fe57"
+dependencies = [
+ "either",
+]
+
+[[package]]
+name = "itoa"
+version = "1.0.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "453ad9f582a441959e5f0d088b02ce04cfe8d51a8eaf077f12ac6d3e94164ca6"
+
+[[package]]
+name = "job_scheduler"
+version = "1.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "51f368c9c76dde2282714ae32dc274b79c27527a0c06c816f6dda048904d0d7c"
+dependencies = [
+ "chrono",
+ "cron 0.6.1",
+ "uuid 0.8.2",
+]
+
+[[package]]
+name = "jobserver"
+version = "0.1.26"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "936cfd212a0155903bcbc060e316fb6cc7cbf2e1907329391ebadc1fe0ce77c2"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "js-sys"
+version = "0.3.64"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c5f195fe497f702db0f318b07fdd68edb16955aed830df8363d837542f8f935a"
+dependencies = [
+ "wasm-bindgen",
+]
+
+[[package]]
+name = "jsonwebtoken"
+version = "9.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "155c4d7e39ad04c172c5e3a99c434ea3b4a7ba7960b38ecd562b270b097cce09"
+dependencies = [
+ "base64 0.21.5",
+ "pem",
+ "ring",
+ "serde",
+ "serde_json",
+ "simple_asn1",
+]
+
+[[package]]
+name = "juniper"
+version = "0.15.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "52adf17d43d0b526eed31fac15d9312941c5c2558ffbfb105811690b96d6e2f1"
+dependencies = [
+ "async-trait",
+ "bson",
+ "chrono",
+ "fnv",
+ "futures",
+ "futures-enum",
+ "graphql-parser",
+ "indexmap 1.9.3",
+ "juniper_codegen",
+ "serde",
+ "smartstring",
+ "static_assertions",
+ "url",
+ "uuid 0.8.2",
+]
+
+[[package]]
+name = "juniper-axum"
+version = "0.8.3"
+dependencies = [
+ "axum",
+ "juniper",
+ "juniper_graphql_ws",
+ "serde",
+ "serde_json",
+]
+
+[[package]]
+name = "juniper_codegen"
+version = "0.15.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "aee97671061ad50301ba077d054d295e01d31a1868fbd07902db651f987e71db"
+dependencies = [
+ "proc-macro-error",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "juniper_graphql_ws"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ed5526c2f2a9c40f08841dc559971641fdd71c008a265745d18bb0c8b7e105b3"
+dependencies = [
+ "juniper",
+ "juniper_subscriptions",
+ "serde",
+ "tokio",
+]
+
+[[package]]
+name = "juniper_subscriptions"
+version = "0.16.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2983b26a1e12b691c17432aee3881d8bec4a94d6c64bc933c0eaf6d9e3429f13"
+dependencies = [
+ "futures",
+ "juniper",
+]
+
+[[package]]
+name = "kdam"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e352f4e1acc6a3d0919eaeb014ca63e5da9450a12ef7106fe2936a07a1648d44"
+dependencies = [
+ "terminal_size",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "lazy_static"
+version = "1.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e2abad23fbc42b3700f2f279844dc832adb2b2eb069b2df918f455c4e18cc646"
+
+[[package]]
+name = "lettre"
+version = "0.11.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f5aaf628956b6b0852e12ac3505d20d7a12ecc1e32d5ea921f002af4a74036a5"
+dependencies = [
+ "base64 0.21.5",
+ "chumsky",
+ "email-encoding",
+ "email_address",
+ "fastrand 2.0.1",
+ "futures-util",
+ "hostname",
+ "httpdate",
+ "idna 0.5.0",
+ "mime",
+ "native-tls",
+ "nom 7.1.3",
+ "quoted_printable",
+ "socket2 0.5.5",
+ "tokio",
+ "url",
+]
+
+[[package]]
+name = "levenshtein_automata"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0c2cdeb66e45e9f36bfad5bbdb4d2384e70936afbee843c6f6543f0c551ebb25"
+
+[[package]]
+name = "libc"
+version = "0.2.149"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a08173bc88b7955d1b3145aa561539096c421ac8debde8cbc3612ec635fee29b"
+
+[[package]]
+name = "libloading"
+version = "0.7.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b67380fd3b2fbe7527a606e18729d21c6f3951633d0500574c4dc22d2d638b9f"
+dependencies = [
+ "cfg-if",
+ "winapi",
+]
+
+[[package]]
+name = "libsqlite3-sys"
+version = "0.27.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cf4e226dcd58b4be396f7bd3c20da8fdee2911400705297ba7d2d7cc2c30f716"
+dependencies = [
+ "cc",
+ "pkg-config",
+ "vcpkg",
+]
+
+[[package]]
+name = "link-cplusplus"
+version = "1.0.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ecd207c9c713c34f95a097a5b029ac2ce6010530c7b49d7fea24d977dede04f5"
+dependencies = [
+ "cc",
+]
+
+[[package]]
+name = "linked-hash-map"
+version = "0.5.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0717cef1bc8b636c6e1c1bbdefc09e6322da8a9321966e8928ef80d20f7f770f"
+
+[[package]]
+name = "linux-raw-sys"
+version = "0.3.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ef53942eb7bf7ff43a617b3e2c1c4a5ecf5944a7c1bc12d7ee39bbb15e5c1519"
+
+[[package]]
+name = "linux-raw-sys"
+version = "0.4.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "da2479e8c062e40bf0066ffa0bc823de0a9368974af99c9f6df941d2c231e03f"
+
+[[package]]
+name = "llama-cpp-bindings"
+version = "0.8.3"
+dependencies = [
+ "async-stream",
+ "async-trait",
+ "cmake",
+ "cxx",
+ "cxx-build",
+ "derive_builder",
+ "futures",
+ "tabby-inference",
+ "tokio",
+ "tracing",
+]
+
+[[package]]
+name = "lock_api"
+version = "0.4.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c1cc9717a20b1bb222f333e6a92fd32f7d8a18ddc5a3191a11af45dcbf4dcd16"
+dependencies = [
+ "autocfg",
+ "scopeguard",
+]
+
+[[package]]
+name = "log"
+version = "0.4.20"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b5e6163cb8c49088c2c36f57875e58ccd8c87c7427f7fbd50ea6710b2f3f2e8f"
+
+[[package]]
+name = "loom"
+version = "0.5.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ff50ecb28bb86013e935fb6683ab1f6d3a20016f123c76fd4c27470076ac30f5"
+dependencies = [
+ "cfg-if",
+ "generator",
+ "pin-utils",
+ "scoped-tls",
+ "tracing",
+ "tracing-subscriber 0.3.17",
+]
+
+[[package]]
+name = "lru"
+version = "0.11.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a4a83fb7698b3643a0e34f9ae6f2e8f0178c0fd42f8b59d493aa271ff3a5bf21"
+dependencies = [
+ "hashbrown 0.14.3",
+]
+
+[[package]]
+name = "lz4_flex"
+version = "0.11.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3ea9b256699eda7b0387ffbc776dd625e28bde3918446381781245b7a50349d8"
+
+[[package]]
+name = "mach2"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6d0d1830bcd151a6fc4aea1369af235b36c1528fe976b8ff678683c9995eade8"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "match_cfg"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ffbee8634e0d45d258acb448e7eaab3fce7a0a467395d4d9f228e3c1f01fb2e4"
+
+[[package]]
+name = "matchers"
+version = "0.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f099785f7595cc4b4553a174ce30dd7589ef93391ff414dbb67f62392b9e0ce1"
+dependencies = [
+ "regex-automata 0.1.10",
+]
+
+[[package]]
+name = "matchers"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8263075bb86c5a1b1427b5ae862e8889656f126e9f77c484496e8b47cf5c5558"
+dependencies = [
+ "regex-automata 0.1.10",
+]
+
+[[package]]
+name = "matchit"
+version = "0.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b87248edafb776e59e6ee64a79086f65890d3510f2c656c000bf2a7e8a0aea40"
+
+[[package]]
+name = "measure_time"
+version = "0.8.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "56220900f1a0923789ecd6bf25fbae8af3b2f1ff3e9e297fc9b6b8674dd4d852"
+dependencies = [
+ "instant",
+ "log",
+]
+
+[[package]]
+name = "memchr"
+version = "2.6.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5486aed0026218e61b8a01d5fbd5a0a134649abb71a0e53b7bc088529dced86e"
+
+[[package]]
+name = "memmap2"
+version = "0.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f49388d20533534cd19360ad3d6a7dadc885944aa802ba3995040c5ec11288c6"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "memo-map"
+version = "0.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "374c335b2df19e62d4cb323103473cbc6510980253119180de862d89184f6a83"
+
+[[package]]
+name = "memoffset"
+version = "0.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d61c719bcfbcf5d62b3a09efa6088de8c54bc0bfcd3ea7ae39fcc186108b8de1"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "metrics"
+version = "0.21.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fde3af1a009ed76a778cb84fdef9e7dbbdf5775ae3e4cc1f434a6a307f6f76c5"
+dependencies = [
+ "ahash",
+ "metrics-macros",
+ "portable-atomic",
+]
+
+[[package]]
+name = "metrics-exporter-prometheus"
+version = "0.12.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8a4964177ddfdab1e3a2b37aec7cf320e14169abb0ed73999f558136409178d5"
+dependencies = [
+ "base64 0.21.5",
+ "hyper",
+ "indexmap 1.9.3",
+ "ipnet",
+ "metrics",
+ "metrics-util",
+ "quanta",
+ "thiserror",
+ "tokio",
+ "tracing",
+]
+
+[[package]]
+name = "metrics-macros"
+version = "0.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ddece26afd34c31585c74a4db0630c376df271c285d682d1e55012197830b6df"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.32",
+]
+
+[[package]]
+name = "metrics-util"
+version = "0.15.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4de2ed6e491ed114b40b732e4d1659a9d53992ebd87490c44a6ffe23739d973e"
+dependencies = [
+ "crossbeam-epoch",
+ "crossbeam-utils",
+ "hashbrown 0.13.1",
+ "metrics",
+ "num_cpus",
+ "quanta",
+ "sketches-ddsketch",
+]
+
+[[package]]
+name = "mime"
+version = "0.3.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6877bb514081ee2a7ff5ef9de3281f14a4dd4bceac4c09388074a6b5df8a139a"
+
+[[package]]
+name = "mime_guess"
+version = "2.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4192263c238a5f0d0c6bfd21f336a313a4ce1c450542449ca191bb657b4642ef"
+dependencies = [
+ "mime",
+ "unicase",
+]
+
+[[package]]
+name = "minijinja"
+version = "1.0.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "80084fa3099f58b7afab51e5f92e24c2c2c68dcad26e96ad104bd6011570461d"
+dependencies = [
+ "memo-map",
+ "self_cell",
+ "serde",
+]
+
+[[package]]
+name = "minimal-lexical"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "68354c5c6bd36d73ff3feceb05efa59b6acb7626617f4962be322a825e61f79a"
+
+[[package]]
+name = "miniz_oxide"
+version = "0.6.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b275950c28b37e794e8c55d88aeb5e139d0ce23fdbbeda68f8d7174abdf9e8fa"
+dependencies = [
+ "adler",
+]
+
+[[package]]
+name = "miniz_oxide"
+version = "0.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e7810e0be55b428ada41041c41f32c9f1a42817901b4ccf45fa3d4b6561e74c7"
+dependencies = [
+ "adler",
+]
+
+[[package]]
+name = "mio"
+version = "0.8.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f3d0b296e374a4e6f3c7b0a1f5a51d748a0d34c85e7dc48fc3fa9a87657fe09"
+dependencies = [
+ "libc",
+ "wasi 0.11.0+wasi-snapshot-preview1",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "multimap"
+version = "0.8.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e5ce46fe64a9d73be07dcbe690a38ce1b293be448fd8ce1e6c1b8062c9f72c6a"
+
+[[package]]
+name = "murmurhash32"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d9380db4c04d219ac5c51d14996bbf2c2e9a15229771b53f8671eb6c83cf44df"
+
+[[package]]
+name = "native-tls"
+version = "0.2.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "07226173c32f2926027b63cce4bcd8076c3552846cbe7925f3aaffeac0a3b92e"
+dependencies = [
+ "lazy_static",
+ "libc",
+ "log",
+ "openssl",
+ "openssl-probe",
+ "openssl-sys",
+ "schannel",
+ "security-framework",
+ "security-framework-sys",
+ "tempfile",
+]
+
+[[package]]
+name = "netrc"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c9a91b326434fca226707ed8ec1fd22d4e1c96801abdf10c412afdc7d97116e0"
+
+[[package]]
+name = "nom"
+version = "4.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9c349f68f25f596b9f44cf0e7c69752a5c633b0550c3ff849518bfba0233774a"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "nom"
+version = "7.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d273983c5a657a70a3e8f2a01329822f3b8c8172b73826411a55751e404a0a4a"
+dependencies = [
+ "memchr",
+ "minimal-lexical",
+]
+
+[[package]]
+name = "ntapi"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e8a3895c6391c39d7fe7ebc444a87eb2991b2a0bc718fdabd071eec617fc68e4"
+dependencies = [
+ "winapi",
+]
+
+[[package]]
+name = "nu-ansi-term"
+version = "0.46.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "77a8165726e8236064dbb45459242600304b42a5ea24ee2948e18e023bf7ba84"
+dependencies = [
+ "overload",
+ "winapi",
+]
+
+[[package]]
+name = "num-bigint"
+version = "0.4.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "608e7659b5c3d7cba262d894801b9ec9d00de989e8a82bd4bef91d08da45cdc0"
+dependencies = [
+ "autocfg",
+ "num-integer",
+ "num-traits",
+]
+
+[[package]]
+name = "num-derive"
+version = "0.3.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "876a53fff98e03a936a674b29568b0e605f06b29372c2489ff4de23f1949743d"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "num-integer"
+version = "0.1.45"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "225d3389fb3509a24c93f5c29eb6bde2586b98d9f016636dff58d7c6f7569cd9"
+dependencies = [
+ "autocfg",
+ "num-traits",
+]
+
+[[package]]
+name = "num-traits"
+version = "0.2.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "39e3200413f237f41ab11ad6d161bc7239c84dcb631773ccd7de3dfe4b5c267c"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "num_cpus"
+version = "1.15.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0fac9e2da13b5eb447a6ce3d392f23a29d8694bff781bf03a16cd9ac8697593b"
+dependencies = [
+ "hermit-abi 0.2.6",
+ "libc",
+]
+
+[[package]]
+name = "num_threads"
+version = "0.1.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2819ce041d2ee131036f4fc9d6ae7ae125a3a40e97ba64d04fe799ad9dabbb44"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "number_prefix"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "830b246a0e5f20af87141b25c173cd1b609bd7779a4617d6ec582abaf90870f3"
+
+[[package]]
+name = "nvml-wrapper"
+version = "0.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7cd21b9f5a1cce3c3515c9ffa85f5c7443e07162dae0ccf4339bb7ca38ad3454"
+dependencies = [
+ "bitflags 1.3.2",
+ "libloading",
+ "nvml-wrapper-sys",
+ "static_assertions",
+ "thiserror",
+ "wrapcenum-derive",
+]
+
+[[package]]
+name = "nvml-wrapper-sys"
+version = "0.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c961a2ea9e91c59a69b78e69090f6f5b867bb46c0c56de9482da232437c4987e"
+dependencies = [
+ "libloading",
+]
+
+[[package]]
+name = "object"
+version = "0.30.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ea86265d3d3dcb6a27fc51bd29a4bf387fae9d2986b823079d4986af253eb439"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "once_cell"
+version = "1.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dd8b5dd2ae5ed71462c540258bedcb51965123ad7e7ccf4b9a8cafaa4a63576d"
+
+[[package]]
+name = "oneshot"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fc22d22931513428ea6cc089e942d38600e3d00976eef8c86de6b8a3aadec6eb"
+dependencies = [
+ "loom",
+]
+
+[[package]]
+name = "openssl"
+version = "0.10.61"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6b8419dc8cc6d866deb801274bba2e6f8f6108c1bb7fcc10ee5ab864931dbb45"
+dependencies = [
+ "bitflags 2.4.0",
+ "cfg-if",
+ "foreign-types",
+ "libc",
+ "once_cell",
+ "openssl-macros",
+ "openssl-sys",
+]
+
+[[package]]
+name = "openssl-macros"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a948666b637a0f465e8564c73e89d4dde00d72d4d473cc972f390fc3dcee7d9c"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.32",
+]
+
+[[package]]
+name = "openssl-probe"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ff011a302c396a5197692431fc1948019154afc178baf7d8e37367442a4601cf"
+
+[[package]]
+name = "openssl-src"
+version = "300.2.1+3.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3fe476c29791a5ca0d1273c697e96085bbabbbea2ef7afd5617e78a4b40332d3"
+dependencies = [
+ "cc",
+]
+
+[[package]]
+name = "openssl-sys"
+version = "0.9.97"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c3eaad34cdd97d81de97964fc7f29e2d104f483840d906ef56daa1912338460b"
+dependencies = [
+ "cc",
+ "libc",
+ "openssl-src",
+ "pkg-config",
+ "vcpkg",
+]
+
+[[package]]
+name = "opentelemetry"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "69d6c3d7288a106c0a363e4b0e8d308058d56902adefb16f4936f417ffef086e"
+dependencies = [
+ "opentelemetry_api",
+ "opentelemetry_sdk",
+]
+
+[[package]]
+name = "opentelemetry-otlp"
+version = "0.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d1c928609d087790fc936a1067bdc310ae702bdf3b090c3f281b713622c8bbde"
+dependencies = [
+ "async-trait",
+ "futures",
+ "futures-util",
+ "http",
+ "opentelemetry",
+ "opentelemetry-proto",
+ "prost",
+ "thiserror",
+ "tokio",
+ "tonic",
+]
+
+[[package]]
+name = "opentelemetry-proto"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d61a2f56df5574508dd86aaca016c917489e589ece4141df1b5e349af8d66c28"
+dependencies = [
+ "futures",
+ "futures-util",
+ "opentelemetry",
+ "prost",
+ "tonic",
+ "tonic-build",
+]
+
+[[package]]
+name = "opentelemetry_api"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c24f96e21e7acc813c7a8394ee94978929db2bcc46cf6b5014fc612bf7760c22"
+dependencies = [
+ "fnv",
+ "futures-channel",
+ "futures-util",
+ "indexmap 1.9.3",
+ "js-sys",
+ "once_cell",
+ "pin-project-lite",
+ "thiserror",
+]
+
+[[package]]
+name = "opentelemetry_sdk"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1ca41c4933371b61c2a2f214bf16931499af4ec90543604ec828f7a625c09113"
+dependencies = [
+ "async-trait",
+ "crossbeam-channel",
+ "dashmap",
+ "fnv",
+ "futures-channel",
+ "futures-executor",
+ "futures-util",
+ "once_cell",
+ "opentelemetry_api",
+ "percent-encoding",
+ "rand 0.8.5",
+ "thiserror",
+ "tokio",
+ "tokio-stream",
+]
+
+[[package]]
+name = "overload"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b15813163c1d831bf4a13c3610c05c0d03b39feb07f7e09fa234dac9b15aaf39"
+
+[[package]]
+name = "ownedbytes"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6e8a72b918ae8198abb3a18c190288123e1d442b6b9a7d709305fd194688b4b7"
+dependencies = [
+ "stable_deref_trait",
+]
+
+[[package]]
+name = "parking_lot"
+version = "0.12.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3742b2c103b9f06bc9fff0a37ff4912935851bee6d36f3c02bcc755bcfec228f"
+dependencies = [
+ "lock_api",
+ "parking_lot_core",
+]
+
+[[package]]
+name = "parking_lot_core"
+version = "0.9.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "93f00c865fe7cabf650081affecd3871070f26767e7b2070a3ffae14c654b447"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "redox_syscall 0.3.5",
+ "smallvec",
+ "windows-targets 0.48.0",
+]
+
+[[package]]
+name = "password-hash"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "346f04948ba92c43e8469c1ee6736c7563d71012b17d40745260fe106aac2166"
+dependencies = [
+ "base64ct",
+ "rand_core 0.6.4",
+ "subtle",
+]
+
+[[package]]
+name = "paste"
+version = "1.0.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9f746c4065a8fa3fe23974dd82f15431cc8d40779821001404d10d2e79ca7d79"
+
+[[package]]
+name = "pem"
+version = "3.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3163d2912b7c3b52d651a055f2c7eec9ba5cd22d26ef75b8dd3a59980b185923"
+dependencies = [
+ "base64 0.21.5",
+ "serde",
+]
+
+[[package]]
+name = "percent-encoding"
+version = "2.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e3148f5046208a5d56bcfc03053e3ca6334e51da8dfb19b6cdc8b306fae3283e"
+
+[[package]]
+name = "pest"
+version = "2.7.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ae9cee2a55a544be8b89dc6848072af97a20f2422603c10865be2a42b580fff5"
+dependencies = [
+ "memchr",
+ "thiserror",
+ "ucd-trie",
+]
+
+[[package]]
+name = "pest_derive"
+version = "2.7.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "81d78524685f5ef2a3b3bd1cafbc9fcabb036253d9b1463e726a91cd16e2dfc2"
+dependencies = [
+ "pest",
+ "pest_generator",
+]
+
+[[package]]
+name = "pest_generator"
+version = "2.7.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "68bd1206e71118b5356dae5ddc61c8b11e28b09ef6a31acbd15ea48a28e0c227"
+dependencies = [
+ "pest",
+ "pest_meta",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.32",
+]
+
+[[package]]
+name = "pest_meta"
+version = "2.7.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7c747191d4ad9e4a4ab9c8798f1e82a39affe7ef9648390b7e5548d18e099de6"
+dependencies = [
+ "once_cell",
+ "pest",
+ "sha2",
+]
+
+[[package]]
+name = "petgraph"
+version = "0.6.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4dd7d28ee937e54fe3080c91faa1c3a46c06de6252988a7f4592ba2310ef22a4"
+dependencies = [
+ "fixedbitset",
+ "indexmap 1.9.3",
+]
+
+[[package]]
+name = "pin-project"
+version = "1.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fda4ed1c6c173e3fc7a83629421152e01d7b1f9b7f65fb301e490e8cfc656422"
+dependencies = [
+ "pin-project-internal",
+]
+
+[[package]]
+name = "pin-project-internal"
+version = "1.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4359fd9c9171ec6e8c62926d6faaf553a8dc3f64e1507e76da7911b4f6a04405"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.32",
+]
+
+[[package]]
+name = "pin-project-lite"
+version = "0.2.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8afb450f006bf6385ca15ef45d71d2288452bc3683ce2e2cacc0d18e4be60b58"
+
+[[package]]
+name = "pin-utils"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8b870d8c151b6f2fb93e84a13146138f05d02ed11c7e7c54f8826aaaf7c9f184"
+
+[[package]]
+name = "pkg-config"
+version = "0.3.27"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "26072860ba924cbfa98ea39c8c19b4dd6a4a25423dbdf219c1eca91aa0cf6964"
+
+[[package]]
+name = "portable-atomic"
+version = "1.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dc59d1bcc64fc5d021d67521f818db868368028108d37f0e98d74e33f68297b5"
+
+[[package]]
+name = "ppv-lite86"
+version = "0.2.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5b40af805b3121feab8a3c29f04d8ad262fa8e0561883e7653e024ae4479e6de"
+
+[[package]]
+name = "prettyplease"
+version = "0.1.25"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6c8646e95016a7a6c4adea95bafa8a16baab64b583356217f2c85db4a39d9a86"
+dependencies = [
+ "proc-macro2",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "proc-macro-error"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "da25490ff9892aab3fcf7c36f08cfb902dd3e71ca0f9f9517bea02a73a5ce38c"
+dependencies = [
+ "proc-macro-error-attr",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+ "version_check",
+]
+
+[[package]]
+name = "proc-macro-error-attr"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a1be40180e52ecc98ad80b184934baf3d0d29f979574e439af5a55274b35f869"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "version_check",
+]
+
+[[package]]
+name = "proc-macro2"
+version = "1.0.66"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "18fb31db3f9bddb2ea821cde30a9f70117e3f119938b5ee630b7403aa6e2ead9"
+dependencies = [
+ "unicode-ident",
+]
+
+[[package]]
+name = "prost"
+version = "0.11.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0b82eaa1d779e9a4bc1c3217db8ffbeabaae1dca241bf70183242128d48681cd"
+dependencies = [
+ "bytes",
+ "prost-derive",
+]
+
+[[package]]
+name = "prost-build"
+version = "0.11.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "119533552c9a7ffacc21e099c24a0ac8bb19c2a2a3f363de84cd9b844feab270"
+dependencies = [
+ "bytes",
+ "heck",
+ "itertools 0.10.5",
+ "lazy_static",
+ "log",
+ "multimap",
+ "petgraph",
+ "prettyplease",
+ "prost",
+ "prost-types",
+ "regex",
+ "syn 1.0.109",
+ "tempfile",
+ "which",
+]
+
+[[package]]
+name = "prost-derive"
+version = "0.11.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e5d2d8d10f3c6ded6da8b05b5fb3b8a5082514344d56c9f871412d29b4e075b4"
+dependencies = [
+ "anyhow",
+ "itertools 0.10.5",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "prost-types"
+version = "0.11.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "213622a1460818959ac1181aaeb2dc9c7f63df720db7d788b3e24eacd1983e13"
+dependencies = [
+ "prost",
+]
+
+[[package]]
+name = "psm"
+version = "0.1.21"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5787f7cda34e3033a72192c018bc5883100330f362ef279a8cbccfce8bb4e874"
+dependencies = [
+ "cc",
+]
+
+[[package]]
+name = "quanta"
+version = "0.11.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a17e662a7a8291a865152364c20c7abc5e60486ab2001e8ec10b24862de0b9ab"
+dependencies = [
+ "crossbeam-utils",
+ "libc",
+ "mach2",
+ "once_cell",
+ "raw-cpuid",
+ "wasi 0.11.0+wasi-snapshot-preview1",
+ "web-sys",
+ "winapi",
+]
+
+[[package]]
+name = "quote"
+version = "1.0.32"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "50f3b39ccfb720540debaa0164757101c08ecb8d326b15358ce76a62c7e85965"
+dependencies = [
+ "proc-macro2",
+]
+
+[[package]]
+name = "quoted_printable"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "79ec282e887b434b68c18fe5c121d38e72a5cf35119b59e54ec5b992ea9c8eb0"
+
+[[package]]
+name = "rand"
+version = "0.7.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6a6b1679d49b24bbfe0c803429aa1874472f50d9b363131f0e89fc356b544d03"
+dependencies = [
+ "getrandom 0.1.16",
+ "libc",
+ "rand_chacha 0.2.2",
+ "rand_core 0.5.1",
+ "rand_hc",
+]
+
+[[package]]
+name = "rand"
+version = "0.8.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "34af8d1a0e25924bc5b7c43c079c942339d8f0a8b57c39049bef581b46327404"
+dependencies = [
+ "libc",
+ "rand_chacha 0.3.1",
+ "rand_core 0.6.4",
+]
+
+[[package]]
+name = "rand_chacha"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f4c8ed856279c9737206bf725bf36935d8666ead7aa69b52be55af369d193402"
+dependencies = [
+ "ppv-lite86",
+ "rand_core 0.5.1",
+]
+
+[[package]]
+name = "rand_chacha"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e6c10a63a0fa32252be49d21e7709d4d4baf8d231c2dbce1eaa8141b9b127d88"
+dependencies = [
+ "ppv-lite86",
+ "rand_core 0.6.4",
+]
+
+[[package]]
+name = "rand_core"
+version = "0.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "90bde5296fc891b0cef12a6d03ddccc162ce7b2aff54160af9338f8d40df6d19"
+dependencies = [
+ "getrandom 0.1.16",
+]
+
+[[package]]
+name = "rand_core"
+version = "0.6.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ec0be4795e2f6a28069bec0b5ff3e2ac9bafc99e6a9a7dc3547996c5c816922c"
+dependencies = [
+ "getrandom 0.2.11",
+]
+
+[[package]]
+name = "rand_hc"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ca3129af7b92a17112d59ad498c6f81eaf463253766b90396d39ea7a39d6613c"
+dependencies = [
+ "rand_core 0.5.1",
+]
+
+[[package]]
+name = "raw-cpuid"
+version = "10.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6c297679cb867470fa8c9f67dbba74a78d78e3e98d7cf2b08d6d71540f797332"
+dependencies = [
+ "bitflags 1.3.2",
+]
+
+[[package]]
+name = "rayon"
+version = "1.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1d2df5196e37bcc87abebc0053e20787d73847bb33134a69841207dd0a47f03b"
+dependencies = [
+ "either",
+ "rayon-core",
+]
+
+[[package]]
+name = "rayon-core"
+version = "1.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4b8f95bd6966f5c87776639160a66bd8ab9895d9d4ab01ddba9fc60661aebe8d"
+dependencies = [
+ "crossbeam-channel",
+ "crossbeam-deque",
+ "crossbeam-utils",
+ "num_cpus",
+]
+
+[[package]]
+name = "redox_syscall"
+version = "0.2.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fb5a58c1855b4b6819d59012155603f0b22ad30cad752600aadfcb695265519a"
+dependencies = [
+ "bitflags 1.3.2",
+]
+
+[[package]]
+name = "redox_syscall"
+version = "0.3.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "567664f262709473930a4bf9e51bf2ebf3348f2e748ccc50dea20646858f8f29"
+dependencies = [
+ "bitflags 1.3.2",
+]
+
+[[package]]
+name = "redox_users"
+version = "0.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b033d837a7cf162d7993aded9304e30a83213c648b6e389db233191f891e5c2b"
+dependencies = [
+ "getrandom 0.2.11",
+ "redox_syscall 0.2.16",
+ "thiserror",
+]
+
+[[package]]
+name = "regex"
+version = "1.10.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "380b951a9c5e80ddfd6136919eef32310721aa4aacd4889a8d39124b026ab343"
+dependencies = [
+ "aho-corasick",
+ "memchr",
+ "regex-automata 0.4.3",
+ "regex-syntax 0.8.2",
+]
+
+[[package]]
+name = "regex-automata"
+version = "0.1.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6c230d73fb8d8c1b9c0b3135c5142a8acee3a0558fb8db5cf1cb65f8d7862132"
+dependencies = [
+ "regex-syntax 0.6.29",
+]
+
+[[package]]
+name = "regex-automata"
+version = "0.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5f804c7828047e88b2d32e2d7fe5a105da8ee3264f01902f796c8e067dc2483f"
+dependencies = [
+ "aho-corasick",
+ "memchr",
+ "regex-syntax 0.8.2",
+]
+
+[[package]]
+name = "regex-syntax"
+version = "0.6.29"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f162c6dd7b008981e4d40210aca20b4bd0f9b60ca9271061b07f78537722f2e1"
+
+[[package]]
+name = "regex-syntax"
+version = "0.8.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c08c74e62047bb2de4ff487b251e4a92e24f48745648451635cec7d591162d9f"
+
+[[package]]
+name = "requirements"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2643e903f79d8e6bc310ee0def974d12a33561d14e0728511b6ba5e8be0791c3"
+dependencies = [
+ "globwalk",
+ "pest",
+ "pest_derive",
+ "regex",
+ "walkdir",
+]
+
+[[package]]
+name = "reqwest"
+version = "0.11.22"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "046cd98826c46c2ac8ddecae268eb5c2e58628688a5fc7a2643704a73faba95b"
+dependencies = [
+ "base64 0.21.5",
+ "bytes",
+ "encoding_rs",
+ "futures-core",
+ "futures-util",
+ "h2",
+ "http",
+ "http-body",
+ "hyper",
+ "hyper-tls",
+ "ipnet",
+ "js-sys",
+ "log",
+ "mime",
+ "native-tls",
+ "once_cell",
+ "percent-encoding",
+ "pin-project-lite",
+ "serde",
+ "serde_json",
+ "serde_urlencoded",
+ "system-configuration",
+ "tokio",
+ "tokio-native-tls",
+ "tokio-util",
+ "tower-service",
+ "url",
+ "wasm-bindgen",
+ "wasm-bindgen-futures",
+ "wasm-streams",
+ "web-sys",
+ "winreg",
+]
+
+[[package]]
+name = "ring"
+version = "0.17.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fb0205304757e5d899b9c2e448b867ffd03ae7f988002e47cd24954391394d0b"
+dependencies = [
+ "cc",
+ "getrandom 0.2.11",
+ "libc",
+ "spin",
+ "untrusted",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "rmp"
+version = "0.8.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "44519172358fd6d58656c86ab8e7fbc9e1490c3e8f14d35ed78ca0dd07403c9f"
+dependencies = [
+ "byteorder",
+ "num-traits",
+ "paste",
+]
+
+[[package]]
+name = "rmp-serde"
+version = "1.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c5b13be192e0220b8afb7222aa5813cb62cc269ebb5cac346ca6487681d2913e"
+dependencies = [
+ "byteorder",
+ "rmp",
+ "serde",
+]
+
+[[package]]
+name = "rusqlite"
+version = "0.30.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a78046161564f5e7cd9008aff3b2990b3850dc8e0349119b98e8f251e099f24d"
+dependencies = [
+ "bitflags 2.4.0",
+ "chrono",
+ "fallible-iterator",
+ "fallible-streaming-iterator",
+ "hashlink",
+ "libsqlite3-sys",
+ "smallvec",
+]
+
+[[package]]
+name = "rusqlite_migration"
+version = "1.1.0-beta.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b5767f8cb28e54d1ed745f072b72c6e68bfa6179fabb4cd15bdb8575858e301d"
+dependencies = [
+ "include_dir",
+ "log",
+ "rusqlite",
+ "tokio",
+ "tokio-rusqlite",
+]
+
+[[package]]
+name = "rust-embed"
+version = "6.6.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1b68543d5527e158213414a92832d2aab11a84d2571a5eb021ebe22c43aab066"
+dependencies = [
+ "rust-embed-impl 6.5.0",
+ "rust-embed-utils 7.5.0",
+ "walkdir",
+]
+
+[[package]]
+name = "rust-embed"
+version = "8.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b1e7d90385b59f0a6bf3d3b757f3ca4ece2048265d70db20a2016043d4509a40"
+dependencies = [
+ "rust-embed-impl 8.0.0",
+ "rust-embed-utils 8.0.0",
+ "walkdir",
+]
+
+[[package]]
+name = "rust-embed-impl"
+version = "6.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4d4e0f0ced47ded9a68374ac145edd65a6c1fa13a96447b873660b2a568a0fd7"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "rust-embed-utils 7.5.0",
+ "shellexpand",
+ "syn 1.0.109",
+ "walkdir",
+]
+
+[[package]]
+name = "rust-embed-impl"
+version = "8.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3c3d8c6fd84090ae348e63a84336b112b5c3918b3bf0493a581f7bd8ee623c29"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "rust-embed-utils 8.0.0",
+ "syn 2.0.32",
+ "walkdir",
+]
+
+[[package]]
+name = "rust-embed-utils"
+version = "7.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "512b0ab6853f7e14e3c8754acb43d6f748bb9ced66aa5915a6553ac8213f7731"
+dependencies = [
+ "sha2",
+ "walkdir",
+]
+
+[[package]]
+name = "rust-embed-utils"
+version = "8.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "873feff8cb7bf86fdf0a71bb21c95159f4e4a37dd7a4bd1855a940909b583ada"
+dependencies = [
+ "sha2",
+ "walkdir",
+]
+
+[[package]]
+name = "rust-stemmers"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e46a2036019fdb888131db7a4c847a1063a7493f971ed94ea82c67eada63ca54"
+dependencies = [
+ "serde",
+ "serde_derive",
+]
+
+[[package]]
+name = "rustc-demangle"
+version = "0.1.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d626bb9dae77e28219937af045c257c28bfd3f69333c512553507f5f9798cb76"
+
+[[package]]
+name = "rustc-hash"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "08d43f7aa6b08d49f382cde6a7982047c3426db949b1424bc4b7ec9ae12c6ce2"
+
+[[package]]
+name = "rustix"
+version = "0.37.19"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "acf8729d8542766f1b2cf77eb034d52f40d375bb8b615d0b147089946e16613d"
+dependencies = [
+ "bitflags 1.3.2",
+ "errno",
+ "io-lifetimes",
+ "libc",
+ "linux-raw-sys 0.3.8",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "rustix"
+version = "0.38.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f25469e9ae0f3d0047ca8b93fc56843f38e6774f0914a107ff8b41be8be8e0b7"
+dependencies = [
+ "bitflags 2.4.0",
+ "errno",
+ "libc",
+ "linux-raw-sys 0.4.10",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "rustversion"
+version = "1.0.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7ffc183a10b4478d04cbbbfc96d0873219d962dd5accaff2ffbd4ceb7df837f4"
+
+[[package]]
+name = "ryu"
+version = "1.0.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f91339c0467de62360649f8d3e185ca8de4224ff281f66000de5eb2a77a79041"
+
+[[package]]
+name = "same-file"
+version = "1.0.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "93fc1dc3aaa9bfed95e02e6eadabb4baf7e3078b0bd1b4d7b6b0b68378900502"
+dependencies = [
+ "winapi-util",
+]
+
+[[package]]
+name = "schannel"
+version = "0.1.21"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "713cfb06c7059f3588fb8044c0fad1d09e3c01d225e25b9220dbfdcf16dbb1b3"
+dependencies = [
+ "windows-sys 0.42.0",
+]
+
+[[package]]
+name = "scoped-tls"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e1cf6437eb19a8f4a6cc0f7dca544973b0b78843adbfeb3683d1a94a0024a294"
+
+[[package]]
+name = "scopeguard"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d29ab0c6d3fc0ee92fe66e2d99f700eab17a8d57d1c1d3b748380fb20baa78cd"
+
+[[package]]
+name = "scratch"
+version = "1.0.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1792db035ce95be60c3f8853017b3999209281c24e2ba5bc8e59bf97a0c590c1"
+
+[[package]]
+name = "security-framework"
+version = "2.9.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "05b64fb303737d99b81884b2c63433e9ae28abebe5eb5045dcdd175dc2ecf4de"
+dependencies = [
+ "bitflags 1.3.2",
+ "core-foundation",
+ "core-foundation-sys",
+ "libc",
+ "security-framework-sys",
+]
+
+[[package]]
+name = "security-framework-sys"
+version = "2.9.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e932934257d3b408ed8f30db49d85ea163bfe74961f017f405b025af298f0c7a"
+dependencies = [
+ "core-foundation-sys",
+ "libc",
+]
+
+[[package]]
+name = "self_cell"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4c309e515543e67811222dbc9e3dd7e1056279b782e1dacffe4242b718734fb6"
+
+[[package]]
+name = "semver"
+version = "1.0.20"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "836fa6a3e1e547f9a2c4040802ec865b5d85f4014efe00555d7090a3dcaa1090"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "serde"
+version = "1.0.171"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "30e27d1e4fd7659406c492fd6cfaf2066ba8773de45ca75e855590f856dc34a9"
+dependencies = [
+ "serde_derive",
+]
+
+[[package]]
+name = "serde-jsonlines"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4684abdec99c1de7e507a3516c82385ff74d54c385973846b079bfd9f5920d35"
+dependencies = [
+ "serde",
+ "serde_json",
+]
+
+[[package]]
+name = "serde-jsonlines"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e228faf5f94badfe42723177b62cfb9b187351994cb4e852cd4a6a4c96dbeea8"
+dependencies = [
+ "serde",
+ "serde_json",
+]
+
+[[package]]
+name = "serde_derive"
+version = "1.0.171"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "389894603bd18c46fa56231694f8d827779c0951a667087194cf9de94ed24682"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.32",
+]
+
+[[package]]
+name = "serde_json"
+version = "1.0.107"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6b420ce6e3d8bd882e9b243c6eed35dbc9a6110c9769e74b584e0d68d1f20c65"
+dependencies = [
+ "indexmap 2.0.1",
+ "itoa",
+ "ryu",
+ "serde",
+]
+
+[[package]]
+name = "serde_path_to_error"
+version = "0.1.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f7f05c1d5476066defcdfacce1f52fc3cae3af1d3089727100c02ae92e5abbe0"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "serde_spanned"
+version = "0.6.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "93107647184f6027e3b7dcb2e11034cf95ffa1e3a682c67951963ac69c1c007d"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "serde_urlencoded"
+version = "0.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d3491c14715ca2294c4d6a88f15e84739788c1d030eed8c110436aafdaa2f3fd"
+dependencies = [
+ "form_urlencoded",
+ "itoa",
+ "ryu",
+ "serde",
+]
+
+[[package]]
+name = "serdeconv"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8897696def1d25e554294b168e0e8e77c860483666eeb8d3d33ae58b06f47221"
+dependencies = [
+ "rmp-serde",
+ "serde",
+ "serde_json",
+ "toml",
+ "trackable",
+]
+
+[[package]]
+name = "serial_test"
+version = "2.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0e56dd856803e253c8f298af3f4d7eb0ae5e23a737252cd90bb4f3b435033b2d"
+dependencies = [
+ "dashmap",
+ "futures",
+ "lazy_static",
+ "log",
+ "parking_lot",
+ "serial_test_derive 2.0.0",
+]
+
+[[package]]
+name = "serial_test"
+version = "3.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "953ad9342b3aaca7cb43c45c097dd008d4907070394bd0751a0aa8817e5a018d"
+dependencies = [
+ "dashmap",
+ "futures",
+ "lazy_static",
+ "log",
+ "parking_lot",
+ "serial_test_derive 3.0.0",
+]
+
+[[package]]
+name = "serial_test_derive"
+version = "2.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "91d129178576168c589c9ec973feedf7d3126c01ac2bf08795109aa35b69fb8f"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.32",
+]
+
+[[package]]
+name = "serial_test_derive"
+version = "3.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b93fb4adc70021ac1b47f7d45e8cc4169baaa7ea58483bc5b721d19a26202212"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.32",
+]
+
+[[package]]
+name = "sha1"
+version = "0.10.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e3bf829a2d51ab4a5ddf1352d8470c140cadc8301b2ae1789db023f01cedd6ba"
+dependencies = [
+ "cfg-if",
+ "cpufeatures",
+ "digest",
+]
+
+[[package]]
+name = "sha2"
+version = "0.10.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "793db75ad2bcafc3ffa7c68b215fee268f537982cd901d132f89c6343f3a3dc8"
+dependencies = [
+ "cfg-if",
+ "cpufeatures",
+ "digest",
+]
+
+[[package]]
+name = "sha256"
+version = "1.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7895c8ae88588ccead14ff438b939b0c569cd619116f14b4d13fdff7b8333386"
+dependencies = [
+ "async-trait",
+ "bytes",
+ "hex",
+ "sha2",
+ "tokio",
+]
+
+[[package]]
+name = "sharded-slab"
+version = "0.1.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "900fba806f70c630b0a382d0d825e17a0f19fcd059a2ade1ff237bcddf446b31"
+dependencies = [
+ "lazy_static",
+]
+
+[[package]]
+name = "shellexpand"
+version = "2.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7ccc8076840c4da029af4f87e4e8daeb0fca6b87bbb02e10cb60b791450e11e4"
+dependencies = [
+ "dirs",
+]
+
+[[package]]
+name = "signal-hook-registry"
+version = "1.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d8229b473baa5980ac72ef434c4415e70c4b5e71b423043adb4ba059f89c99a1"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "similar"
+version = "2.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2aeaf503862c419d66959f5d7ca015337d864e9c49485d771b732e2a20453597"
+
+[[package]]
+name = "simple_asn1"
+version = "0.6.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "adc4e5204eb1910f40f9cfa375f6f05b68c3abac4b6fd879c8ff5e7ae8a0a085"
+dependencies = [
+ "num-bigint",
+ "num-traits",
+ "thiserror",
+ "time",
+]
+
+[[package]]
+name = "sketches-ddsketch"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "68a406c1882ed7f29cd5e248c9848a80e7cb6ae0fea82346d2746f2f941c07e1"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "slab"
+version = "0.4.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6528351c9bc8ab22353f9d776db39a20288e8d6c37ef8cfe3317cf875eecfc2d"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "smallvec"
+version = "1.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a507befe795404456341dfab10cef66ead4c041f62b8b11bbb92bffe5d0953e0"
+
+[[package]]
+name = "smartstring"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3fb72c633efbaa2dd666986505016c32c3044395ceaf881518399d2f4127ee29"
+dependencies = [
+ "autocfg",
+ "static_assertions",
+ "version_check",
+]
+
+[[package]]
+name = "socket2"
+version = "0.4.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "64a4a911eed85daf18834cfaa86a79b7d266ff93ff5ba14005426219480ed662"
+dependencies = [
+ "libc",
+ "winapi",
+]
+
+[[package]]
+name = "socket2"
+version = "0.5.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7b5fac59a5cb5dd637972e5fca70daf0523c9067fcdc4842f053dae04a18f8e9"
+dependencies = [
+ "libc",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "spin"
+version = "0.9.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6980e8d7511241f8acf4aebddbb1ff938df5eebe98691418c4468d0b72a96a67"
+
+[[package]]
+name = "stable_deref_trait"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a8f112729512f8e442d81f95a8a7ddf2b7c6b8a1a6f509a95864142b30cab2d3"
+
+[[package]]
+name = "stacker"
+version = "0.1.15"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c886bd4480155fd3ef527d45e9ac8dd7118a898a46530b7b94c3e21866259fce"
+dependencies = [
+ "cc",
+ "cfg-if",
+ "libc",
+ "psm",
+ "winapi",
+]
+
+[[package]]
+name = "static_assertions"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a2eb9349b6444b326872e140eb1cf5e7c522154d69e7a0ffb0fb81c06b37543f"
+
+[[package]]
+name = "strfmt"
+version = "0.2.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7a8348af2d9fc3258c8733b8d9d8db2e56f54b2363a4b5b81585c7875ed65e65"
+
+[[package]]
+name = "strsim"
+version = "0.9.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6446ced80d6c486436db5c078dde11a9f73d42b57fb273121e160b84f63d894c"
+
+[[package]]
+name = "strsim"
+version = "0.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "73473c0e59e6d5812c5dfe2a064a6444949f089e20eec9a2e5506596494e4623"
+
+[[package]]
+name = "strum"
+version = "0.24.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "063e6045c0e62079840579a7e47a355ae92f60eb74daaf156fb1e84ba164e63f"
+dependencies = [
+ "strum_macros",
+]
+
+[[package]]
+name = "strum_macros"
+version = "0.24.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1e385be0d24f186b4ce2f9982191e7101bb737312ad61c1f2f984f34bcf85d59"
+dependencies = [
+ "heck",
+ "proc-macro2",
+ "quote",
+ "rustversion",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "subtle"
+version = "2.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "81cdd64d312baedb58e21336b31bc043b77e01cc99033ce76ef539f78e965ebc"
+
+[[package]]
+name = "syn"
+version = "1.0.109"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "72b64191b275b66ffe2469e8af2c1cfe3bafa67b529ead792a6d0160888b4237"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "unicode-ident",
+]
+
+[[package]]
+name = "syn"
+version = "2.0.32"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "239814284fd6f1a4ffe4ca893952cdd93c224b6a1571c9a9eadd670295c0c9e2"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "unicode-ident",
+]
+
+[[package]]
+name = "sync_wrapper"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2047c6ded9c721764247e62cd3b03c09ffc529b2ba5b10ec482ae507a4a70160"
+
+[[package]]
+name = "sysinfo"
+version = "0.29.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d10ed79c22663a35a255d289a7fdcb43559fc77ff15df5ce6c341809e7867528"
+dependencies = [
+ "cfg-if",
+ "core-foundation-sys",
+ "libc",
+ "ntapi",
+ "once_cell",
+ "rayon",
+ "winapi",
+]
+
+[[package]]
+name = "system-configuration"
+version = "0.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ba3a3adc5c275d719af8cb4272ea1c4a6d668a777f37e115f6d11ddbc1c8e0e7"
+dependencies = [
+ "bitflags 1.3.2",
+ "core-foundation",
+ "system-configuration-sys",
+]
+
+[[package]]
+name = "system-configuration-sys"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a75fb188eb626b924683e3b95e3a48e63551fcfb51949de2f06a9d91dbee93c9"
+dependencies = [
+ "core-foundation-sys",
+ "libc",
+]
+
+[[package]]
+name = "tabby"
+version = "0.8.3"
+dependencies = [
+ "anyhow",
+ "assert-json-diff",
+ "async-stream",
+ "async-trait",
+ "axum",
+ "axum-prometheus",
+ "axum-tracing-opentelemetry",
+ "chrono",
+ "clap",
+ "futures",
+ "http-api-bindings",
+ "hyper",
+ "insta",
+ "lazy_static",
+ "llama-cpp-bindings",
+ "minijinja",
+ "nvml-wrapper",
+ "openssl",
+ "opentelemetry",
+ "opentelemetry-otlp",
+ "regex",
+ "reqwest",
+ "serde",
+ "serde-jsonlines 0.5.0",
+ "serde_json",
+ "serdeconv",
+ "serial_test 3.0.0",
+ "strfmt",
+ "strum",
+ "sysinfo",
+ "tabby-common",
+ "tabby-download",
+ "tabby-inference",
+ "tabby-scheduler",
+ "tabby-webserver",
+ "tantivy",
+ "textdistance",
+ "thiserror",
+ "tokio",
+ "tower-http 0.4.0",
+ "tracing",
+ "tracing-opentelemetry",
+ "tracing-subscriber 0.3.17",
+ "utoipa",
+ "utoipa-swagger-ui",
+ "uuid 1.6.1",
+ "vergen",
+]
+
+[[package]]
+name = "tabby-common"
+version = "0.8.3"
+dependencies = [
+ "anyhow",
+ "async-trait",
+ "filenamify",
+ "glob",
+ "home",
+ "lazy_static",
+ "regex",
+ "reqwest",
+ "serde",
+ "serde-jsonlines 0.4.0",
+ "serde_json",
+ "serdeconv",
+ "tantivy",
+ "thiserror",
+ "utoipa",
+ "uuid 1.6.1",
+]
+
+[[package]]
+name = "tabby-db"
+version = "0.8.3"
+dependencies = [
+ "anyhow",
+ "assert_matches",
+ "chrono",
+ "include_dir",
+ "lazy_static",
+ "rusqlite",
+ "rusqlite_migration",
+ "tabby-common",
+ "tokio",
+ "tokio-rusqlite",
+ "uuid 1.6.1",
+]
+
+[[package]]
+name = "tabby-download"
+version = "0.8.3"
+dependencies = [
+ "aim-downloader",
+ "anyhow",
+ "sha256",
+ "tabby-common",
+ "tokio-retry",
+ "tracing",
+]
+
+[[package]]
+name = "tabby-inference"
+version = "0.8.3"
+dependencies = [
+ "async-stream",
+ "async-trait",
+ "dashmap",
+ "derive_builder",
+ "futures",
+ "regex",
+ "tabby-common",
+]
+
+[[package]]
+name = "tabby-scheduler"
+version = "0.8.3"
+dependencies = [
+ "anyhow",
+ "cargo-lock",
+ "file-rotate",
+ "ignore",
+ "job_scheduler",
+ "kdam",
+ "lazy_static",
+ "requirements",
+ "serde-jsonlines 0.4.0",
+ "serde_json",
+ "serdeconv",
+ "tabby-common",
+ "tantivy",
+ "temp_testdir",
+ "tokio",
+ "tracing",
+ "tracing-test",
+ "tree-sitter-c",
+ "tree-sitter-cpp",
+ "tree-sitter-go",
+ "tree-sitter-java",
+ "tree-sitter-kotlin",
+ "tree-sitter-python",
+ "tree-sitter-ruby",
+ "tree-sitter-rust",
+ "tree-sitter-tags",
+ "tree-sitter-typescript",
+]
+
+[[package]]
+name = "tabby-webserver"
+version = "0.8.3"
+dependencies = [
+ "anyhow",
+ "argon2",
+ "assert_matches",
+ "async-trait",
+ "axum",
+ "bincode",
+ "chrono",
+ "futures",
+ "hyper",
+ "jsonwebtoken",
+ "juniper",
+ "juniper-axum",
+ "lazy_static",
+ "lettre",
+ "mime_guess",
+ "pin-project",
+ "reqwest",
+ "rust-embed 8.0.0",
+ "serde",
+ "serde_json",
+ "tabby-common",
+ "tabby-db",
+ "tarpc",
+ "thiserror",
+ "tokio",
+ "tokio-cron-scheduler",
+ "tokio-tungstenite",
+ "tower",
+ "tower-http 0.4.0",
+ "tracing",
+ "unicase",
+ "uuid 1.6.1",
+ "validator",
+]
+
+[[package]]
+name = "tantivy"
+version = "0.21.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c1d4675fed6fe2218ce11445374e181e864a8ffd0f28e7e0591ccfc38cd000ae"
+dependencies = [
+ "aho-corasick",
+ "arc-swap",
+ "async-trait",
+ "base64 0.21.5",
+ "bitpacking",
+ "byteorder",
+ "census",
+ "crc32fast",
+ "crossbeam-channel",
+ "downcast-rs",
+ "fastdivide",
+ "fs4",
+ "htmlescape",
+ "itertools 0.11.0",
+ "levenshtein_automata",
+ "log",
+ "lru",
+ "lz4_flex",
+ "measure_time",
+ "memmap2",
+ "murmurhash32",
+ "num_cpus",
+ "once_cell",
+ "oneshot",
+ "rayon",
+ "regex",
+ "rust-stemmers",
+ "rustc-hash",
+ "serde",
+ "serde_json",
+ "sketches-ddsketch",
+ "smallvec",
+ "tantivy-bitpacker",
+ "tantivy-columnar",
+ "tantivy-common",
+ "tantivy-fst",
+ "tantivy-query-grammar",
+ "tantivy-stacker",
+ "tantivy-tokenizer-api",
+ "tempfile",
+ "thiserror",
+ "time",
+ "uuid 1.6.1",
+ "winapi",
+]
+
+[[package]]
+name = "tantivy-bitpacker"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cecb164321482301f514dd582264fa67f70da2d7eb01872ccd71e35e0d96655a"
+dependencies = [
+ "bitpacking",
+]
+
+[[package]]
+name = "tantivy-columnar"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8d85f8019af9a78b3118c11298b36ffd21c2314bd76bbcd9d12e00124cbb7e70"
+dependencies = [
+ "fastdivide",
+ "fnv",
+ "itertools 0.11.0",
+ "serde",
+ "tantivy-bitpacker",
+ "tantivy-common",
+ "tantivy-sstable",
+ "tantivy-stacker",
+]
+
+[[package]]
+name = "tantivy-common"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "af4a3a975e604a2aba6b1106a04505e1e7a025e6def477fab6e410b4126471e1"
+dependencies = [
+ "async-trait",
+ "byteorder",
+ "ownedbytes",
+ "serde",
+ "time",
+]
+
+[[package]]
+name = "tantivy-fst"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fc3c506b1a8443a3a65352df6382a1fb6a7afe1a02e871cee0d25e2c3d5f3944"
+dependencies = [
+ "byteorder",
+ "regex-syntax 0.6.29",
+ "utf8-ranges",
+]
+
+[[package]]
+name = "tantivy-query-grammar"
+version = "0.21.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1d39c5a03100ac10c96e0c8b07538e2ab8b17da56434ab348309b31f23fada77"
+dependencies = [
+ "nom 7.1.3",
+]
+
+[[package]]
+name = "tantivy-sstable"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fc0c1bb43e5e8b8e05eb8009610344dbf285f06066c844032fbb3e546b3c71df"
+dependencies = [
+ "tantivy-common",
+ "tantivy-fst",
+ "zstd",
+]
+
+[[package]]
+name = "tantivy-stacker"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b2c078595413f13f218cf6f97b23dcfd48936838f1d3d13a1016e05acd64ed6c"
+dependencies = [
+ "murmurhash32",
+ "tantivy-common",
+]
+
+[[package]]
+name = "tantivy-tokenizer-api"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "347b6fb212b26d3505d224f438e3c4b827ab8bd847fe9953ad5ac6b8f9443b66"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "tarpc"
+version = "0.33.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6f41bce44d290df0598ae4b9cd6ea7f58f651fd3aa4af1b26060c4fa32b08af7"
+dependencies = [
+ "anyhow",
+ "fnv",
+ "futures",
+ "humantime",
+ "opentelemetry",
+ "pin-project",
+ "rand 0.8.5",
+ "serde",
+ "static_assertions",
+ "tarpc-plugins",
+ "thiserror",
+ "tokio",
+ "tokio-serde",
+ "tokio-util",
+ "tracing",
+ "tracing-opentelemetry",
+]
+
+[[package]]
+name = "tarpc-plugins"
+version = "0.12.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0ee42b4e559f17bce0385ebf511a7beb67d5cc33c12c96b7f4e9789919d9c10f"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "temp_testdir"
+version = "0.2.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "921f1e9c427802414907a48b21a6504ff6b3a15a1a3cf37e699590949ad9befc"
+
+[[package]]
+name = "tempfile"
+version = "3.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b9fbec84f381d5795b08656e4912bec604d162bff9291d6189a78f4c8ab87998"
+dependencies = [
+ "cfg-if",
+ "fastrand 1.9.0",
+ "redox_syscall 0.3.5",
+ "rustix 0.37.19",
+ "windows-sys 0.45.0",
+]
+
+[[package]]
+name = "termcolor"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "be55cf8942feac5c765c2c993422806843c9a9a45d4d5c407ad6dd2ea95eb9b6"
+dependencies = [
+ "winapi-util",
+]
+
+[[package]]
+name = "terminal_size"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "21bebf2b7c9e0a515f6e0f8c51dc0f8e4696391e6f1ff30379559f8365fb0df7"
+dependencies = [
+ "rustix 0.38.17",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "textdistance"
+version = "1.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d321c8576c2b47e43953e9cce236550d4cd6af0a6ce518fe084340082ca6037b"
+
+[[package]]
+name = "thiserror"
+version = "1.0.49"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1177e8c6d7ede7afde3585fd2513e611227efd6481bd78d2e82ba1ce16557ed4"
+dependencies = [
+ "thiserror-impl",
+]
+
+[[package]]
+name = "thiserror-impl"
+version = "1.0.49"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "10712f02019e9288794769fba95cd6847df9874d49d871d062172f9dd41bc4cc"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.32",
+]
+
+[[package]]
+name = "thread_local"
+version = "1.1.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3fdd6f064ccff2d6567adcb3873ca630700f00b5ad3f060c25b5dcfd9a4ce152"
+dependencies = [
+ "cfg-if",
+ "once_cell",
+]
+
+[[package]]
+name = "time"
+version = "0.3.26"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a79d09ac6b08c1ab3906a2f7cc2e81a0e27c7ae89c63812df75e52bef0751e07"
+dependencies = [
+ "deranged",
+ "itoa",
+ "libc",
+ "num_threads",
+ "serde",
+ "time-core",
+ "time-macros",
+]
+
+[[package]]
+name = "time-core"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7300fbefb4dadc1af235a9cef3737cea692a9d97e1b9cbcd4ebdae6f8868e6fb"
+
+[[package]]
+name = "time-macros"
+version = "0.2.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "75c65469ed6b3a4809d987a41eb1dc918e9bc1d92211cbad7ae82931846f7451"
+dependencies = [
+ "time-core",
+]
+
+[[package]]
+name = "tinyvec"
+version = "1.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "87cc5ceb3875bb20c2890005a4e226a4651264a5c75edb2421b52861a0a0cb50"
+dependencies = [
+ "tinyvec_macros",
+]
+
+[[package]]
+name = "tinyvec_macros"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20"
+
+[[package]]
+name = "tokio"
+version = "1.35.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "841d45b238a16291a4e1584e61820b8ae57d696cc5015c459c229ccc6990cc1c"
+dependencies = [
+ "backtrace",
+ "bytes",
+ "libc",
+ "mio",
+ "num_cpus",
+ "parking_lot",
+ "pin-project-lite",
+ "signal-hook-registry",
+ "socket2 0.5.5",
+ "tokio-macros",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "tokio-cron-scheduler"
+version = "0.9.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "de2c1fd54a857b29c6cd1846f31903d0ae8e28175615c14a277aed45c58d8e27"
+dependencies = [
+ "chrono",
+ "cron 0.12.0",
+ "num-derive",
+ "num-traits",
+ "tokio",
+ "tracing",
+ "uuid 1.6.1",
+]
+
+[[package]]
+name = "tokio-io-timeout"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "30b74022ada614a1b4834de765f9bb43877f910cc8ce4be40e89042c9223a8bf"
+dependencies = [
+ "pin-project-lite",
+ "tokio",
+]
+
+[[package]]
+name = "tokio-macros"
+version = "2.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5b8a1e28f2deaa14e508979454cb3a223b10b938b45af148bc0986de36f1923b"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.32",
+]
+
+[[package]]
+name = "tokio-native-tls"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bbae76ab933c85776efabc971569dd6119c580d8f5d448769dec1764bf796ef2"
+dependencies = [
+ "native-tls",
+ "tokio",
+]
+
+[[package]]
+name = "tokio-retry"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f57eb36ecbe0fc510036adff84824dd3c24bb781e21bfa67b69d556aa85214f"
+dependencies = [
+ "pin-project",
+ "rand 0.8.5",
+ "tokio",
+]
+
+[[package]]
+name = "tokio-rusqlite"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dc785c98d0c872455381e59be1f33a8f3a6b4e852544212e37601cc2ccb21d39"
+dependencies = [
+ "crossbeam-channel",
+ "rusqlite",
+ "tokio",
+]
+
+[[package]]
+name = "tokio-serde"
+version = "0.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "911a61637386b789af998ee23f50aa30d5fd7edcec8d6d3dedae5e5815205466"
+dependencies = [
+ "bytes",
+ "futures-core",
+ "futures-sink",
+ "pin-project",
+]
+
+[[package]]
+name = "tokio-stream"
+version = "0.1.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "397c988d37662c7dda6d2208364a706264bf3d6138b11d436cbac0ad38832842"
+dependencies = [
+ "futures-core",
+ "pin-project-lite",
+ "tokio",
+]
+
+[[package]]
+name = "tokio-tungstenite"
+version = "0.20.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "212d5dcb2a1ce06d81107c3d0ffa3121fe974b73f068c8282cb1c32328113b6c"
+dependencies = [
+ "futures-util",
+ "log",
+ "tokio",
+ "tungstenite",
+]
+
+[[package]]
+name = "tokio-util"
+version = "0.7.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5419f34732d9eb6ee4c3578b7989078579b7f039cbbb9ca2c4da015749371e15"
+dependencies = [
+ "bytes",
+ "futures-core",
+ "futures-io",
+ "futures-sink",
+ "futures-util",
+ "hashbrown 0.14.3",
+ "pin-project-lite",
+ "slab",
+ "tokio",
+ "tracing",
+]
+
+[[package]]
+name = "toml"
+version = "0.7.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d6135d499e69981f9ff0ef2167955a5333c35e36f6937d382974566b3d5b94ec"
+dependencies = [
+ "serde",
+ "serde_spanned",
+ "toml_datetime",
+ "toml_edit",
+]
+
+[[package]]
+name = "toml_datetime"
+version = "0.6.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5a76a9312f5ba4c2dec6b9161fdf25d87ad8a09256ccea5a556fef03c706a10f"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "toml_edit"
+version = "0.19.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2380d56e8670370eee6566b0bfd4265f65b3f432e8c6d85623f728d4fa31f739"
+dependencies = [
+ "indexmap 1.9.3",
+ "serde",
+ "serde_spanned",
+ "toml_datetime",
+ "winnow",
+]
+
+[[package]]
+name = "tonic"
+version = "0.8.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f219fad3b929bef19b1f86fbc0358d35daed8f2cac972037ac0dc10bbb8d5fb"
+dependencies = [
+ "async-stream",
+ "async-trait",
+ "axum",
+ "base64 0.13.1",
+ "bytes",
+ "futures-core",
+ "futures-util",
+ "h2",
+ "http",
+ "http-body",
+ "hyper",
+ "hyper-timeout",
+ "percent-encoding",
+ "pin-project",
+ "prost",
+ "prost-derive",
+ "tokio",
+ "tokio-stream",
+ "tokio-util",
+ "tower",
+ "tower-layer",
+ "tower-service",
+ "tracing",
+ "tracing-futures",
+]
+
+[[package]]
+name = "tonic-build"
+version = "0.8.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5bf5e9b9c0f7e0a7c027dcfaba7b2c60816c7049171f679d99ee2ff65d0de8c4"
+dependencies = [
+ "prettyplease",
+ "proc-macro2",
+ "prost-build",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "tower"
+version = "0.4.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b8fa9be0de6cf49e536ce1851f987bd21a43b771b09473c3549a6c853db37c1c"
+dependencies = [
+ "futures-core",
+ "futures-util",
+ "indexmap 1.9.3",
+ "pin-project",
+ "pin-project-lite",
+ "rand 0.8.5",
+ "slab",
+ "tokio",
+ "tokio-util",
+ "tower-layer",
+ "tower-service",
+ "tracing",
+]
+
+[[package]]
+name = "tower-http"
+version = "0.3.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f873044bf02dd1e8239e9c1293ea39dad76dc594ec16185d0a1bf31d8dc8d858"
+dependencies = [
+ "bitflags 1.3.2",
+ "bytes",
+ "futures-core",
+ "futures-util",
+ "http",
+ "http-body",
+ "http-range-header",
+ "pin-project-lite",
+ "tower-layer",
+ "tower-service",
+ "tracing",
+]
+
+[[package]]
+name = "tower-http"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5d1d42a9b3f3ec46ba828e8d376aec14592ea199f70a06a548587ecd1c4ab658"
+dependencies = [
+ "bitflags 1.3.2",
+ "bytes",
+ "futures-core",
+ "futures-util",
+ "http",
+ "http-body",
+ "http-range-header",
+ "httpdate",
+ "mime",
+ "mime_guess",
+ "percent-encoding",
+ "pin-project-lite",
+ "tokio",
+ "tokio-util",
+ "tower-layer",
+ "tower-service",
+ "tracing",
+]
+
+[[package]]
+name = "tower-layer"
+version = "0.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c20c8dbed6283a09604c3e69b4b7eeb54e298b8a600d4d5ecb5ad39de609f1d0"
+
+[[package]]
+name = "tower-service"
+version = "0.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b6bc1c9ce2b5135ac7f93c72918fc37feb872bdc6a5533a8b85eb4b86bfdae52"
+
+[[package]]
+name = "tracing"
+version = "0.1.37"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8ce8c33a8d48bd45d624a6e523445fd21ec13d3653cd51f681abf67418f54eb8"
+dependencies = [
+ "cfg-if",
+ "log",
+ "pin-project-lite",
+ "tracing-attributes",
+ "tracing-core",
+]
+
+[[package]]
+name = "tracing-attributes"
+version = "0.1.24"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0f57e3ca2a01450b1a921183a9c9cbfda207fd822cef4ccb00a65402cbba7a74"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.32",
+]
+
+[[package]]
+name = "tracing-core"
+version = "0.1.31"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0955b8137a1df6f1a2e9a37d8a6656291ff0297c1a97c24e0d8425fe2312f79a"
+dependencies = [
+ "once_cell",
+ "valuable",
+]
+
+[[package]]
+name = "tracing-futures"
+version = "0.2.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "97d095ae15e245a057c8e8451bab9b3ee1e1f68e9ba2b4fbc18d0ac5237835f2"
+dependencies = [
+ "pin-project",
+ "tracing",
+]
+
+[[package]]
+name = "tracing-log"
+version = "0.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "78ddad33d2d10b1ed7eb9d1f518a5674713876e97e5bb9b7345a7984fbb4f922"
+dependencies = [
+ "lazy_static",
+ "log",
+ "tracing-core",
+]
+
+[[package]]
+name = "tracing-opentelemetry"
+version = "0.18.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "21ebb87a95ea13271332df069020513ab70bdb5637ca42d6e492dc3bbbad48de"
+dependencies = [
+ "once_cell",
+ "opentelemetry",
+ "tracing",
+ "tracing-core",
+ "tracing-log",
+ "tracing-subscriber 0.3.17",
+]
+
+[[package]]
+name = "tracing-serde"
+version = "0.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bc6b213177105856957181934e4920de57730fc69bf42c37ee5bb664d406d9e1"
+dependencies = [
+ "serde",
+ "tracing-core",
+]
+
+[[package]]
+name = "tracing-subscriber"
+version = "0.2.25"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0e0d2eaa99c3c2e41547cfa109e910a68ea03823cccad4a0525dcbc9b01e8c71"
+dependencies = [
+ "ansi_term",
+ "chrono",
+ "lazy_static",
+ "matchers 0.0.1",
+ "regex",
+ "serde",
+ "serde_json",
+ "sharded-slab",
+ "smallvec",
+ "thread_local",
+ "tracing",
+ "tracing-core",
+ "tracing-log",
+ "tracing-serde",
+]
+
+[[package]]
+name = "tracing-subscriber"
+version = "0.3.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "30a651bc37f915e81f087d86e62a18eec5f79550c7faff886f7090b4ea757c77"
+dependencies = [
+ "matchers 0.1.0",
+ "nu-ansi-term",
+ "once_cell",
+ "regex",
+ "sharded-slab",
+ "smallvec",
+ "thread_local",
+ "tracing",
+ "tracing-core",
+ "tracing-log",
+]
+
+[[package]]
+name = "tracing-test"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a3b48778c2d401c6a7fcf38a0e3c55dc8e8e753cbd381044a8cdb6fd69a29f53"
+dependencies = [
+ "lazy_static",
+ "tracing-core",
+ "tracing-subscriber 0.2.25",
+ "tracing-test-macro",
+]
+
+[[package]]
+name = "tracing-test-macro"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c49adbab879d2e0dd7f75edace5f0ac2156939ecb7e6a1e8fa14e53728328c48"
+dependencies = [
+ "lazy_static",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "trackable"
+version = "1.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b15bd114abb99ef8cee977e517c8f37aee63f184f2d08e3e6ceca092373369ae"
+dependencies = [
+ "trackable_derive",
+]
+
+[[package]]
+name = "trackable_derive"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ebeb235c5847e2f82cfe0f07eb971d1e5f6804b18dac2ae16349cc604380f82f"
+dependencies = [
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "tree-sitter"
+version = "0.20.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e747b1f9b7b931ed39a548c1fae149101497de3c1fc8d9e18c62c1a66c683d3d"
+dependencies = [
+ "cc",
+ "regex",
+]
+
+[[package]]
+name = "tree-sitter-c"
+version = "0.20.6"
+source = "git+https://github.com/tree-sitter/tree-sitter-c/?rev=212a80f#212a80f86452bb1316324fa0db730cf52f29e05a"
+dependencies = [
+ "cc",
+ "tree-sitter",
+]
+
+[[package]]
+name = "tree-sitter-cpp"
+version = "0.20.3"
+source = "git+https://github.com/tree-sitter/tree-sitter-cpp?rev=a714740#a71474021410973b29bfe99440d57bcd750246b1"
+dependencies = [
+ "cc",
+ "tree-sitter",
+]
+
+[[package]]
+name = "tree-sitter-go"
+version = "0.20.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1ad6d11f19441b961af2fda7f12f5d0dac325f6d6de83836a1d3750018cc5114"
+dependencies = [
+ "cc",
+ "tree-sitter",
+]
+
+[[package]]
+name = "tree-sitter-java"
+version = "0.20.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2adc5696bf5abf761081d7457d2bb82d0e3b28964f4214f63fd7e720ef462653"
+dependencies = [
+ "cc",
+ "tree-sitter",
+]
+
+[[package]]
+name = "tree-sitter-kotlin"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1b5f367466210220a194a2d8831fc12d15aa13305e7bcdf2dba47714aa328e86"
+dependencies = [
+ "cc",
+ "tree-sitter",
+]
+
+[[package]]
+name = "tree-sitter-python"
+version = "0.20.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dda114f58048f5059dcf158aff691dffb8e113e6d2b50d94263fd68711975287"
+dependencies = [
+ "cc",
+ "tree-sitter",
+]
+
+[[package]]
+name = "tree-sitter-ruby"
+version = "0.20.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0ac30cbb1560363ae76e1ccde543d6d99087421e228cc47afcec004b86bb711a"
+dependencies = [
+ "cc",
+ "tree-sitter",
+]
+
+[[package]]
+name = "tree-sitter-rust"
+version = "0.20.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "797842733e252dc11ae5d403a18060bf337b822fc2ae5ddfaa6ff4d9cc20bda6"
+dependencies = [
+ "cc",
+ "tree-sitter",
+]
+
+[[package]]
+name = "tree-sitter-tags"
+version = "0.20.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ccb3f1376219530a37a809751ecf65aa35fd8b9c1c4ab6d4faf5f6a9eeda2c05"
+dependencies = [
+ "memchr",
+ "regex",
+ "thiserror",
+ "tree-sitter",
+]
+
+[[package]]
+name = "tree-sitter-typescript"
+version = "0.20.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a75049f0aafabb2aac205d7bb24da162b53dcd0cfb326785f25a2f32efa8071a"
+dependencies = [
+ "cc",
+ "tree-sitter",
+]
+
+[[package]]
+name = "try-lock"
+version = "0.2.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3528ecfd12c466c6f163363caf2d02a71161dd5e1cc6ae7b34207ea2d42d81ed"
+
+[[package]]
+name = "tungstenite"
+version = "0.20.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9e3dac10fd62eaf6617d3a904ae222845979aec67c615d1c842b4002c7666fb9"
+dependencies = [
+ "byteorder",
+ "bytes",
+ "data-encoding",
+ "http",
+ "httparse",
+ "log",
+ "rand 0.8.5",
+ "sha1",
+ "thiserror",
+ "url",
+ "utf-8",
+]
+
+[[package]]
+name = "typenum"
+version = "1.16.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "497961ef93d974e23eb6f433eb5fe1b7930b659f06d12dec6fc44a8f554c0bba"
+
+[[package]]
+name = "ucd-trie"
+version = "0.1.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ed646292ffc8188ef8ea4d1e0e0150fb15a5c2e12ad9b8fc191ae7a8a7f3c4b9"
+
+[[package]]
+name = "unicase"
+version = "2.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f7d2d4dafb69621809a81864c9c1b864479e1235c0dd4e199924b9742439ed89"
+dependencies = [
+ "version_check",
+]
+
+[[package]]
+name = "unicode-bidi"
+version = "0.3.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "92888ba5573ff080736b3648696b70cafad7d250551175acbaa4e0385b3e1460"
+
+[[package]]
+name = "unicode-ident"
+version = "1.0.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b15811caf2415fb889178633e7724bad2509101cde276048e013b9def5e51fa0"
+
+[[package]]
+name = "unicode-normalization"
+version = "0.1.22"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5c5713f0fc4b5db668a2ac63cdb7bb4469d8c9fed047b1d0292cc7b0ce2ba921"
+dependencies = [
+ "tinyvec",
+]
+
+[[package]]
+name = "unicode-width"
+version = "0.1.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c0edd1e5b14653f783770bce4a4dabb4a5108a5370a5f5d8cfe8710c361f6c8b"
+
+[[package]]
+name = "unreachable"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "382810877fe448991dfc7f0dd6e3ae5d58088fd0ea5e35189655f84e6814fa56"
+dependencies = [
+ "void",
+]
+
+[[package]]
+name = "untrusted"
+version = "0.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8ecb6da28b8a351d773b68d5825ac39017e680750f980f3a1a85cd8dd28a47c1"
+
+[[package]]
+name = "url"
+version = "2.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "31e6302e3bb753d46e83516cae55ae196fc0c309407cf11ab35cc51a4c2a4633"
+dependencies = [
+ "form_urlencoded",
+ "idna 0.5.0",
+ "percent-encoding",
+]
+
+[[package]]
+name = "url-parse"
+version = "1.0.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0d375da66174ba9b3697f36468fb6b9a981074537569a87ad2dc43de2a598063"
+dependencies = [
+ "regex",
+]
+
+[[package]]
+name = "utf-8"
+version = "0.7.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "09cc8ee72d2a9becf2f2febe0205bbed8fc6615b7cb429ad062dc7b7ddd036a9"
+
+[[package]]
+name = "utf8-ranges"
+version = "1.0.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7fcfc827f90e53a02eaef5e535ee14266c1d569214c6aa70133a624d8a3164ba"
+
+[[package]]
+name = "utf8parse"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "711b9620af191e0cdc7468a8d14e709c3dcdb115b36f838e601583af800a370a"
+
+[[package]]
+name = "utoipa"
+version = "3.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "68ae74ef183fae36d650f063ae7bde1cacbe1cd7e72b617cbe1e985551878b98"
+dependencies = [
+ "indexmap 1.9.3",
+ "serde",
+ "serde_json",
+ "utoipa-gen",
+]
+
+[[package]]
+name = "utoipa-gen"
+version = "3.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7ea8ac818da7e746a63285594cce8a96f5e00ee31994e655bd827569cb8b137b"
+dependencies = [
+ "proc-macro-error",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.32",
+]
+
+[[package]]
+name = "utoipa-swagger-ui"
+version = "3.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "062bba5a3568e126ac72049a63254f4cb1da2eb713db0c1ab2a4c76be191db8c"
+dependencies = [
+ "axum",
+ "mime_guess",
+ "regex",
+ "rust-embed 6.6.1",
+ "serde",
+ "serde_json",
+ "utoipa",
+ "zip",
+]
+
+[[package]]
+name = "uuid"
+version = "0.8.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bc5cf98d8186244414c848017f0e2676b3fcb46807f6668a97dfe67359a3c4b7"
+dependencies = [
+ "getrandom 0.2.11",
+]
+
+[[package]]
+name = "uuid"
+version = "1.6.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5e395fcf16a7a3d8127ec99782007af141946b4795001f876d54fb0d55978560"
+dependencies = [
+ "getrandom 0.2.11",
+ "rand 0.8.5",
+ "serde",
+ "uuid-macro-internal",
+]
+
+[[package]]
+name = "uuid-macro-internal"
+version = "1.6.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f49e7f3f3db8040a100710a11932239fd30697115e2ba4107080d8252939845e"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.32",
+]
+
+[[package]]
+name = "validator"
+version = "0.16.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b92f40481c04ff1f4f61f304d61793c7b56ff76ac1469f1beb199b1445b253bd"
+dependencies = [
+ "idna 0.4.0",
+ "lazy_static",
+ "regex",
+ "serde",
+ "serde_derive",
+ "serde_json",
+ "url",
+ "validator_derive",
+]
+
+[[package]]
+name = "validator_derive"
+version = "0.16.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bc44ca3088bb3ba384d9aecf40c6a23a676ce23e09bdaca2073d99c207f864af"
+dependencies = [
+ "if_chain",
+ "lazy_static",
+ "proc-macro-error",
+ "proc-macro2",
+ "quote",
+ "regex",
+ "syn 1.0.109",
+ "validator_types",
+]
+
+[[package]]
+name = "validator_types"
+version = "0.16.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "111abfe30072511849c5910134e8baf8dc05de4c0e5903d681cbd5c9c4d611e3"
+dependencies = [
+ "proc-macro2",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "valuable"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "830b7e5d4d90034032940e4ace0d9a9a057e7a45cd94e6c007832e39edb82f6d"
+
+[[package]]
+name = "vcpkg"
+version = "0.2.15"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "accd4ea62f7bb7a82fe23066fb0957d48ef677f6eeb8215f372f52e48bb32426"
+
+[[package]]
+name = "vergen"
+version = "8.2.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bbc5ad0d9d26b2c49a5ab7da76c3e79d3ee37e7821799f8223fcb8f2f391a2e7"
+dependencies = [
+ "anyhow",
+ "rustversion",
+ "time",
+]
+
+[[package]]
+name = "version_check"
+version = "0.9.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "49874b5167b65d7193b8aba1567f5c7d93d001cafc34600cee003eda787e483f"
+
+[[package]]
+name = "void"
+version = "1.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6a02e4885ed3bc0f2de90ea6dd45ebcbb66dacffe03547fadbb0eeae2770887d"
+
+[[package]]
+name = "walkdir"
+version = "2.3.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "36df944cda56c7d8d8b7496af378e6b16de9284591917d307c9b4d313c44e698"
+dependencies = [
+ "same-file",
+ "winapi-util",
+]
+
+[[package]]
+name = "want"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1ce8a968cb1cd110d136ff8b819a556d6fb6d919363c61534f6860c7eb172ba0"
+dependencies = [
+ "log",
+ "try-lock",
+]
+
+[[package]]
+name = "wasi"
+version = "0.9.0+wasi-snapshot-preview1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cccddf32554fecc6acb585f82a32a72e28b48f8c4c1883ddfeeeaa96f7d8e519"
+
+[[package]]
+name = "wasi"
+version = "0.11.0+wasi-snapshot-preview1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
+
+[[package]]
+name = "wasm-bindgen"
+version = "0.2.87"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7706a72ab36d8cb1f80ffbf0e071533974a60d0a308d01a5d0375bf60499a342"
+dependencies = [
+ "cfg-if",
+ "wasm-bindgen-macro",
+]
+
+[[package]]
+name = "wasm-bindgen-backend"
+version = "0.2.87"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5ef2b6d3c510e9625e5fe6f509ab07d66a760f0885d858736483c32ed7809abd"
+dependencies = [
+ "bumpalo",
+ "log",
+ "once_cell",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.32",
+ "wasm-bindgen-shared",
+]
+
+[[package]]
+name = "wasm-bindgen-futures"
+version = "0.4.37"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c02dbc21516f9f1f04f187958890d7e6026df8d16540b7ad9492bc34a67cea03"
+dependencies = [
+ "cfg-if",
+ "js-sys",
+ "wasm-bindgen",
+ "web-sys",
+]
+
+[[package]]
+name = "wasm-bindgen-macro"
+version = "0.2.87"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dee495e55982a3bd48105a7b947fd2a9b4a8ae3010041b9e0faab3f9cd028f1d"
+dependencies = [
+ "quote",
+ "wasm-bindgen-macro-support",
+]
+
+[[package]]
+name = "wasm-bindgen-macro-support"
+version = "0.2.87"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "54681b18a46765f095758388f2d0cf16eb8d4169b639ab575a8f5693af210c7b"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.32",
+ "wasm-bindgen-backend",
+ "wasm-bindgen-shared",
+]
+
+[[package]]
+name = "wasm-bindgen-shared"
+version = "0.2.87"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ca6ad05a4870b2bf5fe995117d3728437bd27d7cd5f06f13c17443ef369775a1"
+
+[[package]]
+name = "wasm-streams"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b4609d447824375f43e1ffbc051b50ad8f4b3ae8219680c94452ea05eb240ac7"
+dependencies = [
+ "futures-util",
+ "js-sys",
+ "wasm-bindgen",
+ "wasm-bindgen-futures",
+ "web-sys",
+]
+
+[[package]]
+name = "web-sys"
+version = "0.3.64"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9b85cbef8c220a6abc02aefd892dfc0fc23afb1c6a426316ec33253a3877249b"
+dependencies = [
+ "js-sys",
+ "wasm-bindgen",
+]
+
+[[package]]
+name = "which"
+version = "4.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2441c784c52b289a054b7201fc93253e288f094e2f4be9058343127c4226a269"
+dependencies = [
+ "either",
+ "libc",
+ "once_cell",
+]
+
+[[package]]
+name = "winapi"
+version = "0.3.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5c839a674fcd7a98952e593242ea400abe93992746761e38641405d28b00f419"
+dependencies = [
+ "winapi-i686-pc-windows-gnu",
+ "winapi-x86_64-pc-windows-gnu",
+]
+
+[[package]]
+name = "winapi-i686-pc-windows-gnu"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ac3b87c63620426dd9b991e5ce0329eff545bccbbb34f3be09ff6fb6ab51b7b6"
+
+[[package]]
+name = "winapi-util"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "70ec6ce85bb158151cae5e5c87f95a8e97d2c0c4b001223f33a334e3ce5de178"
+dependencies = [
+ "winapi",
+]
+
+[[package]]
+name = "winapi-x86_64-pc-windows-gnu"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
+
+[[package]]
+name = "windows"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e686886bc078bc1b0b600cac0147aadb815089b6e4da64016cbd754b6342700f"
+dependencies = [
+ "windows-targets 0.48.0",
+]
+
+[[package]]
+name = "windows-sys"
+version = "0.42.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5a3e1820f08b8513f676f7ab6c1f99ff312fb97b553d30ff4dd86f9f15728aa7"
+dependencies = [
+ "windows_aarch64_gnullvm 0.42.2",
+ "windows_aarch64_msvc 0.42.2",
+ "windows_i686_gnu 0.42.2",
+ "windows_i686_msvc 0.42.2",
+ "windows_x86_64_gnu 0.42.2",
+ "windows_x86_64_gnullvm 0.42.2",
+ "windows_x86_64_msvc 0.42.2",
+]
+
+[[package]]
+name = "windows-sys"
+version = "0.45.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "75283be5efb2831d37ea142365f009c02ec203cd29a3ebecbc093d52315b66d0"
+dependencies = [
+ "windows-targets 0.42.2",
+]
+
+[[package]]
+name = "windows-sys"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "677d2418bec65e3338edb076e806bc1ec15693c5d0104683f2efe857f61056a9"
+dependencies = [
+ "windows-targets 0.48.0",
+]
+
+[[package]]
+name = "windows-targets"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8e5180c00cd44c9b1c88adb3693291f1cd93605ded80c250a75d472756b4d071"
+dependencies = [
+ "windows_aarch64_gnullvm 0.42.2",
+ "windows_aarch64_msvc 0.42.2",
+ "windows_i686_gnu 0.42.2",
+ "windows_i686_msvc 0.42.2",
+ "windows_x86_64_gnu 0.42.2",
+ "windows_x86_64_gnullvm 0.42.2",
+ "windows_x86_64_msvc 0.42.2",
+]
+
+[[package]]
+name = "windows-targets"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7b1eb6f0cd7c80c79759c929114ef071b87354ce476d9d94271031c0497adfd5"
+dependencies = [
+ "windows_aarch64_gnullvm 0.48.0",
+ "windows_aarch64_msvc 0.48.0",
+ "windows_i686_gnu 0.48.0",
+ "windows_i686_msvc 0.48.0",
+ "windows_x86_64_gnu 0.48.0",
+ "windows_x86_64_gnullvm 0.48.0",
+ "windows_x86_64_msvc 0.48.0",
+]
+
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "597a5118570b68bc08d8d59125332c54f1ba9d9adeedeef5b99b02ba2b0698f8"
+
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "91ae572e1b79dba883e0d315474df7305d12f569b400fcf90581b06062f7e1bc"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e08e8864a60f06ef0d0ff4ba04124db8b0fb3be5776a5cd47641e942e58c4d43"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b2ef27e0d7bdfcfc7b868b317c1d32c641a6fe4629c171b8928c7b08d98d7cf3"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c61d927d8da41da96a81f029489353e68739737d3beca43145c8afec9a31a84f"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "622a1962a7db830d6fd0a69683c80a18fda201879f0f447f065a3b7467daa241"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "44d840b6ec649f480a41c8d80f9c65108b92d89345dd94027bfe06ac444d1060"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4542c6e364ce21bf45d69fdd2a8e455fa38d316158cfd43b3ac1c5b1b19f8e00"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8de912b8b8feb55c064867cf047dda097f92d51efad5b491dfb98f6bbb70cb36"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ca2b8a661f7628cbd23440e50b05d705db3686f894fc9580820623656af974b1"
+
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "26d41b46a36d453748aedef1486d5c7a85db22e56aff34643984ea85514e94a3"
+
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7896dbc1f41e08872e9d5e8f8baa8fdd2677f29468c4e156210174edc7f7b953"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.42.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9aec5da331524158c6d1a4ac0ab1541149c0b9505fde06423b02f5ef0106b9f0"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1a515f5799fe4961cb532f983ce2b23082366b898e52ffbce459c86f67c8378a"
+
+[[package]]
+name = "winnow"
+version = "0.4.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "61de7bac303dc551fe038e2b3cef0f571087a47571ea6e79a87692ac99b99699"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "winreg"
+version = "0.50.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "524e57b2c537c0f9b1e69f1965311ec12182b4122e45035b1508cd24d2adadb1"
+dependencies = [
+ "cfg-if",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "wrapcenum-derive"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6bcc065c85ad2c3bd12aa4118bf164835712e25080c392557801a13292c60aec"
+dependencies = [
+ "darling 0.10.2",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "yaml-rust"
+version = "0.4.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "56c1936c4cc7a1c9ab21a1ebb602eb942ba868cbd44a99cb7cdc5892335e1c85"
+dependencies = [
+ "linked-hash-map",
+]
+
+[[package]]
+name = "zerocopy"
+version = "0.7.32"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "74d4d3961e53fa4c9a25a8637fc2bfaf2595b3d3ae34875568a5cf64787716be"
+dependencies = [
+ "zerocopy-derive",
+]
+
+[[package]]
+name = "zerocopy-derive"
+version = "0.7.32"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9ce1b18ccd8e73a9321186f97e46f9f04b778851177567b1975109d26a08d2a6"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.32",
+]
+
+[[package]]
+name = "zip"
+version = "0.6.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "760394e246e4c28189f19d488c058bf16f564016aefac5d32bb1f3b51d5e9261"
+dependencies = [
+ "byteorder",
+ "crc32fast",
+ "crossbeam-utils",
+ "flate2",
+]
+
+[[package]]
+name = "zstd"
+version = "0.12.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1a27595e173641171fc74a1232b7b1c7a7cb6e18222c11e9dfb9888fa424c53c"
+dependencies = [
+ "zstd-safe",
+]
+
+[[package]]
+name = "zstd-safe"
+version = "6.0.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ee98ffd0b48ee95e6c5168188e44a54550b1564d9d530ee21d5f0eaed1069581"
+dependencies = [
+ "libc",
+ "zstd-sys",
+]
+
+[[package]]
+name = "zstd-sys"
+version = "2.0.8+zstd.1.5.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5556e6ee25d32df2586c098bbfa278803692a20d0ab9565e049480d52707ec8c"
+dependencies = [
+ "cc",
+ "libc",
+ "pkg-config",
+]
diff --git a/pkgs/by-name/ta/tabby/package.nix b/pkgs/by-name/ta/tabby/package.nix
new file mode 100644
index 000000000000..e1d216f44b7b
--- /dev/null
+++ b/pkgs/by-name/ta/tabby/package.nix
@@ -0,0 +1,165 @@
+{ config
+, lib
+, rustPlatform
+, fetchFromGitHub
+, stdenv
+
+, git
+, openssl
+, pkg-config
+, protobuf
+
+, llama-cpp
+
+, cudaSupport ? config.cudaSupport
+, cudaPackages ? { }
+
+, rocmSupport ? config.rocmSupport
+
+, darwin
+, metalSupport ? stdenv.isDarwin && stdenv.isAarch64
+
+ # one of [ null "cpu" "rocm" "cuda" "metal" ];
+, acceleration ? null
+}:
+
+let
+ inherit (lib) optional optionals flatten;
+ # References:
+ # https://github.com/NixOS/nixpkgs/blob/master/pkgs/by-name/ll/llama-cpp/package.nix
+ # https://github.com/NixOS/nixpkgs/blob/master/pkgs/tools/misc/ollama/default.nix
+
+ pname = "tabby";
+ version = "0.8.3";
+
+
+ availableAccelerations = flatten [
+ (optional cudaSupport "cuda")
+ (optional rocmSupport "rocm")
+ (optional metalSupport "metal")
+ ];
+
+ warnIfMultipleAccelerationMethods = configured: (let
+ len = builtins.length configured;
+ result = if len == 0 then "cpu" else (builtins.head configured);
+ in
+ lib.warnIf (len > 1) ''
+ building tabby with multiple acceleration methods enabled is not
+ supported; falling back to `${result}`
+ ''
+ result
+ );
+
+ # If user did not not override the acceleration attribute, then try to use one of
+ # - nixpkgs.config.cudaSupport
+ # - nixpkgs.config.rocmSupport
+ # - metal if (stdenv.isDarwin && stdenv.isAarch64)
+ # !! warn if multiple acceleration methods are enabled and default to the first one in the list
+ featureDevice = if (builtins.isNull acceleration) then (warnIfMultipleAccelerationMethods availableAccelerations) else acceleration;
+
+ warnIfNotLinux = api: (lib.warnIfNot stdenv.isLinux
+ "building tabby with `${api}` is only supported on linux; falling back to cpu"
+ stdenv.isLinux);
+ warnIfNotDarwinAarch64 = api: (lib.warnIfNot (stdenv.isDarwin && stdenv.isAarch64)
+ "building tabby with `${api}` is only supported on Darwin-aarch64; falling back to cpu"
+ (stdenv.isDarwin && stdenv.isAarch64));
+
+ validAccel = lib.assertOneOf "tabby.featureDevice" featureDevice [ "cpu" "rocm" "cuda" "metal" ];
+
+ # TODO(ghthor): there is a bug here where featureDevice could be cuda, but enableCuda is false
+ # The would result in a startup failure of the service module.
+ enableRocm = validAccel && (featureDevice == "rocm") && (warnIfNotLinux "rocm");
+ enableCuda = validAccel && (featureDevice == "cuda") && (warnIfNotLinux "cuda");
+ enableMetal = validAccel && (featureDevice == "metal") && (warnIfNotDarwinAarch64 "metal");
+
+ # We have to use override here because tabby doesn't actually tell llama-cpp
+ # to use a specific device type as it is relying on llama-cpp only being
+ # built to use one type of device.
+ #
+ # See: https://github.com/TabbyML/tabby/blob/v0.8.3/crates/llama-cpp-bindings/include/engine.h#L20
+ #
+ llamaccpPackage = llama-cpp.override {
+ rocmSupport = enableRocm;
+ cudaSupport = enableCuda;
+ metalSupport = enableMetal;
+ };
+
+ # TODO(ghthor): some of this can be removed
+ darwinBuildInputs = [ llamaccpPackage ]
+ ++ optionals stdenv.isDarwin (with darwin.apple_sdk.frameworks; [
+ Foundation
+ Accelerate
+ CoreVideo
+ CoreGraphics
+ ]
+ ++ optionals enableMetal [ Metal MetalKit ]);
+
+ cudaBuildInputs = [ llamaccpPackage ];
+ rocmBuildInputs = [ llamaccpPackage ];
+
+in
+rustPlatform.buildRustPackage {
+ inherit pname version;
+ inherit featureDevice;
+
+ src = fetchFromGitHub {
+ owner = "TabbyML";
+ repo = "tabby";
+ rev = "v${version}";
+ hash = "sha256-+5Q5XKfh7+g24y2hBqJC/jNEoRytDdcRdn838xc7c8w=";
+ fetchSubmodules = true;
+ };
+
+ cargoLock = {
+ lockFile = ./Cargo.lock;
+ outputHashes = {
+ "tree-sitter-c-0.20.6" = "sha256-Etl4s29YSOxiqPo4Z49N6zIYqNpIsdk/Qd0jR8jdvW4=";
+ "tree-sitter-cpp-0.20.3" = "sha256-UrQ48CoUMSHmlHzOMu22c9N4hxJtHL2ZYRabYjf5byA=";
+ };
+ };
+
+ # https://github.com/TabbyML/tabby/blob/v0.7.0/.github/workflows/release.yml#L39
+ cargoBuildFlags = [
+ "--release"
+ "--package" "tabby"
+ ] ++ optionals enableRocm [
+ "--features" "rocm"
+ ] ++ optionals enableCuda [
+ "--features" "cuda"
+ ];
+
+ OPENSSL_NO_VENDOR = 1;
+
+ nativeBuildInputs = [
+ pkg-config
+ protobuf
+ git
+ ] ++ optionals enableCuda [
+ # TODO: Replace with autoAddDriverRunpath
+ # once https://github.com/NixOS/nixpkgs/pull/275241 has been merged
+ cudaPackages.autoAddDriverRunpath
+ ];
+
+ buildInputs = [ openssl ]
+ ++ optionals stdenv.isDarwin darwinBuildInputs
+ ++ optionals enableCuda cudaBuildInputs
+ ++ optionals enableRocm rocmBuildInputs
+ ;
+
+ env.LLAMA_CPP_LIB = "${lib.getLib llamaccpPackage}/lib";
+ patches = [ ./0001-nix-build-use-nix-native-llama-cpp-package.patch ];
+
+ # Fails with:
+ # file cannot create directory: /var/empty/local/lib64/cmake/Llama
+ doCheck = false;
+
+ meta = with lib; {
+ homepage = "https://github.com/TabbyML/tabby";
+ changelog = "https://github.com/TabbyML/tabby/releases/tag/v${version}";
+ description = "Self-hosted AI coding assistant";
+ mainProgram = "tabby";
+ license = licenses.asl20;
+ maintainers = [ maintainers.ghthor ];
+ broken = stdenv.isDarwin && !stdenv.isAarch64;
+ };
+}
diff --git a/pkgs/by-name/ta/tana/package.nix b/pkgs/by-name/ta/tana/package.nix
new file mode 100644
index 000000000000..0034f72725c6
--- /dev/null
+++ b/pkgs/by-name/ta/tana/package.nix
@@ -0,0 +1,111 @@
+{ libX11
+, libxcb
+, libXcomposite
+, libXdamage
+, libXext
+, libXfixes
+, libXrandr
+, stdenv
+, lib
+, alsa-lib
+, at-spi2-atk
+, atkmm
+, cairo
+, cups
+, dbus
+, expat
+, glib
+, gtk3
+, libdrm
+, libglvnd
+, libxkbcommon
+, mesa
+, nspr
+, nss
+, pango
+, systemd
+, fetchurl
+, autoPatchelfHook
+, dpkg
+}:
+let
+ glLibs = [ libglvnd mesa ];
+ libs = [
+ alsa-lib
+ atkmm
+ at-spi2-atk
+ cairo
+ cups
+ dbus
+ expat
+ glib
+ gtk3
+ libdrm
+ libX11
+ libxcb
+ libXcomposite
+ libXdamage
+ libXext
+ libXfixes
+ libxkbcommon
+ libXrandr
+ nspr
+ nss
+ pango
+ ];
+ buildInputs = glLibs ++ libs;
+ runpathPackages = glLibs ++ [ stdenv.cc.cc stdenv.cc.libc ];
+ version = "1.0.15";
+in
+stdenv.mkDerivation {
+ pname = "tana";
+ inherit version buildInputs;
+
+ src = fetchurl {
+ url = "https://github.com/tanainc/tana-desktop-releases/releases/download/v${version}/tana_${version}_amd64.deb";
+ hash = "sha256-94AyAwNFN5FCol97US1Pv8IN1+WMRA3St9kL2w+9FJU=";
+ };
+
+ nativeBuildInputs = [
+ autoPatchelfHook
+ dpkg
+ ];
+
+ appendRunpaths = map (pkg: "${lib.getLib pkg}/lib") runpathPackages ++ [ "${placeholder "out"}/lib/tana" ];
+
+ # Needed for Zygote
+ runtimeDependencies = [
+ systemd
+ ];
+
+ installPhase = ''
+ runHook preInstall
+ mkdir -p $out
+ cp -r usr/* $out
+ runHook postInstall
+ '';
+
+ postFixup = ''
+ substituteInPlace $out/share/applications/tana.desktop \
+ --replace "Exec=tana" "Exec=$out/bin/tana" \
+ --replace "Name=tana" "Name=Tana"
+ '';
+
+ meta = with lib; {
+ description = "Tana is an intelligent all-in-one workspace";
+ longDescription = ''
+ At its core, Tana is an outline editor which can be extended to
+ cover multiple use-cases and different workflows.
+ For individuals, it supports GTD, P.A.R.A., Zettelkasten note-taking
+ out of the box. Teams can leverage the powerful project management
+ views, like Kanban.
+ To complete all, a powerful AI system is integrated to help with most
+ of the tasks.
+ '';
+ homepage = "https://tana.inc";
+ license = licenses.unfree;
+ maintainers = [ maintainers.massimogengarelli ];
+ platforms = platforms.linux;
+ mainProgram = "tana";
+ };
+}
diff --git a/pkgs/by-name/ta/taschenrechner/package.nix b/pkgs/by-name/ta/taschenrechner/package.nix
index eeb2b841abff..1b45c783830d 100644
--- a/pkgs/by-name/ta/taschenrechner/package.nix
+++ b/pkgs/by-name/ta/taschenrechner/package.nix
@@ -5,17 +5,17 @@
rustPlatform.buildRustPackage rec {
pname = "taschenrechner";
- version = "1.3.0";
+ version = "1.4.0";
src = fetchFromGitLab {
domain = "gitlab.fem-net.de";
owner = "mabl";
repo = "taschenrechner";
rev = version;
- hash = "sha256-PF9VCdlgA4c4Qw8Ih3JT29/r2e7i162lVAbW1QSOlWo=";
+ hash = "sha256-5Vml6UeiWz7fNA+vEQ/Ita2YI8dGgDclqkzQ848AwVk=";
};
- cargoHash = "sha256-SFgStvpcqEwus1JBs5ZyMHO1UD0oWV7mvS6o4v5gIFc=";
+ cargoHash = "sha256-BZGkdHR66O3GjKl9yM/bKxdGdvWFB/YO2Egg6V/wuB8=";
meta = with lib; {
description = "A cli-calculator written in Rust";
diff --git a/pkgs/by-name/tc/tcsh/package.nix b/pkgs/by-name/tc/tcsh/package.nix
index 4fa358fbb7c3..abc58430f2e6 100644
--- a/pkgs/by-name/tc/tcsh/package.nix
+++ b/pkgs/by-name/tc/tcsh/package.nix
@@ -8,11 +8,11 @@
stdenv.mkDerivation (finalAttrs: {
pname = "tcsh";
- version = "6.24.10";
+ version = "6.24.11";
src = fetchurl {
url = "mirror://tcsh/tcsh-${finalAttrs.version}.tar.gz";
- hash = "sha256-E0dcD763QTnTPteTvwD/u7KsLcn7HURGekEHYKujZmQ=";
+ hash = "sha256-tae2J6uz7y6NOoabtnXQ6SfYUHBER6Gyx3lGwNMkeZ0=";
};
strictDeps = true;
@@ -31,6 +31,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
homepage = "https://www.tcsh.org/";
description = "An enhanced version of the Berkeley UNIX C shell (csh)";
+ mainProgram = "tcsh";
longDescription = ''
tcsh is an enhanced but completely compatible version of the Berkeley UNIX
C shell, csh. It is a command language interpreter usable both as an
diff --git a/pkgs/by-name/td/tdl/package.nix b/pkgs/by-name/td/tdl/package.nix
index d4abe11fe764..2cd2e1a8fa24 100644
--- a/pkgs/by-name/td/tdl/package.nix
+++ b/pkgs/by-name/td/tdl/package.nix
@@ -4,16 +4,16 @@
}:
buildGoModule rec {
pname = "tdl";
- version = "0.15.1";
+ version = "0.16.1";
src = fetchFromGitHub {
owner = "iyear";
repo = "tdl";
rev = "v${version}";
- hash = "sha256-vKcKHxPwF7kdsEASJ4VunPZ9kVztPq3yH8RnCd9uI9A=";
+ hash = "sha256-xSnACm7LrsyhtQevDtP36bKeExSFd4Xsn7xLSLi7i+I=";
};
- vendorHash = "sha256-v5okd7PAnA2JsgZ4SqvpZmXOQXSCzl+SwFx9NWo7C/0=";
+ vendorHash = "sha256-VYxTSon2U9qj9sbMSlXrDFeOTOZXQVX2PyS+EDBG+YM=";
ldflags = [
"-s"
diff --git a/pkgs/by-name/te/technitium-dns-server/package.nix b/pkgs/by-name/te/technitium-dns-server/package.nix
new file mode 100644
index 000000000000..774326ae9d1f
--- /dev/null
+++ b/pkgs/by-name/te/technitium-dns-server/package.nix
@@ -0,0 +1,47 @@
+{
+ lib,
+ stdenvNoCC,
+ fetchurl,
+ makeWrapper,
+ dotnet-sdk_8,
+}:
+stdenvNoCC.mkDerivation rec {
+ pname = "technitium-dns-server";
+ version = "12.1";
+
+ src = fetchurl {
+ url = "https://download.technitium.com/dns/archive/${version}/DnsServerPortable.tar.gz";
+ hash = "sha256-G0M2xuYBZA3XXXaPs4pLrJmzAMbVJhiqISAvuCw3iZo=";
+ };
+
+ sourceRoot = ".";
+
+ nativeBuildInputs = [ makeWrapper ];
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p $out/{bin,share/${pname}-${version}}
+ cp -r * $out/share/${pname}-${version}/.
+ rm $out/share/${pname}-${version}/start.{sh,bat}
+ rm $out/share/${pname}-${version}/DnsServerApp.exe
+ rm $out/share/${pname}-${version}/env-vars
+ # Remove systemd.service in favor of a separate module (including firewall configuration).
+ rm $out/share/${pname}-${version}/systemd.service
+
+ makeWrapper "${dotnet-sdk_8}/bin/dotnet" $out/bin/technitium-dns-server \
+ --add-flags "$out/share/${pname}-${version}/DnsServerApp.dll"
+
+ runHook postInstall
+ '';
+
+ meta = {
+ changelog = "https://github.com/TechnitiumSoftware/DnsServer/blob/master/CHANGELOG.md";
+ description = "Authorative and Recursive DNS server for Privacy and Security";
+ homepage = "https://github.com/TechnitiumSoftware/DnsServer";
+ license = lib.licenses.gpl3Only;
+ mainProgram = "technitium-dns-server";
+ maintainers = with lib.maintainers; [ fabianrig ];
+ sourceProvenance = with lib.sourceTypes; [ binaryBytecode ];
+ };
+}
diff --git a/pkgs/applications/networking/browsers/telescope/default.nix b/pkgs/by-name/te/telescope/package.nix
similarity index 81%
rename from pkgs/applications/networking/browsers/telescope/default.nix
rename to pkgs/by-name/te/telescope/package.nix
index 92124531691d..610a93ce84cc 100644
--- a/pkgs/applications/networking/browsers/telescope/default.nix
+++ b/pkgs/by-name/te/telescope/package.nix
@@ -4,6 +4,7 @@
, pkg-config
, bison
, libevent
+, libgrapheme
, libressl
, ncurses
, autoreconfHook
@@ -13,15 +14,20 @@
stdenv.mkDerivation rec {
pname = "telescope";
- version = "0.8.1";
+ version = "0.9";
src = fetchFromGitHub {
owner = "omar-polo";
repo = pname;
rev = version;
- sha256 = "sha256-9gZeBAC7AGU5vb+692npjKbbqFEAr9iGLu1u68EJ0W8=";
+ hash = "sha256-eGntAAaKSwusm3e0zDXZmV9D5uX/uThPvQ5OjPNsxZ8=";
};
+ postPatch = ''
+ # Remove bundled libraries
+ rm -r libgrapheme
+ '';
+
nativeBuildInputs = [
autoreconfHook
pkg-config
@@ -30,6 +36,7 @@ stdenv.mkDerivation rec {
buildInputs = [
libevent
+ libgrapheme
libressl
ncurses
] ++ lib.optional stdenv.isDarwin memstreamHook;
diff --git a/pkgs/by-name/te/tenki/package.nix b/pkgs/by-name/te/tenki/package.nix
new file mode 100644
index 000000000000..35ee53a41376
--- /dev/null
+++ b/pkgs/by-name/te/tenki/package.nix
@@ -0,0 +1,25 @@
+{ lib
+, fetchFromGitHub
+, rustPlatform
+}:
+rustPlatform.buildRustPackage rec {
+ pname = "tenki";
+ version = "1.5.0";
+
+ src = fetchFromGitHub {
+ owner = "ckaznable";
+ repo = "tenki";
+ rev = "v${version}";
+ hash = "sha256-X/GnOgxwBhkrdhUmEhyxdgk5ElayMOAmtDxR2cqRJc8=";
+ };
+
+ cargoHash = "sha256-rmMUVZwNouUvFFPgZfbR4sgtig5zx1WC3bxnfPPT3yQ=";
+
+ meta = with lib; {
+ description = "tty-clock with weather effect";
+ homepage = "https://github.com/ckaznable/tenki";
+ license = licenses.mit;
+ maintainers = with maintainers; [ iynaix ];
+ mainProgram = "tenki";
+ };
+}
diff --git a/pkgs/by-name/te/tenv/package.nix b/pkgs/by-name/te/tenv/package.nix
new file mode 100644
index 000000000000..f33bc31e683f
--- /dev/null
+++ b/pkgs/by-name/te/tenv/package.nix
@@ -0,0 +1,46 @@
+{ buildGoModule, fetchFromGitHub, installShellFiles, lib, tenv, testers }:
+
+buildGoModule rec {
+ pname = "tenv";
+ version = "1.2.0";
+
+ src = fetchFromGitHub {
+ owner = "tofuutils";
+ repo = "tenv";
+ rev = "v${version}";
+ hash = "sha256-yLNdBwKF6Jts661P+YZhFGNr71TG7Scb6RGvFxTLqYQ=";
+ };
+
+ vendorHash = "sha256-GGWiP1rIDF6qxST2ZmnKNkgbS+15hxaCs1d1+UEiYgU=";
+
+ # Tests disabled for requiring network access to release.hashicorp.com
+ doCheck = false;
+
+ ldflags = [
+ "-s" "-w"
+ "-X main.version=v${version}"
+ ];
+
+ nativeBuildInputs = [ installShellFiles ];
+
+ postInstall = ''
+ installShellCompletion --cmd tenv \
+ --zsh <($out/bin/tenv completion zsh) \
+ --bash <($out/bin/tenv completion bash) \
+ --fish <($out/bin/tenv completion fish)
+ '';
+
+ passthru.tests.version = testers.testVersion {
+ command = "HOME=$TMPDIR tenv --version";
+ package = tenv;
+ version = "v${version}";
+ };
+
+ meta = {
+ changelog = "https://github.com/tofuutils/tenv/releases/tag/v${version}";
+ description = "A version manager for OpenTofu, Terraform and Terragrunt written in Go";
+ homepage = "https://github.com/tofuutils/tenv";
+ license = lib.licenses.asl20;
+ maintainers = with lib.maintainers; [ rmgpinto ];
+ };
+}
diff --git a/pkgs/tools/misc/tgpt/default.nix b/pkgs/by-name/tg/tgpt/package.nix
similarity index 68%
rename from pkgs/tools/misc/tgpt/default.nix
rename to pkgs/by-name/tg/tgpt/package.nix
index cf100e5d33fd..d63ff34c21d3 100644
--- a/pkgs/tools/misc/tgpt/default.nix
+++ b/pkgs/by-name/tg/tgpt/package.nix
@@ -5,22 +5,27 @@
buildGoModule rec {
pname = "tgpt";
- version = "2.0.4";
+ version = "2.7.2";
src = fetchFromGitHub {
owner = "aandrew-me";
repo = "tgpt";
rev = "refs/tags/v${version}";
- hash = "sha256-+5hNcemVVuCX1FCL6U9SoJ/Jsef9exQXQFCdPj8qhCk=";
+ hash = "sha256-FbnweHiKfxqFegZnRlvdVbTmH4ImjddVOBlbGRT/SGw=";
};
- vendorHash = "sha256-HXpSoihk0s218DVCHe9VCGLBggWY8I25sw2qSaiUz4I=";
+ vendorHash = "sha256-docq/r6yyMPsuUyFbtCMaYfEVL0gLmyTy4PbrAemR00=";
ldflags = [
"-s"
"-w"
];
+ preCheck = ''
+ # Remove test which need network access
+ rm providers/koboldai/koboldai_test.go
+ '';
+
meta = with lib; {
description = "ChatGPT in terminal without needing API keys";
homepage = "https://github.com/aandrew-me/tgpt";
diff --git a/pkgs/by-name/ti/tigerbeetle/package.nix b/pkgs/by-name/ti/tigerbeetle/package.nix
index 8baa7488c396..80bc95123362 100644
--- a/pkgs/by-name/ti/tigerbeetle/package.nix
+++ b/pkgs/by-name/ti/tigerbeetle/package.nix
@@ -14,21 +14,22 @@ let
in
stdenv.mkDerivation (finalAttrs: {
pname = "tigerbeetle";
- version = "0.14.178";
+ version = "0.15.3";
src = fetchFromGitHub {
owner = "tigerbeetle";
repo = "tigerbeetle";
rev = "refs/tags/${finalAttrs.version}";
- hash = "sha256-QbNfy9S+h+o6WJTMdNzGsGZhrfCTGTyhcO3psbmQKaU=";
+ hash = "sha256-3+uCMoOnyvI//ltEaqTIXytUxxgJrfMnFly11WCh66Q=";
};
+ env.TIGERBEETLE_RELEASE = finalAttrs.version;
+
nativeBuildInputs = [ custom_zig_hook ];
zigBuildFlags = [
"-Drelease"
"-Dgit-commit=0000000000000000000000000000000000000000"
- "-Dversion=${finalAttrs.version}"
];
passthru = {
diff --git a/pkgs/by-name/ti/tilda/package.nix b/pkgs/by-name/ti/tilda/package.nix
index 000088efa5c0..cda946d04ecf 100644
--- a/pkgs/by-name/ti/tilda/package.nix
+++ b/pkgs/by-name/ti/tilda/package.nix
@@ -55,6 +55,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
homepage = "https://github.com/lanoxx/tilda/";
description = "A Gtk based drop down terminal for Linux and Unix";
+ mainProgram = "tilda";
license = lib.licenses.gpl3Plus;
maintainers = [ lib.maintainers.AndersonTorres ];
platforms = lib.platforms.linux;
diff --git a/pkgs/applications/misc/tilemaker/default.nix b/pkgs/by-name/ti/tilemaker/package.nix
similarity index 56%
rename from pkgs/applications/misc/tilemaker/default.nix
rename to pkgs/by-name/ti/tilemaker/package.nix
index af4944ea8ba1..6a06e17f1fdd 100644
--- a/pkgs/applications/misc/tilemaker/default.nix
+++ b/pkgs/by-name/ti/tilemaker/package.nix
@@ -1,5 +1,18 @@
-{ lib, stdenv, fetchFromGitHub, fetchpatch, buildPackages, cmake, installShellFiles
-, boost, lua, protobuf, rapidjson, shapelib, sqlite, zlib, testers }:
+{ lib
+, stdenv
+, fetchFromGitHub
+, buildPackages
+, cmake
+, installShellFiles
+, boost
+, lua
+, protobuf_21
+, rapidjson
+, shapelib
+, sqlite
+, zlib
+, testers
+}:
stdenv.mkDerivation (finalAttrs: {
pname = "tilemaker";
@@ -13,23 +26,26 @@ stdenv.mkDerivation (finalAttrs: {
};
postPatch = ''
- substituteInPlace src/tilemaker.cpp \
- --replace "config.json" "$out/share/tilemaker/config-openmaptiles.json" \
- --replace "process.lua" "$out/share/tilemaker/process-openmaptiles.lua"
+ substituteInPlace src/options_parser.cpp \
+ --replace-fail "config.json" "$out/share/tilemaker/config-openmaptiles.json" \
+ --replace-fail "process.lua" "$out/share/tilemaker/process-openmaptiles.lua"
+ substituteInPlace server/server.cpp \
+ --replace-fail "default_value(\"static\")" "default_value(\"$out/share/tilemaker/static\")"
'';
nativeBuildInputs = [ cmake installShellFiles ];
- buildInputs = [ boost lua protobuf rapidjson shapelib sqlite zlib ];
+ buildInputs = [ boost lua protobuf_21 rapidjson shapelib sqlite zlib ];
cmakeFlags = lib.optional (stdenv.hostPlatform != stdenv.buildPlatform)
- "-DPROTOBUF_PROTOC_EXECUTABLE=${buildPackages.protobuf}/bin/protoc";
+ (lib.cmakeFeature "PROTOBUF_PROTOC_EXECUTABLE" "${buildPackages.protobuf}/bin/protoc");
env.NIX_CFLAGS_COMPILE = toString [ "-DTM_VERSION=${finalAttrs.version}" ];
postInstall = ''
installManPage ../docs/man/tilemaker.1
- install -Dm644 ../resources/* -t $out/share/tilemaker
+ install -Dm644 ../resources/*.{json,lua} -t $out/share/tilemaker
+ cp -r ../server/static $out/share/tilemaker
'';
passthru.tests.version = testers.testVersion {
@@ -44,5 +60,6 @@ stdenv.mkDerivation (finalAttrs: {
license = licenses.free; # FTWPL
maintainers = with maintainers; [ sikmir ];
platforms = platforms.unix;
+ mainProgram = "tilemaker";
};
})
diff --git a/pkgs/applications/terminal-emulators/tilix/default.nix b/pkgs/by-name/ti/tilix/package.nix
similarity index 69%
rename from pkgs/applications/terminal-emulators/tilix/default.nix
rename to pkgs/by-name/ti/tilix/package.nix
index 65da21cbabc7..2e0bdad0be8c 100644
--- a/pkgs/applications/terminal-emulators/tilix/default.nix
+++ b/pkgs/by-name/ti/tilix/package.nix
@@ -1,4 +1,5 @@
-{ lib, stdenv
+{ lib
+, stdenv
, fetchFromGitHub
, meson
, ninja
@@ -16,18 +17,17 @@
, libunwind
, appstream
, nixosTests
-, fetchpatch
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "tilix";
- version = "1.9.5";
+ version = "1.9.6";
src = fetchFromGitHub {
owner = "gnunn1";
repo = "tilix";
- rev = version;
- sha256 = "sha256-sPVL5oYDOmloRVm/nONKkC20vZc907c7ixBF6E2PQ8Y=";
+ rev = finalAttrs.version;
+ hash = "sha256-KP0ojwyZ5FaYKW0nK9mGGAiz1h+gTbfjCUDCgN2LAO8=";
};
# Default upstream else LDC fails to link
@@ -56,15 +56,6 @@ stdenv.mkDerivation rec {
libunwind
];
- patches = [
- # https://github.com/gnunn1/tilix/issues/2151
- (fetchpatch {
- name = "tilix-replace-std-xml-with-gmarkup.patch";
- url = "https://github.com/gnunn1/tilix/commit/b02779737997a02b98b690e6f8478d28d5e931a5.patch";
- hash = "sha256-6p+DomJEZ/hCW8RTjttKsTDsgHZ6eFKj/71TU5O/Ysg=";
- })
- ];
-
postPatch = ''
chmod +x meson_post_install.py
patchShebangs meson_post_install.py
@@ -81,8 +72,8 @@ stdenv.mkDerivation rec {
description = "Tiling terminal emulator following the Gnome Human Interface Guidelines";
homepage = "https://gnunn1.github.io/tilix-web";
license = licenses.mpl20;
- maintainers = with maintainers; [ midchildan ];
+ maintainers = with maintainers; [ midchildan jtbx ];
platforms = platforms.linux;
mainProgram = "tilix";
};
-}
+})
diff --git a/pkgs/applications/misc/tippecanoe/default.nix b/pkgs/by-name/ti/tippecanoe/package.nix
similarity index 87%
rename from pkgs/applications/misc/tippecanoe/default.nix
rename to pkgs/by-name/ti/tippecanoe/package.nix
index 60b4aa9e80da..07fd64f96831 100644
--- a/pkgs/applications/misc/tippecanoe/default.nix
+++ b/pkgs/by-name/ti/tippecanoe/package.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "tippecanoe";
- version = "2.43.0";
+ version = "2.52.0";
src = fetchFromGitHub {
owner = "felt";
repo = "tippecanoe";
rev = finalAttrs.version;
- hash = "sha256-qJB3Y4UaSmSsDbT/EB+aQSvJj8+yYkI3sQR2UOjilfE=";
+ hash = "sha256-EXutd+uaMtvs+fWmDIIzuVC8Q+Eo439TEYiMfo+Inco=";
};
buildInputs = [ sqlite zlib ];
@@ -32,5 +32,6 @@ stdenv.mkDerivation (finalAttrs: {
license = licenses.bsd2;
maintainers = with maintainers; [ sikmir ];
platforms = platforms.unix;
+ mainProgram = "tippecanoe";
};
})
diff --git a/pkgs/by-name/to/torrentstream/package.nix b/pkgs/by-name/to/torrentstream/package.nix
index 44a92c7d850a..b59c17dd59c6 100644
--- a/pkgs/by-name/to/torrentstream/package.nix
+++ b/pkgs/by-name/to/torrentstream/package.nix
@@ -16,7 +16,7 @@ buildDotnetModule rec {
hash = "sha256-41zlzrQ+YGY2wEvq4Su/lp6lOmGW4u0F37ub2a3z+7o=";
};
- sourceRoot = "source/src";
+ sourceRoot = "${src.name}/src";
projectFile = "TorrentStream.sln";
nugetDeps = ./deps.nix;
diff --git a/pkgs/by-name/tp/tpnote/package.nix b/pkgs/by-name/tp/tpnote/package.nix
new file mode 100644
index 000000000000..b1b61f0c8f5d
--- /dev/null
+++ b/pkgs/by-name/tp/tpnote/package.nix
@@ -0,0 +1,59 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, fetchpatch
+, rustPlatform
+, cmake
+, pkg-config
+, oniguruma
+, darwin
+, installShellFiles
+, tpnote
+, testers
+}:
+
+
+rustPlatform.buildRustPackage rec {
+ pname = "tpnote";
+ version = "1.23.10";
+
+ src = fetchFromGitHub {
+ owner = "getreu";
+ repo = "tp-note";
+ rev = "v${version}";
+ hash = "sha256-HOCd5N8oS8N+9alR3cG7IEghvhvcc8A+O24L6FD1F38=";
+ };
+
+ cargoHash = "sha256-hI9vzPLcMaFSQpPgIf39UsWDpdHAmQ56D8pSWZ/R1aI=";
+
+ nativeBuildInputs = [
+ cmake
+ pkg-config
+ installShellFiles
+ ];
+ buildInputs = [
+ oniguruma
+ ] ++ lib.optionals stdenv.isDarwin (with darwin.apple_sdk.frameworks; [
+ AppKit
+ CoreServices
+ SystemConfiguration
+ ]);
+
+ RUSTONIG_SYSTEM_LIBONIG = true;
+
+ passthru.tests.version = testers.testVersion { package = tpnote; };
+
+ # The `tpnote` crate has no unit tests. All tests are in `tpnote-lib`.
+ checkType = "debug";
+ cargoTestFlags = "--package tpnote-lib";
+ doCheck = true;
+
+ meta = {
+ changelog = "https://github.com/getreu/tp-note/releases/tag/v${version}";
+ description = "Markup enhanced granular note-taking";
+ homepage = "https://blog.getreu.net/projects/tp-note/";
+ license = lib.licenses.mit;
+ mainProgram = "tpnote";
+ maintainers = with lib.maintainers; [ getreu ];
+ };
+}
diff --git a/pkgs/by-name/tr/transfer-sh/package.nix b/pkgs/by-name/tr/transfer-sh/package.nix
new file mode 100644
index 000000000000..d3b15ae2465b
--- /dev/null
+++ b/pkgs/by-name/tr/transfer-sh/package.nix
@@ -0,0 +1,36 @@
+{ lib
+, fetchFromGitHub
+, buildGoModule
+, nix-update-script
+, nixosTests
+}:
+
+buildGoModule rec {
+ pname = "transfer-sh";
+ version = "1.6.1";
+
+ src = fetchFromGitHub {
+ owner = "dutchcoders";
+ repo = "transfer.sh";
+ rev = "v${version}";
+ hash = "sha256-V8E6RwzxKB6KeGPer5074e7y6XHn3ZD24PQMwTxw5lQ=";
+ };
+
+ vendorHash = "sha256-C8ZfUIGT9HiQQiJ2hk18uwGaQzNCIKp/Jiz6ePZkgDQ=";
+
+ passthru = {
+ tests = {
+ inherit (nixosTests) transfer-sh;
+ };
+ updateScript = nix-update-script { };
+ };
+
+ meta = with lib; {
+ description = "Easy and fast file sharing and pastebin server with access from the command-line";
+ homepage = "https://github.com/dutchcoders/transfer.sh";
+ changelog = "https://github.com/dutchcoders/transfer.sh/releases";
+ mainProgram = "transfer.sh";
+ license = licenses.mit;
+ maintainers = with maintainers; [ ocfox pinpox ];
+ };
+}
diff --git a/pkgs/by-name/tr/treedome/Cargo.lock b/pkgs/by-name/tr/treedome/Cargo.lock
index 2d6ec05d6e29..b4d9b1d5a7fc 100644
--- a/pkgs/by-name/tr/treedome/Cargo.lock
+++ b/pkgs/by-name/tr/treedome/Cargo.lock
@@ -24,26 +24,27 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "d122413f284cf2d62fb1b7db97e02edb8cda96d769b16e443a4f6195e35662b0"
dependencies = [
"crypto-common",
- "generic-array",
+ "generic-array 0.14.7",
]
[[package]]
name = "ahash"
-version = "0.8.3"
+version = "0.8.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2c99f64d1e06488f620f932677e24bc6e2897582980441ae90a671415bd7ec2f"
+checksum = "e89da841a80418a9b391ebaea17f5c112ffaaa96f621d2c285b5174da76b9011"
dependencies = [
"cfg-if",
- "getrandom 0.2.10",
+ "getrandom 0.2.12",
"once_cell",
"version_check",
+ "zerocopy",
]
[[package]]
name = "aho-corasick"
-version = "1.0.4"
+version = "1.1.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6748e8def348ed4d14996fa801f4122cd763fff530258cdc03f64b25f89d3a5a"
+checksum = "b2969dcb958b36655471fc61f7e416fa76033bdd4bfed0678d8fee1e2d07a1f0"
dependencies = [
"memchr",
]
@@ -86,9 +87,9 @@ dependencies = [
[[package]]
name = "anyhow"
-version = "1.0.75"
+version = "1.0.80"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a4668cab20f66d8d020e1fbc0ebe47217433c1b6c8f2040faf858554e394ace6"
+checksum = "5ad32ce52e4161730f7098c077cd2ed6229b5804ccf99e5366be1ab72a98b4e1"
[[package]]
name = "arrayvec"
@@ -98,13 +99,13 @@ checksum = "23b62fc65de8e4e7f52534fb52b0f3ed04746ae267519eef2a83941e8085068b"
[[package]]
name = "async-trait"
-version = "0.1.73"
+version = "0.1.77"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bc00ceb34980c03614e35a3a4e218276a0a824e911d07651cd0d858a51e8c0f0"
+checksum = "c980ee35e870bd1a4d2c8294d4c04d0499e67bca1e4b5cefcc693c2fa00caea9"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.29",
+ "syn 2.0.52",
]
[[package]]
@@ -128,7 +129,7 @@ dependencies = [
"glib-sys",
"gobject-sys",
"libc",
- "system-deps 6.1.1",
+ "system-deps 6.2.0",
]
[[package]]
@@ -140,6 +141,16 @@ dependencies = [
"num-traits",
]
+[[package]]
+name = "atomic-write-file"
+version = "0.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a8204db279bf648d64fe845bd8840f78b39c8132ed4d6a4194c3b10d4b4cfb0b"
+dependencies = [
+ "nix",
+ "rand 0.8.5",
+]
+
[[package]]
name = "autocfg"
version = "1.1.0"
@@ -169,9 +180,9 @@ checksum = "9e1b586273c5702936fe7b7d6896644d8be71e6314cfe09d3167c95f712589e8"
[[package]]
name = "base64"
-version = "0.21.2"
+version = "0.21.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "604178f6c5c21f02dc555784810edfb88d34ac2c73b2eae109655649ee73ce3d"
+checksum = "9d297deb1925b89f2ccc13d7635fa0714f12c87adce1c75356b39ca9b7178567"
[[package]]
name = "base64ct"
@@ -202,9 +213,9 @@ checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a"
[[package]]
name = "bitflags"
-version = "2.4.0"
+version = "2.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b4682ae6287fcf752ecaabbfcc7b6f9b72aa33933dc23a554d853aea8eea8635"
+checksum = "ed570934406eb16438a4e976b1b4500774099c13b8cb96eec99f620f05090ddf"
dependencies = [
"serde",
]
@@ -221,14 +232,14 @@ version = "0.10.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "3078c7629b62d3f0439517fa394996acacc5cbc91c5a20d8c658e77abd503a71"
dependencies = [
- "generic-array",
+ "generic-array 0.14.7",
]
[[package]]
name = "brotli"
-version = "3.3.4"
+version = "3.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a1a0b1dbcc8ae29329621f8d4f0d835787c1c38bb1401979b49d13b0b305ff68"
+checksum = "516074a47ef4bce09577a3b379392300159ce5b1ba2e501ff1c819950066100f"
dependencies = [
"alloc-no-stdlib",
"alloc-stdlib",
@@ -237,9 +248,9 @@ dependencies = [
[[package]]
name = "brotli-decompressor"
-version = "2.3.4"
+version = "2.5.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4b6561fd3f895a11e8f72af2cb7d22e08366bebc2b6b57f7744c4bda27034744"
+checksum = "4e2e4afe60d7dd600fdd3de8d0f08c2b7ec039712e3b6137ff98b7004e82de4f"
dependencies = [
"alloc-no-stdlib",
"alloc-stdlib",
@@ -247,9 +258,9 @@ dependencies = [
[[package]]
name = "bstr"
-version = "1.6.0"
+version = "1.9.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6798148dccfbff0fae41c7574d2fa8f1ef3492fba0face179de5d8d447d67b05"
+checksum = "05efc5cfd9110c8416e471df0e96702d58690178e206e61b7173706673c93706"
dependencies = [
"memchr",
"serde",
@@ -257,27 +268,27 @@ dependencies = [
[[package]]
name = "bumpalo"
-version = "3.13.0"
+version = "3.15.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a3e2c3daef883ecc1b5d58c15adae93470a91d425f3532ba1695849656af3fc1"
+checksum = "7ff69b9dd49fd426c69a0db9fc04dd934cdb6645ff000864d98f7e2af8830eaa"
[[package]]
name = "bytemuck"
-version = "1.13.1"
+version = "1.14.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "17febce684fd15d89027105661fec94afb475cb995fbc59d2865198446ba2eea"
+checksum = "a2ef034f05691a48569bd920a96c81b9d91bbad1ab5ac7c4616c1f6ef36cb79f"
[[package]]
name = "byteorder"
-version = "1.4.3"
+version = "1.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "14c189c53d098945499cdfa7ecc63567cf3886b3332b312a5b4585d8d3a6a610"
+checksum = "1fd0f2584146f6f2ef48085050886acf353beff7305ebd1ae69500e27c67f64b"
[[package]]
name = "bytes"
-version = "1.4.0"
+version = "1.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "89b2fd2a0dcf38d7971e2194b6b6eebab45ae01067456a7fd93d5547a61b70be"
+checksum = "a2bd12c1caf447e69cd4528f47f94d203fd2582878ecb9e9465484c4148a8223"
[[package]]
name = "cairo-rs"
@@ -300,7 +311,7 @@ checksum = "3c55d429bef56ac9172d25fecb85dc8068307d17acd74b377866b7a1ef25d3c8"
dependencies = [
"glib-sys",
"libc",
- "system-deps 6.1.1",
+ "system-deps 6.2.0",
]
[[package]]
@@ -310,17 +321,14 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "599aa35200ffff8f04c1925aa1acc92fa2e08874379ef42e210a80e527e60838"
dependencies = [
"serde",
- "toml 0.7.6",
+ "toml 0.7.8",
]
[[package]]
name = "cc"
-version = "1.0.83"
+version = "1.0.90"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f1174fb0b6ec23863f8b971027804a42614e347eafb0a95bf0b12cdae21fc4d0"
-dependencies = [
- "libc",
-]
+checksum = "8cd6604a82acf3039f1144f54b8eb34e91ffba622051189e71b781822d5ee1f5"
[[package]]
name = "cesu8"
@@ -350,9 +358,9 @@ dependencies = [
[[package]]
name = "cfg-expr"
-version = "0.15.4"
+version = "0.15.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b40ccee03b5175c18cde8f37e7d2a33bcef6f8ec8f7cc0d81090d1bb380949c9"
+checksum = "fa50868b64a9a6fda9d593ce778849ea8715cd2a3d2cc17ffdb4a2f2f2f1961d"
dependencies = [
"smallvec",
"target-lexicon",
@@ -364,6 +372,12 @@ version = "1.0.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd"
+[[package]]
+name = "cfg_aliases"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fd16c4719339c4530435d38e511904438d07cce7950afa3718a84ac36c10e89e"
+
[[package]]
name = "chacha20"
version = "0.9.1"
@@ -390,22 +404,22 @@ dependencies = [
[[package]]
name = "chrono"
-version = "0.4.26"
+version = "0.4.35"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ec837a71355b28f6556dbd569b37b3f363091c0bd4b2e735674521b4c5fd9bc5"
+checksum = "8eaf5903dcbc0a39312feb77df2ff4c76387d591b9fc7b04a238dcf8bb62639a"
dependencies = [
"android-tzdata",
"iana-time-zone",
"num-traits",
"serde",
- "winapi",
+ "windows-targets 0.52.4",
]
[[package]]
name = "ciborium"
-version = "0.2.1"
+version = "0.2.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "effd91f6c78e5a4ace8a5d3c0b6bfaec9e2baaef55f3efc00e45fb2e477ee926"
+checksum = "42e69ffd6f0917f5c029256a24d0161db17cea3997d185db0d35926308770f0e"
dependencies = [
"ciborium-io",
"ciborium-ll",
@@ -414,15 +428,15 @@ dependencies = [
[[package]]
name = "ciborium-io"
-version = "0.2.1"
+version = "0.2.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cdf919175532b369853f5d5e20b26b43112613fd6fe7aee757e35f7a44642656"
+checksum = "05afea1e0a06c9be33d539b876f1ce3692f4afea2cb41f740e7743225ed1c757"
[[package]]
name = "ciborium-ll"
-version = "0.2.1"
+version = "0.2.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "defaa24ecc093c77630e6c15e17c51f5e187bf35ee514f4e2d67baaa96dae22b"
+checksum = "57663b653d948a338bfb3eeba9bb2fd5fcfaecb9e199e87e1eda4d9e8b240fd9"
dependencies = [
"ciborium-io",
"half",
@@ -457,15 +471,14 @@ dependencies = [
[[package]]
name = "cocoa-foundation"
-version = "0.1.1"
+version = "0.1.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "931d3837c286f56e3c58423ce4eba12d08db2374461a785c86f672b08b5650d6"
+checksum = "8c6234cbb2e4c785b456c0644748b1ac416dd045799740356f8363dfe00c93f7"
dependencies = [
"bitflags 1.3.2",
"block",
"core-foundation",
"core-graphics-types",
- "foreign-types",
"libc",
"objc",
]
@@ -488,9 +501,9 @@ dependencies = [
[[package]]
name = "const-oid"
-version = "0.9.5"
+version = "0.9.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "28c122c3980598d243d63d9a704629a2d748d101f278052ff068be5a4423ab6f"
+checksum = "c2459377285ad874054d797f3ccebf984978aa39129f6eafde5cdc8315b612f8"
[[package]]
name = "convert_case"
@@ -500,9 +513,9 @@ checksum = "6245d59a3e82a7fc217c5828a6692dbc6dfb63a0c8c90495621f7b9d79704a0e"
[[package]]
name = "core-foundation"
-version = "0.9.3"
+version = "0.9.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "194a7a9e6de53fa55116934067c844d9d749312f75c6f6d0980e8c252f8c2146"
+checksum = "91e195e091a93c46f7102ec7818a2aa394e1e1771c3ab4825963fa03e45afb8f"
dependencies = [
"core-foundation-sys",
"libc",
@@ -510,9 +523,9 @@ dependencies = [
[[package]]
name = "core-foundation-sys"
-version = "0.8.4"
+version = "0.8.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e496a50fda8aacccc86d7529e2c1e0892dbd0f898a6b5645b5561b89c3210efa"
+checksum = "06ea2b9bc92be3c2baa9334a323ebca2d6f074ff852cd1d7b11064035cd3868f"
[[package]]
name = "core-graphics"
@@ -529,9 +542,9 @@ dependencies = [
[[package]]
name = "core-graphics-types"
-version = "0.1.2"
+version = "0.1.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2bb142d41022986c1d8ff29103a1411c8a3dfad3552f87a4f8dc50d61d4f4e33"
+checksum = "45390e6114f68f718cc7a830514a96f903cccd70d02a8f6d9f643ac4ba45afaf"
dependencies = [
"bitflags 1.3.2",
"core-foundation",
@@ -540,9 +553,9 @@ dependencies = [
[[package]]
name = "cpufeatures"
-version = "0.2.9"
+version = "0.2.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a17b76ff3a4162b0b27f354a0c87015ddad39d35f9c0c36607a3bdd175dde1f1"
+checksum = "53fe5e26ff1b7aef8bca9c6080520cfb8d9333c7568e1829cef191a9723e5504"
dependencies = [
"libc",
]
@@ -558,71 +571,67 @@ dependencies = [
[[package]]
name = "crc-catalog"
-version = "2.2.0"
+version = "2.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9cace84e55f07e7301bae1c519df89cdad8cc3cd868413d3fdbdeca9ff3db484"
+checksum = "19d374276b40fb8bbdee95aef7c7fa6b5316ec764510eb64b8dd0e2ed0d7e7f5"
[[package]]
name = "crc32fast"
-version = "1.3.2"
+version = "1.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b540bd8bc810d3885c6ea91e2018302f68baba2129ab3e88f32389ee9370880d"
+checksum = "b3855a8a784b474f333699ef2bbca9db2c4a1f6d9088a90a2d25b1eb53111eaa"
dependencies = [
"cfg-if",
]
[[package]]
name = "crossbeam-channel"
-version = "0.5.8"
+version = "0.5.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a33c2bf77f2df06183c3aa30d1e96c0695a313d4f9c453cc3762a6db39f99200"
+checksum = "ab3db02a9c5b5121e1e42fbdb1aeb65f5e02624cc58c43f2884c6ccac0b82f95"
dependencies = [
- "cfg-if",
"crossbeam-utils",
]
[[package]]
name = "crossbeam-deque"
-version = "0.8.3"
+version = "0.8.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ce6fd6f855243022dcecf8702fef0c297d4338e226845fe067f6341ad9fa0cef"
+checksum = "613f8cc01fe9cf1a3eb3d7f488fd2fa8388403e97039e2f73692932e291a770d"
dependencies = [
- "cfg-if",
"crossbeam-epoch",
"crossbeam-utils",
]
[[package]]
name = "crossbeam-epoch"
-version = "0.9.15"
+version = "0.9.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ae211234986c545741a7dc064309f67ee1e5ad243d0e48335adc0484d960bcc7"
+checksum = "5b82ac4a3c2ca9c3460964f020e1402edd5753411d7737aa39c3714ad1b5420e"
dependencies = [
- "autocfg",
- "cfg-if",
"crossbeam-utils",
- "memoffset",
- "scopeguard",
]
[[package]]
name = "crossbeam-queue"
-version = "0.3.8"
+version = "0.3.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d1cfb3ea8a53f37c40dea2c7bedcbd88bdfae54f5e2175d6ecaff1c988353add"
+checksum = "df0346b5d5e76ac2fe4e327c5fd1118d6be7c51dfb18f9b7922923f287471e35"
dependencies = [
- "cfg-if",
"crossbeam-utils",
]
[[package]]
name = "crossbeam-utils"
-version = "0.8.16"
+version = "0.8.19"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5a22b2d63d4d1dc0b7f1b6b2747dd0088008a9be28b6ddf0b1e7d335e3037294"
-dependencies = [
- "cfg-if",
-]
+checksum = "248e3bacc7dc6baa3b21e405ee045c3047101a49145e7e9eca583ab4c2ca5345"
+
+[[package]]
+name = "crunchy"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7a81dae078cea95a014a339291cec439d2f232ebe854a9d672b796c6afafa9b7"
[[package]]
name = "crypto-common"
@@ -630,7 +639,7 @@ version = "0.1.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "1bfb12502f3fc46cca1bb51ac28df9d618d813cdc3d2f25b9fe775a34af26bb3"
dependencies = [
- "generic-array",
+ "generic-array 0.14.7",
"rand_core 0.6.4",
"typenum",
]
@@ -659,17 +668,17 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "13b588ba4ac1a99f7f2964d24b3d896ddc6bf847ee3855dbd4366f058cfcd331"
dependencies = [
"quote",
- "syn 2.0.29",
+ "syn 2.0.52",
]
[[package]]
name = "ctor"
-version = "0.1.26"
+version = "0.2.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6d2301688392eb071b0bf1a37be05c469d3cc4dbbd95df672fe28ab021e6a096"
+checksum = "ad291aa74992b9b7a7e88c38acbbf6ad7e107f1d90ee8775b7bc1fc3394f485c"
dependencies = [
"quote",
- "syn 1.0.109",
+ "syn 2.0.52",
]
[[package]]
@@ -684,12 +693,12 @@ dependencies = [
[[package]]
name = "darling"
-version = "0.20.3"
+version = "0.20.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0209d94da627ab5605dcccf08bb18afa5009cfbef48d8a8b7d7bdbc79be25c5e"
+checksum = "54e36fcd13ed84ffdfda6f5be89b31287cbb80c439841fe69e04841435464391"
dependencies = [
- "darling_core 0.20.3",
- "darling_macro 0.20.3",
+ "darling_core 0.20.8",
+ "darling_macro 0.20.8",
]
[[package]]
@@ -708,16 +717,16 @@ dependencies = [
[[package]]
name = "darling_core"
-version = "0.20.3"
+version = "0.20.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "177e3443818124b357d8e76f53be906d60937f0d3a90773a664fa63fa253e621"
+checksum = "9c2cf1c23a687a1feeb728783b993c4e1ad83d99f351801977dd809b48d0a70f"
dependencies = [
"fnv",
"ident_case",
"proc-macro2",
"quote",
"strsim",
- "syn 2.0.29",
+ "syn 2.0.52",
]
[[package]]
@@ -733,23 +742,23 @@ dependencies = [
[[package]]
name = "darling_macro"
-version = "0.20.3"
+version = "0.20.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "836a9bbc7ad63342d6d6e7b815ccab164bc77a2d95d84bc3117a8c0d5c98e2d5"
+checksum = "a668eda54683121533a393014d8692171709ff57a7d61f187b6e782719f8933f"
dependencies = [
- "darling_core 0.20.3",
+ "darling_core 0.20.8",
"quote",
- "syn 2.0.29",
+ "syn 2.0.52",
]
[[package]]
name = "dashmap"
-version = "5.5.1"
+version = "5.5.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "edd72493923899c6f10c641bdbdeddc7183d6396641d99c1a0d1597f37f92e28"
+checksum = "978747c1d849a7d2ee5e8adc0159961c48fb7e5db2f06af6723b80123bb53856"
dependencies = [
"cfg-if",
- "hashbrown 0.14.0",
+ "hashbrown 0.14.3",
"lock_api",
"once_cell",
"parking_lot_core",
@@ -768,10 +777,11 @@ dependencies = [
[[package]]
name = "deranged"
-version = "0.3.8"
+version = "0.3.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f2696e8a945f658fd14dc3b87242e6b80cd0f36ff04ea560fa39082368847946"
+checksum = "b42b6fa04a440b495c8b04d0e71b707c585f83cb9cb28cf8cd0d976c315e31b4"
dependencies = [
+ "powerfmt",
"serde",
]
@@ -887,22 +897,23 @@ checksum = "56ce8c6da7551ec6c462cbaf3bfbc75131ebbfa1c944aeaa9dab51ca1c5f0c3b"
[[package]]
name = "either"
-version = "1.9.0"
+version = "1.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a26ae43d7bcc3b814de94796a5e736d4029efb0ee900c12e2d54c993ad1a1e07"
+checksum = "11157ac094ffbdde99aa67b23417ebdd801842852b500e395a45a9c0aac03e4a"
dependencies = [
"serde",
]
[[package]]
name = "embed-resource"
-version = "2.2.0"
+version = "2.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f7f1e82a60222fc67bfd50d752a9c89da5cce4c39ed39decc84a443b07bbd69a"
+checksum = "c6985554d0688b687c5cb73898a34fbe3ad6c24c58c238a4d91d5e840670ee9d"
dependencies = [
"cc",
+ "memchr",
"rustc_version",
- "toml 0.7.6",
+ "toml 0.8.10",
"vswhom",
"winreg",
]
@@ -930,23 +941,12 @@ checksum = "5443807d6dff69373d433ab9ef5378ad8df50ca6298caf15de6e52e24aaf54d5"
[[package]]
name = "errno"
-version = "0.3.2"
+version = "0.3.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6b30f669a7961ef1631673d2766cc92f52d64f7ef354d4fe0ddfd30ed52f0f4f"
+checksum = "a258e46cdc063eb8519c00b9fc845fc47bcfca4130e2f08e88665ceda8474245"
dependencies = [
- "errno-dragonfly",
- "libc",
- "windows-sys 0.48.0",
-]
-
-[[package]]
-name = "errno-dragonfly"
-version = "0.1.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "aa68f1b12764fab894d2755d2518754e71b4fd80ecfb822714a1206c2aab39bf"
-dependencies = [
- "cc",
"libc",
+ "windows-sys 0.52.0",
]
[[package]]
@@ -968,40 +968,40 @@ checksum = "0206175f82b8d6bf6652ff7d71a1e27fd2e4efde587fd368662814d6ec1d9ce0"
[[package]]
name = "execute"
-version = "0.2.12"
+version = "0.2.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "16d9a9ea4c04632c16bc5c71a2fcc63d308481f7fc67eb1a1ce6315c44a426ae"
+checksum = "3a82608ee96ce76aeab659e9b8d3c2b787bffd223199af88c674923d861ada10"
dependencies = [
"execute-command-macro",
"execute-command-tokens",
- "generic-array",
+ "generic-array 1.0.0",
]
[[package]]
name = "execute-command-macro"
-version = "0.1.8"
+version = "0.1.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a5fbc65a0cf735106743f4c38c9a3671c1e734b5c2c20d21a3c93c696daa3157"
+checksum = "90dec53d547564e911dc4ff3ecb726a64cf41a6fa01a2370ebc0d95175dd08bd"
dependencies = [
"execute-command-macro-impl",
]
[[package]]
name = "execute-command-macro-impl"
-version = "0.1.9"
+version = "0.1.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "55a9a55d1dab3b07854648d48e366f684aefe2ac78ae28cec3bf65e3cd53d9a3"
+checksum = "ce8cd46a041ad005ab9c71263f9a0ff5b529eac0fe4cc9b4a20f4f0765d8cf4b"
dependencies = [
"execute-command-tokens",
"quote",
- "syn 2.0.29",
+ "syn 2.0.52",
]
[[package]]
name = "execute-command-tokens"
-version = "0.1.6"
+version = "0.1.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8ba569491c70ec8471e34aa7e9c0b9e82bb5d2464c0398442d17d3c4af814e5a"
+checksum = "69dc321eb6be977f44674620ca3aa21703cb20ffbe560e1ae97da08401ffbcad"
[[package]]
name = "fancy-regex"
@@ -1015,15 +1015,15 @@ dependencies = [
[[package]]
name = "fastrand"
-version = "2.0.0"
+version = "2.0.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6999dc1837253364c2ebb0704ba97994bd874e8f195d665c50b7548f6ea92764"
+checksum = "25cbce373ec4653f1a01a31e8a5e5ec0c622dc27ff9c4e6606eefef5cbbed4a5"
[[package]]
name = "fdeflate"
-version = "0.3.0"
+version = "0.3.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d329bdeac514ee06249dabc27877490f17f5d371ec693360768b838e19f3ae10"
+checksum = "4f9bfee30e4dedf0ab8b422f03af778d9612b63f502710fc500a334ebe2de645"
dependencies = [
"simd-adler32",
]
@@ -1040,16 +1040,22 @@ dependencies = [
[[package]]
name = "filetime"
-version = "0.2.22"
+version = "0.2.23"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d4029edd3e734da6fe05b6cd7bd2960760a616bd2ddd0d59a0124746d6272af0"
+checksum = "1ee447700ac8aa0b2f2bd7bc4462ad686ba06baa6727ac149a2d6277f0d240fd"
dependencies = [
"cfg-if",
"libc",
- "redox_syscall 0.3.5",
- "windows-sys 0.48.0",
+ "redox_syscall",
+ "windows-sys 0.52.0",
]
+[[package]]
+name = "finl_unicode"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8fcfdc7a0362c9f4444381a9e697c79d435fe65b52a37466fc2c1184cee9edc6"
+
[[package]]
name = "fix-path-env"
version = "0.0.0"
@@ -1061,9 +1067,9 @@ dependencies = [
[[package]]
name = "flate2"
-version = "1.0.27"
+version = "1.0.28"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c6c98ee8095e9d1dcbf2fcc6d95acccb90d1c81db1e44725c6a984b1dbdfb010"
+checksum = "46303f565772937ffe1d394a4fac6f411c6013172fadde9dcdb1e147a086940e"
dependencies = [
"crc32fast",
"miniz_oxide",
@@ -1071,13 +1077,12 @@ dependencies = [
[[package]]
name = "flume"
-version = "0.10.14"
+version = "0.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1657b4441c3403d9f7b3409e47575237dac27b1b5726df654a6ecbf92f0f7577"
+checksum = "55ac459de2512911e4b674ce33cf20befaba382d05b62b008afc1c8b57cbf181"
dependencies = [
"futures-core",
"futures-sink",
- "pin-project",
"spin 0.9.8",
]
@@ -1104,9 +1109,9 @@ checksum = "00b0228411908ca8685dba7fc2cdd70ec9990a6e753e89b6ac91a84c40fbaf4b"
[[package]]
name = "form_urlencoded"
-version = "1.2.0"
+version = "1.2.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a62bc1cf6f830c2ec14a513a9fb124d0a213a629668a4186f329db21fe045652"
+checksum = "e13624c2627564efccf4934284bdd98cbaa14e79b0b5a141218e507b3a823456"
dependencies = [
"percent-encoding",
]
@@ -1123,9 +1128,9 @@ dependencies = [
[[package]]
name = "futures"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "23342abe12aba583913b2e62f22225ff9c950774065e4bfb61a19cd9770fec40"
+checksum = "645c6916888f6cb6350d2550b80fb63e734897a8498abe35cfb732b6487804b0"
dependencies = [
"futures-channel",
"futures-core",
@@ -1138,9 +1143,9 @@ dependencies = [
[[package]]
name = "futures-channel"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "955518d47e09b25bbebc7a18df10b81f0c766eaf4c4f1cccef2fca5f2a4fb5f2"
+checksum = "eac8f7d7865dcb88bd4373ab671c8cf4508703796caa2b1985a9ca867b3fcb78"
dependencies = [
"futures-core",
"futures-sink",
@@ -1148,15 +1153,15 @@ dependencies = [
[[package]]
name = "futures-core"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4bca583b7e26f571124fe5b7561d49cb2868d79116cfa0eefce955557c6fee8c"
+checksum = "dfc6580bb841c5a68e9ef15c77ccc837b40a7504914d52e47b8b0e9bbda25a1d"
[[package]]
name = "futures-executor"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ccecee823288125bd88b4d7f565c9e58e41858e47ab72e8ea2d64e93624386e0"
+checksum = "a576fc72ae164fca6b9db127eaa9a9dda0d61316034f33a0a0d4eda41f02b01d"
dependencies = [
"futures-core",
"futures-task",
@@ -1176,38 +1181,38 @@ dependencies = [
[[package]]
name = "futures-io"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4fff74096e71ed47f8e023204cfd0aa1289cd54ae5430a9523be060cdb849964"
+checksum = "a44623e20b9681a318efdd71c299b6b222ed6f231972bfe2f224ebad6311f0c1"
[[package]]
name = "futures-macro"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "89ca545a94061b6365f2c7355b4b32bd20df3ff95f02da9329b34ccc3bd6ee72"
+checksum = "87750cf4b7a4c0625b1529e4c543c2182106e4dedc60a2a6455e00d212c489ac"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.29",
+ "syn 2.0.52",
]
[[package]]
name = "futures-sink"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f43be4fe21a13b9781a69afa4985b0f6ee0e1afab2c6f454a8cf30e2b2237b6e"
+checksum = "9fb8e00e87438d937621c1c6269e53f536c14d3fbd6a042bb24879e57d474fb5"
[[package]]
name = "futures-task"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "76d3d132be6c0e6aa1534069c705a74a5997a356c0dc2f86a47765e5617c5b65"
+checksum = "38d84fa142264698cdce1a9f9172cf383a0c82de1bddcf3092901442c4097004"
[[package]]
name = "futures-util"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "26b01e40b772d54cf6c6d721c1d1abd0647a0106a12ecaa1c186273392a69533"
+checksum = "3d6401deb83407ab3da39eba7e33987a73c3df0c82b4bb5813ee871c19c41d48"
dependencies = [
"futures-channel",
"futures-core",
@@ -1269,7 +1274,7 @@ dependencies = [
"glib-sys",
"gobject-sys",
"libc",
- "system-deps 6.1.1",
+ "system-deps 6.2.0",
]
[[package]]
@@ -1286,7 +1291,7 @@ dependencies = [
"libc",
"pango-sys",
"pkg-config",
- "system-deps 6.1.1",
+ "system-deps 6.2.0",
]
[[package]]
@@ -1300,7 +1305,7 @@ dependencies = [
"gobject-sys",
"libc",
"pkg-config",
- "system-deps 6.1.1",
+ "system-deps 6.2.0",
]
[[package]]
@@ -1312,7 +1317,7 @@ dependencies = [
"gdk-sys",
"glib-sys",
"libc",
- "system-deps 6.1.1",
+ "system-deps 6.2.0",
"x11",
]
@@ -1339,6 +1344,15 @@ dependencies = [
"version_check",
]
+[[package]]
+name = "generic-array"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fe739944a5406424e080edccb6add95685130b9f160d5407c639c7df0c5836b0"
+dependencies = [
+ "typenum",
+]
+
[[package]]
name = "getrandom"
version = "0.1.16"
@@ -1352,9 +1366,9 @@ dependencies = [
[[package]]
name = "getrandom"
-version = "0.2.10"
+version = "0.2.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "be4136b2a15dd319360be1c07d9933517ccf0be8f16bf62a3bee4f0d618df427"
+checksum = "190092ea657667030ac6a35e305e62fc4dd69fd98ac98631e5d3a2b1575a12b5"
dependencies = [
"cfg-if",
"libc",
@@ -1363,9 +1377,9 @@ dependencies = [
[[package]]
name = "gimli"
-version = "0.28.0"
+version = "0.28.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6fb8d784f27acf97159b40fc4db5ecd8aa23b9ad5ef69cdd136d3bc80665f0c0"
+checksum = "4271d37baee1b8c7e4b708028c57d816cf9d2434acb33a549475f78c181f6253"
[[package]]
name = "gio"
@@ -1393,7 +1407,7 @@ dependencies = [
"glib-sys",
"gobject-sys",
"libc",
- "system-deps 6.1.1",
+ "system-deps 6.2.0",
"winapi",
]
@@ -1439,7 +1453,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "ef4b192f8e65e9cf76cbf4ea71fa8e3be4a0e18ffe3d68b8da6836974cc5bad4"
dependencies = [
"libc",
- "system-deps 6.1.1",
+ "system-deps 6.2.0",
]
[[package]]
@@ -1450,15 +1464,15 @@ checksum = "d2fabcfbdc87f4758337ca535fb41a6d701b65693ce38287d856d1674551ec9b"
[[package]]
name = "globset"
-version = "0.4.13"
+version = "0.4.14"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "759c97c1e17c55525b57192c06a267cda0ac5210b222d6b82189a2338fa1c13d"
+checksum = "57da3b9b5b85bd66f31093f8c408b90a74431672542466497dcbdfdc02034be1"
dependencies = [
"aho-corasick",
"bstr",
- "fnv",
"log",
- "regex",
+ "regex-automata 0.4.6",
+ "regex-syntax 0.8.2",
]
[[package]]
@@ -1469,7 +1483,7 @@ checksum = "0d57ce44246becd17153bd035ab4d32cfee096a657fc01f2231c9278378d1e0a"
dependencies = [
"glib-sys",
"libc",
- "system-deps 6.1.1",
+ "system-deps 6.2.0",
]
[[package]]
@@ -1510,7 +1524,7 @@ dependencies = [
"gobject-sys",
"libc",
"pango-sys",
- "system-deps 6.1.1",
+ "system-deps 6.2.0",
]
[[package]]
@@ -1529,9 +1543,13 @@ dependencies = [
[[package]]
name = "half"
-version = "1.8.2"
+version = "2.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "eabb4a44450da02c90444cf74558da904edde8fb4e9035a9a6a4e15445af0bd7"
+checksum = "b5eceaaeec696539ddaf7b333340f1af35a5aa87ae3e4f3ead0532f72affab2e"
+dependencies = [
+ "cfg-if",
+ "crunchy",
+]
[[package]]
name = "hashbrown"
@@ -1541,9 +1559,9 @@ checksum = "8a9ee70c43aaf417c914396645a0fa852624801b24ebb7ae78fe8272889ac888"
[[package]]
name = "hashbrown"
-version = "0.14.0"
+version = "0.14.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2c6201b9ff9fd90a5a3bac2e56a830d0caa509576f0e503818ee82c181b3437a"
+checksum = "290f1a1d9242c78d09ce40a5e87e7554ee637af1351968159f4952f028f75604"
dependencies = [
"ahash",
"allocator-api2",
@@ -1551,11 +1569,11 @@ dependencies = [
[[package]]
name = "hashlink"
-version = "0.8.3"
+version = "0.8.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "312f66718a2d7789ffef4f4b7b213138ed9f1eb3aa1d0d82fc99f88fb3ffd26f"
+checksum = "e8094feaf31ff591f651a2664fb9cfd92bba7a60ce3197265e9482ebe753c8f7"
dependencies = [
- "hashbrown 0.14.0",
+ "hashbrown 0.14.3",
]
[[package]]
@@ -1578,9 +1596,9 @@ dependencies = [
[[package]]
name = "hermit-abi"
-version = "0.3.2"
+version = "0.3.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "443144c8cdadd93ebf52ddb4056d257f5b52c04d3c804e657d19eb73fc33668b"
+checksum = "d231dfb89cfffdbc30e7fc41579ed6066ad03abda9e567ccafae602b97ec5024"
[[package]]
name = "hex"
@@ -1590,9 +1608,9 @@ checksum = "7f24254aa9a54b5c858eaee2f5bccdb46aaf0e486a595ed5fd8f86ba55232a70"
[[package]]
name = "hkdf"
-version = "0.12.3"
+version = "0.12.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "791a029f6b9fc27657f6f188ec6e5e43f6911f6f878e0dc5501396e09809d437"
+checksum = "7b5f8eb2ad728638ea2c7d47a21db23b7b58a72ed6a38256b8a1849f15fbbdf7"
dependencies = [
"hmac",
]
@@ -1608,18 +1626,18 @@ dependencies = [
[[package]]
name = "home"
-version = "0.5.5"
+version = "0.5.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5444c27eef6923071f7ebcc33e3444508466a76f7a2b93da00ed6e19f30c1ddb"
+checksum = "e3d1354bf6b7235cb4a0576c2619fd4ed18183f689b12b006a0ee7329eeff9a5"
dependencies = [
- "windows-sys 0.48.0",
+ "windows-sys 0.52.0",
]
[[package]]
name = "html5ever"
-version = "0.25.2"
+version = "0.26.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e5c13fb08e5d4dfc151ee5e88bae63f7773d61852f3bdc73c9f4b9e1bde03148"
+checksum = "bea68cab48b8459f17cf1c944c67ddc572d272d9f2b274140f223ecb1da4a3b7"
dependencies = [
"log",
"mac",
@@ -1631,13 +1649,13 @@ dependencies = [
[[package]]
name = "http"
-version = "0.2.9"
+version = "0.2.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bd6effc99afb63425aff9b05836f029929e345a6148a14b7ecd5ab67af944482"
+checksum = "601cbb57e577e2f5ef5be8e7b83f0f63994f25aa94d673e54a92d5c516d101f1"
dependencies = [
"bytes",
"fnv",
- "itoa 1.0.9",
+ "itoa 1.0.10",
]
[[package]]
@@ -1648,16 +1666,16 @@ checksum = "21dec9db110f5f872ed9699c3ecf50cf16f423502706ba5c72462e28d3157573"
[[package]]
name = "iana-time-zone"
-version = "0.1.57"
+version = "0.1.60"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2fad5b825842d2b38bd206f3e81d6957625fd7f0a361e345c30e01a0ae2dd613"
+checksum = "e7ffbb5a1b541ea2561f8c41c087286cc091e21e556a4f09a8f6cbf17b69b141"
dependencies = [
"android_system_properties",
"core-foundation-sys",
"iana-time-zone-haiku",
"js-sys",
"wasm-bindgen",
- "windows 0.48.0",
+ "windows-core",
]
[[package]]
@@ -1687,9 +1705,9 @@ checksum = "b9e0384b61958566e926dc50660321d12159025e767c18e043daf26b70104c39"
[[package]]
name = "idna"
-version = "0.4.0"
+version = "0.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7d20d6b07bfbc108882d88ed8e37d39636dcc260e15e30c45e6ba089610b917c"
+checksum = "634d9b1461af396cad843f47fdba5597a4f9e6ddd4bfb6ff5d85028c25cb12f6"
dependencies = [
"unicode-bidi",
"unicode-normalization",
@@ -1697,31 +1715,29 @@ dependencies = [
[[package]]
name = "ignore"
-version = "0.4.20"
+version = "0.4.22"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dbe7873dab538a9a44ad79ede1faf5f30d49f9a5c883ddbab48bce81b64b7492"
+checksum = "b46810df39e66e925525d6e38ce1e7f6e1d208f72dc39757880fcb66e2c58af1"
dependencies = [
+ "crossbeam-deque",
"globset",
- "lazy_static",
"log",
"memchr",
- "regex",
+ "regex-automata 0.4.6",
"same-file",
- "thread_local",
"walkdir",
"winapi-util",
]
[[package]]
name = "image"
-version = "0.24.7"
+version = "0.24.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6f3dfdbdd72063086ff443e297b61695500514b1e41095b6fb9a5ab48a70a711"
+checksum = "5690139d2f55868e080017335e4b94cb7414274c74f1669c84fb5feba2c9f69d"
dependencies = [
"bytemuck",
"byteorder",
"color_quant",
- "num-rational",
"num-traits",
]
@@ -1738,20 +1754,20 @@ dependencies = [
[[package]]
name = "indexmap"
-version = "2.0.0"
+version = "2.2.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d5477fe2230a79769d8dc68e0eabf5437907c0457a5614a9e8dddb67f65eb65d"
+checksum = "7b0b929d511467233429c45a44ac1dcaa21ba0f5ba11e4879e6ed28ddb4f9df4"
dependencies = [
"equivalent",
- "hashbrown 0.14.0",
+ "hashbrown 0.14.3",
"serde",
]
[[package]]
name = "infer"
-version = "0.12.0"
+version = "0.13.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a898e4b7951673fce96614ce5751d13c40fc5674bc2d759288e46c3ab62598b3"
+checksum = "f551f8c3a39f68f986517db0d1759de85881894fdc7db798bd2a9df9cb04b7fc"
dependencies = [
"cfb",
]
@@ -1762,7 +1778,7 @@ version = "0.1.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "a0c10553d664a4d0bcff9f4215d0aac67a639cc68ef660840afe309b807bc9f5"
dependencies = [
- "generic-array",
+ "generic-array 0.14.7",
]
[[package]]
@@ -1783,6 +1799,15 @@ dependencies = [
"either",
]
+[[package]]
+name = "itertools"
+version = "0.12.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ba291022dbbd398a455acf126c1e341954079855bc60dfdda641363bd6922569"
+dependencies = [
+ "either",
+]
+
[[package]]
name = "itoa"
version = "0.4.8"
@@ -1791,9 +1816,9 @@ checksum = "b71991ff56294aa922b450139ee08b3bfc70982c6b2c7562771375cf73542dd4"
[[package]]
name = "itoa"
-version = "1.0.9"
+version = "1.0.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "af150ab688ff2122fcef229be89cb50dd66af9e01a4ff320cc137eecc9bacc38"
+checksum = "b1a46d1a171d865aa5f83f92695765caa047a9b4cbae2cbf37dbd613a793fd4c"
[[package]]
name = "javascriptcore-rs"
@@ -1840,18 +1865,18 @@ checksum = "8eaf4bc02d17cbdd7ff4c7438cafcdf7fb9a4613313ad11b4f8fefe7d3fa0130"
[[package]]
name = "js-sys"
-version = "0.3.64"
+version = "0.3.69"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c5f195fe497f702db0f318b07fdd68edb16955aed830df8363d837542f8f935a"
+checksum = "29c15563dc2726973df627357ce0c9ddddbea194836909d655df6a75d2cf296d"
dependencies = [
"wasm-bindgen",
]
[[package]]
name = "json-patch"
-version = "1.0.0"
+version = "1.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1f54898088ccb91df1b492cc80029a6fdf1c48ca0db7c6822a8babad69c94658"
+checksum = "55ff1e1486799e3f64129f8ccad108b38290df9cd7015cd31bed17239f0789d6"
dependencies = [
"serde",
"serde_json",
@@ -1860,13 +1885,14 @@ dependencies = [
]
[[package]]
-name = "kuchiki"
-version = "0.8.1"
+name = "kuchikiki"
+version = "0.8.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ea8e9c6e031377cff82ee3001dc8026cdf431ed4e2e6b51f98ab8c73484a358"
+checksum = "f29e4755b7b995046f510a7520c42b2fed58b77bd94d5a87a8eb43d2fd126da8"
dependencies = [
"cssparser",
"html5ever",
+ "indexmap 1.9.3",
"matches",
"selectors",
]
@@ -1882,21 +1908,32 @@ dependencies = [
[[package]]
name = "libc"
-version = "0.2.147"
+version = "0.2.153"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b4668fb0ea861c1df094127ac5f1da3409a82116a4ba74fca2e58ef927159bb3"
+checksum = "9c198f91728a82281a64e1f4f9eeb25d82cb32a5de251c6bd1b5154d63a8e7bd"
[[package]]
name = "libm"
-version = "0.2.7"
+version = "0.2.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f7012b1bbb0719e1097c47611d3898568c546d597c2e74d66f6087edd5233ff4"
+checksum = "4ec2a862134d2a7d32d7983ddcdd1c4923530833c9f2ea1a44fc5fa473989058"
+
+[[package]]
+name = "libredox"
+version = "0.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "85c833ca1e66078851dba29046874e38f08b2c883700aa29a03ddd3b23814ee8"
+dependencies = [
+ "bitflags 2.4.2",
+ "libc",
+ "redox_syscall",
+]
[[package]]
name = "libsqlite3-sys"
-version = "0.26.0"
+version = "0.27.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "afc22eff61b133b115c6e8c74e818c628d6d5e7a502afea6f64dee076dd94326"
+checksum = "cf4e226dcd58b4be396f7bd3c20da8fdee2911400705297ba7d2d7cc2c30f716"
dependencies = [
"cc",
"pkg-config",
@@ -1914,15 +1951,15 @@ dependencies = [
[[package]]
name = "linux-raw-sys"
-version = "0.4.5"
+version = "0.4.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "57bcfdad1b858c2db7c38303a6d2ad4dfaf5eb53dfeb0910128b2c26d6158503"
+checksum = "01cda141df6706de531b6c46c3a33ecca755538219bd484262fa09410c13539c"
[[package]]
name = "lock_api"
-version = "0.4.10"
+version = "0.4.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c1cc9717a20b1bb222f333e6a92fd32f7d8a18ddc5a3191a11af45dcbf4dcd16"
+checksum = "3c168f8615b12bc01f9c17e2eb0cc07dcae1940121185446edc3744920e8ef45"
dependencies = [
"autocfg",
"scopeguard",
@@ -1930,9 +1967,9 @@ dependencies = [
[[package]]
name = "log"
-version = "0.4.20"
+version = "0.4.21"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b5e6163cb8c49088c2c36f57875e58ccd8c87c7427f7fbd50ea6710b2f3f2e8f"
+checksum = "90ed8c1e510134f979dbc4f070f87d4313098b704861a105fe34231c70a3901c"
[[package]]
name = "loom"
@@ -1966,13 +2003,13 @@ dependencies = [
[[package]]
name = "markup5ever"
-version = "0.10.1"
+version = "0.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a24f40fb03852d1cdd84330cddcaf98e9ec08a7b7768e952fad3b4cf048ec8fd"
+checksum = "7a2629bb1404f3d34c2e921f21fd34ba00b206124c81f65c50b43b6aaefeb016"
dependencies = [
"log",
- "phf 0.8.0",
- "phf_codegen",
+ "phf 0.10.1",
+ "phf_codegen 0.10.0",
"string_cache",
"string_cache_codegen",
"tendril",
@@ -1995,18 +2032,19 @@ checksum = "2532096657941c2fea9c289d370a250971c689d4f143798ff67113ec042024a5"
[[package]]
name = "md-5"
-version = "0.10.5"
+version = "0.10.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6365506850d44bff6e2fbcb5176cf63650e48bd45ef2fe2665ae1570e0f4b9ca"
+checksum = "d89e7ee0cfbedfc4da3340218492196241d89eefb6dab27de5df917a6d2e78cf"
dependencies = [
+ "cfg-if",
"digest",
]
[[package]]
name = "memchr"
-version = "2.5.0"
+version = "2.7.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2dffe52ecf27772e601905b7522cb4ef790d2cc203488bbd0e2fe85fcb74566d"
+checksum = "523dc4f511e55ab87b694dc30d0f820d60906ef06413f93d4d7a1385599cc149"
[[package]]
name = "memoffset"
@@ -2025,9 +2063,9 @@ checksum = "68354c5c6bd36d73ff3feceb05efa59b6acb7626617f4962be322a825e61f79a"
[[package]]
name = "miniz_oxide"
-version = "0.7.1"
+version = "0.7.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e7810e0be55b428ada41041c41f32c9f1a42817901b4ccf45fa3d4b6561e74c7"
+checksum = "9d811f3e15f28568be3407c8e7fdb6514c1cda3cb30683f15b6a1a1dc4ea14a7"
dependencies = [
"adler",
"simd-adler32",
@@ -2035,9 +2073,9 @@ dependencies = [
[[package]]
name = "mio"
-version = "0.8.8"
+version = "0.8.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "927a765cd3fc26206e66b296465fa9d3e5ab003e651c1b3c060e7956d96b19d2"
+checksum = "a4a650543ca06a924e8b371db273b2756685faae30f8487da1b56505a8f78b0c"
dependencies = [
"libc",
"wasi 0.11.0+wasi-snapshot-preview1",
@@ -2078,6 +2116,18 @@ version = "1.0.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "e4a24736216ec316047a1fc4252e27dabb04218aa4a3f37c6e7ddbf1f9782b54"
+[[package]]
+name = "nix"
+version = "0.28.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ab2156c4fce2f8df6c499cc1c763e4394b7482525bf2a9701c9d79d215f519e4"
+dependencies = [
+ "bitflags 2.4.2",
+ "cfg-if",
+ "cfg_aliases",
+ "libc",
+]
+
[[package]]
name = "nodrop"
version = "0.1.14"
@@ -2122,31 +2172,25 @@ dependencies = [
]
[[package]]
-name = "num-integer"
-version = "0.1.45"
+name = "num-conv"
+version = "0.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "225d3389fb3509a24c93f5c29eb6bde2586b98d9f016636dff58d7c6f7569cd9"
+checksum = "51d515d32fb182ee37cda2ccdcb92950d6a3c2893aa280e540671c2cd0f3b1d9"
+
+[[package]]
+name = "num-integer"
+version = "0.1.46"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7969661fd2958a5cb096e56c8e1ad0444ac2bbcd0061bd28660485a44879858f"
dependencies = [
- "autocfg",
"num-traits",
]
[[package]]
name = "num-iter"
-version = "0.1.43"
+version = "0.1.44"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7d03e6c028c5dc5cac6e2dec0efda81fc887605bb3d884578bb6d6bf7514e252"
-dependencies = [
- "autocfg",
- "num-integer",
- "num-traits",
-]
-
-[[package]]
-name = "num-rational"
-version = "0.4.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0638a1c9d0a3c0914158145bc76cff373a75a627e6ecbfb71cbe6f453a5a19b0"
+checksum = "d869c01cc0c455284163fd0092f1f93835385ccab5a98a0dcc497b2f8bf055a9"
dependencies = [
"autocfg",
"num-integer",
@@ -2155,9 +2199,9 @@ dependencies = [
[[package]]
name = "num-traits"
-version = "0.2.16"
+version = "0.2.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f30b0abd723be7e2ffca1272140fac1a2f084c77ec3e123c192b66af1ee9e6c2"
+checksum = "da0df0e5185db44f69b44f26786fe401b6c293d1907744beaa7fa62b2e5a517a"
dependencies = [
"autocfg",
"libm",
@@ -2194,6 +2238,15 @@ dependencies = [
"syn 1.0.109",
]
+[[package]]
+name = "num_threads"
+version = "0.1.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5c7398b9c8b70908f6371f47ed36737907c87c52af34c268fed0bf0ceb92ead9"
+dependencies = [
+ "libc",
+]
+
[[package]]
name = "objc"
version = "0.2.7"
@@ -2235,24 +2288,24 @@ dependencies = [
[[package]]
name = "object"
-version = "0.32.0"
+version = "0.32.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "77ac5bbd07aea88c60a577a1ce218075ffd59208b2d7ca97adf9bfc5aeb21ebe"
+checksum = "a6a622008b6e321afc04970976f62ee297fdbaa6f95318ca343e3eebb9648441"
dependencies = [
"memchr",
]
[[package]]
name = "once_cell"
-version = "1.18.0"
+version = "1.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dd8b5dd2ae5ed71462c540258bedcb51965123ad7e7ccf4b9a8cafaa4a63576d"
+checksum = "3fdb12b2476b595f9358c5161aa467c2438859caa136dec86c26fdd2efe17b92"
[[package]]
name = "opaque-debug"
-version = "0.3.0"
+version = "0.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "624a8340c38c1b80fd549087862da4ba43e08858af025b236e509b6649fc13d5"
+checksum = "c08d65885ee38876c4f86fa503fb49d7b507c2b62552df7c70b2fce627e06381"
[[package]]
name = "open"
@@ -2303,7 +2356,7 @@ dependencies = [
"glib-sys",
"gobject-sys",
"libc",
- "system-deps 6.1.1",
+ "system-deps 6.2.0",
]
[[package]]
@@ -2318,13 +2371,13 @@ dependencies = [
[[package]]
name = "parking_lot_core"
-version = "0.9.8"
+version = "0.9.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "93f00c865fe7cabf650081affecd3871070f26767e7b2070a3ffae14c654b447"
+checksum = "4c42a9226546d68acdd9c0a280d17ce19bfe27a46bf68784e4066115788d008e"
dependencies = [
"cfg-if",
"libc",
- "redox_syscall 0.3.5",
+ "redox_syscall",
"smallvec",
"windows-targets 0.48.5",
]
@@ -2373,9 +2426,9 @@ dependencies = [
[[package]]
name = "percent-encoding"
-version = "2.3.0"
+version = "2.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9b2a4787296e9989611394c33f193f676704af1686e70b8f8033ab5ba9a35a94"
+checksum = "e3148f5046208a5d56bcfc03053e3ca6334e51da8dfb19b6cdc8b306fae3283e"
[[package]]
name = "phf"
@@ -2394,9 +2447,17 @@ version = "0.10.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "fabbf1ead8a5bcbc20f5f8b939ee3f5b0f6f281b6ad3468b84656b658b455259"
dependencies = [
- "phf_macros 0.10.0",
"phf_shared 0.10.0",
- "proc-macro-hack",
+]
+
+[[package]]
+name = "phf"
+version = "0.11.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ade2d8b8f33c7333b51bcf0428d37e217e9f32192ae4772156f65063b8ce03dc"
+dependencies = [
+ "phf_macros 0.11.2",
+ "phf_shared 0.11.2",
]
[[package]]
@@ -2409,6 +2470,16 @@ dependencies = [
"phf_shared 0.8.0",
]
+[[package]]
+name = "phf_codegen"
+version = "0.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4fb1c3a8bc4dd4e5cfce29b44ffc14bedd2ee294559a294e2a4d4c9e9a6a13cd"
+dependencies = [
+ "phf_generator 0.10.0",
+ "phf_shared 0.10.0",
+]
+
[[package]]
name = "phf_generator"
version = "0.8.0"
@@ -2429,6 +2500,16 @@ dependencies = [
"rand 0.8.5",
]
+[[package]]
+name = "phf_generator"
+version = "0.11.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "48e4cc64c2ad9ebe670cb8fd69dd50ae301650392e81c05f9bfcb2d5bdbc24b0"
+dependencies = [
+ "phf_shared 0.11.2",
+ "rand 0.8.5",
+]
+
[[package]]
name = "phf_macros"
version = "0.8.0"
@@ -2445,16 +2526,15 @@ dependencies = [
[[package]]
name = "phf_macros"
-version = "0.10.0"
+version = "0.11.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "58fdf3184dd560f160dd73922bea2d5cd6e8f064bf4b13110abd81b03697b4e0"
+checksum = "3444646e286606587e49f3bcf1679b8cef1dc2c5ecc29ddacaffc305180d464b"
dependencies = [
- "phf_generator 0.10.0",
- "phf_shared 0.10.0",
- "proc-macro-hack",
+ "phf_generator 0.11.2",
+ "phf_shared 0.11.2",
"proc-macro2",
"quote",
- "syn 1.0.109",
+ "syn 2.0.52",
]
[[package]]
@@ -2476,30 +2556,19 @@ dependencies = [
]
[[package]]
-name = "pin-project"
-version = "1.1.3"
+name = "phf_shared"
+version = "0.11.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fda4ed1c6c173e3fc7a83629421152e01d7b1f9b7f65fb301e490e8cfc656422"
+checksum = "90fcb95eef784c2ac79119d1dd819e162b5da872ce6f3c3abe1e8ca1c082f72b"
dependencies = [
- "pin-project-internal",
-]
-
-[[package]]
-name = "pin-project-internal"
-version = "1.1.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4359fd9c9171ec6e8c62926d6faaf553a8dc3f64e1507e76da7911b4f6a04405"
-dependencies = [
- "proc-macro2",
- "quote",
- "syn 2.0.29",
+ "siphasher",
]
[[package]]
name = "pin-project-lite"
-version = "0.2.12"
+version = "0.2.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "12cc1b0bf1727a77a54b6654e7b5f1af8604923edc8b81885f8ec92f9e3f0a05"
+checksum = "8afb450f006bf6385ca15ef45d71d2288452bc3683ce2e2cacc0d18e4be60b58"
[[package]]
name = "pin-utils"
@@ -2530,18 +2599,18 @@ dependencies = [
[[package]]
name = "pkg-config"
-version = "0.3.27"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "26072860ba924cbfa98ea39c8c19b4dd6a4a25423dbdf219c1eca91aa0cf6964"
+checksum = "d231b230927b5e4ad203db57bbcbee2802f6bce620b1e4a9024a07d94e2907ec"
[[package]]
name = "plist"
-version = "1.5.0"
+version = "1.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bdc0001cfea3db57a2e24bc0d818e9e20e554b5f97fabb9bc231dc240269ae06"
+checksum = "e5699cc8a63d1aa2b1ee8e12b9ad70ac790d65788cd36101fa37f87ea46c4cef"
dependencies = [
- "base64 0.21.2",
- "indexmap 1.9.3",
+ "base64 0.21.7",
+ "indexmap 2.2.5",
"line-wrap",
"quick-xml",
"serde",
@@ -2550,9 +2619,9 @@ dependencies = [
[[package]]
name = "png"
-version = "0.17.10"
+version = "0.17.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dd75bf2d8dd3702b9707cdbc56a5b9ef42cec752eb8b3bafc01234558442aa64"
+checksum = "06e4b0d3d1312775e782c86c91a111aa1f910cbb65e1337f9975b5f9a554b5e1"
dependencies = [
"bitflags 1.3.2",
"crc32fast",
@@ -2572,6 +2641,12 @@ dependencies = [
"universal-hash",
]
+[[package]]
+name = "powerfmt"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "439ee305def115ba05938db6eb1644ff94165c5ab5e9420d1c1bcedbba909391"
+
[[package]]
name = "ppv-lite86"
version = "0.2.17"
@@ -2591,7 +2666,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "7f4c021e1093a56626774e81216a4ce732a735e5bad4868a03f3ed65ca0c3919"
dependencies = [
"once_cell",
- "toml_edit",
+ "toml_edit 0.19.15",
]
[[package]]
@@ -2626,9 +2701,9 @@ checksum = "dc375e1527247fe1a97d8b7156678dfe7c1af2fc075c9a4db3690ecd2a148068"
[[package]]
name = "proc-macro2"
-version = "1.0.66"
+version = "1.0.78"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "18fb31db3f9bddb2ea821cde30a9f70117e3f119938b5ee630b7403aa6e2ead9"
+checksum = "e2422ad645d89c99f8f3e6b88a9fdeca7fabeac836b1002371c4367c8f984aae"
dependencies = [
"unicode-ident",
]
@@ -2641,18 +2716,18 @@ checksum = "a993555f31e5a609f617c12db6250dedcac1b0a85076912c436e6fc9b2c8e6a3"
[[package]]
name = "quick-xml"
-version = "0.29.0"
+version = "0.31.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "81b9228215d82c7b61490fec1de287136b5de6f5700f6e58ea9ad61a7964ca51"
+checksum = "1004a344b30a54e2ee58d66a71b32d2db2feb0a31f9a2d302bf0536f15de2a33"
dependencies = [
"memchr",
]
[[package]]
name = "quote"
-version = "1.0.33"
+version = "1.0.35"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5267fca4496028628a95160fc423a33e8b2e6af8a5302579e322e4b520293cae"
+checksum = "291ec9ab5efd934aaf503a6466c5d5251535d108ee747472c3977cc5acc868ef"
dependencies = [
"proc-macro2",
]
@@ -2717,7 +2792,7 @@ version = "0.6.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "ec0be4795e2f6a28069bec0b5ff3e2ac9bafc99e6a9a7dc3547996c5c816922c"
dependencies = [
- "getrandom 0.2.10",
+ "getrandom 0.2.12",
]
[[package]]
@@ -2746,9 +2821,9 @@ checksum = "f2ff9a1f06a88b01621b7ae906ef0211290d1c8a168a15542486a8f61c0833b9"
[[package]]
name = "rayon"
-version = "1.7.0"
+version = "1.9.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1d2df5196e37bcc87abebc0053e20787d73847bb33134a69841207dd0a47f03b"
+checksum = "e4963ed1bc86e4f3ee217022bd855b297cef07fb9eac5dfa1f788b220b49b3bd"
dependencies = [
"either",
"rayon-core",
@@ -2756,55 +2831,44 @@ dependencies = [
[[package]]
name = "rayon-core"
-version = "1.11.0"
+version = "1.12.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4b8f95bd6966f5c87776639160a66bd8ab9895d9d4ab01ddba9fc60661aebe8d"
+checksum = "1465873a3dfdaa8ae7cb14b4383657caab0b3e8a0aa9ae8e04b044854c8dfce2"
dependencies = [
- "crossbeam-channel",
"crossbeam-deque",
"crossbeam-utils",
- "num_cpus",
]
[[package]]
name = "redox_syscall"
-version = "0.2.16"
+version = "0.4.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fb5a58c1855b4b6819d59012155603f0b22ad30cad752600aadfcb695265519a"
-dependencies = [
- "bitflags 1.3.2",
-]
-
-[[package]]
-name = "redox_syscall"
-version = "0.3.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "567664f262709473930a4bf9e51bf2ebf3348f2e748ccc50dea20646858f8f29"
+checksum = "4722d768eff46b75989dd134e5c353f0d6296e5aaa3132e776cbdb56be7731aa"
dependencies = [
"bitflags 1.3.2",
]
[[package]]
name = "redox_users"
-version = "0.4.3"
+version = "0.4.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b033d837a7cf162d7993aded9304e30a83213c648b6e389db233191f891e5c2b"
+checksum = "a18479200779601e498ada4e8c1e1f50e3ee19deb0259c25825a98b5603b2cb4"
dependencies = [
- "getrandom 0.2.10",
- "redox_syscall 0.2.16",
+ "getrandom 0.2.12",
+ "libredox",
"thiserror",
]
[[package]]
name = "regex"
-version = "1.9.3"
+version = "1.10.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "81bc1d4caf89fac26a70747fe603c130093b53c773888797a6329091246d651a"
+checksum = "b62dbe01f0b06f9d8dc7d49e05a0785f153b00b2c227856282f671e0318c9b15"
dependencies = [
"aho-corasick",
"memchr",
- "regex-automata 0.3.6",
- "regex-syntax 0.7.4",
+ "regex-automata 0.4.6",
+ "regex-syntax 0.8.2",
]
[[package]]
@@ -2818,13 +2882,13 @@ dependencies = [
[[package]]
name = "regex-automata"
-version = "0.3.6"
+version = "0.4.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fed1ceff11a1dddaee50c9dc8e4938bd106e9d89ae372f192311e7da498e3b69"
+checksum = "86b83b8b9847f9bf95ef68afb0b8e6cdb80f498442f5179a29fad448fcc1eaea"
dependencies = [
"aho-corasick",
"memchr",
- "regex-syntax 0.7.4",
+ "regex-syntax 0.8.2",
]
[[package]]
@@ -2835,9 +2899,9 @@ checksum = "f162c6dd7b008981e4d40210aca20b4bd0f9b60ca9271061b07f78537722f2e1"
[[package]]
name = "regex-syntax"
-version = "0.7.4"
+version = "0.8.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e5ea92a5b6195c6ef2a0295ea818b312502c6fc94dde986c5553242e18fd4ce2"
+checksum = "c08c74e62047bb2de4ff487b251e4a92e24f48745648451635cec7d591162d9f"
[[package]]
name = "rfd"
@@ -2865,16 +2929,14 @@ dependencies = [
[[package]]
name = "rsa"
-version = "0.9.2"
+version = "0.9.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6ab43bb47d23c1a631b4b680199a45255dce26fa9ab2fa902581f624ff13e6a8"
+checksum = "5d0e5124fcb30e76a7e79bfee683a2746db83784b86289f6251b54b7950a0dfc"
dependencies = [
- "byteorder",
"const-oid",
"digest",
"num-bigint-dig",
"num-integer",
- "num-iter",
"num-traits",
"pkcs1",
"pkcs8",
@@ -2902,15 +2964,15 @@ dependencies = [
[[package]]
name = "rustix"
-version = "0.38.8"
+version = "0.38.31"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "19ed4fa021d81c8392ce04db050a3da9a60299050b7ae1cf482d862b54a7218f"
+checksum = "6ea3e1a662af26cd7a3ba09c0297a31af215563ecf42817c98df621387f4e949"
dependencies = [
- "bitflags 2.4.0",
+ "bitflags 2.4.2",
"errno",
"libc",
"linux-raw-sys",
- "windows-sys 0.48.0",
+ "windows-sys 0.52.0",
]
[[package]]
@@ -2921,9 +2983,9 @@ checksum = "7ffc183a10b4478d04cbbbfc96d0873219d962dd5accaff2ffbd4ceb7df837f4"
[[package]]
name = "ryu"
-version = "1.0.15"
+version = "1.0.17"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ad4cc8da4ef723ed60bced201181d83791ad433213d8c24efffda1eec85d741"
+checksum = "e86697c916019a8588c99b5fac3cead74ec0b4b819707a682fd4d23fa0ce1ba1"
[[package]]
name = "safemem"
@@ -2986,7 +3048,7 @@ dependencies = [
"log",
"matches",
"phf 0.8.0",
- "phf_codegen",
+ "phf_codegen 0.8.0",
"precomputed-hash",
"servo_arc",
"smallvec",
@@ -2995,76 +3057,78 @@ dependencies = [
[[package]]
name = "semver"
-version = "1.0.18"
+version = "1.0.22"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b0293b4b29daaf487284529cc2f5675b8e57c61f70167ba415a463651fd6a918"
+checksum = "92d43fe69e652f3df9bdc2b85b2854a0825b86e4fb76bc44d945137d053639ca"
dependencies = [
"serde",
]
[[package]]
name = "serde"
-version = "1.0.186"
+version = "1.0.197"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9f5db24220c009de9bd45e69fb2938f4b6d2df856aa9304ce377b3180f83b7c1"
+checksum = "3fb1c873e1b9b056a4dc4c0c198b24c3ffa059243875552b2bd0933b1aee4ce2"
dependencies = [
"serde_derive",
]
[[package]]
name = "serde_derive"
-version = "1.0.186"
+version = "1.0.197"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5ad697f7e0b65af4983a4ce8f56ed5b357e8d3c36651bf6a7e13639c17b8e670"
+checksum = "7eb0b34b42edc17f6b7cac84a52a1c5f0e1bb2227e997ca9011ea3dd34e8610b"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.29",
+ "syn 2.0.52",
]
[[package]]
name = "serde_json"
-version = "1.0.105"
+version = "1.0.114"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "693151e1ac27563d6dbcec9dee9fbd5da8539b20fa14ad3752b2e6d363ace360"
+checksum = "c5f09b1bd632ef549eaa9f60a1f8de742bdbc698e6cee2095fc84dde5f549ae0"
dependencies = [
- "itoa 1.0.9",
+ "indexmap 2.2.5",
+ "itoa 1.0.10",
"ryu",
"serde",
]
[[package]]
name = "serde_repr"
-version = "0.1.16"
+version = "0.1.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8725e1dfadb3a50f7e5ce0b1a540466f6ed3fe7a0fca2ac2b8b831d31316bd00"
+checksum = "0b2e6b945e9d3df726b65d6ee24060aff8e3533d431f677a9695db04eff9dfdb"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.29",
+ "syn 2.0.52",
]
[[package]]
name = "serde_spanned"
-version = "0.6.3"
+version = "0.6.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "96426c9936fd7a0124915f9185ea1d20aa9445cc9821142f0a73bc9207a2e186"
+checksum = "eb3622f419d1296904700073ea6cc23ad690adbd66f13ea683df73298736f0c1"
dependencies = [
"serde",
]
[[package]]
name = "serde_with"
-version = "3.3.0"
+version = "3.6.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ca3b16a3d82c4088f343b7480a93550b3eabe1a358569c2dfe38bbcead07237"
+checksum = "15d167997bd841ec232f5b2b8e0e26606df2e7caa4c31b95ea9ca52b200bd270"
dependencies = [
- "base64 0.21.2",
+ "base64 0.21.7",
"chrono",
"hex",
"indexmap 1.9.3",
- "indexmap 2.0.0",
+ "indexmap 2.2.5",
"serde",
+ "serde_derive",
"serde_json",
"serde_with_macros",
"time",
@@ -3072,14 +3136,14 @@ dependencies = [
[[package]]
name = "serde_with_macros"
-version = "3.3.0"
+version = "3.6.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2e6be15c453eb305019bfa438b1593c731f36a289a7853f7707ee29e870b3b3c"
+checksum = "865f9743393e638991566a8b7a479043c2c8da94a33e0a31f18214c9cae0a64d"
dependencies = [
- "darling 0.20.3",
+ "darling 0.20.8",
"proc-macro2",
"quote",
- "syn 2.0.29",
+ "syn 2.0.52",
]
[[package]]
@@ -3104,7 +3168,7 @@ checksum = "91d129178576168c589c9ec973feedf7d3126c01ac2bf08795109aa35b69fb8f"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.29",
+ "syn 2.0.52",
]
[[package]]
@@ -3141,9 +3205,9 @@ dependencies = [
[[package]]
name = "sha1"
-version = "0.10.5"
+version = "0.10.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f04293dc80c3993519f2d7f6f511707ee7094fe0c6d3406feb330cdb3540eba3"
+checksum = "e3bf829a2d51ab4a5ddf1352d8470c140cadc8301b2ae1789db023f01cedd6ba"
dependencies = [
"cfg-if",
"cpufeatures",
@@ -3152,9 +3216,9 @@ dependencies = [
[[package]]
name = "sha2"
-version = "0.10.7"
+version = "0.10.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "479fb9d862239e610720565ca91403019f2f00410f1864c5aa7479b950a76ed8"
+checksum = "793db75ad2bcafc3ffa7c68b215fee268f537982cd901d132f89c6343f3a3dc8"
dependencies = [
"cfg-if",
"cpufeatures",
@@ -3163,18 +3227,18 @@ dependencies = [
[[package]]
name = "sharded-slab"
-version = "0.1.4"
+version = "0.1.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "900fba806f70c630b0a382d0d825e17a0f19fcd059a2ade1ff237bcddf446b31"
+checksum = "f40ca3c46823713e0d4209592e8d6e826aa57e928f09752619fc696c499637f6"
dependencies = [
"lazy_static",
]
[[package]]
name = "signature"
-version = "2.1.0"
+version = "2.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5e1788eed21689f9cf370582dfc467ef36ed9c707f073528ddafa8d83e3b8500"
+checksum = "77549399552de45a898a580c1b41d445bf730df867cc44e6c0233bbc4b8329de"
dependencies = [
"digest",
"rand_core 0.6.4",
@@ -3203,18 +3267,18 @@ dependencies = [
[[package]]
name = "smallvec"
-version = "1.11.0"
+version = "1.13.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "62bb4feee49fdd9f707ef802e22365a35de4b7b299de4763d44bfea899442ff9"
+checksum = "e6ecd384b10a64542d77071bd64bd7b231f4ed5940fba55e98c3de13824cf3d7"
[[package]]
name = "socket2"
-version = "0.5.3"
+version = "0.5.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2538b18701741680e0322a2302176d3253a35388e2e62f172f64f4f16605f877"
+checksum = "05ffd9c0a93b7543e062e759284fcf5f5e3b098501104bfbdde4d404db792871"
dependencies = [
"libc",
- "windows-sys 0.48.0",
+ "windows-sys 0.52.0",
]
[[package]]
@@ -3262,9 +3326,9 @@ dependencies = [
[[package]]
name = "spki"
-version = "0.7.2"
+version = "0.7.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9d1e996ef02c474957d681f1b05213dfb0abab947b446a62d37770b23500184a"
+checksum = "d91ed6c858b01f942cd56b37a94b3e0a1798290327d1236e4d9cf4eaca44d29d"
dependencies = [
"base64ct",
"der",
@@ -3272,20 +3336,20 @@ dependencies = [
[[package]]
name = "sqlformat"
-version = "0.2.1"
+version = "0.2.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0c12bc9199d1db8234678b7051747c07f517cdcf019262d1847b94ec8b1aee3e"
+checksum = "ce81b7bd7c4493975347ef60d8c7e8b742d4694f4c49f93e0a12ea263938176c"
dependencies = [
- "itertools",
+ "itertools 0.12.1",
"nom",
"unicode_categories",
]
[[package]]
name = "sqlx"
-version = "0.7.1"
+version = "0.7.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8e58421b6bc416714d5115a2ca953718f6c621a51b68e4f4922aea5a4391a721"
+checksum = "dba03c279da73694ef99763320dea58b51095dfe87d001b1d4b5fe78ba8763cf"
dependencies = [
"sqlx-core",
"sqlx-macros",
@@ -3296,9 +3360,9 @@ dependencies = [
[[package]]
name = "sqlx-core"
-version = "0.7.1"
+version = "0.7.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dd4cef4251aabbae751a3710927945901ee1d97ee96d757f6880ebb9a79bfd53"
+checksum = "d84b0a3c3739e220d94b3239fd69fb1f74bc36e16643423bd99de3b43c21bfbd"
dependencies = [
"ahash",
"atoi",
@@ -3316,7 +3380,7 @@ dependencies = [
"futures-util",
"hashlink",
"hex",
- "indexmap 2.0.0",
+ "indexmap 2.2.5",
"log",
"memchr",
"once_cell",
@@ -3336,9 +3400,9 @@ dependencies = [
[[package]]
name = "sqlx-macros"
-version = "0.7.1"
+version = "0.7.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "208e3165167afd7f3881b16c1ef3f2af69fa75980897aac8874a0696516d12c2"
+checksum = "89961c00dc4d7dffb7aee214964b065072bff69e36ddb9e2c107541f75e4f2a5"
dependencies = [
"proc-macro2",
"quote",
@@ -3349,10 +3413,11 @@ dependencies = [
[[package]]
name = "sqlx-macros-core"
-version = "0.7.1"
+version = "0.7.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8a4a8336d278c62231d87f24e8a7a74898156e34c1c18942857be2acb29c7dfc"
+checksum = "d0bd4519486723648186a08785143599760f7cc81c52334a55d6a83ea1e20841"
dependencies = [
+ "atomic-write-file",
"dotenvy",
"either",
"heck 0.4.1",
@@ -3374,13 +3439,13 @@ dependencies = [
[[package]]
name = "sqlx-mysql"
-version = "0.7.1"
+version = "0.7.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8ca69bf415b93b60b80dc8fda3cb4ef52b2336614d8da2de5456cc942a110482"
+checksum = "e37195395df71fd068f6e2082247891bc11e3289624bbc776a0cdfa1ca7f1ea4"
dependencies = [
"atoi",
- "base64 0.21.2",
- "bitflags 2.4.0",
+ "base64 0.21.7",
+ "bitflags 2.4.2",
"byteorder",
"bytes",
"crc",
@@ -3391,11 +3456,11 @@ dependencies = [
"futures-core",
"futures-io",
"futures-util",
- "generic-array",
+ "generic-array 0.14.7",
"hex",
"hkdf",
"hmac",
- "itoa 1.0.9",
+ "itoa 1.0.10",
"log",
"md-5",
"memchr",
@@ -3416,13 +3481,13 @@ dependencies = [
[[package]]
name = "sqlx-postgres"
-version = "0.7.1"
+version = "0.7.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a0db2df1b8731c3651e204629dd55e52adbae0462fa1bdcbed56a2302c18181e"
+checksum = "d6ac0ac3b7ccd10cc96c7ab29791a7dd236bd94021f31eec7ba3d46a74aa1c24"
dependencies = [
"atoi",
- "base64 0.21.2",
- "bitflags 2.4.0",
+ "base64 0.21.7",
+ "bitflags 2.4.2",
"byteorder",
"crc",
"dotenvy",
@@ -3435,7 +3500,7 @@ dependencies = [
"hkdf",
"hmac",
"home",
- "itoa 1.0.9",
+ "itoa 1.0.10",
"log",
"md-5",
"memchr",
@@ -3455,9 +3520,9 @@ dependencies = [
[[package]]
name = "sqlx-sqlite"
-version = "0.7.1"
+version = "0.7.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "be4c21bf34c7cae5b283efb3ac1bcc7670df7561124dc2f8bdc0b59be40f79a2"
+checksum = "210976b7d948c7ba9fced8ca835b11cbb2d677c59c79de41ac0d397e14547490"
dependencies = [
"atoi",
"flume",
@@ -3473,6 +3538,7 @@ dependencies = [
"sqlx-core",
"tracing",
"url",
+ "urlencoding",
]
[[package]]
@@ -3518,10 +3584,11 @@ dependencies = [
[[package]]
name = "stringprep"
-version = "0.1.3"
+version = "0.1.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "db3737bde7edce97102e0e2b15365bf7a20bfdb5f60f4f9e8d7004258a51a8da"
+checksum = "bb41d74e231a107a1b4ee36bd1214b11285b77768d2e3824aedafa988fd36ee6"
dependencies = [
+ "finl_unicode",
"unicode-bidi",
"unicode-normalization",
]
@@ -3560,9 +3627,9 @@ dependencies = [
[[package]]
name = "syn"
-version = "2.0.29"
+version = "2.0.52"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c324c494eba9d92503e6f1ef2e6df781e78f6a7705a0202d9801b198807d518a"
+checksum = "b699d15b36d1f02c3e7c69f8ffef53de37aefae075d8488d4ba1a7788d574a07"
dependencies = [
"proc-macro2",
"quote",
@@ -3597,22 +3664,22 @@ dependencies = [
[[package]]
name = "system-deps"
-version = "6.1.1"
+version = "6.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "30c2de8a4d8f4b823d634affc9cd2a74ec98c53a756f317e529a48046cbf71f3"
+checksum = "2a2d580ff6a20c55dfb86be5f9c238f67835d0e81cbdea8bf5680e0897320331"
dependencies = [
- "cfg-expr 0.15.4",
+ "cfg-expr 0.15.7",
"heck 0.4.1",
"pkg-config",
- "toml 0.7.6",
+ "toml 0.8.10",
"version-compare 0.1.1",
]
[[package]]
name = "tao"
-version = "0.16.2"
+version = "0.16.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6a6d198e01085564cea63e976ad1566c1ba2c2e4cc79578e35d9f05521505e31"
+checksum = "d22205b267a679ca1c590b9f178488d50981fc3e48a1b91641ae31593db875ce"
dependencies = [
"bitflags 1.3.2",
"cairo-rs",
@@ -3679,19 +3746,20 @@ dependencies = [
[[package]]
name = "target-lexicon"
-version = "0.12.11"
+version = "0.12.14"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9d0e916b1148c8e263850e1ebcbd046f333e0683c724876bb0da63ea4373dc8a"
+checksum = "e1fc403891a21bcfb7c37834ba66a547a8f402146eba7265b5a6d88059c9ff2f"
[[package]]
name = "tauri"
-version = "1.4.1"
+version = "1.6.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7fbe522898e35407a8e60dc3870f7579fea2fc262a6a6072eccdd37ae1e1d91e"
+checksum = "f078117725e36d55d29fafcbb4b1e909073807ca328ae8deb8c0b3843aac0fed"
dependencies = [
"anyhow",
"cocoa",
"dirs-next",
+ "dunce",
"embed_plist",
"encoding_rs",
"flate2",
@@ -3735,12 +3803,13 @@ dependencies = [
[[package]]
name = "tauri-build"
-version = "1.4.0"
+version = "1.5.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7d2edd6a259b5591c8efdeb9d5702cb53515b82a6affebd55c7fd6d3a27b7d1b"
+checksum = "e9914a4715e0b75d9f387a285c7e26b5bbfeb1249ad9f842675a82481565c532"
dependencies = [
"anyhow",
"cargo_toml",
+ "dirs-next",
"heck 0.4.1",
"json-patch",
"semver",
@@ -3748,15 +3817,16 @@ dependencies = [
"serde_json",
"tauri-utils",
"tauri-winres",
+ "walkdir",
]
[[package]]
name = "tauri-codegen"
-version = "1.4.0"
+version = "1.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "54ad2d49fdeab4a08717f5b49a163bdc72efc3b1950b6758245fcde79b645e1a"
+checksum = "a1554c5857f65dbc377cefb6b97c8ac77b1cb2a90d30d3448114d5d6b48a77fc"
dependencies = [
- "base64 0.21.2",
+ "base64 0.21.7",
"brotli",
"ico",
"json-patch",
@@ -3778,9 +3848,9 @@ dependencies = [
[[package]]
name = "tauri-macros"
-version = "1.4.0"
+version = "1.4.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8eb12a2454e747896929338d93b0642144bb51e0dddbb36e579035731f0d76b7"
+checksum = "277abf361a3a6993ec16bcbb179de0d6518009b851090a01adfea12ac89fa875"
dependencies = [
"heck 0.4.1",
"proc-macro2",
@@ -3792,9 +3862,9 @@ dependencies = [
[[package]]
name = "tauri-runtime"
-version = "0.14.0"
+version = "0.14.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "108683199cb18f96d2d4134187bb789964143c845d2d154848dda209191fd769"
+checksum = "cf2d0652aa2891ff3e9caa2401405257ea29ab8372cce01f186a5825f1bd0e76"
dependencies = [
"gtk",
"http",
@@ -3813,9 +3883,9 @@ dependencies = [
[[package]]
name = "tauri-runtime-wry"
-version = "0.14.0"
+version = "0.14.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0b7aa256a1407a3a091b5d843eccc1a5042289baf0a43d1179d9f0fcfea37c1b"
+checksum = "067c56fc153b3caf406d7cd6de4486c80d1d66c0f414f39e94cb2f5543f6445f"
dependencies = [
"cocoa",
"gtk",
@@ -3833,9 +3903,9 @@ dependencies = [
[[package]]
name = "tauri-utils"
-version = "1.4.0"
+version = "1.5.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "03fc02bb6072bb397e1d473c6f76c953cda48b4a2d0cce605df284aa74a12e84"
+checksum = "75ad0bbb31fccd1f4c56275d0a5c3abdf1f59999f72cb4ef8b79b4ed42082a21"
dependencies = [
"brotli",
"ctor",
@@ -3845,9 +3915,10 @@ dependencies = [
"html5ever",
"infer",
"json-patch",
- "kuchiki",
+ "kuchikiki",
+ "log",
"memchr",
- "phf 0.10.1",
+ "phf 0.11.2",
"proc-macro2",
"quote",
"semver",
@@ -3857,7 +3928,7 @@ dependencies = [
"thiserror",
"url",
"walkdir",
- "windows 0.39.0",
+ "windows-version",
]
[[package]]
@@ -3867,20 +3938,19 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "5993dc129e544393574288923d1ec447c857f3f644187f4fbf7d9a875fbfc4fb"
dependencies = [
"embed-resource",
- "toml 0.7.6",
+ "toml 0.7.8",
]
[[package]]
name = "tempfile"
-version = "3.8.0"
+version = "3.10.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cb94d2f3cc536af71caac6b6fcebf65860b347e7ce0cc9ebe8f70d3e521054ef"
+checksum = "85b77fafb263dd9d05cbeac119526425676db3784113aa9295c88498cbf8bff1"
dependencies = [
"cfg-if",
"fastrand",
- "redox_syscall 0.3.5",
"rustix",
- "windows-sys 0.48.0",
+ "windows-sys 0.52.0",
]
[[package]]
@@ -3902,29 +3972,29 @@ checksum = "8eaa81235c7058867fa8c0e7314f33dcce9c215f535d1913822a2b3f5e289f3c"
[[package]]
name = "thiserror"
-version = "1.0.47"
+version = "1.0.57"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "97a802ec30afc17eee47b2855fc72e0c4cd62be9b4efe6591edde0ec5bd68d8f"
+checksum = "1e45bcbe8ed29775f228095caf2cd67af7a4ccf756ebff23a306bf3e8b47b24b"
dependencies = [
"thiserror-impl",
]
[[package]]
name = "thiserror-impl"
-version = "1.0.47"
+version = "1.0.57"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6bb623b56e39ab7dcd4b1b98bb6c8f8d907ed255b18de254088016b27a8ee19b"
+checksum = "a953cb265bef375dae3de6663da4d3804eee9682ea80d8e2542529b73c531c81"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.29",
+ "syn 2.0.52",
]
[[package]]
name = "thread_local"
-version = "1.1.7"
+version = "1.1.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3fdd6f064ccff2d6567adcb3873ca630700f00b5ad3f060c25b5dcfd9a4ce152"
+checksum = "8b9ef9bad013ada3808854ceac7b46812a6465ba368859a37e2100283d2d719c"
dependencies = [
"cfg-if",
"once_cell",
@@ -3932,12 +4002,16 @@ dependencies = [
[[package]]
name = "time"
-version = "0.3.27"
+version = "0.3.34"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0bb39ee79a6d8de55f48f2293a830e040392f1c5f16e336bdd1788cd0aadce07"
+checksum = "c8248b6521bb14bc45b4067159b9b6ad792e2d6d754d6c41fb50e29fefe38749"
dependencies = [
"deranged",
- "itoa 1.0.9",
+ "itoa 1.0.10",
+ "libc",
+ "num-conv",
+ "num_threads",
+ "powerfmt",
"serde",
"time-core",
"time-macros",
@@ -3945,16 +4019,17 @@ dependencies = [
[[package]]
name = "time-core"
-version = "0.1.1"
+version = "0.1.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7300fbefb4dadc1af235a9cef3737cea692a9d97e1b9cbcd4ebdae6f8868e6fb"
+checksum = "ef927ca75afb808a4d64dd374f00a2adf8d0fcff8e7b184af886c3c87ec4a3f3"
[[package]]
name = "time-macros"
-version = "0.2.13"
+version = "0.2.17"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "733d258752e9303d392b94b75230d07b0b9c489350c69b851fc6c065fde3e8f9"
+checksum = "7ba3a3ef41e6672a2f0f001392bb5dcd3ff0a9992d618ca761a11c3121547774"
dependencies = [
+ "num-conv",
"time-core",
]
@@ -3985,9 +4060,9 @@ checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20"
[[package]]
name = "tokio"
-version = "1.32.0"
+version = "1.36.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "17ed6077ed6cd6c74735e21f37eb16dc3935f96878b1fe961074089cc80893f9"
+checksum = "61285f6515fa018fb2d1e46eb21223fff441ee8db5d0f1435e8ab4f5cdb80931"
dependencies = [
"backtrace",
"bytes",
@@ -4021,45 +4096,69 @@ dependencies = [
[[package]]
name = "toml"
-version = "0.7.6"
+version = "0.7.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c17e963a819c331dcacd7ab957d80bc2b9a9c1e71c804826d2f283dd65306542"
+checksum = "dd79e69d3b627db300ff956027cc6c3798cef26d22526befdfcd12feeb6d2257"
dependencies = [
"serde",
"serde_spanned",
"toml_datetime",
- "toml_edit",
+ "toml_edit 0.19.15",
+]
+
+[[package]]
+name = "toml"
+version = "0.8.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9a9aad4a3066010876e8dcf5a8a06e70a558751117a145c6ce2b82c2e2054290"
+dependencies = [
+ "serde",
+ "serde_spanned",
+ "toml_datetime",
+ "toml_edit 0.22.6",
]
[[package]]
name = "toml_datetime"
-version = "0.6.3"
+version = "0.6.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7cda73e2f1397b1262d6dfdcef8aafae14d1de7748d66822d3bfeeb6d03e5e4b"
+checksum = "3550f4e9685620ac18a50ed434eb3aec30db8ba93b0287467bca5826ea25baf1"
dependencies = [
"serde",
]
[[package]]
name = "toml_edit"
-version = "0.19.14"
+version = "0.19.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f8123f27e969974a3dfba720fdb560be359f57b44302d280ba72e76a74480e8a"
+checksum = "1b5bb770da30e5cbfde35a2d7b9b8a2c4b8ef89548a7a6aeab5c9a576e3e7421"
dependencies = [
- "indexmap 2.0.0",
+ "indexmap 2.2.5",
"serde",
"serde_spanned",
"toml_datetime",
- "winnow",
+ "winnow 0.5.40",
+]
+
+[[package]]
+name = "toml_edit"
+version = "0.22.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2c1b5fd4128cc8d3e0cb74d4ed9a9cc7c7284becd4df68f5f940e1ad123606f6"
+dependencies = [
+ "indexmap 2.2.5",
+ "serde",
+ "serde_spanned",
+ "toml_datetime",
+ "winnow 0.6.5",
]
[[package]]
name = "tracing"
-version = "0.1.37"
+version = "0.1.40"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8ce8c33a8d48bd45d624a6e523445fd21ec13d3653cd51f681abf67418f54eb8"
+checksum = "c3523ab5a71916ccf420eebdf5521fcef02141234bbc0b8a49f2fdc4544364ef"
dependencies = [
- "cfg-if",
"log",
"pin-project-lite",
"tracing-attributes",
@@ -4068,20 +4167,20 @@ dependencies = [
[[package]]
name = "tracing-attributes"
-version = "0.1.26"
+version = "0.1.27"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5f4f31f56159e98206da9efd823404b79b6ef3143b4a7ab76e67b1751b25a4ab"
+checksum = "34704c8d6ebcbc939824180af020566b01a7c01f80641264eba0999f6c2b6be7"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.29",
+ "syn 2.0.52",
]
[[package]]
name = "tracing-core"
-version = "0.1.31"
+version = "0.1.32"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0955b8137a1df6f1a2e9a37d8a6656291ff0297c1a97c24e0d8425fe2312f79a"
+checksum = "c06d3da6113f116aaee68e4d601191614c9053067f9ab7f6edbcb161237daa54"
dependencies = [
"once_cell",
"valuable",
@@ -4089,20 +4188,20 @@ dependencies = [
[[package]]
name = "tracing-log"
-version = "0.1.3"
+version = "0.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "78ddad33d2d10b1ed7eb9d1f518a5674713876e97e5bb9b7345a7984fbb4f922"
+checksum = "ee855f1f400bd0e5c02d150ae5de3840039a3f54b025156404e34c23c03f47c3"
dependencies = [
- "lazy_static",
"log",
+ "once_cell",
"tracing-core",
]
[[package]]
name = "tracing-subscriber"
-version = "0.3.17"
+version = "0.3.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "30a651bc37f915e81f087d86e62a18eec5f79550c7faff886f7090b4ea757c77"
+checksum = "ad0f048c97dbd9faa9b7df56362b8ebcaa52adb06b498c050d2f4e32f90a7a8b"
dependencies = [
"matchers",
"nu-ansi-term",
@@ -4118,9 +4217,9 @@ dependencies = [
[[package]]
name = "treediff"
-version = "4.0.2"
+version = "4.0.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "52984d277bdf2a751072b5df30ec0377febdb02f7696d64c2d7d54630bac4303"
+checksum = "4d127780145176e2b5d16611cc25a900150e86e9fd79d3bde6ff3a37359c9cb5"
dependencies = [
"serde_json",
]
@@ -4149,41 +4248,42 @@ dependencies = [
"tracing",
"tracing-subscriber",
"uuid",
+ "vergen",
"zxcvbn",
]
[[package]]
name = "typenum"
-version = "1.16.0"
+version = "1.17.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "497961ef93d974e23eb6f433eb5fe1b7930b659f06d12dec6fc44a8f554c0bba"
+checksum = "42ff0bf0c66b8238c6f3b578df37d0b7848e55df8577b3f74f92a69acceeb825"
[[package]]
name = "unicode-bidi"
-version = "0.3.13"
+version = "0.3.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "92888ba5573ff080736b3648696b70cafad7d250551175acbaa4e0385b3e1460"
+checksum = "08f95100a766bf4f8f28f90d77e0a5461bbdb219042e7679bebe79004fed8d75"
[[package]]
name = "unicode-ident"
-version = "1.0.11"
+version = "1.0.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "301abaae475aa91687eb82514b328ab47a211a533026cb25fc3e519b86adfc3c"
+checksum = "3354b9ac3fae1ff6755cb6db53683adb661634f67557942dea4facebec0fee4b"
[[package]]
name = "unicode-normalization"
-version = "0.1.22"
+version = "0.1.23"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5c5713f0fc4b5db668a2ac63cdb7bb4469d8c9fed047b1d0292cc7b0ce2ba921"
+checksum = "a56d1686db2308d901306f92a263857ef59ea39678a5458e7cb17f01415101f5"
dependencies = [
"tinyvec",
]
[[package]]
name = "unicode-segmentation"
-version = "1.10.1"
+version = "1.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1dd624098567895118886609431a7c3b8f516e41d30e0643f03d94592a147e36"
+checksum = "d4c87d22b6e3f4a18d4d40ef354e97c90fcb14dd91d7dc0aa9d8a1172ebf7202"
[[package]]
name = "unicode_categories"
@@ -4203,9 +4303,9 @@ dependencies = [
[[package]]
name = "url"
-version = "2.4.0"
+version = "2.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "50bff7831e19200a85b17131d085c25d7811bc4e186efdaf54bbd132994a88cb"
+checksum = "31e6302e3bb753d46e83516cae55ae196fc0c309407cf11ab35cc51a4c2a4633"
dependencies = [
"form_urlencoded",
"idna",
@@ -4213,6 +4313,12 @@ dependencies = [
"serde",
]
+[[package]]
+name = "urlencoding"
+version = "2.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "daf8dba3b7eb870caf1ddeed7bc9d2a049f3cfdfae7cb521b087cc33ae4c49da"
+
[[package]]
name = "utf-8"
version = "0.7.6"
@@ -4227,11 +4333,11 @@ checksum = "711b9620af191e0cdc7468a8d14e709c3dcdb115b36f838e601583af800a370a"
[[package]]
name = "uuid"
-version = "1.4.1"
+version = "1.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "79daa5ed5740825c40b389c5e50312b9c86df53fccd33f281df655642b43869d"
+checksum = "f00cc9702ca12d3c81455259621e676d0f7251cec66a21e98fe2e9a37db93b2a"
dependencies = [
- "getrandom 0.2.10",
+ "getrandom 0.2.12",
]
[[package]]
@@ -4246,6 +4352,18 @@ version = "0.2.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "accd4ea62f7bb7a82fe23066fb0957d48ef677f6eeb8215f372f52e48bb32426"
+[[package]]
+name = "vergen"
+version = "8.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e27d6bdd219887a9eadd19e1c34f32e47fa332301184935c6d9bca26f3cca525"
+dependencies = [
+ "anyhow",
+ "cfg-if",
+ "rustversion",
+ "time",
+]
+
[[package]]
name = "version-compare"
version = "0.0.11"
@@ -4307,9 +4425,9 @@ dependencies = [
[[package]]
name = "walkdir"
-version = "2.3.3"
+version = "2.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "36df944cda56c7d8d8b7496af378e6b16de9284591917d307c9b4d313c44e698"
+checksum = "29790946404f91d9c5d06f9874efddea1dc06c5efe94541a7d6863108e3a5e4b"
dependencies = [
"same-file",
"winapi-util",
@@ -4328,10 +4446,16 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
[[package]]
-name = "wasm-bindgen"
-version = "0.2.87"
+name = "wasite"
+version = "0.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7706a72ab36d8cb1f80ffbf0e071533974a60d0a308d01a5d0375bf60499a342"
+checksum = "b8dad83b4f25e74f184f64c43b150b91efe7647395b42289f38e50566d82855b"
+
+[[package]]
+name = "wasm-bindgen"
+version = "0.2.92"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4be2531df63900aeb2bca0daaaddec08491ee64ceecbee5076636a3b026795a8"
dependencies = [
"cfg-if",
"wasm-bindgen-macro",
@@ -4339,24 +4463,24 @@ dependencies = [
[[package]]
name = "wasm-bindgen-backend"
-version = "0.2.87"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5ef2b6d3c510e9625e5fe6f509ab07d66a760f0885d858736483c32ed7809abd"
+checksum = "614d787b966d3989fa7bb98a654e369c762374fd3213d212cfc0251257e747da"
dependencies = [
"bumpalo",
"log",
"once_cell",
"proc-macro2",
"quote",
- "syn 2.0.29",
+ "syn 2.0.52",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-futures"
-version = "0.4.37"
+version = "0.4.42"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c02dbc21516f9f1f04f187958890d7e6026df8d16540b7ad9492bc34a67cea03"
+checksum = "76bc14366121efc8dbb487ab05bcc9d346b3b5ec0eaa76e46594cabbe51762c0"
dependencies = [
"cfg-if",
"js-sys",
@@ -4366,9 +4490,9 @@ dependencies = [
[[package]]
name = "wasm-bindgen-macro"
-version = "0.2.87"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dee495e55982a3bd48105a7b947fd2a9b4a8ae3010041b9e0faab3f9cd028f1d"
+checksum = "a1f8823de937b71b9460c0c34e25f3da88250760bec0ebac694b49997550d726"
dependencies = [
"quote",
"wasm-bindgen-macro-support",
@@ -4376,28 +4500,28 @@ dependencies = [
[[package]]
name = "wasm-bindgen-macro-support"
-version = "0.2.87"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "54681b18a46765f095758388f2d0cf16eb8d4169b639ab575a8f5693af210c7b"
+checksum = "e94f17b526d0a461a191c78ea52bbce64071ed5c04c9ffe424dcb38f74171bb7"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.29",
+ "syn 2.0.52",
"wasm-bindgen-backend",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-shared"
-version = "0.2.87"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ca6ad05a4870b2bf5fe995117d3728437bd27d7cd5f06f13c17443ef369775a1"
+checksum = "af190c94f2773fdb3729c55b007a722abb5384da03bc0986df4c289bf5567e96"
[[package]]
name = "web-sys"
-version = "0.3.64"
+version = "0.3.69"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9b85cbef8c220a6abc02aefd892dfc0fc23afb1c6a426316ec33253a3877249b"
+checksum = "77afa9a11836342370f4817622a2f0f418b134426d91a82dfb48f532d2ec13ef"
dependencies = [
"js-sys",
"wasm-bindgen",
@@ -4447,7 +4571,7 @@ dependencies = [
"pango-sys",
"pkg-config",
"soup2-sys",
- "system-deps 6.1.1",
+ "system-deps 6.2.0",
]
[[package]]
@@ -4490,9 +4614,13 @@ dependencies = [
[[package]]
name = "whoami"
-version = "1.4.1"
+version = "1.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "22fc3756b8a9133049b26c7f61ab35416c130e8c09b660f5b3958b446f52cc50"
+checksum = "0fec781d48b41f8163426ed18e8fc2864c12937df9ce54c88ede7bd47270893e"
+dependencies = [
+ "redox_syscall",
+ "wasite",
+]
[[package]]
name = "winapi"
@@ -4512,9 +4640,9 @@ checksum = "ac3b87c63620426dd9b991e5ce0329eff545bccbbb34f3be09ff6fb6ab51b7b6"
[[package]]
name = "winapi-util"
-version = "0.1.5"
+version = "0.1.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "70ec6ce85bb158151cae5e5c87f95a8e97d2c0c4b001223f33a334e3ce5de178"
+checksum = "f29e6f9198ba0d26b4c9f07dbe6f9ed633e1f3d5b8b414090084349e46a52596"
dependencies = [
"winapi",
]
@@ -4571,6 +4699,15 @@ dependencies = [
"windows-tokens",
]
+[[package]]
+name = "windows-core"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "33ab640c8d7e35bf8ba19b884ba838ceb4fba93a4e8c65a9059d08afcfc683d9"
+dependencies = [
+ "windows-targets 0.52.4",
+]
+
[[package]]
name = "windows-implement"
version = "0.39.0"
@@ -4620,6 +4757,15 @@ dependencies = [
"windows-targets 0.48.5",
]
+[[package]]
+name = "windows-sys"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "282be5f36a8ce781fad8c8ae18fa3f9beff57ec1b52cb3de0789201425d9a33d"
+dependencies = [
+ "windows-targets 0.52.4",
+]
+
[[package]]
name = "windows-targets"
version = "0.42.2"
@@ -4650,12 +4796,36 @@ dependencies = [
"windows_x86_64_msvc 0.48.5",
]
+[[package]]
+name = "windows-targets"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7dd37b7e5ab9018759f893a1952c9420d060016fc19a472b4bb20d1bdd694d1b"
+dependencies = [
+ "windows_aarch64_gnullvm 0.52.4",
+ "windows_aarch64_msvc 0.52.4",
+ "windows_i686_gnu 0.52.4",
+ "windows_i686_msvc 0.52.4",
+ "windows_x86_64_gnu 0.52.4",
+ "windows_x86_64_gnullvm 0.52.4",
+ "windows_x86_64_msvc 0.52.4",
+]
+
[[package]]
name = "windows-tokens"
version = "0.39.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "f838de2fe15fe6bac988e74b798f26499a8b21a9d97edec321e79b28d1d7f597"
+[[package]]
+name = "windows-version"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "75aa004c988e080ad34aff5739c39d0312f4684699d6d71fc8a198d057b8b9b4"
+dependencies = [
+ "windows-targets 0.52.4",
+]
+
[[package]]
name = "windows_aarch64_gnullvm"
version = "0.42.2"
@@ -4668,6 +4838,12 @@ version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "2b38e32f0abccf9987a4e3079dfb67dcd799fb61361e53e2882c3cbaf0d905d8"
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bcf46cf4c365c6f2d1cc93ce535f2c8b244591df96ceee75d8e83deb70a9cac9"
+
[[package]]
name = "windows_aarch64_msvc"
version = "0.37.0"
@@ -4692,6 +4868,12 @@ version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "dc35310971f3b2dbbf3f0690a219f40e2d9afcf64f9ab7cc1be722937c26b4bc"
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "da9f259dd3bcf6990b55bffd094c4f7235817ba4ceebde8e6d11cd0c5633b675"
+
[[package]]
name = "windows_i686_gnu"
version = "0.37.0"
@@ -4716,6 +4898,12 @@ version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "a75915e7def60c94dcef72200b9a8e58e5091744960da64ec734a6c6e9b3743e"
+[[package]]
+name = "windows_i686_gnu"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b474d8268f99e0995f25b9f095bc7434632601028cf86590aea5c8a5cb7801d3"
+
[[package]]
name = "windows_i686_msvc"
version = "0.37.0"
@@ -4740,6 +4928,12 @@ version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "8f55c233f70c4b27f66c523580f78f1004e8b5a8b659e05a4eb49d4166cca406"
+[[package]]
+name = "windows_i686_msvc"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1515e9a29e5bed743cb4415a9ecf5dfca648ce85ee42e15873c3cd8610ff8e02"
+
[[package]]
name = "windows_x86_64_gnu"
version = "0.37.0"
@@ -4764,6 +4958,12 @@ version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "53d40abd2583d23e4718fddf1ebec84dbff8381c07cae67ff7768bbf19c6718e"
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5eee091590e89cc02ad514ffe3ead9eb6b660aedca2183455434b93546371a03"
+
[[package]]
name = "windows_x86_64_gnullvm"
version = "0.42.2"
@@ -4776,6 +4976,12 @@ version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "0b7b52767868a23d5bab768e390dc5f5c55825b6d30b86c844ff2dc7414044cc"
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "77ca79f2451b49fa9e2af39f0747fe999fcda4f5e241b2898624dca97a1f2177"
+
[[package]]
name = "windows_x86_64_msvc"
version = "0.37.0"
@@ -4801,29 +5007,44 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "ed94fce61571a4006852b7389a063ab983c02eb1bb37b47f8272ce92d06d9538"
[[package]]
-name = "winnow"
-version = "0.5.14"
+name = "windows_x86_64_msvc"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d09770118a7eb1ccaf4a594a221334119a44a814fcb0d31c5b85e83e97227a97"
+checksum = "32b752e52a2da0ddfbdbcc6fceadfeede4c939ed16d13e648833a61dfb611ed8"
+
+[[package]]
+name = "winnow"
+version = "0.5.40"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f593a95398737aeed53e489c785df13f3618e41dbcd6718c6addbf1395aa6876"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "winnow"
+version = "0.6.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dffa400e67ed5a4dd237983829e66475f0a4a26938c4b04c21baede6262215b8"
dependencies = [
"memchr",
]
[[package]]
name = "winreg"
-version = "0.11.0"
+version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "76a1a57ff50e9b408431e8f97d5456f2807f8eb2a2cd79b06068fc87f8ecf189"
+checksum = "a277a57398d4bfa075df44f501a17cfdf8542d224f0d36095a2adc7aee4ef0a5"
dependencies = [
"cfg-if",
- "winapi",
+ "windows-sys 0.48.0",
]
[[package]]
name = "wry"
-version = "0.24.3"
+version = "0.24.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "33748f35413c8a98d45f7a08832d848c0c5915501803d1faade5a4ebcd258cea"
+checksum = "6ad85d0e067359e409fcb88903c3eac817c392e5d638258abfb3da5ad8ba6fc4"
dependencies = [
"base64 0.13.1",
"block",
@@ -4837,7 +5058,7 @@ dependencies = [
"gtk",
"html5ever",
"http",
- "kuchiki",
+ "kuchikiki",
"libc",
"log",
"objc",
@@ -4880,18 +5101,40 @@ dependencies = [
[[package]]
name = "xattr"
-version = "1.0.1"
+version = "1.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f4686009f71ff3e5c4dbcf1a282d0a44db3f021ba69350cd42086b3e5f1c6985"
+checksum = "8da84f1a25939b27f6820d92aed108f83ff920fdf11a7b19366c27c4cda81d4f"
dependencies = [
"libc",
+ "linux-raw-sys",
+ "rustix",
+]
+
+[[package]]
+name = "zerocopy"
+version = "0.7.32"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "74d4d3961e53fa4c9a25a8637fc2bfaf2595b3d3ae34875568a5cf64787716be"
+dependencies = [
+ "zerocopy-derive",
+]
+
+[[package]]
+name = "zerocopy-derive"
+version = "0.7.32"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9ce1b18ccd8e73a9321186f97e46f9f04b778851177567b1975109d26a08d2a6"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
]
[[package]]
name = "zeroize"
-version = "1.6.0"
+version = "1.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2a0956f1ba7c7909bfb66c2e9e4124ab6f6482560f6628b5aaeba39207c9aad9"
+checksum = "525b4ec142c6b68a2d10f01f7bbf6755599ca3f81ea53b8431b7dd348f5fdb2d"
[[package]]
name = "zxcvbn"
@@ -4901,7 +5144,7 @@ checksum = "103fa851fff70ea29af380e87c25c48ff7faac5c530c70bd0e65366d4e0c94e4"
dependencies = [
"derive_builder",
"fancy-regex",
- "itertools",
+ "itertools 0.10.5",
"js-sys",
"lazy_static",
"quick-error",
diff --git a/pkgs/by-name/tr/treedome/package.json b/pkgs/by-name/tr/treedome/package.json
index 3d8cab76cc67..bc56e53d0266 100644
--- a/pkgs/by-name/tr/treedome/package.json
+++ b/pkgs/by-name/tr/treedome/package.json
@@ -11,23 +11,20 @@
"clean": "rm -rf node_modules",
"prettier-format": "prettier --config .prettierrc 'src/**/*.ts*' --write"
},
- "resolutions": {
- "@types/react": "^17.0.1",
- "@types/react-dom": "^17.0.1"
- },
"dependencies": {
+ "@column-resizer/react": "^1.3.0",
"@emotion/react": "^11.11.1",
"@emotion/styled": "^11.11.0",
"@fontsource/noto-sans": "^5.0.8",
"@fontsource/noto-sans-mono": "^5.0.8",
"@leeoniya/ufuzzy": "^1.0.8",
- "@mantine/core": "^6.0.16",
- "@mantine/form": "^6.0.16",
- "@mantine/hooks": "^6.0.16",
- "@mantine/modals": "^6.0.16",
- "@mantine/notifications": "^6.0.16",
- "@mantine/spotlight": "^6.0.17",
- "@mantine/tiptap": "^6.0.16",
+ "@mantine/core": "^7.5.0",
+ "@mantine/form": "^7.5.0",
+ "@mantine/hooks": "^7.5.0",
+ "@mantine/modals": "^7.5.0",
+ "@mantine/notifications": "^7.5.0",
+ "@mantine/spotlight": "^7.5.0",
+ "@mantine/tiptap": "^7.5.0",
"@minoru/react-dnd-treeview": "^3.4.4",
"@mui/icons-material": "^5.14.0",
"@mui/material": "^5.14.0",
@@ -41,11 +38,13 @@
"@tiptap/extension-subscript": "^2.0.4",
"@tiptap/extension-superscript": "^2.0.4",
"@tiptap/extension-text-align": "^2.0.4",
+ "@tiptap/extension-typography": "^2.2.3",
"@tiptap/extension-underline": "^2.0.4",
"@tiptap/pm": "^2.0.4",
"@tiptap/react": "^2.0.4",
"@tiptap/starter-kit": "^2.0.4",
"@types/lodash": "^4.14.195",
+ "fuse.js": "^7.0.0",
"jotai": "^2.2.2",
"lodash": "^4.17.21",
"lowlight": "^2.9.0",
@@ -53,13 +52,14 @@
"react": "^18.2.0",
"react-dnd": "^16.0.1",
"react-dom": "^18.2.0",
+ "react-idle-timer": "^5.7.2",
"wouter": "^2.11.0"
},
"devDependencies": {
"@tauri-apps/cli": "^1.4.0",
"@types/node": "^20.4.4",
"@types/react": "^18.2.15",
- "@types/react-dom": "^18.2.7",
+ "@types/react-dom": "^18.2.19",
"@vitejs/plugin-react": "^4.0.3",
"prettier": "^3.0.0",
"typescript": "^5.1.6",
diff --git a/pkgs/by-name/tr/treedome/package.nix b/pkgs/by-name/tr/treedome/package.nix
index a54c962aaa53..a7752abf0fd6 100644
--- a/pkgs/by-name/tr/treedome/package.nix
+++ b/pkgs/by-name/tr/treedome/package.nix
@@ -2,7 +2,7 @@
, cargo-tauri
, cmake
, dbus
-, fetchFromGitea
+, fetchgit
, fetchYarnDeps
, freetype
, gsettings-desktop-schemas
@@ -19,14 +19,13 @@
let
pname = "treedome";
- version = "0.3.3";
+ version = "0.4.3";
- src = fetchFromGitea {
- domain = "codeberg.org";
- owner = "solver-orgz";
- repo = "treedome";
+ src = fetchgit {
+ url = "https://codeberg.org/solver-orgz/treedome";
rev = version;
- sha256 = "sha256-492EAKCXyc4s9FvkpqppZ/GllYuYe0YsXgbRl/oQBgE=";
+ hash = "sha256-FBzRsBoV3wnt2nu5WMnaTnBNC51jG120E0Orm55KhBg=";
+ fetchLFS = true;
};
frontend-build = mkYarnPackage {
@@ -35,13 +34,15 @@ let
offlineCache = fetchYarnDeps {
yarnLock = "${src}/yarn.lock";
- sha256 = "sha256-rV5jKKnbMutaG5o8gRKgs/uoKwbIkxAPIcx6VWG7mm4=";
+ hash = "sha256-CrD/n8z5fJKkBKEcvpRHJaqXBt1gbON7VsuLb2JGu1A=";
};
packageJSON = ./package.json;
configurePhase = ''
+ runHook preConfigure
ln -s $node_modules node_modules
+ runHook postConfigure
'';
buildPhase = ''
@@ -76,6 +77,10 @@ rustPlatform.buildRustPackage {
};
};
+ env = {
+ VERGEN_GIT_DESCRIBE = version;
+ };
+
preConfigure = ''
mkdir -p dist
cp -R ${frontend-build}/dist/** dist
@@ -134,11 +139,12 @@ rustPlatform.buildRustPackage {
'';
meta = with lib; {
- description = "A local-first, encrypted, note taking application with tree-like structures, all written and saved in markdown";
+ description = "A local-first, encrypted, note taking application organized in tree-like structures";
homepage = " https://codeberg.org/solver-orgz/treedome";
- license = licenses.gpl3Plus;
+ license = licenses.agpl3Only;
platforms = [ "x86_64-linux" ];
mainProgram = "treedome";
maintainers = with maintainers; [ tengkuizdihar ];
+ changelog = "https://codeberg.org/solver-orgz/treedome/releases/tag/${version}";
};
}
diff --git a/pkgs/by-name/tr/trexio/package.nix b/pkgs/by-name/tr/trexio/package.nix
new file mode 100644
index 000000000000..2e61c9f41ea5
--- /dev/null
+++ b/pkgs/by-name/tr/trexio/package.nix
@@ -0,0 +1,50 @@
+{ stdenv
+, lib
+, fetchFromGitHub
+, cmake
+, gfortran
+, hdf5
+, python3
+, emacs
+, swig
+}:
+
+stdenv.mkDerivation rec {
+ pname = "trexio";
+ version = "2.4.2";
+
+ src = fetchFromGitHub {
+ owner = "TREX-CoE";
+ repo = pname;
+ rev = "v${version}";
+ hash = "sha256-SE5cylLThpwDWyAcQZgawcdYGc/8iiIwL6EyQ+hOIYY=";
+ };
+
+ postPatch = ''
+ patchShebangs tools/*
+ '';
+
+ nativeBuildInputs = [
+ cmake
+ gfortran
+ emacs
+ swig
+ python3
+ ];
+
+ buildInputs = [
+ hdf5
+ ];
+
+ outputs = [ "out" "dev" ];
+
+ doCheck = true;
+
+ meta = with lib; {
+ description = "File format and library for the storage of quantum chemical wave functions";
+ homepage = "https://trex-coe.github.io/trexio/";
+ downloadPage = "https://github.com/TREX-CoE/trexio";
+ license = licenses.bsd3;
+ maintainers = [ maintainers.sheepforce ];
+ };
+}
diff --git a/pkgs/by-name/tr/tridactyl-native/package.nix b/pkgs/by-name/tr/tridactyl-native/package.nix
index af5cbe191126..e8f9394c896d 100644
--- a/pkgs/by-name/tr/tridactyl-native/package.nix
+++ b/pkgs/by-name/tr/tridactyl-native/package.nix
@@ -22,6 +22,7 @@ buildNimPackage {
meta = with lib; {
description =
"Native messenger for Tridactyl, a vim-like Firefox webextension";
+ mainProgram = "native_main";
homepage = "https://github.com/tridactyl/native_messenger";
license = licenses.bsd2;
platforms = platforms.all;
diff --git a/pkgs/by-name/tr/trunk-ng/package.nix b/pkgs/by-name/tr/trunk-ng/package.nix
index 6ef55446de55..2759b8f4f921 100644
--- a/pkgs/by-name/tr/trunk-ng/package.nix
+++ b/pkgs/by-name/tr/trunk-ng/package.nix
@@ -25,6 +25,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
homepage = "https://github.com/ctron/trunk";
description = "Build, bundle & ship your Rust WASM application to the web";
+ mainProgram = "trunk-ng";
maintainers = with maintainers; [ ctron ];
license = with licenses; [ asl20 ];
};
diff --git a/pkgs/by-name/tu/tuleap-cli/package.nix b/pkgs/by-name/tu/tuleap-cli/package.nix
index baa98eb53264..73abdd14db75 100644
--- a/pkgs/by-name/tu/tuleap-cli/package.nix
+++ b/pkgs/by-name/tu/tuleap-cli/package.nix
@@ -6,16 +6,16 @@
buildGoModule rec {
pname = "tuleap-cli";
- version = "1.0.0";
+ version = "1.1.0";
src = fetchFromGitLab {
owner = "csgroup-oss";
repo = "tuleap-cli";
rev = "refs/tags/v${version}";
- hash = "sha256-ZNAMhKszly2C+ljFGbP8xqUplQaxqaoArRJykuPNrkY=";
+ hash = "sha256-hL0mGWXzvHYFc8u4RXCDys3Fe/cgsGljfhSkPAjzt4o=";
};
- vendorHash = "sha256-T5GA9IqH3PPQV2b0uJq2O4Nzu82Q5y/LgKAVJV/XqC4=";
+ vendorHash = "sha256-N9Hmxw/70Cgc790AVRn7lmuhMtDhI94CTUlqHU4VbaY=";
nativeBuildInputs = [ installShellFiles ];
@@ -24,6 +24,8 @@ buildGoModule rec {
"-w"
];
+ subPackages = [ "." ];
+
postInstall = ''
installShellCompletion --cmd tuleap-cli \
--bash <($out/bin/tuleap-cli -s tuleap.example.com completion bash) \
diff --git a/pkgs/by-name/tu/tup/package.nix b/pkgs/by-name/tu/tup/package.nix
index 902508129cb2..269ee96f1a73 100644
--- a/pkgs/by-name/tu/tup/package.nix
+++ b/pkgs/by-name/tu/tup/package.nix
@@ -58,6 +58,7 @@ in stdenv.mkDerivation rec {
meta = with lib; {
description = "A fast, file-based build system";
+ mainProgram = "tup";
longDescription = ''
Tup is a file-based build system for Linux, OSX, and Windows. It inputs a list
of file changes and a directed acyclic graph (DAG), then processes the DAG to
diff --git a/pkgs/by-name/tx/txr/package.nix b/pkgs/by-name/tx/txr/package.nix
index 1293ab53b4cf..c4ef407a975c 100644
--- a/pkgs/by-name/tx/txr/package.nix
+++ b/pkgs/by-name/tx/txr/package.nix
@@ -7,11 +7,11 @@
stdenv.mkDerivation (finalAttrs: {
pname = "txr";
- version = "293";
+ version = "294";
src = fetchurl {
url = "https://www.kylheku.com/cgit/txr/snapshot/txr-${finalAttrs.version}.tar.bz2";
- hash = "sha256-b8Ia5zMvmPl681rTyhgI0AQ8TIU4TE5768/Oln42+lw=";
+ hash = "sha256-QdkN4FwobGRPQNQGcHC62Wi5hwQqsgyLo9etYYEfqDc=";
};
buildInputs = [ libffi ];
diff --git a/pkgs/by-name/ty/typos-lsp/package.nix b/pkgs/by-name/ty/typos-lsp/package.nix
new file mode 100644
index 000000000000..b8ab8a97aac8
--- /dev/null
+++ b/pkgs/by-name/ty/typos-lsp/package.nix
@@ -0,0 +1,32 @@
+{ lib
+, rustPlatform
+, fetchFromGitHub
+}:
+rustPlatform.buildRustPackage rec {
+ pname = "typos-lsp";
+ version = "0.1.15";
+
+ src = fetchFromGitHub {
+ owner = "tekumara";
+ repo = "typos-lsp";
+ rev = "refs/tags/v${version}";
+ hash = "sha256-8mCK/NKik1zf6hqJN4pflDbtFALckHR/8AQborbOoHs=";
+ };
+
+ cargoHash = "sha256-aL7arYAiTpz9jy7Kh8u7OJmPMjayX4JiKoa7u8K0UiE=";
+
+ # fix for compilation on aarch64
+ # see https://github.com/NixOS/nixpkgs/issues/145726
+ prePatch = ''
+ rm .cargo/config.toml
+ '';
+
+ meta = with lib; {
+ description = "Source code spell checker";
+ homepage = "https://github.com/tekumara/typos-lsp";
+ changelog = "https://github.com/tekumara/typos-lsp/blob/${src.rev}/CHANGELOG.md";
+ license = licenses.mit;
+ maintainers = with maintainers; [ tarantoj ];
+ mainProgram = "typos-lsp";
+ };
+}
diff --git a/pkgs/by-name/ty/typst-preview/Cargo.lock b/pkgs/by-name/ty/typst-preview/Cargo.lock
index 257164ef986e..be0ad75dc45a 100644
--- a/pkgs/by-name/ty/typst-preview/Cargo.lock
+++ b/pkgs/by-name/ty/typst-preview/Cargo.lock
@@ -19,9 +19,9 @@ checksum = "f26201604c87b1e01bd3d98f8d5d9a8fcbb815e8cedb41ffccbeb4bf593a35fe"
[[package]]
name = "ahash"
-version = "0.7.7"
+version = "0.7.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5a824f2aa7e75a0c98c5a504fceb80649e9c35265d44525b5f94de4771a395cd"
+checksum = "891477e0c6a8957309ee5c45a6368af3ae14bb510732d2684ffa19af310920f9"
dependencies = [
"getrandom",
"once_cell",
@@ -54,9 +54,9 @@ dependencies = [
[[package]]
name = "anstream"
-version = "0.6.7"
+version = "0.6.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4cd2405b3ac1faab2990b74d728624cd9fd115651fcecc7c2d8daf01376275ba"
+checksum = "d96bd03f33fe50a863e394ee9718a706f988b9079b20c3784fb726e7678b62fb"
dependencies = [
"anstyle",
"anstyle-parse",
@@ -68,9 +68,9 @@ dependencies = [
[[package]]
name = "anstyle"
-version = "1.0.4"
+version = "1.0.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7079075b41f533b8c61d2a4d073c4676e1f8b249ff94a393b0595db304e0dd87"
+checksum = "8901269c6307e8d93993578286ac0edf7f195079ffff5ebdeea6a59ffb7e36bc"
[[package]]
name = "anstyle-parse"
@@ -102,9 +102,9 @@ dependencies = [
[[package]]
name = "anyhow"
-version = "1.0.79"
+version = "1.0.80"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "080e9890a082662b09c1ad45f567faeeb47f22b5fb23895fbe1e651e718e25ca"
+checksum = "5ad32ce52e4161730f7098c077cd2ed6229b5804ccf99e5366be1ab72a98b4e1"
[[package]]
name = "append-only-vec"
@@ -139,6 +139,24 @@ version = "1.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "d468802bab17cbc0cc575e9b053f41e72aa36bfa6b7f55e3529ffa43161b97fa"
+[[package]]
+name = "await-tree"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "626aa057fb6d254883c2750ef6bcbe6f6a5ce45daff839b538708411794f794d"
+dependencies = [
+ "coarsetime",
+ "derive_builder",
+ "flexstr",
+ "indextree",
+ "itertools",
+ "parking_lot",
+ "pin-project",
+ "tokio",
+ "tracing",
+ "weak-table",
+]
+
[[package]]
name = "az"
version = "1.2.1"
@@ -166,25 +184,31 @@ version = "0.21.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "9d297deb1925b89f2ccc13d7635fa0714f12c87adce1c75356b39ca9b7178567"
+[[package]]
+name = "base64"
+version = "0.22.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9475866fec1451be56a3c2400fd081ff546538961565ccb5b7142cbd22bc7a51"
+
[[package]]
name = "base64-serde"
version = "0.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "ba368df5de76a5bea49aaf0cf1b39ccfbbef176924d1ba5db3e4135216cbe3c7"
dependencies = [
- "base64",
+ "base64 0.21.7",
"serde",
]
[[package]]
name = "biblatex"
-version = "0.9.1"
+version = "0.9.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1a3638fc10f65e552d53318e042cefa542418633451163228fcbfb1a58a0ca85"
+checksum = "27fe7285040d0227cd8b5395e1c4783f44f0b673eca5a657f4432ae401f2b7b8"
dependencies = [
"numerals",
"paste",
- "strum",
+ "strum 0.26.1",
"unicode-normalization",
"unscanny",
]
@@ -221,9 +245,9 @@ checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a"
[[package]]
name = "bitflags"
-version = "2.4.1"
+version = "2.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "327762f6e5a765692301e5bb513e0d9fef63be86bbc14528052b1cd3e6f03e07"
+checksum = "ed570934406eb16438a4e976b1b4500774099c13b8cb96eec99f620f05090ddf"
dependencies = [
"serde",
]
@@ -251,15 +275,15 @@ dependencies = [
[[package]]
name = "bumpalo"
-version = "3.14.0"
+version = "3.15.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7f30e7476521f6f8af1a1c4c0b8cc94f0bee37d91763d0ca2665f299b6cd8aec"
+checksum = "7ff69b9dd49fd426c69a0db9fc04dd934cdb6645ff000864d98f7e2af8830eaa"
[[package]]
name = "bytecheck"
-version = "0.6.11"
+version = "0.6.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8b6372023ac861f6e6dc89c8344a8f398fb42aaba2b5dbc649ca0c0e9dbcb627"
+checksum = "23cdc57ce23ac53c931e88a43d06d070a6fd142f2617be5855eb75efc9beb1c2"
dependencies = [
"bytecheck_derive",
"ptr_meta",
@@ -268,9 +292,9 @@ dependencies = [
[[package]]
name = "bytecheck_derive"
-version = "0.6.11"
+version = "0.6.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a7ec4c6f261935ad534c0c22dbef2201b45918860eb1c574b972bd213a76af61"
+checksum = "3db406d29fbcd95542e92559bed4d8ad92636d1ca8b3b72ede10b4bcc010e659"
dependencies = [
"proc-macro2",
"quote",
@@ -279,9 +303,9 @@ dependencies = [
[[package]]
name = "bytemuck"
-version = "1.14.0"
+version = "1.14.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "374d28ec25809ee0e23827c2ab573d729e293f281dfe393500e7ad618baa61c6"
+checksum = "a2ef034f05691a48569bd920a96c81b9d91bbad1ab5ac7c4616c1f6ef36cb79f"
[[package]]
name = "byteorder"
@@ -296,10 +320,42 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "a2bd12c1caf447e69cd4528f47f94d203fd2582878ecb9e9465484c4148a8223"
[[package]]
-name = "cc"
-version = "1.0.83"
+name = "camino"
+version = "1.1.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f1174fb0b6ec23863f8b971027804a42614e347eafb0a95bf0b12cdae21fc4d0"
+checksum = "c59e92b5a388f549b863a7bea62612c09f24c8393560709a54558a9abdfb3b9c"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "cargo-platform"
+version = "0.1.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "694c8807f2ae16faecc43dc17d74b3eb042482789fd0eb64b39a2e04e087053f"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "cargo_metadata"
+version = "0.18.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2d886547e41f740c616ae73108f6eb70afe6d940c7bc697cb30f13daec073037"
+dependencies = [
+ "camino",
+ "cargo-platform",
+ "semver",
+ "serde",
+ "serde_json",
+ "thiserror",
+]
+
+[[package]]
+name = "cc"
+version = "1.0.90"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8cd6604a82acf3039f1144f54b8eb34e91ffba622051189e71b781822d5ee1f5"
dependencies = [
"jobserver",
"libc",
@@ -331,22 +387,22 @@ checksum = "7588475145507237ded760e52bf2f1085495245502033756d28ea72ade0e498b"
[[package]]
name = "chrono"
-version = "0.4.31"
+version = "0.4.35"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7f2c685bad3eb3d45a01354cedb7d5faa66194d1d58ba6e267a8de788f79db38"
+checksum = "8eaf5903dcbc0a39312feb77df2ff4c76387d591b9fc7b04a238dcf8bb62639a"
dependencies = [
"android-tzdata",
"iana-time-zone",
"num-traits",
"serde",
- "windows-targets 0.48.5",
+ "windows-targets 0.52.4",
]
[[package]]
name = "ciborium"
-version = "0.2.1"
+version = "0.2.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "effd91f6c78e5a4ace8a5d3c0b6bfaec9e2baaef55f3efc00e45fb2e477ee926"
+checksum = "42e69ffd6f0917f5c029256a24d0161db17cea3997d185db0d35926308770f0e"
dependencies = [
"ciborium-io",
"ciborium-ll",
@@ -355,15 +411,15 @@ dependencies = [
[[package]]
name = "ciborium-io"
-version = "0.2.1"
+version = "0.2.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cdf919175532b369853f5d5e20b26b43112613fd6fe7aee757e35f7a44642656"
+checksum = "05afea1e0a06c9be33d539b876f1ce3692f4afea2cb41f740e7743225ed1c757"
[[package]]
name = "ciborium-ll"
-version = "0.2.1"
+version = "0.2.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "defaa24ecc093c77630e6c15e17c51f5e187bf35ee514f4e2d67baaa96dae22b"
+checksum = "57663b653d948a338bfb3eeba9bb2fd5fcfaecb9e199e87e1eda4d9e8b240fd9"
dependencies = [
"ciborium-io",
"half",
@@ -371,19 +427,19 @@ dependencies = [
[[package]]
name = "citationberg"
-version = "0.1.1"
+version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c15a0bf8014b266d11f20451dc9202d8d26180ffd8b094d73ecbe74d821f01fb"
+checksum = "82108f2b676c954076d2e5044f19a6a03887b24bd42804f322e0650d13035899"
dependencies = [
- "quick-xml 0.28.2",
+ "quick-xml",
"serde",
]
[[package]]
name = "clap"
-version = "4.4.16"
+version = "4.5.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "58e54881c004cec7895b0068a0a954cd5d62da01aef83fa35b1e594497bf5445"
+checksum = "b230ab84b0ffdf890d5a10abdbc8b83ae1c4918275daea1ab8801f71536b2651"
dependencies = [
"clap_builder",
"clap_derive",
@@ -391,53 +447,64 @@ dependencies = [
[[package]]
name = "clap_builder"
-version = "4.4.16"
+version = "4.5.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "59cb82d7f531603d2fd1f507441cdd35184fa81beff7bd489570de7f773460bb"
+checksum = "ae129e2e766ae0ec03484e609954119f123cc1fe650337e155d03b022f24f7b4"
dependencies = [
"anstream",
"anstyle",
"clap_lex",
- "strsim",
+ "strsim 0.11.0",
]
[[package]]
name = "clap_complete"
-version = "4.4.6"
+version = "4.5.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "97aeaa95557bd02f23fbb662f981670c3d20c5a26e69f7354b28f57092437fcd"
+checksum = "885e4d7d5af40bfb99ae6f9433e292feac98d452dcb3ec3d25dfe7552b77da8c"
dependencies = [
"clap",
]
[[package]]
name = "clap_derive"
-version = "4.4.7"
+version = "4.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cf9804afaaf59a91e75b022a30fb7229a7901f60c755489cc61c9b423b836442"
+checksum = "307bc0538d5f0f83b8248db3087aa92fe504e4691294d0c96c0eabc33f47ba47"
dependencies = [
"heck",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.52",
]
[[package]]
name = "clap_lex"
-version = "0.6.0"
+version = "0.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "702fc72eb24e5a1e48ce58027a675bc24edd52096d5397d4aea7c6dd9eca0bd1"
+checksum = "98cc8fbded0c607b7ba9dd60cd98df59af97e84d24e49c8557331cfc26d301ce"
[[package]]
name = "clap_mangen"
-version = "0.2.17"
+version = "0.2.20"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4a7c2b01e5e779c19f46a94bbd398f33ae63b0f78c07108351fb4536845bb7fd"
+checksum = "e1dd95b5ebb5c1c54581dd6346f3ed6a79a3eef95dd372fc2ac13d535535300e"
dependencies = [
"clap",
"roff",
]
+[[package]]
+name = "coarsetime"
+version = "0.1.34"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "13b3839cf01bb7960114be3ccf2340f541b6d0c81f8690b007b2b39f750f7e5d"
+dependencies = [
+ "libc",
+ "wasix",
+ "wasm-bindgen",
+]
+
[[package]]
name = "cobs"
version = "0.2.3"
@@ -468,23 +535,25 @@ checksum = "acbf1af155f9b9ef647e42cdc158db4b64a1b61f743629225fde6f3e0be2a7c7"
[[package]]
name = "comemo"
-version = "0.3.1"
+version = "0.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bf5705468fa80602ee6a5f9318306e6c428bffd53e43209a78bc05e6e667c6f4"
+checksum = "df6916408a724339aa77b18214233355f3eb04c42eb895e5f8909215bd8a7a91"
dependencies = [
"comemo-macros",
+ "once_cell",
+ "parking_lot",
"siphasher 1.0.0",
]
[[package]]
name = "comemo-macros"
-version = "0.3.1"
+version = "0.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "54af6ac68ada2d161fa9cc1ab52676228e340866d094d6542107e74b82acc095"
+checksum = "c8936e42f9b4f5bdfaf23700609ac1f11cb03ad4c1ec128a4ee4fd0903e228db"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.52",
]
[[package]]
@@ -523,18 +592,18 @@ dependencies = [
[[package]]
name = "crc32fast"
-version = "1.3.2"
+version = "1.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b540bd8bc810d3885c6ea91e2018302f68baba2129ab3e88f32389ee9370880d"
+checksum = "b3855a8a784b474f333699ef2bbca9db2c4a1f6d9088a90a2d25b1eb53111eaa"
dependencies = [
"cfg-if",
]
[[package]]
name = "crossbeam-channel"
-version = "0.5.11"
+version = "0.5.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "176dc175b78f56c0f321911d9c8eb2b77a78a4860b9c19db83835fea1a46649b"
+checksum = "ab3db02a9c5b5121e1e42fbdb1aeb65f5e02624cc58c43f2884c6ccac0b82f95"
dependencies = [
"crossbeam-utils",
]
@@ -573,6 +642,12 @@ version = "0.8.19"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "248e3bacc7dc6baa3b21e405ee045c3047101a49145e7e9eca583ab4c2ca5345"
+[[package]]
+name = "crunchy"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7a81dae078cea95a014a339291cec439d2f232ebe854a9d672b796c6afafa9b7"
+
[[package]]
name = "crypto-common"
version = "0.1.6"
@@ -606,37 +681,85 @@ dependencies = [
[[package]]
name = "darling"
-version = "0.20.3"
+version = "0.14.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0209d94da627ab5605dcccf08bb18afa5009cfbef48d8a8b7d7bdbc79be25c5e"
+checksum = "7b750cb3417fd1b327431a470f388520309479ab0bf5e323505daf0290cd3850"
dependencies = [
- "darling_core",
- "darling_macro",
+ "darling_core 0.14.4",
+ "darling_macro 0.14.4",
+]
+
+[[package]]
+name = "darling"
+version = "0.20.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "54e36fcd13ed84ffdfda6f5be89b31287cbb80c439841fe69e04841435464391"
+dependencies = [
+ "darling_core 0.20.8",
+ "darling_macro 0.20.8",
]
[[package]]
name = "darling_core"
-version = "0.20.3"
+version = "0.14.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "177e3443818124b357d8e76f53be906d60937f0d3a90773a664fa63fa253e621"
+checksum = "109c1ca6e6b7f82cc233a97004ea8ed7ca123a9af07a8230878fcfda9b158bf0"
dependencies = [
"fnv",
"ident_case",
"proc-macro2",
"quote",
- "strsim",
- "syn 2.0.48",
+ "strsim 0.10.0",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "darling_core"
+version = "0.20.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9c2cf1c23a687a1feeb728783b993c4e1ad83d99f351801977dd809b48d0a70f"
+dependencies = [
+ "fnv",
+ "ident_case",
+ "proc-macro2",
+ "quote",
+ "strsim 0.10.0",
+ "syn 2.0.52",
]
[[package]]
name = "darling_macro"
-version = "0.20.3"
+version = "0.14.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "836a9bbc7ad63342d6d6e7b815ccab164bc77a2d95d84bc3117a8c0d5c98e2d5"
+checksum = "a4aab4dbc9f7611d8b55048a3a16d2d010c2c8334e46304b40ac1cc14bf3b48e"
dependencies = [
- "darling_core",
+ "darling_core 0.14.4",
"quote",
- "syn 2.0.48",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "darling_macro"
+version = "0.20.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a668eda54683121533a393014d8692171709ff57a7d61f187b6e782719f8933f"
+dependencies = [
+ "darling_core 0.20.8",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "dashmap"
+version = "5.5.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "978747c1d849a7d2ee5e8adc0159961c48fb7e5db2f06af6723b80123bb53856"
+dependencies = [
+ "cfg-if",
+ "hashbrown 0.14.3",
+ "lock_api",
+ "once_cell",
+ "parking_lot_core",
]
[[package]]
@@ -661,6 +784,37 @@ dependencies = [
"serde",
]
+[[package]]
+name = "derive_builder"
+version = "0.12.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8d67778784b508018359cbc8696edb3db78160bab2c2a28ba7f56ef6932997f8"
+dependencies = [
+ "derive_builder_macro",
+]
+
+[[package]]
+name = "derive_builder_core"
+version = "0.12.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c11bdc11a0c47bc7d37d582b5285da6849c96681023680b906673c5707af7b0f"
+dependencies = [
+ "darling 0.14.4",
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "derive_builder_macro"
+version = "0.12.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ebcda35c7a396850a55ffeac740804b40ffec779b98fffbb1738f4033f0ee79e"
+dependencies = [
+ "derive_builder_core",
+ "syn 1.0.109",
+]
+
[[package]]
name = "digest"
version = "0.10.7"
@@ -700,7 +854,7 @@ checksum = "487585f4d0c6655fe74905e2504d8ad6908e4db67f744eb140876906c2f3175d"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.52",
]
[[package]]
@@ -717,18 +871,18 @@ checksum = "9ea835d29036a4087793836fa931b08837ad5e957da9e23886b29586fb9b6650"
[[package]]
name = "ecow"
-version = "0.2.0"
+version = "0.2.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e6ea5e3f9cda726431da9d1a8d5a29785d544b31e98e1ca7a210906244002e02"
+checksum = "dba31a30727c42ff5e60468d695c7f21e43a6db2808b7195adcab908fbd9f794"
dependencies = [
"serde",
]
[[package]]
name = "either"
-version = "1.9.0"
+version = "1.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a26ae43d7bcc3b814de94796a5e736d4029efb0ee900c12e2d54c993ad1a1e07"
+checksum = "11157ac094ffbdde99aa67b23417ebdd801842852b500e395a45a9c0aac03e4a"
[[package]]
name = "elsa"
@@ -771,20 +925,30 @@ checksum = "0d28318a75d4aead5c4db25382e8ef717932d0346600cacae6357eb5941bc5ff"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "env_filter"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a009aa4810eb158359dda09d0c87378e4bbb89b5a801f016885a4707ba24f7ea"
+dependencies = [
+ "log",
+ "regex",
]
[[package]]
name = "env_logger"
-version = "0.10.1"
+version = "0.11.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "95b3f3e67048839cb0d0781f445682a35113da7121f7c949db0e2be96a4fbece"
+checksum = "38b35839ba51819680ba087cd351788c9a3c476841207e0b8cee0b04722343b9"
dependencies = [
+ "anstream",
+ "anstyle",
+ "env_filter",
"humantime",
- "is-terminal",
"log",
- "regex",
- "termcolor",
]
[[package]]
@@ -827,9 +991,9 @@ checksum = "25cbce373ec4653f1a01a31e8a5e5ec0c622dc27ff9c4e6606eefef5cbbed4a5"
[[package]]
name = "fdeflate"
-version = "0.3.3"
+version = "0.3.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "209098dd6dfc4445aa6111f0e98653ac323eaa4dfd212c9ca3931bf9955c31bd"
+checksum = "4f9bfee30e4dedf0ab8b422f03af778d9612b63f502710fc500a334ebe2de645"
dependencies = [
"simd-adler32",
]
@@ -856,6 +1020,15 @@ dependencies = [
"miniz_oxide",
]
+[[package]]
+name = "flexstr"
+version = "0.9.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4d50aef14619d336a54fca5a592d952eb39037b1a1e7e6afd9f91c892ac7ef65"
+dependencies = [
+ "static_assertions",
+]
+
[[package]]
name = "float-cmp"
version = "0.9.0"
@@ -870,22 +1043,22 @@ checksum = "3f9eec918d3f24069decb9af1554cad7c880e2da24a9afd88aca000531ab82c1"
[[package]]
name = "fontconfig-parser"
-version = "0.5.3"
+version = "0.5.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "674e258f4b5d2dcd63888c01c68413c51f565e8af99d2f7701c7b81d79ef41c4"
+checksum = "6a595cb550439a117696039dfc69830492058211b771a2a165379f2a1a53d84d"
dependencies = [
"roxmltree",
]
[[package]]
name = "fontdb"
-version = "0.15.0"
+version = "0.16.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "020e203f177c0fb250fb19455a252e838d2bbbce1f80f25ecc42402aafa8cd38"
+checksum = "b0299020c3ef3f60f526a4f64ab4a3d4ce116b1acbf24cdd22da0068e5d81dc3"
dependencies = [
"fontconfig-parser",
"log",
- "memmap2 0.8.0",
+ "memmap2",
"slotmap",
"tinyvec",
"ttf-parser",
@@ -992,7 +1165,7 @@ checksum = "87750cf4b7a4c0625b1529e4c543c2182106e4dedc60a2a6455e00d212c489ac"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.52",
]
[[package]]
@@ -1057,9 +1230,9 @@ dependencies = [
[[package]]
name = "gif"
-version = "0.12.0"
+version = "0.13.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "80792593675e051cf94a4b111980da2ba60d4a83e43e0048c5693baab3977045"
+checksum = "3fb2d69b19215e18bb912fa30f7ce15846e301408695e44e0ef719f1da9e19f2"
dependencies = [
"color_quant",
"weezl",
@@ -1073,11 +1246,11 @@ checksum = "4271d37baee1b8c7e4b708028c57d816cf9d2434acb33a549475f78c181f6253"
[[package]]
name = "git2"
-version = "0.18.1"
+version = "0.18.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fbf97ba92db08df386e10c8ede66a2a0369bd277090afd8710e19e38de9ec0cd"
+checksum = "1b3ba52851e73b46a4c3df1d89343741112003f0f6f13beb0dfac9e457c3fdcd"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"libc",
"libgit2-sys",
"log",
@@ -1086,17 +1259,17 @@ dependencies = [
[[package]]
name = "h2"
-version = "0.3.23"
+version = "0.3.24"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b553656127a00601c8ae5590fcfdc118e4083a7924b6cf4ffc1ea4b99dc429d7"
+checksum = "bb2c4422095b67ee78da96fbb51a4cc413b3b25883c7717ff7ca1ab31022c9c9"
dependencies = [
"bytes",
"fnv",
"futures-core",
"futures-sink",
"futures-util",
- "http",
- "indexmap 2.1.0",
+ "http 0.2.12",
+ "indexmap 2.2.5",
"slab",
"tokio",
"tokio-util",
@@ -1105,9 +1278,13 @@ dependencies = [
[[package]]
name = "half"
-version = "1.8.2"
+version = "2.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "eabb4a44450da02c90444cf74558da904edde8fb4e9035a9a6a4e15445af0bd7"
+checksum = "b5eceaaeec696539ddaf7b333340f1af35a5aa87ae3e4f3ead0532f72affab2e"
+dependencies = [
+ "cfg-if",
+ "crunchy",
+]
[[package]]
name = "hashbrown"
@@ -1126,14 +1303,14 @@ checksum = "290f1a1d9242c78d09ce40a5e87e7554ee637af1351968159f4952f028f75604"
[[package]]
name = "hayagriva"
-version = "0.5.1"
+version = "0.5.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f9f97c07366b7f686741521ca63cc14baf18cea53c39b0c09873cd1d4a1b2b8c"
+checksum = "cc2e670de5191df083ddd112cd253049f8213277ccf0c15e18a8bf10e6c666cc"
dependencies = [
"biblatex",
"ciborium",
"citationberg",
- "indexmap 2.1.0",
+ "indexmap 2.2.5",
"numerals",
"paste",
"serde",
@@ -1153,9 +1330,9 @@ checksum = "95505c38b4572b2d910cecb0281560f54b440a19336cbbcb27bf6ce6adc6f5a8"
[[package]]
name = "hermit-abi"
-version = "0.3.3"
+version = "0.3.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d77f7ec81a6d05a3abb01ab6eb7590f6083d08449fe5a1c8b1e620283546ccb7"
+checksum = "d231dfb89cfffdbc30e7fc41579ed6066ad03abda9e567ccafae602b97ec5024"
[[package]]
name = "hex"
@@ -1165,9 +1342,20 @@ checksum = "7f24254aa9a54b5c858eaee2f5bccdb46aaf0e486a595ed5fd8f86ba55232a70"
[[package]]
name = "http"
-version = "0.2.11"
+version = "0.2.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8947b1a6fad4393052c7ba1f4cd97bed3e953a95c79c92ad9b051a04611d9fbb"
+checksum = "601cbb57e577e2f5ef5be8e7b83f0f63994f25aa94d673e54a92d5c516d101f1"
+dependencies = [
+ "bytes",
+ "fnv",
+ "itoa",
+]
+
+[[package]]
+name = "http"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "21b9ddb458710bc376481b842f5da65cdf31522de232c1ca8146abce2a358258"
dependencies = [
"bytes",
"fnv",
@@ -1181,7 +1369,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "7ceab25649e9960c0311ea418d17bee82c0dcec1bd053b5f9a66e265a693bed2"
dependencies = [
"bytes",
- "http",
+ "http 0.2.12",
"pin-project-lite",
]
@@ -1214,7 +1402,7 @@ dependencies = [
"futures-core",
"futures-util",
"h2",
- "http",
+ "http 0.2.12",
"http-body",
"httparse",
"httpdate",
@@ -1234,7 +1422,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "ec3efd23720e2049821a693cbc7e65ea87c72f1c58ff2f9522ff332b1491e590"
dependencies = [
"futures-util",
- "http",
+ "http 0.2.12",
"hyper",
"rustls",
"tokio",
@@ -1256,15 +1444,15 @@ dependencies = [
[[package]]
name = "hypher"
-version = "0.1.4"
+version = "0.1.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "94bf16dd62ea2bec617a6f8a3e1ba03107311783069a647787ac689d1f35321e"
+checksum = "3b24ad5637230df201ab1034d593f1d09bf7f2a9274f2e8897638078579f4265"
[[package]]
name = "iana-time-zone"
-version = "0.1.59"
+version = "0.1.60"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b6a67363e2aa4443928ce15e57ebae94fd8949958fd1223c4cfc0cd473ad7539"
+checksum = "e7ffbb5a1b541ea2561f8c41c087286cc091e21e556a4f09a8f6cbf17b69b141"
dependencies = [
"android_system_properties",
"core-foundation-sys",
@@ -1405,7 +1593,7 @@ checksum = "d2abdd3a62551e8337af119c5899e600ca0c88ec8f23a46c60ba216c803dcf1a"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.52",
]
[[package]]
@@ -1448,17 +1636,22 @@ dependencies = [
]
[[package]]
-name = "image"
-version = "0.24.7"
+name = "if_chain"
+version = "1.0.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6f3dfdbdd72063086ff443e297b61695500514b1e41095b6fb9a5ab48a70a711"
+checksum = "cb56e1aa765b4b4f3aadfab769793b7087bb03a4ea4920644a6d238e2df5b9ed"
+
+[[package]]
+name = "image"
+version = "0.24.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5690139d2f55868e080017335e4b94cb7414274c74f1669c84fb5feba2c9f69d"
dependencies = [
"bytemuck",
"byteorder",
"color_quant",
"gif",
"jpeg-decoder",
- "num-rational",
"num-traits",
"png",
]
@@ -1482,9 +1675,9 @@ dependencies = [
[[package]]
name = "indexmap"
-version = "2.1.0"
+version = "2.2.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d530e1a18b1cb4c484e6e34556a0d948706958449fca0cab753d649f2bce3d1f"
+checksum = "7b0b929d511467233429c45a44ac1dcaa21ba0f5ba11e4879e6ed28ddb4f9df4"
dependencies = [
"equivalent",
"hashbrown 0.14.3",
@@ -1497,6 +1690,12 @@ version = "0.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "8e04e2fd2b8188ea827b32ef11de88377086d690286ab35747ef7f9bf3ccb590"
+[[package]]
+name = "indextree"
+version = "4.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c40411d0e5c63ef1323c3d09ce5ec6d84d71531e18daed0743fccea279d7deb6"
+
[[package]]
name = "inotify"
version = "0.9.6"
@@ -1524,9 +1723,6 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "7a5bbe824c507c5da5956355e86a746d82e0e1464f65d862cc5e71da70e94b2c"
dependencies = [
"cfg-if",
- "js-sys",
- "wasm-bindgen",
- "web-sys",
]
[[package]]
@@ -1544,17 +1740,6 @@ dependencies = [
"once_cell",
]
-[[package]]
-name = "is-terminal"
-version = "0.4.10"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0bad00257d07be169d870ab665980b06cdb366d792ad690bf2e76876dc503455"
-dependencies = [
- "hermit-abi",
- "rustix",
- "windows-sys 0.52.0",
-]
-
[[package]]
name = "is-wsl"
version = "0.4.0"
@@ -1565,6 +1750,15 @@ dependencies = [
"once_cell",
]
+[[package]]
+name = "itertools"
+version = "0.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b1c173a5686ce8bfa551b3563d0c2170bf24ca44da99c7ca4bfdab5418c3fe57"
+dependencies = [
+ "either",
+]
+
[[package]]
name = "itoa"
version = "1.0.10"
@@ -1573,28 +1767,37 @@ checksum = "b1a46d1a171d865aa5f83f92695765caa047a9b4cbae2cbf37dbd613a793fd4c"
[[package]]
name = "jobserver"
-version = "0.1.27"
+version = "0.1.28"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8c37f63953c4c63420ed5fd3d6d398c719489b9f872b9fa683262f8edd363c7d"
+checksum = "ab46a6e9526ddef3ae7f787c06f0f2600639ba80ea3eade3d8e670a2230f51d6"
dependencies = [
"libc",
]
[[package]]
name = "jpeg-decoder"
-version = "0.3.0"
+version = "0.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bc0000e42512c92e31c2252315bda326620a4e034105e900c98ec492fa077b3e"
+checksum = "f5d4a7da358eff58addd2877a45865158f0d78c911d43a5784ceb7bbf52833b0"
[[package]]
name = "js-sys"
-version = "0.3.67"
+version = "0.3.69"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9a1d36f1235bc969acba30b7f5990b864423a6068a10f7c90ae8f0112e3a59d1"
+checksum = "29c15563dc2726973df627357ce0c9ddddbea194836909d655df6a75d2cf296d"
dependencies = [
"wasm-bindgen",
]
+[[package]]
+name = "kamadak-exif"
+version = "0.5.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ef4fc70d0ab7e5b6bafa30216a6b48705ea964cdfc29c050f2412295eba58077"
+dependencies = [
+ "mutate_once",
+]
+
[[package]]
name = "kqueue"
version = "1.0.8"
@@ -1632,15 +1835,15 @@ checksum = "e2abad23fbc42b3700f2f279844dc832adb2b2eb069b2df918f455c4e18cc646"
[[package]]
name = "libc"
-version = "0.2.152"
+version = "0.2.153"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "13e3bf6590cbc649f4d1a3eefc9d5d6eb746f5200ffb04e5e142700b8faa56e7"
+checksum = "9c198f91728a82281a64e1f4f9eeb25d82cb32a5de251c6bd1b5154d63a8e7bd"
[[package]]
name = "libgit2-sys"
-version = "0.16.1+1.7.1"
+version = "0.16.2+1.7.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f2a2bb3680b094add03bb3732ec520ece34da31a8cd2d633d1389d0f0fb60d0c"
+checksum = "ee4126d8b4ee5c9d9ea891dd875cfdc1e9d0950437179104b183d7d8a74d24e8"
dependencies = [
"cc",
"libc",
@@ -1660,16 +1863,16 @@ version = "0.0.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "85c833ca1e66078851dba29046874e38f08b2c883700aa29a03ddd3b23814ee8"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"libc",
"redox_syscall",
]
[[package]]
name = "libz-sys"
-version = "1.1.14"
+version = "1.1.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "295c17e837573c8c821dbaeb3cceb3d745ad082f7572191409e69cbc1b3fd050"
+checksum = "037731f5d3aaa87a5675e895b63ddff1a87624bc29f77004ea829809654e48f6"
dependencies = [
"cc",
"libc",
@@ -1694,9 +1897,9 @@ checksum = "0717cef1bc8b636c6e1c1bbdefc09e6322da8a9321966e8928ef80d20f7f770f"
[[package]]
name = "linux-raw-sys"
-version = "0.4.12"
+version = "0.4.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c4cd1a83af159aa67994778be9070f0ae1bd732942279cabb14f86f986a21456"
+checksum = "01cda141df6706de531b6c46c3a33ecca755538219bd484262fa09410c13539c"
[[package]]
name = "lipsum"
@@ -1724,15 +1927,14 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "3c168f8615b12bc01f9c17e2eb0cc07dcae1940121185446edc3744920e8ef45"
dependencies = [
"autocfg",
- "owning_ref",
"scopeguard",
]
[[package]]
name = "log"
-version = "0.4.20"
+version = "0.4.21"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b5e6163cb8c49088c2c36f57875e58ccd8c87c7427f7fbd50ea6710b2f3f2e8f"
+checksum = "90ed8c1e510134f979dbc4f070f87d4313098b704861a105fe34231c70a3901c"
[[package]]
name = "memchr"
@@ -1742,18 +1944,9 @@ checksum = "523dc4f511e55ab87b694dc30d0f820d60906ef06413f93d4d7a1385599cc149"
[[package]]
name = "memmap2"
-version = "0.7.1"
+version = "0.9.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f49388d20533534cd19360ad3d6a7dadc885944aa802ba3995040c5ec11288c6"
-dependencies = [
- "libc",
-]
-
-[[package]]
-name = "memmap2"
-version = "0.8.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "43a5a03cefb0d953ec0be133036f14e109412fa594edc2f77227249db66cc3ed"
+checksum = "fe751422e4a8caa417e13c3ea66452215d7d63e19e604f4980461212f3ae1322"
dependencies = [
"libc",
]
@@ -1776,9 +1969,9 @@ dependencies = [
[[package]]
name = "miniz_oxide"
-version = "0.7.1"
+version = "0.7.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e7810e0be55b428ada41041c41f32c9f1a42817901b4ccf45fa3d4b6561e74c7"
+checksum = "9d811f3e15f28568be3407c8e7fdb6514c1cda3cb30683f15b6a1a1dc4ea14a7"
dependencies = [
"adler",
"simd-adler32",
@@ -1786,9 +1979,9 @@ dependencies = [
[[package]]
name = "mio"
-version = "0.8.10"
+version = "0.8.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8f3d0b296e374a4e6f3c7b0a1f5a51d748a0d34c85e7dc48fc3fa9a87657fe09"
+checksum = "a4a650543ca06a924e8b371db273b2756685faae30f8487da1b56505a8f78b0c"
dependencies = [
"libc",
"log",
@@ -1796,6 +1989,12 @@ dependencies = [
"windows-sys 0.48.0",
]
+[[package]]
+name = "mutate_once"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "16cf681a23b4d0a43fc35024c176437f9dcd818db34e0f42ab456a0ee5ad497b"
+
[[package]]
name = "native-tls"
version = "0.2.11"
@@ -1826,7 +2025,7 @@ version = "6.1.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "6205bd8bb1e454ad2e27422015fb5e4f2bcc7e08fa8f27058670d208324a4d2d"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"crossbeam-channel",
"filetime",
"fsevent-sys",
@@ -1851,31 +2050,25 @@ dependencies = [
]
[[package]]
-name = "num-integer"
-version = "0.1.45"
+name = "num-conv"
+version = "0.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "225d3389fb3509a24c93f5c29eb6bde2586b98d9f016636dff58d7c6f7569cd9"
-dependencies = [
- "autocfg",
- "num-traits",
-]
+checksum = "51d515d32fb182ee37cda2ccdcb92950d6a3c2893aa280e540671c2cd0f3b1d9"
[[package]]
-name = "num-rational"
-version = "0.4.1"
+name = "num-integer"
+version = "0.1.46"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0638a1c9d0a3c0914158145bc76cff373a75a627e6ecbfb71cbe6f453a5a19b0"
+checksum = "7969661fd2958a5cb096e56c8e1ad0444ac2bbcd0061bd28660485a44879858f"
dependencies = [
- "autocfg",
- "num-integer",
"num-traits",
]
[[package]]
name = "num-traits"
-version = "0.2.17"
+version = "0.2.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "39e3200413f237f41ab11ad6d161bc7239c84dcb631773ccd7de3dfe4b5c267c"
+checksum = "da0df0e5185db44f69b44f26786fe401b6c293d1907744beaa7fa62b2e5a517a"
dependencies = [
"autocfg",
]
@@ -1892,9 +2085,9 @@ dependencies = [
[[package]]
name = "num_threads"
-version = "0.1.6"
+version = "0.1.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2819ce041d2ee131036f4fc9d6ae7ae125a3a40e97ba64d04fe799ad9dabbb44"
+checksum = "5c7398b9c8b70908f6371f47ed36737907c87c52af34c268fed0bf0ceb92ead9"
dependencies = [
"libc",
]
@@ -1922,9 +2115,9 @@ checksum = "3fdb12b2476b595f9358c5161aa467c2438859caa136dec86c26fdd2efe17b92"
[[package]]
name = "open"
-version = "5.0.1"
+version = "5.1.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "90878fb664448b54c4e592455ad02831e23a3f7e157374a8b95654731aac7349"
+checksum = "449f0ff855d85ddbf1edd5b646d65249ead3f5e422aaa86b7d2d0b049b103e32"
dependencies = [
"is-wsl",
"libc",
@@ -1933,11 +2126,11 @@ dependencies = [
[[package]]
name = "openssl"
-version = "0.10.62"
+version = "0.10.64"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8cde4d2d9200ad5909f8dac647e29482e07c3a35de8a13fce7c9c7747ad9f671"
+checksum = "95a0481286a310808298130d22dd1fef0fa571e05a8f44ec801801e84b216b1f"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"cfg-if",
"foreign-types",
"libc",
@@ -1954,7 +2147,7 @@ checksum = "a948666b637a0f465e8564c73e89d4dde00d72d4d473cc972f390fc3dcee7d9c"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.52",
]
[[package]]
@@ -1965,9 +2158,9 @@ checksum = "ff011a302c396a5197692431fc1948019154afc178baf7d8e37367442a4601cf"
[[package]]
name = "openssl-sys"
-version = "0.9.98"
+version = "0.9.101"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c1665caf8ab2dc9aef43d1c0023bd904633a6a05cb30b0ad59bec2ae986e57a7"
+checksum = "dda2b0f344e78efc2facf7d195d098df0dd72151b26ab98da807afc26c198dff"
dependencies = [
"cc",
"libc",
@@ -1981,20 +2174,11 @@ version = "0.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "04744f49eae99ab78e0d5c0b603ab218f515ea8cfe5a456d7629ad883a3b6e7d"
-[[package]]
-name = "owning_ref"
-version = "0.4.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6ff55baddef9e4ad00f88b6c743a2a8062d4c6ade126c2a528644b8e444d52ce"
-dependencies = [
- "stable_deref_trait",
-]
-
[[package]]
name = "palette"
-version = "0.7.3"
+version = "0.7.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b2e2f34147767aa758aa649415b50a69eeb46a67f9dc7db8011eeb3d84b351dc"
+checksum = "ebfc23a4b76642983d57e4ad00bb4504eb30a8ce3c70f4aee1f725610e36d97a"
dependencies = [
"approx",
"fast-srgb8",
@@ -2004,13 +2188,13 @@ dependencies = [
[[package]]
name = "palette_derive"
-version = "0.7.3"
+version = "0.7.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b7db010ec5ff3d4385e4f133916faacd9dad0f6a09394c92d825b3aed310fa0a"
+checksum = "e8890702dbec0bad9116041ae586f84805b13eecd1d8b1df27c29998a9969d6d"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.52",
]
[[package]]
@@ -2060,12 +2244,74 @@ version = "2.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "e3148f5046208a5d56bcfc03053e3ca6334e51da8dfb19b6cdc8b306fae3283e"
+[[package]]
+name = "phf"
+version = "0.11.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ade2d8b8f33c7333b51bcf0428d37e217e9f32192ae4772156f65063b8ce03dc"
+dependencies = [
+ "phf_macros",
+ "phf_shared",
+]
+
+[[package]]
+name = "phf_generator"
+version = "0.11.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "48e4cc64c2ad9ebe670cb8fd69dd50ae301650392e81c05f9bfcb2d5bdbc24b0"
+dependencies = [
+ "phf_shared",
+ "rand",
+]
+
+[[package]]
+name = "phf_macros"
+version = "0.11.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3444646e286606587e49f3bcf1679b8cef1dc2c5ecc29ddacaffc305180d464b"
+dependencies = [
+ "phf_generator",
+ "phf_shared",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "phf_shared"
+version = "0.11.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "90fcb95eef784c2ac79119d1dd819e162b5da872ce6f3c3abe1e8ca1c082f72b"
+dependencies = [
+ "siphasher 0.3.11",
+]
+
[[package]]
name = "pico-args"
version = "0.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "5be167a7af36ee22fe3115051bc51f6e6c7054c9348e28deb4f49bd6f705a315"
+[[package]]
+name = "pin-project"
+version = "1.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b6bf43b791c5b9e34c3d182969b4abb522f9343702850a2e57f460d00d09b4b3"
+dependencies = [
+ "pin-project-internal",
+]
+
+[[package]]
+name = "pin-project-internal"
+version = "1.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2f38a4412a78282e09a2cf38d195ea5420d15ba0602cb375210efbc877243965"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
[[package]]
name = "pin-project-lite"
version = "0.2.13"
@@ -2080,9 +2326,9 @@ checksum = "8b870d8c151b6f2fb93e84a13146138f05d02ed11c7e7c54f8826aaaf7c9f184"
[[package]]
name = "pkg-config"
-version = "0.3.28"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "69d3587f8a9e599cc7ec2c00e331f71c4e69a5f9a4b8a6efd5b07466b9736f9a"
+checksum = "d231b230927b5e4ad203db57bbcbee2802f6bce620b1e4a9024a07d94e2907ec"
[[package]]
name = "plist"
@@ -2090,19 +2336,19 @@ version = "1.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "e5699cc8a63d1aa2b1ee8e12b9ad70ac790d65788cd36101fa37f87ea46c4cef"
dependencies = [
- "base64",
- "indexmap 2.1.0",
+ "base64 0.21.7",
+ "indexmap 2.2.5",
"line-wrap",
- "quick-xml 0.31.0",
+ "quick-xml",
"serde",
"time",
]
[[package]]
name = "png"
-version = "0.17.10"
+version = "0.17.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dd75bf2d8dd3702b9707cdbc56a5b9ef42cec752eb8b3bafc01234558442aa64"
+checksum = "06e4b0d3d1312775e782c86c91a111aa1f910cbb65e1337f9975b5f9a554b5e1"
dependencies = [
"bitflags 1.3.2",
"crc32fast",
@@ -2111,6 +2357,12 @@ dependencies = [
"miniz_oxide",
]
+[[package]]
+name = "portable-atomic"
+version = "1.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7170ef9988bc169ba16dd36a7fa041e5c4cbeb6a35b76d4c03daded371eae7c0"
+
[[package]]
name = "postcard"
version = "1.0.8"
@@ -2136,9 +2388,9 @@ checksum = "5b40af805b3121feab8a3c29f04d8ad262fa8e0561883e7653e024ae4479e6de"
[[package]]
name = "proc-macro2"
-version = "1.0.76"
+version = "1.0.78"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "95fc56cda0b5c3325f5fbbd7ff9fda9e02bb00bb3dac51252d2f1bfa1cb8cc8c"
+checksum = "e2422ad645d89c99f8f3e6b88a9fdeca7fabeac836b1002371c4367c8f984aae"
dependencies = [
"unicode-ident",
]
@@ -2173,14 +2425,10 @@ dependencies = [
]
[[package]]
-name = "quick-xml"
-version = "0.28.2"
+name = "qcms"
+version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0ce5e73202a820a31f8a0ee32ada5e21029c81fd9e3ebf668a40832e4219d9d1"
-dependencies = [
- "memchr",
- "serde",
-]
+checksum = "edecfcd5d755a5e5d98e24cf43113e7cdaec5a070edd0f6b250c03a573da30fa"
[[package]]
name = "quick-xml"
@@ -2189,6 +2437,7 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "1004a344b30a54e2ee58d66a71b32d2db2feb0a31f9a2d302bf0536f15de2a33"
dependencies = [
"memchr",
+ "serde",
]
[[package]]
@@ -2238,9 +2487,9 @@ dependencies = [
[[package]]
name = "rayon"
-version = "1.8.0"
+version = "1.9.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9c27db03db7734835b3f53954b534c91069375ce6ccaa2e065441e07d9b6cdb1"
+checksum = "e4963ed1bc86e4f3ee217022bd855b297cef07fb9eac5dfa1f788b220b49b3bd"
dependencies = [
"either",
"rayon-core",
@@ -2248,20 +2497,14 @@ dependencies = [
[[package]]
name = "rayon-core"
-version = "1.12.0"
+version = "1.12.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5ce3fb6ad83f861aac485e76e1985cd109d9a3713802152be56c3b1f0e0658ed"
+checksum = "1465873a3dfdaa8ae7cb14b4383657caab0b3e8a0aa9ae8e04b044854c8dfce2"
dependencies = [
"crossbeam-deque",
"crossbeam-utils",
]
-[[package]]
-name = "rctree"
-version = "0.5.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3b42e27ef78c35d3998403c1d26f3efd9e135d3e5121b0a4845cc5cc27547f4f"
-
[[package]]
name = "redox_syscall"
version = "0.4.1"
@@ -2282,35 +2525,52 @@ dependencies = [
"thiserror",
]
+[[package]]
+name = "reflexo"
+version = "0.4.2-rc8"
+source = "git+https://github.com/Myriad-Dreamin/typst.ts?rev=c8ff53382c2afa67582465c6e7e0fd78603e4341#c8ff53382c2afa67582465c6e7e0fd78603e4341"
+dependencies = [
+ "base64 0.22.0",
+ "bitvec",
+ "comemo",
+ "dashmap",
+ "ecow",
+ "fxhash",
+ "once_cell",
+ "parking_lot",
+ "path-clean",
+ "rkyv",
+ "serde",
+ "serde_json",
+ "serde_repr",
+ "serde_with",
+ "siphasher 1.0.0",
+ "tiny-skia-path",
+]
+
[[package]]
name = "regex"
-version = "1.10.2"
+version = "1.10.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "380b951a9c5e80ddfd6136919eef32310721aa4aacd4889a8d39124b026ab343"
+checksum = "b62dbe01f0b06f9d8dc7d49e05a0785f153b00b2c227856282f671e0318c9b15"
dependencies = [
"aho-corasick",
"memchr",
"regex-automata",
- "regex-syntax 0.8.2",
+ "regex-syntax",
]
[[package]]
name = "regex-automata"
-version = "0.4.3"
+version = "0.4.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5f804c7828047e88b2d32e2d7fe5a105da8ee3264f01902f796c8e067dc2483f"
+checksum = "86b83b8b9847f9bf95ef68afb0b8e6cdb80f498442f5179a29fad448fcc1eaea"
dependencies = [
"aho-corasick",
"memchr",
- "regex-syntax 0.8.2",
+ "regex-syntax",
]
-[[package]]
-name = "regex-syntax"
-version = "0.7.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dbb5fb1acd8a1a18b3dd5be62d25485eb770e05afb408a9627d14d451bae12da"
-
[[package]]
name = "regex-syntax"
version = "0.8.2"
@@ -2319,26 +2579,26 @@ checksum = "c08c74e62047bb2de4ff487b251e4a92e24f48745648451635cec7d591162d9f"
[[package]]
name = "rend"
-version = "0.4.1"
+version = "0.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a2571463863a6bd50c32f94402933f03457a3fbaf697a707c5be741e459f08fd"
+checksum = "71fe3824f5629716b1589be05dacd749f6aa084c87e00e016714a8cdfccc997c"
dependencies = [
"bytecheck",
]
[[package]]
name = "reqwest"
-version = "0.11.23"
+version = "0.11.25"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "37b1ae8d9ac08420c66222fb9096fc5de435c3c48542bc5336c51892cffafb41"
+checksum = "0eea5a9eb898d3783f17c6407670e3592fd174cb81a10e51d4c37f49450b9946"
dependencies = [
- "base64",
+ "base64 0.21.7",
"bytes",
"encoding_rs",
"futures-core",
"futures-util",
"h2",
- "http",
+ "http 0.2.12",
"http-body",
"hyper",
"hyper-rustls",
@@ -2357,6 +2617,7 @@ dependencies = [
"serde",
"serde_json",
"serde_urlencoded",
+ "sync_wrapper",
"system-configuration",
"tokio",
"tokio-native-tls",
@@ -2372,23 +2633,24 @@ dependencies = [
[[package]]
name = "ring"
-version = "0.17.7"
+version = "0.17.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "688c63d65483050968b2a8937f7995f443e27041a0f7700aa59b0822aedebb74"
+checksum = "c17fa4cb658e3583423e915b9f3acc01cceaee1860e33d59ebae66adc3a2dc0d"
dependencies = [
"cc",
+ "cfg-if",
"getrandom",
"libc",
"spin",
"untrusted",
- "windows-sys 0.48.0",
+ "windows-sys 0.52.0",
]
[[package]]
name = "rkyv"
-version = "0.7.43"
+version = "0.7.44"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "527a97cdfef66f65998b5f3b637c26f5a5ec09cc52a3f9932313ac645f4190f5"
+checksum = "5cba464629b3394fc4dbc6f940ff8f5b4ff5c7aef40f29166fd4ad12acbc99c0"
dependencies = [
"bitvec",
"bytecheck",
@@ -2404,9 +2666,9 @@ dependencies = [
[[package]]
name = "rkyv_derive"
-version = "0.7.43"
+version = "0.7.44"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b5c462a1328c8e67e4d6dbad1eb0355dd43e8ab432c6e227a43657f16ade5033"
+checksum = "a7dddfff8de25e6f62b9d64e6e432bf1c6736c57d20323e15ee10435fbda7c65"
dependencies = [
"proc-macro2",
"quote",
@@ -2421,12 +2683,9 @@ checksum = "b833d8d034ea094b1ea68aa6d5c740e0d04bad9d16568d08ba6f76823a114316"
[[package]]
name = "roxmltree"
-version = "0.18.1"
+version = "0.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "862340e351ce1b271a378ec53f304a5558f7db87f3769dc655a8f6ecbb68b302"
-dependencies = [
- "xmlparser",
-]
+checksum = "3cd14fd5e3b777a7422cca79358c57a8f6e3a703d9ac187448d0daf220c2407f"
[[package]]
name = "rustc-demangle"
@@ -2451,11 +2710,11 @@ dependencies = [
[[package]]
name = "rustix"
-version = "0.38.30"
+version = "0.38.31"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "322394588aaf33c24007e8bb3238ee3e4c5c09c084ab32bc73890b99ff326bca"
+checksum = "6ea3e1a662af26cd7a3ba09c0297a31af215563ecf42817c98df621387f4e949"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"errno",
"libc",
"linux-raw-sys",
@@ -2480,7 +2739,7 @@ version = "1.0.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "1c74cae0a4cf6ccbbf5f359f08efdf8ee7e1dc532573bf0db71968cb56b1448c"
dependencies = [
- "base64",
+ "base64 0.21.7",
]
[[package]]
@@ -2501,11 +2760,11 @@ checksum = "7ffc183a10b4478d04cbbbfc96d0873219d962dd5accaff2ffbd4ceb7df837f4"
[[package]]
name = "rustybuzz"
-version = "0.10.0"
+version = "0.12.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "71cd15fef9112a1f94ac64b58d1e4628192631ad6af4dc69997f995459c874e7"
+checksum = "f0ae5692c5beaad6a9e22830deeed7874eae8a4e3ba4076fb48e12c56856222c"
dependencies = [
- "bitflags 1.3.2",
+ "bitflags 2.4.2",
"bytemuck",
"smallvec",
"ttf-parser",
@@ -2517,9 +2776,9 @@ dependencies = [
[[package]]
name = "ryu"
-version = "1.0.16"
+version = "1.0.17"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f98d2aa92eebf49b69786be48e4477826b256916e84a57ff2a4f21923b48eb4c"
+checksum = "e86697c916019a8588c99b5fac3cead74ec0b4b819707a682fd4d23fa0ce1ba1"
[[package]]
name = "safemem"
@@ -2592,41 +2851,55 @@ dependencies = [
[[package]]
name = "semver"
-version = "1.0.21"
+version = "1.0.22"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b97ed7a9823b74f99c7742f5336af7be5ecd3eeafcb1507d1fa93347b1d589b0"
+checksum = "92d43fe69e652f3df9bdc2b85b2854a0825b86e4fb76bc44d945137d053639ca"
+dependencies = [
+ "serde",
+]
[[package]]
name = "serde"
-version = "1.0.195"
+version = "1.0.197"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "63261df402c67811e9ac6def069e4786148c4563f4b50fd4bf30aa370d626b02"
+checksum = "3fb1c873e1b9b056a4dc4c0c198b24c3ffa059243875552b2bd0933b1aee4ce2"
dependencies = [
"serde_derive",
]
[[package]]
name = "serde_derive"
-version = "1.0.195"
+version = "1.0.197"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "46fe8f8603d81ba86327b23a2e9cdf49e1255fb94a4c5f297f6ee0547178ea2c"
+checksum = "7eb0b34b42edc17f6b7cac84a52a1c5f0e1bb2227e997ca9011ea3dd34e8610b"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.52",
]
[[package]]
name = "serde_json"
-version = "1.0.111"
+version = "1.0.114"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "176e46fa42316f18edd598015a5166857fc835ec732f5215eac6b7bdbf0a84f4"
+checksum = "c5f09b1bd632ef549eaa9f60a1f8de742bdbc698e6cee2095fc84dde5f549ae0"
dependencies = [
"itoa",
"ryu",
"serde",
]
+[[package]]
+name = "serde_repr"
+version = "0.1.18"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0b2e6b945e9d3df726b65d6ee24060aff8e3533d431f677a9695db04eff9dfdb"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
[[package]]
name = "serde_spanned"
version = "0.6.5"
@@ -2650,16 +2923,17 @@ dependencies = [
[[package]]
name = "serde_with"
-version = "3.4.0"
+version = "3.6.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "64cd236ccc1b7a29e7e2739f27c0b2dd199804abc4290e32f59f3b68d6405c23"
+checksum = "15d167997bd841ec232f5b2b8e0e26606df2e7caa4c31b95ea9ca52b200bd270"
dependencies = [
- "base64",
+ "base64 0.21.7",
"chrono",
"hex",
"indexmap 1.9.3",
- "indexmap 2.1.0",
+ "indexmap 2.2.5",
"serde",
+ "serde_derive",
"serde_json",
"serde_with_macros",
"time",
@@ -2667,23 +2941,23 @@ dependencies = [
[[package]]
name = "serde_with_macros"
-version = "3.4.0"
+version = "3.6.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "93634eb5f75a2323b16de4748022ac4297f9e76b6dced2be287a099f41b5e788"
+checksum = "865f9743393e638991566a8b7a479043c2c8da94a33e0a31f18214c9cae0a64d"
dependencies = [
- "darling",
+ "darling 0.20.8",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.52",
]
[[package]]
name = "serde_yaml"
-version = "0.9.30"
+version = "0.9.32"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b1bf28c79a99f70ee1f1d83d10c875d2e70618417fda01ad1785e027579d9d38"
+checksum = "8fd075d994154d4a774f95b51fb96bdc2832b0ea48425c92546073816cda1f2f"
dependencies = [
- "indexmap 2.1.0",
+ "indexmap 2.2.5",
"itoa",
"ryu",
"serde",
@@ -2774,18 +3048,18 @@ dependencies = [
[[package]]
name = "smallvec"
-version = "1.11.2"
+version = "1.13.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4dccd0940a2dcdf68d092b8cbab7dc0ad8fa938bf95787e1b916b0e3d0e8e970"
+checksum = "e6ecd384b10a64542d77071bd64bd7b231f4ed5940fba55e98c3de13824cf3d7"
[[package]]
name = "socket2"
-version = "0.5.5"
+version = "0.5.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7b5fac59a5cb5dd637972e5fca70daf0523c9067fcdc4842f053dae04a18f8e9"
+checksum = "05ffd9c0a93b7543e062e759284fcf5f5e3b098501104bfbdde4d404db792871"
dependencies = [
"libc",
- "windows-sys 0.48.0",
+ "windows-sys 0.52.0",
]
[[package]]
@@ -2813,6 +3087,12 @@ dependencies = [
"winapi",
]
+[[package]]
+name = "static_assertions"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a2eb9349b6444b326872e140eb1cf5e7c522154d69e7a0ffb0fb81c06b37543f"
+
[[package]]
name = "strict-num"
version = "0.1.1"
@@ -2828,13 +3108,28 @@ version = "0.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "73473c0e59e6d5812c5dfe2a064a6444949f089e20eec9a2e5506596494e4623"
+[[package]]
+name = "strsim"
+version = "0.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5ee073c9e4cd00e28217186dbe12796d692868f432bf2e97ee73bed0c56dfa01"
+
[[package]]
name = "strum"
version = "0.25.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "290d54ea6f91c969195bdbcd7442c8c2a2ba87da8bf60a7ee86a235d4bc1e125"
dependencies = [
- "strum_macros",
+ "strum_macros 0.25.3",
+]
+
+[[package]]
+name = "strum"
+version = "0.26.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "723b93e8addf9aa965ebe2d11da6d7540fa2283fcea14b3371ff055f7ba13f5f"
+dependencies = [
+ "strum_macros 0.26.1",
]
[[package]]
@@ -2847,14 +3142,27 @@ dependencies = [
"proc-macro2",
"quote",
"rustversion",
- "syn 2.0.48",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "strum_macros"
+version = "0.26.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7a3417fc93d76740d974a01654a09777cb500428cc874ca9f45edfe0c4d4cd18"
+dependencies = [
+ "heck",
+ "proc-macro2",
+ "quote",
+ "rustversion",
+ "syn 2.0.52",
]
[[package]]
name = "svgtypes"
-version = "0.12.0"
+version = "0.13.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d71499ff2d42f59d26edb21369a308ede691421f79ebc0f001e2b1fd3a7c9e52"
+checksum = "6e44e288cd960318917cbd540340968b90becc8bc81f171345d706e7a89d9d70"
dependencies = [
"kurbo",
"siphasher 0.3.11",
@@ -2873,9 +3181,9 @@ dependencies = [
[[package]]
name = "syn"
-version = "2.0.48"
+version = "2.0.52"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0f3531638e407dfc0814761abb7c00a5b54992b849452a0646b7f65c9f770f3f"
+checksum = "b699d15b36d1f02c3e7c69f8ffef53de37aefae075d8488d4ba1a7788d574a07"
dependencies = [
"proc-macro2",
"quote",
@@ -2883,22 +3191,27 @@ dependencies = [
]
[[package]]
-name = "synstructure"
-version = "0.13.0"
+name = "sync_wrapper"
+version = "0.1.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "285ba80e733fac80aa4270fbcdf83772a79b80aa35c97075320abfee4a915b06"
+checksum = "2047c6ded9c721764247e62cd3b03c09ffc529b2ba5b10ec482ae507a4a70160"
+
+[[package]]
+name = "synstructure"
+version = "0.13.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c8af7666ab7b6390ab78131fb5b0fce11d6b7a6951602017c35fa82800708971"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
- "unicode-xid",
+ "syn 2.0.52",
]
[[package]]
name = "syntect"
-version = "5.1.0"
+version = "5.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e02b4b303bf8d08bfeb0445cba5068a3d306b6baece1d5582171a9bf49188f91"
+checksum = "874dcfa363995604333cf947ae9f751ca3af4522c60886774c4963943b4746b1"
dependencies = [
"bincode",
"bitflags 1.3.2",
@@ -2907,8 +3220,9 @@ dependencies = [
"fnv",
"once_cell",
"plist",
- "regex-syntax 0.7.5",
+ "regex-syntax",
"serde",
+ "serde_derive",
"serde_json",
"thiserror",
"walkdir",
@@ -2917,20 +3231,20 @@ dependencies = [
[[package]]
name = "system-configuration"
-version = "0.5.1"
+version = "0.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ba3a3adc5c275d719af8cb4272ea1c4a6d668a777f37e115f6d11ddbc1c8e0e7"
+checksum = "658bc6ee10a9b4fcf576e9b0819d95ec16f4d2c02d39fd83ac1c8789785c4a42"
dependencies = [
- "bitflags 1.3.2",
+ "bitflags 2.4.2",
"core-foundation",
"system-configuration-sys",
]
[[package]]
name = "system-configuration-sys"
-version = "0.5.0"
+version = "0.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a75fb188eb626b924683e3b95e3a48e63551fcfb51949de2f06a9d91dbee93c9"
+checksum = "8e1d1b10ced5ca923a1fcb8d03e96b8d3268065d724548c0211415ff6ac6bac4"
dependencies = [
"core-foundation-sys",
"libc",
@@ -2955,13 +3269,12 @@ dependencies = [
[[package]]
name = "tempfile"
-version = "3.9.0"
+version = "3.10.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "01ce4141aa927a6d1bd34a041795abd0db1cccba5d5f24b009f694bdf3a1f3fa"
+checksum = "85b77fafb263dd9d05cbeac119526425676db3784113aa9295c88498cbf8bff1"
dependencies = [
"cfg-if",
"fastrand",
- "redox_syscall",
"rustix",
"windows-sys 0.52.0",
]
@@ -2977,33 +3290,34 @@ dependencies = [
[[package]]
name = "thiserror"
-version = "1.0.56"
+version = "1.0.57"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d54378c645627613241d077a3a79db965db602882668f9136ac42af9ecb730ad"
+checksum = "1e45bcbe8ed29775f228095caf2cd67af7a4ccf756ebff23a306bf3e8b47b24b"
dependencies = [
"thiserror-impl",
]
[[package]]
name = "thiserror-impl"
-version = "1.0.56"
+version = "1.0.57"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fa0faa943b50f3db30a20aa7e265dbc66076993efed8463e8de414e5d06d3471"
+checksum = "a953cb265bef375dae3de6663da4d3804eee9682ea80d8e2542529b73c531c81"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.52",
]
[[package]]
name = "time"
-version = "0.3.31"
+version = "0.3.34"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f657ba42c3f86e7680e53c8cd3af8abbe56b5491790b46e22e19c0d57463583e"
+checksum = "c8248b6521bb14bc45b4067159b9b6ad792e2d6d754d6c41fb50e29fefe38749"
dependencies = [
"deranged",
"itoa",
"libc",
+ "num-conv",
"num_threads",
"powerfmt",
"serde",
@@ -3019,18 +3333,19 @@ checksum = "ef927ca75afb808a4d64dd374f00a2adf8d0fcff8e7b184af886c3c87ec4a3f3"
[[package]]
name = "time-macros"
-version = "0.2.16"
+version = "0.2.17"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "26197e33420244aeb70c3e8c78376ca46571bc4e701e4791c2cd9f57dcb3a43f"
+checksum = "7ba3a3ef41e6672a2f0f001392bb5dcd3ff0a9992d618ca761a11c3121547774"
dependencies = [
+ "num-conv",
"time-core",
]
[[package]]
name = "tiny-skia"
-version = "0.11.3"
+version = "0.11.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b6a067b809476893fce6a254cf285850ff69c847e6cfbade6a20b655b6c7e80d"
+checksum = "83d13394d44dae3207b52a326c0c85a8bf87f1541f23b0d143811088497b09ab"
dependencies = [
"arrayref",
"arrayvec",
@@ -3043,9 +3358,9 @@ dependencies = [
[[package]]
name = "tiny-skia-path"
-version = "0.11.3"
+version = "0.11.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5de35e8a90052baaaf61f171680ac2f8e925a1e43ea9d2e3a00514772250e541"
+checksum = "9c9e7fc0c2e86a30b117d0462aa261b72b7a99b7ebd7deb3a14ceda95c5bdc93"
dependencies = [
"arrayref",
"bytemuck",
@@ -3080,9 +3395,9 @@ checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20"
[[package]]
name = "tokio"
-version = "1.35.1"
+version = "1.36.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c89b4efa943be685f629b149f53829423f8f5531ea21249408e8e2f8671ec104"
+checksum = "61285f6515fa018fb2d1e46eb21223fff441ee8db5d0f1435e8ab4f5cdb80931"
dependencies = [
"backtrace",
"bytes",
@@ -3105,7 +3420,7 @@ checksum = "5b8a1e28f2deaa14e508979454cb3a223b10b938b45af148bc0986de36f1923b"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.52",
]
[[package]]
@@ -3130,9 +3445,9 @@ dependencies = [
[[package]]
name = "tokio-tungstenite"
-version = "0.20.1"
+version = "0.21.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "212d5dcb2a1ce06d81107c3d0ffa3121fe974b73f068c8282cb1c32328113b6c"
+checksum = "c83b561d025642014097b66e6c1bb422783339e0909e4429cde4749d1990bc38"
dependencies = [
"futures-util",
"log",
@@ -3156,9 +3471,9 @@ dependencies = [
[[package]]
name = "toml"
-version = "0.8.8"
+version = "0.8.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a1a195ec8c9da26928f773888e0742ca3ca1040c6cd859c919c9f59c1954ab35"
+checksum = "9a9aad4a3066010876e8dcf5a8a06e70a558751117a145c6ce2b82c2e2054290"
dependencies = [
"serde",
"serde_spanned",
@@ -3177,11 +3492,11 @@ dependencies = [
[[package]]
name = "toml_edit"
-version = "0.21.0"
+version = "0.22.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d34d383cd00a163b4a5b85053df514d45bc330f6de7737edfe0a93311d1eaa03"
+checksum = "2c1b5fd4128cc8d3e0cb74d4ed9a9cc7c7284becd4df68f5f940e1ad123606f6"
dependencies = [
- "indexmap 2.1.0",
+ "indexmap 2.2.5",
"serde",
"serde_spanned",
"toml_datetime",
@@ -3213,7 +3528,7 @@ checksum = "34704c8d6ebcbc939824180af020566b01a7c01f80641264eba0999f6c2b6be7"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.52",
]
[[package]]
@@ -3233,20 +3548,20 @@ checksum = "e421abadd41a4225275504ea4d6566923418b7f05506fbc9c0fe86ba7396114b"
[[package]]
name = "ttf-parser"
-version = "0.19.2"
+version = "0.20.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "49d64318d8311fc2668e48b63969f4343e0a85c4a109aa8460d6672e364b8bd1"
+checksum = "17f77d76d837a7830fe1d4f12b7b4ba4192c1888001c7164257e4bc6d21d96b4"
[[package]]
name = "tungstenite"
-version = "0.20.1"
+version = "0.21.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9e3dac10fd62eaf6617d3a904ae222845979aec67c615d1c842b4002c7666fb9"
+checksum = "9ef1a641ea34f399a848dea702823bbecfb4c486f911735368f1f137cb8257e1"
dependencies = [
"byteorder",
"bytes",
"data-encoding",
- "http",
+ "http 1.1.0",
"httparse",
"log",
"rand",
@@ -3256,6 +3571,17 @@ dependencies = [
"utf-8",
]
+[[package]]
+name = "two-face"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "37bed2135b2459c7eefba72c906d374697eb15949c205f2f124e3636a46b5eeb"
+dependencies = [
+ "once_cell",
+ "serde",
+ "syntect",
+]
+
[[package]]
name = "typed-arena"
version = "2.0.2"
@@ -3271,10 +3597,10 @@ checksum = "42ff0bf0c66b8238c6f3b578df37d0b7848e55df8577b3f74f92a69acceeb825"
[[package]]
name = "typst"
version = "0.10.0"
-source = "git+https://github.com/Myriad-Dreamin/typst.git?branch=typst.ts-v0.10.0-half#cce5dc159ff7275573765143767b705ec2eb8616"
+source = "git+https://github.com/Myriad-Dreamin/typst.git?branch=typst.ts-v0.11.0-2#48820fe69b8061bd949847afc343bf160d05c924"
dependencies = [
"az",
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"chinese-number",
"ciborium",
"comemo",
@@ -3288,13 +3614,19 @@ dependencies = [
"icu_provider_adapters",
"icu_provider_blob",
"icu_segmenter",
+ "if_chain",
"image",
- "indexmap 2.1.0",
+ "indexmap 2.2.5",
+ "kamadak-exif",
"kurbo",
"lipsum",
"log",
"once_cell",
"palette",
+ "phf",
+ "png",
+ "portable-atomic",
+ "qcms",
"rayon",
"regex",
"roxmltree",
@@ -3308,11 +3640,13 @@ dependencies = [
"syntect",
"time",
"toml",
- "tracing",
"ttf-parser",
+ "two-face",
"typed-arena",
+ "typst-assets 0.10.0",
"typst-macros",
"typst-syntax",
+ "typst-timing",
"unicode-bidi",
"unicode-math-class",
"unicode-script",
@@ -3321,63 +3655,69 @@ dependencies = [
"wasmi",
]
+[[package]]
+name = "typst-assets"
+version = "0.10.0"
+source = "git+https://github.com/typst/typst-assets?rev=4d1211a#4d1211ab5ba8a02992a7362000e6dd422d44dd68"
+
+[[package]]
+name = "typst-assets"
+version = "0.11.0-rc1"
+source = "git+https://github.com/typst/typst-assets?tag=v0.11.0-rc1#0ef66188759fc7035be57d9608ccb1ecfd3ef796"
+
[[package]]
name = "typst-macros"
version = "0.10.0"
-source = "git+https://github.com/Myriad-Dreamin/typst.git?branch=typst.ts-v0.10.0-half#cce5dc159ff7275573765143767b705ec2eb8616"
+source = "git+https://github.com/Myriad-Dreamin/typst.git?branch=typst.ts-v0.11.0-2#48820fe69b8061bd949847afc343bf160d05c924"
dependencies = [
"heck",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.52",
]
[[package]]
name = "typst-preview"
-version = "0.10.5"
+version = "0.10.10"
dependencies = [
"anyhow",
- "chrono",
+ "await-tree",
"clap",
"clap_complete",
"clap_mangen",
- "codespan-reporting",
"comemo",
- "dirs",
"elsa",
"env_logger",
"futures",
"hyper",
+ "indexmap 2.2.5",
"log",
- "memmap2 0.7.1",
+ "memmap2",
"notify",
"once_cell",
"open",
- "same-file",
"serde",
"serde_json",
- "siphasher 1.0.0",
"tiny-skia",
"tokio",
"tokio-tungstenite",
"typst",
+ "typst-assets 0.11.0-rc1",
"typst-ts-compiler",
"typst-ts-core",
"typst-ts-svg-exporter",
"vergen",
- "walkdir",
]
[[package]]
name = "typst-syntax"
version = "0.10.0"
-source = "git+https://github.com/Myriad-Dreamin/typst.git?branch=typst.ts-v0.10.0-half#cce5dc159ff7275573765143767b705ec2eb8616"
+source = "git+https://github.com/Myriad-Dreamin/typst.git?branch=typst.ts-v0.11.0-2#48820fe69b8061bd949847afc343bf160d05c924"
dependencies = [
"comemo",
"ecow",
"once_cell",
"serde",
- "tracing",
"unicode-ident",
"unicode-math-class",
"unicode-script",
@@ -3385,13 +3725,24 @@ dependencies = [
"unscanny",
]
+[[package]]
+name = "typst-timing"
+version = "0.10.0"
+source = "git+https://github.com/Myriad-Dreamin/typst.git?branch=typst.ts-v0.11.0-2#48820fe69b8061bd949847afc343bf160d05c924"
+dependencies = [
+ "parking_lot",
+ "serde",
+ "serde_json",
+ "typst-syntax",
+]
+
[[package]]
name = "typst-ts-compiler"
-version = "0.4.2-rc5"
-source = "git+https://github.com/Myriad-Dreamin/typst.ts?rev=54471328e55df43479ff56dc44920f803ccf1fe4#54471328e55df43479ff56dc44920f803ccf1fe4"
+version = "0.4.2-rc8"
+source = "git+https://github.com/Myriad-Dreamin/typst.ts?rev=c8ff53382c2afa67582465c6e7e0fd78603e4341#c8ff53382c2afa67582465c6e7e0fd78603e4341"
dependencies = [
"append-only-vec",
- "base64",
+ "base64 0.22.0",
"chrono",
"codespan-reporting",
"comemo",
@@ -3401,7 +3752,7 @@ dependencies = [
"fontdb",
"fst",
"hex",
- "indexmap 2.1.0",
+ "indexmap 2.2.5",
"instant",
"log",
"nohash-hasher",
@@ -3414,7 +3765,7 @@ dependencies = [
"serde",
"serde_json",
"sha2",
- "strum",
+ "strum 0.25.0",
"tar",
"tokio",
"typst",
@@ -3425,15 +3776,16 @@ dependencies = [
[[package]]
name = "typst-ts-core"
-version = "0.4.2-rc5"
-source = "git+https://github.com/Myriad-Dreamin/typst.ts?rev=54471328e55df43479ff56dc44920f803ccf1fe4#54471328e55df43479ff56dc44920f803ccf1fe4"
+version = "0.4.2-rc8"
+source = "git+https://github.com/Myriad-Dreamin/typst.ts?rev=c8ff53382c2afa67582465c6e7e0fd78603e4341#c8ff53382c2afa67582465c6e7e0fd78603e4341"
dependencies = [
- "base64",
+ "base64 0.22.0",
"base64-serde",
"bitvec",
"byteorder",
"comemo",
"crossbeam-queue",
+ "dashmap",
"ecow",
"elsa",
"flate2",
@@ -3441,18 +3793,17 @@ dependencies = [
"hex",
"log",
"once_cell",
- "owning_ref",
"parking_lot",
"path-clean",
"rayon",
- "rkyv",
+ "reflexo",
"rustc-hash",
"serde",
"serde_json",
+ "serde_repr",
"serde_with",
"sha2",
"siphasher 1.0.0",
- "svgtypes",
"tiny-skia",
"tiny-skia-path",
"ttf-parser",
@@ -3462,10 +3813,10 @@ dependencies = [
[[package]]
name = "typst-ts-svg-exporter"
-version = "0.4.2-rc5"
-source = "git+https://github.com/Myriad-Dreamin/typst.ts?rev=54471328e55df43479ff56dc44920f803ccf1fe4#54471328e55df43479ff56dc44920f803ccf1fe4"
+version = "0.4.2-rc8"
+source = "git+https://github.com/Myriad-Dreamin/typst.ts?rev=c8ff53382c2afa67582465c6e7e0fd78603e4341#c8ff53382c2afa67582465c6e7e0fd78603e4341"
dependencies = [
- "base64",
+ "base64 0.22.0",
"comemo",
"log",
"once_cell",
@@ -3506,9 +3857,9 @@ dependencies = [
[[package]]
name = "unicode-bidi"
-version = "0.3.14"
+version = "0.3.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6f2528f27a9eb2b21e69c95319b30bd0efd85d09c379741b0f78ea1d86be2416"
+checksum = "08f95100a766bf4f8f28f90d77e0a5461bbdb219042e7679bebe79004fed8d75"
[[package]]
name = "unicode-bidi-mirroring"
@@ -3536,30 +3887,30 @@ checksum = "7d246cf599d5fae3c8d56e04b20eb519adb89a8af8d0b0fbcded369aa3647d65"
[[package]]
name = "unicode-normalization"
-version = "0.1.22"
+version = "0.1.23"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5c5713f0fc4b5db668a2ac63cdb7bb4469d8c9fed047b1d0292cc7b0ce2ba921"
+checksum = "a56d1686db2308d901306f92a263857ef59ea39678a5458e7cb17f01415101f5"
dependencies = [
"tinyvec",
]
[[package]]
name = "unicode-properties"
-version = "0.1.0"
+version = "0.1.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c7f91c8b21fbbaa18853c3d0801c78f4fc94cdb976699bb03e832e75f7fd22f0"
+checksum = "e4259d9d4425d9f0661581b804cb85fe66a4c631cadd8f490d1c13a35d5d9291"
[[package]]
name = "unicode-script"
-version = "0.5.5"
+version = "0.5.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7d817255e1bed6dfd4ca47258685d14d2bdcfbc64fdc9e3819bd5848057b8ecc"
+checksum = "ad8d71f5726e5f285a935e9fe8edfd53f0491eb6e9a5774097fdabee7cd8c9cd"
[[package]]
name = "unicode-segmentation"
-version = "1.10.1"
+version = "1.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1dd624098567895118886609431a7c3b8f516e41d30e0643f03d94592a147e36"
+checksum = "d4c87d22b6e3f4a18d4d40ef354e97c90fcb14dd91d7dc0aa9d8a1172ebf7202"
[[package]]
name = "unicode-vo"
@@ -3573,12 +3924,6 @@ version = "0.1.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "e51733f11c9c4f72aa0c160008246859e340b00807569a0da0e7a1079b27ba85"
-[[package]]
-name = "unicode-xid"
-version = "0.2.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f962df74c8c05a667b5ee8bcf162993134c104e96440b663c8daa176dc772d8c"
-
[[package]]
name = "unsafe-libyaml"
version = "0.2.10"
@@ -3611,11 +3956,11 @@ dependencies = [
[[package]]
name = "usvg"
-version = "0.36.0"
+version = "0.38.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c51daa774fe9ee5efcf7b4fec13019b8119cda764d9a8b5b06df02bb1445c656"
+checksum = "377f62b4a3c173de8654c1aa80ab1dac1154e6f13a779a9943e53780120d1625"
dependencies = [
- "base64",
+ "base64 0.21.7",
"log",
"pico-args",
"usvg-parser",
@@ -3626,9 +3971,9 @@ dependencies = [
[[package]]
name = "usvg-parser"
-version = "0.36.0"
+version = "0.38.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "45c88a5ffaa338f0e978ecf3d4e00d8f9f493e29bed0752e1a808a1db16afc40"
+checksum = "351a05e6f2023d6b4e946f734240a3927aefdcf930d7d42587a2c8a8869814b0"
dependencies = [
"data-url",
"flate2",
@@ -3644,9 +3989,9 @@ dependencies = [
[[package]]
name = "usvg-text-layout"
-version = "0.36.0"
+version = "0.38.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4d2374378cb7a3fb8f33894e0fdb8625e1bbc4f25312db8d91f862130b541593"
+checksum = "8c41888b9d5cf431fe852eaf9d047bbde83251b98f1749c2f08b1071e6db46e2"
dependencies = [
"fontdb",
"kurbo",
@@ -3660,11 +4005,10 @@ dependencies = [
[[package]]
name = "usvg-tree"
-version = "0.36.0"
+version = "0.38.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6cacb0c5edeaf3e80e5afcf5b0d4004cc1d36318befc9a7c6606507e5d0f4062"
+checksum = "18863e0404ed153d6e56362c5b1146db9f4f262a3244e3cf2dbe7d8a85909f05"
dependencies = [
- "rctree",
"strict-num",
"svgtypes",
"tiny-skia-path",
@@ -3690,9 +4034,9 @@ checksum = "711b9620af191e0cdc7468a8d14e709c3dcdb115b36f838e601583af800a370a"
[[package]]
name = "uuid"
-version = "1.6.1"
+version = "1.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5e395fcf16a7a3d8127ec99782007af141946b4795001f876d54fb0d55978560"
+checksum = "f00cc9702ca12d3c81455259621e676d0f7251cec66a21e98fe2e9a37db93b2a"
[[package]]
name = "vcpkg"
@@ -3702,12 +4046,15 @@ checksum = "accd4ea62f7bb7a82fe23066fb0957d48ef677f6eeb8215f372f52e48bb32426"
[[package]]
name = "vergen"
-version = "8.2.7"
+version = "8.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f2066fbfd3bfbadab28cab8bae840c9e74917bc6deeef2ed0781f2eb2fdfafdb"
+checksum = "e27d6bdd219887a9eadd19e1c34f32e47fa332301184935c6d9bca26f3cca525"
dependencies = [
"anyhow",
+ "cargo_metadata",
+ "cfg-if",
"git2",
+ "regex",
"rustc_version",
"rustversion",
"time",
@@ -3721,9 +4068,9 @@ checksum = "49874b5167b65d7193b8aba1567f5c7d93d001cafc34600cee003eda787e483f"
[[package]]
name = "walkdir"
-version = "2.4.0"
+version = "2.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d71d857dc86794ca4c280d616f7da00d2dbfd8cd788846559a6813e6aa4b54ee"
+checksum = "29790946404f91d9c5d06f9874efddea1dc06c5efe94541a7d6863108e3a5e4b"
dependencies = [
"same-file",
"winapi-util",
@@ -3745,10 +4092,19 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
[[package]]
-name = "wasm-bindgen"
-version = "0.2.90"
+name = "wasix"
+version = "0.12.21"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b1223296a201415c7fad14792dbefaace9bd52b62d33453ade1c5b5f07555406"
+checksum = "c1fbb4ef9bbca0c1170e0b00dd28abc9e3b68669821600cad1caaed606583c6d"
+dependencies = [
+ "wasi",
+]
+
+[[package]]
+name = "wasm-bindgen"
+version = "0.2.92"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4be2531df63900aeb2bca0daaaddec08491ee64ceecbee5076636a3b026795a8"
dependencies = [
"cfg-if",
"wasm-bindgen-macro",
@@ -3756,24 +4112,24 @@ dependencies = [
[[package]]
name = "wasm-bindgen-backend"
-version = "0.2.90"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fcdc935b63408d58a32f8cc9738a0bffd8f05cc7c002086c6ef20b7312ad9dcd"
+checksum = "614d787b966d3989fa7bb98a654e369c762374fd3213d212cfc0251257e747da"
dependencies = [
"bumpalo",
"log",
"once_cell",
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.52",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-futures"
-version = "0.4.40"
+version = "0.4.42"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bde2032aeb86bdfaecc8b261eef3cba735cc426c1f3a3416d1e0791be95fc461"
+checksum = "76bc14366121efc8dbb487ab05bcc9d346b3b5ec0eaa76e46594cabbe51762c0"
dependencies = [
"cfg-if",
"js-sys",
@@ -3783,9 +4139,9 @@ dependencies = [
[[package]]
name = "wasm-bindgen-macro"
-version = "0.2.90"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3e4c238561b2d428924c49815533a8b9121c664599558a5d9ec51f8a1740a999"
+checksum = "a1f8823de937b71b9460c0c34e25f3da88250760bec0ebac694b49997550d726"
dependencies = [
"quote",
"wasm-bindgen-macro-support",
@@ -3793,28 +4149,28 @@ dependencies = [
[[package]]
name = "wasm-bindgen-macro-support"
-version = "0.2.90"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bae1abb6806dc1ad9e560ed242107c0f6c84335f1749dd4e8ddb012ebd5e25a7"
+checksum = "e94f17b526d0a461a191c78ea52bbce64071ed5c04c9ffe424dcb38f74171bb7"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.52",
"wasm-bindgen-backend",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-shared"
-version = "0.2.90"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4d91413b1c31d7539ba5ef2451af3f0b833a005eb27a631cec32bc0635a8602b"
+checksum = "af190c94f2773fdb3729c55b007a722abb5384da03bc0986df4c289bf5567e96"
[[package]]
name = "wasmi"
-version = "0.31.1"
+version = "0.31.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "acfc1e384a36ca532d070a315925887247f3c7e23567e23e0ac9b1c5d6b8bf76"
+checksum = "77a8281d1d660cdf54c76a3efa9ddd0c270cada1383a995db3ccb43d166456c7"
dependencies = [
"smallvec",
"spin",
@@ -3825,9 +4181,9 @@ dependencies = [
[[package]]
name = "wasmi_arena"
-version = "0.4.0"
+version = "0.4.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "401c1f35e413fac1846d4843745589d9ec678977ab35a384db8ae7830525d468"
+checksum = "104a7f73be44570cac297b3035d76b169d6599637631cf37a1703326a0727073"
[[package]]
name = "wasmi_core"
@@ -3851,10 +4207,16 @@ dependencies = [
]
[[package]]
-name = "web-sys"
-version = "0.3.67"
+name = "weak-table"
+version = "0.3.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "58cd2333b6e0be7a39605f0e255892fd7418a682d8da8fe042fe25128794d2ed"
+checksum = "323f4da9523e9a669e1eaf9c6e763892769b1d38c623913647bfdc1532fe4549"
+
+[[package]]
+name = "web-sys"
+version = "0.3.69"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "77afa9a11836342370f4817622a2f0f418b134426d91a82dfb48f532d2ec13ef"
dependencies = [
"js-sys",
"wasm-bindgen",
@@ -3862,15 +4224,15 @@ dependencies = [
[[package]]
name = "webpki-roots"
-version = "0.25.3"
+version = "0.25.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1778a42e8b3b90bff8d0f5032bf22250792889a5cdc752aa0020c84abe3aaf10"
+checksum = "5f20c57d8d7db6d3b86154206ae5d8fba62dd39573114de97c2cb0578251f8e1"
[[package]]
name = "weezl"
-version = "0.1.7"
+version = "0.1.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9193164d4de03a926d909d3bc7c30543cecb35400c02114792c2cae20d5e2dbb"
+checksum = "53a85b86a771b1c87058196170769dd264f66c0782acf1ae6cc51bfd64b39082"
[[package]]
name = "winapi"
@@ -3909,7 +4271,7 @@ version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "33ab640c8d7e35bf8ba19b884ba838ceb4fba93a4e8c65a9059d08afcfc683d9"
dependencies = [
- "windows-targets 0.52.0",
+ "windows-targets 0.52.4",
]
[[package]]
@@ -3927,7 +4289,7 @@ version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "282be5f36a8ce781fad8c8ae18fa3f9beff57ec1b52cb3de0789201425d9a33d"
dependencies = [
- "windows-targets 0.52.0",
+ "windows-targets 0.52.4",
]
[[package]]
@@ -3947,17 +4309,17 @@ dependencies = [
[[package]]
name = "windows-targets"
-version = "0.52.0"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8a18201040b24831fbb9e4eb208f8892e1f50a37feb53cc7ff887feb8f50e7cd"
+checksum = "7dd37b7e5ab9018759f893a1952c9420d060016fc19a472b4bb20d1bdd694d1b"
dependencies = [
- "windows_aarch64_gnullvm 0.52.0",
- "windows_aarch64_msvc 0.52.0",
- "windows_i686_gnu 0.52.0",
- "windows_i686_msvc 0.52.0",
- "windows_x86_64_gnu 0.52.0",
- "windows_x86_64_gnullvm 0.52.0",
- "windows_x86_64_msvc 0.52.0",
+ "windows_aarch64_gnullvm 0.52.4",
+ "windows_aarch64_msvc 0.52.4",
+ "windows_i686_gnu 0.52.4",
+ "windows_i686_msvc 0.52.4",
+ "windows_x86_64_gnu 0.52.4",
+ "windows_x86_64_gnullvm 0.52.4",
+ "windows_x86_64_msvc 0.52.4",
]
[[package]]
@@ -3968,9 +4330,9 @@ checksum = "2b38e32f0abccf9987a4e3079dfb67dcd799fb61361e53e2882c3cbaf0d905d8"
[[package]]
name = "windows_aarch64_gnullvm"
-version = "0.52.0"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cb7764e35d4db8a7921e09562a0304bf2f93e0a51bfccee0bd0bb0b666b015ea"
+checksum = "bcf46cf4c365c6f2d1cc93ce535f2c8b244591df96ceee75d8e83deb70a9cac9"
[[package]]
name = "windows_aarch64_msvc"
@@ -3980,9 +4342,9 @@ checksum = "dc35310971f3b2dbbf3f0690a219f40e2d9afcf64f9ab7cc1be722937c26b4bc"
[[package]]
name = "windows_aarch64_msvc"
-version = "0.52.0"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bbaa0368d4f1d2aaefc55b6fcfee13f41544ddf36801e793edbbfd7d7df075ef"
+checksum = "da9f259dd3bcf6990b55bffd094c4f7235817ba4ceebde8e6d11cd0c5633b675"
[[package]]
name = "windows_i686_gnu"
@@ -3992,9 +4354,9 @@ checksum = "a75915e7def60c94dcef72200b9a8e58e5091744960da64ec734a6c6e9b3743e"
[[package]]
name = "windows_i686_gnu"
-version = "0.52.0"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a28637cb1fa3560a16915793afb20081aba2c92ee8af57b4d5f28e4b3e7df313"
+checksum = "b474d8268f99e0995f25b9f095bc7434632601028cf86590aea5c8a5cb7801d3"
[[package]]
name = "windows_i686_msvc"
@@ -4004,9 +4366,9 @@ checksum = "8f55c233f70c4b27f66c523580f78f1004e8b5a8b659e05a4eb49d4166cca406"
[[package]]
name = "windows_i686_msvc"
-version = "0.52.0"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ffe5e8e31046ce6230cc7215707b816e339ff4d4d67c65dffa206fd0f7aa7b9a"
+checksum = "1515e9a29e5bed743cb4415a9ecf5dfca648ce85ee42e15873c3cd8610ff8e02"
[[package]]
name = "windows_x86_64_gnu"
@@ -4016,9 +4378,9 @@ checksum = "53d40abd2583d23e4718fddf1ebec84dbff8381c07cae67ff7768bbf19c6718e"
[[package]]
name = "windows_x86_64_gnu"
-version = "0.52.0"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3d6fa32db2bc4a2f5abeacf2b69f7992cd09dca97498da74a151a3132c26befd"
+checksum = "5eee091590e89cc02ad514ffe3ead9eb6b660aedca2183455434b93546371a03"
[[package]]
name = "windows_x86_64_gnullvm"
@@ -4028,9 +4390,9 @@ checksum = "0b7b52767868a23d5bab768e390dc5f5c55825b6d30b86c844ff2dc7414044cc"
[[package]]
name = "windows_x86_64_gnullvm"
-version = "0.52.0"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1a657e1e9d3f514745a572a6846d3c7aa7dbe1658c056ed9c3344c4109a6949e"
+checksum = "77ca79f2451b49fa9e2af39f0747fe999fcda4f5e241b2898624dca97a1f2177"
[[package]]
name = "windows_x86_64_msvc"
@@ -4040,15 +4402,15 @@ checksum = "ed94fce61571a4006852b7389a063ab983c02eb1bb37b47f8272ce92d06d9538"
[[package]]
name = "windows_x86_64_msvc"
-version = "0.52.0"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dff9641d1cd4be8d1a070daf9e3773c5f67e78b4d9d42263020c057706765c04"
+checksum = "32b752e52a2da0ddfbdbcc6fceadfeede4c939ed16d13e648833a61dfb611ed8"
[[package]]
name = "winnow"
-version = "0.5.34"
+version = "0.6.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b7cf47b659b318dccbd69cc4797a39ae128f533dce7902a1096044d1967b9c16"
+checksum = "dffa400e67ed5a4dd237983829e66475f0a4a26938c4b04c21baede6262215b8"
dependencies = [
"memchr",
]
@@ -4080,9 +4442,9 @@ dependencies = [
[[package]]
name = "xattr"
-version = "1.2.0"
+version = "1.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "914566e6413e7fa959cc394fb30e563ba80f3541fbd40816d4c05a0fc3f2a0f1"
+checksum = "8da84f1a25939b27f6820d92aed108f83ff920fdf11a7b19366c27c4cda81d4f"
dependencies = [
"libc",
"linux-raw-sys",
@@ -4130,7 +4492,7 @@ checksum = "9e6936f0cce458098a201c245a11bef556c6a0181129c7034d10d76d1ec3a2b8"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.52",
"synstructure",
]
@@ -4151,7 +4513,7 @@ checksum = "e6a647510471d372f2e6c2e6b7219e44d8c574d24fdc11c610a61455782f18c3"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.52",
"synstructure",
]
@@ -4187,5 +4549,5 @@ checksum = "7b4e5997cbf58990550ef1f0e5124a05e47e1ebd33a84af25739be6031a62c20"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.48",
+ "syn 2.0.52",
]
diff --git a/pkgs/by-name/ty/typst-preview/dom.json b/pkgs/by-name/ty/typst-preview/dom.json
index c3172208d400..a0ee5f809290 100644
--- a/pkgs/by-name/ty/typst-preview/dom.json
+++ b/pkgs/by-name/ty/typst-preview/dom.json
@@ -9,15 +9,16 @@
"preview": "vite preview",
"test": "vitest",
"coverage": "vitest run --coverage",
- "link:local": "yarn link @myriaddreamin/typst.ts @myriaddreamin/typst-ts-renderer"
+ "link:local": "yarn link @myriaddreamin/typst.ts @myriaddreamin/typst-ts-renderer",
+ "unlink:local": "yarn unlink @myriaddreamin/typst.ts @myriaddreamin/typst-ts-renderer"
},
"peerDependencies": {
- "@myriaddreamin/typst-ts-renderer": "0.4.2-rc5",
- "@myriaddreamin/typst.ts": "0.4.2-rc5"
+ "@myriaddreamin/typst-ts-renderer": "0.4.2-rc9",
+ "@myriaddreamin/typst.ts": "0.4.2-rc9"
},
"devDependencies": {
- "@myriaddreamin/typst-ts-renderer": "0.4.2-rc5",
- "@myriaddreamin/typst.ts": "0.4.2-rc5",
+ "@myriaddreamin/typst-ts-renderer": "0.4.2-rc9",
+ "@myriaddreamin/typst.ts": "0.4.2-rc9",
"typescript": "^5.0.2",
"vite": "^4.3.9",
"vite-plugin-singlefile": "^0.13.5",
@@ -25,7 +26,7 @@
"vitest": "^0.32.2"
},
"exports": {
- ".": "./dist/esm/index.mjs",
- "./*": "./dist/esm/*"
+ ".": "./src/index.mts",
+ "./*": "./src/*"
}
}
diff --git a/pkgs/by-name/ty/typst-preview/frontend.json b/pkgs/by-name/ty/typst-preview/frontend.json
index 365a04beeafd..66163cb7920f 100644
--- a/pkgs/by-name/ty/typst-preview/frontend.json
+++ b/pkgs/by-name/ty/typst-preview/frontend.json
@@ -9,16 +9,17 @@
"preview": "vite preview",
"test": "vitest",
"coverage": "vitest run --coverage",
- "link:local": "yarn link @myriaddreamin/typst.ts @myriaddreamin/typst-ts-renderer"
+ "link:local": "yarn link @myriaddreamin/typst.ts @myriaddreamin/typst-ts-renderer",
+ "unlink:local": "yarn unlink @myriaddreamin/typst.ts @myriaddreamin/typst-ts-renderer"
},
"dependencies": {
- "@myriaddreamin/typst-ts-renderer": "0.4.2-rc5",
- "@myriaddreamin/typst.ts": "0.4.2-rc5",
+ "@myriaddreamin/typst-ts-renderer": "0.4.2-rc9",
+ "@myriaddreamin/typst.ts": "0.4.2-rc9",
"typst-dom": "file:../typst-dom",
"rxjs": "^7.8.1"
},
"devDependencies": {
- "typescript": "^5.0.2",
+ "typescript": "^5.3.3",
"vite": "^4.3.9",
"vite-plugin-singlefile": "^0.13.5",
"vite-plugin-wasm": "^3.2.2",
diff --git a/pkgs/by-name/ty/typst-preview/package.nix b/pkgs/by-name/ty/typst-preview/package.nix
index 0e5f12cdc4c3..35a9d501fbab 100644
--- a/pkgs/by-name/ty/typst-preview/package.nix
+++ b/pkgs/by-name/ty/typst-preview/package.nix
@@ -14,14 +14,20 @@
let
# Keep the vscode "mgt19937.typst-preview" extension in sync when updating
# this package at pkgs/applications/editors/vscode/extensions/default.nix
- version = "0.10.5";
+ version = "0.11.2";
src = fetchFromGitHub {
owner = "Enter-tainer";
repo = "typst-preview";
rev = "v${version}";
- hash = "sha256-BebOwlY2hm/SGYCtmsQICbo1V8sbUMYVWSM773Qmh04=";
+ hash = "sha256-etFP1CuRSE6Sy19+dlF6FUQhuqJrJ53v7LZFrTyA+q0=";
fetchSubmodules = true;
+
+ postFetch = ''
+ cd $out
+ substituteInPlace addons/frontend/yarn.lock \
+ --replace-fail '"typst-dom@link:../typst-dom"' '"typst-dom@file:../typst-dom"'
+ '';
};
frontendSrc = "${src}/addons/frontend";
@@ -35,7 +41,7 @@ let
offlineCache = fetchYarnDeps {
yarnLock = "${domSrc}/yarn.lock";
- hash = "sha256-SxOQ/RABUkiqE7dLaDS0kETGiir4SMWJ2w7i7zMEl7U=";
+ hash = "sha256-aDs+2n6sL4MTizRuYqkwfYrx/lK3ll9u4NoN0zPyWco=";
};
buildPhase = ''
@@ -61,7 +67,7 @@ let
offlineCache = fetchYarnDeps {
yarnLock = "${frontendSrc}/yarn.lock";
- hash = "sha256-6e3UNd8gIBnTtllpo/1AC1XzeZ88rdUiechoQfo5V1Y=";
+ hash = "sha256-gkjtDi7ZR3aKn1ZRJEkFc3IdhbmF1GyYoGiIniOsPBo=";
};
packageResolutions = { inherit typst-dom; };
@@ -86,14 +92,7 @@ rustPlatform.buildRustPackage {
pname = "typst-preview";
inherit version src;
- cargoLock = {
- lockFile = ./Cargo.lock;
- outputHashes = {
- "typst-0.10.0" = "sha256-/Oy4KigXu1E/S9myd+eigqlNvk5x+Ld9gTL9dtpoyqk=";
- "typst-ts-compiler-0.4.2-rc5" =
- "sha256-fhwTaAK19Nb7AKNJ9QBZgK1MO7g7s5AdSDqaBjLxT3w=";
- };
- };
+ cargoHash = "sha256-f9oTeUMbXjkCHLsiMng9gME9QGRWgBi1WAwoeBCeT6I=";
nativeBuildInputs = [
pkg-config
@@ -113,6 +112,7 @@ rustPlatform.buildRustPackage {
prePatch = ''
mkdir -p addons/vscode/out/frontend
cp -R ${frontend}/* addons/vscode/out/frontend/
+ cp -R ${frontend}/index.html ./src/index.html
'';
meta = {
diff --git a/pkgs/by-name/ty/typst/Cargo.lock b/pkgs/by-name/ty/typst/Cargo.lock
index b5a869b62793..e054eacee948 100644
--- a/pkgs/by-name/ty/typst/Cargo.lock
+++ b/pkgs/by-name/ty/typst/Cargo.lock
@@ -8,19 +8,6 @@ version = "1.0.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "f26201604c87b1e01bd3d98f8d5d9a8fcbb815e8cedb41ffccbeb4bf593a35fe"
-[[package]]
-name = "ahash"
-version = "0.8.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "91429305e9f0a25f6205c5b8e0d2db09e0708a7a6df0f42212bb56c32c8ac97a"
-dependencies = [
- "cfg-if",
- "getrandom",
- "once_cell",
- "version_check",
- "zerocopy",
-]
-
[[package]]
name = "aho-corasick"
version = "1.1.2"
@@ -47,9 +34,9 @@ dependencies = [
[[package]]
name = "anstream"
-version = "0.6.4"
+version = "0.6.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2ab91ebe16eb252986481c5b62f6098f3b698a45e34b5b98200cf20dd2484a44"
+checksum = "d96bd03f33fe50a863e394ee9718a706f988b9079b20c3784fb726e7678b62fb"
dependencies = [
"anstyle",
"anstyle-parse",
@@ -61,36 +48,36 @@ dependencies = [
[[package]]
name = "anstyle"
-version = "1.0.4"
+version = "1.0.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7079075b41f533b8c61d2a4d073c4676e1f8b249ff94a393b0595db304e0dd87"
+checksum = "8901269c6307e8d93993578286ac0edf7f195079ffff5ebdeea6a59ffb7e36bc"
[[package]]
name = "anstyle-parse"
-version = "0.2.2"
+version = "0.2.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "317b9a89c1868f5ea6ff1d9539a69f45dffc21ce321ac1fd1160dfa48c8e2140"
+checksum = "c75ac65da39e5fe5ab759307499ddad880d724eed2f6ce5b5e8a26f4f387928c"
dependencies = [
"utf8parse",
]
[[package]]
name = "anstyle-query"
-version = "1.0.0"
+version = "1.0.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5ca11d4be1bab0c8bc8734a9aa7bf4ee8316d462a08c6ac5052f888fef5b494b"
+checksum = "e28923312444cdd728e4738b3f9c9cac739500909bb3d3c94b43551b16517648"
dependencies = [
- "windows-sys",
+ "windows-sys 0.52.0",
]
[[package]]
name = "anstyle-wincon"
-version = "3.0.1"
+version = "3.0.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f0699d10d2f4d628a98ee7b57b289abbc98ff3bad977cb3152709d4bf2330628"
+checksum = "1cd54b81ec8d6180e24654d0b371ad22fc3dd083b6ff8ba325b72e00c87660a7"
dependencies = [
"anstyle",
- "windows-sys",
+ "windows-sys 0.52.0",
]
[[package]]
@@ -102,6 +89,12 @@ dependencies = [
"num-traits",
]
+[[package]]
+name = "arbitrary"
+version = "1.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7d5a26814d8dcb93b0e5a0ff3c6d80a8843bafb21b39e8e18a6f05471870e110"
+
[[package]]
name = "arrayref"
version = "0.3.7"
@@ -128,15 +121,21 @@ checksum = "7b7e4c2464d97fe331d41de9d5db0def0a96f4d823b8b32a2efd503578988973"
[[package]]
name = "base64"
-version = "0.21.5"
+version = "0.21.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "35636a1494ede3b646cc98f74f8e62c773a38a659ebc777a2cf26b9b74171df9"
+checksum = "9d297deb1925b89f2ccc13d7635fa0714f12c87adce1c75356b39ca9b7178567"
+
+[[package]]
+name = "base64"
+version = "0.22.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9475866fec1451be56a3c2400fd081ff546538961565ccb5b7142cbd22bc7a51"
[[package]]
name = "biblatex"
-version = "0.9.0"
+version = "0.9.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "88be3c837773ba281f5fd6674c1c82565c62726abe3d76707da6fb9d434cc392"
+checksum = "27fe7285040d0227cd8b5395e1c4783f44f0b673eca5a657f4432ae401f2b7b8"
dependencies = [
"numerals",
"paste",
@@ -177,9 +176,9 @@ checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a"
[[package]]
name = "bitflags"
-version = "2.4.1"
+version = "2.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "327762f6e5a765692301e5bb513e0d9fef63be86bbc14528052b1cd3e6f03e07"
+checksum = "ed570934406eb16438a4e976b1b4500774099c13b8cb96eec99f620f05090ddf"
dependencies = [
"serde",
]
@@ -198,15 +197,15 @@ dependencies = [
[[package]]
name = "bumpalo"
-version = "3.14.0"
+version = "3.15.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7f30e7476521f6f8af1a1c4c0b8cc94f0bee37d91763d0ca2665f299b6cd8aec"
+checksum = "7ff69b9dd49fd426c69a0db9fc04dd934cdb6645ff000864d98f7e2af8830eaa"
[[package]]
name = "bytemuck"
-version = "1.14.0"
+version = "1.14.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "374d28ec25809ee0e23827c2ab573d729e293f281dfe393500e7ad618baa61c6"
+checksum = "a2ef034f05691a48569bd920a96c81b9d91bbad1ab5ac7c4616c1f6ef36cb79f"
[[package]]
name = "byteorder"
@@ -216,10 +215,11 @@ checksum = "1fd0f2584146f6f2ef48085050886acf353beff7305ebd1ae69500e27c67f64b"
[[package]]
name = "cc"
-version = "1.0.83"
+version = "1.0.90"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f1174fb0b6ec23863f8b971027804a42614e347eafb0a95bf0b12cdae21fc4d0"
+checksum = "8cd6604a82acf3039f1144f54b8eb34e91ffba622051189e71b781822d5ee1f5"
dependencies = [
+ "jobserver",
"libc",
]
@@ -231,9 +231,9 @@ checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd"
[[package]]
name = "chinese-number"
-version = "0.7.3"
+version = "0.7.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d9cec9efb10b00914876c7e7b1fdaec572b888443b4046cd11ba91eb8c5a1ccb"
+checksum = "49fccaef6346f6d6a741908d3b79fe97c2debe2fbb5eb3a7d00ff5981b52bb6c"
dependencies = [
"chinese-variant",
"enum-ordinalize",
@@ -243,27 +243,27 @@ dependencies = [
[[package]]
name = "chinese-variant"
-version = "1.1.2"
+version = "1.1.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "17df2e16b0704fc5413214165d1bfdd619f18b1044d5991d5c5351b05fee852e"
+checksum = "7588475145507237ded760e52bf2f1085495245502033756d28ea72ade0e498b"
[[package]]
name = "chrono"
-version = "0.4.31"
+version = "0.4.35"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7f2c685bad3eb3d45a01354cedb7d5faa66194d1d58ba6e267a8de788f79db38"
+checksum = "8eaf5903dcbc0a39312feb77df2ff4c76387d591b9fc7b04a238dcf8bb62639a"
dependencies = [
"android-tzdata",
"iana-time-zone",
"num-traits",
- "windows-targets",
+ "windows-targets 0.52.4",
]
[[package]]
name = "ciborium"
-version = "0.2.1"
+version = "0.2.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "effd91f6c78e5a4ace8a5d3c0b6bfaec9e2baaef55f3efc00e45fb2e477ee926"
+checksum = "42e69ffd6f0917f5c029256a24d0161db17cea3997d185db0d35926308770f0e"
dependencies = [
"ciborium-io",
"ciborium-ll",
@@ -272,15 +272,15 @@ dependencies = [
[[package]]
name = "ciborium-io"
-version = "0.2.1"
+version = "0.2.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cdf919175532b369853f5d5e20b26b43112613fd6fe7aee757e35f7a44642656"
+checksum = "05afea1e0a06c9be33d539b876f1ce3692f4afea2cb41f740e7743225ed1c757"
[[package]]
name = "ciborium-ll"
-version = "0.2.1"
+version = "0.2.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "defaa24ecc093c77630e6c15e17c51f5e187bf35ee514f4e2d67baaa96dae22b"
+checksum = "57663b653d948a338bfb3eeba9bb2fd5fcfaecb9e199e87e1eda4d9e8b240fd9"
dependencies = [
"ciborium-io",
"half",
@@ -288,19 +288,19 @@ dependencies = [
[[package]]
name = "citationberg"
-version = "0.1.1"
+version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c15a0bf8014b266d11f20451dc9202d8d26180ffd8b094d73ecbe74d821f01fb"
+checksum = "82108f2b676c954076d2e5044f19a6a03887b24bd42804f322e0650d13035899"
dependencies = [
- "quick-xml 0.28.2",
+ "quick-xml",
"serde",
]
[[package]]
name = "clap"
-version = "4.4.8"
+version = "4.5.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2275f18819641850fa26c89acc84d465c1bf91ce57bc2748b28c420473352f64"
+checksum = "b230ab84b0ffdf890d5a10abdbc8b83ae1c4918275daea1ab8801f71536b2651"
dependencies = [
"clap_builder",
"clap_derive",
@@ -308,9 +308,9 @@ dependencies = [
[[package]]
name = "clap_builder"
-version = "4.4.8"
+version = "4.5.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "07cdf1b148b25c1e1f7a42225e30a0d99a615cd4637eae7365548dd4529b95bc"
+checksum = "ae129e2e766ae0ec03484e609954119f123cc1fe650337e155d03b022f24f7b4"
dependencies = [
"anstream",
"anstyle",
@@ -320,36 +320,36 @@ dependencies = [
[[package]]
name = "clap_complete"
-version = "4.4.4"
+version = "4.5.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bffe91f06a11b4b9420f62103854e90867812cd5d01557f853c5ee8e791b12ae"
+checksum = "885e4d7d5af40bfb99ae6f9433e292feac98d452dcb3ec3d25dfe7552b77da8c"
dependencies = [
"clap",
]
[[package]]
name = "clap_derive"
-version = "4.4.7"
+version = "4.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cf9804afaaf59a91e75b022a30fb7229a7901f60c755489cc61c9b423b836442"
+checksum = "307bc0538d5f0f83b8248db3087aa92fe504e4691294d0c96c0eabc33f47ba47"
dependencies = [
"heck",
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn",
]
[[package]]
name = "clap_lex"
-version = "0.6.0"
+version = "0.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "702fc72eb24e5a1e48ce58027a675bc24edd52096d5397d4aea7c6dd9eca0bd1"
+checksum = "98cc8fbded0c607b7ba9dd60cd98df59af97e84d24e49c8557331cfc26d301ce"
[[package]]
name = "clap_mangen"
-version = "0.2.15"
+version = "0.2.20"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d3be86020147691e1d2ef58f75346a3d4d94807bfc473e377d52f09f0f7d77f7"
+checksum = "e1dd95b5ebb5c1c54581dd6346f3ed6a79a3eef95dd372fc2ac13d535535300e"
dependencies = [
"clap",
"roff",
@@ -385,30 +385,42 @@ checksum = "acbf1af155f9b9ef647e42cdc158db4b64a1b61f743629225fde6f3e0be2a7c7"
[[package]]
name = "comemo"
-version = "0.3.1"
+version = "0.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bf5705468fa80602ee6a5f9318306e6c428bffd53e43209a78bc05e6e667c6f4"
+checksum = "df6916408a724339aa77b18214233355f3eb04c42eb895e5f8909215bd8a7a91"
dependencies = [
"comemo-macros",
+ "once_cell",
+ "parking_lot",
"siphasher 1.0.0",
]
[[package]]
name = "comemo-macros"
-version = "0.3.1"
+version = "0.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "54af6ac68ada2d161fa9cc1ab52676228e340866d094d6542107e74b82acc095"
+checksum = "c8936e42f9b4f5bdfaf23700609ac1f11cb03ad4c1ec128a4ee4fd0903e228db"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn",
+]
+
+[[package]]
+name = "core-foundation"
+version = "0.9.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "91e195e091a93c46f7102ec7818a2aa394e1e1771c3ab4825963fa03e45afb8f"
+dependencies = [
+ "core-foundation-sys",
+ "libc",
]
[[package]]
name = "core-foundation-sys"
-version = "0.8.4"
+version = "0.8.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e496a50fda8aacccc86d7529e2c1e0892dbd0f898a6b5645b5561b89c3210efa"
+checksum = "06ea2b9bc92be3c2baa9334a323ebca2d6f074ff852cd1d7b11064035cd3868f"
[[package]]
name = "core_maths"
@@ -421,55 +433,52 @@ dependencies = [
[[package]]
name = "crc32fast"
-version = "1.3.2"
+version = "1.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b540bd8bc810d3885c6ea91e2018302f68baba2129ab3e88f32389ee9370880d"
+checksum = "b3855a8a784b474f333699ef2bbca9db2c4a1f6d9088a90a2d25b1eb53111eaa"
dependencies = [
"cfg-if",
]
[[package]]
name = "crossbeam-channel"
-version = "0.5.8"
+version = "0.5.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a33c2bf77f2df06183c3aa30d1e96c0695a313d4f9c453cc3762a6db39f99200"
+checksum = "ab3db02a9c5b5121e1e42fbdb1aeb65f5e02624cc58c43f2884c6ccac0b82f95"
dependencies = [
- "cfg-if",
"crossbeam-utils",
]
[[package]]
name = "crossbeam-deque"
-version = "0.8.3"
+version = "0.8.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ce6fd6f855243022dcecf8702fef0c297d4338e226845fe067f6341ad9fa0cef"
+checksum = "613f8cc01fe9cf1a3eb3d7f488fd2fa8388403e97039e2f73692932e291a770d"
dependencies = [
- "cfg-if",
"crossbeam-epoch",
"crossbeam-utils",
]
[[package]]
name = "crossbeam-epoch"
-version = "0.9.15"
+version = "0.9.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ae211234986c545741a7dc064309f67ee1e5ad243d0e48335adc0484d960bcc7"
+checksum = "5b82ac4a3c2ca9c3460964f020e1402edd5753411d7737aa39c3714ad1b5420e"
dependencies = [
- "autocfg",
- "cfg-if",
"crossbeam-utils",
- "memoffset",
- "scopeguard",
]
[[package]]
name = "crossbeam-utils"
-version = "0.8.16"
+version = "0.8.19"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5a22b2d63d4d1dc0b7f1b6b2747dd0088008a9be28b6ddf0b1e7d335e3037294"
-dependencies = [
- "cfg-if",
-]
+checksum = "248e3bacc7dc6baa3b21e405ee045c3047101a49145e7e9eca583ab4c2ca5345"
+
+[[package]]
+name = "crunchy"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7a81dae078cea95a014a339291cec439d2f232ebe854a9d672b796c6afafa9b7"
[[package]]
name = "csv"
@@ -492,25 +501,21 @@ dependencies = [
"memchr",
]
-[[package]]
-name = "dashmap"
-version = "5.5.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "978747c1d849a7d2ee5e8adc0159961c48fb7e5db2f06af6723b80123bb53856"
-dependencies = [
- "cfg-if",
- "hashbrown 0.14.3",
- "lock_api",
- "once_cell",
- "parking_lot_core",
-]
-
[[package]]
name = "data-url"
version = "0.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "5c297a1c74b71ae29df00c3e22dd9534821d60eb9af5a0192823fa2acea70c2a"
+[[package]]
+name = "deranged"
+version = "0.3.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b42b6fa04a440b495c8b04d0e71b707c585f83cb9cb28cf8cd0d976c315e31b4"
+dependencies = [
+ "powerfmt",
+]
+
[[package]]
name = "dirs"
version = "5.0.1"
@@ -529,7 +534,7 @@ dependencies = [
"libc",
"option-ext",
"redox_users",
- "windows-sys",
+ "windows-sys 0.48.0",
]
[[package]]
@@ -540,7 +545,7 @@ checksum = "487585f4d0c6655fe74905e2504d8ad6908e4db67f744eb140876906c2f3175d"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn",
]
[[package]]
@@ -551,18 +556,18 @@ checksum = "9ea835d29036a4087793836fa931b08837ad5e957da9e23886b29586fb9b6650"
[[package]]
name = "ecow"
-version = "0.2.0"
+version = "0.2.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e6ea5e3f9cda726431da9d1a8d5a29785d544b31e98e1ca7a210906244002e02"
+checksum = "dba31a30727c42ff5e60468d695c7f21e43a6db2808b7195adcab908fbd9f794"
dependencies = [
"serde",
]
[[package]]
name = "either"
-version = "1.9.0"
+version = "1.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a26ae43d7bcc3b814de94796a5e736d4029efb0ee900c12e2d54c993ad1a1e07"
+checksum = "11157ac094ffbdde99aa67b23417ebdd801842852b500e395a45a9c0aac03e4a"
[[package]]
name = "embedded-io"
@@ -572,24 +577,22 @@ checksum = "ef1a6892d9eef45c8fa6b9e0086428a2cca8491aca8f787c534a3d6d0bcb3ced"
[[package]]
name = "enum-ordinalize"
-version = "3.1.15"
+version = "4.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1bf1fa3f06bbff1ea5b1a9c7b14aa992a39657db60a2759457328d7e058f49ee"
+checksum = "fea0dcfa4e54eeb516fe454635a95753ddd39acda650ce703031c6973e315dd5"
dependencies = [
- "num-bigint",
- "num-traits",
- "proc-macro2",
- "quote",
- "syn 2.0.39",
+ "enum-ordinalize-derive",
]
[[package]]
-name = "env_logger"
-version = "0.10.1"
+name = "enum-ordinalize-derive"
+version = "4.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "95b3f3e67048839cb0d0781f445682a35113da7121f7c949db0e2be96a4fbece"
+checksum = "0d28318a75d4aead5c4db25382e8ef717932d0346600cacae6357eb5941bc5ff"
dependencies = [
- "log",
+ "proc-macro2",
+ "quote",
+ "syn",
]
[[package]]
@@ -610,12 +613,12 @@ checksum = "5443807d6dff69373d433ab9ef5378ad8df50ca6298caf15de6e52e24aaf54d5"
[[package]]
name = "errno"
-version = "0.3.7"
+version = "0.3.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f258a7194e7f7c2a7837a8913aeab7fd8c383457034fa20ce4dd3dcb813e8eb8"
+checksum = "a258e46cdc063eb8519c00b9fc845fc47bcfca4130e2f08e88665ceda8474245"
dependencies = [
"libc",
- "windows-sys",
+ "windows-sys 0.52.0",
]
[[package]]
@@ -651,23 +654,23 @@ checksum = "25cbce373ec4653f1a01a31e8a5e5ec0c622dc27ff9c4e6606eefef5cbbed4a5"
[[package]]
name = "fdeflate"
-version = "0.3.1"
+version = "0.3.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "64d6dafc854908ff5da46ff3f8f473c6984119a2876a383a860246dd7841a868"
+checksum = "4f9bfee30e4dedf0ab8b422f03af778d9612b63f502710fc500a334ebe2de645"
dependencies = [
"simd-adler32",
]
[[package]]
name = "filetime"
-version = "0.2.22"
+version = "0.2.23"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d4029edd3e734da6fe05b6cd7bd2960760a616bd2ddd0d59a0124746d6272af0"
+checksum = "1ee447700ac8aa0b2f2bd7bc4462ad686ba06baa6727ac149a2d6277f0d240fd"
dependencies = [
"cfg-if",
"libc",
- "redox_syscall 0.3.5",
- "windows-sys",
+ "redox_syscall",
+ "windows-sys 0.52.0",
]
[[package]]
@@ -694,18 +697,18 @@ checksum = "3f9eec918d3f24069decb9af1554cad7c880e2da24a9afd88aca000531ab82c1"
[[package]]
name = "fontconfig-parser"
-version = "0.5.3"
+version = "0.5.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "674e258f4b5d2dcd63888c01c68413c51f565e8af99d2f7701c7b81d79ef41c4"
+checksum = "6a595cb550439a117696039dfc69830492058211b771a2a165379f2a1a53d84d"
dependencies = [
"roxmltree",
]
[[package]]
name = "fontdb"
-version = "0.15.0"
+version = "0.16.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "020e203f177c0fb250fb19455a252e838d2bbbce1f80f25ecc42402aafa8cd38"
+checksum = "b0299020c3ef3f60f526a4f64ab4a3d4ce116b1acbf24cdd22da0068e5d81dc3"
dependencies = [
"fontconfig-parser",
"log",
@@ -715,6 +718,21 @@ dependencies = [
"ttf-parser",
]
+[[package]]
+name = "foreign-types"
+version = "0.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f6f339eb8adc052cd2ca78910fda869aefa38d22d5cb648e6485e4d3fc06f3b1"
+dependencies = [
+ "foreign-types-shared",
+]
+
+[[package]]
+name = "foreign-types-shared"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "00b0228411908ca8685dba7fc2cdd70ec9990a6e753e89b6ac91a84c40fbaf4b"
+
[[package]]
name = "form_urlencoded"
version = "1.2.1"
@@ -724,6 +742,12 @@ dependencies = [
"percent-encoding",
]
+[[package]]
+name = "fs_extra"
+version = "1.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "42703706b716c37f96a77aea830392ad231f44c9e9a67872fa5548707e11b11c"
+
[[package]]
name = "fsevent-sys"
version = "4.1.0"
@@ -750,9 +774,9 @@ dependencies = [
[[package]]
name = "getrandom"
-version = "0.2.11"
+version = "0.2.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fe9006bed769170c11f845cf00c7c1e9092aeb3f268e007c3e760ac68008070f"
+checksum = "190092ea657667030ac6a35e305e62fc4dd69fd98ac98631e5d3a2b1575a12b5"
dependencies = [
"cfg-if",
"libc",
@@ -770,10 +794,24 @@ dependencies = [
]
[[package]]
-name = "half"
-version = "1.8.2"
+name = "gif"
+version = "0.13.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "eabb4a44450da02c90444cf74558da904edde8fb4e9035a9a6a4e15445af0bd7"
+checksum = "3fb2d69b19215e18bb912fa30f7ce15846e301408695e44e0ef719f1da9e19f2"
+dependencies = [
+ "color_quant",
+ "weezl",
+]
+
+[[package]]
+name = "half"
+version = "2.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b5eceaaeec696539ddaf7b333340f1af35a5aa87ae3e4f3ead0532f72affab2e"
+dependencies = [
+ "cfg-if",
+ "crunchy",
+]
[[package]]
name = "hashbrown"
@@ -789,18 +827,18 @@ checksum = "290f1a1d9242c78d09ce40a5e87e7554ee637af1351968159f4952f028f75604"
[[package]]
name = "hayagriva"
-version = "0.5.1"
+version = "0.5.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f9f97c07366b7f686741521ca63cc14baf18cea53c39b0c09873cd1d4a1b2b8c"
+checksum = "cc2e670de5191df083ddd112cd253049f8213277ccf0c15e18a8bf10e6c666cc"
dependencies = [
"biblatex",
"ciborium",
"citationberg",
- "indexmap 2.1.0",
+ "indexmap 2.2.5",
"numerals",
"paste",
"serde",
- "serde_yaml 0.9.27",
+ "serde_yaml 0.9.32",
"thiserror",
"unic-langid",
"unicode-segmentation",
@@ -814,31 +852,17 @@ version = "0.4.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "95505c38b4572b2d910cecb0281560f54b440a19336cbbcb27bf6ce6adc6f5a8"
-[[package]]
-name = "hermit-abi"
-version = "0.3.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d77f7ec81a6d05a3abb01ab6eb7590f6083d08449fe5a1c8b1e620283546ccb7"
-
[[package]]
name = "hypher"
-version = "0.1.4"
+version = "0.1.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "94bf16dd62ea2bec617a6f8a3e1ba03107311783069a647787ac689d1f35321e"
-
-[[package]]
-name = "iai"
-version = "0.1.1"
-source = "git+https://github.com/typst/iai?rev=3f0f927#3f0f92736408ebce6545808b98e0cb2aea89b7dd"
-dependencies = [
- "cfg-if",
-]
+checksum = "3b24ad5637230df201ab1034d593f1d09bf7f2a9274f2e8897638078579f4265"
[[package]]
name = "iana-time-zone"
-version = "0.1.58"
+version = "0.1.60"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8326b86b6cff230b97d0d312a6c40a60726df3332e721f72a1b035f451663b20"
+checksum = "e7ffbb5a1b541ea2561f8c41c087286cc091e21e556a4f09a8f6cbf17b69b141"
dependencies = [
"android_system_properties",
"core-foundation-sys",
@@ -979,7 +1003,7 @@ checksum = "d2abdd3a62551e8337af119c5899e600ca0c88ec8f23a46c60ba216c803dcf1a"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn",
]
[[package]]
@@ -1023,16 +1047,15 @@ checksum = "cb56e1aa765b4b4f3aadfab769793b7087bb03a4ea4920644a6d238e2df5b9ed"
[[package]]
name = "image"
-version = "0.24.7"
+version = "0.24.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6f3dfdbdd72063086ff443e297b61695500514b1e41095b6fb9a5ab48a70a711"
+checksum = "5690139d2f55868e080017335e4b94cb7414274c74f1669c84fb5feba2c9f69d"
dependencies = [
"bytemuck",
"byteorder",
"color_quant",
- "gif",
+ "gif 0.13.1",
"jpeg-decoder",
- "num-rational",
"num-traits",
"png",
]
@@ -1043,25 +1066,6 @@ version = "0.12.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "029d73f573d8e8d63e6d5020011d3255b28c3ba85d6cf870a07184ed23de9284"
-[[package]]
-name = "include_dir"
-version = "0.7.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "18762faeff7122e89e0857b02f7ce6fcc0d101d5e9ad2ad7846cc01d61b7f19e"
-dependencies = [
- "include_dir_macros",
-]
-
-[[package]]
-name = "include_dir_macros"
-version = "0.7.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b139284b5cf57ecfa712bcc66950bb635b31aff41c188e8a4cfc758eca374a3f"
-dependencies = [
- "proc-macro2",
- "quote",
-]
-
[[package]]
name = "indexmap"
version = "1.9.3"
@@ -1074,9 +1078,9 @@ dependencies = [
[[package]]
name = "indexmap"
-version = "2.1.0"
+version = "2.2.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d530e1a18b1cb4c484e6e34556a0d948706958449fca0cab753d649f2bce3d1f"
+checksum = "7b0b929d511467233429c45a44ac1dcaa21ba0f5ba11e4879e6ed28ddb4f9df4"
dependencies = [
"equivalent",
"hashbrown 0.14.3",
@@ -1090,29 +1094,6 @@ version = "0.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "8e04e2fd2b8188ea827b32ef11de88377086d690286ab35747ef7f9bf3ccb590"
-[[package]]
-name = "inferno"
-version = "0.11.19"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "321f0f839cd44a4686e9504b0a62b4d69a50b62072144c71c68f5873c167b8d9"
-dependencies = [
- "ahash",
- "clap",
- "crossbeam-channel",
- "crossbeam-utils",
- "dashmap",
- "env_logger",
- "indexmap 2.1.0",
- "is-terminal",
- "itoa",
- "log",
- "num-format",
- "once_cell",
- "quick-xml 0.26.0",
- "rgb",
- "str_stack",
-]
-
[[package]]
name = "inotify"
version = "0.9.6"
@@ -1151,17 +1132,6 @@ dependencies = [
"once_cell",
]
-[[package]]
-name = "is-terminal"
-version = "0.4.9"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cb0889898416213fab133e1d33a0e5858a48177452750691bde3666d0fdbaf8b"
-dependencies = [
- "hermit-abi",
- "rustix",
- "windows-sys",
-]
-
[[package]]
name = "is-wsl"
version = "0.4.0"
@@ -1174,25 +1144,43 @@ dependencies = [
[[package]]
name = "itoa"
-version = "1.0.9"
+version = "1.0.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "af150ab688ff2122fcef229be89cb50dd66af9e01a4ff320cc137eecc9bacc38"
+checksum = "b1a46d1a171d865aa5f83f92695765caa047a9b4cbae2cbf37dbd613a793fd4c"
+
+[[package]]
+name = "jobserver"
+version = "0.1.28"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ab46a6e9526ddef3ae7f787c06f0f2600639ba80ea3eade3d8e670a2230f51d6"
+dependencies = [
+ "libc",
+]
[[package]]
name = "jpeg-decoder"
-version = "0.3.0"
+version = "0.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bc0000e42512c92e31c2252315bda326620a4e034105e900c98ec492fa077b3e"
+checksum = "f5d4a7da358eff58addd2877a45865158f0d78c911d43a5784ceb7bbf52833b0"
[[package]]
name = "js-sys"
-version = "0.3.66"
+version = "0.3.69"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cee9c64da59eae3b50095c18d3e74f8b73c0b86d2792824ff01bbce68ba229ca"
+checksum = "29c15563dc2726973df627357ce0c9ddddbea194836909d655df6a75d2cf296d"
dependencies = [
"wasm-bindgen",
]
+[[package]]
+name = "kamadak-exif"
+version = "0.5.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ef4fc70d0ab7e5b6bafa30216a6b48705ea964cdfc29c050f2412295eba58077"
+dependencies = [
+ "mutate_once",
+]
+
[[package]]
name = "kqueue"
version = "1.0.8"
@@ -1230,28 +1218,39 @@ checksum = "e2abad23fbc42b3700f2f279844dc832adb2b2eb069b2df918f455c4e18cc646"
[[package]]
name = "libc"
-version = "0.2.150"
+version = "0.2.153"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "89d92a4743f9a61002fae18374ed11e7973f530cb3a3255fb354818118b2203c"
+checksum = "9c198f91728a82281a64e1f4f9eeb25d82cb32a5de251c6bd1b5154d63a8e7bd"
[[package]]
name = "libdeflate-sys"
-version = "1.19.0"
+version = "1.19.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "67921a7f85100c1559efc3d1c7c472091b7da05f304b4bbd5356f075e97f1cc2"
+checksum = "cc9caa76c8cc6ee8c4efcf8f4514a812ebcad3aa7d3b548efe4d26da1203f177"
dependencies = [
"cc",
]
[[package]]
name = "libdeflater"
-version = "1.19.0"
+version = "1.19.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3a31b22f662350ec294b13859f935aea772ba7b2bc8776269f4a5627308eab7d"
+checksum = "265a985bd31e5f22e2b2ac107cbed44c6ccf40ae236e46963cd00dd213e4bd03"
dependencies = [
"libdeflate-sys",
]
+[[package]]
+name = "libfuzzer-sys"
+version = "0.4.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a96cfd5557eb82f2b83fed4955246c988d331975a002961b07c81584d107e7f7"
+dependencies = [
+ "arbitrary",
+ "cc",
+ "once_cell",
+]
+
[[package]]
name = "libm"
version = "0.2.8"
@@ -1264,9 +1263,9 @@ version = "0.0.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "85c833ca1e66078851dba29046874e38f08b2c883700aa29a03ddd3b23814ee8"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"libc",
- "redox_syscall 0.4.1",
+ "redox_syscall",
]
[[package]]
@@ -1286,9 +1285,9 @@ checksum = "0717cef1bc8b636c6e1c1bbdefc09e6322da8a9321966e8928ef80d20f7f770f"
[[package]]
name = "linux-raw-sys"
-version = "0.4.11"
+version = "0.4.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "969488b55f8ac402214f3f5fd243ebb7206cf82de60d3172994707a4bcc2b829"
+checksum = "01cda141df6706de531b6c46c3a33ecca755538219bd484262fa09410c13539c"
[[package]]
name = "lipsum"
@@ -1321,9 +1320,9 @@ dependencies = [
[[package]]
name = "log"
-version = "0.4.20"
+version = "0.4.21"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b5e6163cb8c49088c2c36f57875e58ccd8c87c7427f7fbd50ea6710b2f3f2e8f"
+checksum = "90ed8c1e510134f979dbc4f070f87d4313098b704861a105fe34231c70a3901c"
[[package]]
name = "lzma-sys"
@@ -1338,33 +1337,24 @@ dependencies = [
[[package]]
name = "memchr"
-version = "2.6.4"
+version = "2.7.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f665ee40bc4a3c5590afb1e9677db74a508659dfd71e126420da8274909a0167"
+checksum = "523dc4f511e55ab87b694dc30d0f820d60906ef06413f93d4d7a1385599cc149"
[[package]]
name = "memmap2"
-version = "0.8.0"
+version = "0.9.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "43a5a03cefb0d953ec0be133036f14e109412fa594edc2f77227249db66cc3ed"
+checksum = "fe751422e4a8caa417e13c3ea66452215d7d63e19e604f4980461212f3ae1322"
dependencies = [
"libc",
]
-[[package]]
-name = "memoffset"
-version = "0.9.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5a634b1c61a95585bd15607c6ab0c4e5b226e695ff2800ba0cdccddf208c406c"
-dependencies = [
- "autocfg",
-]
-
[[package]]
name = "miniz_oxide"
-version = "0.7.1"
+version = "0.7.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e7810e0be55b428ada41041c41f32c9f1a42817901b4ccf45fa3d4b6561e74c7"
+checksum = "9d811f3e15f28568be3407c8e7fdb6514c1cda3cb30683f15b6a1a1dc4ea14a7"
dependencies = [
"adler",
"simd-adler32",
@@ -1372,14 +1362,38 @@ dependencies = [
[[package]]
name = "mio"
-version = "0.8.9"
+version = "0.8.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3dce281c5e46beae905d4de1870d8b1509a9142b62eedf18b443b011ca8343d0"
+checksum = "a4a650543ca06a924e8b371db273b2756685faae30f8487da1b56505a8f78b0c"
dependencies = [
"libc",
"log",
"wasi",
- "windows-sys",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "mutate_once"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "16cf681a23b4d0a43fc35024c176437f9dcd818db34e0f42ab456a0ee5ad497b"
+
+[[package]]
+name = "native-tls"
+version = "0.2.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "07226173c32f2926027b63cce4bcd8076c3552846cbe7925f3aaffeac0a3b92e"
+dependencies = [
+ "lazy_static",
+ "libc",
+ "log",
+ "openssl",
+ "openssl-probe",
+ "openssl-sys",
+ "schannel",
+ "security-framework",
+ "security-framework-sys",
+ "tempfile",
]
[[package]]
@@ -1388,7 +1402,7 @@ version = "6.1.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "6205bd8bb1e454ad2e27422015fb5e4f2bcc7e08fa8f27058670d208324a4d2d"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"crossbeam-channel",
"filetime",
"fsevent-sys",
@@ -1398,17 +1412,7 @@ dependencies = [
"log",
"mio",
"walkdir",
- "windows-sys",
-]
-
-[[package]]
-name = "nu-ansi-term"
-version = "0.46.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "77a8165726e8236064dbb45459242600304b42a5ea24ee2948e18e023bf7ba84"
-dependencies = [
- "overload",
- "winapi",
+ "windows-sys 0.48.0",
]
[[package]]
@@ -1423,41 +1427,25 @@ dependencies = [
]
[[package]]
-name = "num-format"
-version = "0.4.4"
+name = "num-conv"
+version = "0.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a652d9771a63711fd3c3deb670acfbe5c30a4072e664d7a3bf5a9e1056ac72c3"
-dependencies = [
- "arrayvec",
- "itoa",
-]
+checksum = "51d515d32fb182ee37cda2ccdcb92950d6a3c2893aa280e540671c2cd0f3b1d9"
[[package]]
name = "num-integer"
-version = "0.1.45"
+version = "0.1.46"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "225d3389fb3509a24c93f5c29eb6bde2586b98d9f016636dff58d7c6f7569cd9"
+checksum = "7969661fd2958a5cb096e56c8e1ad0444ac2bbcd0061bd28660485a44879858f"
dependencies = [
- "autocfg",
- "num-traits",
-]
-
-[[package]]
-name = "num-rational"
-version = "0.4.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0638a1c9d0a3c0914158145bc76cff373a75a627e6ecbfb71cbe6f453a5a19b0"
-dependencies = [
- "autocfg",
- "num-integer",
"num-traits",
]
[[package]]
name = "num-traits"
-version = "0.2.17"
+version = "0.2.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "39e3200413f237f41ab11ad6d161bc7239c84dcb631773ccd7de3dfe4b5c267c"
+checksum = "da0df0e5185db44f69b44f26786fe401b6c293d1907744beaa7fa62b2e5a517a"
dependencies = [
"autocfg",
]
@@ -1470,33 +1458,81 @@ checksum = "e25be21376a772d15f97ae789845340a9651d3c4246ff5ebb6a2b35f9c37bd31"
[[package]]
name = "once_cell"
-version = "1.18.0"
+version = "1.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dd8b5dd2ae5ed71462c540258bedcb51965123ad7e7ccf4b9a8cafaa4a63576d"
+checksum = "3fdb12b2476b595f9358c5161aa467c2438859caa136dec86c26fdd2efe17b92"
[[package]]
name = "open"
-version = "5.0.1"
+version = "5.1.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "90878fb664448b54c4e592455ad02831e23a3f7e157374a8b95654731aac7349"
+checksum = "68b3fbb0d52bf0cbb5225ba3d2c303aa136031d43abff98284332a9981ecddec"
dependencies = [
"is-wsl",
"libc",
"pathdiff",
]
+[[package]]
+name = "openssl"
+version = "0.10.64"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "95a0481286a310808298130d22dd1fef0fa571e05a8f44ec801801e84b216b1f"
+dependencies = [
+ "bitflags 2.4.2",
+ "cfg-if",
+ "foreign-types",
+ "libc",
+ "once_cell",
+ "openssl-macros",
+ "openssl-sys",
+]
+
+[[package]]
+name = "openssl-macros"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a948666b637a0f465e8564c73e89d4dde00d72d4d473cc972f390fc3dcee7d9c"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn",
+]
+
+[[package]]
+name = "openssl-probe"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ff011a302c396a5197692431fc1948019154afc178baf7d8e37367442a4601cf"
+
+[[package]]
+name = "openssl-src"
+version = "300.2.3+3.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5cff92b6f71555b61bb9315f7c64da3ca43d87531622120fea0195fc761b4843"
+dependencies = [
+ "cc",
+]
+
+[[package]]
+name = "openssl-sys"
+version = "0.9.101"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dda2b0f344e78efc2facf7d195d098df0dd72151b26ab98da807afc26c198dff"
+dependencies = [
+ "cc",
+ "libc",
+ "openssl-src",
+ "pkg-config",
+ "vcpkg",
+]
+
[[package]]
name = "option-ext"
version = "0.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "04744f49eae99ab78e0d5c0b603ab218f515ea8cfe5a456d7629ad883a3b6e7d"
-[[package]]
-name = "overload"
-version = "0.1.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b15813163c1d831bf4a13c3610c05c0d03b39feb07f7e09fa234dac9b15aaf39"
-
[[package]]
name = "oxipng"
version = "9.0.0"
@@ -1506,7 +1542,7 @@ dependencies = [
"bitvec",
"crossbeam-channel",
"filetime",
- "indexmap 2.1.0",
+ "indexmap 2.2.5",
"libdeflater",
"log",
"rayon",
@@ -1518,9 +1554,9 @@ dependencies = [
[[package]]
name = "palette"
-version = "0.7.3"
+version = "0.7.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b2e2f34147767aa758aa649415b50a69eeb46a67f9dc7db8011eeb3d84b351dc"
+checksum = "ebfc23a4b76642983d57e4ad00bb4504eb30a8ce3c70f4aee1f725610e36d97a"
dependencies = [
"approx",
"fast-srgb8",
@@ -1530,13 +1566,23 @@ dependencies = [
[[package]]
name = "palette_derive"
-version = "0.7.3"
+version = "0.7.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b7db010ec5ff3d4385e4f133916faacd9dad0f6a09394c92d825b3aed310fa0a"
+checksum = "e8890702dbec0bad9116041ae586f84805b13eecd1d8b1df27c29998a9969d6d"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn",
+]
+
+[[package]]
+name = "parking_lot"
+version = "0.12.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3742b2c103b9f06bc9fff0a37ff4912935851bee6d36f3c02bcc755bcfec228f"
+dependencies = [
+ "lock_api",
+ "parking_lot_core",
]
[[package]]
@@ -1547,9 +1593,9 @@ checksum = "4c42a9226546d68acdd9c0a280d17ce19bfe27a46bf68784e4066115788d008e"
dependencies = [
"cfg-if",
"libc",
- "redox_syscall 0.4.1",
+ "redox_syscall",
"smallvec",
- "windows-targets",
+ "windows-targets 0.48.5",
]
[[package]]
@@ -1588,16 +1634,7 @@ version = "0.11.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "ade2d8b8f33c7333b51bcf0428d37e217e9f32192ae4772156f65063b8ce03dc"
dependencies = [
- "phf_shared",
-]
-
-[[package]]
-name = "phf_codegen"
-version = "0.11.2"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e8d39688d359e6b34654d328e262234662d16cc0f60ec8dcbe5e718709342a5a"
-dependencies = [
- "phf_generator",
+ "phf_macros",
"phf_shared",
]
@@ -1611,6 +1648,19 @@ dependencies = [
"rand",
]
+[[package]]
+name = "phf_macros"
+version = "0.11.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3444646e286606587e49f3bcf1679b8cef1dc2c5ecc29ddacaffc305180d464b"
+dependencies = [
+ "phf_generator",
+ "phf_shared",
+ "proc-macro2",
+ "quote",
+ "syn",
+]
+
[[package]]
name = "phf_shared"
version = "0.11.2"
@@ -1626,46 +1676,40 @@ version = "0.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "5be167a7af36ee22fe3115051bc51f6e6c7054c9348e28deb4f49bd6f705a315"
-[[package]]
-name = "pin-project-lite"
-version = "0.2.13"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8afb450f006bf6385ca15ef45d71d2288452bc3683ce2e2cacc0d18e4be60b58"
-
[[package]]
name = "pixglyph"
-version = "0.2.0"
+version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f67591f21f6668e63c1cd85adab066ac8a92bc7b962668dd8042197a6e4b8f8f"
+checksum = "e2e0f8ad4c197db38125b880c3c44544788665c7d5f4c42f5a35da44bca1a712"
dependencies = [
"ttf-parser",
]
[[package]]
name = "pkg-config"
-version = "0.3.27"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "26072860ba924cbfa98ea39c8c19b4dd6a4a25423dbdf219c1eca91aa0cf6964"
+checksum = "d231b230927b5e4ad203db57bbcbee2802f6bce620b1e4a9024a07d94e2907ec"
[[package]]
name = "plist"
-version = "1.5.1"
+version = "1.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9a4a0cfc5fb21a09dc6af4bf834cf10d4a32fccd9e2ea468c4b1751a097487aa"
+checksum = "e5699cc8a63d1aa2b1ee8e12b9ad70ac790d65788cd36101fa37f87ea46c4cef"
dependencies = [
- "base64",
- "indexmap 1.9.3",
+ "base64 0.21.7",
+ "indexmap 2.2.5",
"line-wrap",
- "quick-xml 0.30.0",
+ "quick-xml",
"serde",
"time",
]
[[package]]
name = "png"
-version = "0.17.10"
+version = "0.17.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dd75bf2d8dd3702b9707cdbc56a5b9ef42cec752eb8b3bafc01234558442aa64"
+checksum = "06e4b0d3d1312775e782c86c91a111aa1f910cbb65e1337f9975b5f9a554b5e1"
dependencies = [
"bitflags 1.3.2",
"crc32fast",
@@ -1674,6 +1718,12 @@ dependencies = [
"miniz_oxide",
]
+[[package]]
+name = "portable-atomic"
+version = "1.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7170ef9988bc169ba16dd36a7fa041e5c4cbeb6a35b76d4c03daded371eae7c0"
+
[[package]]
name = "postcard"
version = "1.0.8"
@@ -1685,6 +1735,12 @@ dependencies = [
"serde",
]
+[[package]]
+name = "powerfmt"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "439ee305def115ba05938db6eb1644ff94165c5ab5e9420d1c1bcedbba909391"
+
[[package]]
name = "ppv-lite86"
version = "0.2.17"
@@ -1693,9 +1749,9 @@ checksum = "5b40af805b3121feab8a3c29f04d8ad262fa8e0561883e7653e024ae4479e6de"
[[package]]
name = "proc-macro2"
-version = "1.0.70"
+version = "1.0.78"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "39278fbbf5fb4f646ce651690877f89d1c5811a3d4acb27700c1cb3cdb78fd3b"
+checksum = "e2422ad645d89c99f8f3e6b88a9fdeca7fabeac836b1002371c4367c8f984aae"
dependencies = [
"unicode-ident",
]
@@ -1711,49 +1767,37 @@ dependencies = [
[[package]]
name = "pulldown-cmark"
-version = "0.9.3"
+version = "0.9.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "77a1a2f1f0a7ecff9c31abbe177637be0e97a0aef46cf8738ece09327985d998"
+checksum = "57206b407293d2bcd3af849ce869d52068623f19e1b5ff8e8778e3309439682b"
dependencies = [
- "bitflags 1.3.2",
+ "bitflags 2.4.2",
"getopts",
"memchr",
"unicase",
]
[[package]]
-name = "quick-xml"
-version = "0.26.0"
+name = "qcms"
+version = "0.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7f50b1c63b38611e7d4d7f68b82d3ad0cc71a2ad2e7f61fc10f1328d917c93cd"
-dependencies = [
- "memchr",
-]
+checksum = "edecfcd5d755a5e5d98e24cf43113e7cdaec5a070edd0f6b250c03a573da30fa"
[[package]]
name = "quick-xml"
-version = "0.28.2"
+version = "0.31.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0ce5e73202a820a31f8a0ee32ada5e21029c81fd9e3ebf668a40832e4219d9d1"
+checksum = "1004a344b30a54e2ee58d66a71b32d2db2feb0a31f9a2d302bf0536f15de2a33"
dependencies = [
"memchr",
"serde",
]
-[[package]]
-name = "quick-xml"
-version = "0.30.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "eff6510e86862b57b210fd8cbe8ed3f0d7d600b9c2863cd4549a2e033c66e956"
-dependencies = [
- "memchr",
-]
-
[[package]]
name = "quote"
-version = "1.0.33"
+version = "1.0.35"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5267fca4496028628a95160fc423a33e8b2e6af8a5302579e322e4b520293cae"
+checksum = "291ec9ab5efd934aaf503a6466c5d5251535d108ee747472c3977cc5acc868ef"
dependencies = [
"proc-macro2",
]
@@ -1770,8 +1814,6 @@ version = "0.8.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "34af8d1a0e25924bc5b7c43c079c942339d8f0a8b57c39049bef581b46327404"
dependencies = [
- "libc",
- "rand_chacha",
"rand_core",
]
@@ -1790,15 +1832,12 @@ name = "rand_core"
version = "0.6.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "ec0be4795e2f6a28069bec0b5ff3e2ac9bafc99e6a9a7dc3547996c5c816922c"
-dependencies = [
- "getrandom",
-]
[[package]]
name = "rayon"
-version = "1.8.0"
+version = "1.9.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9c27db03db7734835b3f53954b534c91069375ce6ccaa2e065441e07d9b6cdb1"
+checksum = "e4963ed1bc86e4f3ee217022bd855b297cef07fb9eac5dfa1f788b220b49b3bd"
dependencies = [
"either",
"rayon-core",
@@ -1806,29 +1845,14 @@ dependencies = [
[[package]]
name = "rayon-core"
-version = "1.12.0"
+version = "1.12.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5ce3fb6ad83f861aac485e76e1985cd109d9a3713802152be56c3b1f0e0658ed"
+checksum = "1465873a3dfdaa8ae7cb14b4383657caab0b3e8a0aa9ae8e04b044854c8dfce2"
dependencies = [
"crossbeam-deque",
"crossbeam-utils",
]
-[[package]]
-name = "rctree"
-version = "0.5.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3b42e27ef78c35d3998403c1d26f3efd9e135d3e5121b0a4845cc5cc27547f4f"
-
-[[package]]
-name = "redox_syscall"
-version = "0.3.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "567664f262709473930a4bf9e51bf2ebf3348f2e748ccc50dea20646858f8f29"
-dependencies = [
- "bitflags 1.3.2",
-]
-
[[package]]
name = "redox_syscall"
version = "0.4.1"
@@ -1851,33 +1875,27 @@ dependencies = [
[[package]]
name = "regex"
-version = "1.10.2"
+version = "1.10.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "380b951a9c5e80ddfd6136919eef32310721aa4aacd4889a8d39124b026ab343"
+checksum = "b62dbe01f0b06f9d8dc7d49e05a0785f153b00b2c227856282f671e0318c9b15"
dependencies = [
"aho-corasick",
"memchr",
"regex-automata",
- "regex-syntax 0.8.2",
+ "regex-syntax",
]
[[package]]
name = "regex-automata"
-version = "0.4.3"
+version = "0.4.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5f804c7828047e88b2d32e2d7fe5a105da8ee3264f01902f796c8e067dc2483f"
+checksum = "86b83b8b9847f9bf95ef68afb0b8e6cdb80f498442f5179a29fad448fcc1eaea"
dependencies = [
"aho-corasick",
"memchr",
- "regex-syntax 0.8.2",
+ "regex-syntax",
]
-[[package]]
-name = "regex-syntax"
-version = "0.7.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dbb5fb1acd8a1a18b3dd5be62d25485eb770e05afb408a9627d14d451bae12da"
-
[[package]]
name = "regex-syntax"
version = "0.8.2"
@@ -1886,11 +1904,11 @@ checksum = "c08c74e62047bb2de4ff487b251e4a92e24f48745648451635cec7d591162d9f"
[[package]]
name = "resvg"
-version = "0.36.0"
+version = "0.38.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cc7980f653f9a7db31acff916a262c3b78c562919263edea29bf41a056e20497"
+checksum = "5c34501046959e06470ba62a2dc7f31c15f94ac250d842a45f9e012f4ee40c1e"
dependencies = [
- "gif",
+ "gif 0.12.0",
"jpeg-decoder",
"log",
"pico-args",
@@ -1910,20 +1928,6 @@ dependencies = [
"bytemuck",
]
-[[package]]
-name = "ring"
-version = "0.17.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fb0205304757e5d899b9c2e448b867ffd03ae7f988002e47cd24954391394d0b"
-dependencies = [
- "cc",
- "getrandom",
- "libc",
- "spin",
- "untrusted",
- "windows-sys",
-]
-
[[package]]
name = "roff"
version = "0.2.1"
@@ -1932,12 +1936,9 @@ checksum = "b833d8d034ea094b1ea68aa6d5c740e0d04bad9d16568d08ba6f76823a114316"
[[package]]
name = "roxmltree"
-version = "0.18.1"
+version = "0.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "862340e351ce1b271a378ec53f304a5558f7db87f3769dc655a8f6ecbb68b302"
-dependencies = [
- "xmlparser",
-]
+checksum = "3cd14fd5e3b777a7422cca79358c57a8f6e3a703d9ac187448d0daf220c2407f"
[[package]]
name = "rustc-hash"
@@ -1956,46 +1957,15 @@ dependencies = [
[[package]]
name = "rustix"
-version = "0.38.25"
+version = "0.38.31"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dc99bc2d4f1fed22595588a013687477aedf3cdcfb26558c559edb67b4d9b22e"
+checksum = "6ea3e1a662af26cd7a3ba09c0297a31af215563ecf42817c98df621387f4e949"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"errno",
"libc",
"linux-raw-sys",
- "windows-sys",
-]
-
-[[package]]
-name = "rustls"
-version = "0.21.9"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "629648aced5775d558af50b2b4c7b02983a04b312126d45eeead26e7caa498b9"
-dependencies = [
- "log",
- "ring",
- "rustls-webpki",
- "sct",
-]
-
-[[package]]
-name = "rustls-pemfile"
-version = "1.0.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1c74cae0a4cf6ccbbf5f359f08efdf8ee7e1dc532573bf0db71968cb56b1448c"
-dependencies = [
- "base64",
-]
-
-[[package]]
-name = "rustls-webpki"
-version = "0.101.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8b6275d1ee7a1cd780b64aca7726599a1dbc893b1e64144529e55c3c2f745765"
-dependencies = [
- "ring",
- "untrusted",
+ "windows-sys 0.52.0",
]
[[package]]
@@ -2006,11 +1976,11 @@ checksum = "7ffc183a10b4478d04cbbbfc96d0873219d962dd5accaff2ffbd4ceb7df837f4"
[[package]]
name = "rustybuzz"
-version = "0.10.0"
+version = "0.12.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "71cd15fef9112a1f94ac64b58d1e4628192631ad6af4dc69997f995459c874e7"
+checksum = "f0ae5692c5beaad6a9e22830deeed7874eae8a4e3ba4076fb48e12c56856222c"
dependencies = [
- "bitflags 1.3.2",
+ "bitflags 2.4.2",
"bytemuck",
"smallvec",
"ttf-parser",
@@ -2022,9 +1992,9 @@ dependencies = [
[[package]]
name = "ryu"
-version = "1.0.15"
+version = "1.0.17"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ad4cc8da4ef723ed60bced201181d83791ad433213d8c24efffda1eec85d741"
+checksum = "e86697c916019a8588c99b5fac3cead74ec0b4b819707a682fd4d23fa0ce1ba1"
[[package]]
name = "safemem"
@@ -2041,6 +2011,15 @@ dependencies = [
"winapi-util",
]
+[[package]]
+name = "schannel"
+version = "0.1.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fbc91545643bcf3a0bbb6569265615222618bdf33ce4ffbbd13c4bbd4c093534"
+dependencies = [
+ "windows-sys 0.52.0",
+]
+
[[package]]
name = "scopeguard"
version = "1.2.0"
@@ -2048,13 +2027,26 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "94143f37725109f92c262ed2cf5e59bce7498c01bcc1502d7b9afe439a4e9f49"
[[package]]
-name = "sct"
-version = "0.7.1"
+name = "security-framework"
+version = "2.9.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "da046153aa2352493d6cb7da4b6e5c0c057d8a1d0a9aa8560baffdd945acd414"
+checksum = "05b64fb303737d99b81884b2c63433e9ae28abebe5eb5045dcdd175dc2ecf4de"
dependencies = [
- "ring",
- "untrusted",
+ "bitflags 1.3.2",
+ "core-foundation",
+ "core-foundation-sys",
+ "libc",
+ "security-framework-sys",
+]
+
+[[package]]
+name = "security-framework-sys"
+version = "2.9.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e932934257d3b408ed8f30db49d85ea163bfe74961f017f405b025af298f0c7a"
+dependencies = [
+ "core-foundation-sys",
+ "libc",
]
[[package]]
@@ -2065,40 +2057,40 @@ checksum = "525db198616b2bcd0f245daf7bfd8130222f7ee6af9ff9984c19a61bf1160c55"
dependencies = [
"fastrand 1.9.0",
"tempfile",
- "windows-sys",
+ "windows-sys 0.48.0",
]
[[package]]
name = "semver"
-version = "1.0.20"
+version = "1.0.22"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "836fa6a3e1e547f9a2c4040802ec865b5d85f4014efe00555d7090a3dcaa1090"
+checksum = "92d43fe69e652f3df9bdc2b85b2854a0825b86e4fb76bc44d945137d053639ca"
[[package]]
name = "serde"
-version = "1.0.193"
+version = "1.0.197"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "25dd9975e68d0cb5aa1120c288333fc98731bd1dd12f561e468ea4728c042b89"
+checksum = "3fb1c873e1b9b056a4dc4c0c198b24c3ffa059243875552b2bd0933b1aee4ce2"
dependencies = [
"serde_derive",
]
[[package]]
name = "serde_derive"
-version = "1.0.193"
+version = "1.0.197"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "43576ca501357b9b071ac53cdc7da8ef0cbd9493d8df094cd821777ea6e894d3"
+checksum = "7eb0b34b42edc17f6b7cac84a52a1c5f0e1bb2227e997ca9011ea3dd34e8610b"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn",
]
[[package]]
name = "serde_json"
-version = "1.0.108"
+version = "1.0.114"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3d1c7e3eac408d115102c4c24ad393e0821bb3a5df4d506a80f85f7a742a526b"
+checksum = "c5f09b1bd632ef549eaa9f60a1f8de742bdbc698e6cee2095fc84dde5f549ae0"
dependencies = [
"itoa",
"ryu",
@@ -2107,9 +2099,9 @@ dependencies = [
[[package]]
name = "serde_spanned"
-version = "0.6.4"
+version = "0.6.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "12022b835073e5b11e90a14f86838ceb1c8fb0325b72416845c487ac0fa95e80"
+checksum = "eb3622f419d1296904700073ea6cc23ad690adbd66f13ea683df73298736f0c1"
dependencies = [
"serde",
]
@@ -2128,26 +2120,17 @@ dependencies = [
[[package]]
name = "serde_yaml"
-version = "0.9.27"
+version = "0.9.32"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3cc7a1570e38322cfe4154732e5110f887ea57e22b76f4bfd32b5bdd3368666c"
+checksum = "8fd075d994154d4a774f95b51fb96bdc2832b0ea48425c92546073816cda1f2f"
dependencies = [
- "indexmap 2.1.0",
+ "indexmap 2.2.5",
"itoa",
"ryu",
"serde",
"unsafe-libyaml",
]
-[[package]]
-name = "sharded-slab"
-version = "0.1.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f40ca3c46823713e0d4209592e8d6e826aa57e928f09752619fc696c499637f6"
-dependencies = [
- "lazy_static",
-]
-
[[package]]
name = "simd-adler32"
version = "0.3.7"
@@ -2177,18 +2160,18 @@ checksum = "54ac45299ccbd390721be55b412d41931911f654fa99e2cb8bfb57184b2061fe"
[[package]]
name = "slotmap"
-version = "1.0.6"
+version = "1.0.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e1e08e261d0e8f5c43123b7adf3e4ca1690d655377ac93a03b2c9d3e98de1342"
+checksum = "dbff4acf519f630b3a3ddcfaea6c06b42174d9a44bc70c620e9ed1649d58b82a"
dependencies = [
"version_check",
]
[[package]]
name = "smallvec"
-version = "1.11.2"
+version = "1.13.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4dccd0940a2dcdf68d092b8cbab7dc0ad8fa938bf95787e1b916b0e3d0e8e970"
+checksum = "e6ecd384b10a64542d77071bd64bd7b231f4ed5940fba55e98c3de13824cf3d7"
[[package]]
name = "spin"
@@ -2215,12 +2198,6 @@ dependencies = [
"winapi",
]
-[[package]]
-name = "str_stack"
-version = "0.1.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9091b6114800a5f2141aee1d1b9d6ca3592ac062dc5decb3764ec5895a47b4eb"
-
[[package]]
name = "strict-num"
version = "0.1.1"
@@ -2232,30 +2209,30 @@ dependencies = [
[[package]]
name = "strsim"
-version = "0.10.0"
+version = "0.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "73473c0e59e6d5812c5dfe2a064a6444949f089e20eec9a2e5506596494e4623"
+checksum = "5ee073c9e4cd00e28217186dbe12796d692868f432bf2e97ee73bed0c56dfa01"
[[package]]
name = "strum"
-version = "0.24.1"
+version = "0.26.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "063e6045c0e62079840579a7e47a355ae92f60eb74daaf156fb1e84ba164e63f"
+checksum = "723b93e8addf9aa965ebe2d11da6d7540fa2283fcea14b3371ff055f7ba13f5f"
dependencies = [
"strum_macros",
]
[[package]]
name = "strum_macros"
-version = "0.24.3"
+version = "0.26.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1e385be0d24f186b4ce2f9982191e7101bb737312ad61c1f2f984f34bcf85d59"
+checksum = "7a3417fc93d76740d974a01654a09777cb500428cc874ca9f45edfe0c4d4cd18"
dependencies = [
"heck",
"proc-macro2",
"quote",
"rustversion",
- "syn 1.0.109",
+ "syn",
]
[[package]]
@@ -2266,22 +2243,24 @@ checksum = "09eab8a83bff89ba2200bd4c59be45c7c787f988431b936099a5a266c957f2f9"
[[package]]
name = "svg2pdf"
-version = "0.9.1"
+version = "0.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a81da66842e426278f20062cd249779565e13f9ab4bfe0ac9e94eb476bc3a0f3"
+checksum = "ba36b330062be8497fd96597227a757b621b86c4d24d164b06e4522b52b3693e"
dependencies = [
"image",
"miniz_oxide",
"once_cell",
"pdf-writer",
+ "resvg",
+ "tiny-skia",
"usvg",
]
[[package]]
name = "svgtypes"
-version = "0.12.0"
+version = "0.13.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d71499ff2d42f59d26edb21369a308ede691421f79ebc0f001e2b1fd3a7c9e52"
+checksum = "6e44e288cd960318917cbd540340968b90becc8bc81f171345d706e7a89d9d70"
dependencies = [
"kurbo",
"siphasher 0.3.11",
@@ -2289,20 +2268,9 @@ dependencies = [
[[package]]
name = "syn"
-version = "1.0.109"
+version = "2.0.52"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "72b64191b275b66ffe2469e8af2c1cfe3bafa67b529ead792a6d0160888b4237"
-dependencies = [
- "proc-macro2",
- "quote",
- "unicode-ident",
-]
-
-[[package]]
-name = "syn"
-version = "2.0.39"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "23e78b90f2fcf45d3e842032ce32e3f2d1545ba6636271dcbf24fa306d87be7a"
+checksum = "b699d15b36d1f02c3e7c69f8ffef53de37aefae075d8488d4ba1a7788d574a07"
dependencies = [
"proc-macro2",
"quote",
@@ -2311,21 +2279,20 @@ dependencies = [
[[package]]
name = "synstructure"
-version = "0.13.0"
+version = "0.13.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "285ba80e733fac80aa4270fbcdf83772a79b80aa35c97075320abfee4a915b06"
+checksum = "c8af7666ab7b6390ab78131fb5b0fce11d6b7a6951602017c35fa82800708971"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
- "unicode-xid",
+ "syn",
]
[[package]]
name = "syntect"
-version = "5.1.0"
+version = "5.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e02b4b303bf8d08bfeb0445cba5068a3d306b6baece1d5582171a9bf49188f91"
+checksum = "874dcfa363995604333cf947ae9f751ca3af4522c60886774c4963943b4746b1"
dependencies = [
"bincode",
"bitflags 1.3.2",
@@ -2334,8 +2301,9 @@ dependencies = [
"fnv",
"once_cell",
"plist",
- "regex-syntax 0.7.5",
+ "regex-syntax",
"serde",
+ "serde_derive",
"serde_json",
"thiserror",
"walkdir",
@@ -2361,63 +2329,55 @@ dependencies = [
[[package]]
name = "tempfile"
-version = "3.8.1"
+version = "3.10.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7ef1adac450ad7f4b3c28589471ade84f25f731a7a0fe30d71dfa9f60fd808e5"
+checksum = "85b77fafb263dd9d05cbeac119526425676db3784113aa9295c88498cbf8bff1"
dependencies = [
"cfg-if",
"fastrand 2.0.1",
- "redox_syscall 0.4.1",
"rustix",
- "windows-sys",
+ "windows-sys 0.52.0",
]
[[package]]
name = "termcolor"
-version = "1.4.0"
+version = "1.4.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ff1bc3d3f05aff0403e8ac0d92ced918ec05b666a43f83297ccef5bea8a3d449"
+checksum = "06794f8f6c5c898b3275aebefa6b8a1cb24cd2c6c79397ab15774837a0bc5755"
dependencies = [
"winapi-util",
]
[[package]]
name = "thiserror"
-version = "1.0.50"
+version = "1.0.57"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f9a7210f5c9a7156bb50aa36aed4c95afb51df0df00713949448cf9e97d382d2"
+checksum = "1e45bcbe8ed29775f228095caf2cd67af7a4ccf756ebff23a306bf3e8b47b24b"
dependencies = [
"thiserror-impl",
]
[[package]]
name = "thiserror-impl"
-version = "1.0.50"
+version = "1.0.57"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "266b2e40bc00e5a6c09c3584011e08b06f123c00362c92b975ba9843aaaa14b8"
+checksum = "a953cb265bef375dae3de6663da4d3804eee9682ea80d8e2542529b73c531c81"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
-]
-
-[[package]]
-name = "thread_local"
-version = "1.1.7"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3fdd6f064ccff2d6567adcb3873ca630700f00b5ad3f060c25b5dcfd9a4ce152"
-dependencies = [
- "cfg-if",
- "once_cell",
+ "syn",
]
[[package]]
name = "time"
-version = "0.3.20"
+version = "0.3.34"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cd0cbfecb4d19b5ea75bb31ad904eb5b9fa13f21079c3b92017ebdf4999a5890"
+checksum = "c8248b6521bb14bc45b4067159b9b6ad792e2d6d754d6c41fb50e29fefe38749"
dependencies = [
+ "deranged",
"itoa",
+ "num-conv",
+ "powerfmt",
"serde",
"time-core",
"time-macros",
@@ -2425,24 +2385,25 @@ dependencies = [
[[package]]
name = "time-core"
-version = "0.1.0"
+version = "0.1.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2e153e1f1acaef8acc537e68b44906d2db6436e2b35ac2c6b42640fff91f00fd"
+checksum = "ef927ca75afb808a4d64dd374f00a2adf8d0fcff8e7b184af886c3c87ec4a3f3"
[[package]]
name = "time-macros"
-version = "0.2.8"
+version = "0.2.17"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fd80a657e71da814b8e5d60d3374fc6d35045062245d80224748ae522dd76f36"
+checksum = "7ba3a3ef41e6672a2f0f001392bb5dcd3ff0a9992d618ca761a11c3121547774"
dependencies = [
+ "num-conv",
"time-core",
]
[[package]]
name = "tiny-skia"
-version = "0.11.2"
+version = "0.11.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3b72a92a05db376db09fe6d50b7948d106011761c05a6a45e23e17ee9b556222"
+checksum = "83d13394d44dae3207b52a326c0c85a8bf87f1541f23b0d143811088497b09ab"
dependencies = [
"arrayref",
"arrayvec",
@@ -2455,9 +2416,9 @@ dependencies = [
[[package]]
name = "tiny-skia-path"
-version = "0.11.2"
+version = "0.11.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6ac3865b9708fc7e1961a65c3a4fa55e984272f33092d3c859929f887fceb647"
+checksum = "9c9e7fc0c2e86a30b117d0462aa261b72b7a99b7ebd7deb3a14ceda95c5bdc93"
dependencies = [
"arrayref",
"bytemuck",
@@ -2492,9 +2453,9 @@ checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20"
[[package]]
name = "toml"
-version = "0.8.8"
+version = "0.8.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a1a195ec8c9da26928f773888e0742ca3ca1040c6cd859c919c9f59c1954ab35"
+checksum = "9a9aad4a3066010876e8dcf5a8a06e70a558751117a145c6ce2b82c2e2054290"
dependencies = [
"serde",
"serde_spanned",
@@ -2513,100 +2474,33 @@ dependencies = [
[[package]]
name = "toml_edit"
-version = "0.21.0"
+version = "0.22.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d34d383cd00a163b4a5b85053df514d45bc330f6de7737edfe0a93311d1eaa03"
+checksum = "2c1b5fd4128cc8d3e0cb74d4ed9a9cc7c7284becd4df68f5f940e1ad123606f6"
dependencies = [
- "indexmap 2.1.0",
+ "indexmap 2.2.5",
"serde",
"serde_spanned",
"toml_datetime",
"winnow",
]
-[[package]]
-name = "tracing"
-version = "0.1.40"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c3523ab5a71916ccf420eebdf5521fcef02141234bbc0b8a49f2fdc4544364ef"
-dependencies = [
- "pin-project-lite",
- "tracing-attributes",
- "tracing-core",
-]
-
-[[package]]
-name = "tracing-attributes"
-version = "0.1.27"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "34704c8d6ebcbc939824180af020566b01a7c01f80641264eba0999f6c2b6be7"
-dependencies = [
- "proc-macro2",
- "quote",
- "syn 2.0.39",
-]
-
-[[package]]
-name = "tracing-core"
-version = "0.1.32"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c06d3da6113f116aaee68e4d601191614c9053067f9ab7f6edbcb161237daa54"
-dependencies = [
- "once_cell",
- "valuable",
-]
-
-[[package]]
-name = "tracing-error"
-version = "0.2.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d686ec1c0f384b1277f097b2f279a2ecc11afe8c133c1aabf036a27cb4cd206e"
-dependencies = [
- "tracing",
- "tracing-subscriber",
-]
-
-[[package]]
-name = "tracing-flame"
-version = "0.2.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0bae117ee14789185e129aaee5d93750abe67fdc5a9a62650452bfe4e122a3a9"
-dependencies = [
- "lazy_static",
- "tracing",
- "tracing-subscriber",
-]
-
-[[package]]
-name = "tracing-log"
-version = "0.2.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ee855f1f400bd0e5c02d150ae5de3840039a3f54b025156404e34c23c03f47c3"
-dependencies = [
- "log",
- "once_cell",
- "tracing-core",
-]
-
-[[package]]
-name = "tracing-subscriber"
-version = "0.3.18"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ad0f048c97dbd9faa9b7df56362b8ebcaa52adb06b498c050d2f4e32f90a7a8b"
-dependencies = [
- "nu-ansi-term",
- "sharded-slab",
- "smallvec",
- "thread_local",
- "tracing-core",
- "tracing-log",
-]
-
[[package]]
name = "ttf-parser"
-version = "0.19.2"
+version = "0.20.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "49d64318d8311fc2668e48b63969f4343e0a85c4a109aa8460d6672e364b8bd1"
+checksum = "17f77d76d837a7830fe1d4f12b7b4ba4192c1888001c7164257e4bc6d21d96b4"
+
+[[package]]
+name = "two-face"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "37bed2135b2459c7eefba72c906d374697eb15949c205f2f124e3636a46b5eeb"
+dependencies = [
+ "once_cell",
+ "serde",
+ "syntect",
+]
[[package]]
name = "typed-arena"
@@ -2616,10 +2510,10 @@ checksum = "6af6ae20167a9ece4bcb41af5b80f8a1f1df981f6391189ce00fd257af04126a"
[[package]]
name = "typst"
-version = "0.10.0"
+version = "0.11.0"
dependencies = [
"az",
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"chinese-number",
"ciborium",
"comemo",
@@ -2633,31 +2527,40 @@ dependencies = [
"icu_provider_adapters",
"icu_provider_blob",
"icu_segmenter",
+ "if_chain",
"image",
- "indexmap 2.1.0",
+ "indexmap 2.2.5",
+ "kamadak-exif",
"kurbo",
"lipsum",
"log",
"once_cell",
"palette",
+ "phf",
+ "png",
+ "portable-atomic",
+ "qcms",
"rayon",
"regex",
"roxmltree",
"rustybuzz",
"serde",
"serde_json",
- "serde_yaml 0.9.27",
+ "serde_yaml 0.9.32",
"siphasher 1.0.0",
"smallvec",
"stacker",
"syntect",
"time",
"toml",
- "tracing",
"ttf-parser",
+ "two-face",
"typed-arena",
+ "typst-assets",
+ "typst-dev-assets",
"typst-macros",
"typst-syntax",
+ "typst-timing",
"unicode-bidi",
"unicode-math-class",
"unicode-script",
@@ -2666,9 +2569,15 @@ dependencies = [
"wasmi",
]
+[[package]]
+name = "typst-assets"
+version = "0.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f13f85360328da54847dd7fefaf272dfa5b6d1fdeb53f32938924c39bf5b2c6c"
+
[[package]]
name = "typst-cli"
-version = "0.10.0"
+version = "0.11.0"
dependencies = [
"chrono",
"clap",
@@ -2681,58 +2590,79 @@ dependencies = [
"env_proxy",
"flate2",
"fontdb",
- "inferno",
+ "fs_extra",
+ "native-tls",
"notify",
"once_cell",
"open",
+ "openssl",
+ "parking_lot",
"pathdiff",
- "rustls",
- "rustls-pemfile",
+ "rayon",
"same-file",
"self-replace",
"semver",
"serde",
"serde_json",
- "serde_yaml 0.9.27",
- "siphasher 1.0.0",
+ "serde_yaml 0.9.32",
"tar",
"tempfile",
- "tracing",
- "tracing-error",
- "tracing-flame",
- "tracing-subscriber",
+ "toml",
"typst",
+ "typst-assets",
+ "typst-macros",
"typst-pdf",
"typst-render",
"typst-svg",
+ "typst-timing",
"ureq",
"xz2",
"zip",
]
+[[package]]
+name = "typst-dev-assets"
+version = "0.11.0"
+source = "git+https://github.com/typst/typst-dev-assets?tag=v0.11.0#e0ef7ad46f28a440c41bc8e78563ace86cc02678"
+
[[package]]
name = "typst-docs"
-version = "0.10.0"
+version = "0.11.0"
dependencies = [
+ "clap",
"comemo",
"ecow",
"heck",
- "include_dir",
"once_cell",
"pulldown-cmark",
"serde",
- "serde_yaml 0.9.27",
+ "serde_json",
+ "serde_yaml 0.9.32",
"syntect",
"typed-arena",
"typst",
- "unicode_names2",
+ "typst-assets",
+ "typst-dev-assets",
+ "typst-render",
"unscanny",
"yaml-front-matter",
]
+[[package]]
+name = "typst-fuzz"
+version = "0.11.0"
+dependencies = [
+ "comemo",
+ "libfuzzer-sys",
+ "typst",
+ "typst-assets",
+ "typst-render",
+ "typst-syntax",
+]
+
[[package]]
name = "typst-ide"
-version = "0.10.0"
+version = "0.11.0"
dependencies = [
"comemo",
"ecow",
@@ -2745,19 +2675,19 @@ dependencies = [
[[package]]
name = "typst-macros"
-version = "0.10.0"
+version = "0.11.0"
dependencies = [
"heck",
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn",
]
[[package]]
name = "typst-pdf"
-version = "0.10.0"
+version = "0.11.0"
dependencies = [
- "base64",
+ "base64 0.22.0",
"bytemuck",
"comemo",
"ecow",
@@ -2767,9 +2697,11 @@ dependencies = [
"pdf-writer",
"subsetter",
"svg2pdf",
- "tracing",
"ttf-parser",
"typst",
+ "typst-assets",
+ "typst-macros",
+ "typst-timing",
"unicode-properties",
"unscanny",
"xmp-writer",
@@ -2777,7 +2709,7 @@ dependencies = [
[[package]]
name = "typst-render"
-version = "0.10.0"
+version = "0.11.0"
dependencies = [
"bytemuck",
"comemo",
@@ -2789,33 +2721,35 @@ dependencies = [
"tiny-skia",
"ttf-parser",
"typst",
+ "typst-macros",
+ "typst-timing",
"usvg",
]
[[package]]
name = "typst-svg"
-version = "0.10.0"
+version = "0.11.0"
dependencies = [
- "base64",
+ "base64 0.22.0",
"comemo",
"ecow",
"flate2",
- "tracing",
"ttf-parser",
"typst",
+ "typst-macros",
+ "typst-timing",
"xmlparser",
"xmlwriter",
]
[[package]]
name = "typst-syntax"
-version = "0.10.0"
+version = "0.11.0"
dependencies = [
"comemo",
"ecow",
"once_cell",
"serde",
- "tracing",
"unicode-ident",
"unicode-math-class",
"unicode-script",
@@ -2825,18 +2759,20 @@ dependencies = [
[[package]]
name = "typst-tests"
-version = "0.10.0"
+version = "0.11.0"
dependencies = [
"clap",
"comemo",
"ecow",
- "iai",
"once_cell",
"oxipng",
"rayon",
"tiny-skia",
"ttf-parser",
"typst",
+ "typst-assets",
+ "typst-dev-assets",
+ "typst-ide",
"typst-pdf",
"typst-render",
"typst-svg",
@@ -2844,20 +2780,30 @@ dependencies = [
"walkdir",
]
+[[package]]
+name = "typst-timing"
+version = "0.11.0"
+dependencies = [
+ "parking_lot",
+ "serde",
+ "serde_json",
+ "typst-syntax",
+]
+
[[package]]
name = "unic-langid"
-version = "0.9.1"
+version = "0.9.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "398f9ad7239db44fd0f80fe068d12ff22d78354080332a5077dc6f52f14dcf2f"
+checksum = "238722e6d794ed130f91f4ea33e01fcff4f188d92337a21297892521c72df516"
dependencies = [
"unic-langid-impl",
]
[[package]]
name = "unic-langid-impl"
-version = "0.9.1"
+version = "0.9.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e35bfd2f2b8796545b55d7d3fd3e89a0613f68a0d1c8bc28cb7ff96b411a35ff"
+checksum = "4bd55a2063fdea4ef1f8633243a7b0524cbeef1905ae04c31a1c9b9775c55bc6"
dependencies = [
"serde",
"tinystr",
@@ -2874,9 +2820,9 @@ dependencies = [
[[package]]
name = "unicode-bidi"
-version = "0.3.13"
+version = "0.3.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "92888ba5573ff080736b3648696b70cafad7d250551175acbaa4e0385b3e1460"
+checksum = "08f95100a766bf4f8f28f90d77e0a5461bbdb219042e7679bebe79004fed8d75"
[[package]]
name = "unicode-bidi-mirroring"
@@ -2904,30 +2850,30 @@ checksum = "7d246cf599d5fae3c8d56e04b20eb519adb89a8af8d0b0fbcded369aa3647d65"
[[package]]
name = "unicode-normalization"
-version = "0.1.22"
+version = "0.1.23"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5c5713f0fc4b5db668a2ac63cdb7bb4469d8c9fed047b1d0292cc7b0ce2ba921"
+checksum = "a56d1686db2308d901306f92a263857ef59ea39678a5458e7cb17f01415101f5"
dependencies = [
"tinyvec",
]
[[package]]
name = "unicode-properties"
-version = "0.1.0"
+version = "0.1.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c7f91c8b21fbbaa18853c3d0801c78f4fc94cdb976699bb03e832e75f7fd22f0"
+checksum = "e4259d9d4425d9f0661581b804cb85fe66a4c631cadd8f490d1c13a35d5d9291"
[[package]]
name = "unicode-script"
-version = "0.5.5"
+version = "0.5.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7d817255e1bed6dfd4ca47258685d14d2bdcfbc64fdc9e3819bd5848057b8ecc"
+checksum = "ad8d71f5726e5f285a935e9fe8edfd53f0491eb6e9a5774097fdabee7cd8c9cd"
[[package]]
name = "unicode-segmentation"
-version = "1.10.1"
+version = "1.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1dd624098567895118886609431a7c3b8f516e41d30e0643f03d94592a147e36"
+checksum = "d4c87d22b6e3f4a18d4d40ef354e97c90fcb14dd91d7dc0aa9d8a1172ebf7202"
[[package]]
name = "unicode-vo"
@@ -2941,40 +2887,11 @@ version = "0.1.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "e51733f11c9c4f72aa0c160008246859e340b00807569a0da0e7a1079b27ba85"
-[[package]]
-name = "unicode-xid"
-version = "0.2.4"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f962df74c8c05a667b5ee8bcf162993134c104e96440b663c8daa176dc772d8c"
-
-[[package]]
-name = "unicode_names2"
-version = "1.2.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5d5506ae2c3c1ccbdf468e52fc5ef536c2ccd981f01273a4cb81aa61021f3a5f"
-dependencies = [
- "phf",
- "unicode_names2_generator",
-]
-
-[[package]]
-name = "unicode_names2_generator"
-version = "1.2.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b6dfc680313e95bc6637fa278cd7a22390c3c2cd7b8b2bd28755bc6c0fc811e7"
-dependencies = [
- "getopts",
- "log",
- "phf_codegen",
- "rand",
- "time",
-]
-
[[package]]
name = "unsafe-libyaml"
-version = "0.2.9"
+version = "0.2.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f28467d3e1d3c6586d8f25fa243f544f5800fec42d97032474e17222c2b75cfa"
+checksum = "ab4c90930b95a82d00dc9e9ac071b4991924390d46cbd0dfe566148667605e4b"
[[package]]
name = "unscanny"
@@ -2982,28 +2899,20 @@ version = "0.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "e9df2af067a7953e9c3831320f35c1cc0600c30d44d9f7a12b01db1cd88d6b47"
-[[package]]
-name = "untrusted"
-version = "0.9.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8ecb6da28b8a351d773b68d5825ac39017e680750f980f3a1a85cd8dd28a47c1"
-
[[package]]
name = "ureq"
-version = "2.9.1"
+version = "2.9.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f8cdd25c339e200129fe4de81451814e5228c9b771d57378817d6117cc2b3f97"
+checksum = "11f214ce18d8b2cbe84ed3aa6486ed3f5b285cf8d8fbdbce9f3f767a724adc35"
dependencies = [
- "base64",
+ "base64 0.21.7",
"flate2",
"log",
+ "native-tls",
"once_cell",
- "rustls",
- "rustls-webpki",
"serde",
"serde_json",
"url",
- "webpki-roots",
]
[[package]]
@@ -3020,11 +2929,11 @@ dependencies = [
[[package]]
name = "usvg"
-version = "0.36.0"
+version = "0.38.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c51daa774fe9ee5efcf7b4fec13019b8119cda764d9a8b5b06df02bb1445c656"
+checksum = "377f62b4a3c173de8654c1aa80ab1dac1154e6f13a779a9943e53780120d1625"
dependencies = [
- "base64",
+ "base64 0.21.7",
"log",
"pico-args",
"usvg-parser",
@@ -3035,9 +2944,9 @@ dependencies = [
[[package]]
name = "usvg-parser"
-version = "0.36.0"
+version = "0.38.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "45c88a5ffaa338f0e978ecf3d4e00d8f9f493e29bed0752e1a808a1db16afc40"
+checksum = "351a05e6f2023d6b4e946f734240a3927aefdcf930d7d42587a2c8a8869814b0"
dependencies = [
"data-url",
"flate2",
@@ -3053,9 +2962,9 @@ dependencies = [
[[package]]
name = "usvg-text-layout"
-version = "0.36.0"
+version = "0.38.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4d2374378cb7a3fb8f33894e0fdb8625e1bbc4f25312db8d91f862130b541593"
+checksum = "8c41888b9d5cf431fe852eaf9d047bbde83251b98f1749c2f08b1071e6db46e2"
dependencies = [
"fontdb",
"kurbo",
@@ -3069,11 +2978,10 @@ dependencies = [
[[package]]
name = "usvg-tree"
-version = "0.36.0"
+version = "0.38.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6cacb0c5edeaf3e80e5afcf5b0d4004cc1d36318befc9a7c6606507e5d0f4062"
+checksum = "18863e0404ed153d6e56362c5b1146db9f4f262a3244e3cf2dbe7d8a85909f05"
dependencies = [
- "rctree",
"strict-num",
"svgtypes",
"tiny-skia-path",
@@ -3081,9 +2989,9 @@ dependencies = [
[[package]]
name = "utf8_iter"
-version = "1.0.3"
+version = "1.0.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "64a8922555b9500e3d865caed19330172cd67cbf82203f1a3311d8c305cc9f33"
+checksum = "b6c140620e7ffbb22c2dee59cafe6084a59b5ffc27a8859a5f0d494b5d52b6be"
[[package]]
name = "utf8parse"
@@ -3092,10 +3000,10 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "711b9620af191e0cdc7468a8d14e709c3dcdb115b36f838e601583af800a370a"
[[package]]
-name = "valuable"
-version = "0.1.0"
+name = "vcpkg"
+version = "0.2.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "830b7e5d4d90034032940e4ace0d9a9a057e7a45cd94e6c007832e39edb82f6d"
+checksum = "accd4ea62f7bb7a82fe23066fb0957d48ef677f6eeb8215f372f52e48bb32426"
[[package]]
name = "version_check"
@@ -3105,9 +3013,9 @@ checksum = "49874b5167b65d7193b8aba1567f5c7d93d001cafc34600cee003eda787e483f"
[[package]]
name = "walkdir"
-version = "2.4.0"
+version = "2.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d71d857dc86794ca4c280d616f7da00d2dbfd8cd788846559a6813e6aa4b54ee"
+checksum = "29790946404f91d9c5d06f9874efddea1dc06c5efe94541a7d6863108e3a5e4b"
dependencies = [
"same-file",
"winapi-util",
@@ -3121,9 +3029,9 @@ checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
[[package]]
name = "wasm-bindgen"
-version = "0.2.89"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0ed0d4f68a3015cc185aff4db9506a015f4b96f95303897bfa23f846db54064e"
+checksum = "4be2531df63900aeb2bca0daaaddec08491ee64ceecbee5076636a3b026795a8"
dependencies = [
"cfg-if",
"wasm-bindgen-macro",
@@ -3131,24 +3039,24 @@ dependencies = [
[[package]]
name = "wasm-bindgen-backend"
-version = "0.2.89"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1b56f625e64f3a1084ded111c4d5f477df9f8c92df113852fa5a374dbda78826"
+checksum = "614d787b966d3989fa7bb98a654e369c762374fd3213d212cfc0251257e747da"
dependencies = [
"bumpalo",
"log",
"once_cell",
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-macro"
-version = "0.2.89"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0162dbf37223cd2afce98f3d0785506dcb8d266223983e4b5b525859e6e182b2"
+checksum = "a1f8823de937b71b9460c0c34e25f3da88250760bec0ebac694b49997550d726"
dependencies = [
"quote",
"wasm-bindgen-macro-support",
@@ -3156,28 +3064,28 @@ dependencies = [
[[package]]
name = "wasm-bindgen-macro-support"
-version = "0.2.89"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f0eb82fcb7930ae6219a7ecfd55b217f5f0893484b7a13022ebb2b2bf20b5283"
+checksum = "e94f17b526d0a461a191c78ea52bbce64071ed5c04c9ffe424dcb38f74171bb7"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn",
"wasm-bindgen-backend",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-shared"
-version = "0.2.89"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7ab9b36309365056cd639da3134bf87fa8f3d86008abf99e612384a6eecd459f"
+checksum = "af190c94f2773fdb3729c55b007a722abb5384da03bc0986df4c289bf5567e96"
[[package]]
name = "wasmi"
-version = "0.31.0"
+version = "0.31.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1f341edb80021141d4ae6468cbeefc50798716a347d4085c3811900049ea8945"
+checksum = "77a8281d1d660cdf54c76a3efa9ddd0c270cada1383a995db3ccb43d166456c7"
dependencies = [
"smallvec",
"spin",
@@ -3188,9 +3096,9 @@ dependencies = [
[[package]]
name = "wasmi_arena"
-version = "0.4.0"
+version = "0.4.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "401c1f35e413fac1846d4843745589d9ec678977ab35a384db8ae7830525d468"
+checksum = "104a7f73be44570cac297b3035d76b169d6599637631cf37a1703326a0727073"
[[package]]
name = "wasmi_core"
@@ -3213,17 +3121,11 @@ dependencies = [
"indexmap-nostd",
]
-[[package]]
-name = "webpki-roots"
-version = "0.25.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1778a42e8b3b90bff8d0f5032bf22250792889a5cdc752aa0020c84abe3aaf10"
-
[[package]]
name = "weezl"
-version = "0.1.7"
+version = "0.1.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9193164d4de03a926d909d3bc7c30543cecb35400c02114792c2cae20d5e2dbb"
+checksum = "53a85b86a771b1c87058196170769dd264f66c0782acf1ae6cc51bfd64b39082"
[[package]]
name = "winapi"
@@ -3258,11 +3160,11 @@ checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
[[package]]
name = "windows-core"
-version = "0.51.1"
+version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f1f8cf84f35d2db49a46868f947758c7a1138116f7fac3bc844f43ade1292e64"
+checksum = "33ab640c8d7e35bf8ba19b884ba838ceb4fba93a4e8c65a9059d08afcfc683d9"
dependencies = [
- "windows-targets",
+ "windows-targets 0.52.4",
]
[[package]]
@@ -3271,7 +3173,16 @@ version = "0.48.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "677d2418bec65e3338edb076e806bc1ec15693c5d0104683f2efe857f61056a9"
dependencies = [
- "windows-targets",
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "windows-sys"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "282be5f36a8ce781fad8c8ae18fa3f9beff57ec1b52cb3de0789201425d9a33d"
+dependencies = [
+ "windows-targets 0.52.4",
]
[[package]]
@@ -3280,13 +3191,28 @@ version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "9a2fa6e2155d7247be68c096456083145c183cbbbc2764150dda45a87197940c"
dependencies = [
- "windows_aarch64_gnullvm",
- "windows_aarch64_msvc",
- "windows_i686_gnu",
- "windows_i686_msvc",
- "windows_x86_64_gnu",
- "windows_x86_64_gnullvm",
- "windows_x86_64_msvc",
+ "windows_aarch64_gnullvm 0.48.5",
+ "windows_aarch64_msvc 0.48.5",
+ "windows_i686_gnu 0.48.5",
+ "windows_i686_msvc 0.48.5",
+ "windows_x86_64_gnu 0.48.5",
+ "windows_x86_64_gnullvm 0.48.5",
+ "windows_x86_64_msvc 0.48.5",
+]
+
+[[package]]
+name = "windows-targets"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7dd37b7e5ab9018759f893a1952c9420d060016fc19a472b4bb20d1bdd694d1b"
+dependencies = [
+ "windows_aarch64_gnullvm 0.52.4",
+ "windows_aarch64_msvc 0.52.4",
+ "windows_i686_gnu 0.52.4",
+ "windows_i686_msvc 0.52.4",
+ "windows_x86_64_gnu 0.52.4",
+ "windows_x86_64_gnullvm 0.52.4",
+ "windows_x86_64_msvc 0.52.4",
]
[[package]]
@@ -3295,36 +3221,72 @@ version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "2b38e32f0abccf9987a4e3079dfb67dcd799fb61361e53e2882c3cbaf0d905d8"
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bcf46cf4c365c6f2d1cc93ce535f2c8b244591df96ceee75d8e83deb70a9cac9"
+
[[package]]
name = "windows_aarch64_msvc"
version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "dc35310971f3b2dbbf3f0690a219f40e2d9afcf64f9ab7cc1be722937c26b4bc"
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "da9f259dd3bcf6990b55bffd094c4f7235817ba4ceebde8e6d11cd0c5633b675"
+
[[package]]
name = "windows_i686_gnu"
version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "a75915e7def60c94dcef72200b9a8e58e5091744960da64ec734a6c6e9b3743e"
+[[package]]
+name = "windows_i686_gnu"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b474d8268f99e0995f25b9f095bc7434632601028cf86590aea5c8a5cb7801d3"
+
[[package]]
name = "windows_i686_msvc"
version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "8f55c233f70c4b27f66c523580f78f1004e8b5a8b659e05a4eb49d4166cca406"
+[[package]]
+name = "windows_i686_msvc"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1515e9a29e5bed743cb4415a9ecf5dfca648ce85ee42e15873c3cd8610ff8e02"
+
[[package]]
name = "windows_x86_64_gnu"
version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "53d40abd2583d23e4718fddf1ebec84dbff8381c07cae67ff7768bbf19c6718e"
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5eee091590e89cc02ad514ffe3ead9eb6b660aedca2183455434b93546371a03"
+
[[package]]
name = "windows_x86_64_gnullvm"
version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "0b7b52767868a23d5bab768e390dc5f5c55825b6d30b86c844ff2dc7414044cc"
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "77ca79f2451b49fa9e2af39f0747fe999fcda4f5e241b2898624dca97a1f2177"
+
[[package]]
name = "windows_x86_64_msvc"
version = "0.48.5"
@@ -3332,10 +3294,16 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "ed94fce61571a4006852b7389a063ab983c02eb1bb37b47f8272ce92d06d9538"
[[package]]
-name = "winnow"
-version = "0.5.19"
+name = "windows_x86_64_msvc"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "829846f3e3db426d4cee4510841b71a8e58aa2a76b1132579487ae430ccd9c7b"
+checksum = "32b752e52a2da0ddfbdbcc6fceadfeede4c939ed16d13e648833a61dfb611ed8"
+
+[[package]]
+name = "winnow"
+version = "0.6.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dffa400e67ed5a4dd237983829e66475f0a4a26938c4b04c21baede6262215b8"
dependencies = [
"memchr",
]
@@ -3357,11 +3325,13 @@ dependencies = [
[[package]]
name = "xattr"
-version = "1.0.1"
+version = "1.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f4686009f71ff3e5c4dbcf1a282d0a44db3f021ba69350cd42086b3e5f1c6985"
+checksum = "8da84f1a25939b27f6820d92aed108f83ff920fdf11a7b19366c27c4cda81d4f"
dependencies = [
"libc",
+ "linux-raw-sys",
+ "rustix",
]
[[package]]
@@ -3430,30 +3400,10 @@ checksum = "9e6936f0cce458098a201c245a11bef556c6a0181129c7034d10d76d1ec3a2b8"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn",
"synstructure",
]
-[[package]]
-name = "zerocopy"
-version = "0.7.26"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e97e415490559a91254a2979b4829267a57d2fcd741a98eee8b722fb57289aa0"
-dependencies = [
- "zerocopy-derive",
-]
-
-[[package]]
-name = "zerocopy-derive"
-version = "0.7.26"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dd7e48ccf166952882ca8bd778a43502c64f33bf94c12ebe2a7f08e5a0f6689f"
-dependencies = [
- "proc-macro2",
- "quote",
- "syn 2.0.39",
-]
-
[[package]]
name = "zerofrom"
version = "0.1.3"
@@ -3471,7 +3421,7 @@ checksum = "e6a647510471d372f2e6c2e6b7219e44d8c574d24fdc11c610a61455782f18c3"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn",
"synstructure",
]
@@ -3507,7 +3457,7 @@ checksum = "7b4e5997cbf58990550ef1f0e5124a05e47e1ebd33a84af25739be6031a62c20"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn",
]
[[package]]
diff --git a/pkgs/by-name/ty/typst/package.nix b/pkgs/by-name/ty/typst/package.nix
index 24d333f669cc..e470a7f4ce61 100644
--- a/pkgs/by-name/ty/typst/package.nix
+++ b/pkgs/by-name/ty/typst/package.nix
@@ -2,38 +2,48 @@
, rustPlatform
, fetchFromGitHub
, installShellFiles
+, pkg-config
+, openssl
+, xz
, stdenv
, darwin
}:
rustPlatform.buildRustPackage rec {
pname = "typst";
- version = "0.10.0";
+ version = "0.11.0";
src = fetchFromGitHub {
owner = "typst";
repo = "typst";
rev = "v${version}";
- hash = "sha256-qiskc0G/ZdLRZjTicoKIOztRFem59TM4ki23Rl55y9s=";
+ hash = "sha256-RbkirnVrhYT/OuZSdJWMOvQXAeBmsFICsCrezyT6ukA=";
};
cargoLock = {
lockFile = ./Cargo.lock;
outputHashes = {
- "iai-0.1.1" = "sha256-EdNzCPht5chg7uF9O8CtPWR/bzSYyfYIXNdLltqdlR0=";
+ "typst-dev-assets-0.11.0" = "sha256-wTmux3GsUIU+PX6SO9rrQHr3korPFBeP/Z8byC97KUI=";
};
};
nativeBuildInputs = [
installShellFiles
+ pkg-config
];
- buildInputs = lib.optionals stdenv.isDarwin [
+ buildInputs = [
+ openssl
+ xz
+ ] ++ lib.optionals stdenv.isDarwin [
+ darwin.apple_sdk.frameworks.CoreFoundation
darwin.apple_sdk.frameworks.CoreServices
+ darwin.apple_sdk.frameworks.Security
];
env = {
GEN_ARTIFACTS = "artifacts";
+ OPENSSL_NO_VENDOR = true;
};
postInstall = ''
diff --git a/pkgs/tools/typesetting/typstfmt/Cargo.lock b/pkgs/by-name/ty/typstfmt/Cargo.lock
similarity index 80%
rename from pkgs/tools/typesetting/typstfmt/Cargo.lock
rename to pkgs/by-name/ty/typstfmt/Cargo.lock
index ecfb8d4030f5..41ecc6fece65 100644
--- a/pkgs/tools/typesetting/typstfmt/Cargo.lock
+++ b/pkgs/by-name/ty/typstfmt/Cargo.lock
@@ -19,9 +19,9 @@ checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a"
[[package]]
name = "bitflags"
-version = "2.4.1"
+version = "2.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "327762f6e5a765692301e5bb513e0d9fef63be86bbc14528052b1cd3e6f03e07"
+checksum = "ed570934406eb16438a4e976b1b4500774099c13b8cb96eec99f620f05090ddf"
[[package]]
name = "bstr"
@@ -36,9 +36,9 @@ dependencies = [
[[package]]
name = "bstr"
-version = "1.8.0"
+version = "1.9.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "542f33a8835a0884b006a0c3df3dadd99c0c3f296ed26c2fdc8028e01ad6230c"
+checksum = "05efc5cfd9110c8416e471df0e96702d58690178e206e61b7173706673c93706"
dependencies = [
"memchr",
"serde",
@@ -85,9 +85,9 @@ dependencies = [
[[package]]
name = "console"
-version = "0.15.7"
+version = "0.15.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c926e00cc70edefdc64d3a5ff31cc65bb97a3460097762bd23afb4d8145fccf8"
+checksum = "0e1f83fc076bd6dd27517eacdf25fef6c4dfe5f1d7448bafaaf3a26f13b5e4eb"
dependencies = [
"encode_unicode",
"lazy_static",
@@ -117,18 +117,18 @@ dependencies = [
[[package]]
name = "ecow"
-version = "0.1.2"
+version = "0.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1d1990d053cf6edf3f030682dba3b0eb65ef01fabb2686072765d8a17d6728e8"
+checksum = "e6ea5e3f9cda726431da9d1a8d5a29785d544b31e98e1ca7a210906244002e02"
dependencies = [
"serde",
]
[[package]]
name = "either"
-version = "1.9.0"
+version = "1.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a26ae43d7bcc3b814de94796a5e736d4029efb0ee900c12e2d54c993ad1a1e07"
+checksum = "11157ac094ffbdde99aa67b23417ebdd801842852b500e395a45a9c0aac03e4a"
[[package]]
name = "encode_unicode"
@@ -144,9 +144,9 @@ checksum = "5443807d6dff69373d433ab9ef5378ad8df50ca6298caf15de6e52e24aaf54d5"
[[package]]
name = "getrandom"
-version = "0.2.11"
+version = "0.2.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fe9006bed769170c11f845cf00c7c1e9092aeb3f268e007c3e760ac68008070f"
+checksum = "190092ea657667030ac6a35e305e62fc4dd69fd98ac98631e5d3a2b1575a12b5"
dependencies = [
"cfg-if",
"libc",
@@ -171,9 +171,9 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "57da3b9b5b85bd66f31093f8c408b90a74431672542466497dcbdfdc02034be1"
dependencies = [
"aho-corasick",
- "bstr 1.8.0",
+ "bstr 1.9.1",
"log",
- "regex-automata 0.4.3",
+ "regex-automata 0.4.5",
"regex-syntax",
]
@@ -185,9 +185,9 @@ checksum = "290f1a1d9242c78d09ce40a5e87e7554ee637af1351968159f4952f028f75604"
[[package]]
name = "indexmap"
-version = "2.1.0"
+version = "2.2.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d530e1a18b1cb4c484e6e34556a0d948706958449fca0cab753d649f2bce3d1f"
+checksum = "233cf39063f058ea2caae4091bf4a3ef70a653afbc026f5c4a4135d114e3c177"
dependencies = [
"equivalent",
"hashbrown",
@@ -195,9 +195,9 @@ dependencies = [
[[package]]
name = "insta"
-version = "1.34.0"
+version = "1.35.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5d64600be34b2fcfc267740a243fa7744441bb4947a619ac4e5bb6507f35fbfc"
+checksum = "7c985c1bef99cf13c58fade470483d81a2bfe846ebde60ed28cc2dddec2df9e2"
dependencies = [
"console",
"lazy_static",
@@ -229,9 +229,9 @@ checksum = "baff4b617f7df3d896f97fe922b64817f6cd9a756bb81d40f8883f2f66dcb401"
[[package]]
name = "libc"
-version = "0.2.150"
+version = "0.2.153"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "89d92a4743f9a61002fae18374ed11e7973f530cb3a3255fb354818118b2203c"
+checksum = "9c198f91728a82281a64e1f4f9eeb25d82cb32a5de251c6bd1b5154d63a8e7bd"
[[package]]
name = "libredox"
@@ -239,7 +239,7 @@ version = "0.0.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "85c833ca1e66078851dba29046874e38f08b2c883700aa29a03ddd3b23814ee8"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"libc",
"redox_syscall",
]
@@ -258,9 +258,9 @@ checksum = "b5e6163cb8c49088c2c36f57875e58ccd8c87c7427f7fbd50ea6710b2f3f2e8f"
[[package]]
name = "memchr"
-version = "2.6.4"
+version = "2.7.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f665ee40bc4a3c5590afb1e9677db74a508659dfd71e126420da8274909a0167"
+checksum = "523dc4f511e55ab87b694dc30d0f820d60906ef06413f93d4d7a1385599cc149"
[[package]]
name = "nu-ansi-term"
@@ -274,9 +274,9 @@ dependencies = [
[[package]]
name = "once_cell"
-version = "1.18.0"
+version = "1.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dd8b5dd2ae5ed71462c540258bedcb51965123ad7e7ccf4b9a8cafaa4a63576d"
+checksum = "3fdb12b2476b595f9358c5161aa467c2438859caa136dec86c26fdd2efe17b92"
[[package]]
name = "overload"
@@ -292,18 +292,18 @@ checksum = "8afb450f006bf6385ca15ef45d71d2288452bc3683ce2e2cacc0d18e4be60b58"
[[package]]
name = "proc-macro2"
-version = "1.0.70"
+version = "1.0.78"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "39278fbbf5fb4f646ce651690877f89d1c5811a3d4acb27700c1cb3cdb78fd3b"
+checksum = "e2422ad645d89c99f8f3e6b88a9fdeca7fabeac836b1002371c4367c8f984aae"
dependencies = [
"unicode-ident",
]
[[package]]
name = "quote"
-version = "1.0.33"
+version = "1.0.35"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5267fca4496028628a95160fc423a33e8b2e6af8a5302579e322e4b520293cae"
+checksum = "291ec9ab5efd934aaf503a6466c5d5251535d108ee747472c3977cc5acc868ef"
dependencies = [
"proc-macro2",
]
@@ -330,13 +330,13 @@ dependencies = [
[[package]]
name = "regex"
-version = "1.10.2"
+version = "1.10.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "380b951a9c5e80ddfd6136919eef32310721aa4aacd4889a8d39124b026ab343"
+checksum = "b62dbe01f0b06f9d8dc7d49e05a0785f153b00b2c227856282f671e0318c9b15"
dependencies = [
"aho-corasick",
"memchr",
- "regex-automata 0.4.3",
+ "regex-automata 0.4.5",
"regex-syntax",
]
@@ -348,9 +348,9 @@ checksum = "6c230d73fb8d8c1b9c0b3135c5142a8acee3a0558fb8db5cf1cb65f8d7862132"
[[package]]
name = "regex-automata"
-version = "0.4.3"
+version = "0.4.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5f804c7828047e88b2d32e2d7fe5a105da8ee3264f01902f796c8e067dc2483f"
+checksum = "5bb987efffd3c6d0d8f5f89510bb458559eab11e4f869acb20bf845e016259cd"
dependencies = [
"aho-corasick",
"memchr",
@@ -374,18 +374,18 @@ dependencies = [
[[package]]
name = "serde"
-version = "1.0.193"
+version = "1.0.197"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "25dd9975e68d0cb5aa1120c288333fc98731bd1dd12f561e468ea4728c042b89"
+checksum = "3fb1c873e1b9b056a4dc4c0c198b24c3ffa059243875552b2bd0933b1aee4ce2"
dependencies = [
"serde_derive",
]
[[package]]
name = "serde_derive"
-version = "1.0.193"
+version = "1.0.197"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "43576ca501357b9b071ac53cdc7da8ef0cbd9493d8df094cd821777ea6e894d3"
+checksum = "7eb0b34b42edc17f6b7cac84a52a1c5f0e1bb2227e997ca9011ea3dd34e8610b"
dependencies = [
"proc-macro2",
"quote",
@@ -394,9 +394,9 @@ dependencies = [
[[package]]
name = "serde_spanned"
-version = "0.6.4"
+version = "0.6.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "12022b835073e5b11e90a14f86838ceb1c8fb0325b72416845c487ac0fa95e80"
+checksum = "eb3622f419d1296904700073ea6cc23ad690adbd66f13ea683df73298736f0c1"
dependencies = [
"serde",
]
@@ -412,9 +412,9 @@ dependencies = [
[[package]]
name = "similar"
-version = "2.3.0"
+version = "2.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2aeaf503862c419d66959f5d7ca015337d864e9c49485d771b732e2a20453597"
+checksum = "32fea41aca09ee824cc9724996433064c89f7777e60762749a4170a14abbfa21"
dependencies = [
"bstr 0.2.17",
"unicode-segmentation",
@@ -438,15 +438,15 @@ checksum = "54ac45299ccbd390721be55b412d41931911f654fa99e2cb8bfb57184b2061fe"
[[package]]
name = "smallvec"
-version = "1.11.2"
+version = "1.13.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4dccd0940a2dcdf68d092b8cbab7dc0ad8fa938bf95787e1b916b0e3d0e8e970"
+checksum = "e6ecd384b10a64542d77071bd64bd7b231f4ed5940fba55e98c3de13824cf3d7"
[[package]]
name = "syn"
-version = "2.0.39"
+version = "2.0.52"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "23e78b90f2fcf45d3e842032ce32e3f2d1545ba6636271dcbf24fa306d87be7a"
+checksum = "b699d15b36d1f02c3e7c69f8ffef53de37aefae075d8488d4ba1a7788d574a07"
dependencies = [
"proc-macro2",
"quote",
@@ -455,18 +455,18 @@ dependencies = [
[[package]]
name = "thiserror"
-version = "1.0.50"
+version = "1.0.57"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f9a7210f5c9a7156bb50aa36aed4c95afb51df0df00713949448cf9e97d382d2"
+checksum = "1e45bcbe8ed29775f228095caf2cd67af7a4ccf756ebff23a306bf3e8b47b24b"
dependencies = [
"thiserror-impl",
]
[[package]]
name = "thiserror-impl"
-version = "1.0.50"
+version = "1.0.57"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "266b2e40bc00e5a6c09c3584011e08b06f123c00362c92b975ba9843aaaa14b8"
+checksum = "a953cb265bef375dae3de6663da4d3804eee9682ea80d8e2542529b73c531c81"
dependencies = [
"proc-macro2",
"quote",
@@ -475,9 +475,9 @@ dependencies = [
[[package]]
name = "thread_local"
-version = "1.1.7"
+version = "1.1.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3fdd6f064ccff2d6567adcb3873ca630700f00b5ad3f060c25b5dcfd9a4ce152"
+checksum = "8b9ef9bad013ada3808854ceac7b46812a6465ba368859a37e2100283d2d719c"
dependencies = [
"cfg-if",
"once_cell",
@@ -585,8 +585,8 @@ dependencies = [
[[package]]
name = "typst-syntax"
-version = "0.7.0"
-source = "git+https://github.com/typst/typst.git?tag=v0.7.0#da8367e189b02918a8fe1a98fd3059fd11a82cd9"
+version = "0.10.0"
+source = "git+https://github.com/typst/typst.git?tag=v0.10.0#70ca0d257bb4ba927f63260e20443f244e0bb58c"
dependencies = [
"comemo",
"ecow",
@@ -595,26 +595,20 @@ dependencies = [
"tracing",
"unicode-ident",
"unicode-math-class",
+ "unicode-script",
"unicode-segmentation",
"unscanny",
]
[[package]]
name = "typstfmt"
-version = "0.2.7"
+version = "0.2.9"
dependencies = [
"confy",
- "lexopt",
- "typstfmt_lib",
-]
-
-[[package]]
-name = "typstfmt_lib"
-version = "0.2.7"
-dependencies = [
"globmatch",
"insta",
"itertools",
+ "lexopt",
"regex",
"serde",
"similar-asserts",
@@ -622,7 +616,7 @@ dependencies = [
"tracing",
"tracing-subscriber",
"typst-syntax",
- "unicode-segmentation",
+ "unicode-width",
]
[[package]]
@@ -638,10 +632,22 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "7d246cf599d5fae3c8d56e04b20eb519adb89a8af8d0b0fbcded369aa3647d65"
[[package]]
-name = "unicode-segmentation"
-version = "1.10.1"
+name = "unicode-script"
+version = "0.5.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1dd624098567895118886609431a7c3b8f516e41d30e0643f03d94592a147e36"
+checksum = "ad8d71f5726e5f285a935e9fe8edfd53f0491eb6e9a5774097fdabee7cd8c9cd"
+
+[[package]]
+name = "unicode-segmentation"
+version = "1.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d4c87d22b6e3f4a18d4d40ef354e97c90fcb14dd91d7dc0aa9d8a1172ebf7202"
+
+[[package]]
+name = "unicode-width"
+version = "0.1.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e51733f11c9c4f72aa0c160008246859e340b00807569a0da0e7a1079b27ba85"
[[package]]
name = "unscanny"
@@ -704,18 +710,18 @@ checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
[[package]]
name = "windows-sys"
-version = "0.45.0"
+version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "75283be5efb2831d37ea142365f009c02ec203cd29a3ebecbc093d52315b66d0"
+checksum = "282be5f36a8ce781fad8c8ae18fa3f9beff57ec1b52cb3de0789201425d9a33d"
dependencies = [
"windows-targets",
]
[[package]]
name = "windows-targets"
-version = "0.42.2"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8e5180c00cd44c9b1c88adb3693291f1cd93605ded80c250a75d472756b4d071"
+checksum = "7dd37b7e5ab9018759f893a1952c9420d060016fc19a472b4bb20d1bdd694d1b"
dependencies = [
"windows_aarch64_gnullvm",
"windows_aarch64_msvc",
@@ -728,51 +734,51 @@ dependencies = [
[[package]]
name = "windows_aarch64_gnullvm"
-version = "0.42.2"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "597a5118570b68bc08d8d59125332c54f1ba9d9adeedeef5b99b02ba2b0698f8"
+checksum = "bcf46cf4c365c6f2d1cc93ce535f2c8b244591df96ceee75d8e83deb70a9cac9"
[[package]]
name = "windows_aarch64_msvc"
-version = "0.42.2"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e08e8864a60f06ef0d0ff4ba04124db8b0fb3be5776a5cd47641e942e58c4d43"
+checksum = "da9f259dd3bcf6990b55bffd094c4f7235817ba4ceebde8e6d11cd0c5633b675"
[[package]]
name = "windows_i686_gnu"
-version = "0.42.2"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c61d927d8da41da96a81f029489353e68739737d3beca43145c8afec9a31a84f"
+checksum = "b474d8268f99e0995f25b9f095bc7434632601028cf86590aea5c8a5cb7801d3"
[[package]]
name = "windows_i686_msvc"
-version = "0.42.2"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "44d840b6ec649f480a41c8d80f9c65108b92d89345dd94027bfe06ac444d1060"
+checksum = "1515e9a29e5bed743cb4415a9ecf5dfca648ce85ee42e15873c3cd8610ff8e02"
[[package]]
name = "windows_x86_64_gnu"
-version = "0.42.2"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8de912b8b8feb55c064867cf047dda097f92d51efad5b491dfb98f6bbb70cb36"
+checksum = "5eee091590e89cc02ad514ffe3ead9eb6b660aedca2183455434b93546371a03"
[[package]]
name = "windows_x86_64_gnullvm"
-version = "0.42.2"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "26d41b46a36d453748aedef1486d5c7a85db22e56aff34643984ea85514e94a3"
+checksum = "77ca79f2451b49fa9e2af39f0747fe999fcda4f5e241b2898624dca97a1f2177"
[[package]]
name = "windows_x86_64_msvc"
-version = "0.42.2"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9aec5da331524158c6d1a4ac0ab1541149c0b9505fde06423b02f5ef0106b9f0"
+checksum = "32b752e52a2da0ddfbdbcc6fceadfeede4c939ed16d13e648833a61dfb611ed8"
[[package]]
name = "winnow"
-version = "0.5.25"
+version = "0.5.40"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b7e87b8dfbe3baffbe687eef2e164e32286eff31a5ee16463ce03d991643ec94"
+checksum = "f593a95398737aeed53e489c785df13f3618e41dbcd6718c6addbf1395aa6876"
dependencies = [
"memchr",
]
diff --git a/pkgs/tools/typesetting/typstfmt/default.nix b/pkgs/by-name/ty/typstfmt/package.nix
similarity index 60%
rename from pkgs/tools/typesetting/typstfmt/default.nix
rename to pkgs/by-name/ty/typstfmt/package.nix
index 2305998e94a2..25816151d583 100644
--- a/pkgs/tools/typesetting/typstfmt/default.nix
+++ b/pkgs/by-name/ty/typstfmt/package.nix
@@ -1,29 +1,32 @@
-{ lib, rustPlatform, fetchFromGitHub }:
+{ lib
+, rustPlatform
+, fetchFromGitHub
+}:
rustPlatform.buildRustPackage rec {
pname = "typstfmt";
- version = "0.2.7";
+ version = "0.2.9";
src = fetchFromGitHub {
owner = "astrale-sharp";
repo = "typstfmt";
rev = version;
- hash = "sha256-LBYsTCjZ+U+lgd7Z3H1sBcWwseoHsuepPd66bWgfvhI=";
+ hash = "sha256-bSjUr6tHQrmni/YmApHrvY2cVz3xf1VKfg35BJjuOZM=";
};
cargoLock = {
lockFile = ./Cargo.lock;
outputHashes = {
- "typst-syntax-0.7.0" = "sha256-yrtOmlFAKOqAmhCP7n0HQCOQpU3DWyms5foCdUb9QTg=";
+ "typst-syntax-0.10.0" = "sha256-qiskc0G/ZdLRZjTicoKIOztRFem59TM4ki23Rl55y9s=";
};
};
- meta = with lib; {
+ meta = {
+ changelog = "https://github.com/astrale-sharp/typstfmt/blob/${src.rev}/CHANGELOG.md";
description = "A formatter for the Typst language";
homepage = "https://github.com/astrale-sharp/typstfmt";
- changelog = "https://github.com/astrale-sharp/typstfmt/blob/${src.rev}/CHANGELOG.md";
- license = licenses.mit;
- maintainers = with maintainers; [ figsoda geri1701 ];
+ license = lib.licenses.mit;
mainProgram = "typstfmt";
+ maintainers = with lib.maintainers; [ figsoda geri1701 ];
};
}
diff --git a/pkgs/by-name/uc/uclibc-ng/package.nix b/pkgs/by-name/uc/uclibc-ng/package.nix
index b1d4a6474e21..f1e6c36e24a8 100644
--- a/pkgs/by-name/uc/uclibc-ng/package.nix
+++ b/pkgs/by-name/uc/uclibc-ng/package.nix
@@ -58,11 +58,11 @@ let
in
stdenv.mkDerivation (finalAttrs: {
pname = "uclibc-ng";
- version = "1.0.44";
+ version = "1.0.45";
src = fetchurl {
url = "https://downloads.uclibc-ng.org/releases/${finalAttrs.version}/uClibc-ng-${finalAttrs.version}.tar.xz";
- sha256 = "sha256-ffnZh5VYJzgvHCQA2lE0Vr7Ltvhovf03c3Jl8cvuyZQ=";
+ sha256 = "sha256-wvTGtuGdfJwiaZKjdG79erkyBARjwV7gvI9BMrV3esQ=";
};
# 'ftw' needed to build acl, a coreutils dependency
diff --git a/pkgs/by-name/ud/udebug/package.nix b/pkgs/by-name/ud/udebug/package.nix
index f3233d8baca8..d52b713418a6 100644
--- a/pkgs/by-name/ud/udebug/package.nix
+++ b/pkgs/by-name/ud/udebug/package.nix
@@ -33,6 +33,7 @@ stdenv.mkDerivation {
meta = with lib; {
description = "OpenWrt debugging helper library/service";
+ mainProgram = "udebugd";
homepage = "https://git.openwrt.org/?p=project/udebug.git;a=summary";
license = licenses.free;
platforms = platforms.linux;
diff --git a/pkgs/tools/graphics/ueberzugpp/default.nix b/pkgs/by-name/ue/ueberzugpp/package.nix
similarity index 93%
rename from pkgs/tools/graphics/ueberzugpp/default.nix
rename to pkgs/by-name/ue/ueberzugpp/package.nix
index 815983126451..a06923904d2f 100644
--- a/pkgs/tools/graphics/ueberzugpp/default.nix
+++ b/pkgs/by-name/ue/ueberzugpp/package.nix
@@ -3,7 +3,6 @@
, fetchFromGitHub
, cmake
, pkg-config
-, cli11
, openssl
, zeromq
, cppzmq
@@ -16,6 +15,9 @@
, libsixel
, microsoft-gsl
, chafa
+, cli11
+, libexif
+, range-v3
, enableOpencv ? stdenv.isLinux
, opencv
, enableWayland ? stdenv.isLinux
@@ -28,13 +30,13 @@
stdenv.mkDerivation rec {
pname = "ueberzugpp";
- version = "2.9.2";
+ version = "2.9.4";
src = fetchFromGitHub {
owner = "jstkdng";
repo = "ueberzugpp";
rev = "v${version}";
- hash = "sha256-yIohpJRytmwt+6DLCWpmBiuCm9GcCHsGmpTI64/3d8U=";
+ hash = "sha256-D+7a+3Vxrt+XUq7c2F2eqi8ZGQ2eZd37aTqighWEKPs=";
};
strictDeps = true;
@@ -58,6 +60,8 @@ stdenv.mkDerivation rec {
microsoft-gsl
chafa
cli11
+ libexif
+ range-v3
] ++ lib.optionals enableOpencv [
opencv
] ++ lib.optionals enableWayland [
diff --git a/pkgs/by-name/ue/uefisettings/package.nix b/pkgs/by-name/ue/uefisettings/package.nix
new file mode 100644
index 000000000000..9fd585340f96
--- /dev/null
+++ b/pkgs/by-name/ue/uefisettings/package.nix
@@ -0,0 +1,30 @@
+{ fetchFromGitHub
+, lib
+, rustPlatform
+}:
+
+rustPlatform.buildRustPackage {
+ name = "uefisettings";
+ version = "unstable-2024-02-20";
+
+ src = fetchFromGitHub {
+ owner = "linuxboot";
+ repo = "uefisettings";
+ rev = "eae8b8b622b7ac3c572eeb3b3513ed623e272fcc";
+ hash = "sha256-zLgrxYBj5bEMZRw5sKWqKuV3jQOJ6dnzbzpoqE0OhKs=";
+ };
+
+ cargoHash = "sha256-FCQ/1E6SZyVOOAlpqyaDWEZx0y0Wk3Caosvr48VamAA=";
+
+ # Tests expect filesystem access to directories like /proc
+ doCheck = false;
+
+ meta = with lib; {
+ description = "CLI tool to read/get/extract and write/change/modify BIOS/UEFI settings.";
+ homepage = "https://github.com/linuxboot/uefisettings";
+ license = with licenses; [ bsd3 ];
+ mainProgram = "uefisettings";
+ maintainers = with maintainers; [ surfaceflinger ];
+ platforms = platforms.linux;
+ };
+}
diff --git a/pkgs/by-name/ui/uiua/package.nix b/pkgs/by-name/ui/uiua/package.nix
index 8b11104cb9a0..52d364fb7fbd 100644
--- a/pkgs/by-name/ui/uiua/package.nix
+++ b/pkgs/by-name/ui/uiua/package.nix
@@ -14,16 +14,16 @@
rustPlatform.buildRustPackage rec {
pname = "uiua";
- version = "0.8.0";
+ version = "0.9.5";
src = fetchFromGitHub {
owner = "uiua-lang";
repo = "uiua";
rev = version;
- hash = "sha256-JilYPIeJbVf9wgGpLTy8pbMwFRrW7Od+8y0tWwAXU84=";
+ hash = "sha256-629hJLSGf0LJ+P1j1b87RV6XGgsDaWif1a6+Eo3NmMw=";
};
- cargoHash = "sha256-oXO2TBdKmVIpZD0jLI1CK9b48r3SwdeygcJoUG6HGXo=";
+ cargoHash = "sha256-ZRiDlsSZ5jjTrOrB/bg2xOcOTsCNFdP0jY0SwZ1zwGU=";
nativeBuildInputs = lib.optionals stdenv.isDarwin [
rustPlatform.bindgenHook
@@ -41,6 +41,7 @@ rustPlatform.buildRustPackage rec {
buildFeatures = lib.optional audioSupport "audio";
+ passthru.updateScript = ./update.sh;
passthru.tests.run = runCommand "uiua-test-run" { nativeBuildInputs = [ uiua ]; } ''
uiua init
diff -U3 --color=auto <(uiua run main.ua) <(echo '"Hello, World!"')
diff --git a/pkgs/by-name/ui/uiua/update.sh b/pkgs/by-name/ui/uiua/update.sh
new file mode 100755
index 000000000000..389ba4867198
--- /dev/null
+++ b/pkgs/by-name/ui/uiua/update.sh
@@ -0,0 +1,7 @@
+#!/usr/bin/env nix-shell
+#!nix-shell -i bash -p curl jq nix-update common-updater-scripts
+
+nix-update uiua
+
+EXT_VER=$(curl https://raw.githubusercontent.com/uiua-lang/uiua-vscode/main/package.json | jq -r .version)
+update-source-version vscode-extensions.uiua-lang.uiua-vscode $EXT_VER
diff --git a/pkgs/by-name/um/umpire/package.nix b/pkgs/by-name/um/umpire/package.nix
index d4fac1cd541c..8ddaa8a02e51 100644
--- a/pkgs/by-name/um/umpire/package.nix
+++ b/pkgs/by-name/um/umpire/package.nix
@@ -6,13 +6,13 @@
stdenv.mkDerivation rec {
pname = "umpire";
- version = "2023.06.0";
+ version = "2024.02.0";
src = fetchFromGitHub {
owner = "LLNL";
repo = "umpire";
rev = "v${version}";
- hash = "sha256-gdwr0ACCfkrtlVROPhxM7zT7SaCo2Eg1etrPFN4JHaA=";
+ hash = "sha256-0xJrICpGHQCLXfhDfS0/6gD3wrM9y6XB4XxyjG3vWGw=";
fetchSubmodules = true;
};
diff --git a/pkgs/games/unciv/default.nix b/pkgs/by-name/un/unciv/package.nix
similarity index 91%
rename from pkgs/games/unciv/default.nix
rename to pkgs/by-name/un/unciv/package.nix
index 68bf0fc8feba..0e2f80f09d96 100644
--- a/pkgs/games/unciv/default.nix
+++ b/pkgs/by-name/un/unciv/package.nix
@@ -27,11 +27,11 @@ let
in
stdenv.mkDerivation rec {
pname = "unciv";
- version = "4.10.5";
+ version = "4.10.19";
src = fetchurl {
url = "https://github.com/yairm210/Unciv/releases/download/${version}/Unciv.jar";
- hash = "sha256-XRm3V2JEwJJWMEVohkT+8JGcTJttYPcB1u0VNnMRxVY=";
+ hash = "sha256-f9fg2Clz9CjoC8xzCguJ2A3Aczom+KjEyIlMJC2oS/o=";
};
dontUnpack = true;
@@ -53,6 +53,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "An open-source Android/Desktop remake of Civ V";
+ mainProgram = "unciv";
homepage = "https://github.com/yairm210/Unciv";
maintainers = with maintainers; [ tex ];
sourceProvenance = with sourceTypes; [ binaryBytecode ];
diff --git a/pkgs/by-name/un/undbx/package.nix b/pkgs/by-name/un/undbx/package.nix
new file mode 100644
index 000000000000..69db03479e99
--- /dev/null
+++ b/pkgs/by-name/un/undbx/package.nix
@@ -0,0 +1,34 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, fetchpatch
+, autoreconfHook
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "undbx";
+ version = "0.22-unstable-2019-02-11";
+
+ src = fetchFromGitHub {
+ owner = "ZungBang";
+ repo = "undbx";
+ rev = "5e31c757e137a6409115cac0623d61d384019b7a";
+ hash = "sha256-leregcv3dv/D3WvFkYyjQePdKi4BgE0aj5PY6JiSKl8=";
+ };
+
+ nativeBuildInputs = [ autoreconfHook ];
+
+ postPatch = ''
+ substituteInPlace Makefile.am \
+ --replace-fail "-Werror" "" \
+ --replace-fail "bin_SCRIPTS" "#bin_SCRIPTS"
+ '';
+ meta = with lib; {
+ description = "Extract e-mail messages from Outlook Express DBX files";
+ homepage = "https://github.com/ZungBang/undbx";
+ maintainers = with maintainers; [ d3vil0p3r ];
+ platforms = platforms.unix;
+ license = licenses.gpl3Plus;
+ mainProgram = "undbx";
+ };
+})
diff --git a/pkgs/applications/networking/sync/unison/default.nix b/pkgs/by-name/un/unison/package.nix
similarity index 52%
rename from pkgs/applications/networking/sync/unison/default.nix
rename to pkgs/by-name/un/unison/package.nix
index 5245030fd7f3..2d68ba5799b4 100644
--- a/pkgs/applications/networking/sync/unison/default.nix
+++ b/pkgs/by-name/un/unison/package.nix
@@ -2,50 +2,37 @@
, stdenv
, fetchFromGitHub
, ocamlPackages
-, ncurses
, copyDesktopItems
, makeDesktopItem
, wrapGAppsHook
, gsettings-desktop-schemas
-, zlib
-, enableX11 ? true
-, Cocoa
+, enableX11 ? !stdenv.isDarwin
}:
stdenv.mkDerivation (finalAttrs: {
pname = "unison";
- version = "2.53.2";
+ version = "2.53.4";
src = fetchFromGitHub {
owner = "bcpierce00";
repo = "unison";
rev = "v${finalAttrs.version}";
- sha256 = "sha256-H+70NZZP0cUsxetFcsjWEx2kENsgMdo/41wBwwaX6zg=";
+ hash = "sha256-nFT6FjlQjh6qx0fepmT4aiQj2SxA7U/as+IU9xXNok0=";
};
strictDeps = true;
- nativeBuildInputs = [ ocamlPackages.ocaml ]
+ # uimac requires xcode
+ postPatch = ''
+ sed -i -e 's/ macuimaybe//' src/Makefile
+ '';
+
+ nativeBuildInputs = [ ocamlPackages.ocaml ocamlPackages.findlib ]
++ lib.optionals enableX11 [ copyDesktopItems wrapGAppsHook ];
- buildInputs = [ ncurses zlib ]
- ++ lib.optionals enableX11 [ gsettings-desktop-schemas ]
- ++ lib.optionals stdenv.isDarwin [ Cocoa ];
+ buildInputs = lib.optionals enableX11 [ gsettings-desktop-schemas ocamlPackages.lablgtk3 ];
- preBuild = lib.optionalString enableX11 ''
- sed -i "s|\(OCAMLOPT=.*\)$|\1 -I $(echo "${ocamlPackages.lablgtk3}"/lib/ocaml/*/site-lib/lablgtk3)|" src/Makefile.OCaml
- sed -i "s|\(OCAMLOPT=.*\)$|\1 -I $(echo "${ocamlPackages.cairo2}"/lib/ocaml/*/site-lib/cairo2)|" src/Makefile.OCaml
- '' + ''
- echo -e '\ninstall:\n\tcp $(FSMONITOR)$(EXEC_EXT) $(INSTALLDIR)' >> src/fsmonitor/linux/Makefile
- '';
-
- makeFlags = [
- "INSTALLDIR=$(out)/bin/"
- "UISTYLE=${if enableX11 then "gtk3" else "text"}"
- ] ++ lib.optional (!ocamlPackages.ocaml.nativeCompilers) "NATIVE=false";
-
- preInstall = ''
- mkdir -p $out/bin
- '';
+ makeFlags = [ "PREFIX=$(out)" ]
+ ++ lib.optionals (!ocamlPackages.ocaml.nativeCompilers) [ "NATIVE=false" ];
postInstall = lib.optionalString enableX11 ''
install -D $src/icons/U.svg $out/share/icons/hicolor/scalable/apps/unison.svg
@@ -58,7 +45,7 @@ stdenv.mkDerivation (finalAttrs: {
desktopName = "Unison";
comment = "Bidirectional file synchronizer";
genericName = "File synchronization tool";
- exec = "unison";
+ exec = "unison-gui";
icon = "unison";
categories = [ "Utility" "FileTools" "GTK" ];
startupNotify = true;
@@ -69,8 +56,9 @@ stdenv.mkDerivation (finalAttrs: {
homepage = "https://www.cis.upenn.edu/~bcpierce/unison/";
description = "Bidirectional file synchronizer";
license = licenses.gpl3Plus;
- maintainers = with maintainers; [ viric ];
+ maintainers = with maintainers; [ viric nevivurn ];
platforms = platforms.unix;
- mainProgram = "unison";
+ broken = stdenv.isDarwin && enableX11; # unison-gui and uimac are broken on darwin
+ mainProgram = if enableX11 then "unison-gui" else "unison";
};
})
diff --git a/pkgs/by-name/un/units/package.nix b/pkgs/by-name/un/units/package.nix
new file mode 100644
index 000000000000..dde43648cd73
--- /dev/null
+++ b/pkgs/by-name/un/units/package.nix
@@ -0,0 +1,70 @@
+{ lib
+, fetchurl
+, python3
+, readline
+, stdenv
+, enableCurrenciesUpdater ? true
+}:
+
+let
+ pythonEnv = python3.withPackages(p: [
+ p.requests
+ ]);
+in stdenv.mkDerivation (finalAttrs: {
+ pname = "units";
+ version = "2.23";
+
+ src = fetchurl {
+ url = "mirror://gnu/units/units-${finalAttrs.version}.tar.gz";
+ hash = "sha256-2Ve0USRZJcnmFMRRM5dEljDq+SvWK4SVugm741Ghc3A=";
+ };
+
+ outputs = [ "out" "info" "man" ];
+
+ buildInputs = [
+ readline
+ ] ++ lib.optionals enableCurrenciesUpdater [
+ pythonEnv
+ ];
+
+ prePatch = lib.optionalString enableCurrenciesUpdater ''
+ substituteInPlace units_cur \
+ --replace "#!/usr/bin/env python" ${pythonEnv}/bin/python
+ '';
+
+ postInstall = lib.optionalString enableCurrenciesUpdater ''
+ cp units_cur ${placeholder "out"}/bin/
+ '';
+
+ doCheck = true;
+
+ meta = {
+ homepage = "https://www.gnu.org/software/units/";
+ description = "Unit conversion tool";
+ longDescription = ''
+ GNU Units converts quantities expressed in various systems of measurement
+ to their equivalents in other systems of measurement. Like many similar
+ programs, it can handle multiplicative scale changes. It can also handle
+ nonlinear conversions such as Fahrenheit to Celsius or wire gauge, and it
+ can convert from and to sums of units, such as converting between meters
+ and feet plus inches.
+
+ Beyond simple unit conversions, GNU Units can be used as a general-purpose
+ scientific calculator that keeps track of units in its calculations. You
+ can form arbitrary complex mathematical expressions of dimensions
+ including sums, products, quotients, powers, and even roots of
+ dimensions. Thus you can ensure accuracy and dimensional consistency when
+ working with long expressions that involve many different units that may
+ combine in complex ways.
+
+ The units are defined in an external data file. You can use the extensive
+ data file that comes with this program, or you can provide your own data
+ file to suit your needs. You can also use your own data file to supplement
+ the standard data file.
+ '';
+ license = with lib.licenses; [ gpl3Plus ];
+ mainProgram = "units";
+ maintainers = with lib.maintainers; [ AndersonTorres ];
+ platforms = lib.platforms.all;
+ };
+})
diff --git a/pkgs/by-name/un/universal-android-debloater/package.nix b/pkgs/by-name/un/universal-android-debloater/package.nix
index 8b91f0b5fae9..38c1b3fba86d 100644
--- a/pkgs/by-name/un/universal-android-debloater/package.nix
+++ b/pkgs/by-name/un/universal-android-debloater/package.nix
@@ -14,16 +14,16 @@
}:
rustPlatform.buildRustPackage rec {
pname = "universal-android-debloater";
- version = "0.6.2";
+ version = "1.0.2";
src = fetchFromGitHub {
owner = "Universal-Debloater-Alliance";
- repo = pname;
- rev = version;
- hash = "sha256-yCtdCg2mEAz4b/ev32x+RbjCtHTu20mOKFgtckXk1Fo=";
+ repo = "universal-android-debloater-next-generation";
+ rev = "v${version}";
+ hash = "sha256-v2svWAurYoUZzOHypM+Pk0FCnfSi1NH80jIafYxwLPQ=";
};
- cargoHash = "sha256-70dX5fqORdGG2q3YeXJHABCHy0dvtA/Cptk8aLGNgV4=";
+ cargoHash = "sha256-gO1tvY565T+361JNVkFH4pC1ky2oxJqp/OCbS9sNeMI=";
buildInputs = [
expat
@@ -46,17 +46,17 @@ rustPlatform.buildRustPackage rec {
'';
postInstall = ''
- wrapProgram $out/bin/uad_gui \
+ wrapProgram $out/bin/uad-ng \
--prefix LD_LIBRARY_PATH : ${lib.makeLibraryPath [ fontconfig freetype libglvnd xorg.libX11 xorg.libXcursor xorg.libXi xorg.libXrandr ]} \
--suffix PATH : ${lib.makeBinPath [ android-tools ]}
'';
meta = with lib; {
description = "A tool to debloat non-rooted Android devices";
- changelog = "https://github.com/Universal-Debloater-Alliance/universal-android-debloater/blob/${src.rev}/CHANGELOG.md";
- homepage = "https://github.com/Universal-Debloater-Alliance/universal-android-debloater";
+ changelog = "https://github.com/Universal-Debloater-Alliance/universal-android-debloater-next-generation/blob/${src.rev}/CHANGELOG.md";
+ homepage = "https://github.com/Universal-Debloater-Alliance/universal-android-debloater-next-generation";
license = licenses.gpl3Only;
- mainProgram = "uad_gui";
+ mainProgram = "uad-ng";
maintainers = with maintainers; [ xfix ];
platforms = platforms.linux;
};
diff --git a/pkgs/by-name/un/unix-privesc-check/package.nix b/pkgs/by-name/un/unix-privesc-check/package.nix
new file mode 100644
index 000000000000..5ac7a6d29de1
--- /dev/null
+++ b/pkgs/by-name/un/unix-privesc-check/package.nix
@@ -0,0 +1,87 @@
+{ lib
+, resholve
+, fetchurl
+, gawk
+, bash
+, binutils
+, coreutils
+, file
+, findutils
+, glibc
+, gnugrep
+, gnused
+, nettools
+, openssh
+, postgresql
+, ps
+, util-linux
+, which
+}:
+
+# resholve does not yet support `finalAttrs` call pattern hence `rec`
+# https://github.com/abathur/resholve/issues/107
+resholve.mkDerivation rec {
+ pname = "unix-privesc-check";
+ version = "1.4";
+
+ src = fetchurl {
+ url = "https://pentestmonkey.net/tools/unix-privesc-check/unix-privesc-check-${version}.tar.gz";
+ hash = "sha256-4fhef2n6ut0jdWo9dqDj2GSyHih2O2DOLmGBKQ0cGWk=";
+ };
+
+ patches = [
+ ./unix-privesc-check.patch # https://github.com/NixOS/nixpkgs/pull/287629#issuecomment-1944428796
+ ];
+
+ solutions = {
+ unix-privesc-check = {
+ scripts = [ "bin/unix-privesc-check" ];
+ interpreter = "${bash}/bin/bash";
+ inputs = [
+ gawk
+ bash
+ binutils # for strings command
+ coreutils
+ file
+ findutils # for xargs command
+ glibc # for ldd command
+ gnugrep
+ gnused
+ nettools
+ openssh
+ postgresql # for psql command
+ ps
+ util-linux # for swapon command
+ which
+ ];
+ fake = {
+ external = [
+ "lanscan" # lanscan exists only for HP-UX OS
+ "mount" # Getting same error described in https://github.com/abathur/resholve/issues/29
+ "passwd" # Getting same error described in https://github.com/abathur/resholve/issues/29
+ ];
+ };
+ execer = [
+ "cannot:${glibc.bin}/bin/ldd"
+ "cannot:${postgresql}/bin/psql"
+ "cannot:${openssh}/bin/ssh-add"
+ "cannot:${util-linux.bin}/bin/swapon"
+ ];
+ };
+ };
+
+ installPhase = ''
+ runHook preInstall
+ install -Dm 755 unix-privesc-check $out/bin/unix-privesc-check
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ description = "Find misconfigurations that could allow local unprivilged users to escalate privileges to other users or to access local apps";
+ mainProgram = "unix-privesc-check";
+ homepage = "https://pentestmonkey.net/tools/audit/unix-privesc-check";
+ maintainers = with maintainers; [ d3vil0p3r ];
+ platforms = platforms.unix;
+ license = licenses.gpl2Plus;
+ };
+}
diff --git a/pkgs/by-name/un/unix-privesc-check/unix-privesc-check.patch b/pkgs/by-name/un/unix-privesc-check/unix-privesc-check.patch
new file mode 100644
index 000000000000..e0d3ab0bff56
--- /dev/null
+++ b/pkgs/by-name/un/unix-privesc-check/unix-privesc-check.patch
@@ -0,0 +1,20 @@
+--- a/unix-privesc-check 2024-02-14 20:21:24.725453661 +0100
++++ b/unix-privesc-check 2024-02-14 20:21:46.577446690 +0100
+@@ -484,17 +484,6 @@
+ # Set path so we can access usual directories. HPUX and some linuxes don't have sbin in the path.
+ PATH=$PATH:/usr/bin:/bin:/sbin:/usr/sbin; export PATH
+
+-# Check dependent programs are installed
+-# Assume "which" is installed!
+-PROGS="ls awk grep cat mount xargs file ldd strings"
+-for PROG in $PROGS; do
+- which $PROG 2>&1 > /dev/null
+- if [ ! $? = "0" ]; then
+- echo "ERROR: Dependend program '$PROG' is mising. Can't run. Sorry!"
+- exit 1
+- fi
+-done
+-
+ banner
+
+ section "Recording hostname"
diff --git a/pkgs/by-name/un/unl0kr/package.nix b/pkgs/by-name/un/unl0kr/package.nix
index fa72e2af5c18..345a07ea9291 100644
--- a/pkgs/by-name/un/unl0kr/package.nix
+++ b/pkgs/by-name/un/unl0kr/package.nix
@@ -49,6 +49,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "Framebuffer-based disk unlocker for the initramfs based on LVGL";
+ mainProgram = "unl0kr";
homepage = "https://gitlab.com/cherrypicker/unl0kr";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ tomfitzhenry ];
diff --git a/pkgs/by-name/un/unrar-free/package.nix b/pkgs/by-name/un/unrar-free/package.nix
index 29e384c39262..ca6b7f7e526e 100644
--- a/pkgs/by-name/un/unrar-free/package.nix
+++ b/pkgs/by-name/un/unrar-free/package.nix
@@ -8,13 +8,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "unrar-free";
- version = "0.1.3";
+ version = "0.3.0";
src = fetchFromGitLab {
owner = "bgermann";
repo = "unrar-free";
rev = finalAttrs.version;
- hash = "sha256-pNcbbHFcEzXKGKUg9nLM3NuUCgZFmFjFa4dXmUuuLYo";
+ hash = "sha256-hVUEvlaVx2Ai1lYXU6hwiLToEMhCPWlSIh1WrbYKmco=";
};
nativeBuildInputs = [ autoreconfHook pkg-config ];
diff --git a/pkgs/tools/archivers/unrar/default.nix b/pkgs/by-name/un/unrar/package.nix
similarity index 93%
rename from pkgs/tools/archivers/unrar/default.nix
rename to pkgs/by-name/un/unrar/package.nix
index 8b4f46088b2d..ea97195c2ae3 100644
--- a/pkgs/tools/archivers/unrar/default.nix
+++ b/pkgs/by-name/un/unrar/package.nix
@@ -5,12 +5,12 @@
stdenv.mkDerivation (finalAttrs: {
pname = "unrar";
- version = "6.2.12";
+ version = "7.0.7";
src = fetchzip {
url = "https://www.rarlab.com/rar/unrarsrc-${finalAttrs.version}.tar.gz";
stripRoot = false;
- hash = "sha256-VAL3o9JGmkAcEssa/P/SL9nyxnigb7dX9YZBHrG9f0A=";
+ hash = "sha256-S7BMywydetDh1GINcK3k3fN9ciDoKTCAe/1tkgykoAQ=";
};
sourceRoot = finalAttrs.src.name;
diff --git a/pkgs/tools/archivers/unrar/setup-hook.sh b/pkgs/by-name/un/unrar/setup-hook.sh
similarity index 100%
rename from pkgs/tools/archivers/unrar/setup-hook.sh
rename to pkgs/by-name/un/unrar/setup-hook.sh
diff --git a/pkgs/by-name/un/unrar_6/package.nix b/pkgs/by-name/un/unrar_6/package.nix
new file mode 100644
index 000000000000..5f2248ce847e
--- /dev/null
+++ b/pkgs/by-name/un/unrar_6/package.nix
@@ -0,0 +1,15 @@
+{ unrar
+, fetchzip
+}:
+
+unrar.overrideAttrs (finalAttrs: _: {
+ version = "6.2.12";
+
+ src = fetchzip {
+ url = "https://www.rarlab.com/rar/unrarsrc-${finalAttrs.version}.tar.gz";
+ stripRoot = false;
+ hash = "sha256-VAL3o9JGmkAcEssa/P/SL9nyxnigb7dX9YZBHrG9f0A=";
+ };
+
+ sourceRoot = finalAttrs.src.name;
+})
diff --git a/pkgs/by-name/un/unsilence/package.nix b/pkgs/by-name/un/unsilence/package.nix
index a8c9ef1d9c95..c82fe04616b6 100644
--- a/pkgs/by-name/un/unsilence/package.nix
+++ b/pkgs/by-name/un/unsilence/package.nix
@@ -37,6 +37,7 @@ python3Packages.buildPythonPackage rec {
meta = with lib; {
homepage = "https://github.com/lagmoellertim/unsilence";
description = "Console Interface and Library to remove silent parts of a media file";
+ mainProgram = "unsilence";
license = licenses.mit;
maintainers = with maintainers; [ esau79p ];
};
diff --git a/pkgs/by-name/up/updatecli/package.nix b/pkgs/by-name/up/updatecli/package.nix
index 327c6b51bf81..737cc7a87203 100644
--- a/pkgs/by-name/up/updatecli/package.nix
+++ b/pkgs/by-name/up/updatecli/package.nix
@@ -8,16 +8,16 @@
buildGoModule rec {
pname = "updatecli";
- version = "0.70.0";
+ version = "0.72.0";
src = fetchFromGitHub {
owner = "updatecli";
repo = pname;
rev = "v${version}";
- hash = "sha256-MQoi/HvJqGCYzQLNsJul/7N3MXkV1X5d48InUSIWT8o=";
+ hash = "sha256-t+HR/MrhwMQ0tDLoXU+mzI99PUtTLMpvBpGpqZed4q8=";
};
- vendorHash = "sha256-RjyVlj66CbkQlzXkdP6ZWf+cNVjOgoPdskQefv9bNoo=";
+ vendorHash = "sha256-jHH4JHz1z1eW10A3bN0DbvgIXgVICPxUWld9EtjQX/8=";
# tests require network access
doCheck = false;
diff --git a/pkgs/by-name/up/upiano/package.nix b/pkgs/by-name/up/upiano/package.nix
index d13ff18bd425..351e80f0b6d0 100644
--- a/pkgs/by-name/up/upiano/package.nix
+++ b/pkgs/by-name/up/upiano/package.nix
@@ -6,19 +6,24 @@
python3.pkgs.buildPythonApplication rec {
pname = "upiano";
version = "0.1.2";
- format = "pyproject";
+ pyproject = true;
src = fetchFromGitHub {
owner = "eliasdorneles";
repo = "upiano";
- rev = "v${version}";
+ rev = "refs/tags/v${version}";
hash = "sha256-5WhflvUCjzW4ZJ+PLUTMbKcUnQa3ChkDjl0R5YvjBWk=";
forceFetchGit = true;
fetchLFS = true;
};
- nativeBuildInputs = [
- python3.pkgs.poetry-core
+ pythonRelaxDeps = [
+ "textual"
+ ];
+
+ nativeBuildInputs = with python3.pkgs; [
+ poetry-core
+ pythonRelaxDepsHook
];
propagatedBuildInputs = with python3.pkgs; [
@@ -26,7 +31,9 @@ python3.pkgs.buildPythonApplication rec {
textual
];
- pythonImportsCheck = [ "upiano" ];
+ pythonImportsCheck = [
+ "upiano"
+ ];
meta = with lib; {
description = "A Piano in your terminal";
diff --git a/pkgs/by-name/us/usql/package.nix b/pkgs/by-name/us/usql/package.nix
index 7c0b093dfffc..21a5bc99e67b 100644
--- a/pkgs/by-name/us/usql/package.nix
+++ b/pkgs/by-name/us/usql/package.nix
@@ -50,11 +50,6 @@ buildGoModule rec {
"no_adodb"
];
- # Work around https://github.com/NixOS/nixpkgs/issues/166205.
- env = lib.optionalAttrs stdenv.cc.isClang {
- NIX_LDFLAGS = "-l${stdenv.cc.libcxx.cxxabi.libName}";
- };
-
ldflags = [
"-s"
"-w"
diff --git a/pkgs/by-name/ut/ut1999/package.nix b/pkgs/by-name/ut/ut1999/package.nix
new file mode 100644
index 000000000000..92cb223d5467
--- /dev/null
+++ b/pkgs/by-name/ut/ut1999/package.nix
@@ -0,0 +1,98 @@
+{ lib, stdenv, requireFile, autoPatchelfHook, fetchurl, makeDesktopItem, copyDesktopItems, imagemagick
+, runCommand, libgcc, wxGTK32, innoextract, libGL, SDL2, openal, libmpg123, libxmp }:
+
+let
+ unpackGog = runCommand "ut1999-gog" {
+ src = requireFile rec {
+ name = "setup_ut_goty_2.0.0.5.exe";
+ sha256 = "00v8jbqhgb1fry7jvr0i3mb5jscc19niigzjc989qrcp9pamghjc";
+ message = ''
+ Unreal Tournament 1999 requires the official GOG package, version 2.0.0.5.
+
+ Once you download the file, run the following command:
+
+ nix-prefetch-url file://\$PWD/${name}
+ '';
+ };
+
+ buildInputs = [ innoextract ];
+ } ''
+ innoextract --extract --exclude-temp "$src"
+ mkdir $out
+ cp -r app/* $out
+ '';
+in stdenv.mkDerivation rec {
+ name = "ut1999";
+ version = "469d";
+ sourceRoot = ".";
+ src = fetchurl {
+ url = "https://github.com/OldUnreal/UnrealTournamentPatches/releases/download/v${version}/OldUnreal-UTPatch${version}-Linux-amd64.tar.bz2";
+ hash = "sha256-aoGzWuakwN/OL4+xUq8WEpd2c1rrNN/DkffI2vDVGjs=";
+ };
+
+ buildInputs = [
+ libgcc
+ wxGTK32
+ SDL2
+ libGL
+ openal
+ libmpg123
+ libxmp
+ stdenv.cc.cc
+ ];
+
+ nativeBuildInputs = [
+ copyDesktopItems
+ autoPatchelfHook
+ imagemagick
+ ];
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p $out/bin
+ cp -r ./* $out
+
+ # Remove bundled libraries to use native versions instead
+ rm $out/System64/libmpg123.so* \
+ $out/System64/libopenal.so* \
+ $out/System64/libSDL2* \
+ $out/System64/libxmp.so*
+
+ chmod -R 755 $out
+
+ ln -s ${unpackGog}/Music $out
+ ln -s ${unpackGog}/Sounds $out
+ cp -n ${unpackGog}/Textures/* $out/Textures || true
+ ln -s ${unpackGog}/Maps $out
+ cp -n ${unpackGog}/System/*.{u,int} $out/System || true
+
+ ln -s "$out/System64/ut-bin" "$out/bin/ut1999"
+ ln -s "$out/System64/ucc-bin" "$out/bin/ut1999-ucc"
+
+ convert "${unpackGog}/gfw_high.ico" "ut1999.png"
+ install -D ut1999-5.png "$out/share/icons/hicolor/256x256/apps/ut1999.png"
+
+ runHook postInstall
+ '';
+
+ desktopItems = [
+ (makeDesktopItem {
+ name = "ut1999";
+ desktopName = "Unreal Tournament GOTY (1999)";
+ exec = "ut1999";
+ icon = "ut1999";
+ comment = "Unreal Tournament GOTY (1999) with the OldUnreal patch.";
+ categories = [ "Game" ];
+ })
+ ];
+
+ meta = with lib; {
+ description = "Unreal Tournament GOTY (1999) with the OldUnreal patch.";
+ license = licenses.unfree;
+ platforms = [ "x86_64-linux" ];
+ maintainers = with maintainers; [ eliandoran ];
+ sourceProvenance = with lib.sourceTypes; [ binaryNativeCode ];
+ mainProgram = "ut1999";
+ };
+}
diff --git a/pkgs/by-name/uv/uv/Cargo.lock b/pkgs/by-name/uv/uv/Cargo.lock
new file mode 100644
index 000000000000..c9f0be4d721f
--- /dev/null
+++ b/pkgs/by-name/uv/uv/Cargo.lock
@@ -0,0 +1,5377 @@
+# This file is automatically @generated by Cargo.
+# It is not intended for manual editing.
+version = 3
+
+[[package]]
+name = "addr2line"
+version = "0.21.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8a30b2e23b9e17a9f90641c7ab1549cd9b44f296d3ccbf309d2863cfe398a0cb"
+dependencies = [
+ "gimli",
+]
+
+[[package]]
+name = "adler"
+version = "1.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f26201604c87b1e01bd3d98f8d5d9a8fcbb815e8cedb41ffccbeb4bf593a35fe"
+
+[[package]]
+name = "ahash"
+version = "0.7.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "891477e0c6a8957309ee5c45a6368af3ae14bb510732d2684ffa19af310920f9"
+dependencies = [
+ "getrandom",
+ "once_cell",
+ "version_check",
+]
+
+[[package]]
+name = "aho-corasick"
+version = "1.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b2969dcb958b36655471fc61f7e416fa76033bdd4bfed0678d8fee1e2d07a1f0"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "alloc-no-stdlib"
+version = "2.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cc7bb162ec39d46ab1ca8c77bf72e890535becd1751bb45f64c597edb4c8c6b3"
+
+[[package]]
+name = "alloc-stdlib"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "94fb8275041c72129eb51b7d0322c29b8387a0386127718b096429201a5d6ece"
+dependencies = [
+ "alloc-no-stdlib",
+]
+
+[[package]]
+name = "android-tzdata"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e999941b234f3131b00bc13c22d06e8c5ff726d1b6318ac7eb276997bbb4fef0"
+
+[[package]]
+name = "android_system_properties"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "819e7219dbd41043ac279b19830f2efc897156490d7fd6ea916720117ee66311"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "anes"
+version = "0.1.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4b46cbb362ab8752921c97e041f5e366ee6297bd428a31275b9fcf1e380f7299"
+
+[[package]]
+name = "anstream"
+version = "0.6.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d96bd03f33fe50a863e394ee9718a706f988b9079b20c3784fb726e7678b62fb"
+dependencies = [
+ "anstyle",
+ "anstyle-parse",
+ "anstyle-query",
+ "anstyle-wincon",
+ "colorchoice",
+ "utf8parse",
+]
+
+[[package]]
+name = "anstyle"
+version = "1.0.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8901269c6307e8d93993578286ac0edf7f195079ffff5ebdeea6a59ffb7e36bc"
+
+[[package]]
+name = "anstyle-parse"
+version = "0.2.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c75ac65da39e5fe5ab759307499ddad880d724eed2f6ce5b5e8a26f4f387928c"
+dependencies = [
+ "utf8parse",
+]
+
+[[package]]
+name = "anstyle-query"
+version = "1.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e28923312444cdd728e4738b3f9c9cac739500909bb3d3c94b43551b16517648"
+dependencies = [
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "anstyle-wincon"
+version = "3.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1cd54b81ec8d6180e24654d0b371ad22fc3dd083b6ff8ba325b72e00c87660a7"
+dependencies = [
+ "anstyle",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "anyhow"
+version = "1.0.81"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0952808a6c2afd1aa8947271f3a60f1a6763c7b912d210184c5149b5cf147247"
+
+[[package]]
+name = "arc-swap"
+version = "1.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7b3d0060af21e8d11a926981cc00c6c1541aa91dd64b9f881985c3da1094425f"
+
+[[package]]
+name = "arrayref"
+version = "0.3.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6b4930d2cb77ce62f89ee5d5289b4ac049559b1c45539271f5ed4fdc7db34545"
+
+[[package]]
+name = "arrayvec"
+version = "0.7.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "96d30a06541fbafbc7f82ed10c06164cfbd2c401138f6addd8404629c4b16711"
+
+[[package]]
+name = "assert-json-diff"
+version = "2.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "47e4f2b81832e72834d7518d8487a0396a28cc408186a2e8854c0f98011faf12"
+dependencies = [
+ "serde",
+ "serde_json",
+]
+
+[[package]]
+name = "assert_cmd"
+version = "2.0.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ed72493ac66d5804837f480ab3766c72bdfab91a65e565fc54fa9e42db0073a8"
+dependencies = [
+ "anstyle",
+ "bstr",
+ "doc-comment",
+ "predicates",
+ "predicates-core",
+ "predicates-tree",
+ "wait-timeout",
+]
+
+[[package]]
+name = "assert_fs"
+version = "1.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2cd762e110c8ed629b11b6cde59458cc1c71de78ebbcc30099fc8e0403a2a2ec"
+dependencies = [
+ "anstyle",
+ "doc-comment",
+ "globwalk",
+ "predicates",
+ "predicates-core",
+ "predicates-tree",
+ "tempfile",
+]
+
+[[package]]
+name = "async-channel"
+version = "2.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f28243a43d821d11341ab73c80bed182dc015c514b951616cf79bd4af39af0c3"
+dependencies = [
+ "concurrent-queue",
+ "event-listener",
+ "event-listener-strategy",
+ "futures-core",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "async-compression"
+version = "0.4.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a116f46a969224200a0a97f29cfd4c50e7534e4b4826bd23ea2c3c533039c82c"
+dependencies = [
+ "brotli",
+ "flate2",
+ "futures-core",
+ "futures-io",
+ "memchr",
+ "pin-project-lite",
+ "tokio",
+]
+
+[[package]]
+name = "async-recursion"
+version = "1.0.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5fd55a5ba1179988837d24ab4c7cc8ed6efdeff578ede0416b4225a5fca35bd0"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "async-trait"
+version = "0.1.77"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c980ee35e870bd1a4d2c8294d4c04d0499e67bca1e4b5cefcc693c2fa00caea9"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "async_http_range_reader"
+version = "0.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cf8eeab30c68da4dc2c51f3afc4327ab06fe0f3f028ca423f7ca398c7ed8c5e7"
+dependencies = [
+ "bisection",
+ "futures",
+ "http-content-range",
+ "itertools 0.12.1",
+ "memmap2 0.9.4",
+ "reqwest",
+ "reqwest-middleware",
+ "thiserror",
+ "tokio",
+ "tokio-stream",
+ "tokio-util",
+ "tracing",
+]
+
+[[package]]
+name = "async_zip"
+version = "0.0.16"
+source = "git+https://github.com/charliermarsh/rs-async-zip?rev=d76801da0943de985254fc6255c0e476b57c5836#d76801da0943de985254fc6255c0e476b57c5836"
+dependencies = [
+ "async-compression",
+ "crc32fast",
+ "futures-lite",
+ "pin-project",
+ "thiserror",
+ "tokio",
+ "tokio-util",
+]
+
+[[package]]
+name = "autocfg"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d468802bab17cbc0cc575e9b053f41e72aa36bfa6b7f55e3529ffa43161b97fa"
+
+[[package]]
+name = "backoff"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b62ddb9cb1ec0a098ad4bbf9344d0713fa193ae1a80af55febcff2627b6a00c1"
+dependencies = [
+ "futures-core",
+ "getrandom",
+ "instant",
+ "pin-project-lite",
+ "rand",
+ "tokio",
+]
+
+[[package]]
+name = "backtrace"
+version = "0.3.69"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2089b7e3f35b9dd2d0ed921ead4f6d318c27680d4a5bd167b3ee120edb105837"
+dependencies = [
+ "addr2line",
+ "cc",
+ "cfg-if",
+ "libc",
+ "miniz_oxide",
+ "object",
+ "rustc-demangle",
+]
+
+[[package]]
+name = "backtrace-ext"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "537beee3be4a18fb023b570f80e3ae28003db9167a751266b259926e25539d50"
+dependencies = [
+ "backtrace",
+]
+
+[[package]]
+name = "base64"
+version = "0.13.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9e1b586273c5702936fe7b7d6896644d8be71e6314cfe09d3167c95f712589e8"
+
+[[package]]
+name = "base64"
+version = "0.21.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9d297deb1925b89f2ccc13d7635fa0714f12c87adce1c75356b39ca9b7178567"
+
+[[package]]
+name = "bench"
+version = "0.0.0"
+dependencies = [
+ "criterion",
+ "distribution-filename",
+ "platform-tags",
+]
+
+[[package]]
+name = "bisection"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "021e079a1bab0ecce6cf4b4b74c0c37afa4a697136eb3b127875c84a8f04a8c3"
+
+[[package]]
+name = "bitflags"
+version = "1.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a"
+
+[[package]]
+name = "bitflags"
+version = "2.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ed570934406eb16438a4e976b1b4500774099c13b8cb96eec99f620f05090ddf"
+
+[[package]]
+name = "bitvec"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1bc2832c24239b0141d5674bb9174f9d68a8b5b3f2753311927c172ca46f7e9c"
+dependencies = [
+ "funty",
+ "radium",
+ "tap",
+ "wyz",
+]
+
+[[package]]
+name = "block-buffer"
+version = "0.10.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3078c7629b62d3f0439517fa394996acacc5cbc91c5a20d8c658e77abd503a71"
+dependencies = [
+ "generic-array",
+]
+
+[[package]]
+name = "brotli"
+version = "3.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "516074a47ef4bce09577a3b379392300159ce5b1ba2e501ff1c819950066100f"
+dependencies = [
+ "alloc-no-stdlib",
+ "alloc-stdlib",
+ "brotli-decompressor",
+]
+
+[[package]]
+name = "brotli-decompressor"
+version = "2.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4e2e4afe60d7dd600fdd3de8d0f08c2b7ec039712e3b6137ff98b7004e82de4f"
+dependencies = [
+ "alloc-no-stdlib",
+ "alloc-stdlib",
+]
+
+[[package]]
+name = "bstr"
+version = "1.9.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "05efc5cfd9110c8416e471df0e96702d58690178e206e61b7173706673c93706"
+dependencies = [
+ "memchr",
+ "regex-automata 0.4.6",
+ "serde",
+]
+
+[[package]]
+name = "bumpalo"
+version = "3.15.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7ff69b9dd49fd426c69a0db9fc04dd934cdb6645ff000864d98f7e2af8830eaa"
+
+[[package]]
+name = "bytecheck"
+version = "0.6.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "23cdc57ce23ac53c931e88a43d06d070a6fd142f2617be5855eb75efc9beb1c2"
+dependencies = [
+ "bytecheck_derive",
+ "ptr_meta",
+ "simdutf8",
+]
+
+[[package]]
+name = "bytecheck_derive"
+version = "0.6.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3db406d29fbcd95542e92559bed4d8ad92636d1ca8b3b72ede10b4bcc010e659"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "bytemuck"
+version = "1.14.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a2ef034f05691a48569bd920a96c81b9d91bbad1ab5ac7c4616c1f6ef36cb79f"
+
+[[package]]
+name = "byteorder"
+version = "1.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1fd0f2584146f6f2ef48085050886acf353beff7305ebd1ae69500e27c67f64b"
+
+[[package]]
+name = "bytes"
+version = "1.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a2bd12c1caf447e69cd4528f47f94d203fd2582878ecb9e9465484c4148a8223"
+
+[[package]]
+name = "cache-key"
+version = "0.0.1"
+dependencies = [
+ "hex",
+ "seahash",
+ "url",
+]
+
+[[package]]
+name = "cachedir"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4703f3937077db8fa35bee3c8789343c1aec2585f0146f09d658d4ccc0e8d873"
+dependencies = [
+ "tempfile",
+]
+
+[[package]]
+name = "cargo-util"
+version = "0.2.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "74862c3c6e53a1c1f8f0178f9d38ab41e49746cd3a7cafc239b3d0248fd4e342"
+dependencies = [
+ "anyhow",
+ "core-foundation",
+ "filetime",
+ "hex",
+ "ignore",
+ "jobserver",
+ "libc",
+ "miow",
+ "same-file",
+ "sha2",
+ "shell-escape",
+ "tempfile",
+ "tracing",
+ "walkdir",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "cast"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "37b2a672a2cb129a2e41c10b1224bb368f9f37a2b16b612598138befd7b37eb5"
+
+[[package]]
+name = "cc"
+version = "1.0.90"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8cd6604a82acf3039f1144f54b8eb34e91ffba622051189e71b781822d5ee1f5"
+dependencies = [
+ "jobserver",
+ "libc",
+]
+
+[[package]]
+name = "cfg-if"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "baf1de4339761588bc0619e3cbc0120ee582ebb74b53b4efbf79117bd2da40fd"
+
+[[package]]
+name = "cfg_aliases"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fd16c4719339c4530435d38e511904438d07cce7950afa3718a84ac36c10e89e"
+
+[[package]]
+name = "charset"
+version = "0.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "18e9079d1a12a2cc2bffb5db039c43661836ead4082120d5844f02555aca2d46"
+dependencies = [
+ "base64 0.13.1",
+ "encoding_rs",
+]
+
+[[package]]
+name = "chrono"
+version = "0.4.35"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8eaf5903dcbc0a39312feb77df2ff4c76387d591b9fc7b04a238dcf8bb62639a"
+dependencies = [
+ "android-tzdata",
+ "iana-time-zone",
+ "js-sys",
+ "num-traits",
+ "serde",
+ "wasm-bindgen",
+ "windows-targets 0.52.4",
+]
+
+[[package]]
+name = "ciborium"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "42e69ffd6f0917f5c029256a24d0161db17cea3997d185db0d35926308770f0e"
+dependencies = [
+ "ciborium-io",
+ "ciborium-ll",
+ "serde",
+]
+
+[[package]]
+name = "ciborium-io"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "05afea1e0a06c9be33d539b876f1ce3692f4afea2cb41f740e7743225ed1c757"
+
+[[package]]
+name = "ciborium-ll"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "57663b653d948a338bfb3eeba9bb2fd5fcfaecb9e199e87e1eda4d9e8b240fd9"
+dependencies = [
+ "ciborium-io",
+ "half",
+]
+
+[[package]]
+name = "clap"
+version = "4.5.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b230ab84b0ffdf890d5a10abdbc8b83ae1c4918275daea1ab8801f71536b2651"
+dependencies = [
+ "clap_builder",
+ "clap_derive",
+]
+
+[[package]]
+name = "clap_builder"
+version = "4.5.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ae129e2e766ae0ec03484e609954119f123cc1fe650337e155d03b022f24f7b4"
+dependencies = [
+ "anstream",
+ "anstyle",
+ "clap_lex",
+ "strsim",
+]
+
+[[package]]
+name = "clap_complete"
+version = "4.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "885e4d7d5af40bfb99ae6f9433e292feac98d452dcb3ec3d25dfe7552b77da8c"
+dependencies = [
+ "clap",
+]
+
+[[package]]
+name = "clap_complete_command"
+version = "0.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "183495371ea78d4c9ff638bfc6497d46fed2396e4f9c50aebc1278a4a9919a3d"
+dependencies = [
+ "clap",
+ "clap_complete",
+ "clap_complete_fig",
+ "clap_complete_nushell",
+]
+
+[[package]]
+name = "clap_complete_fig"
+version = "4.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "54b3e65f91fabdd23cac3d57d39d5d938b4daabd070c335c006dccb866a61110"
+dependencies = [
+ "clap",
+ "clap_complete",
+]
+
+[[package]]
+name = "clap_complete_nushell"
+version = "0.1.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5d02bc8b1a18ee47c4d2eec3fb5ac034dc68ebea6125b1509e9ccdffcddce66e"
+dependencies = [
+ "clap",
+ "clap_complete",
+]
+
+[[package]]
+name = "clap_derive"
+version = "4.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "307bc0538d5f0f83b8248db3087aa92fe504e4691294d0c96c0eabc33f47ba47"
+dependencies = [
+ "heck",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "clap_lex"
+version = "0.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "98cc8fbded0c607b7ba9dd60cd98df59af97e84d24e49c8557331cfc26d301ce"
+
+[[package]]
+name = "cmake"
+version = "0.1.50"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a31c789563b815f77f4250caee12365734369f942439b7defd71e18a48197130"
+dependencies = [
+ "cc",
+]
+
+[[package]]
+name = "color_quant"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3d7b894f5411737b7867f4827955924d7c254fc9f4d91a6aad6b097804b1018b"
+
+[[package]]
+name = "colorchoice"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "acbf1af155f9b9ef647e42cdc158db4b64a1b61f743629225fde6f3e0be2a7c7"
+
+[[package]]
+name = "concurrent-queue"
+version = "2.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d16048cd947b08fa32c24458a22f5dc5e835264f689f4f5653210c69fd107363"
+dependencies = [
+ "crossbeam-utils",
+]
+
+[[package]]
+name = "configparser"
+version = "3.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4ec6d3da8e550377a85339063af6e3735f4b1d9392108da4e083a1b3b9820288"
+
+[[package]]
+name = "console"
+version = "0.15.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0e1f83fc076bd6dd27517eacdf25fef6c4dfe5f1d7448bafaaf3a26f13b5e4eb"
+dependencies = [
+ "encode_unicode",
+ "lazy_static",
+ "libc",
+ "unicode-width",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "core-foundation"
+version = "0.9.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "91e195e091a93c46f7102ec7818a2aa394e1e1771c3ab4825963fa03e45afb8f"
+dependencies = [
+ "core-foundation-sys",
+ "libc",
+]
+
+[[package]]
+name = "core-foundation-sys"
+version = "0.8.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "06ea2b9bc92be3c2baa9334a323ebca2d6f074ff852cd1d7b11064035cd3868f"
+
+[[package]]
+name = "cpufeatures"
+version = "0.2.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "53fe5e26ff1b7aef8bca9c6080520cfb8d9333c7568e1829cef191a9723e5504"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "crc32fast"
+version = "1.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b3855a8a784b474f333699ef2bbca9db2c4a1f6d9088a90a2d25b1eb53111eaa"
+dependencies = [
+ "cfg-if",
+]
+
+[[package]]
+name = "criterion"
+version = "0.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f2b12d017a929603d80db1831cd3a24082f8137ce19c69e6447f54f5fc8d692f"
+dependencies = [
+ "anes",
+ "cast",
+ "ciborium",
+ "clap",
+ "criterion-plot",
+ "is-terminal",
+ "itertools 0.10.5",
+ "num-traits",
+ "once_cell",
+ "oorandom",
+ "regex",
+ "serde",
+ "serde_derive",
+ "serde_json",
+ "tinytemplate",
+ "walkdir",
+]
+
+[[package]]
+name = "criterion-plot"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6b50826342786a51a89e2da3a28f1c32b06e387201bc2d19791f622c673706b1"
+dependencies = [
+ "cast",
+ "itertools 0.10.5",
+]
+
+[[package]]
+name = "crossbeam-deque"
+version = "0.8.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "613f8cc01fe9cf1a3eb3d7f488fd2fa8388403e97039e2f73692932e291a770d"
+dependencies = [
+ "crossbeam-epoch",
+ "crossbeam-utils",
+]
+
+[[package]]
+name = "crossbeam-epoch"
+version = "0.9.18"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5b82ac4a3c2ca9c3460964f020e1402edd5753411d7737aa39c3714ad1b5420e"
+dependencies = [
+ "crossbeam-utils",
+]
+
+[[package]]
+name = "crossbeam-utils"
+version = "0.8.19"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "248e3bacc7dc6baa3b21e405ee045c3047101a49145e7e9eca583ab4c2ca5345"
+
+[[package]]
+name = "crunchy"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7a81dae078cea95a014a339291cec439d2f232ebe854a9d672b796c6afafa9b7"
+
+[[package]]
+name = "crypto-common"
+version = "0.1.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1bfb12502f3fc46cca1bb51ac28df9d618d813cdc3d2f25b9fe775a34af26bb3"
+dependencies = [
+ "generic-array",
+ "typenum",
+]
+
+[[package]]
+name = "csv"
+version = "1.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ac574ff4d437a7b5ad237ef331c17ccca63c46479e5b5453eb8e10bb99a759fe"
+dependencies = [
+ "csv-core",
+ "itoa",
+ "ryu",
+ "serde",
+]
+
+[[package]]
+name = "csv-core"
+version = "0.1.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5efa2b3d7902f4b634a20cae3c9c4e6209dc4779feb6863329607560143efa70"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "ctrlc"
+version = "3.4.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "672465ae37dc1bc6380a6547a8883d5dd397b0f1faaad4f265726cc7042a5345"
+dependencies = [
+ "nix",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "dashmap"
+version = "5.5.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "978747c1d849a7d2ee5e8adc0159961c48fb7e5db2f06af6723b80123bb53856"
+dependencies = [
+ "cfg-if",
+ "hashbrown 0.14.3",
+ "lock_api",
+ "once_cell",
+ "parking_lot_core 0.9.9",
+]
+
+[[package]]
+name = "data-encoding"
+version = "2.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7e962a19be5cfc3f3bf6dd8f61eb50107f356ad6270fbb3ed41476571db78be5"
+
+[[package]]
+name = "data-url"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8d7439c3735f405729d52c3fbbe4de140eaf938a1fe47d227c27f8254d4302a5"
+
+[[package]]
+name = "deadpool"
+version = "0.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fb84100978c1c7b37f09ed3ce3e5f843af02c2a2c431bae5b19230dad2c1b490"
+dependencies = [
+ "async-trait",
+ "deadpool-runtime",
+ "num_cpus",
+ "tokio",
+]
+
+[[package]]
+name = "deadpool-runtime"
+version = "0.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "63dfa964fe2a66f3fde91fc70b267fe193d822c7e603e2a675a49a7f46ad3f49"
+
+[[package]]
+name = "deranged"
+version = "0.3.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b42b6fa04a440b495c8b04d0e71b707c585f83cb9cb28cf8cd0d976c315e31b4"
+dependencies = [
+ "powerfmt",
+]
+
+[[package]]
+name = "derivative"
+version = "2.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fcc3dd5e9e9c0b295d6e1e4d811fb6f157d5ffd784b8d202fc62eac8035a770b"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "difflib"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6184e33543162437515c2e2b48714794e37845ec9851711914eec9d308f6ebe8"
+
+[[package]]
+name = "digest"
+version = "0.10.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9ed9a281f7bc9b7576e61468ba615a66a5c8cfdff42420a70aa82701a3b1e292"
+dependencies = [
+ "block-buffer",
+ "crypto-common",
+ "subtle",
+]
+
+[[package]]
+name = "directories"
+version = "5.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9a49173b84e034382284f27f1af4dcbbd231ffa358c0fe316541a7337f376a35"
+dependencies = [
+ "dirs-sys",
+]
+
+[[package]]
+name = "dirs-sys"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "520f05a5cbd335fae5a99ff7a6ab8627577660ee5cfd6a94a6a929b52ff0321c"
+dependencies = [
+ "libc",
+ "option-ext",
+ "redox_users",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "distribution-filename"
+version = "0.0.1"
+dependencies = [
+ "insta",
+ "pep440_rs",
+ "platform-tags",
+ "rkyv",
+ "serde",
+ "thiserror",
+ "url",
+ "uv-normalize",
+]
+
+[[package]]
+name = "distribution-types"
+version = "0.0.1"
+dependencies = [
+ "anyhow",
+ "cache-key",
+ "chrono",
+ "data-encoding",
+ "distribution-filename",
+ "fs-err",
+ "itertools 0.12.1",
+ "once_cell",
+ "pep440_rs",
+ "pep508_rs",
+ "platform-tags",
+ "pypi-types",
+ "rkyv",
+ "rustc-hash",
+ "serde",
+ "serde_json",
+ "sha2",
+ "thiserror",
+ "tracing",
+ "url",
+ "urlencoding",
+ "uv-auth",
+ "uv-fs",
+ "uv-git",
+ "uv-normalize",
+]
+
+[[package]]
+name = "doc-comment"
+version = "0.3.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fea41bba32d969b513997752735605054bc0dfa92b4c56bf1189f2e174be7a10"
+
+[[package]]
+name = "dunce"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "56ce8c6da7551ec6c462cbaf3bfbc75131ebbfa1c944aeaa9dab51ca1c5f0c3b"
+
+[[package]]
+name = "either"
+version = "1.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "11157ac094ffbdde99aa67b23417ebdd801842852b500e395a45a9c0aac03e4a"
+
+[[package]]
+name = "encode_unicode"
+version = "0.3.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a357d28ed41a50f9c765dbfe56cbc04a64e53e5fc58ba79fbc34c10ef3df831f"
+
+[[package]]
+name = "encoding_rs"
+version = "0.8.33"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7268b386296a025e474d5140678f75d6de9493ae55a5d709eeb9dd08149945e1"
+dependencies = [
+ "cfg-if",
+]
+
+[[package]]
+name = "encoding_rs_io"
+version = "0.1.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1cc3c5651fb62ab8aa3103998dade57efdd028544bd300516baa31840c252a83"
+dependencies = [
+ "encoding_rs",
+]
+
+[[package]]
+name = "equivalent"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5443807d6dff69373d433ab9ef5378ad8df50ca6298caf15de6e52e24aaf54d5"
+
+[[package]]
+name = "errno"
+version = "0.3.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a258e46cdc063eb8519c00b9fc845fc47bcfca4130e2f08e88665ceda8474245"
+dependencies = [
+ "libc",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "event-listener"
+version = "5.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2b5fb89194fa3cad959b833185b3063ba881dbfc7030680b314250779fb4cc91"
+dependencies = [
+ "concurrent-queue",
+ "parking",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "event-listener-strategy"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "feedafcaa9b749175d5ac357452a9d41ea2911da598fde46ce1fe02c37751291"
+dependencies = [
+ "event-listener",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "fastrand"
+version = "2.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "25cbce373ec4653f1a01a31e8a5e5ec0c622dc27ff9c4e6606eefef5cbbed4a5"
+
+[[package]]
+name = "fdeflate"
+version = "0.3.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4f9bfee30e4dedf0ab8b422f03af778d9612b63f502710fc500a334ebe2de645"
+dependencies = [
+ "simd-adler32",
+]
+
+[[package]]
+name = "filetime"
+version = "0.2.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1ee447700ac8aa0b2f2bd7bc4462ad686ba06baa6727ac149a2d6277f0d240fd"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "redox_syscall 0.4.1",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "fixedbitset"
+version = "0.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0ce7134b9999ecaf8bcd65542e436736ef32ddca1b3e06094cb6ec5755203b80"
+
+[[package]]
+name = "flate2"
+version = "1.0.28"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "46303f565772937ffe1d394a4fac6f411c6013172fadde9dcdb1e147a086940e"
+dependencies = [
+ "crc32fast",
+ "libz-ng-sys",
+ "miniz_oxide",
+]
+
+[[package]]
+name = "float-cmp"
+version = "0.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "98de4bbd547a563b716d8dfa9aad1cb19bfab00f4fa09a6a4ed21dbcf44ce9c4"
+dependencies = [
+ "num-traits",
+]
+
+[[package]]
+name = "fnv"
+version = "1.0.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3f9eec918d3f24069decb9af1554cad7c880e2da24a9afd88aca000531ab82c1"
+
+[[package]]
+name = "fontconfig-parser"
+version = "0.5.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6a595cb550439a117696039dfc69830492058211b771a2a165379f2a1a53d84d"
+dependencies = [
+ "roxmltree 0.19.0",
+]
+
+[[package]]
+name = "fontdb"
+version = "0.12.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ff20bef7942a72af07104346154a70a70b089c572e454b41bef6eb6cb10e9c06"
+dependencies = [
+ "fontconfig-parser",
+ "log",
+ "memmap2 0.5.10",
+ "ttf-parser",
+]
+
+[[package]]
+name = "form_urlencoded"
+version = "1.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e13624c2627564efccf4934284bdd98cbaa14e79b0b5a141218e507b3a823456"
+dependencies = [
+ "percent-encoding",
+]
+
+[[package]]
+name = "fs-err"
+version = "2.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "88a41f105fe1d5b6b34b2055e3dc59bb79b46b48b2040b9e6c7b4b5de097aa41"
+dependencies = [
+ "autocfg",
+ "tokio",
+]
+
+[[package]]
+name = "fs2"
+version = "0.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9564fc758e15025b46aa6643b1b77d047d1a56a1aea6e01002ac0c7026876213"
+dependencies = [
+ "libc",
+ "winapi",
+]
+
+[[package]]
+name = "funty"
+version = "2.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e6d5a32815ae3f33302d95fdcb2ce17862f8c65363dcfd29360480ba1001fc9c"
+
+[[package]]
+name = "futures"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "645c6916888f6cb6350d2550b80fb63e734897a8498abe35cfb732b6487804b0"
+dependencies = [
+ "futures-channel",
+ "futures-core",
+ "futures-executor",
+ "futures-io",
+ "futures-sink",
+ "futures-task",
+ "futures-util",
+]
+
+[[package]]
+name = "futures-channel"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eac8f7d7865dcb88bd4373ab671c8cf4508703796caa2b1985a9ca867b3fcb78"
+dependencies = [
+ "futures-core",
+ "futures-sink",
+]
+
+[[package]]
+name = "futures-core"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dfc6580bb841c5a68e9ef15c77ccc837b40a7504914d52e47b8b0e9bbda25a1d"
+
+[[package]]
+name = "futures-executor"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a576fc72ae164fca6b9db127eaa9a9dda0d61316034f33a0a0d4eda41f02b01d"
+dependencies = [
+ "futures-core",
+ "futures-task",
+ "futures-util",
+]
+
+[[package]]
+name = "futures-io"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a44623e20b9681a318efdd71c299b6b222ed6f231972bfe2f224ebad6311f0c1"
+
+[[package]]
+name = "futures-lite"
+version = "2.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "445ba825b27408685aaecefd65178908c36c6e96aaf6d8599419d46e624192ba"
+dependencies = [
+ "fastrand",
+ "futures-core",
+ "futures-io",
+ "parking",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "futures-macro"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "87750cf4b7a4c0625b1529e4c543c2182106e4dedc60a2a6455e00d212c489ac"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "futures-sink"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9fb8e00e87438d937621c1c6269e53f536c14d3fbd6a042bb24879e57d474fb5"
+
+[[package]]
+name = "futures-task"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "38d84fa142264698cdce1a9f9172cf383a0c82de1bddcf3092901442c4097004"
+
+[[package]]
+name = "futures-util"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3d6401deb83407ab3da39eba7e33987a73c3df0c82b4bb5813ee871c19c41d48"
+dependencies = [
+ "futures-channel",
+ "futures-core",
+ "futures-io",
+ "futures-macro",
+ "futures-sink",
+ "futures-task",
+ "memchr",
+ "pin-project-lite",
+ "pin-utils",
+ "slab",
+]
+
+[[package]]
+name = "generic-array"
+version = "0.14.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "85649ca51fd72272d7821adaf274ad91c288277713d9c18820d8499a7ff69e9a"
+dependencies = [
+ "typenum",
+ "version_check",
+]
+
+[[package]]
+name = "getrandom"
+version = "0.2.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "190092ea657667030ac6a35e305e62fc4dd69fd98ac98631e5d3a2b1575a12b5"
+dependencies = [
+ "cfg-if",
+ "js-sys",
+ "libc",
+ "wasi",
+ "wasm-bindgen",
+]
+
+[[package]]
+name = "gif"
+version = "0.12.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "80792593675e051cf94a4b111980da2ba60d4a83e43e0048c5693baab3977045"
+dependencies = [
+ "color_quant",
+ "weezl",
+]
+
+[[package]]
+name = "gimli"
+version = "0.28.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4271d37baee1b8c7e4b708028c57d816cf9d2434acb33a549475f78c181f6253"
+
+[[package]]
+name = "git2"
+version = "0.18.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1b3ba52851e73b46a4c3df1d89343741112003f0f6f13beb0dfac9e457c3fdcd"
+dependencies = [
+ "bitflags 2.4.2",
+ "libc",
+ "libgit2-sys",
+ "log",
+ "openssl-probe",
+ "openssl-sys",
+ "url",
+]
+
+[[package]]
+name = "glob"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d2fabcfbdc87f4758337ca535fb41a6d701b65693ce38287d856d1674551ec9b"
+
+[[package]]
+name = "globset"
+version = "0.4.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "57da3b9b5b85bd66f31093f8c408b90a74431672542466497dcbdfdc02034be1"
+dependencies = [
+ "aho-corasick",
+ "bstr",
+ "log",
+ "regex-automata 0.4.6",
+ "regex-syntax 0.8.2",
+]
+
+[[package]]
+name = "globwalk"
+version = "0.9.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0bf760ebf69878d9fd8f110c89703d90ce35095324d1f1edcb595c63945ee757"
+dependencies = [
+ "bitflags 2.4.2",
+ "ignore",
+ "walkdir",
+]
+
+[[package]]
+name = "h2"
+version = "0.3.24"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bb2c4422095b67ee78da96fbb51a4cc413b3b25883c7717ff7ca1ab31022c9c9"
+dependencies = [
+ "bytes",
+ "fnv",
+ "futures-core",
+ "futures-sink",
+ "futures-util",
+ "http 0.2.12",
+ "indexmap 2.2.5",
+ "slab",
+ "tokio",
+ "tokio-util",
+ "tracing",
+]
+
+[[package]]
+name = "h2"
+version = "0.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "31d030e59af851932b72ceebadf4a2b5986dba4c3b99dd2493f8273a0f151943"
+dependencies = [
+ "bytes",
+ "fnv",
+ "futures-core",
+ "futures-sink",
+ "futures-util",
+ "http 1.1.0",
+ "indexmap 2.2.5",
+ "slab",
+ "tokio",
+ "tokio-util",
+ "tracing",
+]
+
+[[package]]
+name = "half"
+version = "2.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b5eceaaeec696539ddaf7b333340f1af35a5aa87ae3e4f3ead0532f72affab2e"
+dependencies = [
+ "cfg-if",
+ "crunchy",
+]
+
+[[package]]
+name = "hashbrown"
+version = "0.12.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8a9ee70c43aaf417c914396645a0fa852624801b24ebb7ae78fe8272889ac888"
+dependencies = [
+ "ahash",
+]
+
+[[package]]
+name = "hashbrown"
+version = "0.14.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "290f1a1d9242c78d09ce40a5e87e7554ee637af1351968159f4952f028f75604"
+
+[[package]]
+name = "heck"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "95505c38b4572b2d910cecb0281560f54b440a19336cbbcb27bf6ce6adc6f5a8"
+
+[[package]]
+name = "hermit-abi"
+version = "0.3.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d231dfb89cfffdbc30e7fc41579ed6066ad03abda9e567ccafae602b97ec5024"
+
+[[package]]
+name = "hex"
+version = "0.4.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f24254aa9a54b5c858eaee2f5bccdb46aaf0e486a595ed5fd8f86ba55232a70"
+
+[[package]]
+name = "hmac"
+version = "0.12.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6c49c37c09c17a53d937dfbb742eb3a961d65a994e6bcdcf37e7399d0cc8ab5e"
+dependencies = [
+ "digest",
+]
+
+[[package]]
+name = "home"
+version = "0.5.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e3d1354bf6b7235cb4a0576c2619fd4ed18183f689b12b006a0ee7329eeff9a5"
+dependencies = [
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "html-escape"
+version = "0.2.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6d1ad449764d627e22bfd7cd5e8868264fc9236e07c752972b4080cd351cb476"
+dependencies = [
+ "utf8-width",
+]
+
+[[package]]
+name = "http"
+version = "0.2.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "601cbb57e577e2f5ef5be8e7b83f0f63994f25aa94d673e54a92d5c516d101f1"
+dependencies = [
+ "bytes",
+ "fnv",
+ "itoa",
+]
+
+[[package]]
+name = "http"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "21b9ddb458710bc376481b842f5da65cdf31522de232c1ca8146abce2a358258"
+dependencies = [
+ "bytes",
+ "fnv",
+ "itoa",
+]
+
+[[package]]
+name = "http-body"
+version = "0.4.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7ceab25649e9960c0311ea418d17bee82c0dcec1bd053b5f9a66e265a693bed2"
+dependencies = [
+ "bytes",
+ "http 0.2.12",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "http-body"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1cac85db508abc24a2e48553ba12a996e87244a0395ce011e62b37158745d643"
+dependencies = [
+ "bytes",
+ "http 1.1.0",
+]
+
+[[package]]
+name = "http-body-util"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0475f8b2ac86659c21b64320d5d653f9efe42acd2a4e560073ec61a155a34f1d"
+dependencies = [
+ "bytes",
+ "futures-core",
+ "http 1.1.0",
+ "http-body 1.0.0",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "http-content-range"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9f0d1a8ef218a86416107794b34cc446958d9203556c312bb41eab4c924c1d2e"
+
+[[package]]
+name = "httparse"
+version = "1.8.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d897f394bad6a705d5f4104762e116a75639e470d80901eed05a860a95cb1904"
+
+[[package]]
+name = "httpdate"
+version = "1.0.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "df3b46402a9d5adb4c86a0cf463f42e19994e3ee891101b1841f30a545cb49a9"
+
+[[package]]
+name = "hyper"
+version = "0.14.28"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bf96e135eb83a2a8ddf766e426a841d8ddd7449d5f00d34ea02b41d2f19eef80"
+dependencies = [
+ "bytes",
+ "futures-channel",
+ "futures-core",
+ "futures-util",
+ "h2 0.3.24",
+ "http 0.2.12",
+ "http-body 0.4.6",
+ "httparse",
+ "httpdate",
+ "itoa",
+ "pin-project-lite",
+ "socket2",
+ "tokio",
+ "tower-service",
+ "tracing",
+ "want",
+]
+
+[[package]]
+name = "hyper"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "186548d73ac615b32a73aafe38fb4f56c0d340e110e5a200bcadbaf2e199263a"
+dependencies = [
+ "bytes",
+ "futures-channel",
+ "futures-util",
+ "h2 0.4.2",
+ "http 1.1.0",
+ "http-body 1.0.0",
+ "httparse",
+ "httpdate",
+ "itoa",
+ "pin-project-lite",
+ "smallvec",
+ "tokio",
+ "want",
+]
+
+[[package]]
+name = "hyper-rustls"
+version = "0.24.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ec3efd23720e2049821a693cbc7e65ea87c72f1c58ff2f9522ff332b1491e590"
+dependencies = [
+ "futures-util",
+ "http 0.2.12",
+ "hyper 0.14.28",
+ "rustls",
+ "tokio",
+ "tokio-rustls",
+]
+
+[[package]]
+name = "hyper-util"
+version = "0.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ca38ef113da30126bbff9cd1705f9273e15d45498615d138b0c20279ac7a76aa"
+dependencies = [
+ "bytes",
+ "futures-util",
+ "http 1.1.0",
+ "http-body 1.0.0",
+ "hyper 1.2.0",
+ "pin-project-lite",
+ "socket2",
+ "tokio",
+]
+
+[[package]]
+name = "iana-time-zone"
+version = "0.1.60"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e7ffbb5a1b541ea2561f8c41c087286cc091e21e556a4f09a8f6cbf17b69b141"
+dependencies = [
+ "android_system_properties",
+ "core-foundation-sys",
+ "iana-time-zone-haiku",
+ "js-sys",
+ "wasm-bindgen",
+ "windows-core 0.52.0",
+]
+
+[[package]]
+name = "iana-time-zone-haiku"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f31827a206f56af32e590ba56d5d2d085f558508192593743f16b2306495269f"
+dependencies = [
+ "cc",
+]
+
+[[package]]
+name = "idna"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "634d9b1461af396cad843f47fdba5597a4f9e6ddd4bfb6ff5d85028c25cb12f6"
+dependencies = [
+ "unicode-bidi",
+ "unicode-normalization",
+]
+
+[[package]]
+name = "ignore"
+version = "0.4.22"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b46810df39e66e925525d6e38ce1e7f6e1d208f72dc39757880fcb66e2c58af1"
+dependencies = [
+ "crossbeam-deque",
+ "globset",
+ "log",
+ "memchr",
+ "regex-automata 0.4.6",
+ "same-file",
+ "walkdir",
+ "winapi-util",
+]
+
+[[package]]
+name = "imagesize"
+version = "0.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b72ad49b554c1728b1e83254a1b1565aea4161e28dabbfa171fc15fe62299caf"
+
+[[package]]
+name = "indexmap"
+version = "1.9.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bd070e393353796e801d209ad339e89596eb4c8d430d18ede6a1cced8fafbd99"
+dependencies = [
+ "autocfg",
+ "hashbrown 0.12.3",
+]
+
+[[package]]
+name = "indexmap"
+version = "2.2.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7b0b929d511467233429c45a44ac1dcaa21ba0f5ba11e4879e6ed28ddb4f9df4"
+dependencies = [
+ "equivalent",
+ "hashbrown 0.14.3",
+ "serde",
+]
+
+[[package]]
+name = "indicatif"
+version = "0.17.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "763a5a8f45087d6bcea4222e7b72c291a054edf80e4ef6efd2a4979878c7bea3"
+dependencies = [
+ "console",
+ "instant",
+ "number_prefix",
+ "portable-atomic",
+ "unicode-width",
+ "vt100",
+]
+
+[[package]]
+name = "indoc"
+version = "2.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1e186cfbae8084e513daff4240b4797e342f988cecda4fb6c939150f96315fd8"
+
+[[package]]
+name = "insta"
+version = "1.36.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0a7c22c4d34ef4788c351e971c52bfdfe7ea2766f8c5466bc175dd46e52ac22e"
+dependencies = [
+ "console",
+ "lazy_static",
+ "linked-hash-map",
+ "regex",
+ "serde",
+ "similar",
+ "yaml-rust",
+]
+
+[[package]]
+name = "install-wheel-rs"
+version = "0.0.1"
+dependencies = [
+ "clap",
+ "configparser",
+ "csv",
+ "data-encoding",
+ "distribution-filename",
+ "fs-err",
+ "indoc",
+ "mailparse",
+ "once_cell",
+ "pathdiff",
+ "pep440_rs",
+ "platform-info",
+ "platform-tags",
+ "pypi-types",
+ "reflink-copy",
+ "regex",
+ "rustc-hash",
+ "serde",
+ "serde_json",
+ "sha2",
+ "tempfile",
+ "thiserror",
+ "tracing",
+ "tracing-subscriber",
+ "url",
+ "uv-fs",
+ "uv-normalize",
+ "walkdir",
+ "zip",
+]
+
+[[package]]
+name = "instant"
+version = "0.1.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7a5bbe824c507c5da5956355e86a746d82e0e1464f65d862cc5e71da70e94b2c"
+dependencies = [
+ "cfg-if",
+ "js-sys",
+ "wasm-bindgen",
+ "web-sys",
+]
+
+[[package]]
+name = "ipnet"
+version = "2.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f518f335dce6725a761382244631d86cf0ccb2863413590b31338feb467f9c3"
+
+[[package]]
+name = "is-terminal"
+version = "0.4.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f23ff5ef2b80d608d61efee834934d862cd92461afc0560dedf493e4c033738b"
+dependencies = [
+ "hermit-abi",
+ "libc",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "is_ci"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7655c9839580ee829dfacba1d1278c2b7883e50a277ff7541299489d6bdfdc45"
+
+[[package]]
+name = "itertools"
+version = "0.10.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b0fd2260e829bddf4cb6ea802289de2f86d6a7a690192fbe91b3f46e0f2c8473"
+dependencies = [
+ "either",
+]
+
+[[package]]
+name = "itertools"
+version = "0.12.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ba291022dbbd398a455acf126c1e341954079855bc60dfdda641363bd6922569"
+dependencies = [
+ "either",
+]
+
+[[package]]
+name = "itoa"
+version = "1.0.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b1a46d1a171d865aa5f83f92695765caa047a9b4cbae2cbf37dbd613a793fd4c"
+
+[[package]]
+name = "jobserver"
+version = "0.1.28"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ab46a6e9526ddef3ae7f787c06f0f2600639ba80ea3eade3d8e670a2230f51d6"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "jpeg-decoder"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f5d4a7da358eff58addd2877a45865158f0d78c911d43a5784ceb7bbf52833b0"
+
+[[package]]
+name = "js-sys"
+version = "0.3.69"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "29c15563dc2726973df627357ce0c9ddddbea194836909d655df6a75d2cf296d"
+dependencies = [
+ "wasm-bindgen",
+]
+
+[[package]]
+name = "junction"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ca39ef0d69b18e6a2fd14c2f0a1d593200f4a4ed949b240b5917ab51fac754cb"
+dependencies = [
+ "scopeguard",
+ "winapi",
+]
+
+[[package]]
+name = "kurbo"
+version = "0.8.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7a53776d271cfb873b17c618af0298445c88afc52837f3e948fa3fafd131f449"
+dependencies = [
+ "arrayvec",
+]
+
+[[package]]
+name = "kurbo"
+version = "0.9.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bd85a5776cd9500c2e2059c8c76c3b01528566b7fcbaf8098b55a33fc298849b"
+dependencies = [
+ "arrayvec",
+]
+
+[[package]]
+name = "lazy_static"
+version = "1.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e2abad23fbc42b3700f2f279844dc832adb2b2eb069b2df918f455c4e18cc646"
+
+[[package]]
+name = "libc"
+version = "0.2.153"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9c198f91728a82281a64e1f4f9eeb25d82cb32a5de251c6bd1b5154d63a8e7bd"
+
+[[package]]
+name = "libgit2-sys"
+version = "0.16.2+1.7.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ee4126d8b4ee5c9d9ea891dd875cfdc1e9d0950437179104b183d7d8a74d24e8"
+dependencies = [
+ "cc",
+ "libc",
+ "libssh2-sys",
+ "libz-sys",
+ "openssl-sys",
+ "pkg-config",
+]
+
+[[package]]
+name = "libmimalloc-sys"
+version = "0.1.35"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3979b5c37ece694f1f5e51e7ecc871fdb0f517ed04ee45f88d15d6d553cb9664"
+dependencies = [
+ "cc",
+ "libc",
+]
+
+[[package]]
+name = "libredox"
+version = "0.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "85c833ca1e66078851dba29046874e38f08b2c883700aa29a03ddd3b23814ee8"
+dependencies = [
+ "bitflags 2.4.2",
+ "libc",
+ "redox_syscall 0.4.1",
+]
+
+[[package]]
+name = "libssh2-sys"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2dc8a030b787e2119a731f1951d6a773e2280c660f8ec4b0f5e1505a386e71ee"
+dependencies = [
+ "cc",
+ "libc",
+ "libz-sys",
+ "openssl-sys",
+ "pkg-config",
+ "vcpkg",
+]
+
+[[package]]
+name = "libz-ng-sys"
+version = "1.1.15"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c6409efc61b12687963e602df8ecf70e8ddacf95bc6576bcf16e3ac6328083c5"
+dependencies = [
+ "cmake",
+ "libc",
+]
+
+[[package]]
+name = "libz-sys"
+version = "1.1.15"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "037731f5d3aaa87a5675e895b63ddff1a87624bc29f77004ea829809654e48f6"
+dependencies = [
+ "cc",
+ "libc",
+ "pkg-config",
+ "vcpkg",
+]
+
+[[package]]
+name = "line-wrap"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f30344350a2a51da54c1d53be93fade8a237e545dbcc4bdbe635413f2117cab9"
+dependencies = [
+ "safemem",
+]
+
+[[package]]
+name = "linked-hash-map"
+version = "0.5.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0717cef1bc8b636c6e1c1bbdefc09e6322da8a9321966e8928ef80d20f7f770f"
+
+[[package]]
+name = "linux-raw-sys"
+version = "0.4.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "01cda141df6706de531b6c46c3a33ecca755538219bd484262fa09410c13539c"
+
+[[package]]
+name = "lock_api"
+version = "0.4.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3c168f8615b12bc01f9c17e2eb0cc07dcae1940121185446edc3744920e8ef45"
+dependencies = [
+ "autocfg",
+ "scopeguard",
+]
+
+[[package]]
+name = "log"
+version = "0.4.21"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "90ed8c1e510134f979dbc4f070f87d4313098b704861a105fe34231c70a3901c"
+
+[[package]]
+name = "mailparse"
+version = "0.14.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2d096594926cab442e054e047eb8c1402f7d5b2272573b97ba68aa40629f9757"
+dependencies = [
+ "charset",
+ "data-encoding",
+ "quoted_printable",
+]
+
+[[package]]
+name = "matchers"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8263075bb86c5a1b1427b5ae862e8889656f126e9f77c484496e8b47cf5c5558"
+dependencies = [
+ "regex-automata 0.1.10",
+]
+
+[[package]]
+name = "memchr"
+version = "2.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "523dc4f511e55ab87b694dc30d0f820d60906ef06413f93d4d7a1385599cc149"
+
+[[package]]
+name = "memmap2"
+version = "0.5.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "83faa42c0a078c393f6b29d5db232d8be22776a891f8f56e5284faee4a20b327"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "memmap2"
+version = "0.9.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fe751422e4a8caa417e13c3ea66452215d7d63e19e604f4980461212f3ae1322"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "memoffset"
+version = "0.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5a634b1c61a95585bd15607c6ab0c4e5b226e695ff2800ba0cdccddf208c406c"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "miette"
+version = "6.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "337e1043bbc086dac9d9674983bef52ac991ce150e09b5b8e35c5a73dd83f66c"
+dependencies = [
+ "backtrace",
+ "backtrace-ext",
+ "miette-derive",
+ "owo-colors 3.5.0",
+ "supports-color",
+ "supports-hyperlinks",
+ "supports-unicode",
+ "terminal_size",
+ "textwrap",
+ "thiserror",
+ "unicode-width",
+]
+
+[[package]]
+name = "miette-derive"
+version = "6.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "71e622f2a0dd84cbca79bc6c3c33f4fd7dc69faf992216516aacc1d136102800"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "mimalloc"
+version = "0.1.39"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fa01922b5ea280a911e323e4d2fd24b7fe5cc4042e0d2cda3c40775cdc4bdc9c"
+dependencies = [
+ "libmimalloc-sys",
+]
+
+[[package]]
+name = "mime"
+version = "0.3.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6877bb514081ee2a7ff5ef9de3281f14a4dd4bceac4c09388074a6b5df8a139a"
+
+[[package]]
+name = "mime_guess"
+version = "2.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4192263c238a5f0d0c6bfd21f336a313a4ce1c450542449ca191bb657b4642ef"
+dependencies = [
+ "mime",
+ "unicase",
+]
+
+[[package]]
+name = "miniz_oxide"
+version = "0.7.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9d811f3e15f28568be3407c8e7fdb6514c1cda3cb30683f15b6a1a1dc4ea14a7"
+dependencies = [
+ "adler",
+ "simd-adler32",
+]
+
+[[package]]
+name = "mio"
+version = "0.8.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a4a650543ca06a924e8b371db273b2756685faae30f8487da1b56505a8f78b0c"
+dependencies = [
+ "libc",
+ "wasi",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "miow"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "359f76430b20a79f9e20e115b3428614e654f04fab314482fc0fda0ebd3c6044"
+dependencies = [
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "nanoid"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3ffa00dec017b5b1a8b7cf5e2c008bfda1aa7e0697ac1508b491fdf2622fb4d8"
+dependencies = [
+ "rand",
+]
+
+[[package]]
+name = "nix"
+version = "0.28.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ab2156c4fce2f8df6c499cc1c763e4394b7482525bf2a9701c9d79d215f519e4"
+dependencies = [
+ "bitflags 2.4.2",
+ "cfg-if",
+ "cfg_aliases",
+ "libc",
+]
+
+[[package]]
+name = "normalize-line-endings"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "61807f77802ff30975e01f4f071c8ba10c022052f98b3294119f3e615d13e5be"
+
+[[package]]
+name = "nu-ansi-term"
+version = "0.46.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "77a8165726e8236064dbb45459242600304b42a5ea24ee2948e18e023bf7ba84"
+dependencies = [
+ "overload",
+ "winapi",
+]
+
+[[package]]
+name = "nu-ansi-term"
+version = "0.49.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c073d3c1930d0751774acf49e66653acecb416c3a54c6ec095a9b11caddb5a68"
+dependencies = [
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "num-conv"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "51d515d32fb182ee37cda2ccdcb92950d6a3c2893aa280e540671c2cd0f3b1d9"
+
+[[package]]
+name = "num-traits"
+version = "0.2.18"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "da0df0e5185db44f69b44f26786fe401b6c293d1907744beaa7fa62b2e5a517a"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "num_cpus"
+version = "1.16.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4161fcb6d602d4d2081af7c3a45852d875a03dd337a6bfdd6e06407b61342a43"
+dependencies = [
+ "hermit-abi",
+ "libc",
+]
+
+[[package]]
+name = "number_prefix"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "830b246a0e5f20af87141b25c173cd1b609bd7779a4617d6ec582abaf90870f3"
+
+[[package]]
+name = "object"
+version = "0.32.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a6a622008b6e321afc04970976f62ee297fdbaa6f95318ca343e3eebb9648441"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "once-map"
+version = "0.0.1"
+dependencies = [
+ "dashmap",
+ "tokio",
+]
+
+[[package]]
+name = "once_cell"
+version = "1.19.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3fdb12b2476b595f9358c5161aa467c2438859caa136dec86c26fdd2efe17b92"
+
+[[package]]
+name = "oorandom"
+version = "11.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0ab1bc2a289d34bd04a330323ac98a1b4bc82c9d9fcb1e66b63caa84da26b575"
+
+[[package]]
+name = "openssl-probe"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ff011a302c396a5197692431fc1948019154afc178baf7d8e37367442a4601cf"
+
+[[package]]
+name = "openssl-src"
+version = "300.2.3+3.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5cff92b6f71555b61bb9315f7c64da3ca43d87531622120fea0195fc761b4843"
+dependencies = [
+ "cc",
+]
+
+[[package]]
+name = "openssl-sys"
+version = "0.9.101"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dda2b0f344e78efc2facf7d195d098df0dd72151b26ab98da807afc26c198dff"
+dependencies = [
+ "cc",
+ "libc",
+ "openssl-src",
+ "pkg-config",
+ "vcpkg",
+]
+
+[[package]]
+name = "option-ext"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "04744f49eae99ab78e0d5c0b603ab218f515ea8cfe5a456d7629ad883a3b6e7d"
+
+[[package]]
+name = "os_info"
+version = "3.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "006e42d5b888366f1880eda20371fedde764ed2213dc8496f49622fa0c99cd5e"
+dependencies = [
+ "log",
+ "winapi",
+]
+
+[[package]]
+name = "overload"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b15813163c1d831bf4a13c3610c05c0d03b39feb07f7e09fa234dac9b15aaf39"
+
+[[package]]
+name = "owo-colors"
+version = "3.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c1b04fb49957986fdce4d6ee7a65027d55d4b6d2265e5848bbb507b58ccfdb6f"
+
+[[package]]
+name = "owo-colors"
+version = "4.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "caff54706df99d2a78a5a4e3455ff45448d81ef1bb63c22cd14052ca0e993a3f"
+
+[[package]]
+name = "parking"
+version = "2.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bb813b8af86854136c6922af0598d719255ecb2179515e6e7730d468f05c9cae"
+
+[[package]]
+name = "parking_lot"
+version = "0.11.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7d17b78036a60663b797adeaee46f5c9dfebb86948d1255007a1d6be0271ff99"
+dependencies = [
+ "instant",
+ "lock_api",
+ "parking_lot_core 0.8.6",
+]
+
+[[package]]
+name = "parking_lot"
+version = "0.12.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3742b2c103b9f06bc9fff0a37ff4912935851bee6d36f3c02bcc755bcfec228f"
+dependencies = [
+ "lock_api",
+ "parking_lot_core 0.9.9",
+]
+
+[[package]]
+name = "parking_lot_core"
+version = "0.8.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "60a2cfe6f0ad2bfc16aefa463b497d5c7a5ecd44a23efa72aa342d90177356dc"
+dependencies = [
+ "cfg-if",
+ "instant",
+ "libc",
+ "redox_syscall 0.2.16",
+ "smallvec",
+ "winapi",
+]
+
+[[package]]
+name = "parking_lot_core"
+version = "0.9.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4c42a9226546d68acdd9c0a280d17ce19bfe27a46bf68784e4066115788d008e"
+dependencies = [
+ "cfg-if",
+ "libc",
+ "redox_syscall 0.4.1",
+ "smallvec",
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "paste"
+version = "1.0.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "de3145af08024dea9fa9914f381a17b8fc6034dfb00f3a84013f7ff43f29ed4c"
+
+[[package]]
+name = "pathdiff"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8835116a5c179084a830efb3adc117ab007512b535bc1a21c991d3b32a6b44dd"
+
+[[package]]
+name = "pep440_rs"
+version = "0.5.0"
+dependencies = [
+ "indoc",
+ "once_cell",
+ "pubgrub",
+ "pyo3",
+ "rkyv",
+ "serde",
+ "tracing",
+ "unicode-width",
+ "unscanny",
+]
+
+[[package]]
+name = "pep508_rs"
+version = "0.4.2"
+dependencies = [
+ "derivative",
+ "insta",
+ "log",
+ "once_cell",
+ "pep440_rs",
+ "pyo3",
+ "pyo3-log",
+ "regex",
+ "rkyv",
+ "serde",
+ "serde_json",
+ "testing_logger",
+ "thiserror",
+ "tracing",
+ "unicode-width",
+ "url",
+ "uv-fs",
+ "uv-normalize",
+]
+
+[[package]]
+name = "percent-encoding"
+version = "2.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e3148f5046208a5d56bcfc03053e3ca6334e51da8dfb19b6cdc8b306fae3283e"
+
+[[package]]
+name = "petgraph"
+version = "0.6.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e1d3afd2628e69da2be385eb6f2fd57c8ac7977ceeff6dc166ff1657b0e386a9"
+dependencies = [
+ "fixedbitset",
+ "indexmap 2.2.5",
+]
+
+[[package]]
+name = "pico-args"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5be167a7af36ee22fe3115051bc51f6e6c7054c9348e28deb4f49bd6f705a315"
+
+[[package]]
+name = "pin-project"
+version = "1.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b6bf43b791c5b9e34c3d182969b4abb522f9343702850a2e57f460d00d09b4b3"
+dependencies = [
+ "pin-project-internal",
+]
+
+[[package]]
+name = "pin-project-internal"
+version = "1.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2f38a4412a78282e09a2cf38d195ea5420d15ba0602cb375210efbc877243965"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "pin-project-lite"
+version = "0.2.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8afb450f006bf6385ca15ef45d71d2288452bc3683ce2e2cacc0d18e4be60b58"
+
+[[package]]
+name = "pin-utils"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8b870d8c151b6f2fb93e84a13146138f05d02ed11c7e7c54f8826aaaf7c9f184"
+
+[[package]]
+name = "pkg-config"
+version = "0.3.30"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d231b230927b5e4ad203db57bbcbee2802f6bce620b1e4a9024a07d94e2907ec"
+
+[[package]]
+name = "platform-info"
+version = "2.0.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d6259c4860e53bf665016f1b2f46a8859cadfa717581dc9d597ae4069de6300f"
+dependencies = [
+ "libc",
+ "winapi",
+]
+
+[[package]]
+name = "platform-tags"
+version = "0.0.1"
+dependencies = [
+ "insta",
+ "rustc-hash",
+ "serde",
+ "thiserror",
+]
+
+[[package]]
+name = "plist"
+version = "1.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e5699cc8a63d1aa2b1ee8e12b9ad70ac790d65788cd36101fa37f87ea46c4cef"
+dependencies = [
+ "base64 0.21.7",
+ "indexmap 2.2.5",
+ "line-wrap",
+ "quick-xml",
+ "serde",
+ "time",
+]
+
+[[package]]
+name = "png"
+version = "0.17.13"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "06e4b0d3d1312775e782c86c91a111aa1f910cbb65e1337f9975b5f9a554b5e1"
+dependencies = [
+ "bitflags 1.3.2",
+ "crc32fast",
+ "fdeflate",
+ "flate2",
+ "miniz_oxide",
+]
+
+[[package]]
+name = "poloto"
+version = "19.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "164dbd541c9832e92fa34452e9c2e98b515a548a3f8549fb2402fe1cd5e46b96"
+dependencies = [
+ "tagu",
+]
+
+[[package]]
+name = "portable-atomic"
+version = "1.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7170ef9988bc169ba16dd36a7fa041e5c4cbeb6a35b76d4c03daded371eae7c0"
+
+[[package]]
+name = "powerfmt"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "439ee305def115ba05938db6eb1644ff94165c5ab5e9420d1c1bcedbba909391"
+
+[[package]]
+name = "ppv-lite86"
+version = "0.2.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5b40af805b3121feab8a3c29f04d8ad262fa8e0561883e7653e024ae4479e6de"
+
+[[package]]
+name = "predicates"
+version = "3.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "68b87bfd4605926cdfefc1c3b5f8fe560e3feca9d5552cf68c466d3d8236c7e8"
+dependencies = [
+ "anstyle",
+ "difflib",
+ "float-cmp",
+ "normalize-line-endings",
+ "predicates-core",
+ "regex",
+]
+
+[[package]]
+name = "predicates-core"
+version = "1.0.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b794032607612e7abeb4db69adb4e33590fa6cf1149e95fd7cb00e634b92f174"
+
+[[package]]
+name = "predicates-tree"
+version = "1.0.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "368ba315fb8c5052ab692e68a0eefec6ec57b23a36959c14496f0b0df2c0cecf"
+dependencies = [
+ "predicates-core",
+ "termtree",
+]
+
+[[package]]
+name = "priority-queue"
+version = "1.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a0bda9164fe05bc9225752d54aae413343c36f684380005398a6a8fde95fe785"
+dependencies = [
+ "autocfg",
+ "indexmap 1.9.3",
+]
+
+[[package]]
+name = "proc-macro2"
+version = "1.0.79"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e835ff2298f5721608eb1a980ecaee1aef2c132bf95ecc026a11b7bf3c01c02e"
+dependencies = [
+ "unicode-ident",
+]
+
+[[package]]
+name = "ptr_meta"
+version = "0.1.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0738ccf7ea06b608c10564b31debd4f5bc5e197fc8bfe088f68ae5ce81e7a4f1"
+dependencies = [
+ "ptr_meta_derive",
+]
+
+[[package]]
+name = "ptr_meta_derive"
+version = "0.1.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "16b845dbfca988fa33db069c0e230574d15a3088f147a87b64c7589eb662c9ac"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "pubgrub"
+version = "0.2.1"
+source = "git+https://github.com/astral-sh/pubgrub?rev=addbaf184891d66a2dfd93d241a66d13bfe5de86#addbaf184891d66a2dfd93d241a66d13bfe5de86"
+dependencies = [
+ "indexmap 2.2.5",
+ "log",
+ "priority-queue",
+ "rustc-hash",
+ "thiserror",
+]
+
+[[package]]
+name = "pyo3"
+version = "0.20.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "53bdbb96d49157e65d45cc287af5f32ffadd5f4761438b527b055fb0d4bb8233"
+dependencies = [
+ "cfg-if",
+ "indoc",
+ "libc",
+ "memoffset",
+ "parking_lot 0.12.1",
+ "portable-atomic",
+ "pyo3-build-config",
+ "pyo3-ffi",
+ "pyo3-macros",
+ "unindent",
+]
+
+[[package]]
+name = "pyo3-build-config"
+version = "0.20.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "deaa5745de3f5231ce10517a1f5dd97d53e5a2fd77aa6b5842292085831d48d7"
+dependencies = [
+ "once_cell",
+ "target-lexicon",
+]
+
+[[package]]
+name = "pyo3-ffi"
+version = "0.20.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "62b42531d03e08d4ef1f6e85a2ed422eb678b8cd62b762e53891c05faf0d4afa"
+dependencies = [
+ "libc",
+ "pyo3-build-config",
+]
+
+[[package]]
+name = "pyo3-log"
+version = "0.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4c10808ee7250403bedb24bc30c32493e93875fef7ba3e4292226fe924f398bd"
+dependencies = [
+ "arc-swap",
+ "log",
+ "pyo3",
+]
+
+[[package]]
+name = "pyo3-macros"
+version = "0.20.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7305c720fa01b8055ec95e484a6eca7a83c841267f0dd5280f0c8b8551d2c158"
+dependencies = [
+ "proc-macro2",
+ "pyo3-macros-backend",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "pyo3-macros-backend"
+version = "0.20.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7c7e9b68bb9c3149c5b0cade5d07f953d6d125eb4337723c4ccdb665f1f96185"
+dependencies = [
+ "heck",
+ "proc-macro2",
+ "pyo3-build-config",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "pypi-types"
+version = "0.0.1"
+dependencies = [
+ "chrono",
+ "indoc",
+ "insta",
+ "mailparse",
+ "once_cell",
+ "pep440_rs",
+ "pep508_rs",
+ "regex",
+ "rkyv",
+ "serde",
+ "serde_json",
+ "tempfile",
+ "test-case",
+ "thiserror",
+ "tracing",
+ "url",
+ "uv-normalize",
+]
+
+[[package]]
+name = "pyproject-toml"
+version = "0.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3b80f889b6d413c3f8963a2c7db03f95dd6e1d85e1074137cb2013ea2faa8898"
+dependencies = [
+ "indexmap 2.2.5",
+ "pep440_rs",
+ "pep508_rs",
+ "serde",
+ "toml",
+]
+
+[[package]]
+name = "quick-xml"
+version = "0.31.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1004a344b30a54e2ee58d66a71b32d2db2feb0a31f9a2d302bf0536f15de2a33"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "quote"
+version = "1.0.35"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "291ec9ab5efd934aaf503a6466c5d5251535d108ee747472c3977cc5acc868ef"
+dependencies = [
+ "proc-macro2",
+]
+
+[[package]]
+name = "quoted_printable"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "79ec282e887b434b68c18fe5c121d38e72a5cf35119b59e54ec5b992ea9c8eb0"
+
+[[package]]
+name = "radium"
+version = "0.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dc33ff2d4973d518d823d61aa239014831e521c75da58e3df4840d3f47749d09"
+
+[[package]]
+name = "rand"
+version = "0.8.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "34af8d1a0e25924bc5b7c43c079c942339d8f0a8b57c39049bef581b46327404"
+dependencies = [
+ "libc",
+ "rand_chacha",
+ "rand_core",
+]
+
+[[package]]
+name = "rand_chacha"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e6c10a63a0fa32252be49d21e7709d4d4baf8d231c2dbce1eaa8141b9b127d88"
+dependencies = [
+ "ppv-lite86",
+ "rand_core",
+]
+
+[[package]]
+name = "rand_core"
+version = "0.6.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ec0be4795e2f6a28069bec0b5ff3e2ac9bafc99e6a9a7dc3547996c5c816922c"
+dependencies = [
+ "getrandom",
+]
+
+[[package]]
+name = "rayon"
+version = "1.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e4963ed1bc86e4f3ee217022bd855b297cef07fb9eac5dfa1f788b220b49b3bd"
+dependencies = [
+ "either",
+ "rayon-core",
+]
+
+[[package]]
+name = "rayon-core"
+version = "1.12.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1465873a3dfdaa8ae7cb14b4383657caab0b3e8a0aa9ae8e04b044854c8dfce2"
+dependencies = [
+ "crossbeam-deque",
+ "crossbeam-utils",
+]
+
+[[package]]
+name = "rctree"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3b42e27ef78c35d3998403c1d26f3efd9e135d3e5121b0a4845cc5cc27547f4f"
+
+[[package]]
+name = "redox_syscall"
+version = "0.2.16"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fb5a58c1855b4b6819d59012155603f0b22ad30cad752600aadfcb695265519a"
+dependencies = [
+ "bitflags 1.3.2",
+]
+
+[[package]]
+name = "redox_syscall"
+version = "0.3.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "567664f262709473930a4bf9e51bf2ebf3348f2e748ccc50dea20646858f8f29"
+dependencies = [
+ "bitflags 1.3.2",
+]
+
+[[package]]
+name = "redox_syscall"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4722d768eff46b75989dd134e5c353f0d6296e5aaa3132e776cbdb56be7731aa"
+dependencies = [
+ "bitflags 1.3.2",
+]
+
+[[package]]
+name = "redox_users"
+version = "0.4.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a18479200779601e498ada4e8c1e1f50e3ee19deb0259c25825a98b5603b2cb4"
+dependencies = [
+ "getrandom",
+ "libredox",
+ "thiserror",
+]
+
+[[package]]
+name = "reflink-copy"
+version = "0.1.15"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "52b1349400e2ffd64a9fb5ed9008e33c0b8ef86bd5bae8f73080839c7082f1d5"
+dependencies = [
+ "cfg-if",
+ "rustix",
+ "windows",
+]
+
+[[package]]
+name = "regex"
+version = "1.10.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b62dbe01f0b06f9d8dc7d49e05a0785f153b00b2c227856282f671e0318c9b15"
+dependencies = [
+ "aho-corasick",
+ "memchr",
+ "regex-automata 0.4.6",
+ "regex-syntax 0.8.2",
+]
+
+[[package]]
+name = "regex-automata"
+version = "0.1.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6c230d73fb8d8c1b9c0b3135c5142a8acee3a0558fb8db5cf1cb65f8d7862132"
+dependencies = [
+ "regex-syntax 0.6.29",
+]
+
+[[package]]
+name = "regex-automata"
+version = "0.4.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "86b83b8b9847f9bf95ef68afb0b8e6cdb80f498442f5179a29fad448fcc1eaea"
+dependencies = [
+ "aho-corasick",
+ "memchr",
+ "regex-syntax 0.8.2",
+]
+
+[[package]]
+name = "regex-syntax"
+version = "0.6.29"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f162c6dd7b008981e4d40210aca20b4bd0f9b60ca9271061b07f78537722f2e1"
+
+[[package]]
+name = "regex-syntax"
+version = "0.8.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c08c74e62047bb2de4ff487b251e4a92e24f48745648451635cec7d591162d9f"
+
+[[package]]
+name = "rend"
+version = "0.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "71fe3824f5629716b1589be05dacd749f6aa084c87e00e016714a8cdfccc997c"
+dependencies = [
+ "bytecheck",
+]
+
+[[package]]
+name = "requirements-txt"
+version = "0.0.1"
+dependencies = [
+ "anyhow",
+ "assert_fs",
+ "async-recursion",
+ "fs-err",
+ "indoc",
+ "insta",
+ "itertools 0.12.1",
+ "once_cell",
+ "pep440_rs",
+ "pep508_rs",
+ "regex",
+ "reqwest",
+ "serde",
+ "serde_json",
+ "tempfile",
+ "test-case",
+ "thiserror",
+ "tokio",
+ "tracing",
+ "unscanny",
+ "url",
+ "uv-client",
+ "uv-fs",
+ "uv-normalize",
+ "uv-warnings",
+]
+
+[[package]]
+name = "reqwest"
+version = "0.11.26"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "78bf93c4af7a8bb7d879d51cebe797356ff10ae8516ace542b5182d9dcac10b2"
+dependencies = [
+ "async-compression",
+ "base64 0.21.7",
+ "bytes",
+ "encoding_rs",
+ "futures-core",
+ "futures-util",
+ "h2 0.3.24",
+ "http 0.2.12",
+ "http-body 0.4.6",
+ "hyper 0.14.28",
+ "hyper-rustls",
+ "ipnet",
+ "js-sys",
+ "log",
+ "mime",
+ "mime_guess",
+ "once_cell",
+ "percent-encoding",
+ "pin-project-lite",
+ "rustls",
+ "rustls-native-certs",
+ "rustls-pemfile",
+ "serde",
+ "serde_json",
+ "serde_urlencoded",
+ "sync_wrapper",
+ "system-configuration",
+ "tokio",
+ "tokio-rustls",
+ "tokio-util",
+ "tower-service",
+ "url",
+ "wasm-bindgen",
+ "wasm-bindgen-futures",
+ "wasm-streams",
+ "web-sys",
+ "webpki-roots",
+ "winreg",
+]
+
+[[package]]
+name = "reqwest-middleware"
+version = "0.2.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "88a3e86aa6053e59030e7ce2d2a3b258dd08fc2d337d52f73f6cb480f5858690"
+dependencies = [
+ "anyhow",
+ "async-trait",
+ "http 0.2.12",
+ "reqwest",
+ "serde",
+ "task-local-extensions",
+ "thiserror",
+]
+
+[[package]]
+name = "reqwest-retry"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9af20b65c2ee9746cc575acb6bd28a05ffc0d15e25c992a8f4462d8686aacb4f"
+dependencies = [
+ "anyhow",
+ "async-trait",
+ "chrono",
+ "futures",
+ "getrandom",
+ "http 0.2.12",
+ "hyper 0.14.28",
+ "parking_lot 0.11.2",
+ "reqwest",
+ "reqwest-middleware",
+ "retry-policies",
+ "task-local-extensions",
+ "tokio",
+ "tracing",
+ "wasm-timer",
+]
+
+[[package]]
+name = "resvg"
+version = "0.29.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "76888219c0881e22b0ceab06fddcfe83163cd81642bd60c7842387f9c968a72e"
+dependencies = [
+ "gif",
+ "jpeg-decoder",
+ "log",
+ "pico-args",
+ "png",
+ "rgb",
+ "svgfilters",
+ "svgtypes 0.10.0",
+ "tiny-skia",
+ "usvg",
+ "usvg-text-layout",
+]
+
+[[package]]
+name = "retry-policies"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "17dd00bff1d737c40dbcd47d4375281bf4c17933f9eef0a185fc7bacca23ecbd"
+dependencies = [
+ "anyhow",
+ "chrono",
+ "rand",
+]
+
+[[package]]
+name = "rgb"
+version = "0.8.37"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "05aaa8004b64fd573fc9d002f4e632d51ad4f026c2b5ba95fcb6c2f32c2c47d8"
+dependencies = [
+ "bytemuck",
+]
+
+[[package]]
+name = "ring"
+version = "0.17.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c17fa4cb658e3583423e915b9f3acc01cceaee1860e33d59ebae66adc3a2dc0d"
+dependencies = [
+ "cc",
+ "cfg-if",
+ "getrandom",
+ "libc",
+ "spin",
+ "untrusted",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "rkyv"
+version = "0.7.44"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5cba464629b3394fc4dbc6f940ff8f5b4ff5c7aef40f29166fd4ad12acbc99c0"
+dependencies = [
+ "bitvec",
+ "bytecheck",
+ "bytes",
+ "hashbrown 0.12.3",
+ "ptr_meta",
+ "rend",
+ "rkyv_derive",
+ "seahash",
+ "tinyvec",
+ "uuid",
+]
+
+[[package]]
+name = "rkyv_derive"
+version = "0.7.44"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a7dddfff8de25e6f62b9d64e6e432bf1c6736c57d20323e15ee10435fbda7c65"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 1.0.109",
+]
+
+[[package]]
+name = "rmp"
+version = "0.8.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7f9860a6cc38ed1da53456442089b4dfa35e7cedaa326df63017af88385e6b20"
+dependencies = [
+ "byteorder",
+ "num-traits",
+ "paste",
+]
+
+[[package]]
+name = "rmp-serde"
+version = "1.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bffea85eea980d8a74453e5d02a8d93028f3c34725de143085a844ebe953258a"
+dependencies = [
+ "byteorder",
+ "rmp",
+ "serde",
+]
+
+[[package]]
+name = "rosvgtree"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bdc23d1ace03d6b8153c7d16f0708cd80b61ee8e80304954803354e67e40d150"
+dependencies = [
+ "log",
+ "roxmltree 0.18.1",
+ "simplecss",
+ "siphasher",
+ "svgtypes 0.9.0",
+]
+
+[[package]]
+name = "roxmltree"
+version = "0.18.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "862340e351ce1b271a378ec53f304a5558f7db87f3769dc655a8f6ecbb68b302"
+dependencies = [
+ "xmlparser",
+]
+
+[[package]]
+name = "roxmltree"
+version = "0.19.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3cd14fd5e3b777a7422cca79358c57a8f6e3a703d9ac187448d0daf220c2407f"
+
+[[package]]
+name = "rust-netrc"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "32662f97cbfdbad9d5f78f1338116f06871e7dae4fd37e9f59a0f57cf2044868"
+dependencies = [
+ "thiserror",
+]
+
+[[package]]
+name = "rustc-demangle"
+version = "0.1.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d626bb9dae77e28219937af045c257c28bfd3f69333c512553507f5f9798cb76"
+
+[[package]]
+name = "rustc-hash"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "08d43f7aa6b08d49f382cde6a7982047c3426db949b1424bc4b7ec9ae12c6ce2"
+
+[[package]]
+name = "rustix"
+version = "0.38.31"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6ea3e1a662af26cd7a3ba09c0297a31af215563ecf42817c98df621387f4e949"
+dependencies = [
+ "bitflags 2.4.2",
+ "errno",
+ "libc",
+ "linux-raw-sys",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "rustls"
+version = "0.21.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f9d5a6813c0759e4609cd494e8e725babae6a2ca7b62a5536a13daaec6fcb7ba"
+dependencies = [
+ "log",
+ "ring",
+ "rustls-webpki",
+ "sct",
+]
+
+[[package]]
+name = "rustls-native-certs"
+version = "0.6.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a9aace74cb666635c918e9c12bc0d348266037aa8eb599b5cba565709a8dff00"
+dependencies = [
+ "openssl-probe",
+ "rustls-pemfile",
+ "schannel",
+ "security-framework",
+]
+
+[[package]]
+name = "rustls-pemfile"
+version = "1.0.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1c74cae0a4cf6ccbbf5f359f08efdf8ee7e1dc532573bf0db71968cb56b1448c"
+dependencies = [
+ "base64 0.21.7",
+]
+
+[[package]]
+name = "rustls-webpki"
+version = "0.101.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8b6275d1ee7a1cd780b64aca7726599a1dbc893b1e64144529e55c3c2f745765"
+dependencies = [
+ "ring",
+ "untrusted",
+]
+
+[[package]]
+name = "rustybuzz"
+version = "0.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "162bdf42e261bee271b3957691018634488084ef577dddeb6420a9684cab2a6a"
+dependencies = [
+ "bitflags 1.3.2",
+ "bytemuck",
+ "smallvec",
+ "ttf-parser",
+ "unicode-bidi-mirroring",
+ "unicode-ccc",
+ "unicode-general-category",
+ "unicode-script",
+]
+
+[[package]]
+name = "ryu"
+version = "1.0.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e86697c916019a8588c99b5fac3cead74ec0b4b819707a682fd4d23fa0ce1ba1"
+
+[[package]]
+name = "safemem"
+version = "0.3.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ef703b7cb59335eae2eb93ceb664c0eb7ea6bf567079d843e09420219668e072"
+
+[[package]]
+name = "same-file"
+version = "1.0.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "93fc1dc3aaa9bfed95e02e6eadabb4baf7e3078b0bd1b4d7b6b0b68378900502"
+dependencies = [
+ "winapi-util",
+]
+
+[[package]]
+name = "schannel"
+version = "0.1.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "fbc91545643bcf3a0bbb6569265615222618bdf33ce4ffbbd13c4bbd4c093534"
+dependencies = [
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "scopeguard"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "94143f37725109f92c262ed2cf5e59bce7498c01bcc1502d7b9afe439a4e9f49"
+
+[[package]]
+name = "sct"
+version = "0.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "da046153aa2352493d6cb7da4b6e5c0c057d8a1d0a9aa8560baffdd945acd414"
+dependencies = [
+ "ring",
+ "untrusted",
+]
+
+[[package]]
+name = "seahash"
+version = "4.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1c107b6f4780854c8b126e228ea8869f4d7b71260f962fefb57b996b8959ba6b"
+
+[[package]]
+name = "security-framework"
+version = "2.9.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "05b64fb303737d99b81884b2c63433e9ae28abebe5eb5045dcdd175dc2ecf4de"
+dependencies = [
+ "bitflags 1.3.2",
+ "core-foundation",
+ "core-foundation-sys",
+ "libc",
+ "security-framework-sys",
+]
+
+[[package]]
+name = "security-framework-sys"
+version = "2.9.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e932934257d3b408ed8f30db49d85ea163bfe74961f017f405b025af298f0c7a"
+dependencies = [
+ "core-foundation-sys",
+ "libc",
+]
+
+[[package]]
+name = "serde"
+version = "1.0.197"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3fb1c873e1b9b056a4dc4c0c198b24c3ffa059243875552b2bd0933b1aee4ce2"
+dependencies = [
+ "serde_derive",
+]
+
+[[package]]
+name = "serde_derive"
+version = "1.0.197"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7eb0b34b42edc17f6b7cac84a52a1c5f0e1bb2227e997ca9011ea3dd34e8610b"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "serde_json"
+version = "1.0.114"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c5f09b1bd632ef549eaa9f60a1f8de742bdbc698e6cee2095fc84dde5f549ae0"
+dependencies = [
+ "itoa",
+ "ryu",
+ "serde",
+]
+
+[[package]]
+name = "serde_spanned"
+version = "0.6.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eb3622f419d1296904700073ea6cc23ad690adbd66f13ea683df73298736f0c1"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "serde_urlencoded"
+version = "0.7.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d3491c14715ca2294c4d6a88f15e84739788c1d030eed8c110436aafdaa2f3fd"
+dependencies = [
+ "form_urlencoded",
+ "itoa",
+ "ryu",
+ "serde",
+]
+
+[[package]]
+name = "sha1"
+version = "0.10.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e3bf829a2d51ab4a5ddf1352d8470c140cadc8301b2ae1789db023f01cedd6ba"
+dependencies = [
+ "cfg-if",
+ "cpufeatures",
+ "digest",
+]
+
+[[package]]
+name = "sha2"
+version = "0.10.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "793db75ad2bcafc3ffa7c68b215fee268f537982cd901d132f89c6343f3a3dc8"
+dependencies = [
+ "cfg-if",
+ "cpufeatures",
+ "digest",
+]
+
+[[package]]
+name = "sharded-slab"
+version = "0.1.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f40ca3c46823713e0d4209592e8d6e826aa57e928f09752619fc696c499637f6"
+dependencies = [
+ "lazy_static",
+]
+
+[[package]]
+name = "shell-escape"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "45bb67a18fa91266cc7807181f62f9178a6873bfad7dc788c42e6430db40184f"
+
+[[package]]
+name = "signal-hook-registry"
+version = "1.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d8229b473baa5980ac72ef434c4415e70c4b5e71b423043adb4ba059f89c99a1"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "simd-adler32"
+version = "0.3.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d66dc143e6b11c1eddc06d5c423cfc97062865baf299914ab64caa38182078fe"
+
+[[package]]
+name = "simdutf8"
+version = "0.1.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f27f6278552951f1f2b8cf9da965d10969b2efdea95a6ec47987ab46edfe263a"
+
+[[package]]
+name = "similar"
+version = "2.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "32fea41aca09ee824cc9724996433064c89f7777e60762749a4170a14abbfa21"
+
+[[package]]
+name = "simplecss"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a11be7c62927d9427e9f40f3444d5499d868648e2edbc4e2116de69e7ec0e89d"
+dependencies = [
+ "log",
+]
+
+[[package]]
+name = "siphasher"
+version = "0.3.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "38b58827f4464d87d377d175e90bf58eb00fd8716ff0a62f80356b5e61555d0d"
+
+[[package]]
+name = "slab"
+version = "0.4.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f92a496fb766b417c996b9c5e57daf2f7ad3b0bebe1ccfca4856390e3d3bb67"
+dependencies = [
+ "autocfg",
+]
+
+[[package]]
+name = "smallvec"
+version = "1.13.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e6ecd384b10a64542d77071bd64bd7b231f4ed5940fba55e98c3de13824cf3d7"
+
+[[package]]
+name = "smawk"
+version = "0.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b7c388c1b5e93756d0c740965c41e8822f866621d41acbdf6336a6a168f8840c"
+
+[[package]]
+name = "socket2"
+version = "0.5.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "05ffd9c0a93b7543e062e759284fcf5f5e3b098501104bfbdde4d404db792871"
+dependencies = [
+ "libc",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "spin"
+version = "0.9.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6980e8d7511241f8acf4aebddbb1ff938df5eebe98691418c4468d0b72a96a67"
+
+[[package]]
+name = "strict-num"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6637bab7722d379c8b41ba849228d680cc12d0a45ba1fa2b48f2a30577a06731"
+dependencies = [
+ "float-cmp",
+]
+
+[[package]]
+name = "strsim"
+version = "0.11.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5ee073c9e4cd00e28217186dbe12796d692868f432bf2e97ee73bed0c56dfa01"
+
+[[package]]
+name = "subtle"
+version = "2.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "81cdd64d312baedb58e21336b31bc043b77e01cc99033ce76ef539f78e965ebc"
+
+[[package]]
+name = "supports-color"
+version = "3.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9829b314621dfc575df4e409e79f9d6a66a3bd707ab73f23cb4aa3a854ac854f"
+dependencies = [
+ "is_ci",
+]
+
+[[package]]
+name = "supports-hyperlinks"
+version = "3.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2c0a1e5168041f5f3ff68ff7d95dcb9c8749df29f6e7e89ada40dd4c9de404ee"
+
+[[package]]
+name = "supports-unicode"
+version = "3.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b7401a30af6cb5818bb64852270bb722533397edcfc7344954a38f420819ece2"
+
+[[package]]
+name = "svg"
+version = "0.15.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "683eed9bd9a2b078f92f87d166db38292e8114ab16d4cf23787ad4eecd1bb6e5"
+
+[[package]]
+name = "svgfilters"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "639abcebc15fdc2df179f37d6f5463d660c1c79cd552c12343a4600827a04bce"
+dependencies = [
+ "float-cmp",
+ "rgb",
+]
+
+[[package]]
+name = "svgtypes"
+version = "0.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c9ee29c1407a5b18ccfe5f6ac82ac11bab3b14407e09c209a6c1a32098b19734"
+dependencies = [
+ "kurbo 0.8.3",
+ "siphasher",
+]
+
+[[package]]
+name = "svgtypes"
+version = "0.10.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "98ffacedcdcf1da6579c907279b4f3c5492fbce99fbbf227f5ed270a589c2765"
+dependencies = [
+ "kurbo 0.9.5",
+ "siphasher",
+]
+
+[[package]]
+name = "syn"
+version = "1.0.109"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "72b64191b275b66ffe2469e8af2c1cfe3bafa67b529ead792a6d0160888b4237"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "unicode-ident",
+]
+
+[[package]]
+name = "syn"
+version = "2.0.52"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b699d15b36d1f02c3e7c69f8ffef53de37aefae075d8488d4ba1a7788d574a07"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "unicode-ident",
+]
+
+[[package]]
+name = "sync_wrapper"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2047c6ded9c721764247e62cd3b03c09ffc529b2ba5b10ec482ae507a4a70160"
+
+[[package]]
+name = "sys-info"
+version = "0.9.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0b3a0d0aba8bf96a0e1ddfdc352fc53b3df7f39318c71854910c3c4b024ae52c"
+dependencies = [
+ "cc",
+ "libc",
+]
+
+[[package]]
+name = "system-configuration"
+version = "0.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ba3a3adc5c275d719af8cb4272ea1c4a6d668a777f37e115f6d11ddbc1c8e0e7"
+dependencies = [
+ "bitflags 1.3.2",
+ "core-foundation",
+ "system-configuration-sys",
+]
+
+[[package]]
+name = "system-configuration-sys"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a75fb188eb626b924683e3b95e3a48e63551fcfb51949de2f06a9d91dbee93c9"
+dependencies = [
+ "core-foundation-sys",
+ "libc",
+]
+
+[[package]]
+name = "tagu"
+version = "0.1.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eddb6b06d20fba9ed21fca3d696ee1b6e870bca0bcf9fa2971f6ae2436de576a"
+
+[[package]]
+name = "tap"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "55937e1799185b12863d447f42597ed69d9928686b8d88a1df17376a097d8369"
+
+[[package]]
+name = "target-lexicon"
+version = "0.12.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e1fc403891a21bcfb7c37834ba66a547a8f402146eba7265b5a6d88059c9ff2f"
+
+[[package]]
+name = "task-local-extensions"
+version = "0.1.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ba323866e5d033818e3240feeb9f7db2c4296674e4d9e16b97b7bf8f490434e8"
+dependencies = [
+ "pin-utils",
+]
+
+[[package]]
+name = "tempfile"
+version = "3.10.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "85b77fafb263dd9d05cbeac119526425676db3784113aa9295c88498cbf8bff1"
+dependencies = [
+ "cfg-if",
+ "fastrand",
+ "rustix",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "terminal_size"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "21bebf2b7c9e0a515f6e0f8c51dc0f8e4696391e6f1ff30379559f8365fb0df7"
+dependencies = [
+ "rustix",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "termtree"
+version = "0.4.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3369f5ac52d5eb6ab48c6b4ffdc8efbcad6b89c765749064ba298f2c68a16a76"
+
+[[package]]
+name = "test-case"
+version = "3.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "eb2550dd13afcd286853192af8601920d959b14c401fcece38071d53bf0768a8"
+dependencies = [
+ "test-case-macros",
+]
+
+[[package]]
+name = "test-case-core"
+version = "3.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "adcb7fd841cd518e279be3d5a3eb0636409487998a4aff22f3de87b81e88384f"
+dependencies = [
+ "cfg-if",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "test-case-macros"
+version = "3.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5c89e72a01ed4c579669add59014b9a524d609c0c88c6a585ce37485879f6ffb"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+ "test-case-core",
+]
+
+[[package]]
+name = "testing_logger"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6d92b727cb45d33ae956f7f46b966b25f1bc712092aeef9dba5ac798fc89f720"
+dependencies = [
+ "log",
+]
+
+[[package]]
+name = "textwrap"
+version = "0.16.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "23d434d3f8967a09480fb04132ebe0a3e088c173e6d0ee7897abbdf4eab0f8b9"
+dependencies = [
+ "smawk",
+ "unicode-linebreak",
+ "unicode-width",
+]
+
+[[package]]
+name = "thiserror"
+version = "1.0.58"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "03468839009160513471e86a034bb2c5c0e4baae3b43f79ffc55c4a5427b3297"
+dependencies = [
+ "thiserror-impl",
+]
+
+[[package]]
+name = "thiserror-impl"
+version = "1.0.58"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c61f3ba182994efc43764a46c018c347bc492c79f024e705f46567b418f6d4f7"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "thread_local"
+version = "1.1.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8b9ef9bad013ada3808854ceac7b46812a6465ba368859a37e2100283d2d719c"
+dependencies = [
+ "cfg-if",
+ "once_cell",
+]
+
+[[package]]
+name = "tikv-jemalloc-sys"
+version = "0.5.4+5.3.0-patched"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9402443cb8fd499b6f327e40565234ff34dbda27460c5b47db0db77443dd85d1"
+dependencies = [
+ "cc",
+ "libc",
+]
+
+[[package]]
+name = "tikv-jemallocator"
+version = "0.5.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "965fe0c26be5c56c94e38ba547249074803efd52adfb66de62107d95aab3eaca"
+dependencies = [
+ "libc",
+ "tikv-jemalloc-sys",
+]
+
+[[package]]
+name = "time"
+version = "0.3.34"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c8248b6521bb14bc45b4067159b9b6ad792e2d6d754d6c41fb50e29fefe38749"
+dependencies = [
+ "deranged",
+ "itoa",
+ "num-conv",
+ "powerfmt",
+ "serde",
+ "time-core",
+ "time-macros",
+]
+
+[[package]]
+name = "time-core"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ef927ca75afb808a4d64dd374f00a2adf8d0fcff8e7b184af886c3c87ec4a3f3"
+
+[[package]]
+name = "time-macros"
+version = "0.2.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7ba3a3ef41e6672a2f0f001392bb5dcd3ff0a9992d618ca761a11c3121547774"
+dependencies = [
+ "num-conv",
+ "time-core",
+]
+
+[[package]]
+name = "tiny-skia"
+version = "0.8.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "df8493a203431061e901613751931f047d1971337153f96d0e5e363d6dbf6a67"
+dependencies = [
+ "arrayref",
+ "arrayvec",
+ "bytemuck",
+ "cfg-if",
+ "png",
+ "tiny-skia-path",
+]
+
+[[package]]
+name = "tiny-skia-path"
+version = "0.8.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "adbfb5d3f3dd57a0e11d12f4f13d4ebbbc1b5c15b7ab0a156d030b21da5f677c"
+dependencies = [
+ "arrayref",
+ "bytemuck",
+ "strict-num",
+]
+
+[[package]]
+name = "tinytemplate"
+version = "1.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "be4d6b5f19ff7664e8c98d03e2139cb510db9b0a60b55f8e8709b689d939b6bc"
+dependencies = [
+ "serde",
+ "serde_json",
+]
+
+[[package]]
+name = "tinyvec"
+version = "1.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "87cc5ceb3875bb20c2890005a4e226a4651264a5c75edb2421b52861a0a0cb50"
+dependencies = [
+ "tinyvec_macros",
+]
+
+[[package]]
+name = "tinyvec_macros"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20"
+
+[[package]]
+name = "tl"
+version = "0.7.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b130bd8a58c163224b44e217b4239ca7b927d82bf6cc2fea1fc561d15056e3f7"
+
+[[package]]
+name = "tokio"
+version = "1.36.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "61285f6515fa018fb2d1e46eb21223fff441ee8db5d0f1435e8ab4f5cdb80931"
+dependencies = [
+ "backtrace",
+ "bytes",
+ "libc",
+ "mio",
+ "num_cpus",
+ "pin-project-lite",
+ "signal-hook-registry",
+ "socket2",
+ "tokio-macros",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "tokio-macros"
+version = "2.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5b8a1e28f2deaa14e508979454cb3a223b10b938b45af148bc0986de36f1923b"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "tokio-rustls"
+version = "0.24.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c28327cf380ac148141087fbfb9de9d7bd4e84ab5d2c28fbc911d753de8a7081"
+dependencies = [
+ "rustls",
+ "tokio",
+]
+
+[[package]]
+name = "tokio-stream"
+version = "0.1.14"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "397c988d37662c7dda6d2208364a706264bf3d6138b11d436cbac0ad38832842"
+dependencies = [
+ "futures-core",
+ "pin-project-lite",
+ "tokio",
+ "tokio-util",
+]
+
+[[package]]
+name = "tokio-tar"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9d5714c010ca3e5c27114c1cdeb9d14641ace49874aa5626d7149e47aedace75"
+dependencies = [
+ "filetime",
+ "futures-core",
+ "libc",
+ "redox_syscall 0.3.5",
+ "tokio",
+ "tokio-stream",
+ "xattr",
+]
+
+[[package]]
+name = "tokio-util"
+version = "0.7.10"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5419f34732d9eb6ee4c3578b7989078579b7f039cbbb9ca2c4da015749371e15"
+dependencies = [
+ "bytes",
+ "futures-core",
+ "futures-io",
+ "futures-sink",
+ "pin-project-lite",
+ "tokio",
+ "tracing",
+]
+
+[[package]]
+name = "toml"
+version = "0.8.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "af06656561d28735e9c1cd63dfd57132c8155426aa6af24f36a00a351f88c48e"
+dependencies = [
+ "serde",
+ "serde_spanned",
+ "toml_datetime",
+ "toml_edit",
+]
+
+[[package]]
+name = "toml_datetime"
+version = "0.6.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3550f4e9685620ac18a50ed434eb3aec30db8ba93b0287467bca5826ea25baf1"
+dependencies = [
+ "serde",
+]
+
+[[package]]
+name = "toml_edit"
+version = "0.22.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "18769cd1cec395d70860ceb4d932812a0b4d06b1a4bb336745a4d21b9496e992"
+dependencies = [
+ "indexmap 2.2.5",
+ "serde",
+ "serde_spanned",
+ "toml_datetime",
+ "winnow",
+]
+
+[[package]]
+name = "tower-service"
+version = "0.3.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b6bc1c9ce2b5135ac7f93c72918fc37feb872bdc6a5533a8b85eb4b86bfdae52"
+
+[[package]]
+name = "tracing"
+version = "0.1.40"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c3523ab5a71916ccf420eebdf5521fcef02141234bbc0b8a49f2fdc4544364ef"
+dependencies = [
+ "log",
+ "pin-project-lite",
+ "tracing-attributes",
+ "tracing-core",
+]
+
+[[package]]
+name = "tracing-attributes"
+version = "0.1.27"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "34704c8d6ebcbc939824180af020566b01a7c01f80641264eba0999f6c2b6be7"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "tracing-core"
+version = "0.1.32"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c06d3da6113f116aaee68e4d601191614c9053067f9ab7f6edbcb161237daa54"
+dependencies = [
+ "once_cell",
+ "valuable",
+]
+
+[[package]]
+name = "tracing-durations-export"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "35b910b25a6c8e0fefcfff912bad6c4f4849d37e5945c3861d15e550d819da53"
+dependencies = [
+ "anyhow",
+ "fs-err",
+ "itertools 0.12.1",
+ "once_cell",
+ "rustc-hash",
+ "serde",
+ "serde_json",
+ "svg",
+ "tracing",
+ "tracing-subscriber",
+]
+
+[[package]]
+name = "tracing-indicatif"
+version = "0.3.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "069580424efe11d97c3fef4197fa98c004fa26672cc71ad8770d224e23b1951d"
+dependencies = [
+ "indicatif",
+ "tracing",
+ "tracing-core",
+ "tracing-subscriber",
+]
+
+[[package]]
+name = "tracing-log"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ee855f1f400bd0e5c02d150ae5de3840039a3f54b025156404e34c23c03f47c3"
+dependencies = [
+ "log",
+ "once_cell",
+ "tracing-core",
+]
+
+[[package]]
+name = "tracing-serde"
+version = "0.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bc6b213177105856957181934e4920de57730fc69bf42c37ee5bb664d406d9e1"
+dependencies = [
+ "serde",
+ "tracing-core",
+]
+
+[[package]]
+name = "tracing-subscriber"
+version = "0.3.18"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ad0f048c97dbd9faa9b7df56362b8ebcaa52adb06b498c050d2f4e32f90a7a8b"
+dependencies = [
+ "matchers",
+ "nu-ansi-term 0.46.0",
+ "once_cell",
+ "regex",
+ "serde",
+ "serde_json",
+ "sharded-slab",
+ "smallvec",
+ "thread_local",
+ "tracing",
+ "tracing-core",
+ "tracing-log",
+ "tracing-serde",
+]
+
+[[package]]
+name = "tracing-tree"
+version = "0.3.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "65139ecd2c3f6484c3b99bc01c77afe21e95473630747c7aca525e78b0666675"
+dependencies = [
+ "nu-ansi-term 0.49.0",
+ "tracing-core",
+ "tracing-log",
+ "tracing-subscriber",
+]
+
+[[package]]
+name = "try-lock"
+version = "0.2.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e421abadd41a4225275504ea4d6566923418b7f05506fbc9c0fe86ba7396114b"
+
+[[package]]
+name = "ttf-parser"
+version = "0.18.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0609f771ad9c6155384897e1df4d948e692667cc0588548b68eb44d052b27633"
+
+[[package]]
+name = "typenum"
+version = "1.17.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "42ff0bf0c66b8238c6f3b578df37d0b7848e55df8577b3f74f92a69acceeb825"
+
+[[package]]
+name = "unicase"
+version = "2.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f7d2d4dafb69621809a81864c9c1b864479e1235c0dd4e199924b9742439ed89"
+dependencies = [
+ "version_check",
+]
+
+[[package]]
+name = "unicode-bidi"
+version = "0.3.15"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "08f95100a766bf4f8f28f90d77e0a5461bbdb219042e7679bebe79004fed8d75"
+
+[[package]]
+name = "unicode-bidi-mirroring"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "56d12260fb92d52f9008be7e4bca09f584780eb2266dc8fecc6a192bec561694"
+
+[[package]]
+name = "unicode-ccc"
+version = "0.1.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cc2520efa644f8268dce4dcd3050eaa7fc044fca03961e9998ac7e2e92b77cf1"
+
+[[package]]
+name = "unicode-general-category"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2281c8c1d221438e373249e065ca4989c4c36952c211ff21a0ee91c44a3869e7"
+
+[[package]]
+name = "unicode-ident"
+version = "1.0.12"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3354b9ac3fae1ff6755cb6db53683adb661634f67557942dea4facebec0fee4b"
+
+[[package]]
+name = "unicode-linebreak"
+version = "0.1.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3b09c83c3c29d37506a3e260c08c03743a6bb66a9cd432c6934ab501a190571f"
+
+[[package]]
+name = "unicode-normalization"
+version = "0.1.23"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a56d1686db2308d901306f92a263857ef59ea39678a5458e7cb17f01415101f5"
+dependencies = [
+ "tinyvec",
+]
+
+[[package]]
+name = "unicode-script"
+version = "0.5.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ad8d71f5726e5f285a935e9fe8edfd53f0491eb6e9a5774097fdabee7cd8c9cd"
+
+[[package]]
+name = "unicode-vo"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b1d386ff53b415b7fe27b50bb44679e2cc4660272694b7b6f3326d8480823a94"
+
+[[package]]
+name = "unicode-width"
+version = "0.1.11"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e51733f11c9c4f72aa0c160008246859e340b00807569a0da0e7a1079b27ba85"
+
+[[package]]
+name = "unindent"
+version = "0.2.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "c7de7d73e1754487cb58364ee906a499937a0dfabd86bcb980fa99ec8c8fa2ce"
+
+[[package]]
+name = "unscanny"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e9df2af067a7953e9c3831320f35c1cc0600c30d44d9f7a12b01db1cd88d6b47"
+
+[[package]]
+name = "untrusted"
+version = "0.9.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8ecb6da28b8a351d773b68d5825ac39017e680750f980f3a1a85cd8dd28a47c1"
+
+[[package]]
+name = "url"
+version = "2.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "31e6302e3bb753d46e83516cae55ae196fc0c309407cf11ab35cc51a4c2a4633"
+dependencies = [
+ "form_urlencoded",
+ "idna",
+ "percent-encoding",
+ "serde",
+]
+
+[[package]]
+name = "urlencoding"
+version = "2.1.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "daf8dba3b7eb870caf1ddeed7bc9d2a049f3cfdfae7cb521b087cc33ae4c49da"
+
+[[package]]
+name = "usvg"
+version = "0.29.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "63b6bb4e62619d9f68aa2d8a823fea2bff302340a1f2d45c264d5b0be170832e"
+dependencies = [
+ "base64 0.21.7",
+ "data-url",
+ "flate2",
+ "imagesize",
+ "kurbo 0.9.5",
+ "log",
+ "rctree",
+ "rosvgtree",
+ "strict-num",
+]
+
+[[package]]
+name = "usvg-text-layout"
+version = "0.29.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "195386e01bc35f860db024de275a76e7a31afdf975d18beb6d0e44764118b4db"
+dependencies = [
+ "fontdb",
+ "kurbo 0.9.5",
+ "log",
+ "rustybuzz",
+ "unicode-bidi",
+ "unicode-script",
+ "unicode-vo",
+ "usvg",
+]
+
+[[package]]
+name = "utf8-width"
+version = "0.1.7"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "86bd8d4e895da8537e5315b8254664e6b769c4ff3db18321b297a1e7004392e3"
+
+[[package]]
+name = "utf8parse"
+version = "0.2.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "711b9620af191e0cdc7468a8d14e709c3dcdb115b36f838e601583af800a370a"
+
+[[package]]
+name = "uuid"
+version = "1.7.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f00cc9702ca12d3c81455259621e676d0f7251cec66a21e98fe2e9a37db93b2a"
+
+[[package]]
+name = "uv"
+version = "0.1.22"
+dependencies = [
+ "anstream",
+ "anyhow",
+ "assert_cmd",
+ "assert_fs",
+ "base64 0.21.7",
+ "byteorder",
+ "chrono",
+ "clap",
+ "clap_complete_command",
+ "console",
+ "ctrlc",
+ "distribution-filename",
+ "distribution-types",
+ "dunce",
+ "filetime",
+ "flate2",
+ "fs-err",
+ "futures",
+ "indexmap 2.2.5",
+ "indicatif",
+ "indoc",
+ "insta",
+ "install-wheel-rs",
+ "itertools 0.12.1",
+ "miette",
+ "mimalloc",
+ "owo-colors 4.0.0",
+ "pep440_rs",
+ "pep508_rs",
+ "platform-tags",
+ "predicates",
+ "pubgrub",
+ "pypi-types",
+ "pyproject-toml",
+ "regex",
+ "requirements-txt",
+ "reqwest",
+ "rustc-hash",
+ "serde",
+ "serde_json",
+ "tempfile",
+ "textwrap",
+ "thiserror",
+ "tikv-jemallocator",
+ "tokio",
+ "toml",
+ "tracing",
+ "tracing-durations-export",
+ "tracing-subscriber",
+ "tracing-tree",
+ "unicode-width",
+ "url",
+ "uv-auth",
+ "uv-build",
+ "uv-cache",
+ "uv-client",
+ "uv-dispatch",
+ "uv-distribution",
+ "uv-fs",
+ "uv-installer",
+ "uv-interpreter",
+ "uv-normalize",
+ "uv-resolver",
+ "uv-traits",
+ "uv-version",
+ "uv-virtualenv",
+ "uv-warnings",
+ "which",
+]
+
+[[package]]
+name = "uv-auth"
+version = "0.0.1"
+dependencies = [
+ "async-trait",
+ "base64 0.21.7",
+ "clap",
+ "once_cell",
+ "reqwest",
+ "reqwest-middleware",
+ "rust-netrc",
+ "task-local-extensions",
+ "tempfile",
+ "thiserror",
+ "tokio",
+ "tracing",
+ "url",
+ "wiremock",
+]
+
+[[package]]
+name = "uv-build"
+version = "0.0.1"
+dependencies = [
+ "anyhow",
+ "distribution-types",
+ "fs-err",
+ "indoc",
+ "insta",
+ "itertools 0.12.1",
+ "once_cell",
+ "pep440_rs",
+ "pep508_rs",
+ "pypi-types",
+ "pyproject-toml",
+ "regex",
+ "rustc-hash",
+ "serde",
+ "serde_json",
+ "tempfile",
+ "thiserror",
+ "tokio",
+ "toml",
+ "tracing",
+ "uv-fs",
+ "uv-interpreter",
+ "uv-traits",
+ "uv-virtualenv",
+]
+
+[[package]]
+name = "uv-cache"
+version = "0.0.1"
+dependencies = [
+ "cache-key",
+ "cachedir",
+ "clap",
+ "directories",
+ "distribution-types",
+ "fs-err",
+ "nanoid",
+ "pypi-types",
+ "serde",
+ "tempfile",
+ "tracing",
+ "url",
+ "uv-fs",
+ "uv-normalize",
+ "walkdir",
+]
+
+[[package]]
+name = "uv-client"
+version = "0.0.1"
+dependencies = [
+ "anyhow",
+ "async-trait",
+ "async_http_range_reader",
+ "async_zip",
+ "cache-key",
+ "chrono",
+ "distribution-filename",
+ "distribution-types",
+ "fs-err",
+ "futures",
+ "html-escape",
+ "http 0.2.12",
+ "hyper 0.14.28",
+ "insta",
+ "install-wheel-rs",
+ "os_info",
+ "pep440_rs",
+ "pep508_rs",
+ "platform-tags",
+ "plist",
+ "pypi-types",
+ "reqwest",
+ "reqwest-middleware",
+ "reqwest-retry",
+ "rkyv",
+ "rmp-serde",
+ "rustc-hash",
+ "rustls",
+ "rustls-native-certs",
+ "serde",
+ "serde_json",
+ "sha2",
+ "sys-info",
+ "task-local-extensions",
+ "tempfile",
+ "thiserror",
+ "tl",
+ "tokio",
+ "tokio-util",
+ "tracing",
+ "url",
+ "urlencoding",
+ "uv-auth",
+ "uv-cache",
+ "uv-fs",
+ "uv-interpreter",
+ "uv-normalize",
+ "uv-version",
+ "uv-warnings",
+ "webpki-roots",
+]
+
+[[package]]
+name = "uv-dev"
+version = "0.0.1"
+dependencies = [
+ "anstream",
+ "anyhow",
+ "chrono",
+ "clap",
+ "distribution-filename",
+ "distribution-types",
+ "fs-err",
+ "futures",
+ "indicatif",
+ "install-wheel-rs",
+ "itertools 0.12.1",
+ "mimalloc",
+ "owo-colors 4.0.0",
+ "pep440_rs",
+ "pep508_rs",
+ "petgraph",
+ "platform-tags",
+ "poloto",
+ "pypi-types",
+ "resvg",
+ "rustc-hash",
+ "serde",
+ "serde_json",
+ "tagu",
+ "tempfile",
+ "tikv-jemallocator",
+ "tokio",
+ "tracing",
+ "tracing-durations-export",
+ "tracing-indicatif",
+ "tracing-subscriber",
+ "url",
+ "uv-build",
+ "uv-cache",
+ "uv-client",
+ "uv-dispatch",
+ "uv-distribution",
+ "uv-installer",
+ "uv-interpreter",
+ "uv-normalize",
+ "uv-resolver",
+ "uv-traits",
+ "uv-virtualenv",
+ "walkdir",
+ "which",
+]
+
+[[package]]
+name = "uv-dispatch"
+version = "0.0.1"
+dependencies = [
+ "anyhow",
+ "distribution-types",
+ "fs-err",
+ "futures",
+ "itertools 0.12.1",
+ "pep508_rs",
+ "platform-tags",
+ "pypi-types",
+ "rustc-hash",
+ "tempfile",
+ "tokio",
+ "tracing",
+ "uv-build",
+ "uv-cache",
+ "uv-client",
+ "uv-distribution",
+ "uv-installer",
+ "uv-interpreter",
+ "uv-resolver",
+ "uv-traits",
+ "uv-virtualenv",
+]
+
+[[package]]
+name = "uv-distribution"
+version = "0.0.1"
+dependencies = [
+ "anyhow",
+ "cache-key",
+ "distribution-filename",
+ "distribution-types",
+ "fs-err",
+ "futures",
+ "install-wheel-rs",
+ "nanoid",
+ "pep440_rs",
+ "pep508_rs",
+ "platform-tags",
+ "pypi-types",
+ "reqwest",
+ "rmp-serde",
+ "rustc-hash",
+ "serde",
+ "tempfile",
+ "thiserror",
+ "tokio",
+ "tokio-util",
+ "tracing",
+ "url",
+ "uv-cache",
+ "uv-client",
+ "uv-extract",
+ "uv-fs",
+ "uv-git",
+ "uv-normalize",
+ "uv-traits",
+ "zip",
+]
+
+[[package]]
+name = "uv-extract"
+version = "0.0.1"
+dependencies = [
+ "async-compression",
+ "async_zip",
+ "flate2",
+ "fs-err",
+ "futures",
+ "rayon",
+ "rustc-hash",
+ "thiserror",
+ "tokio",
+ "tokio-tar",
+ "tokio-util",
+ "tracing",
+ "zip",
+]
+
+[[package]]
+name = "uv-fs"
+version = "0.0.1"
+dependencies = [
+ "backoff",
+ "dunce",
+ "encoding_rs_io",
+ "fs-err",
+ "fs2",
+ "junction",
+ "tempfile",
+ "tokio",
+ "tracing",
+ "urlencoding",
+ "uv-warnings",
+]
+
+[[package]]
+name = "uv-git"
+version = "0.0.1"
+dependencies = [
+ "anyhow",
+ "base64 0.21.7",
+ "cache-key",
+ "cargo-util",
+ "fs-err",
+ "git2",
+ "glob",
+ "hex",
+ "hmac",
+ "home",
+ "once_cell",
+ "rand",
+ "reqwest",
+ "serde",
+ "sha1",
+ "tokio",
+ "tracing",
+ "url",
+ "uv-fs",
+]
+
+[[package]]
+name = "uv-installer"
+version = "0.0.1"
+dependencies = [
+ "anyhow",
+ "async-channel",
+ "distribution-filename",
+ "distribution-types",
+ "fs-err",
+ "futures",
+ "install-wheel-rs",
+ "once-map",
+ "pep440_rs",
+ "pep508_rs",
+ "platform-tags",
+ "pypi-types",
+ "pyproject-toml",
+ "rayon",
+ "requirements-txt",
+ "rustc-hash",
+ "serde",
+ "tempfile",
+ "thiserror",
+ "tokio",
+ "toml",
+ "tracing",
+ "url",
+ "uv-cache",
+ "uv-client",
+ "uv-distribution",
+ "uv-extract",
+ "uv-fs",
+ "uv-git",
+ "uv-interpreter",
+ "uv-normalize",
+ "uv-traits",
+ "uv-warnings",
+ "walkdir",
+]
+
+[[package]]
+name = "uv-interpreter"
+version = "0.0.1"
+dependencies = [
+ "anyhow",
+ "cache-key",
+ "configparser",
+ "fs-err",
+ "indoc",
+ "insta",
+ "install-wheel-rs",
+ "itertools 0.12.1",
+ "once_cell",
+ "pep440_rs",
+ "pep508_rs",
+ "platform-tags",
+ "pypi-types",
+ "regex",
+ "rmp-serde",
+ "same-file",
+ "serde",
+ "serde_json",
+ "tempfile",
+ "thiserror",
+ "tokio",
+ "tracing",
+ "uv-cache",
+ "uv-fs",
+ "which",
+ "winapi",
+]
+
+[[package]]
+name = "uv-normalize"
+version = "0.0.1"
+dependencies = [
+ "rkyv",
+ "serde",
+]
+
+[[package]]
+name = "uv-resolver"
+version = "0.0.1"
+dependencies = [
+ "anstream",
+ "anyhow",
+ "cache-key",
+ "chrono",
+ "clap",
+ "dashmap",
+ "derivative",
+ "distribution-filename",
+ "distribution-types",
+ "either",
+ "fs-err",
+ "futures",
+ "indexmap 2.2.5",
+ "insta",
+ "install-wheel-rs",
+ "itertools 0.12.1",
+ "once-map",
+ "once_cell",
+ "owo-colors 4.0.0",
+ "pep440_rs",
+ "pep508_rs",
+ "petgraph",
+ "platform-tags",
+ "pubgrub",
+ "pypi-types",
+ "reqwest",
+ "rkyv",
+ "rustc-hash",
+ "serde_json",
+ "sha2",
+ "tempfile",
+ "thiserror",
+ "tokio",
+ "tokio-stream",
+ "tokio-util",
+ "tracing",
+ "url",
+ "uv-cache",
+ "uv-client",
+ "uv-distribution",
+ "uv-git",
+ "uv-interpreter",
+ "uv-normalize",
+ "uv-traits",
+ "uv-virtualenv",
+ "uv-warnings",
+ "zip",
+]
+
+[[package]]
+name = "uv-traits"
+version = "0.0.1"
+dependencies = [
+ "anyhow",
+ "clap",
+ "distribution-types",
+ "once-map",
+ "pep508_rs",
+ "serde",
+ "serde_json",
+ "tokio",
+ "uv-cache",
+ "uv-interpreter",
+ "uv-normalize",
+]
+
+[[package]]
+name = "uv-version"
+version = "0.1.22"
+
+[[package]]
+name = "uv-virtualenv"
+version = "0.0.4"
+dependencies = [
+ "anstream",
+ "cachedir",
+ "clap",
+ "directories",
+ "fs-err",
+ "pathdiff",
+ "platform-tags",
+ "pypi-types",
+ "serde",
+ "serde_json",
+ "tempfile",
+ "thiserror",
+ "tracing",
+ "tracing-subscriber",
+ "uv-cache",
+ "uv-fs",
+ "uv-interpreter",
+ "which",
+]
+
+[[package]]
+name = "uv-warnings"
+version = "0.0.1"
+dependencies = [
+ "anstream",
+ "once_cell",
+ "owo-colors 4.0.0",
+ "rustc-hash",
+]
+
+[[package]]
+name = "valuable"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "830b7e5d4d90034032940e4ace0d9a9a057e7a45cd94e6c007832e39edb82f6d"
+
+[[package]]
+name = "vcpkg"
+version = "0.2.15"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "accd4ea62f7bb7a82fe23066fb0957d48ef677f6eeb8215f372f52e48bb32426"
+
+[[package]]
+name = "version_check"
+version = "0.9.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "49874b5167b65d7193b8aba1567f5c7d93d001cafc34600cee003eda787e483f"
+
+[[package]]
+name = "vt100"
+version = "0.15.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "84cd863bf0db7e392ba3bd04994be3473491b31e66340672af5d11943c6274de"
+dependencies = [
+ "itoa",
+ "log",
+ "unicode-width",
+ "vte",
+]
+
+[[package]]
+name = "vte"
+version = "0.11.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f5022b5fbf9407086c180e9557be968742d839e68346af7792b8592489732197"
+dependencies = [
+ "arrayvec",
+ "utf8parse",
+ "vte_generate_state_changes",
+]
+
+[[package]]
+name = "vte_generate_state_changes"
+version = "0.1.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "d257817081c7dffcdbab24b9e62d2def62e2ff7d00b1c20062551e6cccc145ff"
+dependencies = [
+ "proc-macro2",
+ "quote",
+]
+
+[[package]]
+name = "wait-timeout"
+version = "0.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9f200f5b12eb75f8c1ed65abd4b2db8a6e1b138a20de009dacee265a2498f3f6"
+dependencies = [
+ "libc",
+]
+
+[[package]]
+name = "walkdir"
+version = "2.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "29790946404f91d9c5d06f9874efddea1dc06c5efe94541a7d6863108e3a5e4b"
+dependencies = [
+ "same-file",
+ "winapi-util",
+]
+
+[[package]]
+name = "want"
+version = "0.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bfa7760aed19e106de2c7c0b581b509f2f25d3dacaf737cb82ac61bc6d760b0e"
+dependencies = [
+ "try-lock",
+]
+
+[[package]]
+name = "wasi"
+version = "0.11.0+wasi-snapshot-preview1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
+
+[[package]]
+name = "wasm-bindgen"
+version = "0.2.92"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4be2531df63900aeb2bca0daaaddec08491ee64ceecbee5076636a3b026795a8"
+dependencies = [
+ "cfg-if",
+ "wasm-bindgen-macro",
+]
+
+[[package]]
+name = "wasm-bindgen-backend"
+version = "0.2.92"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "614d787b966d3989fa7bb98a654e369c762374fd3213d212cfc0251257e747da"
+dependencies = [
+ "bumpalo",
+ "log",
+ "once_cell",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+ "wasm-bindgen-shared",
+]
+
+[[package]]
+name = "wasm-bindgen-futures"
+version = "0.4.42"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "76bc14366121efc8dbb487ab05bcc9d346b3b5ec0eaa76e46594cabbe51762c0"
+dependencies = [
+ "cfg-if",
+ "js-sys",
+ "wasm-bindgen",
+ "web-sys",
+]
+
+[[package]]
+name = "wasm-bindgen-macro"
+version = "0.2.92"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a1f8823de937b71b9460c0c34e25f3da88250760bec0ebac694b49997550d726"
+dependencies = [
+ "quote",
+ "wasm-bindgen-macro-support",
+]
+
+[[package]]
+name = "wasm-bindgen-macro-support"
+version = "0.2.92"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e94f17b526d0a461a191c78ea52bbce64071ed5c04c9ffe424dcb38f74171bb7"
+dependencies = [
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+ "wasm-bindgen-backend",
+ "wasm-bindgen-shared",
+]
+
+[[package]]
+name = "wasm-bindgen-shared"
+version = "0.2.92"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "af190c94f2773fdb3729c55b007a722abb5384da03bc0986df4c289bf5567e96"
+
+[[package]]
+name = "wasm-streams"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b65dc4c90b63b118468cf747d8bf3566c1913ef60be765b5730ead9e0a3ba129"
+dependencies = [
+ "futures-util",
+ "js-sys",
+ "wasm-bindgen",
+ "wasm-bindgen-futures",
+ "web-sys",
+]
+
+[[package]]
+name = "wasm-timer"
+version = "0.2.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "be0ecb0db480561e9a7642b5d3e4187c128914e58aa84330b9493e3eb68c5e7f"
+dependencies = [
+ "futures",
+ "js-sys",
+ "parking_lot 0.11.2",
+ "pin-utils",
+ "wasm-bindgen",
+ "wasm-bindgen-futures",
+ "web-sys",
+]
+
+[[package]]
+name = "web-sys"
+version = "0.3.69"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "77afa9a11836342370f4817622a2f0f418b134426d91a82dfb48f532d2ec13ef"
+dependencies = [
+ "js-sys",
+ "wasm-bindgen",
+]
+
+[[package]]
+name = "webpki-roots"
+version = "0.25.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5f20c57d8d7db6d3b86154206ae5d8fba62dd39573114de97c2cb0578251f8e1"
+
+[[package]]
+name = "weezl"
+version = "0.1.8"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "53a85b86a771b1c87058196170769dd264f66c0782acf1ae6cc51bfd64b39082"
+
+[[package]]
+name = "which"
+version = "6.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7fa5e0c10bf77f44aac573e498d1a82d5fbd5e91f6fc0a99e7be4b38e85e101c"
+dependencies = [
+ "either",
+ "home",
+ "once_cell",
+ "rustix",
+ "windows-sys 0.52.0",
+]
+
+[[package]]
+name = "winapi"
+version = "0.3.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5c839a674fcd7a98952e593242ea400abe93992746761e38641405d28b00f419"
+dependencies = [
+ "winapi-i686-pc-windows-gnu",
+ "winapi-x86_64-pc-windows-gnu",
+]
+
+[[package]]
+name = "winapi-i686-pc-windows-gnu"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ac3b87c63620426dd9b991e5ce0329eff545bccbbb34f3be09ff6fb6ab51b7b6"
+
+[[package]]
+name = "winapi-util"
+version = "0.1.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f29e6f9198ba0d26b4c9f07dbe6f9ed633e1f3d5b8b414090084349e46a52596"
+dependencies = [
+ "winapi",
+]
+
+[[package]]
+name = "winapi-x86_64-pc-windows-gnu"
+version = "0.4.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "712e227841d057c1ee1cd2fb22fa7e5a5461ae8e48fa2ca79ec42cfc1931183f"
+
+[[package]]
+name = "windows"
+version = "0.54.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9252e5725dbed82865af151df558e754e4a3c2c30818359eb17465f1346a1b49"
+dependencies = [
+ "windows-core 0.54.0",
+ "windows-targets 0.52.4",
+]
+
+[[package]]
+name = "windows-core"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "33ab640c8d7e35bf8ba19b884ba838ceb4fba93a4e8c65a9059d08afcfc683d9"
+dependencies = [
+ "windows-targets 0.52.4",
+]
+
+[[package]]
+name = "windows-core"
+version = "0.54.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "12661b9c89351d684a50a8a643ce5f608e20243b9fb84687800163429f161d65"
+dependencies = [
+ "windows-result",
+ "windows-targets 0.52.4",
+]
+
+[[package]]
+name = "windows-result"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "cd19df78e5168dfb0aedc343d1d1b8d422ab2db6756d2dc3fef75035402a3f64"
+dependencies = [
+ "windows-targets 0.52.4",
+]
+
+[[package]]
+name = "windows-sys"
+version = "0.48.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "677d2418bec65e3338edb076e806bc1ec15693c5d0104683f2efe857f61056a9"
+dependencies = [
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "windows-sys"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "282be5f36a8ce781fad8c8ae18fa3f9beff57ec1b52cb3de0789201425d9a33d"
+dependencies = [
+ "windows-targets 0.52.4",
+]
+
+[[package]]
+name = "windows-targets"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "9a2fa6e2155d7247be68c096456083145c183cbbbc2764150dda45a87197940c"
+dependencies = [
+ "windows_aarch64_gnullvm 0.48.5",
+ "windows_aarch64_msvc 0.48.5",
+ "windows_i686_gnu 0.48.5",
+ "windows_i686_msvc 0.48.5",
+ "windows_x86_64_gnu 0.48.5",
+ "windows_x86_64_gnullvm 0.48.5",
+ "windows_x86_64_msvc 0.48.5",
+]
+
+[[package]]
+name = "windows-targets"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7dd37b7e5ab9018759f893a1952c9420d060016fc19a472b4bb20d1bdd694d1b"
+dependencies = [
+ "windows_aarch64_gnullvm 0.52.4",
+ "windows_aarch64_msvc 0.52.4",
+ "windows_i686_gnu 0.52.4",
+ "windows_i686_msvc 0.52.4",
+ "windows_x86_64_gnu 0.52.4",
+ "windows_x86_64_gnullvm 0.52.4",
+ "windows_x86_64_msvc 0.52.4",
+]
+
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2b38e32f0abccf9987a4e3079dfb67dcd799fb61361e53e2882c3cbaf0d905d8"
+
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bcf46cf4c365c6f2d1cc93ce535f2c8b244591df96ceee75d8e83deb70a9cac9"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dc35310971f3b2dbbf3f0690a219f40e2d9afcf64f9ab7cc1be722937c26b4bc"
+
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "da9f259dd3bcf6990b55bffd094c4f7235817ba4ceebde8e6d11cd0c5633b675"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a75915e7def60c94dcef72200b9a8e58e5091744960da64ec734a6c6e9b3743e"
+
+[[package]]
+name = "windows_i686_gnu"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b474d8268f99e0995f25b9f095bc7434632601028cf86590aea5c8a5cb7801d3"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8f55c233f70c4b27f66c523580f78f1004e8b5a8b659e05a4eb49d4166cca406"
+
+[[package]]
+name = "windows_i686_msvc"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1515e9a29e5bed743cb4415a9ecf5dfca648ce85ee42e15873c3cd8610ff8e02"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "53d40abd2583d23e4718fddf1ebec84dbff8381c07cae67ff7768bbf19c6718e"
+
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5eee091590e89cc02ad514ffe3ead9eb6b660aedca2183455434b93546371a03"
+
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0b7b52767868a23d5bab768e390dc5f5c55825b6d30b86c844ff2dc7414044cc"
+
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "77ca79f2451b49fa9e2af39f0747fe999fcda4f5e241b2898624dca97a1f2177"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.48.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ed94fce61571a4006852b7389a063ab983c02eb1bb37b47f8272ce92d06d9538"
+
+[[package]]
+name = "windows_x86_64_msvc"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "32b752e52a2da0ddfbdbcc6fceadfeede4c939ed16d13e648833a61dfb611ed8"
+
+[[package]]
+name = "winnow"
+version = "0.6.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dffa400e67ed5a4dd237983829e66475f0a4a26938c4b04c21baede6262215b8"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "winreg"
+version = "0.50.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "524e57b2c537c0f9b1e69f1965311ec12182b4122e45035b1508cd24d2adadb1"
+dependencies = [
+ "cfg-if",
+ "windows-sys 0.48.0",
+]
+
+[[package]]
+name = "wiremock"
+version = "0.6.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ec874e1eef0df2dcac546057fe5e29186f09c378181cd7b635b4b7bcc98e9d81"
+dependencies = [
+ "assert-json-diff",
+ "async-trait",
+ "base64 0.21.7",
+ "deadpool",
+ "futures",
+ "http 1.1.0",
+ "http-body-util",
+ "hyper 1.2.0",
+ "hyper-util",
+ "log",
+ "once_cell",
+ "regex",
+ "serde",
+ "serde_json",
+ "tokio",
+ "url",
+]
+
+[[package]]
+name = "wyz"
+version = "0.5.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "05f360fc0b24296329c78fda852a1e9ae82de9cf7b27dae4b7f62f118f77b9ed"
+dependencies = [
+ "tap",
+]
+
+[[package]]
+name = "xattr"
+version = "1.3.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "8da84f1a25939b27f6820d92aed108f83ff920fdf11a7b19366c27c4cda81d4f"
+dependencies = [
+ "libc",
+ "linux-raw-sys",
+ "rustix",
+]
+
+[[package]]
+name = "xmlparser"
+version = "0.13.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "66fee0b777b0f5ac1c69bb06d361268faafa61cd4682ae064a171c16c433e9e4"
+
+[[package]]
+name = "yaml-rust"
+version = "0.4.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "56c1936c4cc7a1c9ab21a1ebb602eb942ba868cbd44a99cb7cdc5892335e1c85"
+dependencies = [
+ "linked-hash-map",
+]
+
+[[package]]
+name = "zip"
+version = "0.6.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "760394e246e4c28189f19d488c058bf16f564016aefac5d32bb1f3b51d5e9261"
+dependencies = [
+ "byteorder",
+ "crc32fast",
+ "crossbeam-utils",
+ "flate2",
+]
diff --git a/pkgs/by-name/uv/uv/package.nix b/pkgs/by-name/uv/uv/package.nix
new file mode 100644
index 000000000000..322a47bb761f
--- /dev/null
+++ b/pkgs/by-name/uv/uv/package.nix
@@ -0,0 +1,61 @@
+{ lib
+, cmake
+, darwin
+, fetchFromGitHub
+, openssl
+, pkg-config
+, rustPlatform
+, stdenv
+, nix-update-script
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "uv";
+ version = "0.1.22";
+
+ src = fetchFromGitHub {
+ owner = "astral-sh";
+ repo = "uv";
+ rev = version;
+ hash = "sha256-AbixSkwyhj3eBMLvGlodpz7XE3ln0IokNMdu5SOZjOE=";
+ };
+
+ cargoLock = {
+ lockFile = ./Cargo.lock;
+ outputHashes = {
+ "async_zip-0.0.16" = "sha256-M94ceTCtyQc1AtPXYrVGplShQhItqZZa/x5qLiL+gs0=";
+ "pubgrub-0.2.1" = "sha256-Pn60v5tfpGrssCeuaUcxw5eJ1g8Dk/+un0s8+k4abpo=";
+ };
+ };
+
+ nativeBuildInputs = [
+ cmake
+ pkg-config
+ ];
+
+ buildInputs = [
+ openssl
+ ] ++ lib.optionals stdenv.isDarwin [
+ darwin.apple_sdk.frameworks.SystemConfiguration
+ ];
+
+ cargoBuildFlags = [ "--package" "uv" ];
+
+ # Tests require network access
+ doCheck = false;
+
+ env = {
+ OPENSSL_NO_VENDOR = true;
+ };
+
+ passthru.updateScript = nix-update-script { };
+
+ meta = with lib; {
+ description = "An extremely fast Python package installer and resolver, written in Rust";
+ homepage = "https://github.com/astral-sh/uv";
+ changelog = "https://github.com/astral-sh/uv/blob/${src.rev}/CHANGELOG.md";
+ license = with licenses; [ asl20 mit ];
+ maintainers = with maintainers; [ marsam ];
+ mainProgram = "uv";
+ };
+}
diff --git a/pkgs/by-name/uw/uwhoisd/package.nix b/pkgs/by-name/uw/uwhoisd/package.nix
new file mode 100644
index 000000000000..2fb31c23669d
--- /dev/null
+++ b/pkgs/by-name/uw/uwhoisd/package.nix
@@ -0,0 +1,45 @@
+{ lib
+, python3
+, fetchFromGitHub
+}:
+
+python3.pkgs.buildPythonApplication rec {
+ pname = "uwhoisd";
+ version = "0.1.0-unstable-2024-02-24";
+ pyproject = true;
+
+ src = fetchFromGitHub {
+ owner = "Lookyloo";
+ repo = "uwhoisd";
+ rev = "31ce5e83b8fcf200098fd5120d9c856f3f80e3f7";
+ hash = "sha256-lnPGKF9pJ2NFIsx4HFdRip6R+vGVr9TYzvU89iwBc5g=";
+ };
+
+ pythonRelaxDeps = [
+ "beautifulsoup4"
+ "tornado"
+ ];
+
+ build-system = with python3.pkgs; [
+ poetry-core
+ pythonRelaxDepsHook
+ ];
+
+ propagatedBuildInputs = with python3.pkgs; [
+ beautifulsoup4
+ publicsuffix2
+ redis
+ tornado
+ ] ++ redis.optional-dependencies.hiredis;
+
+ # Project has no tests
+ doCheck = false;
+
+ meta = with lib; {
+ description = "Universal WHOIS proxy server";
+ homepage = "https://github.com/Lookyloo/uwhoisd";
+ changelog = "https://github.com/Lookyloo/uwhoisd/blob/${version}/ChangeLog";
+ license = licenses.mit;
+ maintainers = with maintainers; [ fab ];
+ };
+}
diff --git a/pkgs/by-name/ux/uxn/package.nix b/pkgs/by-name/ux/uxn/package.nix
index 556b2682a583..2ce14ff19f80 100644
--- a/pkgs/by-name/ux/uxn/package.nix
+++ b/pkgs/by-name/ux/uxn/package.nix
@@ -7,13 +7,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "uxn";
- version = "unstable-2024-02-07";
+ version = "unstable-2024-03-18";
src = fetchFromSourcehut {
owner = "~rabbits";
repo = "uxn";
- rev = "300a3d7b3ed399721cef59e9ed9efe8a1d4e0f6f";
- hash = "sha256-uwHXa4GhXNJHroQG8t3VQggvdCA3G4/1d/XVfsgeI7E=";
+ rev = "250aecc920a6f12d2d5479a5230a31630dac2a1e";
+ hash = "sha256-nhKlcuhXkrhecRwVIuSf+jOmFbX0NMhmmc5pj6MCNdQ=";
};
outputs = [ "out" "projects" ];
@@ -64,5 +64,6 @@ stdenv.mkDerivation (finalAttrs: {
maintainers = with lib.maintainers; [ AndersonTorres ];
mainProgram = "uxnemu";
inherit (SDL2.meta) platforms;
+ broken = stdenv.isDarwin;
};
})
diff --git a/pkgs/by-name/va/varia/package.nix b/pkgs/by-name/va/varia/package.nix
new file mode 100644
index 000000000000..d0ee4574b1e7
--- /dev/null
+++ b/pkgs/by-name/va/varia/package.nix
@@ -0,0 +1,68 @@
+{ lib
+, python3Packages
+, fetchFromGitHub
+, aria2
+, meson
+, ninja
+, pkg-config
+, gobject-introspection
+, wrapGAppsHook4
+, desktop-file-utils
+, libadwaita
+}:
+
+python3Packages.buildPythonApplication rec {
+ pname = "varia";
+ version = "2024.2.29-2";
+ pyproject = false;
+
+ src = fetchFromGitHub {
+ owner = "giantpinkrobots";
+ repo = "varia";
+ rev = "v${version}";
+ hash = "sha256-PDI+URSop95e0bkSkE/9xV5Ezwj3vRmDA4Qyr1n8mCw=";
+ };
+
+ postPatch = ''
+ substituteInPlace src/varia-py.in \
+ --replace-fail 'aria2cexec = sys.argv[1]' 'aria2cexec = "${lib.getExe aria2}"'
+ '';
+
+ nativeBuildInputs = [
+ meson
+ ninja
+ pkg-config
+ gobject-introspection
+ wrapGAppsHook4
+ desktop-file-utils
+ ];
+
+ buildInputs = [
+ libadwaita
+ ];
+
+ propagatedBuildInputs = with python3Packages; [
+ pygobject3
+ aria2p
+ ];
+
+ postInstall = ''
+ rm $out/bin/varia
+ mv $out/bin/varia-py.py $out/bin/varia
+ '';
+
+ dontWrapGApps = true;
+
+ preFixup = ''
+ makeWrapperArgs+=("''${gappsWrapperArgs[@]}")
+ '';
+
+ meta = with lib; {
+ description = "A simple download manager based on aria2 and libadwaita";
+ homepage = "https://giantpinkrobots.github.io/varia";
+ license = licenses.mpl20;
+ mainProgram = "varia";
+ maintainers = with maintainers; [ aleksana ];
+ platforms = platforms.linux;
+ };
+}
diff --git a/pkgs/by-name/va/vault-unseal/package.nix b/pkgs/by-name/va/vault-unseal/package.nix
new file mode 100644
index 000000000000..30aed5612623
--- /dev/null
+++ b/pkgs/by-name/va/vault-unseal/package.nix
@@ -0,0 +1,30 @@
+{ lib
+, buildGoModule
+, fetchFromGitHub
+}:
+
+let
+ version = "0.5.1";
+in
+buildGoModule {
+ pname = "vault-unseal";
+ inherit version;
+
+ src = fetchFromGitHub {
+ owner = "lrstanley";
+ repo = "vault-unseal";
+ rev = "v${version}";
+ hash = "sha256-vjU4080uCId/73F7CJKDtk9b1siCPIZOaSczKMNf0LE=";
+ };
+
+ vendorHash = "sha256-SEA74Tk0R3BHyLMZEgKatfLGbX7l8Zyn/JkQVfEckI4=";
+
+ meta = {
+ changelog = "https://github.com/lrstanley/vault-unseal/releases/tag/v${version}";
+ description = "Auto-unseal utility for Hashicorp Vault";
+ homepage = "https://github.com/lrstanley/vault-unseal";
+ license = lib.licenses.mit;
+ mainProgram = "vault-unseal";
+ maintainers = with lib.maintainers; [ mjm ];
+ };
+}
diff --git a/pkgs/by-name/vc/vcpkg-tool/package.nix b/pkgs/by-name/vc/vcpkg-tool/package.nix
index b96667bfbb55..801c9d9c7bbd 100644
--- a/pkgs/by-name/vc/vcpkg-tool/package.nix
+++ b/pkgs/by-name/vc/vcpkg-tool/package.nix
@@ -65,6 +65,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
description = "Components of microsoft/vcpkg's binary";
+ mainProgram = "vcpkg";
homepage = "https://github.com/microsoft/vcpkg-tool";
license = lib.licenses.mit;
maintainers = with lib.maintainers; [ guekka gracicot ];
diff --git a/pkgs/by-name/vc/vcpkg/package.nix b/pkgs/by-name/vc/vcpkg/package.nix
index 338f8da7c1d2..66ebb4afef9e 100644
--- a/pkgs/by-name/vc/vcpkg/package.nix
+++ b/pkgs/by-name/vc/vcpkg/package.nix
@@ -7,13 +7,13 @@
stdenvNoCC.mkDerivation (finalAttrs: {
pname = "vcpkg";
- version = "2024.01.12";
+ version = "2024.02.14";
src = fetchFromGitHub {
owner = "microsoft";
repo = "vcpkg";
rev = finalAttrs.version;
- hash = "sha256-oIx/eMceFN2q7EfPCR6nFZAw5HK3U6qbyu7z9H1aJbU=";
+ hash = "sha256-qYRNf2NMvYkxq7CRbJIqC7HAhznTNK7zW6JCsP4+v6M=";
};
installPhase = let
@@ -43,6 +43,7 @@ stdenvNoCC.mkDerivation (finalAttrs: {
meta = {
description = "C++ Library Manager";
+ mainProgram = "vcpkg";
homepage = "https://vcpkg.io/";
license = lib.licenses.mit;
maintainers = with lib.maintainers; [ guekka gracicot ];
diff --git a/pkgs/servers/nosql/victoriametrics/default.nix b/pkgs/by-name/vi/victoriametrics/package.nix
similarity index 79%
rename from pkgs/servers/nosql/victoriametrics/default.nix
rename to pkgs/by-name/vi/victoriametrics/package.nix
index f694aeef565d..ad76b67df1bd 100644
--- a/pkgs/servers/nosql/victoriametrics/default.nix
+++ b/pkgs/by-name/vi/victoriametrics/package.nix
@@ -2,17 +2,36 @@
buildGoModule rec {
pname = "VictoriaMetrics";
- version = "1.97.1";
+ version = "1.99.0";
src = fetchFromGitHub {
owner = pname;
repo = pname;
rev = "v${version}";
- hash = "sha256-zaRXvktHqsM/pZd7DsCAXCSI2jaPZ3iKHLQqDILZ9pc=";
+ hash = "sha256-IHUmxdCOzvA2JL06k/ei6/OTVWHTL1TiKKYZB1hgqyA=";
};
vendorHash = null;
+ subPackages = [
+ "app/victoria-logs"
+ "app/victoria-metrics"
+ "app/vlinsert"
+ "app/vlselect"
+ "app/vlstorage"
+ "app/vmagent"
+ "app/vmalert-tool"
+ "app/vmalert"
+ "app/vmauth"
+ "app/vmctl"
+ "app/vminsert"
+ "app/vmselect"
+ "app/vmstorage"
+ "app/vmbackup"
+ "app/vmrestore"
+ "app/vmui"
+ ];
+
postPatch = ''
# main module (github.com/VictoriaMetrics/VictoriaMetrics) does not contain package
# github.com/VictoriaMetrics/VictoriaMetrics/app/vmui/packages/vmui/web
diff --git a/pkgs/by-name/vi/vieb/package.nix b/pkgs/by-name/vi/vieb/package.nix
index 0c8afad3fb00..f49cea3c13c7 100644
--- a/pkgs/by-name/vi/vieb/package.nix
+++ b/pkgs/by-name/vi/vieb/package.nix
@@ -56,6 +56,7 @@ buildNpmPackage rec {
homepage = "https://vieb.dev/";
changelog = "https://github.com/Jelmerro/Vieb/releases/tag/${version}";
description = "Vim Inspired Electron Browser";
+ mainProgram = "vieb";
maintainers = with maintainers; [ gebner tejing ];
platforms = platforms.unix;
license = licenses.gpl3Plus;
diff --git a/pkgs/by-name/vi/vikunja/package.nix b/pkgs/by-name/vi/vikunja/package.nix
new file mode 100644
index 000000000000..cc9891f3ccd1
--- /dev/null
+++ b/pkgs/by-name/vi/vikunja/package.nix
@@ -0,0 +1,166 @@
+{ lib, fetchFromGitHub, stdenv, stdenvNoCC, nodePackages, buildGoModule, jq, mage, writeShellScriptBin, nixosTests, buildNpmPackage, moreutils, cacert }:
+
+let
+ version = "0.23.0";
+ src = fetchFromGitHub {
+ owner = "go-vikunja";
+ repo = "vikunja";
+ rev = "v${version}";
+ hash = "sha256-DGdJ/qO86o4LDB2Soio6/zd5S0su6ffrtT+iOn1eQnA=";
+ };
+
+ frontend = stdenv.mkDerivation (finalAttrs: {
+ pname = "vikunja-frontend";
+ inherit version src;
+
+ postPatch = ''
+ cd frontend
+ '';
+
+ pnpmDeps = stdenvNoCC.mkDerivation {
+ pname = "${finalAttrs.pname}-pnpm-deps";
+ inherit (finalAttrs) src version;
+
+ nativeBuildInputs = [
+ jq
+ nodePackages.pnpm
+ moreutils
+ cacert
+ ];
+
+ pnpmPatch = builtins.toJSON {
+ pnpm.supportedArchitectures = {
+ os = [ "linux" ];
+ cpu = [ "x64" "arm64" ];
+ };
+ };
+
+ postPatch = ''
+ cd frontend
+ mv package.json package.json.orig
+ jq --raw-output ". * $pnpmPatch" package.json.orig > package.json
+ '';
+
+ # https://github.com/NixOS/nixpkgs/blob/763e59ffedb5c25774387bf99bc725df5df82d10/pkgs/applications/misc/pot/default.nix#L56
+ installPhase = ''
+ export HOME=$(mktemp -d)
+
+ pnpm config set store-dir $out
+ pnpm install --frozen-lockfile --ignore-script
+
+ rm -rf $out/v3/tmp
+ for f in $(find $out -name "*.json"); do
+ sed -i -E -e 's/"checkedAt":[0-9]+,//g' $f
+ jq --sort-keys . $f | sponge $f
+ done
+ '';
+
+ dontBuild = true;
+ dontFixup = true;
+ outputHashMode = "recursive";
+ outputHash = {
+ x86_64-linux = "sha256-ybAkXe2/VhGZhr59ZQOcQ+SI2a204e8uPjyE40xUVwU=";
+ aarch64-linux = "sha256-2iURs6JtI/b2+CnLwhog1X5hSFFO6OmmgFRuTbMjH+k=";
+ }.${stdenv.system} or (throw "Unsupported system: ${stdenv.system}");
+ };
+
+ nativeBuildInputs = [
+ nodePackages.pnpm
+ nodePackages.nodejs
+ ];
+
+ doCheck = true;
+
+ preBuild = ''
+ export HOME=$(mktemp -d)
+
+ pnpm config set store-dir ${finalAttrs.pnpmDeps}
+ pnpm install --offline --frozen-lockfile --ignore-script
+ patchShebangs node_modules/{*,.*}
+ '';
+
+ postBuild = ''
+ pnpm run build
+ '';
+
+ checkPhase = ''
+ pnpm run test:unit --run
+ '';
+
+ installPhase = ''
+ cp -r dist/ $out
+ '';
+ });
+
+ # Injects a `t.Skip()` into a given test since there's apparently no other way to skip tests here.
+ skipTest = lineOffset: testCase: file:
+ let
+ jumpAndAppend = lib.concatStringsSep ";" (lib.replicate (lineOffset - 1) "n" ++ [ "a" ]);
+ in ''
+ sed -i -e '/${testCase}/{
+ ${jumpAndAppend} t.Skip();
+ }' ${file}
+ '';
+in
+buildGoModule {
+ inherit src version;
+ pname = "vikunja";
+
+ nativeBuildInputs =
+ let
+ fakeGit = writeShellScriptBin "git" ''
+ if [[ $@ = "describe --tags --always --abbrev=10" ]]; then
+ echo "${version}"
+ else
+ >&2 echo "Unknown command: $@"
+ exit 1
+ fi
+ '';
+ in
+ [ fakeGit mage ];
+
+ vendorHash = "sha256-d4AeQEAtPqMDe5a5aKhCe3i3pDXAMZJkJXxfcAFTx7A=";
+
+ prePatch = ''
+ cp -r ${frontend} frontend/dist
+ '';
+
+ postConfigure = ''
+ # These tests need internet, so we skip them.
+ ${skipTest 1 "TestConvertTrelloToVikunja" "pkg/modules/migration/trello/trello_test.go"}
+ ${skipTest 1 "TestConvertTodoistToVikunja" "pkg/modules/migration/todoist/todoist_test.go"}
+ '';
+
+ buildPhase = ''
+ runHook preBuild
+
+ # Fixes "mkdir /homeless-shelter: permission denied" - "Error: error compiling magefiles" during build
+ export HOME=$(mktemp -d)
+ mage build:build
+
+ runHook postBuild
+ '';
+
+ checkPhase = ''
+ mage test:unit
+ mage test:integration
+ '';
+
+ installPhase = ''
+ runHook preInstall
+ install -Dt $out/bin vikunja
+ runHook postInstall
+ '';
+
+ passthru.tests.vikunja = nixosTests.vikunja;
+
+ meta = {
+ changelog = "https://kolaente.dev/vikunja/api/src/tag/v${version}/CHANGELOG.md";
+ description = "The Todo-app to organize your life.";
+ homepage = "https://vikunja.io/";
+ license = lib.licenses.agpl3Plus;
+ maintainers = with lib.maintainers; [ leona ];
+ mainProgram = "vikunja";
+ platforms = lib.platforms.linux;
+ };
+}
diff --git a/pkgs/by-name/vi/vinegar/package.nix b/pkgs/by-name/vi/vinegar/package.nix
index a20b0ff024ee..19dd30209443 100644
--- a/pkgs/by-name/vi/vinegar/package.nix
+++ b/pkgs/by-name/vi/vinegar/package.nix
@@ -8,37 +8,42 @@
, xorg
, wayland
, vulkan-headers
-, wineWowPackages
+, wine64Packages
, fetchpatch
}:
let
# wine-staging doesn't support overrideAttrs for now
- wine = wineWowPackages.stagingFull.overrideDerivation (oldAttrs: {
+ wine = wine64Packages.staging.overrideDerivation (oldAttrs: {
patches =
- (oldAttrs.patches or [ ])
+ (oldAttrs.patches or [])
++ [
# upstream issue: https://bugs.winehq.org/show_bug.cgi?id=55604
# Here are the currently applied patches for Roblox to run under WINE:
(fetchpatch {
- name = "vinegar-wine-segrevert.patch";
- url = "https://raw.githubusercontent.com/flathub/org.vinegarhq.Vinegar/8fc153c492542a522d6cc2dff7d1af0e030a529a/patches/wine/temp.patch";
- hash = "sha256-AnEBBhB8leKP0xCSr6UsQK7CN0NDbwqhe326tJ9dDjc=";
+ name = "vinegar-wine-segregrevert.patch";
+ url = "https://raw.githubusercontent.com/flathub/org.vinegarhq.Vinegar/e24cb9dfa996bcfeaa46504c0375660fe271148d/patches/wine/segregrevert.patch";
+ hash = "sha256-+3Nld81nG3GufI4jAF6yrWfkJmsSCOku39rx0Hov29c=";
+ })
+ (fetchpatch {
+ name = "vinegar-wine-mouselock.patch";
+ url = "https://raw.githubusercontent.com/flathub/org.vinegarhq.Vinegar/e24cb9dfa996bcfeaa46504c0375660fe271148d/patches/wine/mouselock.patch";
+ hash = "sha256-0AGA4AQbxTL5BGVbm072moav7xVA3zpotYqM8pcEDa4=";
})
];
});
in
buildGoModule rec {
pname = "vinegar";
- version = "1.6.1";
+ version = "1.7.3";
src = fetchFromGitHub {
owner = "vinegarhq";
repo = "vinegar";
rev = "v${version}";
- hash = "sha256-uRdWE5NwRVSuUZyU5B5u5DfJOxu/gUqwM682eORTDOs=";
+ hash = "sha256-aKL+4jw/uMbbvLRCBHstCTrcQ1PTYSCwMNgXTvSvMeY=";
};
- vendorHash = "sha256-Ex6PRd3rD2jbLXlY36koNvZF3P+gAZTE9hExIfOw9CE=";
+ vendorHash = "sha256-OaMfWecOPQh6quXjYkZLyBDHZ9TINSA7Ue/Y0sz5ZYY=";
nativeBuildInputs = [ pkg-config makeBinaryWrapper ];
buildInputs = [ libGL libxkbcommon xorg.libX11 xorg.libXcursor xorg.libXfixes wayland vulkan-headers wine ];
diff --git a/pkgs/by-name/vi/virtio-win/package.nix b/pkgs/by-name/vi/virtio-win/package.nix
index 5c1bb96b9e25..26c60404a86a 100644
--- a/pkgs/by-name/vi/virtio-win/package.nix
+++ b/pkgs/by-name/vi/virtio-win/package.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "virtio-win";
- version = "0.1.240-1";
+ version = "0.1.248-1";
src = fetchurl {
url = "https://fedorapeople.org/groups/virt/virtio-win/direct-downloads/archive-virtio/virtio-win-${version}/virtio-win.iso";
- hash = "sha256-69SCWGaPf3jgJu0nbCip0Z2D4CD/oICtaZENyGu8vMY=";
+ hash = "sha256-1bVznPKX8FONJj4wZ41aCbukcKfGvL2N/3TkQVPxZUk=";
};
nativeBuildInputs = [
diff --git a/pkgs/by-name/vl/vlc-bin/package.nix b/pkgs/by-name/vl/vlc-bin/package.nix
new file mode 100644
index 000000000000..d986353a2bda
--- /dev/null
+++ b/pkgs/by-name/vl/vlc-bin/package.nix
@@ -0,0 +1,61 @@
+{ lib
+, fetchurl
+, makeWrapper
+, stdenv
+, undmg
+, variant ?
+ if (stdenv.isDarwin && stdenv.isAarch64)
+ then "arm64"
+ else if (stdenv.isDarwin && stdenv.isx86_64)
+ then "intel64"
+ else "universal" # not reachable by normal means
+}:
+
+assert builtins.elem variant [ "arm64" "intel64" "universal" ];
+stdenv.mkDerivation (finalAttrs: {
+ pname = "vlc-bin-${variant}";
+ version = "3.0.20";
+
+ src = fetchurl {
+ url = "http://get.videolan.org/vlc/${finalAttrs.version}/macosx/vlc-${finalAttrs.version}-${variant}.dmg";
+ hash = {
+ "arm64" = "sha256-XV8O5S2BmCpiL0AhkopktHBalVRJniDDPQusIlkLEY4=";
+ "intel64" = "sha256-pNwUQfyrjiuQxil0zlOZu4isv2xw1U8hxhWNn7H7onk=";
+ "universal" = "sha256-IqGPOWzMmHbGDV+0QxFslv19BC2J1Z5Qzcuja/Od1Us=";
+ }.${variant};
+ };
+
+ sourceRoot = ".";
+
+ nativeBuildInputs = [
+ makeWrapper
+ undmg
+ ];
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p $out/Applications $out/bin
+ cp -r "VLC.app" $out/Applications
+ makeWrapper "$out/Applications/VLC.app/Contents/MacOS/VLC" "$out/bin/vlc"
+
+ runHook postInstall
+ '';
+
+ meta = {
+ description = "Cross-platform media player and streaming server; precompiled binary for MacOS, repacked from official website";
+ homepage = "https://www.videolan.org/vlc/";
+ downloadPage = "https://www.videolan.org/vlc/download-macosx.html";
+ license = lib.licenses.lgpl21Plus;
+ mainProgram = "vlc";
+ maintainers = with lib.maintainers; [ pcasaretto ];
+ platforms = lib.systems.inspect.patternLogicalAnd
+ (lib.systems.inspect.patterns.isDarwin)
+ (({
+ "arm64" = lib.systems.inspect.patterns.isAarch64;
+ "intel64" = lib.systems.inspect.patterns.isx86_64;
+ "universal" = lib.systems.inspect.patterns.isDarwin;
+ }).${variant});
+ sourceProvenance = with lib.sourceTypes; [ binaryNativeCode ];
+ };
+})
diff --git a/pkgs/applications/video/vlc/default.nix b/pkgs/by-name/vl/vlc/package.nix
similarity index 82%
rename from pkgs/applications/video/vlc/default.nix
rename to pkgs/by-name/vl/vlc/package.nix
index 2b5c86b0efb2..9b89cca9a192 100644
--- a/pkgs/applications/video/vlc/default.nix
+++ b/pkgs/by-name/vl/vlc/package.nix
@@ -1,8 +1,4 @@
{ lib
-, stdenv
-, pkgsBuildBuild
-, fetchurl
-, fetchpatch
, SDL
, SDL_image
, a52dec
@@ -11,6 +7,8 @@
, avahi
, dbus
, faad2
+, fetchpatch
+, fetchurl
, ffmpeg
, flac
, fluidsynth
@@ -48,6 +46,7 @@
, libpulseaudio
, libraw1394
, librsvg
+, libsForQt5
, libsamplerate
, libspatialaudio
, libssh2
@@ -65,23 +64,20 @@
, ncurses
, perl
, pkg-config
+, pkgsBuildBuild
, protobuf
-, qtbase
-, qtsvg
-, qtwayland
-, qtx11extras
, removeReferencesTo
, samba
, schroedinger
, speex
, srt
+, stdenv
, systemd
, taglib
, unzip
, wayland
, wayland-protocols
, wrapGAppsHook
-, wrapQtAppsHook
, xcbutilkeysyms
, zlib
@@ -98,7 +94,7 @@
# networking.firewall.allowedTCPPorts = [ 8010 ];
let
- inherit (lib) optionalString optional optionals;
+ inherit (lib) optionalString optionals;
in
stdenv.mkDerivation (finalAttrs: {
pname = "${optionalString onlyLibVLC "lib"}vlc";
@@ -118,8 +114,8 @@ stdenv.mkDerivation (finalAttrs: {
unzip
wrapGAppsHook
]
- ++ optional chromecastSupport protobuf
- ++ optionals withQt5 [ wrapQtAppsHook ]
+ ++ optionals chromecastSupport [ protobuf ]
+ ++ optionals withQt5 [ libsForQt5.wrapQtAppsHook ]
++ optionals waylandSupport [
wayland
wayland-protocols
@@ -191,8 +187,8 @@ stdenv.mkDerivation (finalAttrs: {
xcbutilkeysyms
zlib
]
- ++ optional (!stdenv.hostPlatform.isAarch && !onlyLibVLC) live555
- ++ optional jackSupport libjack2
+ ++ optionals (!stdenv.hostPlatform.isAarch && !onlyLibVLC) [ live555 ]
+ ++ optionals jackSupport [ libjack2 ]
++ optionals chromecastSupport [ libmicrodns protobuf ]
++ optionals skins2Support [
freetype
@@ -201,8 +197,12 @@ stdenv.mkDerivation (finalAttrs: {
libXpm
]
++ optionals waylandSupport [ wayland wayland-protocols ]
- ++ optionals withQt5 [ qtbase qtsvg qtx11extras ]
- ++ optional (waylandSupport && withQt5) qtwayland;
+ ++ optionals withQt5 (with libsForQt5; [
+ qtbase
+ qtsvg
+ qtx11extras
+ ])
+ ++ optionals (waylandSupport && withQt5) [ libsForQt5.qtwayland ];
env = {
# vlc depends on a c11-gcc wrapper script which we don't have so we need to
@@ -218,51 +218,37 @@ stdenv.mkDerivation (finalAttrs: {
# upstream issue: https://code.videolan.org/videolan/vlc/-/issues/25473
(fetchpatch {
url = "https://code.videolan.org/videolan/vlc/uploads/eb1c313d2d499b8a777314f789794f9d/0001-Add-lssl-and-lcrypto-to-liblive555_plugin_la_LIBADD.patch";
- sha256 = "0kyi8q2zn2ww148ngbia9c7qjgdrijf4jlvxyxgrj29cb5iy1kda";
+ hash = "sha256-qs3gY1ksCZlf931TSZyMuT2JD0sqrmcRCZwL+wVG0U8=";
})
];
postPatch = ''
- substituteInPlace modules/text_renderer/freetype/platform_fonts.h --replace \
- /usr/share/fonts/truetype/freefont ${freefont_ttf}/share/fonts/truetype
- '' + lib.optionalString (!stdenv.hostPlatform.canExecute stdenv.buildPlatform) ''
- # Upstream luac can't cross compile, so we have to install the lua
- # sources, not bytecode:
- # https://www.lua.org/wshop13/Jericke.pdf#page=39
- substituteInPlace share/Makefile.am --replace $'.luac \\\n' $'.lua \\\n'
+ substituteInPlace modules/text_renderer/freetype/platform_fonts.h \
+ --replace \
+ /usr/share/fonts/truetype/freefont \
+ ${freefont_ttf}/share/fonts/truetype
+ ''
+ # Upstream luac can't cross compile, so we have to install the lua sources
+ # instead of bytecode:
+ # https://www.lua.org/wshop13/Jericke.pdf#page=39
+ + lib.optionalString (!stdenv.hostPlatform.canExecute stdenv.buildPlatform) ''
+ substituteInPlace share/Makefile.am \
+ --replace $'.luac \\\n' $'.lua \\\n'
'';
enableParallelBuilding = true;
dontWrapGApps = true; # to prevent double wrapping of Qtwrap and Gwrap
- preFixup = ''
- qtWrapperArgs+=("''${gappsWrapperArgs[@]}")
- '';
-
- # - Touch plugins (plugins cache keyed off mtime and file size:
- # https://github.com/NixOS/nixpkgs/pull/35124#issuecomment-370552830
- # - Remove references to the Qt development headers (used in error messages)
- #
- # pkgsBuildBuild is used here because buildPackages.libvlc somehow
- # depends on a qt5.qttranslations that doesn't build, even though it
- # should be the same as pkgsBuildBuild.qt5.qttranslations.
- postFixup = ''
- find $out/lib/vlc/plugins -exec touch -d @1 '{}' ';'
- ${if stdenv.buildPlatform.canExecute stdenv.hostPlatform then "$out" else pkgsBuildBuild.libvlc}/lib/vlc/vlc-cache-gen $out/vlc/plugins
- '' + optionalString withQt5 ''
- remove-references-to -t "${qtbase.dev}" $out/lib/vlc/plugins/gui/libqt_plugin.so
- '';
-
# Most of the libraries are auto-detected so we don't need to set a bunch of
# "--enable-foo" flags here
configureFlags = [
"--enable-srt" # Explicit enable srt to ensure the patch is applied.
"--with-kde-solid=$out/share/apps/solid/actions"
]
- ++ optional onlyLibVLC "--disable-vlc"
- ++ optional skins2Support "--enable-skins2"
- ++ optional waylandSupport "--enable-wayland"
+ ++ optionals onlyLibVLC [ "--disable-vlc" ]
+ ++ optionals skins2Support [ "--enable-skins2" ]
+ ++ optionals waylandSupport [ "--enable-wayland" ]
++ optionals chromecastSupport [
"--enable-sout"
"--enable-chromecast"
@@ -285,6 +271,24 @@ stdenv.mkDerivation (finalAttrs: {
cp -R share/hrtfs $out/share/vlc
'';
+ preFixup = ''
+ qtWrapperArgs+=("''${gappsWrapperArgs[@]}")
+ '';
+
+ # - Touch plugins (plugins cache keyed off mtime and file size:
+ # https://github.com/NixOS/nixpkgs/pull/35124#issuecomment-370552830
+ # - Remove references to the Qt development headers (used in error messages)
+ #
+ # pkgsBuildBuild is used here because buildPackages.libvlc somehow
+ # depends on a qt5.qttranslations that doesn't build, even though it
+ # should be the same as pkgsBuildBuild.qt5.qttranslations.
+ postFixup = ''
+ find $out/lib/vlc/plugins -exec touch -d @1 '{}' ';'
+ ${if stdenv.buildPlatform.canExecute stdenv.hostPlatform then "$out" else pkgsBuildBuild.libvlc}/lib/vlc/vlc-cache-gen $out/vlc/plugins
+ '' + optionalString withQt5 ''
+ remove-references-to -t "${libsForQt5.qtbase.dev}" $out/lib/vlc/plugins/gui/libqt_plugin.so
+ '';
+
meta = {
description = "Cross-platform media player and streaming server";
homepage = "https://www.videolan.org/vlc/";
diff --git a/pkgs/development/tools/build-managers/waf/hook.nix b/pkgs/by-name/wa/waf/hook.nix
similarity index 100%
rename from pkgs/development/tools/build-managers/waf/hook.nix
rename to pkgs/by-name/wa/waf/hook.nix
diff --git a/pkgs/development/tools/build-managers/waf/default.nix b/pkgs/by-name/wa/waf/package.nix
similarity index 95%
rename from pkgs/development/tools/build-managers/waf/default.nix
rename to pkgs/by-name/wa/waf/package.nix
index de4022ed7d70..515f3ae03421 100644
--- a/pkgs/development/tools/build-managers/waf/default.nix
+++ b/pkgs/by-name/wa/waf/package.nix
@@ -73,7 +73,7 @@ stdenv.mkDerivation (finalAttrs: {
changelog = "https://gitlab.com/ita1024/waf/blob/${finalAttrs.version}/ChangeLog";
license = lib.licenses.bsd3;
mainProgram = "waf";
- maintainers = with lib.maintainers; [ AndersonTorres vrthra ];
+ maintainers = with lib.maintainers; [ AndersonTorres ];
inherit (python3.meta) platforms;
sourceProvenance = [ lib.sourceTypes.fromSource ];
};
diff --git a/pkgs/development/tools/build-managers/waf/setup-hook.sh b/pkgs/by-name/wa/waf/setup-hook.sh
similarity index 100%
rename from pkgs/development/tools/build-managers/waf/setup-hook.sh
rename to pkgs/by-name/wa/waf/setup-hook.sh
diff --git a/pkgs/by-name/wa/warp-terminal/package.nix b/pkgs/by-name/wa/warp-terminal/package.nix
index 8544439986dd..972afafda567 100644
--- a/pkgs/by-name/wa/warp-terminal/package.nix
+++ b/pkgs/by-name/wa/warp-terminal/package.nix
@@ -1,15 +1,77 @@
{ lib
, stdenvNoCC
+, stdenv
, fetchurl
+, autoPatchelfHook
, undmg
+, zstd
+, curl
+, fontconfig
+, libglvnd
+, libxkbcommon
+, vulkan-loader
+, xdg-utils
+, xorg
+, zlib
}:
-stdenvNoCC.mkDerivation (finalAttrs: {
- pname = "warp-terminal";
- version = "0.2023.12.05.08.02.stable_00";
+let
+pname = "warp-terminal";
+versions = lib.importJSON ./versions.json;
+passthru.updateScript = ./update.sh;
+
+linux = stdenv.mkDerivation (finalAttrs: {
+ inherit pname meta passthru;
+ inherit (versions.linux) version;
src = fetchurl {
+ inherit (versions.linux) hash;
+ url = "https://releases.warp.dev/stable/v${finalAttrs.version}/warp-terminal-v${finalAttrs.version}-1-x86_64.pkg.tar.zst";
+ };
+
+ sourceRoot = ".";
+
+ postPatch = ''
+ substituteInPlace usr/bin/warp-terminal \
+ --replace-fail /opt/ $out/opt/
+ '';
+
+ nativeBuildInputs = [ autoPatchelfHook zstd ];
+
+ buildInputs = [
+ curl
+ fontconfig
+ stdenv.cc.cc.lib # libstdc++.so libgcc_s.so
+ zlib
+ ];
+
+ runtimeDependencies = [
+ libglvnd # for libegl
+ libxkbcommon
+ stdenv.cc.libc
+ vulkan-loader
+ xdg-utils
+ xorg.libX11
+ xorg.libxcb
+ xorg.libXcursor
+ xorg.libXi
+ ];
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir $out
+ cp -r opt usr/* $out
+
+ runHook postInstall
+ '';
+});
+
+darwin = stdenvNoCC.mkDerivation (finalAttrs: {
+ inherit pname meta passthru;
+ inherit (versions.darwin) version;
+ src = fetchurl {
+ inherit (versions.darwin) hash;
url = "https://releases.warp.dev/stable/v${finalAttrs.version}/Warp.dmg";
- hash = "sha256-9olAmczIPRXV15NYCOYmwuEmJ7lMeaQRTTfukaYXMR0=";
};
sourceRoot = ".";
@@ -24,13 +86,18 @@ stdenvNoCC.mkDerivation (finalAttrs: {
runHook postInstall
'';
+});
- meta = with lib; {
- description = "Rust-based terminal";
- homepage = "https://www.warp.dev";
- license = licenses.unfree;
- sourceProvenance = with lib.sourceTypes; [ binaryNativeCode ];
- maintainers = with maintainers; [ emilytrau Enzime ];
- platforms = platforms.darwin;
- };
-})
+meta = with lib; {
+ description = "Rust-based terminal";
+ homepage = "https://www.warp.dev";
+ license = licenses.unfree;
+ sourceProvenance = with lib.sourceTypes; [ binaryNativeCode ];
+ maintainers = with maintainers; [ emilytrau Enzime imadnyc ];
+ platforms = platforms.darwin ++ [ "x86_64-linux" ];
+};
+
+in
+if stdenvNoCC.isDarwin
+then darwin
+else linux
diff --git a/pkgs/by-name/wa/warp-terminal/update.sh b/pkgs/by-name/wa/warp-terminal/update.sh
new file mode 100755
index 000000000000..2c9c69dc0cec
--- /dev/null
+++ b/pkgs/by-name/wa/warp-terminal/update.sh
@@ -0,0 +1,75 @@
+#!/usr/bin/env nix-shell
+#!nix-shell -i bash -p cacert curl jq nix moreutils --pure
+#shellcheck shell=bash
+set -eu -o pipefail
+
+cd "$(dirname "$0")"
+nixpkgs=../../../../.
+
+err() {
+ echo "$*" >&2
+ exit 1
+}
+
+json_get() {
+ jq -r "$1" < "./versions.json"
+}
+
+json_set() {
+ jq --arg x "$2" "$1 = \$x" < "./versions.json" | sponge "./versions.json"
+}
+
+resolve_url() {
+ local pkg sfx url
+ local -i i max_redirects
+ case "$1" in
+ darwin)
+ pkg=macos
+ sfx=dmg
+ ;;
+ linux)
+ pkg=pacman
+ sfx=pkg.tar.zst
+ ;;
+ *)
+ err "Unexpected download type: $1"
+ ;;
+ esac
+ url="https://app.warp.dev/download?package=${pkg}"
+ ((max_redirects = 15))
+ for ((i = 0; i < max_redirects; i++)); do
+ url=$(curl -s -o /dev/null -w '%{redirect_url}' "${url}")
+ [[ ${url} != *.${sfx} ]] || break
+ done
+ ((i < max_redirects)) || { err "too many redirects"; }
+ echo "${url}"
+}
+
+get_version() {
+ echo "$1" | grep -oP -m 1 '(?<=/v)[\d.\w]+(?=/)'
+}
+
+# nix-prefect-url seems to be uncompressing the archive then taking the hash
+# so just get the hash from fetchurl
+sri_get() {
+ local ouput sri
+ output=$(nix-build --expr \
+ "with import $nixpkgs {};
+ fetchurl {
+ url = \"$1\";
+ }" 2>&1 || true)
+ sri=$(echo "$output" | awk '/^\s+got:\s+/{ print $2 }')
+ [[ -z "$sri" ]] && err "$output"
+ echo "$sri"
+}
+
+
+for sys in darwin linux; do
+ url=$(resolve_url ${sys})
+ version=$(get_version "${url}")
+ if [[ ${version} != "$(json_get ".${sys}.version")" ]]; then
+ sri=$(sri_get "${url}")
+ json_set ".${sys}.version" "${version}"
+ json_set ".${sys}.hash" "${sri}"
+ fi
+done
diff --git a/pkgs/by-name/wa/warp-terminal/versions.json b/pkgs/by-name/wa/warp-terminal/versions.json
new file mode 100644
index 000000000000..154c7ffdeccc
--- /dev/null
+++ b/pkgs/by-name/wa/warp-terminal/versions.json
@@ -0,0 +1,10 @@
+{
+ "darwin": {
+ "hash": "sha256-aOw/c6Y+4x6kwxcwnajHh92ZZDeaM/Y1df76HgUjVn8=",
+ "version": "0.2024.03.19.08.01.stable_01"
+ },
+ "linux": {
+ "hash": "sha256-efnYh48xcLneeotH9iSY0xQRgMXI/erM6F2fIH38yjY=",
+ "version": "0.2024.03.19.08.01.stable_01"
+ }
+}
diff --git a/pkgs/by-name/wa/waycheck/package.nix b/pkgs/by-name/wa/waycheck/package.nix
index 0dc22a3d50af..ddbd67e88220 100644
--- a/pkgs/by-name/wa/waycheck/package.nix
+++ b/pkgs/by-name/wa/waycheck/package.nix
@@ -12,14 +12,14 @@
stdenv.mkDerivation (finalAttrs: {
pname = "waycheck";
- version = "1.1.0";
+ version = "1.1.1";
src = fetchFromGitLab {
domain = "gitlab.freedesktop.org";
owner = "serebit";
repo = "waycheck";
rev = "v${finalAttrs.version}";
- hash = "sha256-y8fuy2ed2yPRiqusMZBD7mzFBDavmdByBzEaI6P5byk=";
+ hash = "sha256-kwkdTMA15oJHz9AXEkBGeuzYdEUpNuv/xnhzoKOHCE4=";
};
nativeBuildInputs = [
@@ -47,12 +47,12 @@ stdenv.mkDerivation (finalAttrs: {
--replace "update-desktop-database -q" "update-desktop-database $out/share/applications"
'';
- meta = with lib; {
+ meta = {
description = "Simple GUI that displays the protocols implemented by a Wayland compositor";
homepage = "https://gitlab.freedesktop.org/serebit/waycheck";
- license = licenses.asl20;
- maintainers = with maintainers; [ julienmalka federicoschonborn ];
+ license = lib.licenses.asl20;
+ maintainers = with lib.maintainers; [ julienmalka federicoschonborn ];
mainProgram = "waycheck";
- platforms = platforms.linux;
+ platforms = lib.platforms.linux;
};
})
diff --git a/pkgs/by-name/wa/wayland-logout/package.nix b/pkgs/by-name/wa/wayland-logout/package.nix
index 22e197a4987a..272b3dfbe06f 100644
--- a/pkgs/by-name/wa/wayland-logout/package.nix
+++ b/pkgs/by-name/wa/wayland-logout/package.nix
@@ -19,6 +19,7 @@ stdenv.mkDerivation rec {
description = ''
A utility designed to kill a single instance of a wayland compositor
'';
+ mainProgram = "wayland-logout";
homepage = "https://github.com/soreau/wayland-logout";
maintainers = with maintainers; [quantenzitrone];
license = with licenses; [mit];
diff --git a/pkgs/by-name/wa/wayland-pipewire-idle-inhibit/package.nix b/pkgs/by-name/wa/wayland-pipewire-idle-inhibit/package.nix
new file mode 100644
index 000000000000..849b7c7a090e
--- /dev/null
+++ b/pkgs/by-name/wa/wayland-pipewire-idle-inhibit/package.nix
@@ -0,0 +1,42 @@
+{ lib
+, fetchFromGitHub
+, pipewire
+, pkg-config
+, rustPlatform
+, wayland
+, wayland-protocols
+}:
+rustPlatform.buildRustPackage rec {
+ pname = "wayland-pipewire-idle-inhibit";
+ version = "0.4.5";
+
+ src = fetchFromGitHub {
+ owner = "rafaelrc7";
+ repo = "wayland-pipewire-idle-inhibit";
+ rev = "v${version}";
+ sha256 = "sha256-VOP1VOeXOyjn+AJfSHzVNT0l+rgm63ev9p4uTfMfYY0=";
+ };
+
+ cargoSha256 = "sha256-7XuDZ57+F8Ot5oNO9/BXjFljNmoMgNgURfmPEIy2PHo=";
+
+ nativeBuildInputs = [
+ pkg-config
+ rustPlatform.bindgenHook
+ ];
+
+ buildInputs = [
+ pipewire
+ wayland
+ wayland-protocols
+ ];
+
+ meta = with lib; {
+ description = "Suspends automatic idling of Wayland compositors when media is being played through Pipewire.";
+ homepage = "https://github.com/rafaelrc7/wayland-pipewire-idle-inhibit/";
+ license = licenses.gpl3Only;
+ platforms = platforms.linux;
+ maintainers = with maintainers; [ rafameou ];
+ mainProgram = "wayland-pipewire-idle-inhibit";
+ };
+}
+
diff --git a/pkgs/by-name/we/weasis/package.nix b/pkgs/by-name/we/weasis/package.nix
index 4e15d43524fb..0637fea1c58f 100644
--- a/pkgs/by-name/we/weasis/package.nix
+++ b/pkgs/by-name/we/weasis/package.nix
@@ -14,12 +14,12 @@ let
in stdenv.mkDerivation rec {
pname = "weasis";
- version = "4.2.1";
+ version = "4.3.0";
# Their build instructions indicate to use the packaging script
src = fetchzip {
url = "https://github.com/nroduit/Weasis/releases/download/v${version}/weasis-native.zip";
- hash = "sha256-HDlylpe8cHZRaIXndfGh6XmUn8o2PQB1Av7hLCp679U=";
+ hash = "sha256-4Ew7RG8eM8pa6AiblREgt03fGOQVKVzkQMR87GIJIVM=";
stripRoot = false;
};
diff --git a/pkgs/by-name/wh/whistle/package.nix b/pkgs/by-name/wh/whistle/package.nix
index 3cf9fc45dc9c..9f1adad1ef72 100644
--- a/pkgs/by-name/wh/whistle/package.nix
+++ b/pkgs/by-name/wh/whistle/package.nix
@@ -2,16 +2,16 @@
buildNpmPackage rec {
pname = "whistle";
- version = "2.9.64";
+ version = "2.9.66";
src = fetchFromGitHub {
owner = "avwo";
repo = "whistle";
rev = "v${version}";
- hash = "sha256-dK9oaTm4l170COZ8Gm6Suc821DPUYg8euIo6l+EQYEo=";
+ hash = "sha256-bKHpbTdKFbeQGPl2HFcXi6y27s0JylyUXKg9oAcuSXo=";
};
- npmDepsHash = "sha256-0YnWmCI0UVQPegwHEKiCmow5LA4kjQVKctWRwHW0Ufg=";
+ npmDepsHash = "sha256-34KrqF534igGsLfOUxJN5dhtj2rrKGbkUUWr6p7V/Go=";
dontNpmBuild = true;
diff --git a/pkgs/by-name/wi/wiremock/package.nix b/pkgs/by-name/wi/wiremock/package.nix
index 0e4e5659318b..5f5d4aa00955 100644
--- a/pkgs/by-name/wi/wiremock/package.nix
+++ b/pkgs/by-name/wi/wiremock/package.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "wiremock";
- version = "3.3.1";
+ version = "3.4.2";
src = fetchurl {
url = "mirror://maven/org/wiremock/wiremock-standalone/${version}/wiremock-standalone-${version}.jar";
- hash = "sha256-VgUJeQJeHNmmX1cS2s5hTljQZ8fIYr9uHYWMXjZjJzY=";
+ hash = "sha256-Btf7oQRmfQnHdl5DawF2xOczDrR/5Po/9NytgqTLkVQ=";
};
dontUnpack = true;
diff --git a/pkgs/tools/misc/wit-bindgen/default.nix b/pkgs/by-name/wi/wit-bindgen/package.nix
similarity index 81%
rename from pkgs/tools/misc/wit-bindgen/default.nix
rename to pkgs/by-name/wi/wit-bindgen/package.nix
index 9f0fbe1f4565..5d6127e9c596 100644
--- a/pkgs/tools/misc/wit-bindgen/default.nix
+++ b/pkgs/by-name/wi/wit-bindgen/package.nix
@@ -5,16 +5,16 @@
rustPlatform.buildRustPackage rec {
pname = "wit-bindgen";
- version = "0.17.0";
+ version = "0.22.0";
src = fetchFromGitHub {
owner = "bytecodealliance";
repo = "wit-bindgen";
- rev = "wit-bindgen-cli-${version}";
- hash = "sha256-eFJkr/RqQdULPquCVbjhR8843aGjeEnUCF/Ws2U5fQk=";
+ rev = "v${version}";
+ hash = "sha256-qPFw2ecnyZA3TV95AbJFWnWhV8zHQ/bq+MDRduVNl6s=";
};
- cargoHash = "sha256-934lHOXzE2cLW6LMM0AQl/GJt4wSIKZlY1C7gmjGVrc=";
+ cargoHash = "sha256-BN425+tKgIvx+RvIedRCPig3kpbHrxrFdQe99voNkVc=";
# Some tests fail because they need network access to install the `wasm32-unknown-unknown` target.
# However, GitHub Actions ensures a proper build.
diff --git a/pkgs/by-name/wl/wl-clipboard-rs/package.nix b/pkgs/by-name/wl/wl-clipboard-rs/package.nix
index 4fab6ae6574e..509845a637ee 100644
--- a/pkgs/by-name/wl/wl-clipboard-rs/package.nix
+++ b/pkgs/by-name/wl/wl-clipboard-rs/package.nix
@@ -6,18 +6,18 @@
, withNativeLibs ? false
}:
-rustPlatform.buildRustPackage {
+rustPlatform.buildRustPackage rec {
pname = "wl-clipboard-rs";
- version = "0.8.0-unstable-2023-11-27";
+ version = "0.8.1";
src = fetchFromGitHub {
owner = "YaLTeR";
repo = "wl-clipboard-rs";
- rev = "be851408e0f91edffdc2f1a76805035847f9f8a9";
- hash = "sha256-OfLn7izG1KSUjdd2gO4aaSCDlcaWoFiFmgwwhR1hRsQ=";
+ rev = "v${version}";
+ hash = "sha256-tNmpGBg21IuhKEzY15O2MKVpMB+eCjvRVwVUahADuJU=";
};
- cargoHash = "sha256-rYFCPyWTUhyrEcoRM8I+iX7IaY/6i1tBVjhs47m3XY8=";
+ cargoHash = "sha256-0Ix+fF1QO1KU8FIOb8EV4iYXe4S69sZOxCdxYccL8m0=";
cargoBuildFlags = [
"--package=wl-clipboard-rs"
@@ -47,14 +47,13 @@ rustPlatform.buildRustPackage {
"--skip=tests::copy::copy_test"
];
- meta = {
+ meta = with lib; {
description = "Command-line copy/paste utilities for Wayland, written in Rust";
homepage = "https://github.com/YaLTeR/wl-clipboard-rs";
- # TODO: add `${version}` once we switch to tagged release
- changelog = "https://github.com/YaLTeR/wl-clipboard-rs/blob/master/CHANGELOG.md";
- platforms = lib.platforms.linux;
- license = with lib.licenses; [ asl20 mit ];
+ changelog = "https://github.com/YaLTeR/wl-clipboard-rs/blob/v${version}/CHANGELOG.md";
+ platforms = platforms.linux;
+ license = with licenses; [ asl20 mit ];
mainProgram = "wl-clip";
- maintainers = with lib.maintainers; [ thiagokokada ];
+ maintainers = with maintainers; [ thiagokokada donovanglover ];
};
}
diff --git a/pkgs/tools/wayland/wlogout/default.nix b/pkgs/by-name/wl/wlogout/package.nix
similarity index 58%
rename from pkgs/tools/wayland/wlogout/default.nix
rename to pkgs/by-name/wl/wlogout/package.nix
index 2be33bee6ac0..0107dbad89ba 100644
--- a/pkgs/tools/wayland/wlogout/default.nix
+++ b/pkgs/by-name/wl/wlogout/package.nix
@@ -1,15 +1,16 @@
{ lib
-, stdenv
, fetchFromGitHub
-, pkg-config
-, meson
-, ninja
-, scdoc
+, gitUpdater
+, gtk-layer-shell
, gtk3
, libxkbcommon
+, meson
+, ninja
+, pkg-config
+, scdoc
+, stdenv
, wayland
, wayland-protocols
-, gtk-layer-shell
# gtk-layer-shell fails to cross-compile due to a hard dependency
# on gobject-introspection.
# Disable it when cross-compiling since it's an optional dependency.
@@ -17,20 +18,30 @@
, withGtkLayerShell ? (stdenv.buildPlatform == stdenv.hostPlatform)
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "wlogout";
- version = "1.1.1";
+ version = "1.2.1";
src = fetchFromGitHub {
owner = "ArtsyMacaw";
repo = "wlogout";
- rev = version;
- sha256 = "cTscfx+erHVFHwwYpN7pADQWt5sq75sQSyXSP/H8kOs=";
+ rev = finalAttrs.version;
+ hash = "sha256-n8r+E6GXXjyDYBTOMiv5musamaUFSpRTM2qHgb047og=";
};
- strictDeps = true;
- depsBuildBuild = [ pkg-config ];
- nativeBuildInputs = [ pkg-config meson ninja scdoc ];
+ outputs = [ "out" "man" ];
+
+ depsBuildBuild = [
+ pkg-config
+ ];
+
+ nativeBuildInputs = [
+ meson
+ ninja
+ pkg-config
+ scdoc
+ ];
+
buildInputs = [
gtk3
libxkbcommon
@@ -40,26 +51,32 @@ stdenv.mkDerivation rec {
gtk-layer-shell
];
- postPatch = ''
- substituteInPlace style.css \
- --replace "/usr/share/wlogout" "$out/share/${pname}"
-
- substituteInPlace main.c \
- --replace "/etc/wlogout" "$out/etc/${pname}"
- '';
+ strictDeps = true;
mesonFlags = [
"--datadir=${placeholder "out"}/share"
"--sysconfdir=${placeholder "out"}/etc"
];
- meta = with lib; {
+ postPatch = ''
+ substituteInPlace style.css \
+ --replace "/usr/share/wlogout" "$out/share/wlogout"
+
+ substituteInPlace main.c \
+ --replace "/etc/wlogout" "$out/etc/wlogout"
+ '';
+
+ passthru = {
+ updateScript = gitUpdater { };
+ };
+
+ meta = {
homepage = "https://github.com/ArtsyMacaw/wlogout";
description = "A wayland based logout menu";
- license = licenses.mit;
- maintainers = with maintainers; [ AndersonTorres ];
- platforms = platforms.linux;
+ changelog = "https://github.com/ArtsyMacaw/wlogout/releases/tag/${finalAttrs.src.rev}";
+ license = with lib.licenses; [ mit ];
mainProgram = "wlogout";
+ maintainers = with lib.maintainers; [ AndersonTorres ];
+ inherit (wayland.meta) platforms;
};
-}
-# TODO: shell completions
+})
diff --git a/pkgs/by-name/wo/worker/package.nix b/pkgs/by-name/wo/worker/package.nix
index 9ffa65245cdf..4070cf2ad4e5 100644
--- a/pkgs/by-name/wo/worker/package.nix
+++ b/pkgs/by-name/wo/worker/package.nix
@@ -6,11 +6,11 @@
stdenv.mkDerivation (finalAttrs: {
pname = "worker";
- version = "5.0.1";
+ version = "5.0.2";
src = fetchurl {
url = "http://www.boomerangsworld.de/cms/worker/downloads/worker-${finalAttrs.version}.tar.gz";
- hash = "sha256-7bnJ7w7ejK5VDkna52jCtHKD8iiNOdXCpU0wx7RtkxA=";
+ hash = "sha256-k4HgIXuy0q72pHhGU+AuIwCUNMH/icasEXWIFc/w5Mo=";
};
buildInputs = [ libX11 ];
diff --git a/pkgs/by-name/wp/wp-cli/package.nix b/pkgs/by-name/wp/wp-cli/package.nix
index 396930d858e8..e9653a3b8abf 100644
--- a/pkgs/by-name/wp/wp-cli/package.nix
+++ b/pkgs/by-name/wp/wp-cli/package.nix
@@ -9,7 +9,7 @@
}:
let
- version = "2.9.0";
+ version = "2.10.0";
completion = fetchurl {
url = "https://raw.githubusercontent.com/wp-cli/wp-cli/v${version}/utils/wp-completion.bash";
@@ -33,7 +33,7 @@ stdenv.mkDerivation (finalAttrs: {
src = fetchurl {
url = "https://github.com/wp-cli/wp-cli/releases/download/v${version}/wp-cli-${version}.phar";
- hash = "sha256-r2t8zCHtCQfLUE21oFnw4SAReQWmAXv91Ddc7jyT2GQ=";
+ hash = "sha256-TGqTzsrn9JnKSB+nptbUKZyLkyFOXlMI4mdw2/02Md8=";
};
dontUnpack = true;
@@ -72,6 +72,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "A command line interface for WordPress";
homepage = "https://wp-cli.org";
+ changelog = "https://github.com/wp-cli/wp-cli/releases/tag/v${version}";
license = licenses.mit;
maintainers = with maintainers; [ peterhoeg ];
platforms = platforms.all;
diff --git a/pkgs/tools/system/wslu/fallback-conf-nix-store.diff b/pkgs/by-name/ws/wslu/fallback-conf-nix-store.diff
similarity index 100%
rename from pkgs/tools/system/wslu/fallback-conf-nix-store.diff
rename to pkgs/by-name/ws/wslu/fallback-conf-nix-store.diff
diff --git a/pkgs/by-name/ws/wslu/fix-desktop-item.patch b/pkgs/by-name/ws/wslu/fix-desktop-item.patch
new file mode 100644
index 000000000000..5645cff98ae6
--- /dev/null
+++ b/pkgs/by-name/ws/wslu/fix-desktop-item.patch
@@ -0,0 +1,39 @@
+From f63028c5712a89cb310165cde9701538fa85ce46 Mon Sep 17 00:00:00 2001
+From: =?UTF-8?q?=C3=A9clairevoyant?=
+ <848000+eclairevoyant@users.noreply.github.com>
+Date: Wed, 6 Mar 2024 06:09:44 -0500
+Subject: [PATCH] fix desktop item
+
+---
+ Makefile | 1 -
+ src/etc/wslview.desktop | 2 +-
+ 2 files changed, 1 insertion(+), 2 deletions(-)
+
+diff --git a/Makefile b/Makefile
+index 2399009..e823882 100644
+--- a/Makefile
++++ b/Makefile
+@@ -59,7 +59,6 @@ res_install:
+ install -Dm 644 src/etc/*.ps1 -t $(DESTDIR)$(PREFIX)/share/wslu
+ install -Dm 644 src/etc/*.ico -t $(DESTDIR)$(PREFIX)/share/wslu
+ install -Dm 755 src/etc/*.sh -t $(DESTDIR)$(PREFIX)/share/wslu
+- install -Dm 644 src/etc/*.desktop $(DESTDIR)$(PREFIX)/share/wslu
+ install -Dm 644 src/etc/conf $(DESTDIR)$(PREFIX)/share/wslu
+
+ conf_install:
+diff --git a/src/etc/wslview.desktop b/src/etc/wslview.desktop
+index ebb84b6..235a365 100644
+--- a/src/etc/wslview.desktop
++++ b/src/etc/wslview.desktop
+@@ -2,7 +2,7 @@
+ Name=WSLView
+ Comment=Open files and addresses in Windows
+ Icon=windows
+-Exec=/usr/bin/wslview %U
++Exec=wslview %U
+ Terminal=false
+ Type=Application
+ Categories=Utility;
+--
+2.43.1
+
diff --git a/pkgs/tools/system/wslu/default.nix b/pkgs/by-name/ws/wslu/package.nix
similarity index 74%
rename from pkgs/tools/system/wslu/default.nix
rename to pkgs/by-name/ws/wslu/package.nix
index 6337e479bb31..b45d566b0f85 100644
--- a/pkgs/tools/system/wslu/default.nix
+++ b/pkgs/by-name/ws/wslu/package.nix
@@ -1,21 +1,25 @@
{ lib
, stdenv
, fetchFromGitHub
+, copyDesktopItems
}:
stdenv.mkDerivation rec {
pname = "wslu";
- version = "4.1.1";
+ version = "4.1.2";
src = fetchFromGitHub {
owner = "wslutilities";
- repo = pname;
+ repo = "wslu";
rev = "v${version}";
- hash = "sha256-yhugh836BoSISbTu19ubLOrz5X31Opu5QtCR0DXrbWc=";
+ hash = "sha256-rmNGKayg8Y872yICilveMpDFBLkDZ6Ox8rqtWrK2om8=";
};
+ nativeBuildInputs = [ copyDesktopItems ];
+
patches = [
./fallback-conf-nix-store.diff
+ ./fix-desktop-item.patch
];
postPatch = ''
@@ -28,6 +32,8 @@ stdenv.mkDerivation rec {
"PREFIX="
];
+ desktopItems = [ "src/etc/wslview.desktop" ];
+
meta = with lib; {
description = "A collection of utilities for Windows Subsystem for Linux";
homepage = "https://github.com/wslutilities/wslu";
diff --git a/pkgs/by-name/wt/wtfis/package.nix b/pkgs/by-name/wt/wtfis/package.nix
index 720d08a06093..56d302208d9c 100644
--- a/pkgs/by-name/wt/wtfis/package.nix
+++ b/pkgs/by-name/wt/wtfis/package.nix
@@ -5,12 +5,12 @@
let
pname = "wtfis";
- version = "0.7.1";
+ version = "0.8.0";
src = fetchFromGitHub {
owner = "pirxthepilot";
repo = "wtfis";
rev = "refs/tags/v${version}";
- hash = "sha256-X3e0icyhNPg8P6+N9k6a9WwBJ8bXRPdo3fj4cj+yY6w=";
+ hash = "sha256-eSmvyDr8PbB15UWIl67Qp2qHeOq+dmnP8eMsvcGypVw=";
};
in python3.pkgs.buildPythonApplication {
inherit pname version src;
@@ -41,6 +41,7 @@ in python3.pkgs.buildPythonApplication {
meta = {
homepage = "https://github.com/pirxthepilot/wtfis";
description = "Passive hostname, domain and IP lookup tool for non-robots";
+ mainProgram = "wtfis";
license = lib.licenses.mit;
maintainers = [ lib.maintainers.AndersonTorres ];
};
diff --git a/pkgs/by-name/x5/x509-limbo/package.nix b/pkgs/by-name/x5/x509-limbo/package.nix
new file mode 100644
index 000000000000..2cb4625e04fa
--- /dev/null
+++ b/pkgs/by-name/x5/x509-limbo/package.nix
@@ -0,0 +1,49 @@
+{ lib
+, fetchFromGitHub
+, python3
+, x509-limbo
+}:
+
+python3.pkgs.buildPythonPackage {
+ pname = "x509-limbo";
+ version = "unstable-2024-03-13";
+ pyproject = true;
+
+ src = fetchFromGitHub {
+ owner = "C2SP";
+ repo = "x509-limbo";
+ rev = "a04fb05cf132e1405f71c12616cf0aead829909a";
+ hash = "sha256-TA4ciHkXg/RKzcIs2bwpx7CxsQDyQMG636Rr74xPsBA=";
+ };
+
+ dependencies = with python3.pkgs; [
+ flit-core
+
+ requests
+ pydantic
+ jinja2
+ cryptography
+ pyopenssl
+ pyyaml
+ certvalidator
+ certifi
+ ];
+
+ postInstall = ''
+ mkdir -p $out/share
+ cp limbo.json $out/share/
+
+ wrapProgram $out/bin/limbo \
+ --append-flags "--limbo $out/share/limbo.json"
+ '';
+
+ meta = with lib; {
+ homepage = "https://x509-limbo.com/";
+ description = "A suite of testvectors for X.509 certificate path validation and tools for building them ";
+ mainProgram = "limbo";
+
+ license = licenses.asl20;
+ platforms = platforms.all;
+ maintainers = with maintainers; [ baloo ];
+ };
+}
diff --git a/pkgs/by-name/xa/xarcan/package.nix b/pkgs/by-name/xa/xarcan/package.nix
index fb43766e7207..2f10a984aa37 100644
--- a/pkgs/by-name/xa/xarcan/package.nix
+++ b/pkgs/by-name/xa/xarcan/package.nix
@@ -107,6 +107,7 @@ stdenv.mkDerivation (finalPackages: {
meta = {
homepage = "https://github.com/letoram/letoram";
description = "Patched Xserver that bridges connections to Arcan";
+ mainProgram = "Xarcan";
longDescription = ''
xarcan is a patched X server with a KDrive backend that uses the
arcan-shmif to map Xlib/Xcb/X clients to a running arcan instance. It
diff --git a/pkgs/by-name/xc/xcbeautify/package.nix b/pkgs/by-name/xc/xcbeautify/package.nix
new file mode 100644
index 000000000000..5ad33771d358
--- /dev/null
+++ b/pkgs/by-name/xc/xcbeautify/package.nix
@@ -0,0 +1,41 @@
+{ lib
+, stdenv
+, fetchurl
+, unzip
+}:
+
+stdenv.mkDerivation rec {
+ pname = "xcbeautify";
+ version = "1.4.0";
+
+ src = fetchurl {
+ url = "https://github.com/cpisciotta/xcbeautify/releases/download/${version}/xcbeautify-${version}-${stdenv.hostPlatform.darwinArch}-apple-macosx.zip";
+ hash = lib.getAttr stdenv.hostPlatform.darwinArch {
+ arm64 = "sha256-4b4mXT5IfNOS8iOrZASDhTrmOehG4mePcoiKxR+IdZk=";
+ x86_64 = "sha256-adEfAK7n3Q/Yd1deyJx7htX7hZaGDztEeBv4z2A0wzg=";
+ };
+ };
+
+ nativeBuildInputs = [ unzip ];
+
+ unpackPhase = ''
+ unzip $src
+ '';
+
+ installPhase = ''
+ runHook preInstall
+
+ install -D xcbeautify $out/bin/xcbeautify
+
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ description = "Little beautifier tool for xcodebuild";
+ homepage = "https://github.com/cpisciotta/xcbeautify";
+ license = licenses.mit;
+ platforms = platforms.darwin;
+ mainProgram = "xcbeautify";
+ maintainers = with maintainers; [ siddarthkay ];
+ };
+}
diff --git a/pkgs/by-name/xc/xclicker/package.nix b/pkgs/by-name/xc/xclicker/package.nix
new file mode 100644
index 000000000000..3a6597dc4832
--- /dev/null
+++ b/pkgs/by-name/xc/xclicker/package.nix
@@ -0,0 +1,63 @@
+{ lib
+, stdenv
+, fetchFromGitHub
+, fetchpatch
+, meson
+, ninja
+, pkg-config
+, wrapGAppsHook
+, gtk3
+, libXtst
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "xclicker";
+ version = "1.5.0";
+
+ src = fetchFromGitHub {
+ owner = "robiot";
+ repo = "xclicker";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-3D49iMzCCT9Z2Pf5INHYFZusG0BQI7La7lLaSVM/4mc=";
+ };
+
+ patches = [
+ (fetchpatch {
+ name = "fix-malloc-size.patch";
+ url = "https://github.com/robiot/xclicker/commit/c99f69a747e9df75fb3676be20a3ec805526d022.patch";
+ hash = "sha256-ESbMBusJVNfbGxlEn1Kby00mnXvM5H0r03bX5ofC6Fg=";
+ })
+ ];
+
+ nativeBuildInputs = [
+ meson
+ ninja
+ pkg-config
+ wrapGAppsHook
+ ];
+
+ buildInputs = [
+ gtk3
+ libXtst
+ ];
+
+ mesonBuildType = "release";
+
+ installPhase = ''
+ runHook preInstall
+ install -Dm755 ./src/xclicker $out/bin/xclicker
+ install -Dm644 $src/assets/xclicker.desktop $out/share/applications/xclicker.desktop
+ install -Dm644 $src/assets/icon.png $out/share/pixmaps/xclicker.png
+ runHook postInstall
+ '';
+
+ meta = {
+ changelog = "https://github.com/robiot/xclicker/releases/tag/${finalAttrs.src.rev}";
+ description = "Fast gui autoclicker for x11 linux desktops";
+ homepage = "https://xclicker.xyz/";
+ license = lib.licenses.gpl3Only;
+ mainProgram = "xclicker";
+ maintainers = with lib.maintainers; [ tomasajt ];
+ platforms = lib.platforms.linux;
+ };
+})
diff --git a/pkgs/by-name/xd/xdg-desktop-portal-cosmic/package.nix b/pkgs/by-name/xd/xdg-desktop-portal-cosmic/package.nix
index ea90ed1c9fea..6009c29aab5b 100644
--- a/pkgs/by-name/xd/xdg-desktop-portal-cosmic/package.nix
+++ b/pkgs/by-name/xd/xdg-desktop-portal-cosmic/package.nix
@@ -56,6 +56,7 @@ rustPlatform.buildRustPackage rec {
description = "XDG Desktop Portal for the COSMIC Desktop Environment";
license = licenses.gpl3Only;
maintainers = with maintainers; [ nyanbinary ];
+ mainProgram = "xdg-desktop-portal-cosmic";
platforms = platforms.linux;
};
}
diff --git a/pkgs/by-name/xd/xdg-desktop-portal-shana/package.nix b/pkgs/by-name/xd/xdg-desktop-portal-shana/package.nix
new file mode 100644
index 000000000000..a70ad3496364
--- /dev/null
+++ b/pkgs/by-name/xd/xdg-desktop-portal-shana/package.nix
@@ -0,0 +1,44 @@
+{ lib
+, rustPlatform
+, fetchFromGitHub
+, meson
+, ninja
+, xdg-desktop-portal
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "xdg-desktop-portal-shana";
+ version = "0.3.11";
+
+ src = fetchFromGitHub {
+ owner = "Decodetalkers";
+ repo = "xdg-desktop-portal-shana";
+ rev = "v${version}";
+ sha256 = "sha256-bUskzFDd4qjH4Isp6vAJHe5qzgCLudQbkh+JNNTSMu8=";
+ };
+
+ nativeBuildInputs = [
+ meson
+ ninja
+ ];
+
+ buildInputs = [
+ xdg-desktop-portal
+ ];
+
+ # Needed for letting meson run. rustPackage will overwrite it otherwise.
+ configurePhase = "";
+
+ mesonBuildType = "release";
+
+ cargoHash = "sha256-FzEdQePDnSCuMDqbz0ZUywDzNfbiOwottSrE+eWL9to=";
+
+ meta = with lib; {
+ description = "A filechooser portal backend for any desktop environment";
+ homepage = "https://github.com/Decodetalkers/xdg-desktop-portal-shana";
+ license = licenses.mit;
+ platforms = platforms.linux;
+ maintainers = [ maintainers.samuelefacenda ];
+ };
+
+}
diff --git a/pkgs/by-name/xd/xdg-terminal-exec/package.nix b/pkgs/by-name/xd/xdg-terminal-exec/package.nix
index 1dd07bd22396..461b7f58ee33 100644
--- a/pkgs/by-name/xd/xdg-terminal-exec/package.nix
+++ b/pkgs/by-name/xd/xdg-terminal-exec/package.nix
@@ -2,16 +2,17 @@
lib,
stdenvNoCC,
fetchFromGitHub,
+ dash,
}:
-stdenvNoCC.mkDerivation {
+stdenvNoCC.mkDerivation (finalAttrs: {
pname = "xdg-terminal-exec";
- version = "unstable-2023-12-08";
+ version = "0.9.0";
src = fetchFromGitHub {
owner = "Vladimir-csp";
repo = "xdg-terminal-exec";
- rev = "04f37d4337b6ce157d4a7338dd600a32deb43a28";
- hash = "sha256-QIPdF+/dMUEVcz5j9o+wQ4dnw2yWwz7slnLdMNETkGs=";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-uLUHvSjxIjmy0ejqLfliB6gHFRwyTWNH1RL5kTXebUM=";
};
dontBuild = true;
@@ -21,6 +22,12 @@ stdenvNoCC.mkDerivation {
runHook postInstall
'';
+ dontPatchShebangs = true;
+ postFixup = ''
+ substituteInPlace $out/bin/xdg-terminal-exec \
+ --replace-fail '#!/bin/sh' '#!${lib.getExe dash}'
+ '';
+
meta = {
description = "Proposal for XDG terminal execution utility";
homepage = "https://github.com/Vladimir-csp/xdg-terminal-exec";
@@ -29,4 +36,4 @@ stdenvNoCC.mkDerivation {
maintainers = with lib.maintainers; [quantenzitrone];
platforms = lib.platforms.unix;
};
-}
+})
diff --git a/pkgs/tools/X11/xdg-user-dirs/default.nix b/pkgs/by-name/xd/xdg-user-dirs/package.nix
similarity index 58%
rename from pkgs/tools/X11/xdg-user-dirs/default.nix
rename to pkgs/by-name/xd/xdg-user-dirs/package.nix
index f988f3cfe975..f1d4e97bc7da 100644
--- a/pkgs/tools/X11/xdg-user-dirs/default.nix
+++ b/pkgs/by-name/xd/xdg-user-dirs/package.nix
@@ -1,17 +1,30 @@
-{ lib, stdenv, fetchurl, libxslt, docbook_xsl, gettext, libiconv, makeWrapper }:
+{
+ lib,
+ stdenv,
+ fetchurl,
+ libxslt,
+ docbook_xsl,
+ gettext,
+ libiconv,
+ makeWrapper,
+}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "xdg-user-dirs";
version = "0.18";
src = fetchurl {
- url = "https://user-dirs.freedesktop.org/releases/xdg-user-dirs-${version}.tar.gz";
- sha256 = "sha256-7G8G10lc26N6cyA5+bXhV4vLKWV2/eDaQO2y9SIg3zw=";
+ url = "https://user-dirs.freedesktop.org/releases/xdg-user-dirs-${finalAttrs.version}.tar.gz";
+ hash = "sha256-7G8G10lc26N6cyA5+bXhV4vLKWV2/eDaQO2y9SIg3zw=";
};
buildInputs = lib.optionals stdenv.isDarwin [ libiconv ];
- nativeBuildInputs = [ makeWrapper libxslt docbook_xsl ] ++ lib.optionals stdenv.isDarwin [ gettext ];
+ nativeBuildInputs = [
+ makeWrapper
+ libxslt
+ docbook_xsl
+ ] ++ lib.optionals stdenv.isDarwin [ gettext ];
preFixup = ''
# fallback values need to be last
@@ -23,7 +36,8 @@ stdenv.mkDerivation rec {
homepage = "http://freedesktop.org/wiki/Software/xdg-user-dirs";
description = "A tool to help manage well known user directories like the desktop folder and the music folder";
license = licenses.gpl2;
- maintainers = with maintainers; [ ];
+ maintainers = with maintainers; [ donovanglover ];
platforms = platforms.unix;
+ mainProgram = "xdg-user-dirs-update";
};
-}
+})
diff --git a/pkgs/by-name/xd/xdg-utils-cxx/package.nix b/pkgs/by-name/xd/xdg-utils-cxx/package.nix
new file mode 100644
index 000000000000..d5c9e7c9aff8
--- /dev/null
+++ b/pkgs/by-name/xd/xdg-utils-cxx/package.nix
@@ -0,0 +1,30 @@
+{
+ lib,
+ stdenv,
+ fetchFromGitHub,
+ cmake,
+}:
+stdenv.mkDerivation rec {
+ pname = "xdg-utils-cxx";
+ version = "1.0.1";
+
+ src = fetchFromGitHub {
+ owner = "azubieta";
+ repo = "xdg-utils-cxx";
+ rev = "v${version}";
+ hash = "sha256-hEN0xqZUNfMOIrw3q+x4kEFhYoqmyn7W3f2w8AGw2wI=";
+ };
+
+ nativeBuildInputs = [
+ cmake
+ ];
+
+ meta = with lib; {
+ description = "Implementation of the FreeDesktop specifications to be used in c++ projects";
+ homepage = "https://github.com/azubieta/xdg-utils-cxx";
+ license = licenses.mit;
+ maintainers = with maintainers; [ k900 ];
+ mainProgram = "xdg-utils-cxx";
+ platforms = platforms.linux;
+ };
+}
diff --git a/pkgs/by-name/xe/xeol/package.nix b/pkgs/by-name/xe/xeol/package.nix
new file mode 100644
index 000000000000..dfbfd2c12587
--- /dev/null
+++ b/pkgs/by-name/xe/xeol/package.nix
@@ -0,0 +1,40 @@
+{ lib
+, buildGoModule
+, fetchFromGitHub
+}:
+
+buildGoModule rec {
+ pname = "xeol";
+ version = "0.9.13";
+
+ src = fetchFromGitHub {
+ owner = "xeol-io";
+ repo = "xeol";
+ rev = "refs/tags/v${version}";
+ hash = "sha256-ZBj/0/270Eo5iE6ZMcLH+CygoYW6/gXfGBldfdlGZOg=";
+ };
+
+ vendorHash = "sha256-fGOta+IsX/McUkQGOvf9ZlnCD1falDJSeU+AX359Zpw=";
+
+ subPackages = [
+ "cmd/xeol/"
+ ];
+
+ ldflags = [
+ "-w"
+ "-s"
+ "-X=main.version=${version}"
+ "-X=main.gitCommit=${src.rev}"
+ "-X=main.buildDate=1970-01-01T00:00:00Z"
+ "-X=main.gitDescription=${src.rev}"
+ ];
+
+ meta = with lib; {
+ description = "Scanner for end-of-life (EOL) software and dependencies in container images, filesystems, and SBOMs";
+ homepage = "https://github.com/xeol-io/xeol";
+ changelog = "https://github.com/xeol-io/xeol/releases/tag/v${version}";
+ license = licenses.asl20;
+ maintainers = with maintainers; [ fab ];
+ mainProgram = "xeol";
+ };
+}
diff --git a/pkgs/by-name/xf/xfs-undelete/package.nix b/pkgs/by-name/xf/xfs-undelete/package.nix
index d5da9d01fc3b..cc333fb3ec2b 100644
--- a/pkgs/by-name/xf/xfs-undelete/package.nix
+++ b/pkgs/by-name/xf/xfs-undelete/package.nix
@@ -36,6 +36,7 @@ stdenv.mkDerivation {
meta = with lib; {
description = "An undelete tool for the XFS filesystem";
+ mainProgram = "xfs_undelete";
homepage = "https://github.com/ianka/xfs_undelete";
license = licenses.gpl3;
platforms = platforms.linux;
diff --git a/pkgs/by-name/xi/xiu/package.nix b/pkgs/by-name/xi/xiu/package.nix
new file mode 100644
index 000000000000..a7551bd24332
--- /dev/null
+++ b/pkgs/by-name/xi/xiu/package.nix
@@ -0,0 +1,48 @@
+{ lib
+, cmake
+, darwin
+, fetchFromGitHub
+, libopus
+, openssl
+, pkg-config
+, rustPlatform
+, stdenv
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "xiu";
+ version = "0.12.5";
+
+ src = fetchFromGitHub {
+ owner = "harlanc";
+ repo = "xiu";
+ rev = "v${version}";
+ hash = "sha256-JST8nxsT+w524VzNeIW38Oct/n7VJ/nvrmgks2Vff30=";
+ };
+
+ cargoHash = "sha256-te60gZdDmbgOF6rLDAnvDx6vUbmCz3pC/wbu/iXgxAw=";
+
+ nativeBuildInputs = [
+ cmake
+ pkg-config
+ ];
+
+ buildInputs = [
+ libopus
+ ] ++ lib.optionals stdenv.isLinux [
+ openssl
+ ] ++ lib.optionals stdenv.isDarwin [
+ darwin.apple_sdk.frameworks.SystemConfiguration
+ ];
+
+ OPENSSL_NO_VENDOR = 1;
+
+ meta = with lib; {
+ description = "A simple, high performance and secure live media server in pure Rust (RTMP[cluster]/RTSP/WebRTC[whip/whep]/HTTP-FLV/HLS";
+ homepage = "https://github.com/harlanc/xiu";
+ changelog = "https://github.com/harlanc/xiu/releases/tag/v${version}";
+ license = licenses.mit;
+ maintainers = with maintainers; [ marsam ];
+ mainProgram = "xiu";
+ };
+}
diff --git a/pkgs/by-name/xo/xonsh/unwrapped.nix b/pkgs/by-name/xo/xonsh/unwrapped.nix
index fcc46c5b6770..b0115dc247c4 100644
--- a/pkgs/by-name/xo/xonsh/unwrapped.nix
+++ b/pkgs/by-name/xo/xonsh/unwrapped.nix
@@ -9,7 +9,7 @@
let
pname = "xonsh";
- version = "0.14.4";
+ version = "0.15.1";
in
python3.pkgs.buildPythonApplication {
inherit pname version;
@@ -21,7 +21,7 @@ python3.pkgs.buildPythonApplication {
owner = "xonsh";
repo = "xonsh";
rev = "refs/tags/${version}";
- hash = "sha256-7qOEc4RSdOO059LietKnrxY7cy9MfgmfJjdqZZ5ENLU=";
+ hash = "sha256-mHOCkUGiSSPmkIQ4tgRZIaCTLgnx39SMwug5EIx/jrU=";
};
nativeBuildInputs = with python3.pkgs; [
@@ -90,6 +90,8 @@ python3.pkgs.buildPythonApplication {
export HOME=$TMPDIR
'';
+ dontWrapPythonPrograms = true;
+
passthru = {
shellPath = "/bin/xonsh";
python = python3; # To the wrapper
diff --git a/pkgs/by-name/xp/xplr/package.nix b/pkgs/by-name/xp/xplr/package.nix
index 0d4750bf7e08..8d23449c18c6 100644
--- a/pkgs/by-name/xp/xplr/package.nix
+++ b/pkgs/by-name/xp/xplr/package.nix
@@ -2,16 +2,16 @@
rustPlatform.buildRustPackage rec {
pname = "xplr";
- version = "0.21.5";
+ version = "0.21.7";
src = fetchFromGitHub {
owner = "sayanarijit";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-Ofr9xJH/wVlBJ1n1MMecSP8SltYwjdhb7tmkTsOMoX8=";
+ sha256 = "sha256-ZitwO/XfNrlTFNepQ5Wyk1A7cwlNm6+26nPHdZ8Wh3c=";
};
- cargoHash = "sha256-1wzqWGp0qPn2sQ1v0+6NAxvIxqCIVuN0WwpNddj71Xc=";
+ cargoHash = "sha256-mfSVjm0s/tLjjygwu8TuKn92mFM3Ui6TEHkIzS0eEOA=";
# fixes `thread 'main' panicked at 'cannot find strip'` on x86_64-darwin
env = lib.optionalAttrs (stdenv.isx86_64 && stdenv.isDarwin) {
@@ -39,6 +39,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "A hackable, minimal, fast TUI file explorer";
+ mainProgram = "xplr";
homepage = "https://xplr.dev";
changelog = "https://github.com/sayanarijit/xplr/releases/tag/${src.rev}";
license = licenses.mit;
diff --git a/pkgs/by-name/xr/xr-hardware/package.nix b/pkgs/by-name/xr/xr-hardware/package.nix
new file mode 100644
index 000000000000..b2359c2673df
--- /dev/null
+++ b/pkgs/by-name/xr/xr-hardware/package.nix
@@ -0,0 +1,27 @@
+{
+ lib,
+ stdenvNoCC,
+ fetchFromGitLab
+}: stdenvNoCC.mkDerivation {
+ pname = "xr-hardware";
+ version = "unstable-2023-11-08";
+
+ src = fetchFromGitLab {
+ domain = "gitlab.freedesktop.org";
+ owner = "monado/utilities";
+ repo = "xr-hardware";
+ rev = "9204de323210d2a5ab8635c2ee52127100de67b1";
+ hash = "sha256-ZS15WODms/WKsPu+WbfILO2BOwnxrhCY/SoF8jzOX5Q=";
+ };
+
+ installTargets = "install_package";
+ installFlagsArray = "DESTDIR=${placeholder "out"}";
+
+ meta = with lib; {
+ description = "Hardware description for XR devices";
+ homepage = "https://gitlab.freedesktop.org/monado/utilities/xr-hardware";
+ license = licenses.boost;
+ maintainers = with maintainers; [ Scrumplex ];
+ platforms = platforms.linux;
+ };
+}
diff --git a/pkgs/by-name/xs/xsct/package.nix b/pkgs/by-name/xs/xsct/package.nix
index 6bec80b6b965..8e384f8ef978 100644
--- a/pkgs/by-name/xs/xsct/package.nix
+++ b/pkgs/by-name/xs/xsct/package.nix
@@ -30,6 +30,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "Set color temperature of screen";
+ mainProgram = "xsct";
homepage = "https://github.com/faf0/sct";
license = licenses.unlicense;
maintainers = with maintainers; [ OPNA2608 ];
diff --git a/pkgs/by-name/ya/yamlscript/package.nix b/pkgs/by-name/ya/yamlscript/package.nix
new file mode 100644
index 000000000000..b91ba98953ef
--- /dev/null
+++ b/pkgs/by-name/ya/yamlscript/package.nix
@@ -0,0 +1,40 @@
+{ lib, buildGraalvmNativeImage, fetchurl }:
+
+buildGraalvmNativeImage rec {
+ pname = "yamlscript";
+ version = "0.1.46";
+
+ src = fetchurl {
+ url = "https://github.com/yaml/yamlscript/releases/download/${version}/yamlscript.cli-${version}-standalone.jar";
+ hash = "sha256-eHmHliWud2ONK3lHYpLlJ1+KhvH5vuD+x5kC54ZMfWk=";
+ };
+
+ executable = "ys";
+
+ extraNativeImageBuildArgs = [
+ "--native-image-info"
+ "--no-fallback"
+ "--initialize-at-build-time"
+ "--enable-preview"
+ "-H:+ReportExceptionStackTraces"
+ "-H:IncludeResources=SCI_VERSION"
+ "-H:Log=registerResource:"
+ "-J-Dclojure.spec.skip-macros=true"
+ "-J-Dclojure.compiler.direct-linking=true"
+ ];
+
+ doInstallCheck = true;
+
+ installCheckPhase = ''
+ $out/bin/ys -e 'say: (+ 1 2)' | fgrep 3
+ '';
+
+ meta = with lib; {
+ description = "Programming in YAML";
+ homepage = "https://github.com/yaml/yamlscript";
+ sourceProvenance = with sourceTypes; [ binaryBytecode ];
+ license = licenses.mit;
+ mainProgram = "ys";
+ maintainers = with maintainers; [ sgo ];
+ };
+}
diff --git a/pkgs/by-name/ya/yazi-unwrapped/package.nix b/pkgs/by-name/ya/yazi-unwrapped/package.nix
new file mode 100644
index 000000000000..275f2cdf888a
--- /dev/null
+++ b/pkgs/by-name/ya/yazi-unwrapped/package.nix
@@ -0,0 +1,46 @@
+{ rustPlatform
+, fetchFromGitHub
+, lib
+
+, installShellFiles
+, stdenv
+, Foundation
+
+, nix-update-script
+}:
+
+rustPlatform.buildRustPackage rec {
+ pname = "yazi";
+ version = "0.2.4";
+
+ src = fetchFromGitHub {
+ owner = "sxyazi";
+ repo = pname;
+ rev = "v${version}";
+ hash = "sha256-c8fWWCOVBqQVdQch9BniCaJPrVEOCv35lLH8/hMIbvE=";
+ };
+
+ cargoHash = "sha256-VeDyO+KCD3Axse4iPIoRxIvoAn3L33e2ObBZFV/REeg=";
+
+ env.YAZI_GEN_COMPLETIONS = true;
+
+ nativeBuildInputs = [ installShellFiles ];
+ buildInputs = lib.optionals stdenv.isDarwin [ Foundation ];
+
+ postInstall = ''
+ installShellCompletion --cmd yazi \
+ --bash ./yazi-boot/completions/yazi.bash \
+ --fish ./yazi-boot/completions/yazi.fish \
+ --zsh ./yazi-boot/completions/_yazi
+ '';
+
+ passthru.updateScript = nix-update-script { };
+
+ meta = with lib; {
+ description = "Blazing fast terminal file manager written in Rust, based on async I/O";
+ homepage = "https://github.com/sxyazi/yazi";
+ license = licenses.mit;
+ maintainers = with maintainers; [ xyenon matthiasbeyer ];
+ mainProgram = "yazi";
+ };
+}
diff --git a/pkgs/by-name/ya/yazi/package.nix b/pkgs/by-name/ya/yazi/package.nix
new file mode 100644
index 000000000000..134db023edd9
--- /dev/null
+++ b/pkgs/by-name/ya/yazi/package.nix
@@ -0,0 +1,48 @@
+{ lib
+, runCommand
+, makeWrapper
+, yazi-unwrapped
+
+, withFile ? true
+, file
+, withJq ? true
+, jq
+, withPoppler ? true
+, poppler_utils
+, withUnar ? true
+, unar
+, withFfmpegthumbnailer ? true
+, ffmpegthumbnailer
+, withFd ? true
+, fd
+, withRipgrep ? true
+, ripgrep
+, withFzf ? true
+, fzf
+, withZoxide ? true
+, zoxide
+}:
+
+let
+ runtimePaths = with lib; [ ]
+ ++ optional withFile file
+ ++ optional withJq jq
+ ++ optional withPoppler poppler_utils
+ ++ optional withUnar unar
+ ++ optional withFfmpegthumbnailer ffmpegthumbnailer
+ ++ optional withFd fd
+ ++ optional withRipgrep ripgrep
+ ++ optional withFzf fzf
+ ++ optional withZoxide zoxide;
+in
+runCommand yazi-unwrapped.name
+{
+ inherit (yazi-unwrapped) pname version meta;
+
+ nativeBuildInputs = [ makeWrapper ];
+} ''
+ mkdir -p $out/bin
+ ln -s ${yazi-unwrapped}/share $out/share
+ makeWrapper ${yazi-unwrapped}/bin/yazi $out/bin/yazi \
+ --prefix PATH : "${lib.makeBinPath runtimePaths}"
+''
diff --git a/pkgs/by-name/yo/youtrack/package.nix b/pkgs/by-name/yo/youtrack/package.nix
index a3897a2a5de9..73a7537498b4 100644
--- a/pkgs/by-name/yo/youtrack/package.nix
+++ b/pkgs/by-name/yo/youtrack/package.nix
@@ -2,11 +2,11 @@
stdenvNoCC.mkDerivation (finalAttrs: {
pname = "youtrack";
- version = "2023.3.24329";
+ version = "2024.1.26888";
src = fetchzip {
url = "https://download.jetbrains.com/charisma/youtrack-${finalAttrs.version}.zip";
- hash = "sha256-YIqRTCON8S/emj2AChrxhY4dfwtCnXtbiAQCTQ9k54Q=";
+ hash = "sha256-RSqxL7w0Pd4MPpXx6FpZrxQkhhlZXwgy26eQIniE5FY=";
};
nativeBuildInputs = [ makeBinaryWrapper ];
diff --git a/pkgs/by-name/ys/ysfx/package.nix b/pkgs/by-name/ys/ysfx/package.nix
new file mode 100644
index 000000000000..ac7807df8cd5
--- /dev/null
+++ b/pkgs/by-name/ys/ysfx/package.nix
@@ -0,0 +1,63 @@
+{ lib, stdenv, fetchFromGitHub, cmake, pkg-config
+, freetype, juce, libX11, libXcursor, libXext, libXinerama, libXrandr, libglvnd
+}:
+
+stdenv.mkDerivation rec {
+ pname = "ysfx";
+ version = "0-unstable-2022-07-31";
+
+ src = fetchFromGitHub {
+ owner = "jpcima";
+ repo = "ysfx";
+ rev = "8077347ccf4115567aed81400281dca57acbb0cc";
+ hash = "sha256-pObuOb/PA9WkKB2FdMDCOd9TKmML+Sj2MybLP0YwT+8=";
+ };
+
+ # Provide latest dr_libs.
+ dr_libs = fetchFromGitHub {
+ owner = "mackron";
+ repo = "dr_libs";
+ rev = "e4a7765e598e9e54dc0f520b7e4416359bee80cc";
+ hash = "sha256-rWabyCP47vd+EfibBWy6iQY/nFN/OXPNhkuOTSboJaU=";
+ };
+
+ prePatch = ''
+ rmdir thirdparty/dr_libs
+ ln -s ${dr_libs} thirdparty/dr_libs
+ '';
+
+ nativeBuildInputs = [ cmake pkg-config ];
+
+ buildInputs = [
+ freetype
+ juce
+ libX11
+ libXcursor
+ libXext
+ libXinerama
+ libXrandr
+ libglvnd
+ ];
+
+ cmakeFlags = [
+ "-DYSFX_PLUGIN_COPY=OFF"
+ "-DYSFX_PLUGIN_USE_SYSTEM_JUCE=ON"
+ ];
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p $out/lib
+ cp -r ysfx_plugin_artefacts/Release/VST3 $out/lib/vst3
+
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ description = "Hosting library for JSFX";
+ homepage = "https://github.com/jpcima/ysfx";
+ license = licenses.asl20;
+ maintainers = with maintainers; [ orivej ];
+ platforms = platforms.linux;
+ };
+}
diff --git a/pkgs/by-name/yt/yt-dlg/package.nix b/pkgs/by-name/yt/yt-dlg/package.nix
new file mode 100644
index 000000000000..c24df3604ddc
--- /dev/null
+++ b/pkgs/by-name/yt/yt-dlg/package.nix
@@ -0,0 +1,50 @@
+{
+ lib,
+ python3,
+ fetchFromGitHub,
+ fetchPypi
+}:
+let
+ python3Packages =
+ (python3.override {
+ packageOverrides = final: prev: {
+ wxpython = prev.wxpython.overrideAttrs rec {
+ version = "4.2.0";
+ src = fetchPypi {
+ pname = "wxPython";
+ inherit version;
+ hash = "sha256-ZjzrxFCdfl0RNRiGX+J093+VQ0xdV7w4btWNZc7thsc=";
+ };
+ };
+ };
+ }).pkgs;
+in
+python3Packages.buildPythonApplication rec {
+ pname = "yt-dlg";
+ version = "1.8.5";
+
+ src = fetchFromGitHub {
+ owner = "oleksis";
+ repo = "youtube-dl-gui";
+ rev = "v${version}";
+ hash = "sha256-W1ZlArmM+Ro5MF/rB88me/PD79dJA4v188mPbMd8Kow=";
+ };
+
+ pyproject = true;
+ build-system = with python3Packages; [
+ setuptools
+ wheel
+ ];
+ dependencies = with python3Packages; [
+ pypubsub
+ wxpython
+ ];
+
+ meta = {
+ description = "A cross platform front-end GUI of the popular youtube-dl written in wxPython.";
+ homepage = "https://oleksis.github.io/youtube-dl-gui";
+ license = lib.licenses.unlicense;
+ mainProgram = "yt-dlg";
+ maintainers = with lib.maintainers; [ quantenzitrone ];
+ };
+}
diff --git a/pkgs/by-name/yt/ytdownloader/config-dir.patch b/pkgs/by-name/yt/ytdownloader/config-dir.patch
new file mode 100644
index 000000000000..eb3684a24887
--- /dev/null
+++ b/pkgs/by-name/yt/ytdownloader/config-dir.patch
@@ -0,0 +1,18 @@
+--- a/main.js
++++ b/main.js
+@@ -13,6 +13,15 @@
+ const fs = require("fs");
+ const path = require("path");
+ autoUpdater.autoDownload = false;
++
++// Set the config directory to XDG_CONFIG_HOME/ytdownloader
++const xdgConfigHome = process.env.XDG_CONFIG_HOME;
++let configDir = app.getPath('home') + "/.config/ytdownloader";
++if (xdgConfigHome) {
++ configDir = xdgConfigHome + "/ytdownloader";
++}
++app.setPath ('userData', configDir);
++
+ /**@type {BrowserWindow} */
+ let win = null;
+ let secondaryWindow = null;
diff --git a/pkgs/by-name/yt/ytdownloader/package.nix b/pkgs/by-name/yt/ytdownloader/package.nix
new file mode 100644
index 000000000000..9a607c16e4aa
--- /dev/null
+++ b/pkgs/by-name/yt/ytdownloader/package.nix
@@ -0,0 +1,70 @@
+{ lib
+, buildNpmPackage
+, fetchFromGitHub
+, makeWrapper
+, ffmpeg
+, yt-dlp
+, makeDesktopItem
+, electron
+}:
+
+buildNpmPackage rec {
+ pname = "ytDownloader";
+ version = "3.17.3";
+
+ src = fetchFromGitHub {
+ owner = "aandrew-me";
+ repo = "ytDownloader";
+ rev = "refs/tags/v${version}";
+ hash = "sha256-aqQGOqPLKKTBjWjL3KyRD4paBGCQLhCBjXwVVhoHDSk=";
+ };
+
+ npmDepsHash = "sha256-lhFyiWy9dgnxxaElavzqA4YpRm7cVC23pvL5Kwve58E=";
+
+ nativeBuildInputs = [ makeWrapper ];
+ buildInputs = [ ffmpeg yt-dlp ];
+
+ desktopItem = makeDesktopItem {
+ name = "ytDownloader";
+ exec = "ytdownloader %U";
+ icon = "ytdownloader";
+ desktopName = "ytDownloader";
+ comment = "A modern GUI video and audio downloader";
+ categories = [ "Utility" ];
+ startupWMClass = "ytDownloader";
+ };
+
+ ELECTRON_SKIP_BINARY_DOWNLOAD = "1";
+
+ dontNpmBuild = true;
+
+ # Patch config dir to ~/.config/ytdownloader
+ # Otherwise it stores config in ~/.config/Electron
+ patches = [ ./config-dir.patch ];
+
+ # Replace hardcoded ffmpeg and ytdlp paths
+ # Also stop it from downloading ytdlp
+ postPatch = ''
+ substituteInPlace src/renderer.js \
+ --replace-fail $\{__dirname}/../ffmpeg '${lib.getExe ffmpeg}' \
+ --replace-fail 'path.join(os.homedir(), ".ytDownloader", "ytdlp")' '`${lib.getExe yt-dlp}`' \
+ --replace-fail '!!localStorage.getItem("fullYtdlpBinPresent")' 'true'
+ '';
+
+ postInstall = ''
+ makeWrapper ${electron}/bin/electron $out/bin/ytdownloader \
+ --add-flags $out/lib/node_modules/ytdownloader/main.js
+
+ install -Dm444 assets/images/icon.png $out/share/pixmaps/ytdownloader.png
+ install -Dm444 "${desktopItem}/share/applications/"* -t $out/share/applications
+ '';
+
+ meta = {
+ description = "A modern GUI video and audio downloader";
+ homepage = "https://github.com/aandrew-me/ytDownloader";
+ license = lib.licenses.gpl3Only;
+ maintainers = with lib.maintainers; [ chewblacka ];
+ platforms = lib.platforms.all;
+ mainProgram = "ytdownloader";
+ };
+}
diff --git a/pkgs/by-name/za/zabbix-agent2-plugin-postgresql/package.nix b/pkgs/by-name/za/zabbix-agent2-plugin-postgresql/package.nix
new file mode 100644
index 000000000000..d80e98f83271
--- /dev/null
+++ b/pkgs/by-name/za/zabbix-agent2-plugin-postgresql/package.nix
@@ -0,0 +1,22 @@
+{ lib, buildGoModule, fetchurl, pkg-config }:
+
+buildGoModule rec {
+ pname = "zabbix-agent2-plugin-postgresql";
+ version = "6.0.25";
+
+ src = fetchurl {
+ url = "https://cdn.zabbix.com/zabbix-agent2-plugins/sources/postgresql/zabbix-agent2-plugin-postgresql-${version}.tar.gz";
+ hash = "sha256-NFohopyUFO2C1k5moM4qkXX0Q9zc8W0Z+WrvZ5lgr1I=";
+ };
+
+ vendorHash = null;
+
+ meta = with lib; {
+ description = "Required tool for Zabbix agent integrated PostgreSQL monitoring";
+ mainProgram = "postgresql";
+ homepage = "https://www.zabbix.com/integrations/postgresql";
+ license = licenses.asl20;
+ maintainers = with maintainers; [ gador ];
+ platforms = platforms.linux;
+ };
+}
diff --git a/pkgs/by-name/za/zapzap/package.nix b/pkgs/by-name/za/zapzap/package.nix
index 6c3fcb27fc93..fabde8cd0cd4 100644
--- a/pkgs/by-name/za/zapzap/package.nix
+++ b/pkgs/by-name/za/zapzap/package.nix
@@ -6,14 +6,14 @@
python3Packages.buildPythonApplication rec {
pname = "zapzap";
- version = "5.1.3";
+ version = "5.2.1";
format = "setuptools";
src = fetchFromGitHub {
owner = "zapzap-linux";
repo = "zapzap";
- rev = version;
- hash = "sha256-IxBmtXrRIxUqnhB4OsL+lRIBTISdIqpcbI/uZ31MEBU=";
+ rev = "refs/tags/${version}";
+ hash = "sha256-Jswt/SWsrrXdJtaT3FAOuOCkrwlpy+lSJa6/gquMiwY=";
};
nativeBuildInputs = with python3Packages; [
diff --git a/pkgs/by-name/zb/zbus-xmlgen/package.nix b/pkgs/by-name/zb/zbus-xmlgen/package.nix
index 2e0dc5715f51..5e226e3b711e 100644
--- a/pkgs/by-name/zb/zbus-xmlgen/package.nix
+++ b/pkgs/by-name/zb/zbus-xmlgen/package.nix
@@ -2,16 +2,17 @@
rustPlatform.buildRustPackage rec {
pname = "zbus_xmlgen";
- version = "3.1.1";
+ version = "4.0.1";
src = fetchCrate {
inherit pname version;
- hash = "sha256-vaefyfasOLFFYWPjSJFgjIFkvnRiJVe/GLYUQxUYlt0=";
+ hash = "sha256-GkNxlfwLIBfAAcwQnwJHjcviB8tiNVNDZNDib1FQcvs=";
};
- cargoHash = "sha256-WXJ49X4B2aNy1zPbTllIzRhZJvF+RwfQ0Hhm/D+LQfk=";
+ cargoHash = "sha256-dKoxLEdLZ8B8kTJj3tHcFJzY/Rv3NvwmZBAmHyNhOg8=";
nativeBuildInputs = [ makeBinaryWrapper ];
+ nativeCheckInputs = [ rustfmt ];
postInstall = ''
wrapProgram $out/bin/zbus-xmlgen \
diff --git a/pkgs/by-name/zc/zcfan/package.nix b/pkgs/by-name/zc/zcfan/package.nix
index 4534a71fa3e4..7fd6eea7a5b2 100644
--- a/pkgs/by-name/zc/zcfan/package.nix
+++ b/pkgs/by-name/zc/zcfan/package.nix
@@ -6,13 +6,13 @@
# Testing this requires a Thinkpad or the presence of /proc/acpi/ibm/fan
stdenv.mkDerivation (finalAttrs: {
pname = "zcfan";
- version = "1.2.1";
+ version = "1.3.0";
src = fetchFromGitHub {
owner = "cdown";
repo = "zcfan";
rev = finalAttrs.version;
- hash = "sha256-XngchR06HP2iExKJVe+XKBDgsv98AEYWOkl1a/Hktgs=";
+ hash = "sha256-zpYQEHXt8LBNX+luM4YxP0dKH+hb2c8Z0BEeGP09oZo=";
};
postPatch = ''
@@ -32,6 +32,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "A zero-configuration fan daemon for ThinkPads";
+ mainProgram = "zcfan";
homepage = "https://github.com/cdown/zcfan";
changelog = "https://github.com/cdown/zcfan/tags/${finalAttrs.version}";
license = licenses.mit;
diff --git a/pkgs/by-name/ze/zesarux/package.nix b/pkgs/by-name/ze/zesarux/package.nix
index 915bfe3905cf..096f4bf2b0ec 100644
--- a/pkgs/by-name/ze/zesarux/package.nix
+++ b/pkgs/by-name/ze/zesarux/package.nix
@@ -75,6 +75,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
homepage = "https://github.com/chernandezba/zesarux";
description = "ZX Second-Emulator And Released for UniX";
+ mainProgram = "zesarux";
license = with lib.licenses; [ gpl3Plus ];
maintainers = with lib.maintainers; [ AndersonTorres ];
platforms = lib.platforms.unix;
diff --git a/pkgs/by-name/zi/zigpy-cli/package.nix b/pkgs/by-name/zi/zigpy-cli/package.nix
new file mode 100644
index 000000000000..a1b86b3b8830
--- /dev/null
+++ b/pkgs/by-name/zi/zigpy-cli/package.nix
@@ -0,0 +1,61 @@
+{ lib
+, fetchFromGitHub
+, python3
+}:
+
+python3.pkgs.buildPythonPackage rec {
+ pname = "zigpy-cli";
+ version = "1.0.4";
+ pyproject = true;
+
+ src = fetchFromGitHub {
+ owner = "zigpy";
+ repo = "zigpy-cli";
+ rev = "refs/tags/v${version}";
+ hash = "sha256-OxVSEBo+wFEBZnWpmQ4aUZWppCh0oavxlQvwDXiWiG8=";
+ };
+
+ postPatch = ''
+ substituteInPlace pyproject.toml \
+ --replace-fail '"setuptools-git-versioning<2"' "" \
+ --replace-fail 'dynamic = ["version"]' 'version = "${version}"'
+ '';
+
+ nativeBuildInputs = with python3.pkgs; [
+ setuptools
+ ];
+
+ propagatedBuildInputs = with python3.pkgs; [
+ bellows
+ click
+ coloredlogs
+ scapy
+ zigpy
+ zigpy-deconz
+ zigpy-xbee
+ # zigpy-zboss # not packaged
+ zigpy-zigate
+ zigpy-znp
+ ];
+
+ nativeCheckInputs = with python3.pkgs; [
+ freezegun
+ pytest-asyncio
+ pytest-timeout
+ pytestCheckHook
+ ];
+
+ pythonImportsCheck = [
+ "zigpy_cli"
+ ];
+
+ meta = with lib; {
+ description = "Command line interface for zigpy";
+ mainProgram = "zigpy";
+ homepage = "https://github.com/zigpy/zigpy-cli";
+ changelog = "https://github.com/zigpy/zigpy/releases/tag/v${version}";
+ license = licenses.gpl3Plus;
+ maintainers = with maintainers; [ SuperSandro2000 ];
+ platforms = platforms.linux;
+ };
+}
diff --git a/pkgs/by-name/zi/zircolite/package.nix b/pkgs/by-name/zi/zircolite/package.nix
index 799f2002963c..13583df1b912 100644
--- a/pkgs/by-name/zi/zircolite/package.nix
+++ b/pkgs/by-name/zi/zircolite/package.nix
@@ -52,6 +52,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "SIGMA-based detection tool for EVTX, Auditd, Sysmon and other logs";
+ mainProgram = "zircolite";
homepage = "https://github.com/wagga40/Zircolite";
changelog = "https://github.com/wagga40/Zircolite/releases/tag/${version}";
license = licenses.gpl3Only;
diff --git a/pkgs/by-name/zo/zola/package.nix b/pkgs/by-name/zo/zola/package.nix
index df32093d3ef3..8d0cb6722ef8 100644
--- a/pkgs/by-name/zo/zola/package.nix
+++ b/pkgs/by-name/zo/zola/package.nix
@@ -47,6 +47,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "A fast static site generator with everything built-in";
+ mainProgram = "zola";
homepage = "https://www.getzola.org/";
changelog = "https://github.com/getzola/zola/raw/v${version}/CHANGELOG.md";
license = licenses.mit;
diff --git a/pkgs/by-name/zp/zpaqfranz/package.nix b/pkgs/by-name/zp/zpaqfranz/package.nix
index 1cadf937b5cf..158dbade94e7 100644
--- a/pkgs/by-name/zp/zpaqfranz/package.nix
+++ b/pkgs/by-name/zp/zpaqfranz/package.nix
@@ -6,13 +6,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "zpaqfranz";
- version = "59.1";
+ version = "59.2";
src = fetchFromGitHub {
owner = "fcorbelli";
repo = "zpaqfranz";
rev = finalAttrs.version;
- hash = "sha256-i5HWAeREeMBjPMNhSSyJPmKRCChn9/08kV97kHOWJdk=";
+ hash = "sha256-NPkaOmjeLPznG/kY2cF9ROA7SzWEeKKCcPhP91PnYOk=";
};
nativeBuildInputs = [
@@ -39,6 +39,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
homepage = "https://github.com/fcorbelli/zpaqfranz";
description = "Advanced multiversioned deduplicating archiver, with HW acceleration, encryption and paranoid-level tests";
+ mainProgram = "zpaqfranz";
license = with lib.licenses; [ mit ];
maintainers = with lib.maintainers; [ AndersonTorres ];
platforms = lib.platforms.unix;
diff --git a/pkgs/tools/compression/zsync/default.nix b/pkgs/by-name/zs/zsync/package.nix
similarity index 66%
rename from pkgs/tools/compression/zsync/default.nix
rename to pkgs/by-name/zs/zsync/package.nix
index 0de21cb9c736..94aa7acce2e0 100644
--- a/pkgs/tools/compression/zsync/default.nix
+++ b/pkgs/by-name/zs/zsync/package.nix
@@ -9,6 +9,12 @@ stdenv.mkDerivation rec {
sha256 = "1wjslvfy76szf0mgg2i9y9q30858xyjn6v2acc24zal76d1m778b";
};
+ env = lib.optionalAttrs stdenv.cc.isClang {
+ # Suppress error "call to undeclared library function 'strcasecmp'" during compilation.
+ # The function is found by the linker correctly, so this doesn't introduce any issues.
+ NIX_CFLAGS_COMPILE = " -Wno-implicit-function-declaration";
+ };
+
makeFlags = [ "AR=${stdenv.cc.bintools.targetPrefix}ar" ];
meta = with lib; {
diff --git a/pkgs/by-name/zx/zxtune/package.nix b/pkgs/by-name/zx/zxtune/package.nix
index 959b80952c1e..436a24153366 100644
--- a/pkgs/by-name/zx/zxtune/package.nix
+++ b/pkgs/by-name/zx/zxtune/package.nix
@@ -41,7 +41,7 @@ let
++ lib.optional withQt (if (supportWayland) then qt5.qtwayland else qt5.qtbase);
in stdenv.mkDerivation rec {
pname = "zxtune";
- version = "5055";
+ version = "5056";
outputs = [ "out" ];
@@ -49,7 +49,7 @@ in stdenv.mkDerivation rec {
owner = "zxtune";
repo = "zxtune";
rev = "r${version}";
- hash = "sha256-ABXGbzjdsPUuQnwZQOho4s2xRSDGzbZdA6/hCkBb7zE=";
+ hash = "sha256-zvLbgS8AFW4kkvTccGXcr1KEw3EH47XcHwzq6CKzusQ=";
};
passthru.updateScript = nix-update-script {
diff --git a/pkgs/data/documentation/gnome-user-docs/default.nix b/pkgs/data/documentation/gnome-user-docs/default.nix
index bfe64459f46b..30382918c6d0 100644
--- a/pkgs/data/documentation/gnome-user-docs/default.nix
+++ b/pkgs/data/documentation/gnome-user-docs/default.nix
@@ -9,11 +9,11 @@
stdenv.mkDerivation rec {
pname = "gnome-user-docs";
- version = "45.1";
+ version = "45.5";
src = fetchurl {
url = "mirror://gnome/sources/gnome-user-docs/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "L5DGgntfFgXfLt++orNChwMAqamBvDktyWIU2WfzrfE=";
+ sha256 = "sha256-dBZ/z0KgTZ5dPMEw0nvCA9h7aFzmBqhGdN8k4f9xnlQ=";
};
nativeBuildInputs = [
diff --git a/pkgs/data/documentation/zeal/default.nix b/pkgs/data/documentation/zeal/default.nix
index 18f4d1319739..3dd2f1c02c94 100644
--- a/pkgs/data/documentation/zeal/default.nix
+++ b/pkgs/data/documentation/zeal/default.nix
@@ -74,6 +74,7 @@ stdenv.mkDerivation (finalAttrs: {
changelog = "https://github.com/zealdocs/zeal/releases";
license = lib.licenses.gpl3Plus;
maintainers = with lib.maintainers; [ peterhoeg AndersonTorres ];
+ mainProgram = "zeal";
inherit (qtbase.meta) platforms;
};
})
diff --git a/pkgs/data/fonts/0xproto/default.nix b/pkgs/data/fonts/0xproto/default.nix
index 98571ba7a580..ee43ede57da4 100644
--- a/pkgs/data/fonts/0xproto/default.nix
+++ b/pkgs/data/fonts/0xproto/default.nix
@@ -4,14 +4,14 @@
}:
stdenvNoCC.mkDerivation rec {
pname = "0xproto";
- version = "1.602";
+ version = "1.603";
src = let
underscoreVersion = builtins.replaceStrings ["."] ["_"] version;
in
fetchzip {
url = "https://github.com/0xType/0xProto/releases/download/${version}/0xProto_${underscoreVersion}.zip";
- hash = "sha256-hcPvaC4Tyq9nLuq5RP8UzJOEGYJusRlRo2Ov4JI2IZI=";
+ hash = "sha256-20KqPX6BKlyX+R3zrhDMz3p9Vwgd4RlRe2qhJpic6W4=";
};
installPhase = ''
diff --git a/pkgs/data/fonts/babelstone-han/default.nix b/pkgs/data/fonts/babelstone-han/default.nix
index 9839295653d1..6dfad42d2086 100644
--- a/pkgs/data/fonts/babelstone-han/default.nix
+++ b/pkgs/data/fonts/babelstone-han/default.nix
@@ -23,7 +23,7 @@ stdenvNoCC.mkDerivation {
description = "Unicode CJK font with over 36000 Han characters";
homepage = "https://www.babelstone.co.uk/Fonts/Han.html";
- license = licenses.free;
+ license = licenses.arphicpl;
platforms = platforms.all;
maintainers = with maintainers; [ emily ];
};
diff --git a/pkgs/data/fonts/bront/default.nix b/pkgs/data/fonts/bront/default.nix
index d21c820f127b..36e6adb380df 100644
--- a/pkgs/data/fonts/bront/default.nix
+++ b/pkgs/data/fonts/bront/default.nix
@@ -19,7 +19,7 @@ stdenvNoCC.mkDerivation {
description = "Bront Fonts";
longDescription = "Ubuntu Mono Bront and DejaVu Sans Mono Bront fonts.";
homepage = "https://github.com/chrismwendt/bront";
- license = licenses.free;
+ license = with licenses; [ bitstreamVera ufl ];
platforms = platforms.all;
maintainers = [ maintainers.grburst ];
};
diff --git a/pkgs/data/fonts/iosevka/bin.nix b/pkgs/data/fonts/iosevka/bin.nix
index 4b0f78e298ab..8c1d28cfda88 100644
--- a/pkgs/data/fonts/iosevka/bin.nix
+++ b/pkgs/data/fonts/iosevka/bin.nix
@@ -1,20 +1,26 @@
-{ stdenv, lib, fetchurl, iosevka, unzip
+{ stdenv
+, lib
+, fetchurl
+, iosevka
+, unzip
, variant ? ""
}:
let
- name = if lib.hasPrefix "sgr" variant then variant
- else "iosevka" + lib.optionalString (variant != "") "-" + variant;
+ name =
+ if lib.hasPrefix "SGr-" variant then variant
+ else "Iosevka" + variant;
variantHashes = import ./variants.nix;
- validVariants = map (lib.removePrefix "iosevka-")
- (builtins.attrNames (builtins.removeAttrs variantHashes [ "iosevka" ]));
-in stdenv.mkDerivation rec {
+ validVariants = map (lib.removePrefix "Iosevka")
+ (builtins.attrNames (builtins.removeAttrs variantHashes [ "Iosevka" ]));
+in
+stdenv.mkDerivation rec {
pname = "${name}-bin";
- version = "27.3.5";
+ version = "29.0.1";
src = fetchurl {
- url = "https://github.com/be5invis/Iosevka/releases/download/v${version}/ttc-${name}-${version}.zip";
+ url = "https://github.com/be5invis/Iosevka/releases/download/v${version}/PkgTTC-${name}-${version}.zip";
sha256 = variantHashes.${name} or (throw ''
No such variant "${variant}" for package iosevka-bin.
Valid variants are: ${lib.concatStringsSep ", " validVariants}.
diff --git a/pkgs/data/fonts/iosevka/comfy.nix b/pkgs/data/fonts/iosevka/comfy.nix
index fb788b287635..63dfca58d544 100644
--- a/pkgs/data/fonts/iosevka/comfy.nix
+++ b/pkgs/data/fonts/iosevka/comfy.nix
@@ -4,21 +4,21 @@ let
sets = [
# Family | Shapes | Spacing | Style | Ligatures |
# ------------------------+--------+---------+------------+-----------|
- "comfy" # Sans | Compact | Monospaced | Yes |
- "comfy-fixed" # Sans | Compact | Monospaced | No |
- "comfy-duo" # Sans | Compact | Duospaced | Yes |
+ "comfy" # | Sans | Compact | Monospaced | Yes |
+ "comfy-fixed" # | Sans | Compact | Monospaced | No |
+ "comfy-duo" # | Sans | Compact | Duospaced | Yes |
# ------------------------+--------+---------+------------+-----------|
- "comfy-motion" # Slab | Compact | Monospaced | Yes |
- "comfy-motion-fixed" # Slab | Compact | Monospaced | No |
- "comfy-motion-duo" # Slab | Compact | Duospaced | Yes |
+ "comfy-motion" # | Slab | Compact | Monospaced | Yes |
+ "comfy-motion-fixed" # | Slab | Compact | Monospaced | No |
+ "comfy-motion-duo" # | Slab | Compact | Duospaced | Yes |
# ------------------------+--------+---------+------------+-----------|
- "comfy-wide" # Sans | Wide | Monospaced | Yes |
- "comfy-wide-fixed" # Sans | Wide | Monospaced | No |
- "comfy-wide-duo" # Sans | Wide | Duospaced | Yes |
+ "comfy-wide" # | Sans | Wide | Monospaced | Yes |
+ "comfy-wide-fixed" # | Sans | Wide | Monospaced | No |
+ "comfy-wide-duo" # | Sans | Wide | Duospaced | Yes |
# ------------------------+--------+---------+------------+-----------|
- "comfy-wide-motion" # Slab | Wide | Monospaced | Yes |
+ "comfy-wide-motion" # | Slab | Wide | Monospaced | Yes |
"comfy-wide-motion-fixed" # Slab | Wide | Monospaced | No |
- "comfy-wide-motion-duo" # Slab | Wide | Duospaced | Yes |
+ "comfy-wide-motion-duo" # | Slab | Wide | Duospaced | Yes |
];
version = "1.4.0";
src = fetchFromGitHub {
@@ -34,6 +34,7 @@ let
inherit set privateBuildPlan;
buildNpmPackage = args: superBuildNpmPackage
(args // {
+ pname = "iosevka-${set}";
inherit version;
src = fetchFromGitHub {
@@ -45,6 +46,14 @@ let
npmDepsHash = "sha256-c+ltdh5e3+idclYfqp0Xh9IUwoj7XYP1uzJG6+a5gFU=";
+ installPhase = ''
+ runHook preInstall
+ fontdir="$out/share/fonts/truetype"
+ install -d "$fontdir"
+ install "dist/$pname/ttf"/* "$fontdir"
+ runHook postInstall
+ '';
+
meta = with lib; {
inherit (src.meta) homepage;
description = ''
diff --git a/pkgs/data/fonts/iosevka/default.nix b/pkgs/data/fonts/iosevka/default.nix
index 6993a1641de5..c379fe7ebb3b 100644
--- a/pkgs/data/fonts/iosevka/default.nix
+++ b/pkgs/data/fonts/iosevka/default.nix
@@ -54,17 +54,17 @@ assert (privateBuildPlan != null) -> set != null;
assert (extraParameters != null) -> set != null;
buildNpmPackage rec {
- pname = if set != null then "iosevka-${set}" else "iosevka";
- version = "27.3.5";
+ pname = "Iosevka${toString set}";
+ version = "28.1.0";
src = fetchFromGitHub {
owner = "be5invis";
repo = "iosevka";
rev = "v${version}";
- hash = "sha256-dqXr/MVOuEmAMueaRWsnzY9MabhnyBRtLR9IDVLN79I=";
+ hash = "sha256-cYnGJ7Z0PDRZtC/vz8hX/+mqk7iVkajFTfNGgRW+edQ=";
};
- npmDepsHash = "sha256-bux8aFBP1Pi5pAQY1jkNTqD2Ny2j+QQs+QRaXWJj6xg=";
+ npmDepsHash = "sha256-bzQ7dc7UiC++0DxnQHusu6Ym7rd7GgeA6bGSnnla1nk=";
nativeBuildInputs = [
remarshal
@@ -88,23 +88,23 @@ buildNpmPackage rec {
) [ "buildPlan" ];
configurePhase = ''
- runHook preConfigure
- ${lib.optionalString (builtins.isAttrs privateBuildPlan) ''
- remarshal -i "$buildPlanPath" -o private-build-plans.toml -if json -of toml
- ''}
- ${lib.optionalString (builtins.isString privateBuildPlan
- && (!lib.hasPrefix builtins.storeDir privateBuildPlan)) ''
- cp "$buildPlanPath" private-build-plans.toml
+ runHook preConfigure
+ ${lib.optionalString (builtins.isAttrs privateBuildPlan) ''
+ remarshal -i "$buildPlanPath" -o private-build-plans.toml -if json -of toml
''}
- ${lib.optionalString (builtins.isString privateBuildPlan
- && (lib.hasPrefix builtins.storeDir privateBuildPlan)) ''
- cp "$buildPlan" private-build-plans.toml
+ ${lib.optionalString (builtins.isString privateBuildPlan
+ && (!lib.hasPrefix builtins.storeDir privateBuildPlan)) ''
+ cp "$buildPlanPath" private-build-plans.toml
+ ''}
+ ${lib.optionalString (builtins.isString privateBuildPlan
+ && (lib.hasPrefix builtins.storeDir privateBuildPlan)) ''
+ cp "$buildPlan" private-build-plans.toml
+ ''}
+ ${lib.optionalString (extraParameters != null) ''
+ echo -e "\n" >> params/parameters.toml
+ cat "$extraParametersPath" >> params/parameters.toml
''}
- ${lib.optionalString (extraParameters != null) ''
- echo -e "\n" >> params/parameters.toml
- cat "$extraParametersPath" >> params/parameters.toml
- ''}
- runHook postConfigure
+ runHook postConfigure
'';
buildPhase = ''
@@ -118,7 +118,7 @@ buildNpmPackage rec {
runHook preInstall
fontdir="$out/share/fonts/truetype"
install -d "$fontdir"
- install "dist/$pname/ttf"/* "$fontdir"
+ install "dist/$pname/TTF"/* "$fontdir"
runHook postInstall
'';
diff --git a/pkgs/data/fonts/iosevka/update-bin.sh b/pkgs/data/fonts/iosevka/update-bin.sh
index 68f93f1f26e6..bfa50e1a6e52 100755
--- a/pkgs/data/fonts/iosevka/update-bin.sh
+++ b/pkgs/data/fonts/iosevka/update-bin.sh
@@ -19,9 +19,9 @@ sed -i "s/$oldVersion/$version/" bin.nix
{
echo '# This file was autogenerated. DO NOT EDIT!'
echo '{'
- for asset in $(echo "$release" | jq -r '.assets[].name | select(startswith("ttc"))'); do
+ for asset in $(echo "$release" | jq -r '.assets[].name | select(startswith("PkgTTC"))'); do
printf ' %s = "%s";\n' \
- $(echo "$asset" | sed -r "s/^ttc-(.*)-$version.zip$/\1/") \
+ $(echo "$asset" | sed -r "s/^PkgTTC-(.*)-$version.zip$/\1/") \
$(nix-prefetch-url "https://github.com/be5invis/Iosevka/releases/download/v$version/$asset")
done
echo '}'
diff --git a/pkgs/data/fonts/iosevka/variants.nix b/pkgs/data/fonts/iosevka/variants.nix
index 5e71e08b78da..0ebf09f82621 100644
--- a/pkgs/data/fonts/iosevka/variants.nix
+++ b/pkgs/data/fonts/iosevka/variants.nix
@@ -1,95 +1,93 @@
# This file was autogenerated. DO NOT EDIT!
{
- iosevka = "0s37z4yml026pmaj6gpc603mry34hlzg67b0v9rblb90rx7xqlii";
- iosevka-aile = "1vpn9mg48xy4jmbs804zmisaj1mnvwv5b9c0iy6mrwkd5m7gcqfb";
- iosevka-curly = "138wwgn03cx0lkgs2mbydiyggjmbmy3iz4wgn17sbp5d7m7g0sp3";
- iosevka-curly-slab = "024miwl9y57rxmc4hnyjk0j861wapyfy4jpg5q61jjh6nji3qwrk";
- iosevka-etoile = "0gcdpzi6riy6x9qcjzmvb2kcdh209d8zbbc78b95ymgyndzwp3k2";
- iosevka-slab = "1gny1az6lrg24dx9qrrpbrq85mkn6684970gbvl8wjh1pmkv2w14";
- iosevka-ss01 = "06kw96biyyp35cc4x5pr3bf08f72020nvwcz12wk0qms02rz37s0";
- iosevka-ss02 = "0yd18n2vrxbpf4kccad5q0cr24j04grz57l2jpgr3j3qiwz53zn1";
- iosevka-ss03 = "01203jgpx8hsxs2jq5py8404y1cz95m5bsdzgya3nhyfslix7dxz";
- iosevka-ss04 = "1c2xs689fqzzvbv72wb7pfwx687hrl8vzvakjbl317qpzmlrlbkr";
- iosevka-ss05 = "0whfsl9ma1590zmks0r7lywc0sfy6mq74p8mhkmds6h35nri9djj";
- iosevka-ss06 = "065lqb0w4cvhk7jadic2xn4pdq0rrg4f4b0gpc5z0xa2fsvw4rir";
- iosevka-ss07 = "0bhl2p0zrzdn9vhl5ndfdzd7658qsaci41l6vpcsl5x9np3ii2jg";
- iosevka-ss08 = "1ka2ii29v4aqqiwfbjcwsqsj2gpa46mpnv7d11rgn49n4j0isk1p";
- iosevka-ss09 = "08n44fjsas94d7q1x9az58z5p786gxh8665yyhvhjzh76pzrkbwj";
- iosevka-ss10 = "136pvfw6lab3vwzrx45cqkh1n6r8srahd9jbi9li02r19m78qp6f";
- iosevka-ss11 = "0wgnzq1vfibxgb50dcwjsvf20jvjvhp1xwsbh1zpqqsh1kr89bln";
- iosevka-ss12 = "0ql1xhjk2alrvyryvjaw54z9gnh4gi58nhskrhnkz4mdlr7a6xr3";
- iosevka-ss13 = "0ivk20l8y2g0s7729wjc4h9bdqvjn859ij8vaccl12ql58i2phhb";
- iosevka-ss14 = "0ib2ynpk7lcisbmcrrd2wlia7rh243zq1hys6ln2r3gd75m6hvbq";
- iosevka-ss15 = "0yp0n7d5wwwykmzlc41fywc1mx06khp3xiy6gad9bng7mavpx91c";
- iosevka-ss16 = "0gm0b50zhc2hc6l3qpl4q3jk8rcr9bh8y0i65xcv3bm456753l4v";
- iosevka-ss17 = "0yf244n7161zk1csxnb7zx2c95q79jibplvczqfh2k8as3r4xw07";
- iosevka-ss18 = "1886h5dzswspd0j3hs0d6m271qqsfp3zkihyp9acpf2v4r56nmmn";
- sgr-iosevka = "1kl1wkgda764qqyyk14s0gfb2qavsfvc9zbr30z7zdkcqxk383gd";
- sgr-iosevka-aile = "115ynw0dysf9473j6jami7yf79i6rlhm7a019fxlfcqnm2k3zz5r";
- sgr-iosevka-curly = "010g7cgd3r8dw48mfdmcqzymqn8mqn5xnnvinvilahxq0qaj2s4w";
- sgr-iosevka-curly-slab = "1fk67ay7bs1s1qajlrib06n0y1ii1fjlxdqrj2dvzlji8ac35hqc";
- sgr-iosevka-etoile = "1gf696k4f4hh1yh664d361x0n65sh6q0i3n8as5id2vpzcjbn83w";
- sgr-iosevka-fixed = "12v438imi2891b4ra3c48imd0n429aa889myhkglrky1bfxwwpw2";
- sgr-iosevka-fixed-curly = "1v4vv48an8l9a5diavxap328d3qd6iy6hbwkkn64db2vxwmkdml7";
- sgr-iosevka-fixed-curly-slab = "0xbz45qkd9paghrdx4s1gxgnn872fmywmr7il0v5yir81wys1z97";
- sgr-iosevka-fixed-slab = "1w7qsg6a2g27izbmqshly2bnsbwdf2rhymvnq30vqgb2f1a6rlwq";
- sgr-iosevka-fixed-ss01 = "1f4fi4h3fl7c3b0maj2l9df4yfs4pqj9rq5f7kk9kl2jnk0zq6yy";
- sgr-iosevka-fixed-ss02 = "18q603zbi1dk1z8n215z2kyi3rcxik6m6cffihml0f1sb39rh3r7";
- sgr-iosevka-fixed-ss03 = "05xs1z82ck01f9x3vhc74ajbgj9ms14bbmbcz0cf18sx03hpyz84";
- sgr-iosevka-fixed-ss04 = "00rb7580xppnvjph6az2ldhgagahvivirzax2pf3fxd5an08zmla";
- sgr-iosevka-fixed-ss05 = "1smjnzjhkxkb9m79d8ynp30846zggb88z7qxd2q58iadq8narx2q";
- sgr-iosevka-fixed-ss06 = "0wqd4pyv66axwsnicbpzidghvh3cx2lyzjmj66734rqz8slsrjb6";
- sgr-iosevka-fixed-ss07 = "1xbs7mjvl8mvi4jhmxnfadvvgpyvyh7y4yqn8vhgbcxp84fzgy3j";
- sgr-iosevka-fixed-ss08 = "0x2kkfffhapj4vnfpdv49zr4wrf02sw6467qrky9sf9phkwa40vh";
- sgr-iosevka-fixed-ss09 = "0z436cps3rb8kfm7pxjjs8p3bjwrg86p549nd6fvgp67p05xx38r";
- sgr-iosevka-fixed-ss10 = "0qpjdgzqb5yadp4bz26kmwjdmpaak7s2mj9km2g565bci096cri4";
- sgr-iosevka-fixed-ss11 = "1i306ai7drhca8ammi6dz8j6v68mjyxwc35zzg801shgy8a00scd";
- sgr-iosevka-fixed-ss12 = "0aza67vz3mrq9ah5pm7lyahdbh0dhzzp8v4hy5slmy8a766ha9gm";
- sgr-iosevka-fixed-ss13 = "022nrapzv1s2a5davh1bpqgm46r04fbns5x6z3826ydsrwkapfs7";
- sgr-iosevka-fixed-ss14 = "023f8j5rzivmqg61larm5kjyb7sd8prqilzd99xp1b97zi4iy0kg";
- sgr-iosevka-fixed-ss15 = "0xb85wsfha7z0krlnb1nhzqd4cva13dvrp8kpfpnmmz1alpvgx0x";
- sgr-iosevka-fixed-ss16 = "0n3chagxlypxsj1zb2r6z3vjfix15pc3nc8sx5cc9j4inb7v5z5v";
- sgr-iosevka-fixed-ss17 = "0kzk2llpwi9plbn3jw203chr8ahpq6kbv9b4i9maf3ljvgdy7nyc";
- sgr-iosevka-fixed-ss18 = "1mzv3zkxrd1lsri1niiqvlkpzhxy9m0gr3ygxzrrfj3f6p6wzq87";
- sgr-iosevka-slab = "1fsl0qsvmfhxjc6y5g8d90x39mz5hzw55xfnwzjjifls6igc8kd4";
- sgr-iosevka-ss01 = "0z18akfqsgr6qcqrcrrwpfdswzk8if9cdhahqgjnkw90qm4m6pyq";
- sgr-iosevka-ss02 = "0x0bc72y835xlz9k2xbbpgccrgswkg7w1hia86x6d91kgj7whmg4";
- sgr-iosevka-ss03 = "0v56qc9aj2k1vs7wxnni21hflady4n5qi05gysp193n7j440l3ci";
- sgr-iosevka-ss04 = "0nidrw3pjcn5fz2a6ysvdkqah31rzgnsg4z7p804h9cnqwl2b2m4";
- sgr-iosevka-ss05 = "0khphs4d28sas5i31kbbj2zv0b45vc0p05d9kyg3azl4hl72ic41";
- sgr-iosevka-ss06 = "0qmr33qv890jqygz6gllh1g0fvvxyjmla0hb02zcgljrccwq7jyd";
- sgr-iosevka-ss07 = "09952mnal5mdz5fqfg4ayidvxcc8sfwv7d127vdzxhawg60ikkss";
- sgr-iosevka-ss08 = "1ymf7j5jx2jdh6n910d89k71m95hg05dp6g6mvv0c7m4yf5wd7m3";
- sgr-iosevka-ss09 = "0gh7rx8fzbx61jba34kcwlazxg7ar4y6vp1h6aysn30fv7q9p7rp";
- sgr-iosevka-ss10 = "0i72r3xllg8am12n700zylc1a8nc4xnj5zxhfhvlk1czdhv7dln6";
- sgr-iosevka-ss11 = "0hs8b9fmjwxbl08652zfkgdmq0dds43jsr2qd3npmg6cg2xdiz2n";
- sgr-iosevka-ss12 = "0crs18k93v2xsry8fmf07wfpl3n7ixdn2sy7xls4ijk5wk0nd6vr";
- sgr-iosevka-ss13 = "1fg883qjpqpcxwh9bwc88nzihisr212q1fdrrzy8q3p9vy322j5j";
- sgr-iosevka-ss14 = "1ix85cr0prgfrxckzgf2xdnh9zv6d8v2f4lf6syq0rax2wpdxdk7";
- sgr-iosevka-ss15 = "098fjkjlgnzmw4wgr2d7vx627xiq7n19rc2x5f6qhqcfxcvayf0f";
- sgr-iosevka-ss16 = "0ibfr10h6gxnimpq0bbp2n61a4y4rdkwa5x6m8hlxndxi801zy8j";
- sgr-iosevka-ss17 = "11zx7lpp8xy67x35a6089s3fq0i1yqfdkckxnp2f32szv67midp0";
- sgr-iosevka-ss18 = "1zdfk1a9sixn7as75sjf7sgh3qhhhs819305i9aw93dy4ghvc4zc";
- sgr-iosevka-term = "091rkh4abm90hakq78w7r3ks9kcyx7kpcfvvcx8ydq7qammc2064";
- sgr-iosevka-term-curly = "0kqxraz8jl7w97yf4x9jp7rkbkxjj85yghw0f70g3idxmzk2n4fh";
- sgr-iosevka-term-curly-slab = "1q10n7wckzv1y9dq0b3jj61h39mcjhkga310pm8r0mjh59jsqxxk";
- sgr-iosevka-term-slab = "1pzd5gzz7s1gawvvqnh8gfc6cm5c56ajvkc6c3fnjycl1v9fr3fg";
- sgr-iosevka-term-ss01 = "0za9kwg389znql9jycs02xnmn9wi9c9ganwlqrsmazgcg6cxksds";
- sgr-iosevka-term-ss02 = "0qli57cva503qvxqx86lrl6mjmbq9n0i04198vzjs5ad151iqk2a";
- sgr-iosevka-term-ss03 = "0yfp4a6m9dl67kc8wa4skzrlkh1ysh0a0ndv3gvknaidzpqyigwh";
- sgr-iosevka-term-ss04 = "1b8yvv3i66d0j4z8fh94q97hqgw404pf1z57p7kx31nlgs0p26kq";
- sgr-iosevka-term-ss05 = "1x6adciw3irh6xsxjlw668l0cz094zin1p4f83allc2bkphq3f9w";
- sgr-iosevka-term-ss06 = "1z73jak1ffc1mdnfh3j1z33b8sj5bbh5s86mn7ki5fy5wvq65sih";
- sgr-iosevka-term-ss07 = "1f028x2m39xphvx265qzh3mrirj3rkwv9jmr5q4qdpvpk7xnbra9";
- sgr-iosevka-term-ss08 = "1i0k7k21fmnb0s5ri840a45ycxmm9dz2zw59n4sxivyv70fhhsfc";
- sgr-iosevka-term-ss09 = "1qn891rqxha2sr9w2h885xx4fnyc9scjqqp148dpsnn32cg7bqpr";
- sgr-iosevka-term-ss10 = "02a1nxcv4q6s6kvlxrq7b9xdym2j7in40pkw05df8wqmlqzmgv2b";
- sgr-iosevka-term-ss11 = "0fwjn4a5p65q5rdbb9wb979ff4k5r2ifh2x99jhj0xhwpy8dza1i";
- sgr-iosevka-term-ss12 = "1ycga16psqw4jh6gzxji6sbvhlq6488hkkqwsh1h860gjql0ckm6";
- sgr-iosevka-term-ss13 = "1f715vxs5j5ham5ihpxzq36ykn2nm2f62gg8s3yzsyp9s58sndmd";
- sgr-iosevka-term-ss14 = "1l2s2naa77xv1dwng6lw0zccbndaln8viamsgmbrqjwfdisbrlzw";
- sgr-iosevka-term-ss15 = "1wmkpilp06633rdaf5dzbw48srikh5a8jvk8prcwka4flrs5crnq";
- sgr-iosevka-term-ss16 = "0ccxfk87qp4lr3g67lzbphw6rknvc78h66bbpq5smk0diad8q3nc";
- sgr-iosevka-term-ss17 = "1hm0m9gr8pwbp34fsg9dxcmawn2czmx908gajv4miq4wg0ivsz8k";
- sgr-iosevka-term-ss18 = "1ylbvygxdn3f2crkrywhj0jc15hdpwh08f925xxygq3vrshd22r6";
+ Iosevka = "1nahnfmivrwdy64xk4lkm8lb3zhj3q6prb8fhwkz0fw9jrl90qd4";
+ IosevkaAile = "1vk1bimj83yfzn8p0ww0mcw65r7s7d0nhx1s01wvpjdszq5z01g1";
+ IosevkaCurly = "13z9a6szvvl2jp0l47j9mad1bhxwps17r5awkj4i17lpwnh2j09g";
+ IosevkaCurlySlab = "1z7m6317a2bkdxv59as3zhhzh2wx39nmpw3nhgnx2rg23hl1ykih";
+ IosevkaEtoile = "0zj6bvvpmdfh3p6agn1jlb2pc6701fqgql2dp1lpivlrb85k2d5l";
+ IosevkaSlab = "1cvv8fc3a3rgslh9zy6lsbpijapsqx3cqckncbjyv9y10n4lff7p";
+ IosevkaSS01 = "0lxnjv3z794hd9y7rxzgi6kz7dcmgr6605s73bxj2k2zwjaj25ca";
+ IosevkaSS02 = "0axhww5zmj4rdif5hp3rqx6k4jb4kypcw2ixzq9dw4p2kjffnhkc";
+ IosevkaSS03 = "0iamdny07rlzc621w5q1pkmdiw50fcfkg8xp21syw78g07ip492j";
+ IosevkaSS04 = "0zxwaqbdsj9agp30ign1fvb80y33lirfhi5bsc003dc7g3s250xg";
+ IosevkaSS05 = "1is00nvqvnam87hy6vdd36jmsznsphqn81cs3dia68q2bh6v73gk";
+ IosevkaSS06 = "077fyfzkg8mhjazwa9fjf9gnh7ifdqxg2ycnzxdyma0dn3222wx2";
+ IosevkaSS07 = "19idgw1aq440hk704b729zgxrsgxc7yi57s8wgjclmf7bbdx22mx";
+ IosevkaSS08 = "0r2jdljp4arc4j2xa3av17rg3fzhjh5w1y54idzzhv0wxkhq6jpv";
+ IosevkaSS09 = "0dr50svi8p7ndhch7v9m17fck5yha2xbf11aqi5dnx823xnp0gzk";
+ IosevkaSS10 = "17gzdnyy0zlzysmbl4gwk0mamk1qj3gnhhx0ka3wacpykcgm2q7q";
+ IosevkaSS11 = "1cksgn1a923n70mwd9npmlgnz4mxm5jscf0svh9058v3grzkqw9s";
+ IosevkaSS12 = "11dj6r3vlfa695p0g21rmyh6ilvkp2286x1379r1r2a1l7s265sy";
+ IosevkaSS13 = "0wlkpaix8zh7sxvwi6sp7qyrziylaa0h0s4981yap9pc3wgp6d9h";
+ IosevkaSS14 = "1znw5762hl4g7zwz7360akrnyzk5cvfl3y6sa82ljwv1a2fdhfm0";
+ IosevkaSS15 = "09srcc7zi5b5is75mh2d8r9p10dnmd1yd78vmykwngdlxyhsphwp";
+ IosevkaSS16 = "1jmq4qkvld2g0d4j83zfby0qccv0wnfpqnx269dxcp5pw9nkq6d6";
+ IosevkaSS17 = "0yrjxj8fshpycv87hpqx0f71z8g79r0qb3r6kw8gk8mqviiifp88";
+ IosevkaSS18 = "0nx2pfgrgxhii2mv5zya51dwmlyk448p2kgxn52g79yj57f63ycl";
+ SGr-Iosevka = "0rgpswnkb87rkfqh7jzd8z7jqj6l5prrnx5hpsbd55091qw29yfw";
+ SGr-IosevkaCurly = "0h5ny3vqy5il9542zkr5hxgrq5qx4ky0g67m4nf5whyi2n1b7i2v";
+ SGr-IosevkaCurlySlab = "179vll8ywfpxzadwm4w7x70aav7na33dii4mjhx6dxmdbw9mwxjq";
+ SGr-IosevkaFixed = "0pvxc8na5hvdgddwgkr3vsn8mr0j06z8vy3519fdjq9mianvf0h9";
+ SGr-IosevkaFixedCurly = "0y58azsbq9zw1fxmdi36z939ss8mz099iipg0wynmsyckvla8ida";
+ SGr-IosevkaFixedCurlySlab = "1mkh496pp8fggsqlriz7125lcnh0vjm81csipsrpq55c17hkdqwg";
+ SGr-IosevkaFixedSlab = "1ss0j0x4c8wi4swjgl7hain5qh9dnvldhgki8n0azmi1qrxv2isx";
+ SGr-IosevkaFixedSS01 = "1xvrzib1srnp4v5mxrp8vi38lap53jf402hgipmfmdac3zhzybzw";
+ SGr-IosevkaFixedSS02 = "0bd66z5h8vzmm16s54kf4n694cqxsvniwhd1vp25wifkspq2giij";
+ SGr-IosevkaFixedSS03 = "08dx6b58mjq3fy2dnvw68vb12pq7rsplrrxhz3fygx6nv2mc7rnn";
+ SGr-IosevkaFixedSS04 = "0nj66p91kldzwzvaq2nwsmdc4v2qv2b3rwvcv8ffk23sacy7bci6";
+ SGr-IosevkaFixedSS05 = "19n22pfqfz8b80hbw8sj0l0f19g1yi737wgxg82s221w2zrzjgri";
+ SGr-IosevkaFixedSS06 = "1m65l05qrv2in9idbx53ialg8wkrszb3y516cy39n8f7ish5rdhl";
+ SGr-IosevkaFixedSS07 = "1fyphdwa352nnzvbighgxjmg31dfrswfwx2akq56v1jbssk5vpfa";
+ SGr-IosevkaFixedSS08 = "0b0l1p62nxc5k46wqz8dih2b4gn96b5mgpnqr6m5nhb8n1ygwqik";
+ SGr-IosevkaFixedSS09 = "1in2wg4c3ggvi3r8x7fcd4jm1qsh10ppng025m8n57j9ziz0a3s9";
+ SGr-IosevkaFixedSS10 = "0m7arqxzb368pz1ns05szk159ir07h5yy9x436csjg8cnqsdw426";
+ SGr-IosevkaFixedSS11 = "0vz1lasrhqwkpfawvy0p5ygcr9xkg3am9xc7xmnfspvydjmf8s5l";
+ SGr-IosevkaFixedSS12 = "052yjy84jika9r6w1ivh6l13h9300rydaraxjhp6shgmdknd3qhn";
+ SGr-IosevkaFixedSS13 = "09qs91m4bc9dl4bipz0sfpmd5b0vly6ql01zvbn5n4kwh4591l53";
+ SGr-IosevkaFixedSS14 = "1x6jwx9daivf7mgjip9n3klprmvqm2s2dhg2alcbcmk9xk45ldzv";
+ SGr-IosevkaFixedSS15 = "0p6d53zk7agpjsrjx97dm5xk5j45xx4ynq034r61hdm9dagh9p9w";
+ SGr-IosevkaFixedSS16 = "1n4w7p4a8plq0fw5hvsq601z6zcrx1793s8snczxfy8sd1ggw68h";
+ SGr-IosevkaFixedSS17 = "0paiwkkar6lzlggilds5z4qq7mw7qhcj8syn0hpyfivx1jh5zp0x";
+ SGr-IosevkaFixedSS18 = "0ghxl8zxpwz3sg89kx8s1qhrv5r7hcp77dv2k6wihfdqbi507pp6";
+ SGr-IosevkaSlab = "10d6miynr4ywjni5x306bkyimvrf8nxr9nq3khnfrm64al5kv8ly";
+ SGr-IosevkaSS01 = "19467salb40flls3fijskx6g9jjbw7kzni9fikr1141hd6rp7a9d";
+ SGr-IosevkaSS02 = "0vqyxrk3v48l6l1z5lvhqq56xff1v6pjr5q6n5nn8jlf22jmrdx3";
+ SGr-IosevkaSS03 = "1y39rdg4rap3l55ga2kjp7dxr4bi3g3n3mhm1f3s15xmjx9wh3hr";
+ SGr-IosevkaSS04 = "1igx2d1fh328w7jr8nz24kdh5jdr8gdp2hwmh5rg09jw400v9pph";
+ SGr-IosevkaSS05 = "0a7yw1nig9j64jhs9dmm26367f4b8d3kz55x2r0nny20l309iwc7";
+ SGr-IosevkaSS06 = "1diq8s859lh02pv2g6gq3d8f2wva0vh7mim3rygd5p6nhsz1z0ya";
+ SGr-IosevkaSS07 = "0y9kwms1qfnpdzzrsxrmla1vhvxldz7bj0162k9kfphmg49kdzp6";
+ SGr-IosevkaSS08 = "0zlgvck0c5rnkc6v0zfxl2bj2py1mvahag9f9x4z537b2f71miwb";
+ SGr-IosevkaSS09 = "0aqr55cyik59d807xwn4xbalq3hkj85wphxb713qcdqxh0fx14m3";
+ SGr-IosevkaSS10 = "0racfcw376s4z3kpb928d9kif6gqgcqyj6m0mpbwgap5fxjq227b";
+ SGr-IosevkaSS11 = "0pirg5pvmbs3c630x6fh685rnmqam7nciyvv00280fal8pn2q90d";
+ SGr-IosevkaSS12 = "0hm1jjsmi1chmvq4yf7fy2xsj2zvqxwhip0cn3ndhk35zi0y31dk";
+ SGr-IosevkaSS13 = "180pq16ax9inx454ar5biwfwi4n6h1zivg55czm50pb444a7lm6f";
+ SGr-IosevkaSS14 = "120cmw9s8cpjbdkvrl9cqy52pv5pxx1cy9ngbanhrma6pfssfq27";
+ SGr-IosevkaSS15 = "10x25vcpknig8bdd0iqb7i1s18l2m3r72kdmnxf227ba1gy4rizn";
+ SGr-IosevkaSS16 = "0jm07z7sgvr07zrzk51irxlnv8r1frsc5ay4yks3qh3gbphc35bb";
+ SGr-IosevkaSS17 = "103n3cy3vfcb7yirj78x0q73prw5c5hx2493daqy6qvhwb52xm4g";
+ SGr-IosevkaSS18 = "1grgq4147nh0g4d0dvcmmwz1xmhar4gdjv8rgng2z8fc4sbvlvjf";
+ SGr-IosevkaTerm = "0i356wmzxlii2wc15va2m4sl56lg099xyixjkcc5w2p57dycljl5";
+ SGr-IosevkaTermCurly = "1mna0m08wi2gmbmj2gdnk4z1pqvyvrbig2wrna104mcc121slnmg";
+ SGr-IosevkaTermCurlySlab = "06gy71wg5nkdx6nk1l97ag100if5fy44bc4bnj4v0whnd6a4rgvj";
+ SGr-IosevkaTermSlab = "1w2508a24rf21vaiy15pb0flk3gb7am8iv7x0px9bljpng9pnanr";
+ SGr-IosevkaTermSS01 = "1gnmr32n3z8hm8xjcix9bkip1hpp4fdhzqvvw5iwaa259xz1x6pf";
+ SGr-IosevkaTermSS02 = "19a88y4kpfxqw9fgy0yx6mv48mx5hmpijpc9bswn79lfxdhv2kc9";
+ SGr-IosevkaTermSS03 = "13y1i1gfzgf0p5x75kf61j4ya9gmphjw6wj5j6a3fx5nxg2856pi";
+ SGr-IosevkaTermSS04 = "1q1p6gj2wx1pp9s46rw08nrdpm87xgx67vmi2dllkf20azs264vp";
+ SGr-IosevkaTermSS05 = "1kkz5sb8i9fsj27zd4nvlvnfc6scq00nmw5vc7r700jn0aqzlhyb";
+ SGr-IosevkaTermSS06 = "1wbc1y2l8lqg0qcsf8iq0w6nglhsgns8dmvf94ay2mzwmq2acw1i";
+ SGr-IosevkaTermSS07 = "1s9wsmqlliy1rf7gj15p4z8vmlhyxq7c2w8hyqf5az97rqprx6ir";
+ SGr-IosevkaTermSS08 = "17cjrwnladjnmch73l55p8bhdnbpr2jk8r6ssrs3pr1pi4xiv5i2";
+ SGr-IosevkaTermSS09 = "16fy6pwh8qlnl1knp429rhvcx26ldcb0vri614cv87fwm7vvykql";
+ SGr-IosevkaTermSS10 = "0vg3qqhbc308m90h7cy1av62zy5a34rzl60kba3d1skq1mf9zwlm";
+ SGr-IosevkaTermSS11 = "08k73y8nrdzjn23fbpc5qn9gff8zvb65yb6hcrj359p0dxwx0r24";
+ SGr-IosevkaTermSS12 = "10vlww4by76a2yvczimhv5y8wcxl6ir5sal8qcfbkz11ml2fp5q6";
+ SGr-IosevkaTermSS13 = "1a62vdsdk5c42gi34gi345dqqirn7rqdpfj0chc3394wjdx3g1bl";
+ SGr-IosevkaTermSS14 = "0aq251sw84l8phys73589ky4xymbdglnn1ff07a6k862r3c1bzz0";
+ SGr-IosevkaTermSS15 = "0mslmw4ywgdfhz1xgpa2ybhxpa423l2f8dwgxz6ngmbrl8sbqcr9";
+ SGr-IosevkaTermSS16 = "1sr2sm1i6isivd3qgirxhgscf54sqw2cm5p7zhj9jqnmgsvvm1p2";
+ SGr-IosevkaTermSS17 = "00x3h0pp0q0hrqhy5mf0smf6gf5hxn19yvk6cnlsbkgfhgw5swh9";
+ SGr-IosevkaTermSS18 = "0nv7pqwwfwgrdam0f2104x8p4v1b4lvxqv1pzab32l1s61iw7jsz";
}
diff --git a/pkgs/data/fonts/julia-mono/default.nix b/pkgs/data/fonts/julia-mono/default.nix
index 6b343bcb163d..dc9ebf5ef25f 100644
--- a/pkgs/data/fonts/julia-mono/default.nix
+++ b/pkgs/data/fonts/julia-mono/default.nix
@@ -2,12 +2,12 @@
stdenvNoCC.mkDerivation rec {
pname = "JuliaMono-ttf";
- version = "0.053";
+ version = "0.054";
src = fetchzip {
url = "https://github.com/cormullion/juliamono/releases/download/v${version}/${pname}.tar.gz";
stripRoot = false;
- hash = "sha256-KvDyT0T8ecpSoNmqvsvDMooWNNe+z/PvxYj1Nd6qqfA=";
+ hash = "sha256-DtvaFu3r2r5WmlFCbkbzqAk/Y2BNEnxR6hPDfKM+/aQ=";
};
installPhase = ''
diff --git a/pkgs/data/fonts/junicode/default.nix b/pkgs/data/fonts/junicode/default.nix
index 29a158838458..1bc12ad70abb 100644
--- a/pkgs/data/fonts/junicode/default.nix
+++ b/pkgs/data/fonts/junicode/default.nix
@@ -1,4 +1,4 @@
-{ lib, stdenvNoCC, fetchzip }:
+{ lib, stdenvNoCC, fetchzip, texlive, callPackage }:
stdenvNoCC.mkDerivation rec {
pname = "junicode";
@@ -9,7 +9,17 @@ stdenvNoCC.mkDerivation rec {
hash = "sha256-oOKg85Yz5/2/pvwjVqeQXE8xE7X+QJvPYwYN+E18oEc=";
};
- outputs = [ "out" "doc" ];
+ outputs = [ "out" "doc" "tex" ];
+
+ patches = [ ./tex-font-path.patch ];
+
+ postPatch = ''
+ substituteInPlace TeX/junicode.sty \
+ --replace '@@@opentype_path@@@' "$out/share/fonts/opentype/" \
+ --replace '@@@truetype_path@@@' "$out/share/fonts/truetype/"
+ substituteInPlace TeX/junicodevf.sty \
+ --replace '@@@truetype_path@@@' "$out/share/fonts/truetype/"
+ '';
installPhase = ''
runHook preInstall
@@ -20,9 +30,18 @@ stdenvNoCC.mkDerivation rec {
install -Dm 444 -t $doc/share/doc/${pname}-${version} docs/*.pdf
+ install -Dm 444 -t $tex/tex/latex/junicode TeX/junicode.sty
+ install -Dm 444 -t $tex/tex/latex/junicodevf TeX/junicodevf.{sty,lua}
+
runHook postInstall
'';
+ passthru = {
+ tlDeps = with texlive; [ xkeyval fontspec ];
+
+ tests = callPackage ./tests.nix { };
+ };
+
meta = {
homepage = "https://github.com/psb1558/Junicode-font";
description = "A Unicode font for medievalists";
diff --git a/pkgs/data/fonts/junicode/test-vf.tex b/pkgs/data/fonts/junicode/test-vf.tex
new file mode 100644
index 000000000000..a23437b40efd
--- /dev/null
+++ b/pkgs/data/fonts/junicode/test-vf.tex
@@ -0,0 +1,46 @@
+\documentclass{article}
+
+\usepackage{junicodevf}
+
+\begin{document}
+\begin{enumerate}
+\item {\jBold Bold}
+\item {\jBoldItalic BoldItalic}
+\item {\jCond Cond}
+\item {\jCondItalic CondItalic}
+\item {\jCondLight CondLight}
+\item {\jCondLightItalic CondLightItalic}
+\item {\jCondMedium CondMedium}
+\item {\jCondMediumItalic CondMediumItalic}
+\item {\jExp Exp}
+\item {\jExpItalic ExpItalic}
+\item {\jExpBold ExpBold}
+\item {\jExpBoldItalic ExpBoldItalic}
+\item {\jExpMedium ExpMedium}
+\item {\jExpMediumItalic ExpMediumItalic}
+\item {\jExpSmbold ExpSmbold}
+\item {\jExpSmboldItalic ExpSmboldItalic}
+\item {\jItalic Italic}
+\item {\jLight Light}
+\item {\jLightItalic LightItalic}
+\item {\jMedium Medium}
+\item {\jMediumItalic MediumItalic}
+\item {\jRegular Regular}
+\item {\jSmbold Smbold}
+\item {\jSmboldItalic SmboldItalic}
+\item {\jSmCond SmCond}
+\item {\jSmCondItalic SmCondItalic}
+\item {\jSmCondLight SmCondLight}
+\item {\jSmCondLightItalic SmCondLightItalic}
+\item {\jSmCondMedium SmCondMedium}
+\item {\jSmCondMediumItalic SmCondMediumItalic}
+\item {\jSmExp SmExp}
+\item {\jSmExpItalic SmExpItalic}
+\item {\jSmExpBold SmExpBold}
+\item {\jSmExpBoldItalic SmExpBoldItalic}
+\item {\jSmExpMedium SmExpMedium}
+\item {\jSmExpMediumItalic SmExpMediumItalic}
+\item {\jSmExpSmbold SmExpSmbold}
+\item {\jSmExpSmboldItalic SmExpSmboldItalic}
+\end{enumerate}
+\end{document}
diff --git a/pkgs/data/fonts/junicode/test.tex b/pkgs/data/fonts/junicode/test.tex
new file mode 100644
index 000000000000..d82f40f1279f
--- /dev/null
+++ b/pkgs/data/fonts/junicode/test.tex
@@ -0,0 +1,46 @@
+\documentclass{article}
+
+\usepackage[fonttype=@fonttype@]{junicode}
+
+\begin{document}
+\begin{enumerate}
+\item {\jBold Bold}
+\item {\jBoldItalic BoldItalic}
+\item {\jCond Cond}
+\item {\jCondItalic CondItalic}
+\item {\jCondLight CondLight}
+\item {\jCondLightItalic CondLightItalic}
+\item {\jCondMedium CondMedium}
+\item {\jCondMediumItalic CondMediumItalic}
+\item {\jExp Exp}
+\item {\jExpItalic ExpItalic}
+\item {\jExpBold ExpBold}
+\item {\jExpBoldItalic ExpBoldItalic}
+\item {\jExpMedium ExpMedium}
+\item {\jExpMediumItalic ExpMediumItalic}
+\item {\jExpSmBold ExpSmBold}
+\item {\jExpSmBoldItalic ExpSmBoldItalic}
+\item {\jItalic Italic}
+\item {\jLight Light}
+\item {\jLightItalic LightItalic}
+\item {\jMedium Medium}
+\item {\jMediumItalic MediumItalic}
+\item {\jRegular Regular}
+\item {\jSmBold SmBold}
+\item {\jSmBoldItalic SmBoldItalic}
+\item {\jSmCond SmCond}
+\item {\jSmCondItalic SmCondItalic}
+\item {\jSmCondLight SmCondLight}
+\item {\jSmCondLightItalic SmCondLightItalic}
+\item {\jSmCondMedium SmCondMedium}
+\item {\jSmCondMediumItalic SmCondMediumItalic}
+\item {\jSmExp SmExp}
+\item {\jSmExpItalic SmExpItalic}
+\item {\jSmExpBold SmExpBold}
+\item {\jSmExpBoldItalic SmExpBoldItalic}
+\item {\jSmExpMedium SmExpMedium}
+\item {\jSmExpMediumItalic SmExpMediumItalic}
+\item {\jSmExpSmBold SmExpSmBold}
+\item {\jSmExpSmBoldItalic SmExpSmBoldItalic}
+\end{enumerate}
+\end{document}
diff --git a/pkgs/data/fonts/junicode/tests.nix b/pkgs/data/fonts/junicode/tests.nix
new file mode 100644
index 000000000000..fda7de31670e
--- /dev/null
+++ b/pkgs/data/fonts/junicode/tests.nix
@@ -0,0 +1,35 @@
+{ lib, runCommand, junicode, texliveBasic }:
+let
+ texliveWithJunicode = texliveBasic.withPackages (p: [ p.xetex junicode ]);
+
+ texTest = { package, tex, fonttype, file }:
+ lib.attrsets.nameValuePair "${package}-${tex}-${fonttype}" (
+ runCommand "${package}-test-${tex}-${fonttype}.pdf"
+ {
+ nativeBuildInputs = [ texliveWithJunicode ];
+ inherit tex fonttype file;
+ } ''
+ substituteAll $file test.tex
+ HOME=$PWD $tex test.tex
+ cp test.pdf $out
+ '');
+in
+builtins.listToAttrs (
+ map
+ texTest
+ (lib.attrsets.cartesianProductOfSets {
+ tex = [ "xelatex" "lualatex" ];
+ fonttype = [ "ttf" "otf" ];
+ package = [ "junicode" ];
+ file = [ ./test.tex ];
+ })
+ ++
+ [
+ (texTest {
+ package = "junicodevf";
+ fonttype = "ttf";
+ tex = "lualatex";
+ file = ./test-vf.tex;
+ })
+ ]
+)
diff --git a/pkgs/data/fonts/junicode/tex-font-path.patch b/pkgs/data/fonts/junicode/tex-font-path.patch
new file mode 100644
index 000000000000..13b311b39ce6
--- /dev/null
+++ b/pkgs/data/fonts/junicode/tex-font-path.patch
@@ -0,0 +1,166 @@
+Upstream style file relies on font files being present on the system
+globally. This is not quite how Nix usually does thing, so this patch
+changes the style file to instead look fonts up in hardcoded
+locations, which are later patched up to refer to the package outputs,
+thus ensuring the style always uses the fonts packaged with it.
+
+diff --git a/TeX/junicode.sty b/TeX/junicode.sty
+index 83bd45d..8fe671c 100644
+--- a/TeX/junicode.sty
++++ b/TeX/junicode.sty
+@@ -208,7 +208,14 @@
+
+ \RequirePackage{fontspec}
+ \defaultfontfeatures{Ligatures=TeX, Extension=.\junicode@fonttype}
+-\defaultfontfeatures{Ligatures=TeX}
++
++\def\junicode@fonttype@otf{otf}
++
++\ifx\junicode@fonttype\junicode@fonttype@otf
++ \def\junicode@fontpath{@@@opentype_path@@@}
++\else
++ \def\junicode@fontpath{@@@truetype_path@@@}
++\fi
+
+ \ifxetex
+ \typeout{\junicode@regstylename}
+@@ -219,6 +226,7 @@
+ ItalicFont = *-\junicode@italstylename,
+ BoldFont = *-\junicode@boldstylename,
+ BoldItalicFont = *-\junicode@boldstylename Italic,
++ Path = \junicode@fontpath,
+ ]{Junicode}
+ \fi
+ \ifluatex
+@@ -230,6 +238,7 @@
+ ItalicFont = *-\junicode@italstylename,
+ BoldFont = *-\junicode@boldstylename,
+ BoldItalicFont = *-\junicode@boldstylename Italic,
++ Path = \junicode@fontpath,
+ ]{Junicode}
+ \fi
+
+@@ -242,6 +251,7 @@
+ #3
+ Numbers = {\junicode@figurealign,\junicode@figurestyle},
+ SmallCapsFeatures = {Letters=SmallCaps},
++ Path = \junicode@fontpath,
+ ]
+ }
+ \fi
+@@ -252,6 +262,7 @@
+ #3
+ Numbers = {\junicode@figurealign,\junicode@figurestyle},
+ SmallCapsFeatures = {Letters=SmallCaps},
++ Path = \junicode@fontpath,
+ ]
+ }
+ \fi
+diff --git a/TeX/junicodevf.lua b/TeX/junicodevf.lua
+index 7148668..acebe82 100644
+--- a/TeX/junicodevf.lua
++++ b/TeX/junicodevf.lua
+@@ -148,7 +148,7 @@ function mkfontcommands()
+ romfontcmd = "jRegular"
+ italfontcmd = "jItalic"
+ end
+- tex.print("\\junicodevf@newfont{\\" .. romfontcmd .. "}{JunicodeVF}{\\" .. defcmd .. "}{\\" .. defsizecmd .. "}")
++ tex.print("\\junicodevf@newfont{\\" .. romfontcmd .. "}{JunicodeVF-Roman}{\\" .. defcmd .. "}{\\" .. defsizecmd .. "}")
+ tex.print("\\junicodevf@newfont{\\" .. italfontcmd .. "}{JunicodeVF-Italic}{\\" .. defcmd .. "}{\\" .. defsizecmd .. "}")
+ end
+ end
+diff --git a/TeX/junicodevf.sty b/TeX/junicodevf.sty
+index c01ccaf..07a99ad 100644
+--- a/TeX/junicodevf.sty
++++ b/TeX/junicodevf.sty
+@@ -168,11 +168,13 @@ mkwidthcommands(wdindex, adjustment)}}
+
+ % DECLARE THE FONTS
+
+-\setmainfont{Junicode VF}[
+- ItalicFont = {*-Italic},
+- BoldFont = {*},
+- BoldItalicFont = {*-Italic},
++\setmainfont{JunicodeVF-Roman}[
++ ItalicFont = {JunicodeVF-Italic},
++ BoldFont = {JunicodeVF-Roman},
++ BoldItalicFont = {JunicodeVF-Italic},
+ Renderer = HarfBuzz,
++ Extension = .ttf,
++ Path = @@@truetype_path@@@,
+ Numbers = {\junicodevf@figurealign,\junicodevf@figurestyle},
+ \MainDef,
+ UprightFeatures = {\MainRegDef
+@@ -188,6 +190,8 @@ mkwidthcommands(wdindex, adjustment)}}
+ \newcommand*{\junicodevf@newfont}[4]{
+ \setfontface#1{#2}[
+ Renderer = HarfBuzz,
++ Extension = .ttf,
++ Path = @@@truetype_path@@@,
+ Numbers = {\junicodevf@figurealign,\junicodevf@figurestyle},
+ SmallCapsFont = {*},
+ SmallCapsFeatures = {Letters=SmallCaps},
+@@ -200,43 +204,59 @@ mkwidthcommands(wdindex, adjustment)}}
+
+ % ENLARGED FACES
+
+-\setfontface\EnlargedOne{JunicodeVF}[
++\setfontface\EnlargedOne{JunicodeVF-Roman}[
+ Renderer = HarfBuzz,
++ Extension = .ttf,
++ Path = @@@truetype_path@@@,
+ \ENLAOneSizeDef
+ ]
+
+ \setfontface\EnlargedOneItalic{JunicodeVF-Italic}[
+ Renderer = HarfBuzz,
++ Extension = .ttf,
++ Path = @@@truetype_path@@@,
+ \ENLAOneSizeDef
+ ]
+
+-\setfontface\EnlargedTwo{JunicodeVF}[
++\setfontface\EnlargedTwo{JunicodeVF-Roman}[
+ Renderer = HarfBuzz,
++ Extension = .ttf,
++ Path = @@@truetype_path@@@,
+ \ENLATwoSizeDef
+ ]
+
+ \setfontface\EnlargedTwoItalic{JunicodeVF-Italic}[
+ Renderer = HarfBuzz,
++ Extension = .ttf,
++ Path = @@@truetype_path@@@,
+ \ENLATwoSizeDef
+ ]
+
+-\setfontface\EnlargedThree{JunicodeVF}[
++\setfontface\EnlargedThree{JunicodeVF-Roman}[
+ Renderer = HarfBuzz,
++ Extension = .ttf,
++ Path = @@@truetype_path@@@,
+ \ENLAThreeSizeDef
+ ]
+
+ \setfontface\EnlargedThreeItalic{JunicodeVF-Italic}[
+ Renderer = HarfBuzz,
++ Extension = .ttf,
++ Path = @@@truetype_path@@@,
+ \ENLAThreeSizeDef
+ ]
+
+-\setfontface\EnlargedFour{JunicodeVF}[
++\setfontface\EnlargedFour{JunicodeVF-Roman}[
+ Renderer = HarfBuzz,
++ Extension = .ttf,
++ Path = @@@truetype_path@@@,
+ \ENLAFourSizeDef
+ ]
+
+ \setfontface\EnlargedFourItalic{JunicodeVF-Italic}[
+ Renderer = HarfBuzz,
++ Extension = .ttf,
++ Path = @@@truetype_path@@@,
+ \ENLAFourSizeDef
+ ]
+
diff --git a/pkgs/data/fonts/kode-mono/default.nix b/pkgs/data/fonts/kode-mono/default.nix
index 8a4617989398..c4cd9f2de361 100644
--- a/pkgs/data/fonts/kode-mono/default.nix
+++ b/pkgs/data/fonts/kode-mono/default.nix
@@ -2,11 +2,11 @@
stdenvNoCC.mkDerivation (finalAttrs: {
pname = "kode-mono";
- version = "1.205";
+ version = "1.206";
src = fetchzip {
url = "https://github.com/isaozler/kode-mono/releases/download/${finalAttrs.version}/kode-mono-fonts.zip";
- hash = "sha256-DRe2Qi+Unhr5ebQdTG6QgvQEUTNOdnosFbQC8kpHNYU=";
+ hash = "sha256-0EZTlSqGCavSwjpKcEFv2L/bkKLE2jLyBWPSnmxQ3ww=";
stripRoot = false;
};
diff --git a/pkgs/data/fonts/lxgw-neoxihei/default.nix b/pkgs/data/fonts/lxgw-neoxihei/default.nix
index 36ab88861ae4..3832ba8d510b 100644
--- a/pkgs/data/fonts/lxgw-neoxihei/default.nix
+++ b/pkgs/data/fonts/lxgw-neoxihei/default.nix
@@ -5,11 +5,11 @@
stdenvNoCC.mkDerivation rec {
pname = "lxgw-neoxihei";
- version = "1.110";
+ version = "1.120.1";
src = fetchurl {
url = "https://github.com/lxgw/LxgwNeoXiHei/releases/download/v${version}/LXGWNeoXiHei.ttf";
- hash = "sha256-6KeKz8lJBCc/sc5pCkS2mSwMAQ8XpwDIMCjSbVXuyH4=";
+ hash = "sha256-shzJ5y2mjvodtSHct9gm+09CludxUpR38qi9zvewjaQ=";
};
dontUnpack = true;
diff --git a/pkgs/data/fonts/lxgw-wenkai/default.nix b/pkgs/data/fonts/lxgw-wenkai/default.nix
index 991fff3c0bdc..8ce458d3e4a3 100644
--- a/pkgs/data/fonts/lxgw-wenkai/default.nix
+++ b/pkgs/data/fonts/lxgw-wenkai/default.nix
@@ -2,11 +2,11 @@
stdenvNoCC.mkDerivation rec {
pname = "lxgw-wenkai";
- version = "1.315";
+ version = "1.320";
src = fetchurl {
url = "https://github.com/lxgw/LxgwWenKai/releases/download/v${version}/${pname}-v${version}.tar.gz";
- hash = "sha256-btiF6jij8sw/kynQedUdy9//5rPPhtnRhmZ59FY+S0c=";
+ hash = "sha256-9crFUfj1mOXg4gD607jL2eHq8wlq/yEi5sgzKJ5YavM=";
};
installPhase = ''
diff --git a/pkgs/data/fonts/openmoji/build.patch b/pkgs/data/fonts/openmoji/build.patch
new file mode 100644
index 000000000000..ddc9b554caf0
--- /dev/null
+++ b/pkgs/data/fonts/openmoji/build.patch
@@ -0,0 +1,77 @@
+diff --git a/helpers/generate-fonts-runner.sh b/helpers/generate-fonts-runner.sh
+index 21267e50f..873b5c664 100755
+--- a/helpers/generate-fonts-runner.sh
++++ b/helpers/generate-fonts-runner.sh
+@@ -25,10 +25,10 @@ mkdir -p "$build_dir"
+
+ # Change these to enable/disable formats
+ # Remember to update font/README.md accordingly
+-methods_black=(glyf)
+-methods_color=(cbdt glyf_colr_0 glyf_colr_1 sbix picosvgz untouchedsvgz)
++#methods_black=(glyf)
++#methods_color=(cbdt glyf_colr_0 glyf_colr_1 sbix picosvgz untouchedsvgz)
+
+-saturations=(black color)
++#saturations=(black color)
+ for saturation in "${saturations[@]}"; do
+ case "$saturation" in
+ black)
+@@ -43,6 +43,7 @@ for saturation in "${saturations[@]}"; do
+ mkdir -p "$build_dir/$saturation"
+
+ for method in "${methods[@]}"; do
++ if [ -z "$method" ]; then continue; fi
+ cat >"$build_dir/$saturation/OpenMoji-$saturation-$method.toml" <<-EOF
+ output_file = "$build_dir/$saturation/OpenMoji-$saturation-$method.ttf"
+ color_format = "$method"
+@@ -55,10 +56,7 @@ default = 400
+
+ [master.regular]
+ style_name = "Regular"
+-
+-# To quickly check build reverse comments below
+-srcs = ["/mnt/$saturation/svg/*.svg"]
+-# srcs = ["/mnt/$saturation/svg/1F923.svg", "/mnt/$saturation/svg/1F1E9-1F1F0.svg"]
++srcs = ["$(pwd)/$saturation/svg/*.svg"]
+
+ [master.regular.position]
+ wght = 400
+@@ -71,7 +69,7 @@ EOF
+ for method in "${methods[@]}"; do
+ # Generate XML for font
+ sed "s/Color/${saturation^}/;" \
+- /mnt/data/OpenMoji-Color.ttx \
++ data/OpenMoji-Color.ttx \
+ > "$build_dir/$saturation/OpenMoji-$saturation-$method.ttx"
+
+ # Add version to XML
+@@ -89,25 +87,21 @@ EOF
+
+ # Compress with WOFF2
+ woff2_compress "$build_dir/fonts/OpenMoji-$saturation-$method/OpenMoji-$saturation-$method.ttf"
+-
+- # Generate font demo
+- /mnt/helpers/generate-font-demo.js "OpenMoji-$saturation-$method.woff2" "$build_dir/fonts/OpenMoji-$saturation-$method"
+ done
+ done
+
+-for colr_version in 0 1; do
++for colr_version in "${maximumColorVersions[@]}"; do
++ if [ -z "$colr_version" ]; then continue; fi
+ # Make TTF with both COLR and SVG font data in it
+ mkdir -p "$build_dir/fonts/OpenMoji-color-colr${colr_version}_svg"
+
+- maximum_color \
++ maximum_color --build_dir="$build_dir/color" \
+ "$build_dir/fonts/OpenMoji-color-glyf_colr_${colr_version}/OpenMoji-color-glyf_colr_${colr_version}.ttf"\
+ --output_file "$build_dir/fonts/OpenMoji-color-colr${colr_version}_svg/OpenMoji-color-colr${colr_version}_svg.ttf"
+
+ woff2_compress "$build_dir/fonts/OpenMoji-color-colr${colr_version}_svg/OpenMoji-color-colr${colr_version}_svg.ttf"
+
+- /mnt/helpers/generate-font-demo.js\
+- "OpenMoji-color-colr${colr_version}_svg.woff2"\
+- "$build_dir/fonts/OpenMoji-color-colr${colr_version}_svg"
++ rm -rf "$build_dir/fonts/OpenMoji-color-glyf_colr_${colr_version}"
+ done
+
+ echo "Done building fonts!"
diff --git a/pkgs/data/fonts/openmoji/default.nix b/pkgs/data/fonts/openmoji/default.nix
index fd0d8882059c..3f19bbe91f33 100644
--- a/pkgs/data/fonts/openmoji/default.nix
+++ b/pkgs/data/fonts/openmoji/default.nix
@@ -1,93 +1,85 @@
{ lib
-, stdenv
+, stdenvNoCC
, fetchFromGitHub
-, fetchpatch
-, scfbuild
-, fontforge
-, node-glob
-, libuninameslist
-, nodejs
-, nodePackages
+, nanoemoji
, python3Packages
-, variant ? "color" # "color" or "black"
+, woff2
+, xmlstarlet
+ # available color formats: ["cbdt" "glyf_colr_0" "glyf_colr_1" "sbix" "picosvgz" "untouchedsvgz"]
+ # available black formats: ["glyf"]
+, fontFormats ? [ "glyf" "cbdt" "glyf_colr_0" "glyf_colr_1" ]
+ # when at least one of the glyf_colr_0/1 formats is specified, whether to build maximum color fonts
+ # "none" to not build any, "svg" to build colr+svg, "bitmap" to build cbdt+colr+svg fonts
+, buildMaximumColorFonts ? "bitmap"
}:
-
let
- filename = builtins.replaceStrings
- [ "color" "black" ]
- [ "OpenMoji-Color.ttf" "OpenMoji-Black.ttf" ]
- variant;
+ # all available methods
+ methods = {
+ black = [ "glyf" ];
+ color = [ "cbdt" "glyf_colr_0" "glyf_colr_1" "sbix" "picosvgz" "untouchedsvgz" ];
+ };
+in
- # With newer fontforge the build hangs, see
- # https://github.com/NixOS/nixpkgs/issues/167869
- # Patches etc taken from
- # https://github.com/NixOS/nixpkgs/commit/69da642a5a9bb433138ba1b13c8d56fb5bb6ec05
- fontforge-20201107 = fontforge.overrideAttrs (old: rec {
- version = "20201107";
- src = fetchFromGitHub {
- owner = "fontforge";
- repo = "fontforge";
- rev = version;
- sha256 = "sha256-Rl/5lbXaPgIndANaD0IakaDus6T53FjiBb45FIuGrvc=";
- };
- patches = [
- (fetchpatch {
- url = "https://salsa.debian.org/fonts-team/fontforge/raw/76bffe6ccf8ab20a0c81476a80a87ad245e2fd1c/debian/patches/0001-add-extra-cmake-install-rules.patch";
- sha256 = "u3D9od2xLECNEHhZ+8dkuv9818tPkdP6y/Tvd9CADJg=";
- })
- (fetchpatch {
- url = "https://github.com/fontforge/fontforge/commit/69e263b2aff29ad22f97f13935cfa97a1eabf207.patch";
- sha256 = "06yyf90605aq6ppfiz83mqkdmnaq5418axp9jgsjyjq78b00xb29";
- })
- ];
- buildInputs = old.buildInputs ++ [ libuninameslist ];
- });
- scfbuild-with-fontforge-20201107 = scfbuild.override (old: {
- fontforge = fontforge-20201107;
- });
+assert lib.asserts.assertEachOneOf "fontFormats" fontFormats (methods.black ++ methods.color);
+assert lib.asserts.assertOneOf "buildMaximumColorFonts" buildMaximumColorFonts [ "none" "bitmap" "svg" ];
-in stdenv.mkDerivation rec {
+stdenvNoCC.mkDerivation rec {
pname = "openmoji";
- version = "14.0.0";
+ version = "15.0.0";
src = fetchFromGitHub {
owner = "hfg-gmuend";
repo = pname;
rev = version;
- sha256 = "sha256-XnSRSlWXOMeSaO6dKaOloRg3+sWS4BSaro4bPqOyKmE=";
+ hash = "sha256-659ONkHU45Z2789ay0yLero9j5nFWhslpJad++4oNN8=";
};
- nativeBuildInputs = [
- scfbuild-with-fontforge-20201107
- nodejs
- node-glob
- nodePackages.lodash
+ patches = [
+ # fix paths and variables for nix build and skip generating font demos
+ ./build.patch
];
- postPatch = ''
- # this is API change in glob >9
- substituteInPlace helpers/generate-font-glyphs.js \
- --replace "require('glob').sync" "require('glob').globSync"
+ nativeBuildInputs = [
+ nanoemoji
+ python3Packages.fonttools
+ woff2
+ xmlstarlet
+ ];
+
+ methods_black = builtins.filter (m: builtins.elem m fontFormats) methods.black;
+ methods_color = builtins.filter (m: builtins.elem m fontFormats) methods.color;
+ saturations = lib.optional (methods_black != [ ]) "black" ++ lib.optional (methods_color != [ ]) "color";
+ maximumColorVersions = lib.optionals (buildMaximumColorFonts != "none") (
+ lib.optional (builtins.elem "glyf_colr_0" fontFormats) "0"
+ ++ lib.optional (builtins.elem "glyf_colr_1" fontFormats) "1"
+ );
+
+ postPatch = lib.optionalString (buildMaximumColorFonts == "bitmap") ''
+ substituteInPlace helpers/generate-fonts-runner.sh \
+ --replace 'maximum_color' 'maximum_color --bitmaps'
'';
buildPhase = ''
runHook preBuild
- node helpers/generate-font-glyphs.js
-
- cd font
- scfbuild -c scfbuild-${variant}.yml
+ bash helpers/generate-fonts-runner.sh "$(pwd)/build" "${version}"
runHook postBuild
'';
installPhase = ''
- install -Dm644 ${filename} $out/share/fonts/truetype/${filename}
+ runHook preInstall
+
+ mkdir -p $out/share/fonts/truetype $out/share/fonts/woff2
+ cp build/fonts/*/*.ttf $out/share/fonts/truetype/
+ cp build/fonts/*/*.woff2 $out/share/fonts/woff2/
+
+ runHook postInstall
'';
meta = with lib; {
license = licenses.cc-by-sa-40;
- maintainers = with maintainers; [ fgaz ];
+ maintainers = with maintainers; [ _999eagle fgaz ];
platforms = platforms.all;
homepage = "https://openmoji.org/";
downloadPage = "https://github.com/hfg-gmuend/openmoji/releases";
diff --git a/pkgs/data/fonts/sketchybar-app-font/default.nix b/pkgs/data/fonts/sketchybar-app-font/default.nix
index 57ab1ad0824f..cd9af9a9c5f4 100644
--- a/pkgs/data/fonts/sketchybar-app-font/default.nix
+++ b/pkgs/data/fonts/sketchybar-app-font/default.nix
@@ -5,11 +5,11 @@
stdenvNoCC.mkDerivation (finalAttrs: {
pname = "sketchybar-app-font";
- version = "2.0.4";
+ version = "2.0.12";
src = fetchurl {
url = "https://github.com/kvndrsslr/sketchybar-app-font/releases/download/v${finalAttrs.version}/sketchybar-app-font.ttf";
- hash = "sha256-G3ceScZQT1rrjw+V9ALRo78lSVYsLymQLFfzSo/gA8U=";
+ hash = "sha256-qACf4eWDeubBmJV0ApWidp2ESLREi5qiLliWCXoMBKY=";
};
dontUnpack = true;
@@ -28,7 +28,7 @@ stdenvNoCC.mkDerivation (finalAttrs: {
A ligature-based symbol font and a mapping function for sketchybar, inspired by simple-bar's usage of community-contributed minimalistic app icons.
'';
homepage = "https://github.com/kvndrsslr/sketchybar-app-font";
- license = lib.licenses.unlicense;
+ license = lib.licenses.cc0;
maintainers = with lib.maintainers; [ khaneliman ];
};
})
diff --git a/pkgs/data/fonts/sudo/default.nix b/pkgs/data/fonts/sudo/default.nix
index ec079295a9ca..5678855ab80a 100644
--- a/pkgs/data/fonts/sudo/default.nix
+++ b/pkgs/data/fonts/sudo/default.nix
@@ -2,11 +2,11 @@
stdenvNoCC.mkDerivation rec {
pname = "sudo-font";
- version = "0.81";
+ version = "1.2";
src = fetchzip {
url = "https://github.com/jenskutilek/sudo-font/releases/download/v${version}/sudo.zip";
- hash = "sha256-qc26xHM9P9+lsPE9j5UY4f0hIb5PjlCSy+jm0zEFj2g=";
+ hash = "sha256-8ucMeKbnrYtk2/ZeYxzp8MA4Ss952/opD8GpB01Ze/I=";
};
installPhase = ''
diff --git a/pkgs/data/fonts/tamzen/default.nix b/pkgs/data/fonts/tamzen/default.nix
index f589455e9811..e8706c1971c6 100644
--- a/pkgs/data/fonts/tamzen/default.nix
+++ b/pkgs/data/fonts/tamzen/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "tamzen-font";
- version = "1.11.5";
+ version = "1.11.6";
src = fetchFromGitHub {
owner = "sunaku";
repo = "tamzen-font";
rev = "Tamzen-${version}";
- sha256 = "00x5fipzqimglvshhqwycdhaqslbvn3rl06jnswhyxfvz16ymj7s";
+ sha256 = "sha256-W5Wqsm5rpzzcbJl2lv6ORAznaAwLcmJ2S6Qo2zIoq9I=";
};
nativeBuildInputs = [ xorg.mkfontscale ];
diff --git a/pkgs/data/fonts/twemoji-color-font/default.nix b/pkgs/data/fonts/twemoji-color-font/default.nix
index 2641fc1bc940..a6e65ce68955 100644
--- a/pkgs/data/fonts/twemoji-color-font/default.nix
+++ b/pkgs/data/fonts/twemoji-color-font/default.nix
@@ -3,14 +3,14 @@
, fetchurl
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "twemoji-color-font";
version = "14.0.2";
# We fetch the prebuilt font because building it takes 1.5 hours on hydra.
# Relevant issue: https://github.com/NixOS/nixpkgs/issues/97871
src = fetchurl {
- url = "https://github.com/eosrei/twemoji-color-font/releases/download/v${version}/TwitterColorEmoji-SVGinOT-Linux-${version}.tar.gz";
+ url = "https://github.com/eosrei/twemoji-color-font/releases/download/v${finalAttrs.version}/TwitterColorEmoji-SVGinOT-Linux-${finalAttrs.version}.tar.gz";
sha256 = "sha256-aCbiHqCNxd8myIeuTlYEaYfg9JCd+MAsc94FcUoDU8E=";
};
@@ -39,4 +39,4 @@ stdenv.mkDerivation rec {
license = with licenses; [ cc-by-40 mit ];
maintainers = [ maintainers.fgaz ];
};
-}
+})
diff --git a/pkgs/data/fonts/ubuntu-font-family/default.nix b/pkgs/data/fonts/ubuntu-font-family/default.nix
index 331e34690a5e..671ba8b4b48d 100644
--- a/pkgs/data/fonts/ubuntu-font-family/default.nix
+++ b/pkgs/data/fonts/ubuntu-font-family/default.nix
@@ -25,7 +25,7 @@ stdenvNoCC.mkDerivation rec {
contemporary style and contains characteristics unique to
the Ubuntu brand that convey a precise, reliable and free attitude.";
homepage = "http://font.ubuntu.com/";
- license = licenses.free;
+ license = licenses.ufl;
platforms = platforms.all;
maintainers = [ maintainers.antono ];
};
diff --git a/pkgs/data/fonts/undefined-medium/default.nix b/pkgs/data/fonts/undefined-medium/default.nix
index 3e3087c6abc8..c1b22f787117 100644
--- a/pkgs/data/fonts/undefined-medium/default.nix
+++ b/pkgs/data/fonts/undefined-medium/default.nix
@@ -2,11 +2,11 @@
stdenvNoCC.mkDerivation rec {
pname = "undefined-medium";
- version = "1.1";
+ version = "1.2";
src = fetchzip {
- url = "https://github.com/andirueckel/undefined-medium/archive/v1.1.zip";
- hash = "sha256-iquxt7lo92y4AQZf23Ij5Qzg2U7buL3kGLksQSR6vac=";
+ url = "https://github.com/andirueckel/undefined-medium/archive/v1.2.zip";
+ hash = "sha256-hgHwi25T+aM7ljzk5uR4x+CqoRJ3/IOyktdp/mDW46I=";
};
installPhase = ''
diff --git a/pkgs/data/fonts/unifont/default.nix b/pkgs/data/fonts/unifont/default.nix
index 7f2e9a1b158b..0868abcdd58c 100644
--- a/pkgs/data/fonts/unifont/default.nix
+++ b/pkgs/data/fonts/unifont/default.nix
@@ -4,16 +4,16 @@
stdenv.mkDerivation rec {
pname = "unifont";
- version = "15.1.04";
+ version = "15.1.05";
otf = fetchurl {
url = "mirror://gnu/unifont/${pname}-${version}/${pname}-${version}.otf";
- hash = "sha256-J8g8ojXDq5nV013zXXi1rEAYQhpCh7G06mV7IpmZbTg=";
+ hash = "sha256-e2K1CsuxhmidwwxEbOQ2e4fXlInpkHuDJV+fvg3PueE=";
};
pcf = fetchurl {
url = "mirror://gnu/unifont/${pname}-${version}/${pname}-${version}.pcf.gz";
- hash = "sha256-XHKP8xx+GvhFYBW03Sambpd2gclKvQUz1JAjIlb59OI=";
+ hash = "sha256-zpc9Z4XXvma/tXRYOvAAQIpjyYS+1XPiaLZF4xYPTbw=";
};
nativeBuildInputs = [ libfaketime xorg.fonttosfnt xorg.mkfontscale ];
diff --git a/pkgs/data/fonts/unifont_upper/default.nix b/pkgs/data/fonts/unifont_upper/default.nix
index e7820a85842c..058e4e4191c4 100644
--- a/pkgs/data/fonts/unifont_upper/default.nix
+++ b/pkgs/data/fonts/unifont_upper/default.nix
@@ -2,11 +2,11 @@
stdenvNoCC.mkDerivation rec {
pname = "unifont_upper";
- version = "15.1.04";
+ version = "15.1.05";
src = fetchurl {
url = "mirror://gnu/unifont/unifont-${version}/${pname}-${version}.otf";
- hash = "sha256-SUsG2xhrn47zrGpNzRn1g76qyt2vQyH/UBmYtzCD0UA=";
+ hash = "sha256-A/Z/+IMNUH/3Ir3ewf/U2xqkkpZDUDKO+dlnRYt+7U0=";
};
dontUnpack = true;
diff --git a/pkgs/data/fonts/vdrsymbols/default.nix b/pkgs/data/fonts/vdrsymbols/default.nix
index 5df82b31cfe5..fd7aba9ec063 100644
--- a/pkgs/data/fonts/vdrsymbols/default.nix
+++ b/pkgs/data/fonts/vdrsymbols/default.nix
@@ -27,6 +27,6 @@ stdenvNoCC.mkDerivation rec {
# Copyright (c) 2006 by Tavmjong Bah. All Rights Reserved.
# DejaVu changes are in public domain
# See https://dejavu-fonts.github.io/License.html for details
- license = licenses.free;
+ license = with licenses; [ bitstreamVera publicDomain ];
};
}
diff --git a/pkgs/data/icons/colloid-icon-theme/default.nix b/pkgs/data/icons/colloid-icon-theme/default.nix
index 7806f3e814ad..1e14e7112955 100644
--- a/pkgs/data/icons/colloid-icon-theme/default.nix
+++ b/pkgs/data/icons/colloid-icon-theme/default.nix
@@ -18,13 +18,13 @@ lib.checkListOfEnum "${pname}: color variants" [ "default" "purple" "pink" "red"
stdenvNoCC.mkDerivation rec {
inherit pname;
- version = "2023-07-01";
+ version = "2024-02-28";
src = fetchFromGitHub {
owner = "vinceliuice";
repo = pname;
rev = version;
- hash = "sha256-2J6LmDV/Y2+x+nK3mO+t4MnmZCbVwDLX0tDG6BmLgqo=";
+ hash = "sha256-bTN6x3t88yBL4WsPfOJIiNGWTywdIVi7E2VJKgMzEso=";
};
nativeBuildInputs = [
diff --git a/pkgs/data/icons/elementary-xfce-icon-theme/default.nix b/pkgs/data/icons/elementary-xfce-icon-theme/default.nix
index 69eef5754d62..8433d58795be 100644
--- a/pkgs/data/icons/elementary-xfce-icon-theme/default.nix
+++ b/pkgs/data/icons/elementary-xfce-icon-theme/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "elementary-xfce-icon-theme";
- version = "0.18";
+ version = "0.19";
src = fetchFromGitHub {
owner = "shimmerproject";
repo = "elementary-xfce";
rev = "v${version}";
- sha256 = "sha256-OgQtqBrYKDgU4mhXLFO8YwiPv2lKqGSdZnfKCd9ri4g=";
+ sha256 = "sha256-exrPxJ6S3xV1EJ61KW1MqCcOSzPY9zOycuSh8I9Gdns=";
};
nativeBuildInputs = [
diff --git a/pkgs/data/icons/fluent-icon-theme/default.nix b/pkgs/data/icons/fluent-icon-theme/default.nix
index 6933a0ecb928..cc3253e3b1fb 100644
--- a/pkgs/data/icons/fluent-icon-theme/default.nix
+++ b/pkgs/data/icons/fluent-icon-theme/default.nix
@@ -16,13 +16,13 @@ lib.checkListOfEnum "${pname}: available color variants" [ "standard" "green" "g
stdenvNoCC.mkDerivation rec {
inherit pname;
- version = "2023-06-07";
+ version = "2024-02-25";
src = fetchFromGitHub {
owner = "vinceliuice";
repo = pname;
rev = version;
- hash = "sha256-drEAjIY/lacqncSeVeNmeRX6v4PnLvGo66Na1fuFXRg=";
+ hash = "sha256-Cadp2+4kBZ74kdD5x0O85FszxvN6/sg6yccxughyX1Q";
};
nativeBuildInputs = [ gtk3 jdupes ];
diff --git a/pkgs/data/icons/numix-icon-theme-circle/default.nix b/pkgs/data/icons/numix-icon-theme-circle/default.nix
index 328937488088..5422eaac8073 100644
--- a/pkgs/data/icons/numix-icon-theme-circle/default.nix
+++ b/pkgs/data/icons/numix-icon-theme-circle/default.nix
@@ -2,13 +2,13 @@
stdenvNoCC.mkDerivation rec {
pname = "numix-icon-theme-circle";
- version = "24.02.05";
+ version = "24.03.12";
src = fetchFromGitHub {
owner = "numixproject";
repo = pname;
rev = version;
- sha256 = "sha256-XootwpvdYrg3tBsMKssTuTiLPPMaFb7N401XeT889EM=";
+ sha256 = "sha256-WWgppmMIXa7AtMu8Tu3TOt4JvsAkpufZva1reE+UaWg=";
};
nativeBuildInputs = [ gtk3 ];
diff --git a/pkgs/data/icons/numix-icon-theme-square/default.nix b/pkgs/data/icons/numix-icon-theme-square/default.nix
index 477d7acef72a..3294508a1c66 100644
--- a/pkgs/data/icons/numix-icon-theme-square/default.nix
+++ b/pkgs/data/icons/numix-icon-theme-square/default.nix
@@ -2,13 +2,13 @@
stdenvNoCC.mkDerivation rec {
pname = "numix-icon-theme-square";
- version = "24.02.05";
+ version = "24.03.12";
src = fetchFromGitHub {
owner = "numixproject";
repo = pname;
rev = version;
- sha256 = "sha256-IYfyoDoBQOFLGRS6v487GLAdUSJUuscLIUwi65ilu90=";
+ sha256 = "sha256-dMPbu23e8ZCDZCYpbfoRwbtDMcy/+IImjGV17Sb5DBk=";
};
nativeBuildInputs = [ gtk3 ];
diff --git a/pkgs/data/misc/cacert/default.nix b/pkgs/data/misc/cacert/default.nix
index 30f2ee38c72f..7dc047bb1c88 100644
--- a/pkgs/data/misc/cacert/default.nix
+++ b/pkgs/data/misc/cacert/default.nix
@@ -20,7 +20,7 @@ let
blocklist = writeText "cacert-blocklist.txt" (lib.concatStringsSep "\n" blacklist);
extraCertificatesBundle = writeText "cacert-extra-certificates-bundle.crt" (lib.concatStringsSep "\n\n" extraCertificateStrings);
- srcVersion = "3.95";
+ srcVersion = "3.98";
version = if nssOverride != null then nssOverride.version else srcVersion;
meta = with lib; {
homepage = "https://curl.haxx.se/docs/caextract.html";
@@ -37,7 +37,7 @@ let
owner = "nss-dev";
repo = "nss";
rev = "NSS_${lib.replaceStrings ["."] ["_"] version}_RTM";
- hash = "sha256-qgSbzlRbU+gElC2ae3FEGRUFSM1JHd/lNGNXC0x4xt4=";
+ hash = "sha256-0p1HzspxyzhzX46O7ax8tmYiaFEBeqEqEvman4NIiQc=";
};
dontBuild = true;
@@ -71,6 +71,7 @@ stdenv.mkDerivation rec {
--ca_bundle_input "${extraCertificatesBundle}" ${lib.escapeShellArgs (map (arg: "${arg}") extraCertificateFiles)} \
--blocklist "${blocklist}" \
--ca_bundle_output ca-bundle.crt \
+ --ca_standard_bundle_output ca-no-trust-rules-bundle.crt \
--ca_unpacked_output unbundled \
--p11kit_output ca-bundle.trust.p11-kit
'';
@@ -78,6 +79,9 @@ stdenv.mkDerivation rec {
installPhase = ''
install -D -t "$out/etc/ssl/certs" ca-bundle.crt
+ # install standard PEM compatible bundle
+ install -D -t "$out/etc/ssl/certs" ca-no-trust-rules-bundle.crt
+
# install p11-kit specific output to p11kit output
install -D -t "$p11kit/etc/ssl/trust-source" ca-bundle.trust.p11-kit
diff --git a/pkgs/data/misc/clash-geoip/default.nix b/pkgs/data/misc/clash-geoip/default.nix
index 1ad3226080a4..0ec9663cbac3 100644
--- a/pkgs/data/misc/clash-geoip/default.nix
+++ b/pkgs/data/misc/clash-geoip/default.nix
@@ -2,11 +2,11 @@
stdenvNoCC.mkDerivation rec {
pname = "clash-geoip";
- version = "20240212";
+ version = "20240312";
src = fetchurl {
url = "https://github.com/Dreamacro/maxmind-geoip/releases/download/${version}/Country.mmdb";
- sha256 = "sha256-cNVEWdIRo2Z2FluZIR0O5o3Aso4tDcVyHAG3DkNmpSQ=";
+ sha256 = "sha256-h6nrlzFBRrvL+hUOnpWi/aixKDOlRoTV4zQYIHGslIY=";
};
dontUnpack = true;
diff --git a/pkgs/data/misc/conway_polynomials/default.nix b/pkgs/data/misc/conway_polynomials/default.nix
deleted file mode 100644
index caf470c51152..000000000000
--- a/pkgs/data/misc/conway_polynomials/default.nix
+++ /dev/null
@@ -1,41 +0,0 @@
-{ lib, stdenv
-, fetchurl
-, python3
-}:
-
-stdenv.mkDerivation rec {
- pname = "conway_polynomials";
- version = "0.5";
-
- src = fetchurl {
- url = "mirror://sageupstream/conway_polynomials/conway_polynomials-${version}.tar.bz2";
- sha256 = "05zb1ly9x2bbscqv0jgc45g48xx77mfs7qdbqhn4ihmihn57iwnq";
- };
-
- # Script that creates the "database" (nested python array) and pickles it
- spkg-install = fetchurl {
- url = "https://raw.githubusercontent.com/sagemath/sage/9.2/build/pkgs/conway_polynomials/spkg-install.py";
- sha256 = "1bwnqasnyv793hxg29viing4dnliz29grkhldsirq19d509yk1fs";
- };
-
- installPhase = ''
- # directory layout as spkg-install.py expects
- dir="$PWD"
- cd ..
- ln -s "$dir" "src"
-
- # environment spkg-install.py expects
- mkdir -p "$out/share"
- export SAGE_SHARE="$out/share"
- export PYTHONPATH=$PWD
-
- ${python3.interpreter} ${spkg-install}
- '';
-
- meta = with lib; {
- description = "Contains a small database of Conway polynomials";
- license = licenses.gpl2;
- platforms = platforms.all;
- maintainers = teams.sage.members;
- };
-}
diff --git a/pkgs/data/misc/dbip-country-lite/default.nix b/pkgs/data/misc/dbip-country-lite/default.nix
index e1dc1bebf487..90110d94d1ad 100644
--- a/pkgs/data/misc/dbip-country-lite/default.nix
+++ b/pkgs/data/misc/dbip-country-lite/default.nix
@@ -5,11 +5,11 @@
stdenvNoCC.mkDerivation (finalAttrs: {
pname = "dbip-country-lite";
- version = "2024-02";
+ version = "2024-03";
src = fetchurl {
url = "https://download.db-ip.com/free/dbip-country-lite-${finalAttrs.version}.mmdb.gz";
- hash = "sha256-YeZaFscmH4yVNwwXCHzxf/BlM1zT0gbdZ6Ysdh4gqN4=";
+ hash = "sha256-pWlNmM7CCiIS1GRRX5GRWNOF5tOwPPTytgc7V2+l3LE=";
};
dontUnpack = true;
diff --git a/pkgs/data/misc/ddccontrol-db/default.nix b/pkgs/data/misc/ddccontrol-db/default.nix
index 4a5c906513c4..91c406cad1b1 100644
--- a/pkgs/data/misc/ddccontrol-db/default.nix
+++ b/pkgs/data/misc/ddccontrol-db/default.nix
@@ -6,13 +6,13 @@
stdenv.mkDerivation rec {
pname = "ddccontrol-db";
- version = "20240209";
+ version = "20240304";
src = fetchFromGitHub {
owner = "ddccontrol";
repo = pname;
rev = version;
- sha256 = "sha256-Jmq8W9LHL+B4mY0meI9CtKvJw6NnF83kDaUG8Hbsj4Q=";
+ sha256 = "sha256-vXG9aa6Zdv5R7q62tpFaUIw4MVnT/jWwZ+jw1S9K7MM=";
};
nativeBuildInputs = [ autoreconfHook intltool ];
diff --git a/pkgs/data/misc/hackage/pin.json b/pkgs/data/misc/hackage/pin.json
index e9b32370af55..b82a5ca0e378 100644
--- a/pkgs/data/misc/hackage/pin.json
+++ b/pkgs/data/misc/hackage/pin.json
@@ -1,6 +1,6 @@
{
- "commit": "d77837f979c4b15fe0eb25cdf8a0463773434c9d",
- "url": "https://github.com/commercialhaskell/all-cabal-hashes/archive/d77837f979c4b15fe0eb25cdf8a0463773434c9d.tar.gz",
- "sha256": "01ihv1nwp0qqhwll5icl19ij5sb1nvhpnwgvwpcr319rn3b704km",
- "msg": "Update from Hackage at 2023-12-17T16:07:47Z"
+ "commit": "c947711834678a5466dcca9367676bc61ed0a991",
+ "url": "https://github.com/commercialhaskell/all-cabal-hashes/archive/c947711834678a5466dcca9367676bc61ed0a991.tar.gz",
+ "sha256": "1slm1b6s8hk7x5rlr9fmsipkj8g6jsbvf5lfr2zzz8msfr5z8j8c",
+ "msg": "Update from Hackage at 2024-02-12T23:23:22Z"
}
diff --git a/pkgs/data/misc/papirus-folders/default.nix b/pkgs/data/misc/papirus-folders/default.nix
index a44a382c4b40..b701742a9f46 100644
--- a/pkgs/data/misc/papirus-folders/default.nix
+++ b/pkgs/data/misc/papirus-folders/default.nix
@@ -23,6 +23,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A tool to change papirus icon theme color";
+ mainProgram = "papirus-folders";
longDescription = ''
papirus-folders is a bash script that allows changing the color of
folders in Papirus icon theme and its forks (which based on version 20171007 and newer).
diff --git a/pkgs/data/misc/sing-geosite/default.nix b/pkgs/data/misc/sing-geosite/default.nix
index 900e5ef86c8f..ac521f3ec280 100644
--- a/pkgs/data/misc/sing-geosite/default.nix
+++ b/pkgs/data/misc/sing-geosite/default.nix
@@ -1,5 +1,5 @@
{ lib
-, buildGo120Module
+, buildGoModule
, fetchFromGitHub
, substituteAll
, v2ray-domain-list-community
@@ -11,18 +11,18 @@ let
geosite_data = "${v2ray-domain-list-community}/share/v2ray/geosite.dat";
};
in
-buildGo120Module {
+buildGoModule {
pname = "sing-geosite";
inherit (v2ray-domain-list-community) version;
src = fetchFromGitHub {
owner = "SagerNet";
repo = "sing-geosite";
- rev = "4a32d56c1705f77668beb5828df0b0a051efdeb9";
- hash = "sha256-P/EBcwJI2G9327BNi84R+q6BABx9DEKpN6ETTp8Q4NU=";
+ rev = "bbd9f11bb9245463bf9d5614b74014fe5803b989";
+ hash = "sha256-UQChYKgN5JZk+KZ2c5Ffh/rQi6/TVeFQkbH6mpLx4x8=";
};
- vendorHash = "sha256-uQOmUXT2wd40DwwTCMnFFKd47eu+BPBDjiCGtUNFoKY=";
+ vendorHash = "sha256-C6idJDUp6AFe50tQ+4mmZsxuOKH8JSeC1p7XVRZ224E=";
patchPhase = ''
sed -i -e '/func main()/,/^}/d' -e '/"io"/a "io/ioutil"' main.go
diff --git a/pkgs/data/misc/spdx-license-list-data/default.nix b/pkgs/data/misc/spdx-license-list-data/default.nix
index e20872482d58..ac66df424636 100644
--- a/pkgs/data/misc/spdx-license-list-data/default.nix
+++ b/pkgs/data/misc/spdx-license-list-data/default.nix
@@ -2,13 +2,13 @@
stdenvNoCC.mkDerivation rec {
pname = "spdx-license-list-data";
- version = "3.22";
+ version = "3.23";
src = fetchFromGitHub {
owner = "spdx";
repo = "license-list-data";
rev = "v${version}";
- hash = "sha256-ZADijP8TKMSXJApY7pVTJoqsEPPL8PX7dUFJHFX5utw=";
+ hash = "sha256-mxTEEkmLB/bh+7r2idKrP3IjT00UBlhI0HnR5bMfu+E=";
};
# List of file formats to package.
diff --git a/pkgs/data/misc/tzdata/default.nix b/pkgs/data/misc/tzdata/default.nix
index 3d40384132cb..522b2da68ca5 100644
--- a/pkgs/data/misc/tzdata/default.nix
+++ b/pkgs/data/misc/tzdata/default.nix
@@ -46,8 +46,10 @@ stdenv.mkDerivation (finalAttrs: {
];
doCheck = true;
- # everything except for check_web, because that needs curl and wants to talk to https://validator.w3.org
- checkTarget = "check_back check_character_set check_white_space check_links check_name_lengths check_now check_slashed_abbrs check_sorted check_tables check_ziguard check_zishrink check_tzs";
+ # everything except for:
+ # - check_web, because that needs curl and wants to talk to https://validator.w3.org
+ # - check_now, because that depends on the current time
+ checkTarget = "check_back check_character_set check_white_space check_links check_name_lengths check_slashed_abbrs check_sorted check_tables check_ziguard check_zishrink check_tzs";
installFlags = lib.optionals (stdenv.buildPlatform != stdenv.hostPlatform) [
"zic=${buildPackages.tzdata.bin}/bin/zic"
diff --git a/pkgs/data/misc/v2ray-domain-list-community/default.nix b/pkgs/data/misc/v2ray-domain-list-community/default.nix
index 24448d1b66ab..5d6895da7125 100644
--- a/pkgs/data/misc/v2ray-domain-list-community/default.nix
+++ b/pkgs/data/misc/v2ray-domain-list-community/default.nix
@@ -3,12 +3,12 @@
let
generator = pkgsBuildBuild.buildGoModule rec {
pname = "v2ray-domain-list-community";
- version = "20240208184303";
+ version = "20240310062737";
src = fetchFromGitHub {
owner = "v2fly";
repo = "domain-list-community";
rev = version;
- hash = "sha256-pKijinMAnDIjOtLYJ8jcsIc5W1tEw6RA/inDm7Lqa1Q=";
+ hash = "sha256-KJSa5qDNGokNin0M2BppRks1qyMg19o+EOxu5OsCeOg=";
};
vendorHash = "sha256-azvMUi8eLNoNofRa2X4SKTTiMd6aOyO6H/rOiKjkpIY=";
meta = with lib; {
diff --git a/pkgs/data/misc/v2ray-geoip/default.nix b/pkgs/data/misc/v2ray-geoip/default.nix
index fb9064a437d1..94631881fb07 100644
--- a/pkgs/data/misc/v2ray-geoip/default.nix
+++ b/pkgs/data/misc/v2ray-geoip/default.nix
@@ -1,5 +1,6 @@
{ lib
, stdenvNoCC
+, fetchpatch
, fetchFromGitHub
, pkgsBuildBuild
, jq
@@ -8,18 +9,18 @@
}:
let
- generator = pkgsBuildBuild.buildGo120Module {
+ generator = pkgsBuildBuild.buildGoModule rec {
pname = "v2ray-geoip";
- version = "unstable-2023-10-11";
+ version = "202403140037";
src = fetchFromGitHub {
owner = "v2fly";
repo = "geoip";
- rev = "3182dda7b38c900f28505b91a44b09ec486e6f36";
- hash = "sha256-KSRgof78jScwnUeMtryj34J0mBsM/x9hFE4H9WtZUuM=";
+ rev = version;
+ hash = "sha256-nqobjgeDvD5RYvCVVd14XC/tb/+SVfvdQUFZ3gfeDrI=";
};
- vendorHash = "sha256-rlRazevKnWy/Ig143s8TZgV3JlQMlHID9rnncLYhQDc=";
+ vendorHash = "sha256-cuKcrYAzjIt6Z4wYg5R6JeL413NDwTub2fZndXEKdTo=";
meta = with lib; {
description = "GeoIP for V2Ray";
diff --git a/pkgs/data/misc/xorg-rgb/default.nix b/pkgs/data/misc/xorg-rgb/default.nix
index 646f4ae491b0..d9d78b153649 100644
--- a/pkgs/data/misc/xorg-rgb/default.nix
+++ b/pkgs/data/misc/xorg-rgb/default.nix
@@ -14,6 +14,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "X11 colorname to RGB mapping database";
+ mainProgram = "showrgb";
license = licenses.mit;
maintainers = with maintainers; [ raskin ];
platforms = platforms.linux;
diff --git a/pkgs/data/themes/adapta-kde/default.nix b/pkgs/data/themes/adapta-kde/default.nix
index a93e78480b00..be9367106d4d 100644
--- a/pkgs/data/themes/adapta-kde/default.nix
+++ b/pkgs/data/themes/adapta-kde/default.nix
@@ -15,7 +15,7 @@ stdenv.mkDerivation rec {
meta = {
description = "A port of the Adapta theme for Plasma";
- homepage = "https://git.io/adapta-kde";
+ homepage = "https://github.com/PapirusDevelopmentTeam/adapta-kde";
license = lib.licenses.gpl3;
maintainers = [ lib.maintainers.tadfisher ];
platforms = lib.platforms.all;
diff --git a/pkgs/data/themes/adw-gtk3/default.nix b/pkgs/data/themes/adw-gtk3/default.nix
index db4fa114110f..b00e71a5f16c 100644
--- a/pkgs/data/themes/adw-gtk3/default.nix
+++ b/pkgs/data/themes/adw-gtk3/default.nix
@@ -9,13 +9,13 @@
stdenvNoCC.mkDerivation rec {
pname = "adw-gtk3";
- version = "5.2";
+ version = "5.3";
src = fetchFromGitHub {
owner = "lassekongo83";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-S6Yo67DTyRzS9uz/6g87SRmfPIBmAKfy4c23M5aENNg=";
+ sha256 = "sha256-DpJLX9PJX1Q8dDOx7YOXQzgNECsKp5uGiCVTX6iSlbI=";
};
nativeBuildInputs = [
diff --git a/pkgs/data/themes/alacritty-theme/default.nix b/pkgs/data/themes/alacritty-theme/default.nix
index c8d8184768f8..b24f27f326dc 100644
--- a/pkgs/data/themes/alacritty-theme/default.nix
+++ b/pkgs/data/themes/alacritty-theme/default.nix
@@ -6,13 +6,13 @@
stdenvNoCC.mkDerivation (self: {
name = "alacritty-theme";
- version = "unstable-2024-01-21";
+ version = "unstable-2024-03-06";
src = fetchFromGitHub {
owner = "alacritty";
repo = "alacritty-theme";
- rev = "f03686afad05274f5fbd2507f85f95b1a6542df4";
- hash = "sha256-457kKE3I4zGf1EKkEoyZu0Fa/1O3yiryzHVEw2rNZt8=";
+ rev = "cb786242b6f5e00a57e2f541e7bf1115f3950650";
+ hash = "sha256-fZJ0F4zJy6HOwWtZGm5yN4WfeFNJnW/UJhoQSZ0Bpxk=";
};
dontConfigure = true;
diff --git a/pkgs/data/themes/andromeda-gtk-theme/default.nix b/pkgs/data/themes/andromeda-gtk-theme/default.nix
new file mode 100644
index 000000000000..9372d611c57f
--- /dev/null
+++ b/pkgs/data/themes/andromeda-gtk-theme/default.nix
@@ -0,0 +1,61 @@
+{ lib, stdenvNoCC, fetchFromGitHub, gtk-engine-murrine }:
+
+stdenvNoCC.mkDerivation {
+ pname = "andromeda-gtk-theme";
+ version = "0-unstable-2024-03-04";
+
+ srcs = [
+ (fetchFromGitHub {
+ owner = "EliverLara";
+ repo = "Andromeda-gtk";
+ rev = "250751a546dd0fa2e67eef86d957fbf993b61dfe";
+ hash = "sha256-exr9j/jW2P9cBhKUPQy3AtK5Vgav5vOyWInXUyVhBk0=";
+ name = "Andromeda";
+ })
+
+ (fetchFromGitHub {
+ owner = "EliverLara";
+ repo = "Andromeda-gtk";
+ rev = "11a6194d19cb846447db048455a5e782ec830ae1";
+ hash = "sha256-Yy3mih0nyA+ahLqj2D99EKqtmWYJRsvQMkmlLfUPcqQ=";
+ name = "Andromeda-standard-buttons";
+ })
+ ];
+
+ sourceRoot = ".";
+
+ propagatedUserEnvPkgs = [
+ gtk-engine-murrine
+ ];
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p $out/share/themes
+ cp -a Andromeda* $out/share/themes
+
+ # remove uneeded files, which are not distributed in https://www.gnome-look.org/p/2039961/
+ rm -rf $out/share/themes/*/.gitignore
+ rm -rf $out/share/themes/*/Art
+ rm -rf $out/share/themes/*/LICENSE
+ rm -rf $out/share/themes/*/README.md
+ rm -rf $out/share/themes/*/{package.json,package-lock.json,Gulpfile.js}
+ rm -rf $out/share/themes/*/src
+ rm -rf $out/share/themes/*/cinnamon/*.scss
+ rm -rf $out/share/themes/*/gnome-shell/{earlier-versions,extensions,*.scss}
+ rm -rf $out/share/themes/*/gtk-2.0/{assets.svg,assets.txt,links.fish,render-assets.sh}
+ rm -rf $out/share/themes/*/gtk-3.0/{apps,widgets,*.scss}
+ rm -rf $out/share/themes/*/gtk-4.0/{apps,widgets,*.scss}
+ rm -rf $out/share/themes/*/xfwm4/{assets,render_assets.fish}
+
+ runHook postInstall
+ '';
+
+ meta = with lib; {
+ description = "An elegant dark theme for gnome, mate, budgie, cinnamon, xfce";
+ homepage = "https://github.com/EliverLara/Andromeda-gtk";
+ license = licenses.gpl3Only;
+ platforms = platforms.linux;
+ maintainers = with maintainers; [ jakedevs ];
+ };
+}
diff --git a/pkgs/data/themes/arc-kde/default.nix b/pkgs/data/themes/arc-kde/default.nix
index 2b41baa63494..79467e1743ef 100644
--- a/pkgs/data/themes/arc-kde/default.nix
+++ b/pkgs/data/themes/arc-kde/default.nix
@@ -15,7 +15,7 @@ stdenv.mkDerivation rec {
meta = {
description = "A port of the arc theme for Plasma";
- homepage = "https://git.io/arc-kde";
+ homepage = "https://github.com/PapirusDevelopmentTeam/arc-kde";
license = lib.licenses.gpl3;
maintainers = [ lib.maintainers.nixy ];
platforms = lib.platforms.all;
diff --git a/pkgs/data/themes/catppuccin-kde/default.nix b/pkgs/data/themes/catppuccin-kde/default.nix
index 8dac978a64dc..b4ce9ed5d39f 100644
--- a/pkgs/data/themes/catppuccin-kde/default.nix
+++ b/pkgs/data/themes/catppuccin-kde/default.nix
@@ -21,19 +21,19 @@ in
stdenvNoCC.mkDerivation rec {
pname = "kde";
- version = "0.2.4";
+ version = "0.2.6";
src = fetchFromGitHub {
owner = "catppuccin";
repo = pname;
rev = "v${version}";
- hash = "sha256-w77lzeSisx/PPxctMJKIdRJenq0s8HwR8gLmgNh4SH8=";
+ hash = "sha256-pfG0L4eSXLYLZM8Mhla4yalpEro74S9kc0sOmQtnG3w=";
};
patches = [
(fetchpatch {
- url = "https://github.com/michaelBelsanti/catppuccin-kde/commit/81a8edb3c24bd6af896c92b5051e09af97d69c51.patch";
- hash = "sha256-cb4/dQ52T+H8UqXEgExblmnMfxwO0Y1BrjMCay/EAkI=";
+ url = "https://github.com/GiggleSquid/catppuccin-kde/commit/f0291c17d2e4711b0d0aac00e3dbb94ee89b4a82.patch";
+ hash = "sha256-iD+mEX2LRFmrCwLr3VAs6kzcTuZ231TKDn+U188iOss=";
})
];
@@ -57,6 +57,6 @@ stdenvNoCC.mkDerivation rec {
description = "Soothing pastel theme for KDE";
homepage = "https://github.com/catppuccin/kde";
license = licenses.mit;
- maintainers = with maintainers; [ michaelBelsanti ];
+ maintainers = with maintainers; [ michaelBelsanti gigglesquid ];
};
}
diff --git a/pkgs/data/themes/catppuccin/default.nix b/pkgs/data/themes/catppuccin/default.nix
index 25794c9e67d7..accc06f48c2f 100644
--- a/pkgs/data/themes/catppuccin/default.nix
+++ b/pkgs/data/themes/catppuccin/default.nix
@@ -1,5 +1,5 @@
let
- validThemes = [ "bat" "bottom" "btop" "grub" "hyprland" "k9s" "kvantum" "lazygit" "plymouth" "qt5ct" "refind" "rofi" "starship" "waybar" ];
+ validThemes = [ "bat" "bottom" "btop" "grub" "hyprland" "k9s" "kvantum" "lazygit" "plymouth" "qt5ct" "refind" "rofi" "starship" "thunderbird" "waybar" ];
in
{ fetchFromGitHub
, lib
@@ -20,8 +20,8 @@ let
name = "bat";
owner = "catppuccin";
repo = "bat";
- rev = "ba4d16880d63e656acced2b7d4e034e4a93f74b1";
- hash = "sha256-6WVKQErGdaqb++oaXnY3i6/GuH2FhTgK0v4TN4Y0Wbw=";
+ rev = "2bafe4454d8db28491e9087ff3a1382c336e7d27";
+ hash = "sha256-yHt3oIjUnljARaihalcWSNldtaJfVDfmfiecYfbzGs0=";
};
bottom = fetchFromGitHub {
@@ -60,8 +60,8 @@ let
name = "k9s";
owner = "catppuccin";
repo = "k9s";
- rev = "516f44dd1a6680357cb30d96f7e656b653aa5059";
- hash = "sha256-PtBJRBNbLkj7D2ko7ebpEjbfK9Ywjs7zbE+Y8FQVEfA=";
+ rev = "590a762110ad4b6ceff274265f2fe174c576ce96";
+ hash = "sha256-EBDciL3F6xVFXvND+5duT+OiVDWKkFMWbOOSruQ0lus=";
};
kvantum = fetchFromGitHub {
@@ -76,16 +76,16 @@ let
name = "lazygit";
owner = "catppuccin";
repo = "lazygit";
- rev = "0543c28e8af1a935f8c512ad9451facbcc17d8a8";
- hash = "sha256-OVihY5E+elPKag2H4RyWiSv+MdIqHtfGNM3/1u2ik6U=";
+ rev = "v2.0.0";
+ hash = "sha256-gM0HplHhcpvtpmIVdlX/p59h0v+ihKEidS1imqPYlBg=";
};
plymouth = fetchFromGitHub {
name = "plymouth";
owner = "catppuccin";
repo = "plymouth";
- rev = "d4105cf336599653783c34c4a2d6ca8c93f9281c";
- hash = "sha256-quBSH8hx3gD7y1JNWAKQdTk3CmO4t1kVo4cOGbeWlNE=";
+ rev = "67759fbe15eb9490d096ef8014d9f92fc5748fe7";
+ hash = "sha256-IzoyVOi44Uay7DTfzR9RdRLSjORsdBM4pPrgeXk5YMI=";
};
qt5ct = fetchFromGitHub {
@@ -120,6 +120,14 @@ let
hash = "sha256-nsRuxQFKbQkyEI4TXgvAjcroVdG+heKX5Pauq/4Ota0=";
};
+ thunderbird = fetchFromGitHub {
+ name = "thunderbird";
+ owner = "catppuccin";
+ repo = "thunderbird";
+ rev = "d61882ad9fd35909a75da6bb95fca38db552135c";
+ hash = "sha256-wn8//8lHScbbB1nEiDY8DphnLUMKZBFMc1GPaTRjTOY=";
+ };
+
waybar = fetchFromGitHub {
name = "waybar";
owner = "catppuccin";
@@ -135,7 +143,7 @@ lib.checkListOfEnum "${pname}: themes" validThemes themeList
stdenvNoCC.mkDerivation {
inherit pname;
- version = "unstable-2023-10-09";
+ version = "unstable-2024-03-12";
srcs = selectedSources;
@@ -154,7 +162,7 @@ stdenvNoCC.mkDerivation {
'' + lib.optionalString (lib.elem "bat" themeList) ''
mkdir -p $out/bat
- cp "${sources.bat}/Catppuccin-${variant}.tmTheme" "$out/bat/"
+ cp "${sources.bat}/themes/Catppuccin "$capitalizedVariant".tmTheme" "$out/bat/"
'' + lib.optionalString (lib.elem "btop" themeList) ''
mkdir -p $out/btop
@@ -174,7 +182,8 @@ stdenvNoCC.mkDerivation {
'' + lib.optionalString (lib.elem "k9s" themeList) ''
mkdir -p $out/k9s
- cp "${sources.k9s}/dist/${variant}.yml" "$out/k9s/"
+ cp "${sources.k9s}/dist/catppuccin-${variant}.yaml" "$out/k9s/"
+ cp "${sources.k9s}/dist/catppuccin-${variant}-transparent.yaml" "$out/k9s/"
'' + lib.optionalString (lib.elem "kvantum" themeList) ''
mkdir -p $out/share/Kvantum
@@ -182,8 +191,8 @@ stdenvNoCC.mkDerivation {
'' + lib.optionalString (lib.elem "lazygit" themeList) ''
mkdir -p $out/lazygit/{themes,themes-mergable}
- cp "${sources.lazygit}/themes/${variant}/${variant}-${accent}.yml" "$out/lazygit/themes/"
- cp "${sources.lazygit}/themes-mergable/${variant}/${variant}-${accent}.yml" "$out/lazygit/themes-mergable/"
+ cp "${sources.lazygit}/themes/${variant}/${accent}.yml" "$out/lazygit/themes/"
+ cp "${sources.lazygit}/themes-mergable/${variant}/${accent}.yml" "$out/lazygit/themes-mergable/"
'' + lib.optionalString (lib.elem "plymouth" themeList) ''
mkdir -p $out/share/plymouth/themes/catppuccin-${variant}
@@ -207,6 +216,10 @@ stdenvNoCC.mkDerivation {
mkdir -p $out/starship
cp ${sources.starship}/palettes/${variant}.toml $out/starship/
+ '' + lib.optionalString (lib.elem "thunderbird" themeList) ''
+ mkdir -p $out/thunderbird
+ cp ${sources.thunderbird}/themes/${variant}/${variant}-${accent}.xpi $out/thunderbird/
+
'' + lib.optionalString (lib.elem "waybar" themeList) ''
mkdir -p $out/waybar
cp ${sources.waybar}/${variant}.css $out/waybar/
diff --git a/pkgs/data/themes/dracula-theme/default.nix b/pkgs/data/themes/dracula-theme/default.nix
index fe17da65a142..cfc3689d7cc3 100644
--- a/pkgs/data/themes/dracula-theme/default.nix
+++ b/pkgs/data/themes/dracula-theme/default.nix
@@ -2,7 +2,7 @@
let
themeName = "Dracula";
- version = "unstable-2024-02-08";
+ version = "unstable-2024-03-13";
in
stdenvNoCC.mkDerivation {
pname = "dracula-theme";
@@ -11,8 +11,8 @@ stdenvNoCC.mkDerivation {
src = fetchFromGitHub {
owner = "dracula";
repo = "gtk";
- rev = "b974287300b58766c9f020a6fc779e5cd9ca4da8";
- hash = "sha256-01UHRPO8Cdgdd2FTxGGxCGOPGHBx9JgR7Taoh8Xm58U=";
+ rev = "573f88083ae759f480860552f66e9951077bc428";
+ hash = "sha256-BQPlKcsfhpVTtVrwS9yVpIkujF6vM/ToIDmy9X60Mhs=";
};
propagatedUserEnvPkgs = [
diff --git a/pkgs/data/themes/elegant-sddm/default.nix b/pkgs/data/themes/elegant-sddm/default.nix
new file mode 100644
index 000000000000..eaf435089d71
--- /dev/null
+++ b/pkgs/data/themes/elegant-sddm/default.nix
@@ -0,0 +1,63 @@
+{ lib
+, formats
+, stdenvNoCC
+, fetchFromGitHub
+, qtgraphicaleffects
+ /* An example of how you can override the background with a NixOS wallpaper
+ *
+ * environment.systemPackages = [
+ * (pkgs.elegant-sddm.override {
+ * themeConfig.General = {
+ background = "${pkgs.nixos-artwork.wallpapers.simple-dark-gray-bottom.gnomeFilePath}";
+ * };
+ * })
+ * ];
+ */
+, themeConfig ? null
+}:
+
+let
+ user-cfg = (formats.ini { }).generate "theme.conf.user" themeConfig;
+in
+
+stdenvNoCC.mkDerivation {
+ pname = "elegant-sddm";
+ version = "unstable-2024-02-08";
+
+ src = fetchFromGitHub {
+ owner = "surajmandalcell";
+ repo = "Elegant-sddm";
+ rev = "3102e880f46a1b72c929d13cd0a3fb64f973952a";
+ hash = "sha256-yn0fTYsdZZSOcaYlPCn8BUIWeFIKcTI1oioTWqjYunQ=";
+ };
+
+ propagatedBuildInputs = [
+ qtgraphicaleffects
+ ];
+
+ dontWrapQtApps = true;
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p "$out/share/sddm/themes"
+ cp -r Elegant/ "$out/share/sddm/themes/Elegant"
+ '' + (lib.optionalString (lib.isAttrs themeConfig) ''
+ ln -sf ${user-cfg} $out/share/sddm/themes/Elegant/theme.conf.user
+ '') + ''
+ runHook postInstall
+ '';
+
+ postFixup = ''
+ mkdir -p $out/nix-support
+
+ echo ${qtgraphicaleffects} >> $out/nix-support/propagated-user-env-packages
+ '';
+
+ meta = with lib; {
+ description = "Sleek and stylish SDDM theme crafted in QML";
+ homepage = "https://github.com/surajmandalcell/Elegant-sddm";
+ license = licenses.gpl3;
+ maintainers = with maintainers; [ GaetanLepage ];
+ };
+}
diff --git a/pkgs/data/themes/gtk-theme-framework/default.nix b/pkgs/data/themes/gtk-theme-framework/default.nix
index 99b9edfc3aca..d596580d67ed 100644
--- a/pkgs/data/themes/gtk-theme-framework/default.nix
+++ b/pkgs/data/themes/gtk-theme-framework/default.nix
@@ -31,6 +31,6 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/jaxwilko/gtk-theme-framework";
license = licenses.gpl3Only;
- maintainers = with maintainers; [ flexagoon ];
+ maintainers = with maintainers; [ ];
};
}
diff --git a/pkgs/data/themes/kwin-decorations/kde-rounded-corners/default.nix b/pkgs/data/themes/kwin-decorations/kde-rounded-corners/default.nix
index b3605c9c95a9..b70bc18d78af 100644
--- a/pkgs/data/themes/kwin-decorations/kde-rounded-corners/default.nix
+++ b/pkgs/data/themes/kwin-decorations/kde-rounded-corners/default.nix
@@ -12,13 +12,13 @@
stdenv.mkDerivation rec {
pname = "kde-rounded-corners";
- version = "0.6.0";
+ version = "0.6.1";
src = fetchFromGitHub {
owner = "matinlotfali";
repo = "KDE-Rounded-Corners";
rev = "v${version}";
- hash = "sha256-DE3XTu3CQY9mGuOpehWno/4yFyLjHuh4RxdUh+aTU7M=";
+ hash = "sha256-8QkuIuHC0/fMxh8K3/I8GNhNPX+tw7kUMiU2oK12c0U=";
};
postConfigure = ''
@@ -33,6 +33,6 @@ stdenv.mkDerivation rec {
description = "Rounds the corners of your windows";
homepage = "https://github.com/matinlotfali/KDE-Rounded-Corners";
license = licenses.gpl3Only;
- maintainers = with maintainers; [ flexagoon ];
+ maintainers = with maintainers; [ ];
};
}
diff --git a/pkgs/data/themes/kwin-decorations/sierra-breeze-enhanced/default.nix b/pkgs/data/themes/kwin-decorations/sierra-breeze-enhanced/default.nix
index 46d6af5f24c4..63140298ea3a 100644
--- a/pkgs/data/themes/kwin-decorations/sierra-breeze-enhanced/default.nix
+++ b/pkgs/data/themes/kwin-decorations/sierra-breeze-enhanced/default.nix
@@ -32,6 +32,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/kupiqu/SierraBreezeEnhanced";
changelog = "https://github.com/kupiqu/SierraBreezeEnhanced/releases/tag/V${version}";
license = licenses.gpl3Only;
- maintainers = with maintainers; [ flexagoon ];
+ maintainers = with maintainers; [ ];
};
}
diff --git a/pkgs/data/themes/lightly-boehs/default.nix b/pkgs/data/themes/lightly-boehs/default.nix
index 10c30a431e7c..c8a1b010fc22 100644
--- a/pkgs/data/themes/lightly-boehs/default.nix
+++ b/pkgs/data/themes/lightly-boehs/default.nix
@@ -34,6 +34,7 @@ mkDerivation rec {
meta = with lib; {
description = "A fork of the Lightly breeze theme style that aims to be visually modern and minimalistic";
+ mainProgram = "lightly-settings5";
homepage = "https://github.com/boehs/Lightly";
license = licenses.gpl2Plus;
maintainers = [ maintainers.hikari ];
diff --git a/pkgs/data/themes/lightly-qt/default.nix b/pkgs/data/themes/lightly-qt/default.nix
index 7b653d952424..99e7e4eb59ef 100644
--- a/pkgs/data/themes/lightly-qt/default.nix
+++ b/pkgs/data/themes/lightly-qt/default.nix
@@ -34,6 +34,7 @@ mkDerivation rec {
meta = with lib; {
description = "A fork of breeze theme style that aims to be visually modern and minimalistic";
+ mainProgram = "lightly-settings5";
homepage = "https://github.com/Luwx/Lightly";
license = licenses.gpl2Plus;
maintainers = [ maintainers.pwoelfel ];
diff --git a/pkgs/data/themes/nordic/default.nix b/pkgs/data/themes/nordic/default.nix
index d99b31392b61..ce17fd9ffd8d 100644
--- a/pkgs/data/themes/nordic/default.nix
+++ b/pkgs/data/themes/nordic/default.nix
@@ -10,70 +10,70 @@
stdenvNoCC.mkDerivation rec {
pname = "nordic";
- version = "2.2.0-unstable-2024-01-20";
+ version = "2.2.0-unstable-2024-02-20";
srcs = [
(fetchFromGitHub {
owner = "EliverLara";
repo = pname;
- rev = "218a1a8679fdb97aa0aa7997fdf8c5344d68fb2f";
- hash = "sha256-a315U4HsQP1omluTJjq9U76L3ANP7uN831mCY54vZnk=";
+ rev = "58d5a8e10ae068b98a63e6de2791e289f417842d";
+ hash = "sha256-Z3e7DoakK6f+UMBr78gZ+NJPb5vuJCfDgPRYywFDYeg=";
name = "Nordic";
})
(fetchFromGitHub {
owner = "EliverLara";
repo = pname;
- rev = "59873a54c8524adb36411d17d473eb7b7c910eac";
- hash = "sha256-RisW5W0onNrtsSPHtFW66OdrQWOQX3uDmLiM+5ckzSY=";
+ rev = "cb7d95bd5438728f30f361a888dfb33b7f6ad28c";
+ hash = "sha256-ZWGmDiXjEt0UuALyw7cjTYgdw9kdJJKc0vkclbZkBvo=";
name = "Nordic-standard-buttons";
})
(fetchFromGitHub {
owner = "EliverLara";
repo = pname;
- rev = "6e2b8fb8017c34344ec6b70884f09ebb44863efb";
- hash = "sha256-B4qH8L5r16gaPS1wpiIHPyS3g/g53Xi2C6F0rcZKgWk=";
+ rev = "37b86a30ad3e048f87a689f2813aa28644035fa8";
+ hash = "sha256-+O8+30H6humVQTwgFL3uQkeo5gPYrokpAKbT56PX6YQ=";
name = "Nordic-darker";
})
(fetchFromGitHub {
owner = "EliverLara";
repo = pname;
- rev = "2160a7bc69f55dd0b9efa64f029344256a4ef086";
- hash = "sha256-1WdorWByZE4sXTfwsjFxvvSI0qQcAcfFoPXN5fGhEpc=";
+ rev = "926b215d14394ff043f2d2969e730759af7acd86";
+ hash = "sha256-yR0DfmUW1rr38Zbwtr7TUYL6z8vTNyoj0vEhphbZieU=";
name = "Nordic-darker-standard-buttons";
})
(fetchFromGitHub {
owner = "EliverLara";
repo = pname;
- rev = "63e0844bc04e1500e4b0ef8031cb3812e15e12fb";
- hash = "sha256-b0Zs2WsD913Ai8wvi7mPraFme93WZXm+7rnwhDvGuZM=";
+ rev = "1ae59d40ba8342fc14f3a55a2fb37446a8d10880";
+ hash = "sha256-tFIXPP5Ohw8atNIqvMtB7sLka+/tw+aSbjMdzKfI9r0=";
name = "Nordic-bluish-accent";
})
(fetchFromGitHub {
owner = "EliverLara";
repo = pname;
- rev = "53e44ca5045a57903c0024197fa7a7a267432afb";
- hash = "sha256-vF2f4PuQP0QkmPT6kR35eWYvQ9xLCYihEsobERURuBk=";
+ rev = "aaaa5dab0517f182a85a75d457da70d22e577b26";
+ hash = "sha256-J/nti2jxQ0VfTbp5WfrE0CN6Pvfg1edplL6/QPKUBzc=";
name = "Nordic-bluish-accent-standard-buttons";
})
(fetchFromGitHub {
owner = "EliverLara";
repo = "${pname}-polar";
- rev = "4ec6f09782394d24d4d8cc78ac53c4692ec28985";
- hash = "sha256-Z50ciafgfTHBahjpcVTapnsU88ioPUZ1RjggNpruJP0=";
+ rev = "733d5ea57c6ecd8209ec0a928029e28b3f54f83d";
+ hash = "sha256-y3ge0DF0SdKFjH+mZdHDpK3YG7Ng3rN0y0Er2WBC6Sc=";
name = "Nordic-Polar";
})
(fetchFromGitHub {
owner = "EliverLara";
repo = "${pname}-polar";
- rev = "c6c7ee8e642a9df07f7d69ed048a6ef37a26153c";
- hash = "sha256-e+B9oUKbPr2MKmaz+l5GTOP4iVmw24vVpS98mAxEekA=";
+ rev = "667dfe4f6e8157f30a4e0ea5dc1d17438520d6cf";
+ hash = "sha256-p7bY1r8Ik+jsIyjR75UFHw8XuiGz5LmT09txBLyZpx4=";
name = "Nordic-Polar-standard-buttons";
})
];
@@ -121,10 +121,14 @@ stdenvNoCC.mkDerivation rec {
mv -v $out/share/themes/Nordic/kde/colorschemes/* $out/share/color-schemes/
mv -v $out/share/themes/Nordic/kde/konsole $out/share/
mv -v $out/share/themes/Nordic/kde/kvantum/* $out/share/Kvantum/
- mv -v $out/share/themes/Nordic/kde/plasma/look-and-feel $out/share/plasma/
+ cp -vr $out/share/themes/Nordic/kde/plasma/look-and-feel $out/share/plasma/look-and-feel/
+ mv -v $out/share/themes/Nordic/kde/plasma/look-and-feel $out/share/plasma/desktoptheme/
mv -v $out/share/themes/Nordic/kde/folders/* $out/share/icons/
mv -v $out/share/themes/Nordic/kde/cursors/*-cursors $out/share/icons/
+ rm -rf $out/share/plasma/look-and-feel/*/contents/{logout,osd,components}
+ rm -rf $out/share/plasma/desktoptheme/*/contents/{{defaults,splash,previews}
+
mkdir -p $sddm/share/sddm/themes
mv -v $out/share/themes/Nordic/kde/sddm/* $sddm/share/sddm/themes/
diff --git a/pkgs/data/themes/obsidian2/default.nix b/pkgs/data/themes/obsidian2/default.nix
index 86b06f823535..1d7756e23b05 100644
--- a/pkgs/data/themes/obsidian2/default.nix
+++ b/pkgs/data/themes/obsidian2/default.nix
@@ -8,11 +8,11 @@
stdenvNoCC.mkDerivation rec {
pname = "theme-obsidian2";
- version = "2.23";
+ version = "2.24";
src = fetchurl {
url = "https://github.com/madmaxms/theme-obsidian-2/releases/download/v${version}/obsidian-2-theme.tar.xz";
- sha256 = "sha256-yJoMS5XrHlMss+rdJ+xLJx0F9Hs1Cc+MFk+xyhRXaf0=";
+ sha256 = "sha256-P+62cdYiCk8419S+u1w6EmzJL0rgHAh7G5eTuBOrAGY=";
};
sourceRoot = ".";
diff --git a/pkgs/data/themes/tokyo-night-gtk/default.nix b/pkgs/data/themes/tokyonight-gtk-theme/default.nix
similarity index 96%
rename from pkgs/data/themes/tokyo-night-gtk/default.nix
rename to pkgs/data/themes/tokyonight-gtk-theme/default.nix
index dc3273e695b1..b56b0aadd3ed 100644
--- a/pkgs/data/themes/tokyo-night-gtk/default.nix
+++ b/pkgs/data/themes/tokyonight-gtk-theme/default.nix
@@ -6,7 +6,7 @@
}:
let
- prefix = "tokyo-night-gtk";
+ prefix = "tokyonight-gtk-theme";
packages = lib.mapAttrs' (type: content: {
name = type;
diff --git a/pkgs/data/themes/tokyo-night-gtk/generic.nix b/pkgs/data/themes/tokyonight-gtk-theme/generic.nix
similarity index 98%
rename from pkgs/data/themes/tokyo-night-gtk/generic.nix
rename to pkgs/data/themes/tokyonight-gtk-theme/generic.nix
index 35bf498666da..e575d13c436b 100644
--- a/pkgs/data/themes/tokyo-night-gtk/generic.nix
+++ b/pkgs/data/themes/tokyonight-gtk-theme/generic.nix
@@ -12,7 +12,7 @@
stdenvNoCC.mkDerivation (finalAttrs: {
pname = "${prefix}_${type}-${variantName}";
- version = "unstable-2023-05-30";
+ version = "unstable-2023-05-31";
src = fetchFromGitHub {
owner = "Fausto-Korpsvart";
diff --git a/pkgs/data/themes/tokyo-night-gtk/variants.json b/pkgs/data/themes/tokyonight-gtk-theme/variants.json
similarity index 100%
rename from pkgs/data/themes/tokyo-night-gtk/variants.json
rename to pkgs/data/themes/tokyonight-gtk-theme/variants.json
diff --git a/pkgs/data/themes/where-is-my-sddm-theme/default.nix b/pkgs/data/themes/where-is-my-sddm-theme/default.nix
index 64fc67b30026..4eb8552a3d0f 100644
--- a/pkgs/data/themes/where-is-my-sddm-theme/default.nix
+++ b/pkgs/data/themes/where-is-my-sddm-theme/default.nix
@@ -23,13 +23,13 @@ in
stdenvNoCC.mkDerivation rec {
pname = "where-is-my-sddm-theme";
- version = "1.6.0";
+ version = "1.6.1";
src = fetchFromGitHub {
owner = "stepanzubkov";
repo = pname;
rev = "v${version}";
- hash = "sha256-EK0bB2dRXNtDKFiyf+nMoDq9XK2f3PFwoNbQDZamB3Y=";
+ hash = "sha256-H0CVTnznODJ27m5C7gT68RVcXFXS2mi0daI6vCi5KmQ=";
};
propagatedUserEnvPkgs = [ qtgraphicaleffects ];
diff --git a/pkgs/data/themes/whitesur/default.nix b/pkgs/data/themes/whitesur/default.nix
index 11d7448047a4..8c1651fff231 100644
--- a/pkgs/data/themes/whitesur/default.nix
+++ b/pkgs/data/themes/whitesur/default.nix
@@ -40,13 +40,13 @@ lib.checkListOfEnum "${pname}: panel size" [ "default" "smaller" "bigger" ] (sin
stdenv.mkDerivation rec {
pname = "whitesur-gtk-theme";
- version = "2023-10-13";
+ version = "2024-02-26";
src = fetchFromGitHub {
owner = "vinceliuice";
repo = pname;
rev = version;
- sha256 = "sha256-H8QdKCX6C36J7AfFd0VV9Rnm8LGXSfkxj5Yp2p+PduE=";
+ sha256 = "sha256-9HYsORTd5n0jUYmwiObPZ90mOGhR2j+tzs6Y1NNnrn4=";
};
nativeBuildInputs = [
diff --git a/pkgs/desktops/budgie/budgie-backgrounds/default.nix b/pkgs/desktops/budgie/budgie-backgrounds/default.nix
index fc51474af340..230e4fd97e98 100644
--- a/pkgs/desktops/budgie/budgie-backgrounds/default.nix
+++ b/pkgs/desktops/budgie/budgie-backgrounds/default.nix
@@ -7,14 +7,14 @@
, ninja
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "budgie-backgrounds";
version = "3.0";
src = fetchFromGitHub {
owner = "BuddiesOfBudgie";
repo = "budgie-backgrounds";
- rev = "v${version}";
+ rev = "v${finalAttrs.version}";
hash = "sha256-2E6+WDLIAwqiiPMJw+tLDCT3CnpboH4X0cB87zw/hBQ=";
};
@@ -25,11 +25,11 @@ stdenv.mkDerivation rec {
ninja
];
- meta = with lib; {
+ meta = {
description = "The default background set for the Budgie Desktop";
homepage = "https://github.com/BuddiesOfBudgie/budgie-backgrounds";
- platforms = platforms.linux;
- maintainers = [ maintainers.federicoschonborn ];
- license = licenses.cc0;
+ platforms = lib.platforms.linux;
+ maintainers = lib.teams.budgie.members;
+ license = lib.licenses.cc0;
};
-}
+})
diff --git a/pkgs/desktops/budgie/budgie-control-center/default.nix b/pkgs/desktops/budgie/budgie-control-center/default.nix
index 1a51c3dfc1f1..aea55e66256c 100644
--- a/pkgs/desktops/budgie/budgie-control-center/default.nix
+++ b/pkgs/desktops/budgie/budgie-control-center/default.nix
@@ -19,7 +19,6 @@
, glibc
, gnome
, gnome-desktop
-, gnome-online-accounts
, gsettings-desktop-schemas
, gsound
, gtk3
@@ -54,18 +53,19 @@
, upower
, webp-pixbuf-loader
, wrapGAppsHook
+, enableSshSocket ? false
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "budgie-control-center";
- version = "1.3.0";
+ version = "1.4.0";
src = fetchFromGitHub {
owner = "BuddiesOfBudgie";
- repo = pname;
- rev = "v${version}";
+ repo = "budgie-control-center";
+ rev = "v${finalAttrs.version}";
fetchSubmodules = true;
- sha256 = "sha256-7E23cgX7TkBJT/yansBfvMx0ddfAwrF7mGfqzbyLY4Q=";
+ sha256 = "sha256-W5PF7BPdQdg/7xJ4J+fEnuDdpoG/lyhX56RDnX2DXoY=";
};
patches = [
@@ -101,7 +101,6 @@ stdenv.mkDerivation rec {
glib
glib-networking
gnome-desktop
- gnome-online-accounts
gnome.adwaita-icon-theme
gnome.cheese
gnome.gnome-bluetooth_1_0
@@ -134,6 +133,10 @@ stdenv.mkDerivation rec {
upower
];
+ mesonFlags = [
+ (lib.mesonBool "ssh" enableSshSocket)
+ ];
+
preConfigure = ''
# For ITS rules
addToSearchPath "XDG_DATA_DIRS" "${polkit.out}/share"
@@ -164,12 +167,12 @@ stdenv.mkDerivation rec {
separateDebugInfo = true;
- meta = with lib; {
+ meta = {
description = "A fork of GNOME Control Center for the Budgie 10 Series";
homepage = "https://github.com/BuddiesOfBudgie/budgie-control-center";
mainProgram = "budgie-control-center";
- platforms = platforms.linux;
- maintainers = [ maintainers.federicoschonborn ];
- license = licenses.gpl2Plus;
+ platforms = lib.platforms.linux;
+ maintainers = lib.teams.budgie.members;
+ license = lib.licenses.gpl2Plus;
};
-}
+})
diff --git a/pkgs/desktops/budgie/budgie-desktop-view/default.nix b/pkgs/desktops/budgie/budgie-desktop-view/default.nix
index 2614db09c87f..99d90b8ab888 100644
--- a/pkgs/desktops/budgie/budgie-desktop-view/default.nix
+++ b/pkgs/desktops/budgie/budgie-desktop-view/default.nix
@@ -44,6 +44,6 @@ stdenv.mkDerivation (finalAttrs: {
mainProgram = "org.buddiesofbudgie.budgie-desktop-view";
license = lib.licenses.asl20;
platforms = lib.platforms.linux;
- maintainers = with lib.maintainers; [ federicoschonborn ];
+ maintainers = lib.teams.budgie.members;
};
})
diff --git a/pkgs/desktops/budgie/budgie-desktop/default.nix b/pkgs/desktops/budgie/budgie-desktop/default.nix
index 7dc23cf10f50..71e69a5223e4 100644
--- a/pkgs/desktops/budgie/budgie-desktop/default.nix
+++ b/pkgs/desktops/budgie/budgie-desktop/default.nix
@@ -72,13 +72,14 @@ stdenv.mkDerivation (finalAttrs: {
gnome.mutter
gnome.zenity
graphene
+ gst_all_1.gstreamer
+ gst_all_1.gst-plugins-base
gtk3
ibus
libcanberra-gtk3
libgee
libGL
libnotify
- libpeas
libpulseaudio
libuuid
libwnck
@@ -88,10 +89,12 @@ stdenv.mkDerivation (finalAttrs: {
sassc
upower
xfce.libxfce4windowing
- ] ++ (with gst_all_1; [
- gstreamer
- gst-plugins-base
- ]);
+ ];
+
+ propagatedBuildInputs = [
+ # budgie-1.0.pc, budgie-raven-plugin-1.0.pc
+ libpeas
+ ];
passthru.providedSessions = [
"budgie-desktop"
@@ -102,6 +105,6 @@ stdenv.mkDerivation (finalAttrs: {
homepage = "https://github.com/BuddiesOfBudgie/budgie-desktop";
license = with lib.licenses; [ gpl2Plus lgpl21Plus cc-by-sa-30 ];
platforms = lib.platforms.linux;
- maintainers = with lib.maintainers; [ federicoschonborn ];
+ maintainers = lib.teams.budgie.members;
};
})
diff --git a/pkgs/desktops/budgie/budgie-gsettings-overrides/default.nix b/pkgs/desktops/budgie/budgie-gsettings-overrides/default.nix
index bd9e1b6024ef..d853a584a7a4 100644
--- a/pkgs/desktops/budgie/budgie-gsettings-overrides/default.nix
+++ b/pkgs/desktops/budgie/budgie-gsettings-overrides/default.nix
@@ -5,7 +5,6 @@
, glib
, gsettings-desktop-schemas
, gnome
-, mate
, nixos-artwork
, nixos-background-light ? nixos-artwork.wallpapers.nineish
, nixos-background-dark ? nixos-artwork.wallpapers.nineish-dark-gray
@@ -54,7 +53,6 @@ let
[org.buddiesofbudgie.budgie-desktop-view:Budgie]
show=true
show-active-mounts=true
- terminal="${mate.mate-terminal}/bin/mate-terminal"
${extraGSettingsOverrides}
'';
diff --git a/pkgs/desktops/budgie/budgie-screensaver/default.nix b/pkgs/desktops/budgie/budgie-screensaver/default.nix
index ef7a0f3d762c..68c253a2a1c6 100644
--- a/pkgs/desktops/budgie/budgie-screensaver/default.nix
+++ b/pkgs/desktops/budgie/budgie-screensaver/default.nix
@@ -17,14 +17,14 @@
, xorg
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "budgie-screensaver";
version = "5.1.0";
src = fetchFromGitHub {
owner = "BuddiesOfBudgie";
- repo = pname;
- rev = "v${version}";
+ repo = "budgie-screensaver";
+ rev = "v${finalAttrs.version}";
sha256 = "sha256-N8x9hdbaMDisTbQPJedNO4UMLnCn+Q2hhm4udJZgQlc=";
};
@@ -50,12 +50,12 @@ stdenv.mkDerivation rec {
env.NIX_CFLAGS_COMPILE = "-D_POSIX_C_SOURCE";
- meta = with lib; {
+ meta = {
description = "A fork of old GNOME Screensaver for purposes of providing an authentication prompt on wake";
homepage = "https://github.com/BuddiesOfBudgie/budgie-screensaver";
mainProgram = "budgie-screensaver";
- platforms = platforms.linux;
- maintainers = [ maintainers.federicoschonborn ];
- license = licenses.gpl2Only;
+ platforms = lib.platforms.linux;
+ maintainers = lib.teams.budgie.members;
+ license = lib.licenses.gpl2Only;
};
-}
+})
diff --git a/pkgs/desktops/budgie/budgie-session/default.nix b/pkgs/desktops/budgie/budgie-session/default.nix
index 70b49080cb68..90185f85b1f0 100644
--- a/pkgs/desktops/budgie/budgie-session/default.nix
+++ b/pkgs/desktops/budgie/budgie-session/default.nix
@@ -95,7 +95,7 @@ stdenv.mkDerivation (finalAttrs: {
description = "Session manager for Budgie";
homepage = "https://github.com/BuddiesOfBudgie/budgie-session";
license = lib.licenses.gpl2Plus;
- maintainers = with lib.maintainers; [federicoschonborn];
+ maintainers = lib.teams.budgie.members;
platforms = lib.platforms.linux;
};
})
diff --git a/pkgs/desktops/budgie/default.nix b/pkgs/desktops/budgie/default.nix
index 031ec9cd51a0..52f47d00bdeb 100644
--- a/pkgs/desktops/budgie/default.nix
+++ b/pkgs/desktops/budgie/default.nix
@@ -1,6 +1,8 @@
-{ lib, pkgs }:
+{ lib, newScope }:
-lib.makeScope pkgs.newScope (self: with self; {
+lib.makeScope newScope (self: let
+ inherit (self) callPackage;
+in {
budgie-backgrounds = callPackage ./budgie-backgrounds { };
budgie-control-center = callPackage ./budgie-control-center { };
budgie-desktop = callPackage ./budgie-desktop { };
diff --git a/pkgs/desktops/budgie/magpie/default.nix b/pkgs/desktops/budgie/magpie/default.nix
index 827948d24401..f900e82a9ce1 100644
--- a/pkgs/desktops/budgie/magpie/default.nix
+++ b/pkgs/desktops/budgie/magpie/default.nix
@@ -156,11 +156,11 @@ stdenv.mkDerivation (finalAttrs: {
};
};
- meta = with lib; {
+ meta = {
description = "Softish fork of Mutter 43.x";
homepage = "https://github.com/BuddiesOfBudgie/magpie";
- license = licenses.gpl2Plus;
- maintainers = with maintainers; [ federicoschonborn ];
- platforms = platforms.linux;
+ license = lib.licenses.gpl2Plus;
+ maintainers = lib.teams.budgie.members;
+ platforms = lib.platforms.linux;
};
})
diff --git a/pkgs/desktops/budgie/plugins/budgie-analogue-clock-applet/default.nix b/pkgs/desktops/budgie/plugins/budgie-analogue-clock-applet/default.nix
index c443cb72dd49..3792a19f99e9 100644
--- a/pkgs/desktops/budgie/plugins/budgie-analogue-clock-applet/default.nix
+++ b/pkgs/desktops/budgie/plugins/budgie-analogue-clock-applet/default.nix
@@ -10,14 +10,14 @@
, libpeas
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "budgie-analogue-clock-applet";
version = "2.0";
src = fetchFromGitHub {
owner = "samlane-ma";
repo = "analogue-clock-applet";
- rev = "v${version}";
+ rev = "v${finalAttrs.version}";
hash = "sha256-yId5bbdmELinBmZ5eISa5hQSYkeZCkix2FJ287GdcCs=";
};
@@ -34,11 +34,11 @@ stdenv.mkDerivation rec {
libpeas
];
- meta = with lib; {
+ meta = {
description = "Analogue Clock Applet for the Budgie desktop";
homepage = "https://github.com/samlane-ma/analogue-clock-applet";
- license = licenses.gpl3Plus;
- maintainers = [ maintainers.federicoschonborn ];
- platforms = platforms.linux;
+ license = lib.licenses.gpl3Plus;
+ maintainers = lib.teams.budgie.members;
+ platforms = lib.platforms.linux;
};
-}
+})
diff --git a/pkgs/desktops/budgie/plugins/budgie-media-player-applet/default.nix b/pkgs/desktops/budgie/plugins/budgie-media-player-applet/default.nix
index ae3a44f22fd0..38b3888c74f6 100644
--- a/pkgs/desktops/budgie/plugins/budgie-media-player-applet/default.nix
+++ b/pkgs/desktops/budgie/plugins/budgie-media-player-applet/default.nix
@@ -7,15 +7,15 @@
, python3Packages
}:
-stdenv.mkDerivation {
+stdenv.mkDerivation (finalAttrs: {
pname = "budgie-media-player-applet";
- version = "1.0.0-unstable-2023-12-31";
+ version = "1.0.1";
src = fetchFromGitHub {
owner = "zalesyc";
repo = "budgie-media-player-applet";
- rev = "24500be1e0a1f92968df80f8befdf896723ba8ee";
- hash = "sha256-jQgkE6vv8PIcB0MJgfsQvzMRkkMU51Gqefoa2G6YJCw=";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-E4aD7/SJNvWe6B3iX8fUZeZj14+uxjn0s+30BhU0dxE=";
};
nativeBuildInputs = [
@@ -31,8 +31,8 @@ stdenv.mkDerivation {
];
postPatch = ''
- substituteInPlace meson.build --replace "/usr" "$out"
- substituteInPlace meson_post_install.py --replace '"/", "usr"' "\"$out\""
+ substituteInPlace meson.build --replace-fail "/usr" "$out"
+ substituteInPlace meson_post_install.py --replace-fail '"/", "usr"' "\"$out\""
'';
postFixup = ''
@@ -45,6 +45,6 @@ stdenv.mkDerivation {
homepage = "https://github.com/zalesyc/budgie-media-player-applet";
license = lib.licenses.gpl3Plus;
platforms = lib.platforms.linux;
- maintainers = with lib.maintainers; [ federicoschonborn ];
+ maintainers = lib.teams.budgie.members;
};
-}
+})
diff --git a/pkgs/desktops/budgie/plugins/budgie-user-indicator-redux/default.nix b/pkgs/desktops/budgie/plugins/budgie-user-indicator-redux/default.nix
index 0d031dbaeb5a..273f87d51dbd 100644
--- a/pkgs/desktops/budgie/plugins/budgie-user-indicator-redux/default.nix
+++ b/pkgs/desktops/budgie/plugins/budgie-user-indicator-redux/default.nix
@@ -14,15 +14,15 @@
, vala
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "budgie-user-indicator-redux";
- version = "1.0.1";
+ version = "1.0.2";
src = fetchFromGitHub {
owner = "EbonJaeger";
repo = "budgie-user-indicator-redux";
- rev = "v${version}";
- hash = "sha256-HGfcNlkIQD9nNzHm97LpNz3smYwDhxu4EArPo6msahI=";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-X9b4H4PnrYGb/T7Sg9iXQeNDLoO1l0VCdbOCGUAgwC4=";
};
nativeBuildInputs = [
@@ -42,12 +42,12 @@ stdenv.mkDerivation rec {
sassc
];
- meta = with lib; {
+ meta = {
description = "Manage your user session from the Budgie panel";
homepage = "https://github.com/EbonJaeger/budgie-user-indicator-redux";
- changelog = "https://github.com/EbonJaeger/budgie-user-indicator-redux/blob/${src.rev}/CHANGELOG.md";
- license = licenses.gpl2Plus;
- platforms = platforms.linux;
- maintainers = [ maintainers.federicoschonborn ];
+ changelog = "https://github.com/EbonJaeger/budgie-user-indicator-redux/blob/${finalAttrs.src.rev}/CHANGELOG.md";
+ license = lib.licenses.gpl2Plus;
+ platforms = lib.platforms.linux;
+ maintainers = lib.teams.budgie.members;
};
-}
+})
diff --git a/pkgs/desktops/cinnamon/bulky/default.nix b/pkgs/desktops/cinnamon/bulky/default.nix
index 2d34ffbc1a18..21cd43601924 100644
--- a/pkgs/desktops/cinnamon/bulky/default.nix
+++ b/pkgs/desktops/cinnamon/bulky/default.nix
@@ -58,6 +58,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Bulk rename app";
+ mainProgram = "bulky";
homepage = "https://github.com/linuxmint/bulky";
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/cinnamon/cinnamon-control-center/default.nix b/pkgs/desktops/cinnamon/cinnamon-control-center/default.nix
index 2328deec6a69..e0aee4bde640 100644
--- a/pkgs/desktops/cinnamon/cinnamon-control-center/default.nix
+++ b/pkgs/desktops/cinnamon/cinnamon-control-center/default.nix
@@ -3,6 +3,7 @@
, fetchFromGitHub
, pkg-config
, glib
+, glib-networking
, gettext
, cinnamon-desktop
, gtk3
@@ -47,6 +48,7 @@ stdenv.mkDerivation rec {
buildInputs = [
gtk3
glib
+ glib-networking
cinnamon-desktop
libnotify
cinnamon-menus
@@ -97,6 +99,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/linuxmint/cinnamon-control-center";
description = "A collection of configuration plugins used in cinnamon-settings";
+ mainProgram = "cinnamon-control-center";
license = licenses.gpl2;
platforms = platforms.linux;
maintainers = teams.cinnamon.members;
diff --git a/pkgs/desktops/cinnamon/muffin/default.nix b/pkgs/desktops/cinnamon/muffin/default.nix
index 893ddf065333..f00a92ddc6d4 100644
--- a/pkgs/desktops/cinnamon/muffin/default.nix
+++ b/pkgs/desktops/cinnamon/muffin/default.nix
@@ -115,6 +115,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/linuxmint/muffin";
description = "The window management library for the Cinnamon desktop (libmuffin) and its sample WM binary (muffin)";
+ mainProgram = "muffin";
license = licenses.gpl2Plus;
platforms = platforms.linux;
maintainers = teams.cinnamon.members;
diff --git a/pkgs/desktops/cinnamon/nemo/default.nix b/pkgs/desktops/cinnamon/nemo/default.nix
index 7b124c7987da..fe61e9701d9c 100644
--- a/pkgs/desktops/cinnamon/nemo/default.nix
+++ b/pkgs/desktops/cinnamon/nemo/default.nix
@@ -67,6 +67,13 @@ stdenv.mkDerivation rec {
"--localedir=${cinnamon-translations}/share/locale"
];
+ postInstall = ''
+ # This fixes open as root and handles nemo-with-extensions well.
+ # https://github.com/NixOS/nixpkgs/issues/297570
+ substituteInPlace $out/share/polkit-1/actions/org.nemo.root.policy \
+ --replace-fail "$out/bin/nemo" "/run/current-system/sw/bin/nemo"
+ '';
+
preFixup = ''
# Used for some non-fd.o icons (e.g. xapp-text-case-symbolic)
gappsWrapperArgs+=(
diff --git a/pkgs/desktops/cinnamon/pix/default.nix b/pkgs/desktops/cinnamon/pix/default.nix
index 219a0216437d..d1272f431389 100644
--- a/pkgs/desktops/cinnamon/pix/default.nix
+++ b/pkgs/desktops/cinnamon/pix/default.nix
@@ -95,6 +95,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A generic image viewer from Linux Mint";
+ mainProgram = "pix";
homepage = "https://github.com/linuxmint/pix";
license = licenses.gpl2Only;
platforms = platforms.linux;
diff --git a/pkgs/desktops/cinnamon/xviewer/default.nix b/pkgs/desktops/cinnamon/xviewer/default.nix
index 5a2bb2264d29..47e4e331da8b 100644
--- a/pkgs/desktops/cinnamon/xviewer/default.nix
+++ b/pkgs/desktops/cinnamon/xviewer/default.nix
@@ -66,6 +66,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A generic image viewer from Linux Mint";
+ mainProgram = "xviewer";
homepage = "https://github.com/linuxmint/xviewer";
license = licenses.gpl2Only;
platforms = platforms.linux;
diff --git a/pkgs/desktops/deepin/apps/deepin-calculator/default.nix b/pkgs/desktops/deepin/apps/deepin-calculator/default.nix
index c8915e3f354c..fa5c80d4a071 100644
--- a/pkgs/desktops/deepin/apps/deepin-calculator/default.nix
+++ b/pkgs/desktops/deepin/apps/deepin-calculator/default.nix
@@ -48,6 +48,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "An easy to use calculator for ordinary users";
+ mainProgram = "deepin-calculator";
homepage = "https://github.com/linuxdeepin/deepin-calculator";
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/deepin/apps/deepin-compressor/0001-fix-build-on-new-dtk.diff b/pkgs/desktops/deepin/apps/deepin-compressor/0001-fix-build-on-new-dtk.diff
new file mode 100644
index 000000000000..237d5798ecc8
--- /dev/null
+++ b/pkgs/desktops/deepin/apps/deepin-compressor/0001-fix-build-on-new-dtk.diff
@@ -0,0 +1,20 @@
+diff --git a/src/source/common/ddesktopservicesthread.h b/src/source/common/ddesktopservicesthread.h
+index 49313744..456a5e96 100644
+--- a/src/source/common/ddesktopservicesthread.h
++++ b/src/source/common/ddesktopservicesthread.h
+@@ -8,10 +8,14 @@
+
+ #include
+
++#include
++#include
+ #include
+ #include
+ #include
++
+ DWIDGET_USE_NAMESPACE
++DGUI_USE_NAMESPACE
+
+ // 文管打开文件目录线程
+ class DDesktopServicesThread : public QThread
+
diff --git a/pkgs/desktops/deepin/apps/deepin-compressor/default.nix b/pkgs/desktops/deepin/apps/deepin-compressor/default.nix
index 393c51e4ac64..60a02851b95a 100644
--- a/pkgs/desktops/deepin/apps/deepin-compressor/default.nix
+++ b/pkgs/desktops/deepin/apps/deepin-compressor/default.nix
@@ -20,20 +20,24 @@
stdenv.mkDerivation rec {
pname = "deepin-compressor";
- version = "5.12.23";
+ version = "5.12.24";
src = fetchFromGitHub {
owner = "linuxdeepin";
repo = pname;
rev = version;
- hash = "sha256-8qfpNM2rci4subdodxfJZLP3OvAxXl7QRl4MHGr15nA=";
+ hash = "sha256-XNhG28VZifQrl3TZfx/OHnsAOo0eKrhGKDk+OjOYD8k=";
};
+ patches = [
+ ./0001-fix-build-on-new-dtk.diff
+ ];
+
postPatch = ''
substituteInPlace src/source/common/pluginmanager.cpp \
- --replace "/usr/lib/" "$out/lib/"
+ --replace-fail "/usr/lib/" "$out/lib/"
substituteInPlace src/desktop/deepin-compressor.desktop \
- --replace "/usr" "$out"
+ --replace-fail "/usr" "$out"
'';
nativeBuildInputs = [
@@ -61,10 +65,16 @@ stdenv.mkDerivation rec {
"-DUSE_TEST=OFF"
];
+ # qt5integration must be placed before qtsvg in QT_PLUGIN_PATH
+ qtWrapperArgs = [
+ "--prefix QT_PLUGIN_PATH : ${qt5integration}/${qtbase.qtPluginPrefix}"
+ ];
+
strictDeps = true;
meta = with lib; {
description = "A fast and lightweight application for creating and extracting archives";
+ mainProgram = "deepin-compressor";
homepage = "https://github.com/linuxdeepin/deepin-compressor";
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/deepin/apps/deepin-draw/default.nix b/pkgs/desktops/deepin/apps/deepin-draw/default.nix
index c07ca9e0b880..980c7b3d1934 100644
--- a/pkgs/desktops/deepin/apps/deepin-draw/default.nix
+++ b/pkgs/desktops/deepin/apps/deepin-draw/default.nix
@@ -49,6 +49,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Lightweight drawing tool for users to freely draw and simply edit images";
+ mainProgram = "deepin-draw";
homepage = "https://github.com/linuxdeepin/deepin-draw";
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/deepin/apps/deepin-editor/default.nix b/pkgs/desktops/deepin/apps/deepin-editor/default.nix
index 6c02844c0ad5..c0cfc4465e1a 100644
--- a/pkgs/desktops/deepin/apps/deepin-editor/default.nix
+++ b/pkgs/desktops/deepin/apps/deepin-editor/default.nix
@@ -16,17 +16,18 @@
, libchardet
, libuchardet
, libiconv
+, gitUpdater
}:
stdenv.mkDerivation rec {
pname = "deepin-editor";
- version = "6.0.15";
+ version = "6.0.16";
src = fetchFromGitHub {
owner = "linuxdeepin";
repo = pname;
rev = version;
- hash = "sha256-17loD7FNy5PwSxIyXk313yiMxO10rOeP94q08tm76gw=";
+ hash = "sha256-55hRXHP02MJWt+JUDCDKv4Boq0IwNW1itGw9rtCZrao=";
};
nativeBuildInputs = [
@@ -54,6 +55,8 @@ stdenv.mkDerivation rec {
cmakeFlags = [ "-DVERSION=${version}" ];
+ passthru.updateScript = gitUpdater { };
+
meta = with lib; {
description = "A desktop text editor that supports common text editing features";
homepage = "https://github.com/linuxdeepin/deepin-editor";
diff --git a/pkgs/desktops/deepin/apps/deepin-movie-reborn/default.nix b/pkgs/desktops/deepin/apps/deepin-movie-reborn/default.nix
index 7794d5b50d0b..d9f810c95184 100644
--- a/pkgs/desktops/deepin/apps/deepin-movie-reborn/default.nix
+++ b/pkgs/desktops/deepin/apps/deepin-movie-reborn/default.nix
@@ -123,6 +123,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Full-featured video player supporting playing local and streaming media in multiple video formats";
+ mainProgram = "deepin-movie";
homepage = "https://github.com/linuxdeepin/deepin-movie-reborn";
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/deepin/apps/deepin-music/default.nix b/pkgs/desktops/deepin/apps/deepin-music/default.nix
index 64e5ee857745..e5cbca387efb 100644
--- a/pkgs/desktops/deepin/apps/deepin-music/default.nix
+++ b/pkgs/desktops/deepin/apps/deepin-music/default.nix
@@ -79,6 +79,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Awesome music player with brilliant and tweakful UI Deepin-UI based";
+ mainProgram = "deepin-music";
homepage = "https://github.com/linuxdeepin/deepin-music";
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/deepin/apps/deepin-picker/default.nix b/pkgs/desktops/deepin/apps/deepin-picker/default.nix
index cafd235fc9f4..cbb3d8e66a74 100644
--- a/pkgs/desktops/deepin/apps/deepin-picker/default.nix
+++ b/pkgs/desktops/deepin/apps/deepin-picker/default.nix
@@ -51,6 +51,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Color picker application";
+ mainProgram = "deepin-picker";
homepage = "https://github.com/linuxdeepin/deepin-picker";
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/deepin/apps/deepin-reader/0001-build-tests-with-cpp-14.patch b/pkgs/desktops/deepin/apps/deepin-reader/0001-build-tests-with-cpp-14.patch
new file mode 100644
index 000000000000..cf88eb6db074
--- /dev/null
+++ b/pkgs/desktops/deepin/apps/deepin-reader/0001-build-tests-with-cpp-14.patch
@@ -0,0 +1,13 @@
+diff --git a/tests/tests.pro b/tests/tests2.pro
+index 314cad227646..48f1c66ee3f7 100644
+--- a/tests/tests.pro
++++ b/tests/tests.pro
+@@ -6,7 +6,7 @@ QT += core gui sql printsupport dbus testlib widgets
+ #QMAKE_CXXFLAGS += -g -fsanitize=undefined,address -O2
+ #QMAKE_LFLAGS += -g -fsanitize=undefined,address -O2
+
+-CONFIG += c++11 link_pkgconfig resources_big testcase no_testcase_installs
++CONFIG += c++14 link_pkgconfig resources_big testcase no_testcase_installs
+
+ #访问私有方法 -fno-access-control
+ QMAKE_CXXFLAGS += -g -Wall -fprofile-arcs -ftest-coverage -fno-access-control -O0 -fno-inline
diff --git a/pkgs/desktops/deepin/apps/deepin-reader/default.nix b/pkgs/desktops/deepin/apps/deepin-reader/default.nix
index e477917f5a1b..39045e0e2a61 100644
--- a/pkgs/desktops/deepin/apps/deepin-reader/default.nix
+++ b/pkgs/desktops/deepin/apps/deepin-reader/default.nix
@@ -31,6 +31,8 @@ stdenv.mkDerivation rec {
hash = "sha256-G5UZ8lBrUo5G3jMae70p/zi9kOVqHWMNCedOy45L1PA=";
};
+ patches = [ ./0001-build-tests-with-cpp-14.patch ];
+
# don't use vendored htmltopdf
postPatch = ''
substituteInPlace deepin_reader.pro \
@@ -67,6 +69,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A simple memo software with texts and voice recordings";
+ mainProgram = "deepin-reader";
homepage = "https://github.com/linuxdeepin/deepin-reader";
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/deepin/apps/deepin-screen-recorder/default.nix b/pkgs/desktops/deepin/apps/deepin-screen-recorder/default.nix
index a0f83e7eb438..c035a217171e 100644
--- a/pkgs/desktops/deepin/apps/deepin-screen-recorder/default.nix
+++ b/pkgs/desktops/deepin/apps/deepin-screen-recorder/default.nix
@@ -78,6 +78,9 @@ stdenv.mkDerivation rec {
gst-plugins-good
]);
+ # Fix build failure on dtk 5.6.20
+ env.NIX_CFLAGS_COMPILE = "-std=c++14";
+
# qt5integration must be placed before qtsvg in QT_PLUGIN_PATH
qtWrapperArgs = [
"--prefix QT_PLUGIN_PATH : ${qt5integration}/${qtbase.qtPluginPrefix}"
diff --git a/pkgs/desktops/deepin/apps/deepin-screensaver/default.nix b/pkgs/desktops/deepin/apps/deepin-screensaver/default.nix
index 544e8e32b249..daadb5a863d3 100644
--- a/pkgs/desktops/deepin/apps/deepin-screensaver/default.nix
+++ b/pkgs/desktops/deepin/apps/deepin-screensaver/default.nix
@@ -60,6 +60,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A screensaver service developed by deepin";
+ mainProgram = "deepin-screensaver";
homepage = "https://github.com/linuxdeepin/deepin-screensaver";
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/deepin/apps/deepin-shortcut-viewer/default.nix b/pkgs/desktops/deepin/apps/deepin-shortcut-viewer/default.nix
index a9e1f8d7e977..fc0fd4eab8e1 100644
--- a/pkgs/desktops/deepin/apps/deepin-shortcut-viewer/default.nix
+++ b/pkgs/desktops/deepin/apps/deepin-shortcut-viewer/default.nix
@@ -43,6 +43,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Deepin Shortcut Viewer";
+ mainProgram = "deepin-shortcut-viewer";
homepage = "https://github.com/linuxdeepin/deepin-shortcut-viewer";
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/deepin/apps/deepin-system-monitor/default.nix b/pkgs/desktops/deepin/apps/deepin-system-monitor/default.nix
index 581eb1fa3580..52492275e98c 100644
--- a/pkgs/desktops/deepin/apps/deepin-system-monitor/default.nix
+++ b/pkgs/desktops/deepin/apps/deepin-system-monitor/default.nix
@@ -27,13 +27,13 @@
stdenv.mkDerivation rec {
pname = "deepin-system-monitor";
- version = "6.0.9";
+ version = "6.0.13";
src = fetchFromGitHub {
owner = "linuxdeepin";
repo = pname;
rev = version;
- hash = "sha256-ompsCTPmmF7S0UHNNU0YDQiTdvcFglpEoS4o+XMZ7jg=";
+ hash = "sha256-QwZPvEOYypSmbe3deqLRsI3VL/CgVc+Ql3JlsMZ9MqY=";
};
postPatch = ''
diff --git a/pkgs/desktops/deepin/apps/deepin-terminal/default.nix b/pkgs/desktops/deepin/apps/deepin-terminal/default.nix
index 7356d6342c4d..5142b8d354ee 100644
--- a/pkgs/desktops/deepin/apps/deepin-terminal/default.nix
+++ b/pkgs/desktops/deepin/apps/deepin-terminal/default.nix
@@ -19,13 +19,13 @@
stdenv.mkDerivation rec {
pname = "deepin-terminal";
- version = "6.0.9";
+ version = "6.0.12";
src = fetchFromGitHub {
owner = "linuxdeepin";
repo = pname;
rev = version;
- hash = "sha256-QdODR4zmbMuzSVy6eJhwJHNPXkAn6oCLHq+YZEOmtIU=";
+ hash = "sha256-VAF6Dn9cGmipQhAKhEOLd7lJyYWySOJ+rehc9L8pfL0=";
};
cmakeFlags = [ "-DVERSION=${version}" ];
@@ -55,6 +55,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Terminal emulator with workspace, multiple windows, remote management, quake mode and other features";
+ mainProgram = "deepin-terminal";
homepage = "https://github.com/linuxdeepin/deepin-terminal";
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/deepin/apps/deepin-voice-note/default.nix b/pkgs/desktops/deepin/apps/deepin-voice-note/default.nix
index 13124f82b7aa..766d4962fc70 100644
--- a/pkgs/desktops/deepin/apps/deepin-voice-note/default.nix
+++ b/pkgs/desktops/deepin/apps/deepin-voice-note/default.nix
@@ -78,6 +78,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Simple memo software with texts and voice recordings";
+ mainProgram = "deepin-voice-note";
homepage = "https://github.com/linuxdeepin/deepin-voice-note";
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/deepin/artwork/dde-account-faces/default.nix b/pkgs/desktops/deepin/artwork/dde-account-faces/default.nix
index 63db25d8dbc8..b635b721a012 100644
--- a/pkgs/desktops/deepin/artwork/dde-account-faces/default.nix
+++ b/pkgs/desktops/deepin/artwork/dde-account-faces/default.nix
@@ -5,13 +5,13 @@
stdenvNoCC.mkDerivation rec {
pname = "dde-account-faces";
- version = "1.0.15";
+ version = "1.0.16";
src = fetchFromGitHub {
owner = "linuxdeepin";
repo = pname;
rev = version;
- hash = "sha256-/eTGy+9fcYmGrh09RdCIZ2Cn12gTaGtg4Tluv25n5r0=";
+ hash = "sha256-PtbEsFQl6M5Ouadxy9CTVh1Bmmect83NODO4Ks+ckKU=";
};
makeFlags = [ "PREFIX=${placeholder "out"}/var" ];
diff --git a/pkgs/desktops/deepin/artwork/deepin-icon-theme/default.nix b/pkgs/desktops/deepin/artwork/deepin-icon-theme/default.nix
index 95e715e4d375..5260df0ece63 100644
--- a/pkgs/desktops/deepin/artwork/deepin-icon-theme/default.nix
+++ b/pkgs/desktops/deepin/artwork/deepin-icon-theme/default.nix
@@ -8,13 +8,13 @@
stdenvNoCC.mkDerivation rec {
pname = "deepin-icon-theme";
- version = "2023.11.28";
+ version = "2024.01.31";
src = fetchFromGitHub {
owner = "linuxdeepin";
repo = pname;
rev = version;
- hash = "sha256-kCWJAmJa0VmhnuegE+acj82Ojl4Z5D8g7/q2PzppJwg=";
+ hash = "sha256-08maujG5Tibsv9N+5olOeD8MrXTRiZh0OQm0bg8t+Cc=";
};
makeFlags = [ "PREFIX=${placeholder "out"}" ];
diff --git a/pkgs/desktops/deepin/core/dde-app-services/default.nix b/pkgs/desktops/deepin/core/dde-app-services/default.nix
index 4c27ced09d56..64713d08b11f 100644
--- a/pkgs/desktops/deepin/core/dde-app-services/default.nix
+++ b/pkgs/desktops/deepin/core/dde-app-services/default.nix
@@ -13,13 +13,13 @@
stdenv.mkDerivation rec {
pname = "dde-app-services";
- version = "1.0.23";
+ version = "1.0.25";
src = fetchFromGitHub {
owner = "linuxdeepin";
repo = pname;
rev = version;
- hash = "sha256-INxbRDpG3MqPW6IMTqEagDCGo7vwxkR6D1+lcWdjO3w=";
+ hash = "sha256-/lHiSUOTD8nC0WDLAHAFzm1YC0WjSS5W5JNC0cjeVEo=";
};
postPatch = ''
diff --git a/pkgs/desktops/deepin/core/dde-appearance/default.nix b/pkgs/desktops/deepin/core/dde-appearance/default.nix
index fef56b3978f7..01fd610099c7 100644
--- a/pkgs/desktops/deepin/core/dde-appearance/default.nix
+++ b/pkgs/desktops/deepin/core/dde-appearance/default.nix
@@ -17,13 +17,13 @@
stdenv.mkDerivation rec {
pname = "dde-appearance";
- version = "1.1.6";
+ version = "1.1.25";
src = fetchFromGitHub {
owner = "linuxdeepin";
repo = pname;
rev = version;
- hash = "sha256-7oRbydLXw8yRzi9L1GH/q0cjMY/DLyWbj4RUSyNpVNM=";
+ hash = "sha256-H9TvWF6Q0lX4GF4mQ71E3SUqWbhH7dzHIlScovbN7lM=";
};
patches = [
@@ -43,7 +43,7 @@ stdenv.mkDerivation rec {
substituteInPlace src/service/modules/api/themethumb.cpp \
--replace "/usr/lib/deepin-api" "/run/current-system/sw/lib/deepin-api"
- substituteInPlace src/service/dbus/deepinwmfaker.cpp \
+ substituteInPlace fakewm/dbus/deepinwmfaker.cpp \
--replace "/usr/lib/deepin-daemon" "/run/current-system/sw/lib/deepin-daemon"
substituteInPlace src/service/modules/api/locale.cpp \
diff --git a/pkgs/desktops/deepin/core/dde-appearance/fix-custom-wallpapers-path.diff b/pkgs/desktops/deepin/core/dde-appearance/fix-custom-wallpapers-path.diff
index 14fb527c7ef4..3ed997dc0cc5 100644
--- a/pkgs/desktops/deepin/core/dde-appearance/fix-custom-wallpapers-path.diff
+++ b/pkgs/desktops/deepin/core/dde-appearance/fix-custom-wallpapers-path.diff
@@ -11,10 +11,10 @@ index b612e6e..371f966 100644
"serial": 0,
"flags": [],
"name": "Background_Uris",
-diff --git a/src/service/dbus/deepinwmfaker.cpp b/src/service/dbus/deepinwmfaker.cpp
+diff --git a/fakewm/dbus/deepinwmfaker.cpp b/fakewm/dbus/deepinwmfaker.cpp
index 5d455fa..40ec608 100644
---- a/src/service/dbus/deepinwmfaker.cpp
-+++ b/src/service/dbus/deepinwmfaker.cpp
+--- a/fakewm/dbus/deepinwmfaker.cpp
++++ b/fakewm/dbus/deepinwmfaker.cpp
@@ -54,13 +54,13 @@ Q_GLOBAL_STATIC_WITH_ARGS(QGSettings, _gsettings_dde_zone, ("com.deepin.dde.zone
#define KWinDBusCompositorInterface "org.kde.kwin.Compositing"
diff --git a/pkgs/desktops/deepin/core/dde-application-manager/default.nix b/pkgs/desktops/deepin/core/dde-application-manager/default.nix
index 0949bc6e4993..b386d0bbeabf 100644
--- a/pkgs/desktops/deepin/core/dde-application-manager/default.nix
+++ b/pkgs/desktops/deepin/core/dde-application-manager/default.nix
@@ -1,42 +1,36 @@
{ stdenv
, lib
, fetchFromGitHub
+, fetchpatch
, cmake
, pkg-config
, wrapQtAppsHook
, qtbase
-, dtkwidget
-, dde-polkit-agent
-, gsettings-qt
-, libcap
-, jemalloc
-, xorg
-, iconv
}:
stdenv.mkDerivation rec {
pname = "dde-application-manager";
- version = "1.0.19";
+ version = "1.1.8";
src = fetchFromGitHub {
owner = "linuxdeepin";
repo = pname;
rev = version;
- hash = "sha256-1P265xqlL/wML66nKdfTgkRx6MCpLwrt5rXu+CyeShU=";
+ hash = "sha256-ImyXSyQWMFLvmtx9mBxrr4/IFOgOH1BW650mbiwFh5U=";
};
- # remove this patch after next release
- postPatch = ''
- substituteInPlace src/modules/mimeapp/mime_app.cpp src/modules/launcher/common.h src/service/main.cpp \
- misc/dconf/com.deepin.dde.appearance.json \
- --replace "/usr/share" "/run/current-system/sw/share"
-
- substituteInPlace src/lib/dlocale.cpp --replace "/usr/share/locale/locale.alias" "${iconv}/share/locale/locale.alias"
-
- for file in $(grep -rl "/usr/bin"); do
- substituteInPlace $file --replace "/usr/bin/" "/run/current-system/sw/bin/"
- done
- '';
+ patches = [
+ (fetchpatch {
+ name = "set-more-scale-envs-to-application.patch";
+ url = "https://github.com/linuxdeepin/dde-application-manager/commit/a1f8ad276d88c81249dd3468779862186a180238.patch";
+ hash = "sha256-/iKg6NZZomNEKYsZCZP1IfNr7ZAXiA9RVBnyf+M/f4w=";
+ })
+ (fetchpatch {
+ name = "support-execSearchPath-to-prevent-systemd-from-finding-binaries.patch";
+ url = "https://github.com/linuxdeepin/dde-application-manager/commit/2eaca7c6b8b841d571e9d3510f9f14c321cd976e.patch";
+ hash = "sha256-GWUIv4NIBLQpnY4GcjLShMjiXAfPi3zKdol3whchC/Y=";
+ })
+ ];
nativeBuildInputs = [
cmake
@@ -46,16 +40,11 @@ stdenv.mkDerivation rec {
buildInputs = [
qtbase
- dtkwidget
- gsettings-qt
- libcap
- jemalloc
- xorg.libXdmcp
- xorg.libXres
];
meta = with lib; {
description = "Application manager for DDE";
+ mainProgram = "dde-application-manager";
homepage = "https://github.com/linuxdeepin/dde-application-manager";
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/deepin/core/dde-calendar/default.nix b/pkgs/desktops/deepin/core/dde-calendar/default.nix
index a9a3af0adee1..b244898566e9 100644
--- a/pkgs/desktops/deepin/core/dde-calendar/default.nix
+++ b/pkgs/desktops/deepin/core/dde-calendar/default.nix
@@ -18,13 +18,13 @@
stdenv.mkDerivation rec {
pname = "dde-calendar";
- version = "5.11.1";
+ version = "5.12.1";
src = fetchFromGitHub {
owner = "linuxdeepin";
repo = pname;
rev = version;
- hash = "sha256-EQcB+a0dK2c6NdvGFbyp65a8nN2PmOpZLWx61UDOTJg=";
+ hash = "sha256-p+KtObh2JT7aPcDCi0jmaNmLqB1aU3IvAiYrGmhErcI=";
};
patches = [
@@ -61,6 +61,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Calendar for Deepin Desktop Environment";
+ mainProgram = "dde-calendar";
homepage = "https://github.com/linuxdeepin/dde-calendar";
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/deepin/core/dde-control-center/default.nix b/pkgs/desktops/deepin/core/dde-control-center/default.nix
index f397e9ca2837..cbfbb34f33ce 100644
--- a/pkgs/desktops/deepin/core/dde-control-center/default.nix
+++ b/pkgs/desktops/deepin/core/dde-control-center/default.nix
@@ -17,19 +17,20 @@
, polkit-qt
, libxcrypt
, librsvg
+, gtest
, runtimeShell
, dbus
}:
stdenv.mkDerivation rec {
pname = "dde-control-center";
- version = "6.0.28";
+ version = "6.0.44";
src = fetchFromGitHub {
owner = "linuxdeepin";
repo = pname;
rev = version;
- hash = "sha256-kgQ4ySiYtaklOqER56QtKD9lk1CnRSEAU4QPHycl9eI=";
+ hash = "sha256-NN2CSIYByxeTZraK48lAsQSJYAOTDHzKT1FOa+VWMo0=";
};
postPatch = ''
@@ -57,6 +58,7 @@ stdenv.mkDerivation rec {
polkit-qt
libxcrypt
librsvg
+ gtest
];
cmakeFlags = [
@@ -89,6 +91,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Control panel of Deepin Desktop Environment";
+ mainProgram = "dde-control-center";
homepage = "https://github.com/linuxdeepin/dde-control-center";
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/deepin/core/dde-dock/default.nix b/pkgs/desktops/deepin/core/dde-dock/default.nix
index 5ea7da4ed201..9c827e96a745 100644
--- a/pkgs/desktops/deepin/core/dde-dock/default.nix
+++ b/pkgs/desktops/deepin/core/dde-dock/default.nix
@@ -21,13 +21,13 @@
stdenv.mkDerivation rec {
pname = "dde-dock";
- version = "6.0.22";
+ version = "6.0.35";
src = fetchFromGitHub {
owner = "linuxdeepin";
repo = pname;
rev = version;
- hash = "sha256-fhc2faiPH35ZKw6SCoGTz+6mgxabNpCFQeY2p68Ba5w=";
+ hash = "sha256-ATC/Ze6GyjT92eCgAt9g2FIQbXLVHUMuXuAslNnbkCE=";
};
postPatch = ''
@@ -81,6 +81,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Deepin desktop-environment - dock module";
+ mainProgram = "dde-dock";
homepage = "https://github.com/linuxdeepin/dde-dock";
platforms = platforms.linux;
license = licenses.lgpl3Plus;
diff --git a/pkgs/desktops/deepin/core/dde-file-manager/default.nix b/pkgs/desktops/deepin/core/dde-file-manager/default.nix
index a197980c8d74..552fa748d51f 100644
--- a/pkgs/desktops/deepin/core/dde-file-manager/default.nix
+++ b/pkgs/desktops/deepin/core/dde-file-manager/default.nix
@@ -1,7 +1,6 @@
{ stdenv
, lib
, fetchFromGitHub
-, fetchpatch
, runtimeShell
, dtkwidget
, qt5integration
@@ -44,13 +43,13 @@
stdenv.mkDerivation rec {
pname = "dde-file-manager";
- version = "6.0.31";
+ version = "6.0.40";
src = fetchFromGitHub {
owner = "linuxdeepin";
repo = pname;
rev = version;
- hash = "sha256-mc2HcoLrwMXKU8w34KUEh62ZfEIfbJLVzz4JGnUE5EM=";
+ hash = "sha256-fvxP6wle4hezt9nEDpTgK+xB4J5XIC0mP5jWCmkjJPA=";
};
nativeBuildInputs = [
@@ -63,13 +62,7 @@ stdenv.mkDerivation rec {
dontWrapGApps = true;
patches = [
- ./use_v23_dbus_interface.diff
-
- (fetchpatch {
- name = "use-pkgconfig-to-check-mount.patch";
- url = "https://github.com/linuxdeepin/dde-file-manager/commit/b6c210057d991591df45b80607a614e7a57a9dc0.patch";
- hash = "sha256-k0ZYlOVN3hHs1qvvRaJ3i6okOhDE+DoUKGs9AhSFBGU=";
- })
+ ./patch_check_v23_interface.diff
];
postPatch = ''
@@ -132,6 +125,8 @@ stdenv.mkDerivation rec {
cmakeFlags = [
"-DVERSION=${version}"
+ "-DNIX_DEEPIN_VERSION=23"
+ "-DSYSTEMD_USER_UNIT_DIR=${placeholder "out"}/lib/systemd/user"
];
enableParallelBuilding = true;
diff --git a/pkgs/desktops/deepin/core/dde-file-manager/use_v23_dbus_interface.diff b/pkgs/desktops/deepin/core/dde-file-manager/patch_check_v23_interface.diff
similarity index 65%
rename from pkgs/desktops/deepin/core/dde-file-manager/use_v23_dbus_interface.diff
rename to pkgs/desktops/deepin/core/dde-file-manager/patch_check_v23_interface.diff
index 5a42ecd4a1f5..c41306f59031 100644
--- a/pkgs/desktops/deepin/core/dde-file-manager/use_v23_dbus_interface.diff
+++ b/pkgs/desktops/deepin/core/dde-file-manager/patch_check_v23_interface.diff
@@ -1,13 +1,13 @@
diff --git a/CMakeLists.txt b/CMakeLists.txt
-index e93d3ad..94e3eca 100644
+index 8a8cfb079..34092aa57 100644
--- a/CMakeLists.txt
+++ b/CMakeLists.txt
-@@ -30,7 +30,7 @@ if(CMAKE_INSTALL_PREFIX_INITIALIZED_TO_DEFAULT)
+@@ -31,7 +31,7 @@ if(CMAKE_INSTALL_PREFIX_INITIALIZED_TO_DEFAULT)
endif()
#Indentify the version
-if (${DEEPIN_OS_VERSION} MATCHES "23")
-+if (TRUE)
++if (${NIX_DEEPIN_VERSION} MATCHES "23")
add_definitions(-DCOMPILE_ON_V23)
set(COMPLIE_ON_V23 TRUE)
message("COMPILE ON v23")
diff --git a/pkgs/desktops/deepin/core/dde-gsettings-schemas/default.nix b/pkgs/desktops/deepin/core/dde-gsettings-schemas/default.nix
index a609d707a03c..c1a26e100a29 100644
--- a/pkgs/desktops/deepin/core/dde-gsettings-schemas/default.nix
+++ b/pkgs/desktops/deepin/core/dde-gsettings-schemas/default.nix
@@ -9,7 +9,6 @@
, dde-file-manager
, deepin-desktop-schemas
, deepin-movie-reborn
-, deepin-screen-recorder
, deepin-system-monitor
, gsettings-desktop-schemas
, extraGSettingsOverrides ? ""
@@ -24,7 +23,6 @@ let
dde-file-manager
deepin-desktop-schemas
deepin-movie-reborn
- deepin-screen-recorder
deepin-system-monitor
gsettings-desktop-schemas # dde-appearance need org.gnome.desktop.background
] ++ extraGSettingsOverridePackages;
diff --git a/pkgs/desktops/deepin/core/dde-launchpad/default.nix b/pkgs/desktops/deepin/core/dde-launchpad/default.nix
index a6104d61c922..3e3b15f3a250 100644
--- a/pkgs/desktops/deepin/core/dde-launchpad/default.nix
+++ b/pkgs/desktops/deepin/core/dde-launchpad/default.nix
@@ -15,15 +15,20 @@
stdenv.mkDerivation rec {
pname = "dde-launchpad";
- version = "0.3.0";
+ version = "0.4.4";
src = fetchFromGitHub {
owner = "linuxdeepin";
repo = pname;
rev = version;
- hash = "sha256-8m0DjQYih3hB/n2VHuJgUYBe8tpGwBU0NdkLxr1OsFc=";
+ hash = "sha256-az8BC3n44NGpATNu3Exjn3H7Rumx/YqDXztEGqCpAbY=";
};
+ postPatch = ''
+ substituteInPlace desktopintegration.cpp \
+ --replace "AppStreamQt/pool.h" "AppStreamQt5/pool.h"
+ '';
+
nativeBuildInputs = [
cmake
qttools
@@ -50,6 +55,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "The 'launcher' or 'start menu' component for DDE";
+ mainProgram = "dde-launchpad";
homepage = "https://github.com/linuxdeepin/dde-launchpad";
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/deepin/core/dde-network-core/default.nix b/pkgs/desktops/deepin/core/dde-network-core/default.nix
index 24d46698765e..f9d97f735a62 100644
--- a/pkgs/desktops/deepin/core/dde-network-core/default.nix
+++ b/pkgs/desktops/deepin/core/dde-network-core/default.nix
@@ -18,13 +18,13 @@
stdenv.mkDerivation rec {
pname = "dde-network-core";
- version = "2.0.15";
+ version = "2.0.21";
src = fetchFromGitHub {
owner = "linuxdeepin";
repo = pname;
rev = version;
- hash = "sha256-AMuWEz3Eyw0tG0srLWpqS7MO7Z4ZzIay4z59cZQZU0o=";
+ hash = "sha256-xuPEh0o62seyxlW+MKGgv/DdheYibSI1K0RJAjxraCw=";
};
nativeBuildInputs = [
diff --git a/pkgs/desktops/deepin/core/dde-session-shell/default.nix b/pkgs/desktops/deepin/core/dde-session-shell/default.nix
index 48479c6893ea..b30840f74ff3 100644
--- a/pkgs/desktops/deepin/core/dde-session-shell/default.nix
+++ b/pkgs/desktops/deepin/core/dde-session-shell/default.nix
@@ -25,13 +25,13 @@
stdenv.mkDerivation rec {
pname = "dde-session-shell";
- version = "6.0.10";
+ version = "6.0.17";
src = fetchFromGitHub {
owner = "linuxdeepin";
repo = pname;
rev = version;
- hash = "sha256-h4X3RZe7+CxVeFmk/7+7K4d/2D1+jhECKQaxl4TsuvM=";
+ hash = "sha256-X/aBMxrYeCT3I9ynV8cPzS23H44fHLkpkztewnfTNxA=";
};
postPatch = ''
diff --git a/pkgs/desktops/deepin/core/dde-session-ui/default.nix b/pkgs/desktops/deepin/core/dde-session-ui/default.nix
index a732589d7ea1..190ad0bbc704 100644
--- a/pkgs/desktops/deepin/core/dde-session-ui/default.nix
+++ b/pkgs/desktops/deepin/core/dde-session-ui/default.nix
@@ -17,13 +17,13 @@
stdenv.mkDerivation rec {
pname = "dde-session-ui";
- version = "6.0.10";
+ version = "6.0.16";
src = fetchFromGitHub {
owner = "linuxdeepin";
repo = pname;
rev = version;
- hash = "sha256-JwktVbwWdfqURhZuEFdB5oaKMsBZu5DekpZ2WGpcL4Q=";
+ hash = "sha256-hxunGK7DxRuAbmi6PtylHCBajV3b1qbFVA+AiuOCcN0=";
};
postPatch = ''
diff --git a/pkgs/desktops/deepin/core/dde-session/default.nix b/pkgs/desktops/deepin/core/dde-session/default.nix
index 72ae99f8c843..0e919c144d46 100644
--- a/pkgs/desktops/deepin/core/dde-session/default.nix
+++ b/pkgs/desktops/deepin/core/dde-session/default.nix
@@ -15,16 +15,19 @@
stdenv.mkDerivation rec {
pname = "dde-session";
- version = "1.1.9";
+ version = "1.2.5";
src = fetchFromGitHub {
owner = "linuxdeepin";
repo = pname;
rev = version;
- hash = "sha256-CyHvvNALXe4fOMjD48By/iaU6/xNUhH9yG19Ob3bHy0=";
+ hash = "sha256-YYGRjVbVFyzmRhYu6sDtxzghocgM7Myr3K77AqWQk3E=";
};
postPatch = ''
+ substituteInPlace misc/CMakeLists.txt \
+ --replace "/etc" "$out/etc"
+
# Avoid using absolute path to distinguish applications
substituteInPlace src/dde-session/impl/sessionmanager.cpp \
--replace 'file.readAll().startsWith("/usr/bin/dde-lock")' 'file.readAll().contains("dde-lock")' \
diff --git a/pkgs/desktops/deepin/core/dde-widgets/default.nix b/pkgs/desktops/deepin/core/dde-widgets/default.nix
index 975cb978e7a7..f7455a92e0b7 100644
--- a/pkgs/desktops/deepin/core/dde-widgets/default.nix
+++ b/pkgs/desktops/deepin/core/dde-widgets/default.nix
@@ -14,13 +14,13 @@
stdenv.mkDerivation rec {
pname = "dde-widgets";
- version = "6.0.14";
+ version = "6.0.19";
src = fetchFromGitHub {
owner = "linuxdeepin";
repo = pname;
rev = version;
- hash = "sha256-bmhT7UhMXtC5wlRtwlVnGjoq8rUQcDSk4rGQ0Xrz9ZI=";
+ hash = "sha256-oB0lyfmxBSwqjXO+etYdc+DghZVSBU+LXYqK1WS5DaU=";
};
nativeBuildInputs = [
@@ -40,6 +40,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Desktop widgets service/implementation for DDE";
+ mainProgram = "dde-widgets";
homepage = "https://github.com/linuxdeepin/dde-widgets";
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/deepin/core/deepin-kwin/default.nix b/pkgs/desktops/deepin/core/deepin-kwin/default.nix
index 03e40357317c..a46088a63dd6 100644
--- a/pkgs/desktops/deepin/core/deepin-kwin/default.nix
+++ b/pkgs/desktops/deepin/core/deepin-kwin/default.nix
@@ -36,13 +36,13 @@
stdenv.mkDerivation rec {
pname = "deepin-kwin";
- version = "5.25.11";
+ version = "5.25.17";
src = fetchFromGitHub {
owner = "linuxdeepin";
repo = pname;
rev = version;
- hash = "sha256-J92T1hsRmmtkjF9OPsrikRtd7bQSEG88UOYu+BHUSx0=";
+ hash = "sha256-Zi6SNNiwty16b3cCMK52zrXqglq8TqK2x8smSD504+o=";
};
patches = [
diff --git a/pkgs/desktops/deepin/core/deepin-service-manager/default.nix b/pkgs/desktops/deepin/core/deepin-service-manager/default.nix
index 3d657ef33869..a11f7717b646 100644
--- a/pkgs/desktops/deepin/core/deepin-service-manager/default.nix
+++ b/pkgs/desktops/deepin/core/deepin-service-manager/default.nix
@@ -31,6 +31,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Manage DBus service on Deepin";
+ mainProgram = "deepin-service-manager";
homepage = "https://github.com/linuxdeepin/deepin-service-manager";
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/deepin/default.nix b/pkgs/desktops/deepin/default.nix
index 0361a13142cb..2edf1359bee9 100644
--- a/pkgs/desktops/deepin/default.nix
+++ b/pkgs/desktops/deepin/default.nix
@@ -1,4 +1,4 @@
-{ lib, pkgs, config, libsForQt5 }:
+{ lib, pkgs, config, libsForQt5, qt6Packages }:
let
packages = self:
let
@@ -28,7 +28,7 @@ let
deepin-kwin = callPackage ./core/deepin-kwin { };
dde-appearance = callPackage ./core/dde-appearance { };
dde-app-services = callPackage ./core/dde-app-services { };
- dde-application-manager = callPackage ./core/dde-application-manager { };
+ dde-application-manager = qt6Packages.callPackage ./core/dde-application-manager { };
dde-control-center = callPackage ./core/dde-control-center { };
dde-calendar = callPackage ./core/dde-calendar { };
dde-clipboard = callPackage ./core/dde-clipboard { };
diff --git a/pkgs/desktops/deepin/go-package/dde-api/default.nix b/pkgs/desktops/deepin/go-package/dde-api/default.nix
index 11aa986124b2..d86c36976302 100644
--- a/pkgs/desktops/deepin/go-package/dde-api/default.nix
+++ b/pkgs/desktops/deepin/go-package/dde-api/default.nix
@@ -1,7 +1,6 @@
{ stdenv
, lib
, fetchFromGitHub
-, fetchpatch
, buildGoModule
, pkg-config
, deepin-gettext-tools
@@ -21,40 +20,32 @@
buildGoModule rec {
pname = "dde-api";
- version = "6.0.7";
+ version = "6.0.9";
src = fetchFromGitHub {
owner = "linuxdeepin";
repo = pname;
rev = version;
- hash = "sha256-kdf1CoZUyda6bOTW0WJTgaXYhocrjRU9ptj7i+k8aaQ=";
+ hash = "sha256-ht5IaXi4nz0/U1zqp4JTiDkQ3NB69q24MgWfu45SpoY=";
};
- patches = [
- (fetchpatch {
- name = "modify_PKGBUILD_to_support_OBS.patch";
- url = "https://github.com/linuxdeepin/dde-api/commit/1399522d032c6c649db79a33348cdb1a233bc23a.patch";
- hash = "sha256-kSHnYaOxIvv7lAJnvxpSwyRDPyDxpAq9x+gJcBdU3T8=";
- })
- ];
-
- vendorHash = "sha256-4Yscw3QjWG1rlju6sMRHGn3dSe65b1nx10B3KeyAzBM=";
+ vendorHash = "sha256-zrtUsCF2+301DKwgWectw+UbOehOp8h8u/IMf09XQ8Q=";
postPatch = ''
substituteInPlace misc/systemd/system/deepin-shutdown-sound.service \
- --replace "/usr/bin/true" "${coreutils}/bin/true"
+ --replace-fail "/usr/bin/true" "${coreutils}/bin/true"
substituteInPlace sound-theme-player/main.go \
- --replace "/usr/sbin/alsactl" "alsactl"
+ --replace-fail "/usr/sbin/alsactl" "alsactl"
substituteInPlace misc/{scripts/deepin-boot-sound.sh,systemd/system/deepin-login-sound.service} \
- --replace "/usr/bin/dbus-send" "${dbus}/bin/dbus-send"
+ --replace-fail "/usr/bin/dbus-send" "${dbus}/bin/dbus-send"
substituteInPlace lunar-calendar/huangli.go adjust-grub-theme/main.go \
- --replace "/usr/share/dde-api" "$out/share/dde-api"
+ --replace-fail "/usr/share/dde-api" "$out/share/dde-api"
substituteInPlace themes/{theme.go,settings.go} \
- --replace "/usr/share" "/run/current-system/sw/share"
+ --replace-fail "/usr/share" "/run/current-system/sw/share"
for file in $(grep "/usr/lib/deepin-api" * -nR |awk -F: '{print $1}')
do
@@ -107,6 +98,7 @@ buildGoModule rec {
meta = with lib; {
description = "Dbus interfaces used for screen zone detecting, thumbnail generating, sound playing, etc";
+ mainProgram = "dde-open";
homepage = "https://github.com/linuxdeepin/dde-api";
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/deepin/go-package/dde-daemon/default.nix b/pkgs/desktops/deepin/go-package/dde-daemon/default.nix
index 0f322505be2f..b79f1fe472d1 100644
--- a/pkgs/desktops/deepin/go-package/dde-daemon/default.nix
+++ b/pkgs/desktops/deepin/go-package/dde-daemon/default.nix
@@ -38,16 +38,16 @@
buildGoModule rec {
pname = "dde-daemon";
- version = "6.0.22";
+ version = "6.0.34";
src = fetchFromGitHub {
owner = "linuxdeepin";
repo = pname;
rev = version;
- hash = "sha256-D7s6wWZeZHYl/aP/0qLYNn+lZEwGJAjFNbO0whKymck=";
+ hash = "sha256-NIFgv6EUSnCqSdPttx6wrr7K1nRV/JIZJy9uS7uu0Sc=";
};
- vendorHash = "sha256-U+G5CELpor34RgFzHpxfvJ/jBfWfE4ShjY2b2Z61BhE=";
+ vendorHash = "sha256-F39QGxY0aD+hHWguHosSrSzcB/ahYbnFW9vVtS5oUnU=";
patches = [
./0001-dont-set-PATH.diff
@@ -70,10 +70,11 @@ buildGoModule rec {
--replace "/usr/share/X11/xkb" "${xkeyboard_config}/share/X11/xkb"
substituteInPlace bin/dde-system-daemon/wallpaper.go accounts1/user.go \
- --replace "/usr/share/wallpapers" "/run/current-system/sw/share/wallpapers"
+ --replace "/usr/share/wallpapers" "/run/current-system/sw/share/wallpapers"
substituteInPlace timedate1/zoneinfo/zone.go \
- --replace "/usr/share/zoneinfo" "${tzdata}/share/zoneinfo"
+ --replace "/usr/share/dde" "$out/share/dde" \
+ --replace "/usr/share/zoneinfo" "${tzdata}/share/zoneinfo"
substituteInPlace accounts1/image_blur.go grub2/modify_manger.go \
--replace "/usr/lib/deepin-api" "/run/current-system/sw/lib/deepin-api"
diff --git a/pkgs/desktops/deepin/go-package/deepin-pw-check/default.nix b/pkgs/desktops/deepin/go-package/deepin-pw-check/default.nix
index f745c8d942be..d01c45c654d2 100644
--- a/pkgs/desktops/deepin/go-package/deepin-pw-check/default.nix
+++ b/pkgs/desktops/deepin/go-package/deepin-pw-check/default.nix
@@ -62,6 +62,7 @@ buildGoModule rec {
meta = with lib; {
description = "Tool to verify the validity of the password";
+ mainProgram = "pwd-conf-update";
homepage = "https://github.com/linuxdeepin/deepin-pw-check";
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/deepin/go-package/go-dbus-factory/default.nix b/pkgs/desktops/deepin/go-package/go-dbus-factory/default.nix
index 4c12dce491d7..eed8208367b0 100644
--- a/pkgs/desktops/deepin/go-package/go-dbus-factory/default.nix
+++ b/pkgs/desktops/deepin/go-package/go-dbus-factory/default.nix
@@ -5,13 +5,13 @@
stdenv.mkDerivation rec {
pname = "go-dbus-factory";
- version = "1.10.23";
+ version = "2.0.8";
src = fetchFromGitHub {
owner = "linuxdeepin";
repo = pname;
rev = version;
- sha256 = "sha256-6u9Bpoa80j/K1MipncfM378/qmSSMZAlx88jE4hHYBk=";
+ sha256 = "sha256-yzmr61wrBfZi+CuXFhtvOk7EaFtE8y3QyVwwgEDqwKY=";
};
makeFlags = [ "PREFIX=${placeholder "out"}" ];
diff --git a/pkgs/desktops/deepin/go-package/go-gir-generator/default.nix b/pkgs/desktops/deepin/go-package/go-gir-generator/default.nix
index eecdbeb990ba..45c486d606d3 100644
--- a/pkgs/desktops/deepin/go-package/go-gir-generator/default.nix
+++ b/pkgs/desktops/deepin/go-package/go-gir-generator/default.nix
@@ -35,6 +35,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Generate static golang bindings for GObject";
+ mainProgram = "gir-generator";
homepage = "https://github.com/linuxdeepin/go-gir-generator";
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/deepin/go-package/startdde/0001-avoid-use-hardcode-path.patch b/pkgs/desktops/deepin/go-package/startdde/0001-avoid-use-hardcode-path.patch
deleted file mode 100644
index 69e4c8aba56d..000000000000
--- a/pkgs/desktops/deepin/go-package/startdde/0001-avoid-use-hardcode-path.patch
+++ /dev/null
@@ -1,61 +0,0 @@
-diff --git a/misc/auto_launch/chinese.json b/misc/auto_launch/chinese.json
-index 14b8bff..2b2c412 100644
---- a/misc/auto_launch/chinese.json
-+++ b/misc/auto_launch/chinese.json
-@@ -3,7 +3,7 @@
- "Priority": 9,
- "Group": [
- {
-- "Command": "/usr/bin/dde-file-manager",
-+ "Command": "dde-file-manager",
- "Wait": false,
- "Args": [
- "-d"
-@@ -25,7 +25,7 @@
- "Priority": 7,
- "Group": [
- {
-- "Command": "/usr/bin/dde-shutdown",
-+ "Command": "dde-shutdown",
- "Wait": false,
- "Args": [
- "-d"
-diff --git a/session.go b/session.go
-index da76626..bf9a2c4 100644
---- a/session.go
-+++ b/session.go
-@@ -14,6 +14,7 @@ import (
- "sync"
- "syscall"
- "time"
-+ "strings"
-
- "github.com/adrg/xdg"
- "github.com/godbus/dbus/v5"
-@@ -85,7 +86,7 @@ type SessionManager struct {
- }
-
- const (
-- cmdShutdown = "/usr/bin/dde-shutdown"
-+ cmdShutdown = "dde-shutdown"
- lockFrontDest = "org.deepin.dde.LockFront1"
- lockFrontIfc = lockFrontDest
- lockFrontObjPath = "/org/deepin/dde/LockFront1"
-@@ -458,7 +459,7 @@ func (m *SessionManager) SetLocked(sender dbus.Sender, value bool) *dbus.Error {
- return dbusutil.ToError(err)
- }
-
-- if exe == "/usr/bin/dde-lock" {
-+ if strings.Contains(exe, "dde-lock") {
- m.setLocked(value)
- return nil
- }
-@@ -478,7 +479,7 @@ func (m *SessionManager) SetLocked(sender dbus.Sender, value bool) *dbus.Error {
- return dbusutil.ToError(fmt.Errorf("desktop file %q is invalid", desktopFile))
- }
- exe = info.GetExecutable()
-- if exe != "/usr/bin/dde-lock" {
-+ if strings.Contains(exe, "dde-lock") {
- return dbusutil.ToError(fmt.Errorf("exe %q of desktop file %q is invalid", exe, desktopFile))
- }
-
diff --git a/pkgs/desktops/deepin/go-package/startdde/default.nix b/pkgs/desktops/deepin/go-package/startdde/default.nix
index 8ad13e1156d7..2408410b2f08 100644
--- a/pkgs/desktops/deepin/go-package/startdde/default.nix
+++ b/pkgs/desktops/deepin/go-package/startdde/default.nix
@@ -19,29 +19,25 @@
buildGoModule rec {
pname = "startdde";
- version = "6.0.10";
+ version = "6.0.13";
src = fetchFromGitHub {
owner = "linuxdeepin";
repo = pname;
rev = version;
- hash = "sha256-B2B8QlA1Ps/ybVzionngtwDwTLd7H02RKJwcXymGlJM=";
+ hash = "sha256-sftPQq4cSyCTuvVtvjT8YJDLQOpSbmnXEVzFwFFaU4U=";
};
- patches = [
- ./0001-avoid-use-hardcode-path.patch
- ];
-
- vendorHash = "sha256-5BEOazAygYL1N+CaGAbUwdpHZ1EiHr6yNW27/bXNdZg=";
+ vendorHash = "sha256-Y81p3yPQayXbvyUI7N6PvFDO3hSU3SL0AuUKxvZkZNE=";
postPatch = ''
- substituteInPlace display/manager.go session.go \
+ substituteInPlace display/manager.go \
--replace "/bin/bash" "${runtimeShell}"
substituteInPlace misc/systemd_task/dde-display-task-refresh-brightness.service \
--replace "/usr/bin/dbus-send" "${dbus}/bin/dbus-send"
- substituteInPlace display/manager.go utils.go session.go \
+ substituteInPlace display/manager.go \
--replace "/usr/lib/deepin-daemon" "/run/current-system/sw/lib/deepin-daemon"
substituteInPlace misc/lightdm.conf --replace "/usr" "$out"
diff --git a/pkgs/desktops/deepin/library/dtkcommon/default.nix b/pkgs/desktops/deepin/library/dtkcommon/default.nix
index b3aa4481c9b8..1a55d6855ec9 100644
--- a/pkgs/desktops/deepin/library/dtkcommon/default.nix
+++ b/pkgs/desktops/deepin/library/dtkcommon/default.nix
@@ -6,13 +6,13 @@
stdenv.mkDerivation rec {
pname = "dtkcommon";
- version = "5.6.17";
+ version = "5.6.21";
src = fetchFromGitHub {
owner = "linuxdeepin";
repo = pname;
rev = version;
- hash = "sha256-mquBuF+Gzq5txxCczeS+gI8LshdKnK5WnpOCytNki+w=";
+ hash = "sha256-wRTzgvtmbGJJaIwi1f5m98K2o6g7yZdnKYR1nsDDwk8=";
};
nativeBuildInputs = [
diff --git a/pkgs/desktops/deepin/library/dtkcore/default.nix b/pkgs/desktops/deepin/library/dtkcore/default.nix
index f13715535e09..ba921fd6eef7 100644
--- a/pkgs/desktops/deepin/library/dtkcore/default.nix
+++ b/pkgs/desktops/deepin/library/dtkcore/default.nix
@@ -18,13 +18,13 @@
stdenv.mkDerivation rec {
pname = "dtkcore";
- version = "5.6.17";
+ version = "5.6.22";
src = fetchFromGitHub {
owner = "linuxdeepin";
repo = pname;
rev = version;
- hash = "sha256-/MGSvT8tPn+KqqlM6FY2iFsArmAkYMW5Q3Sl4g4zvH0=";
+ hash = "sha256-W8uLNWC8bYzrKrX/hq9p1h66dWrxp4Vt+/27zDJeAS4=";
};
patches = [
diff --git a/pkgs/desktops/deepin/library/dtkdeclarative/default.nix b/pkgs/desktops/deepin/library/dtkdeclarative/default.nix
index 511a3950842e..32e499ad242a 100644
--- a/pkgs/desktops/deepin/library/dtkdeclarative/default.nix
+++ b/pkgs/desktops/deepin/library/dtkdeclarative/default.nix
@@ -15,13 +15,13 @@
stdenv.mkDerivation rec {
pname = "dtkdeclarative";
- version = "5.6.17";
+ version = "5.6.24";
src = fetchFromGitHub {
owner = "linuxdeepin";
repo = pname;
rev = version;
- hash = "sha256-P0F6GidGp+CkNplKnLiaYVtcxs6N66gGIx6UcplEt08=";
+ hash = "sha256-bGy8e+JAyHiAwWvO5Xz1TubHUDP4i4nWUR4h5/appM0=";
};
patches = [
@@ -64,6 +64,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A widget development toolkit based on QtQuick/QtQml";
+ mainProgram = "dtk-exhibition";
homepage = "https://github.com/linuxdeepin/dtkdeclarative";
license = licenses.lgpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/deepin/library/dtkgui/default.nix b/pkgs/desktops/deepin/library/dtkgui/default.nix
index 7d21911be6b1..9066a3298574 100644
--- a/pkgs/desktops/deepin/library/dtkgui/default.nix
+++ b/pkgs/desktops/deepin/library/dtkgui/default.nix
@@ -1,7 +1,6 @@
{ stdenv
, lib
, fetchFromGitHub
-, fetchpatch
, pkg-config
, cmake
, qttools
@@ -12,30 +11,22 @@
, qtimageformats
, lxqt
, librsvg
-, freeimage
-, libraw
}:
stdenv.mkDerivation rec {
pname = "dtkgui";
- version = "5.6.17";
+ version = "5.6.22";
src = fetchFromGitHub {
owner = "linuxdeepin";
repo = pname;
rev = version;
- hash = "sha256-ssCVMFCE1vhucYMxXkEZV5YlFxT1JdYGqrzILhWX1XI=";
+ hash = "sha256-h3DFG6FaJXP9o9u8R31MtX3Z1+P3DrNDT8Xbd8tlI4Y=";
};
patches = [
./fix-pkgconfig-path.patch
./fix-pri-path.patch
-
- (fetchpatch {
- name = "fix_svg_with_filter_attribute_rendering_exception.patch";
- url = "https://github.com/linuxdeepin/dtkgui/commit/f2c9327eb4989ab8ea96af7560c67d1cada794de.patch";
- hash = "sha256-lfg09tgS4vPuYachRbHdaMYKWdZZ0lP0Hxakkr9JKGs=";
- })
];
nativeBuildInputs = [
@@ -50,8 +41,6 @@ stdenv.mkDerivation rec {
qtbase
lxqt.libqtxdg
librsvg
- freeimage
- libraw
];
propagatedBuildInputs = [
diff --git a/pkgs/desktops/deepin/library/dtkwidget/default.nix b/pkgs/desktops/deepin/library/dtkwidget/default.nix
index b646baa48c3c..59c1ae7be856 100644
--- a/pkgs/desktops/deepin/library/dtkwidget/default.nix
+++ b/pkgs/desktops/deepin/library/dtkwidget/default.nix
@@ -20,13 +20,13 @@
stdenv.mkDerivation rec {
pname = "dtkwidget";
- version = "5.6.17";
+ version = "5.6.22";
src = fetchFromGitHub {
owner = "linuxdeepin";
repo = pname;
rev = version;
- hash = "sha256-oFmM0e7ht3lCL50pwS/v/BLFmT2jymQaUZ4SmLdxvMo=";
+ hash = "sha256-szy1gPm+PsiUXGvo5QuXKYMVPCcaqVX47iu48WXOjWU=";
};
patches = [
diff --git a/pkgs/desktops/deepin/library/image-editor/default.nix b/pkgs/desktops/deepin/library/image-editor/default.nix
index e969c2137ef1..4a9c35b1aece 100644
--- a/pkgs/desktops/deepin/library/image-editor/default.nix
+++ b/pkgs/desktops/deepin/library/image-editor/default.nix
@@ -15,13 +15,13 @@
stdenv.mkDerivation rec {
pname = "image-editor";
- version = "1.0.35";
+ version = "1.0.41";
src = fetchFromGitHub {
owner = "linuxdeepin";
repo = pname;
rev = version;
- hash = "sha256-Xr4tueipQbRHyKLStTWeUcVbX7Baiz0YooaaVk65Y+U=";
+ hash = "sha256-9V9B0YSUTWv/4IbTRtKJSVrZx6j8jqJxIIR9TwUZ0U0=";
};
postPatch = ''
diff --git a/pkgs/desktops/deepin/library/qt5integration/default.nix b/pkgs/desktops/deepin/library/qt5integration/default.nix
index f5962e7760e4..e8e3b4d8e146 100644
--- a/pkgs/desktops/deepin/library/qt5integration/default.nix
+++ b/pkgs/desktops/deepin/library/qt5integration/default.nix
@@ -15,13 +15,13 @@
stdenv.mkDerivation rec {
pname = "qt5integration";
- version = "5.6.17";
+ version = "5.6.20";
src = fetchFromGitHub {
owner = "linuxdeepin";
repo = pname;
rev = version;
- hash = "sha256-8ag/cFkjp5u/0/71xKR6z6dXp2NGRIYNNbzzEmgsDmc=";
+ hash = "sha256-cmvscSIu3LOTKuMs/+JUdJAvQ7OB4o1k+LqfRxNefZU=";
};
nativeBuildInputs = [
diff --git a/pkgs/desktops/deepin/library/qt5platform-plugins/default.nix b/pkgs/desktops/deepin/library/qt5platform-plugins/default.nix
index 87d570ac011e..11a342e217fc 100644
--- a/pkgs/desktops/deepin/library/qt5platform-plugins/default.nix
+++ b/pkgs/desktops/deepin/library/qt5platform-plugins/default.nix
@@ -1,7 +1,6 @@
{ stdenv
, lib
, fetchFromGitHub
-, fetchpatch
, cmake
, extra-cmake-modules
, pkg-config
@@ -18,13 +17,13 @@
stdenv.mkDerivation rec {
pname = "qt5platform-plugins";
- version = "5.6.16";
+ version = "5.6.22";
src = fetchFromGitHub {
owner = "linuxdeepin";
repo = pname;
rev = version;
- hash = "sha256-1/biT8wR44+sdOMhBW/8KMUSBDK/UxuEqsyjTZyjBT4=";
+ hash = "sha256-0XQ4s6xpFHoG6SC8RE8WVnbHH7qNeOYkhrYUkDEH8Dc=";
};
nativeBuildInputs = [
@@ -45,14 +44,6 @@ stdenv.mkDerivation rec {
qtwayland
];
- patches = [
- (fetchpatch {
- name = "use-ECM-to-help-dwayland-find-wayland.patch";
- url = "https://github.com/linuxdeepin/qt5platform-plugins/commit/d7f6230716a0ff5ce34fc7d292ec0af5bbac30e4.patch";
- hash = "sha256-RY2+QBR3OjUGBX4Y9oVvIRY90IH9rTOCg8dCddkB2WE=";
- })
- ];
-
cmakeFlags = [
"-DINSTALL_PATH=${placeholder "out"}/${qtbase.qtPluginPrefix}/platforms"
"-DQT_XCB_PRIVATE_HEADERS=${qtbase.src}/src/plugins/platforms/xcb"
diff --git a/pkgs/desktops/deepin/library/util-dfm/default.nix b/pkgs/desktops/deepin/library/util-dfm/default.nix
index 0d9bda407861..e7576f7169f8 100644
--- a/pkgs/desktops/deepin/library/util-dfm/default.nix
+++ b/pkgs/desktops/deepin/library/util-dfm/default.nix
@@ -13,13 +13,13 @@
stdenv.mkDerivation rec {
pname = "util-dfm";
- version = "1.2.16";
+ version = "1.2.21";
src = fetchFromGitHub {
owner = "linuxdeepin";
repo = pname;
rev = version;
- hash = "sha256-o5ubfCpgAHJXqihGyapq7Dj9eQlw2q6VoER/e37tM6w=";
+ hash = "sha256-EqNca3heIUUV5joMskpriCY+7NwjUc/vmQwomDkru80=";
};
nativeBuildInputs = [
diff --git a/pkgs/desktops/deepin/misc/deepin-desktop-base/default.nix b/pkgs/desktops/deepin/misc/deepin-desktop-base/default.nix
index 50fa2c6e72e7..ae1120032450 100644
--- a/pkgs/desktops/deepin/misc/deepin-desktop-base/default.nix
+++ b/pkgs/desktops/deepin/misc/deepin-desktop-base/default.nix
@@ -5,13 +5,13 @@
}:
stdenvNoCC.mkDerivation rec {
pname = "deepin-desktop-base";
- version = "2023.09.05";
+ version = "2024.01.03";
src = fetchFromGitHub {
owner = "linuxdeepin";
repo = pname;
rev = version;
- hash = "sha256-Gqp56TbkuTOI3aT7UmRuYBjUwRiOoIUHiRf0DaY0yew=";
+ hash = "sha256-45qR1LCnA8ZSrWykqNvvm7Dxbi5pQnAuFy6nZJrzyi0=";
};
makeFlags = [ "DESTDIR=${placeholder "out"}" ];
diff --git a/pkgs/desktops/deepin/tools/dde-device-formatter/default.nix b/pkgs/desktops/deepin/tools/dde-device-formatter/default.nix
index 7bc12672472d..f090c6feefa8 100644
--- a/pkgs/desktops/deepin/tools/dde-device-formatter/default.nix
+++ b/pkgs/desktops/deepin/tools/dde-device-formatter/default.nix
@@ -55,6 +55,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A simple graphical interface for creating file system in a block device";
+ mainProgram = "dde-device-formatter";
homepage = "https://github.com/linuxdeepin/dde-device-formatter";
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/enlightenment/econnman/default.nix b/pkgs/desktops/enlightenment/econnman/default.nix
index 228957de4ad1..c71f28576055 100644
--- a/pkgs/desktops/enlightenment/econnman/default.nix
+++ b/pkgs/desktops/enlightenment/econnman/default.nix
@@ -43,6 +43,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A user interface for the connman network connection manager";
+ mainProgram = "econnman-bin";
homepage = "https://enlightenment.org/";
license = licenses.lgpl3;
platforms = platforms.linux;
diff --git a/pkgs/desktops/enlightenment/ecrire/default.nix b/pkgs/desktops/enlightenment/ecrire/default.nix
index 25238ca59ab7..3f511c3a79d0 100644
--- a/pkgs/desktops/enlightenment/ecrire/default.nix
+++ b/pkgs/desktops/enlightenment/ecrire/default.nix
@@ -31,6 +31,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "EFL simple text editor";
+ mainProgram = "ecrire";
homepage = "https://www.enlightenment.org/";
license = licenses.gpl3Only;
platforms = platforms.linux;
diff --git a/pkgs/desktops/enlightenment/ephoto/default.nix b/pkgs/desktops/enlightenment/ephoto/default.nix
index c7681bfe0e47..1fff72fdb7bd 100644
--- a/pkgs/desktops/enlightenment/ephoto/default.nix
+++ b/pkgs/desktops/enlightenment/ephoto/default.nix
@@ -31,6 +31,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Image viewer and editor written using the Enlightenment Foundation Libraries";
+ mainProgram = "ephoto";
homepage = "https://www.smhouston.us/ephoto/";
license = licenses.bsd2;
platforms = platforms.linux;
diff --git a/pkgs/desktops/enlightenment/evisum/default.nix b/pkgs/desktops/enlightenment/evisum/default.nix
index 438b68902813..af38f526f5ad 100644
--- a/pkgs/desktops/enlightenment/evisum/default.nix
+++ b/pkgs/desktops/enlightenment/evisum/default.nix
@@ -31,6 +31,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "System and process monitor written with EFL";
+ mainProgram = "evisum";
homepage = "https://www.enlightenment.org";
license = with licenses; [ isc ];
platforms = platforms.linux;
diff --git a/pkgs/desktops/enlightenment/rage/default.nix b/pkgs/desktops/enlightenment/rage/default.nix
index 814887491438..2ed7384ca0e0 100644
--- a/pkgs/desktops/enlightenment/rage/default.nix
+++ b/pkgs/desktops/enlightenment/rage/default.nix
@@ -39,6 +39,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Video and audio player along the lines of mplayer";
+ mainProgram = "rage";
homepage = "https://enlightenment.org/";
license = licenses.bsd2;
platforms = platforms.linux;
diff --git a/pkgs/desktops/expidus/calculator/default.nix b/pkgs/desktops/expidus/calculator/default.nix
new file mode 100644
index 000000000000..fb2ab188922a
--- /dev/null
+++ b/pkgs/desktops/expidus/calculator/default.nix
@@ -0,0 +1,50 @@
+{ lib, flutter, fetchFromGitHub }:
+flutter.buildFlutterApplication rec {
+ pname = "expidus-calculator";
+ version = "0.1.1-alpha";
+
+ src = fetchFromGitHub {
+ owner = "ExpidusOS";
+ repo = "calculator";
+ rev = version;
+ hash = "sha256-O3LHp10Fo3PW3zoN7mFSQEKh+AAaR+IqkRtc6nQrIZE=";
+ };
+
+ flutterBuildFlags = [
+ "--dart-define=COMMIT_HASH=a5d8f54404b9994f83beb367a1cd11e04a6420cb"
+ ];
+
+ pubspecLock = lib.importJSON ./pubspec.lock.json;
+
+ gitHashes = {
+ libtokyo = "sha256-T0+vyfSfijLv7MvM+zt3bkVpb3aVrlDnse2xyNMp9GU=";
+ libtokyo_flutter = "sha256-T0+vyfSfijLv7MvM+zt3bkVpb3aVrlDnse2xyNMp9GU=";
+ };
+
+ postInstall = ''
+ rm $out/bin/calculator
+ ln -s $out/app/calculator $out/bin/expidus-calculator
+
+ mkdir -p $out/share/applications
+ mv $out/app/data/com.expidusos.calculator.desktop $out/share/applications
+
+ mkdir -p $out/share/icons
+ mv $out/app/data/com.expidusos.calculator.png $out/share/icons
+
+ mkdir -p $out/share/metainfo
+ mv $out/app/data/com.expidusos.calculator.metainfo.xml $out/share/metainfo
+
+ substituteInPlace "$out/share/applications/com.expidusos.calculator.desktop" \
+ --replace "Exec=calculator" "Exec=$out/bin/expidus-calculator" \
+ --replace "Icon=com.expidusos.calculator" "Icon=$out/share/icons/com.expidusos.calculator.png"
+ '';
+
+ meta = with lib; {
+ description = "ExpidusOS Calculator";
+ homepage = "https://expidusos.com";
+ license = licenses.gpl3Only;
+ maintainers = with maintainers; [ RossComputerGuy ];
+ platforms = [ "x86_64-linux" "aarch64-linux" ];
+ mainProgram = "expidus-calculator";
+ };
+}
diff --git a/pkgs/desktops/expidus/calculator/pubspec.lock.json b/pkgs/desktops/expidus/calculator/pubspec.lock.json
new file mode 100644
index 000000000000..a2e6f2e46776
--- /dev/null
+++ b/pkgs/desktops/expidus/calculator/pubspec.lock.json
@@ -0,0 +1,790 @@
+{
+ "packages": {
+ "args": {
+ "dependency": "transitive",
+ "description": {
+ "name": "args",
+ "sha256": "eef6c46b622e0494a36c5a12d10d77fb4e855501a91c1b9ef9339326e58f0596",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.4.2"
+ },
+ "async": {
+ "dependency": "transitive",
+ "description": {
+ "name": "async",
+ "sha256": "947bfcf187f74dbc5e146c9eb9c0f10c9f8b30743e341481c1e2ed3ecc18c20c",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.11.0"
+ },
+ "bitsdojo_window": {
+ "dependency": "direct main",
+ "description": {
+ "name": "bitsdojo_window",
+ "sha256": "1118bc1cd16e6f358431ca4473af57cc1b287d2ceab46dfab6d59a9463160622",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.1.5"
+ },
+ "bitsdojo_window_linux": {
+ "dependency": "transitive",
+ "description": {
+ "name": "bitsdojo_window_linux",
+ "sha256": "d3804a30315fcbb43b28acc86d1180ce0be22c0c738ad2da9e5ade4d8dbd9655",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.1.3"
+ },
+ "bitsdojo_window_macos": {
+ "dependency": "transitive",
+ "description": {
+ "name": "bitsdojo_window_macos",
+ "sha256": "d2a9886c74516c5b84c1dd65ab8ee5d1c52055b265ebf0e7d664dee28366b521",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.1.3"
+ },
+ "bitsdojo_window_platform_interface": {
+ "dependency": "transitive",
+ "description": {
+ "name": "bitsdojo_window_platform_interface",
+ "sha256": "65daa015a0c6dba749bdd35a0f092e7a8ba8b0766aa0480eb3ef808086f6e27c",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.1.2"
+ },
+ "bitsdojo_window_windows": {
+ "dependency": "transitive",
+ "description": {
+ "name": "bitsdojo_window_windows",
+ "sha256": "8766a40aac84a6d7bdcaa716b24997e028fc9a9a1800495fc031721fd5a22ed0",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.1.5"
+ },
+ "boolean_selector": {
+ "dependency": "transitive",
+ "description": {
+ "name": "boolean_selector",
+ "sha256": "6cfb5af12253eaf2b368f07bacc5a80d1301a071c73360d746b7f2e32d762c66",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.1.1"
+ },
+ "characters": {
+ "dependency": "transitive",
+ "description": {
+ "name": "characters",
+ "sha256": "04a925763edad70e8443c99234dc3328f442e811f1d8fd1a72f1c8ad0f69a605",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.3.0"
+ },
+ "clock": {
+ "dependency": "transitive",
+ "description": {
+ "name": "clock",
+ "sha256": "cb6d7f03e1de671e34607e909a7213e31d7752be4fb66a86d29fe1eb14bfb5cf",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.1.1"
+ },
+ "collection": {
+ "dependency": "transitive",
+ "description": {
+ "name": "collection",
+ "sha256": "4a07be6cb69c84d677a6c3096fcf960cc3285a8330b4603e0d463d15d9bd934c",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.17.1"
+ },
+ "crypto": {
+ "dependency": "transitive",
+ "description": {
+ "name": "crypto",
+ "sha256": "ff625774173754681d66daaf4a448684fb04b78f902da9cb3d308c19cc5e8bab",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.0.3"
+ },
+ "fake_async": {
+ "dependency": "transitive",
+ "description": {
+ "name": "fake_async",
+ "sha256": "511392330127add0b769b75a987850d136345d9227c6b94c96a04cf4a391bf78",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.3.1"
+ },
+ "ffi": {
+ "dependency": "transitive",
+ "description": {
+ "name": "ffi",
+ "sha256": "7bf0adc28a23d395f19f3f1eb21dd7cfd1dd9f8e1c50051c069122e6853bc878",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.1.0"
+ },
+ "file": {
+ "dependency": "transitive",
+ "description": {
+ "name": "file",
+ "sha256": "1b92bec4fc2a72f59a8e15af5f52cd441e4a7860b49499d69dfa817af20e925d",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "6.1.4"
+ },
+ "filesize": {
+ "dependency": "transitive",
+ "description": {
+ "name": "filesize",
+ "sha256": "f53df1f27ff60e466eefcd9df239e02d4722d5e2debee92a87dfd99ac66de2af",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.0.1"
+ },
+ "flutter": {
+ "dependency": "direct main",
+ "description": "flutter",
+ "source": "sdk",
+ "version": "0.0.0"
+ },
+ "flutter_adaptive_scaffold": {
+ "dependency": "direct main",
+ "description": {
+ "name": "flutter_adaptive_scaffold",
+ "sha256": "3e78be8b9c95b1c9832b2f8ec4a845adac205c4bb5e7bd3fb204b07990229167",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.1.7+1"
+ },
+ "flutter_lints": {
+ "dependency": "direct dev",
+ "description": {
+ "name": "flutter_lints",
+ "sha256": "a25a15ebbdfc33ab1cd26c63a6ee519df92338a9c10f122adda92938253bef04",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.0.3"
+ },
+ "flutter_localizations": {
+ "dependency": "direct main",
+ "description": "flutter",
+ "source": "sdk",
+ "version": "0.0.0"
+ },
+ "flutter_markdown": {
+ "dependency": "direct main",
+ "description": {
+ "name": "flutter_markdown",
+ "sha256": "d4a1cb250c4e059586af0235f32e02882860a508e189b61f2b31b8810c1e1330",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.6.17+2"
+ },
+ "flutter_test": {
+ "dependency": "direct dev",
+ "description": "flutter",
+ "source": "sdk",
+ "version": "0.0.0"
+ },
+ "flutter_web_plugins": {
+ "dependency": "transitive",
+ "description": "flutter",
+ "source": "sdk",
+ "version": "0.0.0"
+ },
+ "http": {
+ "dependency": "transitive",
+ "description": {
+ "name": "http",
+ "sha256": "5895291c13fa8a3bd82e76d5627f69e0d85ca6a30dcac95c4ea19a5d555879c2",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.13.6"
+ },
+ "http_parser": {
+ "dependency": "transitive",
+ "description": {
+ "name": "http_parser",
+ "sha256": "2aa08ce0341cc9b354a498388e30986515406668dbcc4f7c950c3e715496693b",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "4.0.2"
+ },
+ "intl": {
+ "dependency": "transitive",
+ "description": {
+ "name": "intl",
+ "sha256": "a3715e3bc90294e971cb7dc063fbf3cd9ee0ebf8604ffeafabd9e6f16abbdbe6",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.18.0"
+ },
+ "js": {
+ "dependency": "transitive",
+ "description": {
+ "name": "js",
+ "sha256": "f2c445dce49627136094980615a031419f7f3eb393237e4ecd97ac15dea343f3",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.6.7"
+ },
+ "libtokyo": {
+ "dependency": "direct main",
+ "description": {
+ "path": "packages/libtokyo",
+ "ref": "f48d528ebfc22fe827fe9f2d1965be1d339ccfb7",
+ "resolved-ref": "f48d528ebfc22fe827fe9f2d1965be1d339ccfb7",
+ "url": "https://github.com/ExpidusOS/libtokyo.git"
+ },
+ "source": "git",
+ "version": "0.1.0"
+ },
+ "libtokyo_flutter": {
+ "dependency": "direct main",
+ "description": {
+ "path": "packages/libtokyo_flutter",
+ "ref": "f48d528ebfc22fe827fe9f2d1965be1d339ccfb7",
+ "resolved-ref": "f48d528ebfc22fe827fe9f2d1965be1d339ccfb7",
+ "url": "https://github.com/ExpidusOS/libtokyo.git"
+ },
+ "source": "git",
+ "version": "0.1.0"
+ },
+ "lints": {
+ "dependency": "transitive",
+ "description": {
+ "name": "lints",
+ "sha256": "0a217c6c989d21039f1498c3ed9f3ed71b354e69873f13a8dfc3c9fe76f1b452",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.1.1"
+ },
+ "markdown": {
+ "dependency": "direct main",
+ "description": {
+ "name": "markdown",
+ "sha256": "acf35edccc0463a9d7384e437c015a3535772e09714cf60e07eeef3a15870dcd",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "7.1.1"
+ },
+ "matcher": {
+ "dependency": "transitive",
+ "description": {
+ "name": "matcher",
+ "sha256": "6501fbd55da300384b768785b83e5ce66991266cec21af89ab9ae7f5ce1c4cbb",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.12.15"
+ },
+ "material_color_utilities": {
+ "dependency": "transitive",
+ "description": {
+ "name": "material_color_utilities",
+ "sha256": "d92141dc6fe1dad30722f9aa826c7fbc896d021d792f80678280601aff8cf724",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.2.0"
+ },
+ "material_theme_builder": {
+ "dependency": "transitive",
+ "description": {
+ "name": "material_theme_builder",
+ "sha256": "380ab70835e01f4ee0c37904eebae9e36ed37b5cf8ed40d67412ea3244a2afd6",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.0.4"
+ },
+ "math_expressions": {
+ "dependency": "direct main",
+ "description": {
+ "name": "math_expressions",
+ "sha256": "3576593617c3870d75728a751f6ec6e606706d44e363f088ac394b5a28a98064",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.4.0"
+ },
+ "meta": {
+ "dependency": "transitive",
+ "description": {
+ "name": "meta",
+ "sha256": "3c74dbf8763d36539f114c799d8a2d87343b5067e9d796ca22b5eb8437090ee3",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.9.1"
+ },
+ "nested": {
+ "dependency": "transitive",
+ "description": {
+ "name": "nested",
+ "sha256": "03bac4c528c64c95c722ec99280375a6f2fc708eec17c7b3f07253b626cd2a20",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.0.0"
+ },
+ "package_info_plus": {
+ "dependency": "direct main",
+ "description": {
+ "name": "package_info_plus",
+ "sha256": "10259b111176fba5c505b102e3a5b022b51dd97e30522e906d6922c745584745",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.1.2"
+ },
+ "package_info_plus_platform_interface": {
+ "dependency": "transitive",
+ "description": {
+ "name": "package_info_plus_platform_interface",
+ "sha256": "9bc8ba46813a4cc42c66ab781470711781940780fd8beddd0c3da62506d3a6c6",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.0.1"
+ },
+ "path": {
+ "dependency": "transitive",
+ "description": {
+ "name": "path",
+ "sha256": "8829d8a55c13fc0e37127c29fedf290c102f4e40ae94ada574091fe0ff96c917",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.8.3"
+ },
+ "path_provider_linux": {
+ "dependency": "transitive",
+ "description": {
+ "name": "path_provider_linux",
+ "sha256": "f7a1fe3a634fe7734c8d3f2766ad746ae2a2884abe22e241a8b301bf5cac3279",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.2.1"
+ },
+ "path_provider_platform_interface": {
+ "dependency": "transitive",
+ "description": {
+ "name": "path_provider_platform_interface",
+ "sha256": "94b1e0dd80970c1ce43d5d4e050a9918fce4f4a775e6142424c30a29a363265c",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.1.1"
+ },
+ "path_provider_windows": {
+ "dependency": "transitive",
+ "description": {
+ "name": "path_provider_windows",
+ "sha256": "8bc9f22eee8690981c22aa7fc602f5c85b497a6fb2ceb35ee5a5e5ed85ad8170",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.2.1"
+ },
+ "platform": {
+ "dependency": "transitive",
+ "description": {
+ "name": "platform",
+ "sha256": "ae68c7bfcd7383af3629daafb32fb4e8681c7154428da4febcff06200585f102",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.1.2"
+ },
+ "plugin_platform_interface": {
+ "dependency": "transitive",
+ "description": {
+ "name": "plugin_platform_interface",
+ "sha256": "da3fdfeccc4d4ff2da8f8c556704c08f912542c5fb3cf2233ed75372384a034d",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.1.6"
+ },
+ "provider": {
+ "dependency": "direct main",
+ "description": {
+ "name": "provider",
+ "sha256": "cdbe7530b12ecd9eb455bdaa2fcb8d4dad22e80b8afb4798b41479d5ce26847f",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "6.0.5"
+ },
+ "pub_semver": {
+ "dependency": "direct main",
+ "description": {
+ "name": "pub_semver",
+ "sha256": "40d3ab1bbd474c4c2328c91e3a7df8c6dd629b79ece4c4bd04bee496a224fb0c",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.1.4"
+ },
+ "pubspec": {
+ "dependency": "direct main",
+ "description": {
+ "name": "pubspec",
+ "sha256": "f534a50a2b4d48dc3bc0ec147c8bd7c304280fff23b153f3f11803c4d49d927e",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.3.0"
+ },
+ "quiver": {
+ "dependency": "transitive",
+ "description": {
+ "name": "quiver",
+ "sha256": "b1c1ac5ce6688d77f65f3375a9abb9319b3cb32486bdc7a1e0fdf004d7ba4e47",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.2.1"
+ },
+ "sentry": {
+ "dependency": "transitive",
+ "description": {
+ "name": "sentry",
+ "sha256": "39c23342fc96105da449914f7774139a17a0ca8a4e70d9ad5200171f7e47d6ba",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "7.9.0"
+ },
+ "sentry_flutter": {
+ "dependency": "direct main",
+ "description": {
+ "name": "sentry_flutter",
+ "sha256": "ff68ab31918690da004a42e20204242a3ad9ad57da7e2712da8487060ac9767f",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "7.9.0"
+ },
+ "shared_preferences": {
+ "dependency": "direct main",
+ "description": {
+ "name": "shared_preferences",
+ "sha256": "b7f41bad7e521d205998772545de63ff4e6c97714775902c199353f8bf1511ac",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.2.1"
+ },
+ "shared_preferences_android": {
+ "dependency": "transitive",
+ "description": {
+ "name": "shared_preferences_android",
+ "sha256": "8568a389334b6e83415b6aae55378e158fbc2314e074983362d20c562780fb06",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.2.1"
+ },
+ "shared_preferences_foundation": {
+ "dependency": "transitive",
+ "description": {
+ "name": "shared_preferences_foundation",
+ "sha256": "7bf53a9f2d007329ee6f3df7268fd498f8373602f943c975598bbb34649b62a7",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.3.4"
+ },
+ "shared_preferences_linux": {
+ "dependency": "transitive",
+ "description": {
+ "name": "shared_preferences_linux",
+ "sha256": "c2eb5bf57a2fe9ad6988121609e47d3e07bb3bdca5b6f8444e4cf302428a128a",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.3.1"
+ },
+ "shared_preferences_platform_interface": {
+ "dependency": "transitive",
+ "description": {
+ "name": "shared_preferences_platform_interface",
+ "sha256": "d4ec5fc9ebb2f2e056c617112aa75dcf92fc2e4faaf2ae999caa297473f75d8a",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.3.1"
+ },
+ "shared_preferences_web": {
+ "dependency": "transitive",
+ "description": {
+ "name": "shared_preferences_web",
+ "sha256": "d762709c2bbe80626ecc819143013cc820fa49ca5e363620ee20a8b15a3e3daf",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.2.1"
+ },
+ "shared_preferences_windows": {
+ "dependency": "transitive",
+ "description": {
+ "name": "shared_preferences_windows",
+ "sha256": "f763a101313bd3be87edffe0560037500967de9c394a714cd598d945517f694f",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.3.1"
+ },
+ "sky_engine": {
+ "dependency": "transitive",
+ "description": "flutter",
+ "source": "sdk",
+ "version": "0.0.99"
+ },
+ "source_span": {
+ "dependency": "transitive",
+ "description": {
+ "name": "source_span",
+ "sha256": "dd904f795d4b4f3b870833847c461801f6750a9fa8e61ea5ac53f9422b31f250",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.9.1"
+ },
+ "stack_trace": {
+ "dependency": "transitive",
+ "description": {
+ "name": "stack_trace",
+ "sha256": "c3c7d8edb15bee7f0f74debd4b9c5f3c2ea86766fe4178eb2a18eb30a0bdaed5",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.11.0"
+ },
+ "stream_channel": {
+ "dependency": "transitive",
+ "description": {
+ "name": "stream_channel",
+ "sha256": "83615bee9045c1d322bbbd1ba209b7a749c2cbcdcb3fdd1df8eb488b3279c1c8",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.1.1"
+ },
+ "string_scanner": {
+ "dependency": "transitive",
+ "description": {
+ "name": "string_scanner",
+ "sha256": "556692adab6cfa87322a115640c11f13cb77b3f076ddcc5d6ae3c20242bedcde",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.2.0"
+ },
+ "term_glyph": {
+ "dependency": "transitive",
+ "description": {
+ "name": "term_glyph",
+ "sha256": "a29248a84fbb7c79282b40b8c72a1209db169a2e0542bce341da992fe1bc7e84",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.2.1"
+ },
+ "test_api": {
+ "dependency": "transitive",
+ "description": {
+ "name": "test_api",
+ "sha256": "eb6ac1540b26de412b3403a163d919ba86f6a973fe6cc50ae3541b80092fdcfb",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.5.1"
+ },
+ "typed_data": {
+ "dependency": "transitive",
+ "description": {
+ "name": "typed_data",
+ "sha256": "facc8d6582f16042dd49f2463ff1bd6e2c9ef9f3d5da3d9b087e244a7b564b3c",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.3.2"
+ },
+ "uri": {
+ "dependency": "transitive",
+ "description": {
+ "name": "uri",
+ "sha256": "889eea21e953187c6099802b7b4cf5219ba8f3518f604a1033064d45b1b8268a",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.0.0"
+ },
+ "url_launcher": {
+ "dependency": "direct main",
+ "description": {
+ "name": "url_launcher",
+ "sha256": "47e208a6711459d813ba18af120d9663c20bdf6985d6ad39fe165d2538378d27",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "6.1.14"
+ },
+ "url_launcher_android": {
+ "dependency": "transitive",
+ "description": {
+ "name": "url_launcher_android",
+ "sha256": "b04af59516ab45762b2ca6da40fa830d72d0f6045cd97744450b73493fa76330",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "6.1.0"
+ },
+ "url_launcher_ios": {
+ "dependency": "transitive",
+ "description": {
+ "name": "url_launcher_ios",
+ "sha256": "7c65021d5dee51813d652357bc65b8dd4a6177082a9966bc8ba6ee477baa795f",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "6.1.5"
+ },
+ "url_launcher_linux": {
+ "dependency": "transitive",
+ "description": {
+ "name": "url_launcher_linux",
+ "sha256": "b651aad005e0cb06a01dbd84b428a301916dc75f0e7ea6165f80057fee2d8e8e",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.0.6"
+ },
+ "url_launcher_macos": {
+ "dependency": "transitive",
+ "description": {
+ "name": "url_launcher_macos",
+ "sha256": "b55486791f666e62e0e8ff825e58a023fd6b1f71c49926483f1128d3bbd8fe88",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.0.7"
+ },
+ "url_launcher_platform_interface": {
+ "dependency": "transitive",
+ "description": {
+ "name": "url_launcher_platform_interface",
+ "sha256": "95465b39f83bfe95fcb9d174829d6476216f2d548b79c38ab2506e0458787618",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.1.5"
+ },
+ "url_launcher_web": {
+ "dependency": "transitive",
+ "description": {
+ "name": "url_launcher_web",
+ "sha256": "ba140138558fcc3eead51a1c42e92a9fb074a1b1149ed3c73e66035b2ccd94f2",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.0.19"
+ },
+ "url_launcher_windows": {
+ "dependency": "transitive",
+ "description": {
+ "name": "url_launcher_windows",
+ "sha256": "95fef3129dc7cfaba2bc3d5ba2e16063bb561fc6d78e63eee16162bc70029069",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.0.8"
+ },
+ "uuid": {
+ "dependency": "transitive",
+ "description": {
+ "name": "uuid",
+ "sha256": "648e103079f7c64a36dc7d39369cabb358d377078a051d6ae2ad3aa539519313",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.0.7"
+ },
+ "vector_math": {
+ "dependency": "transitive",
+ "description": {
+ "name": "vector_math",
+ "sha256": "80b3257d1492ce4d091729e3a67a60407d227c27241d6927be0130c98e741803",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.1.4"
+ },
+ "win32": {
+ "dependency": "transitive",
+ "description": {
+ "name": "win32",
+ "sha256": "a6f0236dbda0f63aa9a25ad1ff9a9d8a4eaaa5012da0dc59d21afdb1dc361ca4",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.1.4"
+ },
+ "xdg_directories": {
+ "dependency": "transitive",
+ "description": {
+ "name": "xdg_directories",
+ "sha256": "589ada45ba9e39405c198fe34eb0f607cddb2108527e658136120892beac46d2",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.0.3"
+ },
+ "yaml": {
+ "dependency": "transitive",
+ "description": {
+ "name": "yaml",
+ "sha256": "75769501ea3489fca56601ff33454fe45507ea3bfb014161abc3b43ae25989d5",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.1.2"
+ }
+ },
+ "sdks": {
+ "dart": ">=3.0.5 <4.0.0",
+ "flutter": ">=3.10.0"
+ }
+}
diff --git a/pkgs/desktops/expidus/default.nix b/pkgs/desktops/expidus/default.nix
index 770050ba2878..0ee812c03975 100644
--- a/pkgs/desktops/expidus/default.nix
+++ b/pkgs/desktops/expidus/default.nix
@@ -1,4 +1,5 @@
{ callPackage }:
{
+ calculator = callPackage ./calculator {};
file-manager = callPackage ./file-manager {};
}
diff --git a/pkgs/desktops/gnome-2/platform/libIDL/default.nix b/pkgs/desktops/gnome-2/platform/libIDL/default.nix
index c8c40de9d44c..81f8e6d08e17 100644
--- a/pkgs/desktops/gnome-2/platform/libIDL/default.nix
+++ b/pkgs/desktops/gnome-2/platform/libIDL/default.nix
@@ -20,4 +20,5 @@ stdenv.mkDerivation rec {
# the result was always ll https://lists.openembedded.org/g/openembedded-core/topic/85775262?p=%2C%2C%2C20%2C0%2C0%2C0%3A%3A%2C%2C%2C0%2C0%2C0%2C85775262
"libIDL_cv_long_long_format=ll"
];
+ meta.mainProgram = "libIDL-config-2";
}
diff --git a/pkgs/desktops/gnome-2/platform/libart_lgpl/default.nix b/pkgs/desktops/gnome-2/platform/libart_lgpl/default.nix
index 80ea3d02d939..5f21e59a4c52 100644
--- a/pkgs/desktops/gnome-2/platform/libart_lgpl/default.nix
+++ b/pkgs/desktops/gnome-2/platform/libart_lgpl/default.nix
@@ -7,4 +7,5 @@ stdenv.mkDerivation rec {
url = "mirror://gnome/sources/libart_lgpl/${lib.versions.majorMinor version}/libart_lgpl-${version}.tar.bz2";
sha256 = "1yknfkyzgz9s616is0l9gp5aray0f2ry4dw533jgzj8gq5s1xhgx";
};
+ meta.mainProgram = "libart2-config";
}
diff --git a/pkgs/desktops/gnome-2/platform/libgnome/default.nix b/pkgs/desktops/gnome-2/platform/libgnome/default.nix
index 56145980a5d2..c265b2ea6993 100644
--- a/pkgs/desktops/gnome-2/platform/libgnome/default.nix
+++ b/pkgs/desktops/gnome-2/platform/libgnome/default.nix
@@ -20,4 +20,5 @@ stdenv.mkDerivation rec {
nativeBuildInputs = [ pkg-config intltool ];
buildInputs = [ popt zlib GConf gnome_vfs libcanberra-gtk2 libtool ];
propagatedBuildInputs = [ glib libbonobo libogg ];
+ meta.mainProgram = "gnome-open";
}
diff --git a/pkgs/desktops/gnome/apps/accerciser/default.nix b/pkgs/desktops/gnome/apps/accerciser/default.nix
index 4e88c2779f2d..e486a3b283f1 100644
--- a/pkgs/desktops/gnome/apps/accerciser/default.nix
+++ b/pkgs/desktops/gnome/apps/accerciser/default.nix
@@ -70,6 +70,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Accerciser";
description = "Interactive Python accessibility explorer";
+ mainProgram = "accerciser";
maintainers = teams.gnome.members;
license = licenses.bsd3;
platforms = platforms.linux;
diff --git a/pkgs/desktops/gnome/apps/cheese/default.nix b/pkgs/desktops/gnome/apps/cheese/default.nix
index 8d041b551143..ce5d841c9dd8 100644
--- a/pkgs/desktops/gnome/apps/cheese/default.nix
+++ b/pkgs/desktops/gnome/apps/cheese/default.nix
@@ -100,6 +100,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Cheese";
description = "Take photos and videos with your webcam, with fun graphical effects";
+ mainProgram = "cheese";
maintainers = teams.gnome.members;
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/gnome/apps/ghex/default.nix b/pkgs/desktops/gnome/apps/ghex/default.nix
index 787f5c4f446e..b2abd36af212 100644
--- a/pkgs/desktops/gnome/apps/ghex/default.nix
+++ b/pkgs/desktops/gnome/apps/ghex/default.nix
@@ -79,6 +79,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Ghex";
description = "Hex editor for GNOME desktop environment";
+ mainProgram = "ghex";
platforms = platforms.linux;
license = licenses.gpl2Plus;
maintainers = teams.gnome.members;
diff --git a/pkgs/desktops/gnome/apps/gnome-boxes/default.nix b/pkgs/desktops/gnome/apps/gnome-boxes/default.nix
index cac407d971af..24b889fb58c3 100644
--- a/pkgs/desktops/gnome/apps/gnome-boxes/default.nix
+++ b/pkgs/desktops/gnome/apps/gnome-boxes/default.nix
@@ -129,6 +129,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Simple GNOME 3 application to access remote or virtual systems";
+ mainProgram = "gnome-boxes";
homepage = "https://wiki.gnome.org/Apps/Boxes";
license = licenses.lgpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/gnome/apps/gnome-calendar/default.nix b/pkgs/desktops/gnome/apps/gnome-calendar/default.nix
index 410c631583e2..78829cfd74e2 100644
--- a/pkgs/desktops/gnome/apps/gnome-calendar/default.nix
+++ b/pkgs/desktops/gnome/apps/gnome-calendar/default.nix
@@ -59,6 +59,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Calendar";
description = "Simple and beautiful calendar application for GNOME";
+ mainProgram = "gnome-calendar";
maintainers = teams.gnome.members;
license = licenses.gpl3Plus;
platforms = platforms.unix;
diff --git a/pkgs/desktops/gnome/apps/gnome-characters/default.nix b/pkgs/desktops/gnome/apps/gnome-characters/default.nix
index 8283d001132c..570bdccafd82 100644
--- a/pkgs/desktops/gnome/apps/gnome-characters/default.nix
+++ b/pkgs/desktops/gnome/apps/gnome-characters/default.nix
@@ -70,6 +70,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Characters";
description = "Simple utility application to find and insert unusual characters";
+ mainProgram = "gnome-characters";
maintainers = teams.gnome.members;
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/gnome/apps/gnome-clocks/default.nix b/pkgs/desktops/gnome/apps/gnome-clocks/default.nix
index be7896f6b11a..3e719ef12218 100644
--- a/pkgs/desktops/gnome/apps/gnome-clocks/default.nix
+++ b/pkgs/desktops/gnome/apps/gnome-clocks/default.nix
@@ -75,6 +75,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Clocks";
description = "Clock application designed for GNOME 3";
+ mainProgram = "gnome-clocks";
maintainers = teams.gnome.members;
license = licenses.gpl2Plus;
platforms = platforms.unix;
diff --git a/pkgs/desktops/gnome/apps/gnome-connections/default.nix b/pkgs/desktops/gnome/apps/gnome-connections/default.nix
index fcc8a67bb0c9..933c80a9ffe9 100644
--- a/pkgs/desktops/gnome/apps/gnome-connections/default.nix
+++ b/pkgs/desktops/gnome/apps/gnome-connections/default.nix
@@ -61,6 +61,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://gitlab.gnome.org/GNOME/connections";
description = "A remote desktop client for the GNOME desktop environment";
+ mainProgram = "gnome-connections";
maintainers = teams.gnome.members;
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/gnome/apps/gnome-logs/default.nix b/pkgs/desktops/gnome/apps/gnome-logs/default.nix
index dc55df0e175e..e2dc57d67088 100644
--- a/pkgs/desktops/gnome/apps/gnome-logs/default.nix
+++ b/pkgs/desktops/gnome/apps/gnome-logs/default.nix
@@ -69,6 +69,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Logs";
description = "A log viewer for the systemd journal";
+ mainProgram = "gnome-logs";
maintainers = teams.gnome.members;
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/gnome/apps/gnome-maps/default.nix b/pkgs/desktops/gnome/apps/gnome-maps/default.nix
index 5021ccab4e0b..730a98e192df 100644
--- a/pkgs/desktops/gnome/apps/gnome-maps/default.nix
+++ b/pkgs/desktops/gnome/apps/gnome-maps/default.nix
@@ -28,11 +28,11 @@
stdenv.mkDerivation (finalAttrs: {
pname = "gnome-maps";
- version = "45.4";
+ version = "45.5";
src = fetchurl {
url = "mirror://gnome/sources/gnome-maps/${lib.versions.major finalAttrs.version}/gnome-maps-${finalAttrs.version}.tar.xz";
- hash = "sha256-3RV6vqKpGJuOL6jiHh9WV9Z06dJ+8fpj1la/TPCoYLc=";
+ hash = "sha256-HCD14Q3OaEre+ylhUmJmoiTmxGwW+gO5VK/6Czobt0A=";
};
doCheck = true;
@@ -92,6 +92,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Maps";
description = "A map application for GNOME 3";
+ mainProgram = "gnome-maps";
maintainers = teams.gnome.members;
license = licenses.gpl2Plus;
platforms = platforms.unix;
diff --git a/pkgs/desktops/gnome/apps/gnome-music/default.nix b/pkgs/desktops/gnome/apps/gnome-music/default.nix
index 823d90a49af6..5275e6e9de6a 100644
--- a/pkgs/desktops/gnome/apps/gnome-music/default.nix
+++ b/pkgs/desktops/gnome/apps/gnome-music/default.nix
@@ -104,6 +104,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Music";
description = "Music player and management application for the GNOME desktop environment";
+ mainProgram = "gnome-music";
maintainers = teams.gnome.members;
license = licenses.gpl2Plus;
platforms = platforms.unix;
diff --git a/pkgs/desktops/gnome/apps/gnome-nettool/default.nix b/pkgs/desktops/gnome/apps/gnome-nettool/default.nix
index b738aa87666f..761d55a4036c 100644
--- a/pkgs/desktops/gnome/apps/gnome-nettool/default.nix
+++ b/pkgs/desktops/gnome/apps/gnome-nettool/default.nix
@@ -79,6 +79,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://gitlab.gnome.org/GNOME/gnome-nettool";
description = "A collection of networking tools";
+ mainProgram = "gnome-nettool";
maintainers = teams.gnome.members;
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/gnome/apps/gnome-notes/default.nix b/pkgs/desktops/gnome/apps/gnome-notes/default.nix
index 0ecd1e2ef6fd..86d7253ae560 100644
--- a/pkgs/desktops/gnome/apps/gnome-notes/default.nix
+++ b/pkgs/desktops/gnome/apps/gnome-notes/default.nix
@@ -90,6 +90,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Note editor designed to remain simple to use";
+ mainProgram = "bijiben";
homepage = "https://wiki.gnome.org/Apps/Notes";
license = licenses.gpl3;
maintainers = teams.gnome.members;
diff --git a/pkgs/desktops/gnome/apps/gnome-power-manager/default.nix b/pkgs/desktops/gnome/apps/gnome-power-manager/default.nix
index eb0070344bd7..3797f9df6f9b 100644
--- a/pkgs/desktops/gnome/apps/gnome-power-manager/default.nix
+++ b/pkgs/desktops/gnome/apps/gnome-power-manager/default.nix
@@ -52,6 +52,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://gitlab.gnome.org/GNOME/gnome-power-manager";
description = "View battery and power statistics provided by UPower";
+ mainProgram = "gnome-power-statistics";
maintainers = teams.gnome.members;
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/gnome/apps/gnome-sound-recorder/default.nix b/pkgs/desktops/gnome/apps/gnome-sound-recorder/default.nix
index c8d455ae216a..81bec81cb41e 100644
--- a/pkgs/desktops/gnome/apps/gnome-sound-recorder/default.nix
+++ b/pkgs/desktops/gnome/apps/gnome-sound-recorder/default.nix
@@ -65,6 +65,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A simple and modern sound recorder";
+ mainProgram = "gnome-sound-recorder";
homepage = "https://wiki.gnome.org/Apps/SoundRecorder";
license = licenses.gpl2Plus;
maintainers = teams.gnome.members;
diff --git a/pkgs/desktops/gnome/apps/gnome-text-editor/default.nix b/pkgs/desktops/gnome/apps/gnome-text-editor/default.nix
index 72ccdffd374a..3dd7b2f4decb 100644
--- a/pkgs/desktops/gnome/apps/gnome-text-editor/default.nix
+++ b/pkgs/desktops/gnome/apps/gnome-text-editor/default.nix
@@ -64,6 +64,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://gitlab.gnome.org/GNOME/gnome-text-editor";
description = "A Text Editor for GNOME";
+ mainProgram = "gnome-text-editor";
maintainers = teams.gnome.members;
license = licenses.gpl3Plus;
platforms = platforms.unix;
diff --git a/pkgs/desktops/gnome/apps/gnome-weather/default.nix b/pkgs/desktops/gnome/apps/gnome-weather/default.nix
index acee41048704..c4b5743cb516 100644
--- a/pkgs/desktops/gnome/apps/gnome-weather/default.nix
+++ b/pkgs/desktops/gnome/apps/gnome-weather/default.nix
@@ -70,6 +70,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Weather";
description = "Access current weather conditions and forecasts";
+ mainProgram = "gnome-weather";
maintainers = teams.gnome.members;
license = licenses.gpl2Plus;
platforms = platforms.unix;
diff --git a/pkgs/desktops/gnome/apps/polari/default.nix b/pkgs/desktops/gnome/apps/polari/default.nix
index 6ab83b064b32..31ad6c94a6a9 100644
--- a/pkgs/desktops/gnome/apps/polari/default.nix
+++ b/pkgs/desktops/gnome/apps/polari/default.nix
@@ -92,6 +92,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Polari";
description = "IRC chat client designed to integrate with the GNOME desktop";
+ mainProgram = "polari";
maintainers = teams.gnome.members;
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/gnome/apps/seahorse/default.nix b/pkgs/desktops/gnome/apps/seahorse/default.nix
index 1207d7969610..c3c1aa020ad2 100644
--- a/pkgs/desktops/gnome/apps/seahorse/default.nix
+++ b/pkgs/desktops/gnome/apps/seahorse/default.nix
@@ -107,6 +107,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Seahorse";
description = "Application for managing encryption keys and passwords in the GnomeKeyring";
+ mainProgram = "seahorse";
maintainers = teams.gnome.members;
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/gnome/apps/vinagre/default.nix b/pkgs/desktops/gnome/apps/vinagre/default.nix
index f8d20291a1fa..5ef01e04fce5 100644
--- a/pkgs/desktops/gnome/apps/vinagre/default.nix
+++ b/pkgs/desktops/gnome/apps/vinagre/default.nix
@@ -36,6 +36,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Remote desktop viewer for GNOME";
+ mainProgram = "vinagre";
homepage = "https://wiki.gnome.org/Apps/Vinagre";
license = licenses.gpl2Plus;
maintainers = teams.gnome.members;
diff --git a/pkgs/desktops/gnome/core/baobab/default.nix b/pkgs/desktops/gnome/core/baobab/default.nix
index b459677a3e36..aad394c2d960 100644
--- a/pkgs/desktops/gnome/core/baobab/default.nix
+++ b/pkgs/desktops/gnome/core/baobab/default.nix
@@ -54,6 +54,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Graphical application to analyse disk usage in any GNOME environment";
+ mainProgram = "baobab";
homepage = "https://wiki.gnome.org/Apps/DiskUsageAnalyzer";
license = licenses.gpl2Plus;
maintainers = teams.gnome.members;
diff --git a/pkgs/desktops/gnome/core/caribou/default.nix b/pkgs/desktops/gnome/core/caribou/default.nix
index 2afb65d528e1..a0d6623b597e 100644
--- a/pkgs/desktops/gnome/core/caribou/default.nix
+++ b/pkgs/desktops/gnome/core/caribou/default.nix
@@ -61,6 +61,7 @@ in stdenv.mkDerivation rec {
meta = with lib; {
description = "An input assistive technology intended for switch and pointer users";
+ mainProgram = "caribou-preferences";
homepage = "https://wiki.gnome.org/Projects/Caribou";
license = licenses.lgpl21;
maintainers = teams.gnome.members;
diff --git a/pkgs/desktops/gnome/core/dconf-editor/default.nix b/pkgs/desktops/gnome/core/dconf-editor/default.nix
index 4da661748c95..4189063c1419 100644
--- a/pkgs/desktops/gnome/core/dconf-editor/default.nix
+++ b/pkgs/desktops/gnome/core/dconf-editor/default.nix
@@ -71,6 +71,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "GSettings editor for GNOME";
+ mainProgram = "dconf-editor";
homepage = "https://wiki.gnome.org/Apps/DconfEditor";
license = licenses.gpl3Plus;
maintainers = teams.gnome.members;
diff --git a/pkgs/desktops/gnome/core/eog/default.nix b/pkgs/desktops/gnome/core/eog/default.nix
index 0fab995beca8..6790c764a82d 100644
--- a/pkgs/desktops/gnome/core/eog/default.nix
+++ b/pkgs/desktops/gnome/core/eog/default.nix
@@ -31,13 +31,13 @@
stdenv.mkDerivation rec {
pname = "eog";
- version = "45.2";
+ version = "45.3";
outputs = [ "out" "dev" "devdoc" ];
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "sha256-2UzDnYLIDO5ygbgqzkLIIll2rV0MPvmVx+Aw9rqyIZw=";
+ sha256 = "sha256-hlD2YtSSHYOnkE9rucokW69zX3F7R/rFs38NkOXokag=";
};
patches = [
diff --git a/pkgs/desktops/gnome/core/epiphany/default.nix b/pkgs/desktops/gnome/core/epiphany/default.nix
index 871ee8dcaf08..efac41be7ce5 100644
--- a/pkgs/desktops/gnome/core/epiphany/default.nix
+++ b/pkgs/desktops/gnome/core/epiphany/default.nix
@@ -36,11 +36,11 @@
stdenv.mkDerivation rec {
pname = "epiphany";
- version = "45.2";
+ version = "45.3";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "eccUYL/+/M715nvj+1/KZXhT6CFstiY5nSuVDOAyDdw=";
+ sha256 = "bDAum91mKQyw4m9ihDzUxDWklVq9u08VHwfcgEldZzA=";
};
nativeBuildInputs = [
@@ -101,6 +101,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Epiphany";
description = "WebKit based web browser for GNOME";
+ mainProgram = "epiphany";
maintainers = teams.gnome.members ++ teams.pantheon.members;
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/gnome/core/gnome-bluetooth/1.0/default.nix b/pkgs/desktops/gnome/core/gnome-bluetooth/1.0/default.nix
index 38bb96980dca..9389127e578c 100644
--- a/pkgs/desktops/gnome/core/gnome-bluetooth/1.0/default.nix
+++ b/pkgs/desktops/gnome/core/gnome-bluetooth/1.0/default.nix
@@ -90,6 +90,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://help.gnome.org/users/gnome-bluetooth/stable/index.html.en";
description = "Application that let you manage Bluetooth in the GNOME destkop";
+ mainProgram = "bluetooth-sendto";
maintainers = teams.gnome.members;
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/gnome/core/gnome-bluetooth/default.nix b/pkgs/desktops/gnome/core/gnome-bluetooth/default.nix
index 6482ba231f77..b9701f3d5e11 100644
--- a/pkgs/desktops/gnome/core/gnome-bluetooth/default.nix
+++ b/pkgs/desktops/gnome/core/gnome-bluetooth/default.nix
@@ -79,6 +79,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://gitlab.gnome.org/GNOME/gnome-bluetooth";
description = "Application that lets you manage Bluetooth in the GNOME desktop";
+ mainProgram = "bluetooth-sendto";
maintainers = teams.gnome.members;
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/gnome/core/gnome-contacts/default.nix b/pkgs/desktops/gnome/core/gnome-contacts/default.nix
index 5f938a1fb79b..9e41193c03c5 100644
--- a/pkgs/desktops/gnome/core/gnome-contacts/default.nix
+++ b/pkgs/desktops/gnome/core/gnome-contacts/default.nix
@@ -27,11 +27,11 @@
stdenv.mkDerivation rec {
pname = "gnome-contacts";
- version = "45.0";
+ version = "45.1";
src = fetchurl {
url = "mirror://gnome/sources/gnome-contacts/${lib.versions.major version}/${pname}-${version}.tar.xz";
- sha256 = "vR/fKm9kzdnyq7/tB+ZPKmmuNTb3T0gZjMN7rZ/NlD4=";
+ sha256 = "gj9WCe7NkMQk3T5khXKHvBMh+23+KJJKR0/w6azyG3U=";
};
nativeBuildInputs = [
@@ -73,6 +73,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Contacts";
description = "GNOME’s integrated address book";
+ mainProgram = "gnome-contacts";
maintainers = teams.gnome.members;
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/gnome/core/gnome-control-center/default.nix b/pkgs/desktops/gnome/core/gnome-control-center/default.nix
index 1bbdc1f8df7b..eafbc79545f3 100644
--- a/pkgs/desktops/gnome/core/gnome-control-center/default.nix
+++ b/pkgs/desktops/gnome/core/gnome-control-center/default.nix
@@ -208,6 +208,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "Utilities to configure the GNOME desktop";
+ mainProgram = "gnome-control-center";
license = licenses.gpl2Plus;
maintainers = teams.gnome.members;
platforms = platforms.linux;
diff --git a/pkgs/desktops/gnome/core/gnome-dictionary/default.nix b/pkgs/desktops/gnome/core/gnome-dictionary/default.nix
index ebd9b58fd96a..d5dfce9f7ed0 100644
--- a/pkgs/desktops/gnome/core/gnome-dictionary/default.nix
+++ b/pkgs/desktops/gnome/core/gnome-dictionary/default.nix
@@ -78,6 +78,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Dictionary";
description = "Dictionary is the GNOME application to look up definitions";
+ mainProgram = "gnome-dictionary";
maintainers = teams.gnome.members;
license = licenses.gpl2;
platforms = platforms.unix;
diff --git a/pkgs/desktops/gnome/core/gnome-remote-desktop/default.nix b/pkgs/desktops/gnome/core/gnome-remote-desktop/default.nix
index 9b2f0876d7f5..58fbbc0cfc1f 100644
--- a/pkgs/desktops/gnome/core/gnome-remote-desktop/default.nix
+++ b/pkgs/desktops/gnome/core/gnome-remote-desktop/default.nix
@@ -77,6 +77,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Projects/Mutter/RemoteDesktop";
description = "GNOME Remote Desktop server";
+ mainProgram = "grdctl";
maintainers = teams.gnome.members;
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/gnome/core/gnome-screenshot/default.nix b/pkgs/desktops/gnome/core/gnome-screenshot/default.nix
index 3904f1443f7d..0a345fe0d8c9 100644
--- a/pkgs/desktops/gnome/core/gnome-screenshot/default.nix
+++ b/pkgs/desktops/gnome/core/gnome-screenshot/default.nix
@@ -75,6 +75,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://gitlab.gnome.org/GNOME/gnome-screenshot";
description = "Utility used in the GNOME desktop environment for taking screenshots";
+ mainProgram = "gnome-screenshot";
maintainers = teams.gnome.members;
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/gnome/core/gnome-shell/default.nix b/pkgs/desktops/gnome/core/gnome-shell/default.nix
index 93103100a064..aa74bec26696 100644
--- a/pkgs/desktops/gnome/core/gnome-shell/default.nix
+++ b/pkgs/desktops/gnome/core/gnome-shell/default.nix
@@ -67,13 +67,13 @@ let
in
stdenv.mkDerivation (finalAttrs: {
pname = "gnome-shell";
- version = "45.4";
+ version = "45.5";
outputs = [ "out" "devdoc" ];
src = fetchurl {
url = "mirror://gnome/sources/gnome-shell/${lib.versions.major finalAttrs.version}/gnome-shell-${finalAttrs.version}.tar.xz";
- sha256 = "W/6jeeEgscfyN/PsNprSfvXC9ZMMffFjs5J4LYWCCQ0=";
+ sha256 = "sha256-vVw9PQKNRyM+QgUiPwrAKsmpc7aZvCd0OQlNQaeNarA=";
};
patches = [
diff --git a/pkgs/desktops/gnome/core/gnome-software/default.nix b/pkgs/desktops/gnome/core/gnome-software/default.nix
index 34b3ac609882..f45f643cb57d 100644
--- a/pkgs/desktops/gnome/core/gnome-software/default.nix
+++ b/pkgs/desktops/gnome/core/gnome-software/default.nix
@@ -129,6 +129,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Software store that lets you install and update applications and system extensions";
+ mainProgram = "gnome-software";
homepage = "https://wiki.gnome.org/Apps/Software";
license = licenses.gpl2Plus;
maintainers = teams.gnome.members;
diff --git a/pkgs/desktops/gnome/core/gnome-system-monitor/default.nix b/pkgs/desktops/gnome/core/gnome-system-monitor/default.nix
index 60de20502b37..eef86a460371 100644
--- a/pkgs/desktops/gnome/core/gnome-system-monitor/default.nix
+++ b/pkgs/desktops/gnome/core/gnome-system-monitor/default.nix
@@ -72,6 +72,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/SystemMonitor";
description = "System Monitor shows you what programs are running and how much processor time, memory, and disk space are being used";
+ mainProgram = "gnome-system-monitor";
maintainers = teams.gnome.members;
license = licenses.gpl2;
platforms = platforms.linux;
diff --git a/pkgs/desktops/gnome/core/gnome-terminal/default.nix b/pkgs/desktops/gnome/core/gnome-terminal/default.nix
index bc524bc800db..92d1a7a37ecf 100644
--- a/pkgs/desktops/gnome/core/gnome-terminal/default.nix
+++ b/pkgs/desktops/gnome/core/gnome-terminal/default.nix
@@ -95,6 +95,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "The GNOME Terminal Emulator";
+ mainProgram = "gnome-terminal";
homepage = "https://wiki.gnome.org/Apps/Terminal";
platforms = platforms.linux;
license = licenses.gpl3Plus;
diff --git a/pkgs/desktops/gnome/core/gnome-tour/default.nix b/pkgs/desktops/gnome/core/gnome-tour/default.nix
index 9ded6a332654..c6d58c480581 100644
--- a/pkgs/desktops/gnome/core/gnome-tour/default.nix
+++ b/pkgs/desktops/gnome/core/gnome-tour/default.nix
@@ -67,6 +67,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://gitlab.gnome.org/GNOME/gnome-tour";
description = "GNOME Greeter & Tour";
+ mainProgram = "gnome-tour";
maintainers = teams.gnome.members;
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/gnome/core/gucharmap/default.nix b/pkgs/desktops/gnome/core/gucharmap/default.nix
index 91bea357d251..83706f36ab4b 100644
--- a/pkgs/desktops/gnome/core/gucharmap/default.nix
+++ b/pkgs/desktops/gnome/core/gucharmap/default.nix
@@ -105,6 +105,7 @@ in stdenv.mkDerivation rec {
meta = with lib; {
description = "GNOME Character Map, based on the Unicode Character Database";
+ mainProgram = "gucharmap";
homepage = "https://wiki.gnome.org/Apps/Gucharmap";
license = licenses.gpl3;
maintainers = teams.gnome.members;
diff --git a/pkgs/desktops/gnome/core/mutter/43/default.nix b/pkgs/desktops/gnome/core/mutter/43/default.nix
index e565603ae576..63110d9d90d3 100644
--- a/pkgs/desktops/gnome/core/mutter/43/default.nix
+++ b/pkgs/desktops/gnome/core/mutter/43/default.nix
@@ -185,6 +185,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "A window manager for GNOME";
+ mainProgram = "mutter";
homepage = "https://gitlab.gnome.org/GNOME/mutter";
license = licenses.gpl2Plus;
maintainers = teams.pantheon.members;
diff --git a/pkgs/desktops/gnome/core/mutter/default.nix b/pkgs/desktops/gnome/core/mutter/default.nix
index e5d1cda681db..570d954766a0 100644
--- a/pkgs/desktops/gnome/core/mutter/default.nix
+++ b/pkgs/desktops/gnome/core/mutter/default.nix
@@ -67,13 +67,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "mutter";
- version = "45.4";
+ version = "45.5";
outputs = [ "out" "dev" "man" "devdoc" ];
src = fetchurl {
url = "mirror://gnome/sources/mutter/${lib.versions.major finalAttrs.version}/mutter-${finalAttrs.version}.tar.xz";
- sha256 = "kRQIN74VWC8sdTvmYauOQtrVXUobDwZQvQssk/Ar16s=";
+ sha256 = "sha256-UcMyS4qXX5luWsaTqzhWPElubxQubNM1e0lQ0lAzSHQ=";
};
mesonFlags = [
@@ -201,6 +201,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "A window manager for GNOME";
+ mainProgram = "mutter";
homepage = "https://gitlab.gnome.org/GNOME/mutter";
license = licenses.gpl2Plus;
maintainers = teams.gnome.members;
diff --git a/pkgs/desktops/gnome/core/simple-scan/default.nix b/pkgs/desktops/gnome/core/simple-scan/default.nix
index 9d7e60eed64d..3aea02678a83 100644
--- a/pkgs/desktops/gnome/core/simple-scan/default.nix
+++ b/pkgs/desktops/gnome/core/simple-scan/default.nix
@@ -72,6 +72,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Simple scanning utility";
+ mainProgram = "simple-scan";
longDescription = ''
A really easy way to scan both documents and photos. You can crop out the
bad parts of a photo and rotate it if it is the wrong way round. You can
diff --git a/pkgs/desktops/gnome/core/sushi/default.nix b/pkgs/desktops/gnome/core/sushi/default.nix
index 5c54f03d7d53..0765b7af0be7 100644
--- a/pkgs/desktops/gnome/core/sushi/default.nix
+++ b/pkgs/desktops/gnome/core/sushi/default.nix
@@ -78,6 +78,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://en.wikipedia.org/wiki/Sushi_(software)";
description = "A quick previewer for Nautilus";
+ mainProgram = "sushi";
maintainers = teams.gnome.members;
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/gnome/default.nix b/pkgs/desktops/gnome/default.nix
index 0659e1fa5660..022021ea6cf7 100644
--- a/pkgs/desktops/gnome/default.nix
+++ b/pkgs/desktops/gnome/default.nix
@@ -184,8 +184,6 @@ lib.makeScope pkgs.newScope (self: with self; {
#### Dev http://ftp.gnome.org/pub/GNOME/devtools/
- anjuta = callPackage ./devtools/anjuta { };
-
devhelp = callPackage ./devtools/devhelp { };
#### Games
@@ -262,4 +260,7 @@ lib.makeScope pkgs.newScope (self: with self; {
gedit = throw "The ‘gnome.gedit’ alias was removed. Please use ‘pkgs.gedit’ directly."; # converted to throw on 2023-12-27
gnome-todo = throw "The ‘gnome.gnome-todo’ alias was removed. Please use ‘pkgs.endeavour’ directly."; # converted to throw on 2023-12-27
+
+#### Removals
+ anjuta = throw "`anjuta` was removed after not being maintained upstream and losing control of its official domain."; # 2024-01-16
}
diff --git a/pkgs/desktops/gnome/devtools/anjuta/default.nix b/pkgs/desktops/gnome/devtools/anjuta/default.nix
deleted file mode 100644
index 5b841557b6b2..000000000000
--- a/pkgs/desktops/gnome/devtools/anjuta/default.nix
+++ /dev/null
@@ -1,44 +0,0 @@
-{ lib, stdenv, fetchurl, pkg-config, gnome, gtk3, gjs, flex, bison, libxml2, intltool,
- gdl, libgda, gtksourceview, gsettings-desktop-schemas,
- itstool, python3, ncurses, makeWrapper }:
-
-stdenv.mkDerivation rec {
- pname = "anjuta";
- version = "3.34.0";
-
- src = fetchurl {
- url = "mirror://gnome/sources/anjuta/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "13ql7axw6zz387s7pa1m7wmh7qps3x7fk53h9832vq1yxlq33aa2";
- };
-
- passthru = {
- updateScript = gnome.updateScript { packageName = "anjuta"; attrPath = "gnome.anjuta"; };
- };
-
- enableParallelBuilding = true;
-
- nativeBuildInputs = [
- pkg-config intltool itstool python3 makeWrapper
- # Required by python3
- ncurses
- ];
- buildInputs = [
- flex bison gtk3 libxml2 gjs gdl
- libgda gtksourceview
- gsettings-desktop-schemas
- ];
-
- preFixup = ''
- wrapProgram $out/bin/anjuta \
- --prefix XDG_DATA_DIRS : \
- "$GSETTINGS_SCHEMAS_PATH"
- '';
-
- meta = with lib; {
- description = "Software development studio";
- homepage = "http://anjuta.org/";
- license = licenses.gpl2;
- maintainers = with maintainers; [];
- platforms = platforms.linux;
- };
-}
diff --git a/pkgs/desktops/gnome/devtools/devhelp/default.nix b/pkgs/desktops/gnome/devtools/devhelp/default.nix
index 55330921e267..6a1bbde4f4c2 100644
--- a/pkgs/desktops/gnome/devtools/devhelp/default.nix
+++ b/pkgs/desktops/gnome/devtools/devhelp/default.nix
@@ -78,6 +78,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "API documentation browser for GNOME";
+ mainProgram = "devhelp";
homepage = "https://wiki.gnome.org/Apps/Devhelp";
license = licenses.gpl3Plus;
maintainers = teams.gnome.members;
diff --git a/pkgs/desktops/gnome/extensions/default.nix b/pkgs/desktops/gnome/extensions/default.nix
index bfb5f4ff33e6..89f5edb5f7bf 100644
--- a/pkgs/desktops/gnome/extensions/default.nix
+++ b/pkgs/desktops/gnome/extensions/default.nix
@@ -81,6 +81,7 @@ in rec {
arc-menu = gnomeExtensions.arcmenu; # added 2021-02-14
disable-unredirect = gnomeExtensions.disable-unredirect-fullscreen-windows; # added 2021-11-20
+ icon-hider = throw "gnomeExtensions.icon-hider was removed on 2024-03-15. The extension has not received any updates since 2020/3.34.";
nohotcorner = throw "gnomeExtensions.nohotcorner removed since 2019-10-09: Since 3.34, it is a part of GNOME Shell configurable through GNOME Tweaks.";
mediaplayer = throw "gnomeExtensions.mediaplayer deprecated since 2019-09-23: retired upstream https://github.com/JasonLG1979/gnome-shell-extensions-mediaplayer/blob/master/README.md";
remove-dropdown-arrows = throw "gnomeExtensions.remove-dropdown-arrows removed since 2021-05-25: The extensions has not seen an update sine GNOME 3.34. Furthermore, the functionality it provides is obsolete as of GNOME 40.";
diff --git a/pkgs/desktops/gnome/extensions/extensions.json b/pkgs/desktops/gnome/extensions/extensions.json
index 25747774408b..a983f620cdc4 100644
--- a/pkgs/desktops/gnome/extensions/extensions.json
+++ b/pkgs/desktops/gnome/extensions/extensions.json
@@ -25,7 +25,7 @@
"44": {"version": "47", "sha256": "0him9afr50fqgp8mdq5nbdsq4igqvwn7k3grvxzixb4y68514b5a", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBsYXVuY2hlcnMgZm9yIEZhdm9yaXRlcyB0byB0aGUgcGFuZWwiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJmcmlwcGVyeS1wYW5lbC1mYXZvcml0ZXMiLAogICJuYW1lIjogIkZyaXBwZXJ5IFBhbmVsIEZhdm9yaXRlcyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5mcmlwcGVyeS1wYW5lbC1mYXZvcml0ZXMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9mcmlwcGVyeS5vcmcvZXh0ZW5zaW9ucyIsCiAgInV1aWQiOiAiUGFuZWxfRmF2b3JpdGVzQHJteS5wb2JveC5jb20iLAogICJ2ZXJzaW9uIjogNDcKfQ=="},
"45": {"version": "49", "sha256": "0ayp2h0bimj0b4341rclsfszli77yh5i2gjrp16avs1m8zrqyll1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBsYXVuY2hlcnMgZm9yIEZhdm9yaXRlcyB0byB0aGUgcGFuZWwiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJmcmlwcGVyeS1wYW5lbC1mYXZvcml0ZXMiLAogICJuYW1lIjogIkZyaXBwZXJ5IFBhbmVsIEZhdm9yaXRlcyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5mcmlwcGVyeS1wYW5lbC1mYXZvcml0ZXMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2ZyaXBwZXJ5Lm9yZy9leHRlbnNpb25zIiwKICAidXVpZCI6ICJQYW5lbF9GYXZvcml0ZXNAcm15LnBvYm94LmNvbSIsCiAgInZlcnNpb24iOiA0OQp9"}
}}
-, {"uuid": "apps-menu@gnome-shell-extensions.gcampax.github.com", "name": "Applications Menu", "pname": "applications-menu", "description": "Add a category-based menu for applications.\nThis extension is part of Classic Mode and is officially supported by GNOME. Please do not report bugs using the form below, use GNOME's GitLab instance instead.", "link": "https://extensions.gnome.org/extension/6/applications-menu/", "shell_version_map": {
+, {"uuid": "apps-menu@gnome-shell-extensions.gcampax.github.com", "name": "Apps Menu", "pname": "applications-menu", "description": "Add a category-based menu for apps.\nThis extension is part of Classic Mode and is officially supported by GNOME. Please do not report bugs using the form below, use GNOME's GitLab instance instead.", "link": "https://extensions.gnome.org/extension/6/applications-menu/", "shell_version_map": {
"38": {"version": "46", "sha256": "1l5fliypxq3s3b6crv7rc6nl741m7hw48dwl0g7vi3yxyw0vyc0x", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIGNhdGVnb3J5LWJhc2VkIG1lbnUgZm9yIGFwcGxpY2F0aW9ucy5cblRoaXMgZXh0ZW5zaW9uIGlzIHBhcnQgb2YgQ2xhc3NpYyBNb2RlIGFuZCBpcyBvZmZpY2lhbGx5IHN1cHBvcnRlZCBieSBHTk9NRS4gUGxlYXNlIGRvIG5vdCByZXBvcnQgYnVncyB1c2luZyB0aGUgZm9ybSBiZWxvdywgdXNlIEdOT01FJ3MgR2l0TGFiIGluc3RhbmNlIGluc3RlYWQuIiwKICAiZXh0ZW5zaW9uLWlkIjogImFwcHMtbWVudSIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJuYW1lIjogIkFwcGxpY2F0aW9ucyBNZW51IiwKICAib3JpZ2luYWwtYXV0aG9ycyI6IFsKICAgICJlMjAwMkBiay5ydSIsCiAgICAiZGViYXJzaGlyQGdub21lLm9yZyIKICBdLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmdub21lLm9yZy9HTk9NRS9nbm9tZS1zaGVsbC1leHRlbnNpb25zIiwKICAidXVpZCI6ICJhcHBzLW1lbnVAZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucy5nY2FtcGF4LmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNDYKfQ=="},
"40": {"version": "48", "sha256": "0whi4ir3hvz6gby57331hv0a80ssz1b746pj79v43cm15djlsbhv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIGNhdGVnb3J5LWJhc2VkIG1lbnUgZm9yIGFwcGxpY2F0aW9ucy5cblRoaXMgZXh0ZW5zaW9uIGlzIHBhcnQgb2YgQ2xhc3NpYyBNb2RlIGFuZCBpcyBvZmZpY2lhbGx5IHN1cHBvcnRlZCBieSBHTk9NRS4gUGxlYXNlIGRvIG5vdCByZXBvcnQgYnVncyB1c2luZyB0aGUgZm9ybSBiZWxvdywgdXNlIEdOT01FJ3MgR2l0TGFiIGluc3RhbmNlIGluc3RlYWQuIiwKICAiZXh0ZW5zaW9uLWlkIjogImFwcHMtbWVudSIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJuYW1lIjogIkFwcGxpY2F0aW9ucyBNZW51IiwKICAib3JpZ2luYWwtYXV0aG9ycyI6IFsKICAgICJlMjAwMkBiay5ydSIsCiAgICAiZGViYXJzaGlyQGdub21lLm9yZyIKICBdLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5nbm9tZS5vcmcvR05PTUUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucyIsCiAgInV1aWQiOiAiYXBwcy1tZW51QGdub21lLXNoZWxsLWV4dGVuc2lvbnMuZ2NhbXBheC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDQ4Cn0="},
"41": {"version": "50", "sha256": "1x71mysa80n5nlfk79xgcz6k40qmw02g78pqjsvdinxsqlxi9ak6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIGNhdGVnb3J5LWJhc2VkIG1lbnUgZm9yIGFwcGxpY2F0aW9ucy5cblRoaXMgZXh0ZW5zaW9uIGlzIHBhcnQgb2YgQ2xhc3NpYyBNb2RlIGFuZCBpcyBvZmZpY2lhbGx5IHN1cHBvcnRlZCBieSBHTk9NRS4gUGxlYXNlIGRvIG5vdCByZXBvcnQgYnVncyB1c2luZyB0aGUgZm9ybSBiZWxvdywgdXNlIEdOT01FJ3MgR2l0TGFiIGluc3RhbmNlIGluc3RlYWQuIiwKICAiZXh0ZW5zaW9uLWlkIjogImFwcHMtbWVudSIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbi1hcHBzLW1lbnUiLAogICJuYW1lIjogIkFwcGxpY2F0aW9ucyBNZW51IiwKICAib3JpZ2luYWwtYXV0aG9ycyI6IFsKICAgICJlMjAwMkBiay5ydSIsCiAgICAiZGViYXJzaGlyQGdub21lLm9yZyIKICBdLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYXBwcy1tZW51IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuZ25vbWUub3JnL0dOT01FL2dub21lLXNoZWxsLWV4dGVuc2lvbnMiLAogICJ1dWlkIjogImFwcHMtbWVudUBnbm9tZS1zaGVsbC1leHRlbnNpb25zLmdjYW1wYXguZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA1MAp9"},
@@ -113,7 +113,7 @@
"42": {"version": "52", "sha256": "0j3mva9qzsz3nvww9d99ig5z4nr9g339lirmavfzry9yf8l29cwz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRpbGUgd2luZG93cyBvbiBhIGdyaWQuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ3RpbGVAdmlib3UiLAogICJuYW1lIjogImdUaWxlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmd0aWxlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9nVGlsZS9nVGlsZSIsCiAgInV1aWQiOiAiZ1RpbGVAdmlib3UiLAogICJ2ZXJzaW9uIjogNTIKfQ=="},
"43": {"version": "52", "sha256": "0j3mva9qzsz3nvww9d99ig5z4nr9g339lirmavfzry9yf8l29cwz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRpbGUgd2luZG93cyBvbiBhIGdyaWQuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ3RpbGVAdmlib3UiLAogICJuYW1lIjogImdUaWxlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmd0aWxlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9nVGlsZS9nVGlsZSIsCiAgInV1aWQiOiAiZ1RpbGVAdmlib3UiLAogICJ2ZXJzaW9uIjogNTIKfQ=="},
"44": {"version": "52", "sha256": "0j3mva9qzsz3nvww9d99ig5z4nr9g339lirmavfzry9yf8l29cwz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRpbGUgd2luZG93cyBvbiBhIGdyaWQuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ3RpbGVAdmlib3UiLAogICJuYW1lIjogImdUaWxlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmd0aWxlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9nVGlsZS9nVGlsZSIsCiAgInV1aWQiOiAiZ1RpbGVAdmlib3UiLAogICJ2ZXJzaW9uIjogNTIKfQ=="},
- "45": {"version": "56", "sha256": "1gjbx9fpssqh0rpnanpnv0bwikzixmg5prvg2gl260vvqf234874", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRpbGUgd2luZG93cyBvbiBhIGdyaWQiLAogICJuYW1lIjogImdUaWxlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmd0aWxlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2dUaWxlIiwKICAidXVpZCI6ICJnVGlsZUB2aWJvdSIsCiAgInZlcnNpb24iOiA1Ngp9"}
+ "45": {"version": "58", "sha256": "0j9sjxcy9jjqw2a0crdqvyfn6bs1463w51w6lkwcn63nkr7yh8ns", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRpbGUgd2luZG93cyBvbiBhIGdyaWQiLAogICJuYW1lIjogImdUaWxlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmd0aWxlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2dUaWxlIiwKICAidXVpZCI6ICJnVGlsZUB2aWJvdSIsCiAgInZlcnNpb24iOiA1OAp9"}
}}
, {"uuid": "lockkeys@vaina.lt", "name": "Lock Keys", "pname": "lock-keys", "description": "Numlock & Capslock status on the panel.", "link": "https://extensions.gnome.org/extension/36/lock-keys/", "shell_version_map": {
"38": {"version": "52", "sha256": "02190kcp0c1mzlxf4ax0shk7r6zh9adynidxnjdhbkwl9knxalra", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk51bWxvY2sgJiBDYXBzbG9jayBzdGF0dXMgb24gdGhlIHBhbmVsLiBHbm9tZSB2ZXJzaW9uIDMuMzAgYW5kIGVhcmxpZXIgdXNlcnMgcGxlYXNlIGluc3RhbGwgNDQgdmVyc2lvbiBvZiB0aGUgZXh0ZW5zaW9uIGh0dHBzOi8vZXh0ZW5zaW9ucy5nbm9tZS5vcmcvZG93bmxvYWQtZXh0ZW5zaW9uL2xvY2trZXlzJTQwdmFpbmEubHQuc2hlbGwtZXh0ZW5zaW9uLnppcD92ZXJzaW9uX3RhZz0yNjIyOSAiLAogICJuYW1lIjogIkxvY2sgS2V5cyIsCiAgIm9yaWdpbmFsLWF1dGhvcnMiOiAiS2F6aW1pZXJhcyBWYWluYSwgUGllcnJlIE9zc21hbiwgZXJndWlsbGUsIGpvbm5pdXMsIFBoaWxpcHAgV29sZmVyLCBNYXJpdXN6IExpc293c2tpLCBDcmlzdGlhbiBCZXJvaXphLCB3YXJtc3VuMDIyMCwgUmFzbXVzIEthaiwgUGFibG8gTWFydGluLUdvbWV6IEJvdXNrYSwgUmFwaGFcdTAwZWJsIFJvY2hldCwgTHVpeiBOaWNrZWwsIEplc3NlLCBEdVx1MDE2MWFuIEthemlrIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM0IiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9rYXp5c21hc3Rlci9nbm9tZS1zaGVsbC1leHRlbnNpb24tbG9ja2tleXMiLAogICJ1dWlkIjogImxvY2trZXlzQHZhaW5hLmx0IiwKICAidmVyc2lvbiI6IDUyCn0="},
@@ -163,7 +163,7 @@
"42": {"version": "55", "sha256": "16ff2fbv8vf9cgzrxy85vis9a5bgbkn1lb21brpxsf9gs5kk4v8k", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlcGxhY2VtZW50IG9mIEFsdC1UYWIsIGl0ZXJhdGVzIHRocm91Z2ggd2luZG93cyBpbiBhIGNvdmVyLWZsb3cgbWFubmVyLiIsCiAgIm5hbWUiOiAiQ292ZXJmbG93IEFsdC1UYWIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQyIiwKICAgICI0MyIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2RtbzYwL0NvdmVyZmxvd0FsdFRhYiIsCiAgInV1aWQiOiAiQ292ZXJmbG93QWx0VGFiQHBhbGF0aXMuYmxvZ3Nwb3QuY29tIiwKICAidmVyc2lvbiI6IDU1Cn0="},
"43": {"version": "61", "sha256": "0bnwwvq73hn0p5qy2h9n7zq8wpna7wf3mx94i1p2mxkl4l2mv6f9", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlcGxhY2VtZW50IG9mIEFsdC1UYWIsIGl0ZXJhdGVzIHRocm91Z2ggd2luZG93cyBpbiBhIGNvdmVyLWZsb3cgbWFubmVyLiIsCiAgIm5hbWUiOiAiQ292ZXJmbG93IEFsdC1UYWIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2RtbzYwL0NvdmVyZmxvd0FsdFRhYiIsCiAgInV1aWQiOiAiQ292ZXJmbG93QWx0VGFiQHBhbGF0aXMuYmxvZ3Nwb3QuY29tIiwKICAidmVyc2lvbiI6IDYxCn0="},
"44": {"version": "65", "sha256": "04ydnd74kyc38l0k4sc20g42c17p0k79gd5iknivwz7i6xx6hbx2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlcGxhY2VtZW50IG9mIEFsdC1UYWIsIGl0ZXJhdGVzIHRocm91Z2ggd2luZG93cyBpbiBhIGNvdmVyLWZsb3cgbWFubmVyLiIsCiAgIm5hbWUiOiAiQ292ZXJmbG93IEFsdC1UYWIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZG1vNjAvQ292ZXJmbG93QWx0VGFiIiwKICAidXVpZCI6ICJDb3ZlcmZsb3dBbHRUYWJAcGFsYXRpcy5ibG9nc3BvdC5jb20iLAogICJ2ZXJzaW9uIjogNjUKfQ=="},
- "45": {"version": "70", "sha256": "067ymybcy917py070cickd15gw6z7c35shhyipbiya7wkalrl2wj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlcGxhY2VtZW50IG9mIEFsdC1UYWIsIGl0ZXJhdGVzIHRocm91Z2ggd2luZG93cyBpbiBhIGNvdmVyLWZsb3cgbWFubmVyLiIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJnaXRodWIiOiAiZHNoZWVsZXIiLAogICAgImxpYmVyYXBheSI6ICJkc2hlZWxlciIsCiAgICAicGF5cGFsIjogIkRhbmllbFNoZWVsZXIiCiAgfSwKICAiZ2V0dGV4dC1kb21haW4iOiAiQ292ZXJmbG93QWx0VGFiQHBhbGF0aXMuYmxvZ3Nwb3QuY29tIiwKICAibmFtZSI6ICJDb3ZlcmZsb3cgQWx0LVRhYiIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5jb3ZlcmZsb3dhbHR0YWIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZG1vNjAvQ292ZXJmbG93QWx0VGFiIiwKICAidXVpZCI6ICJDb3ZlcmZsb3dBbHRUYWJAcGFsYXRpcy5ibG9nc3BvdC5jb20iLAogICJ2ZXJzaW9uIjogNzAKfQ=="}
+ "45": {"version": "71", "sha256": "0agf21qyk576aa212w42hcn9vhpbsrjisrby0mfnw22giah9sf28", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlcGxhY2VtZW50IG9mIEFsdC1UYWIsIGl0ZXJhdGVzIHRocm91Z2ggd2luZG93cyBpbiBhIGNvdmVyLWZsb3cgbWFubmVyLiIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJnaXRodWIiOiAiZHNoZWVsZXIiLAogICAgImxpYmVyYXBheSI6ICJkc2hlZWxlciIsCiAgICAicGF5cGFsIjogIkRhbmllbFNoZWVsZXIiCiAgfSwKICAiZ2V0dGV4dC1kb21haW4iOiAiQ292ZXJmbG93QWx0VGFiQHBhbGF0aXMuYmxvZ3Nwb3QuY29tIiwKICAibmFtZSI6ICJDb3ZlcmZsb3cgQWx0LVRhYiIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5jb3ZlcmZsb3dhbHR0YWIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZG1vNjAvQ292ZXJmbG93QWx0VGFiIiwKICAidXVpZCI6ICJDb3ZlcmZsb3dBbHRUYWJAcGFsYXRpcy5ibG9nc3BvdC5jb20iLAogICJ2ZXJzaW9uIjogNzEKfQ=="}
}}
, {"uuid": "netspeed@hedayaty.gmail.com", "name": "NetSpeed", "pname": "netspeed", "description": "Displays Internet Speed", "link": "https://extensions.gnome.org/extension/104/netspeed/", "shell_version_map": {
"40": {"version": "34", "sha256": "04137rwnnf2mbp228wl9qjcix6i7757cqsdamabdrjwclg147vql", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXlzIEludGVybmV0IFNwZWVkIiwKICAibmFtZSI6ICJOZXRTcGVlZCIsCiAgIm9yaWdpbmFsLWF1dGhvciI6ICJoZWRheWF0eUBnbWFpbC5jb20iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2hlZGF5YXR5L05ldFNwZWVkIiwKICAidXVpZCI6ICJuZXRzcGVlZEBoZWRheWF0eS5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMzQKfQ=="},
@@ -238,7 +238,8 @@
"41": {"version": "13", "sha256": "1zacmlidmkf1jys1cvwpx4yqkjj6hp0bdpw83gnmg7rmgnyls39d", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXlzIE15dGhUViBzdGF0dXMgKGZyZWUgc3BhY2UgYW5kIHVwY29taW5nIHJlY29yZGluZ3MpIiwKICAibmFtZSI6ICJNeXRoVFYiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQxIiwKICAgICI0MiIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2ZueHdlYi9nbm9tZS1zaGVsbC1teXRodHYiLAogICJ1dWlkIjogIm15dGh0di1mbnhAZm54d2ViLmNvbSIsCiAgInZlcnNpb24iOiAxMwp9"},
"42": {"version": "13", "sha256": "1zacmlidmkf1jys1cvwpx4yqkjj6hp0bdpw83gnmg7rmgnyls39d", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXlzIE15dGhUViBzdGF0dXMgKGZyZWUgc3BhY2UgYW5kIHVwY29taW5nIHJlY29yZGluZ3MpIiwKICAibmFtZSI6ICJNeXRoVFYiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQxIiwKICAgICI0MiIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2ZueHdlYi9nbm9tZS1zaGVsbC1teXRodHYiLAogICJ1dWlkIjogIm15dGh0di1mbnhAZm54d2ViLmNvbSIsCiAgInZlcnNpb24iOiAxMwp9"},
"43": {"version": "15", "sha256": "0njr30dqvlgjgw1p97sf99xg2x4p3jz8a91d2pi7xgaan8lyixin", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXlzIE15dGhUViBzdGF0dXMgKGZyZWUgc3BhY2UgYW5kIHVwY29taW5nIHJlY29yZGluZ3MpIiwKICAibmFtZSI6ICJNeXRoVFYiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2ZueHdlYi9nbm9tZS1zaGVsbC1teXRodHYiLAogICJ1dWlkIjogIm15dGh0di1mbnhAZm54d2ViLmNvbSIsCiAgInZlcnNpb24iOiAxNQp9"},
- "44": {"version": "15", "sha256": "0njr30dqvlgjgw1p97sf99xg2x4p3jz8a91d2pi7xgaan8lyixin", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXlzIE15dGhUViBzdGF0dXMgKGZyZWUgc3BhY2UgYW5kIHVwY29taW5nIHJlY29yZGluZ3MpIiwKICAibmFtZSI6ICJNeXRoVFYiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2ZueHdlYi9nbm9tZS1zaGVsbC1teXRodHYiLAogICJ1dWlkIjogIm15dGh0di1mbnhAZm54d2ViLmNvbSIsCiAgInZlcnNpb24iOiAxNQp9"}
+ "44": {"version": "15", "sha256": "0njr30dqvlgjgw1p97sf99xg2x4p3jz8a91d2pi7xgaan8lyixin", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXlzIE15dGhUViBzdGF0dXMgKGZyZWUgc3BhY2UgYW5kIHVwY29taW5nIHJlY29yZGluZ3MpIiwKICAibmFtZSI6ICJNeXRoVFYiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2ZueHdlYi9nbm9tZS1zaGVsbC1teXRodHYiLAogICJ1dWlkIjogIm15dGh0di1mbnhAZm54d2ViLmNvbSIsCiAgInZlcnNpb24iOiAxNQp9"},
+ "45": {"version": "16", "sha256": "04y5ib7nz3jhhl3y7f28zbm01yaxkas1rjvqn2q9zsyqkq38s849", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXlzIE15dGhUViBzdGF0dXMgKGZyZWUgc3BhY2UgYW5kIHVwY29taW5nIHJlY29yZGluZ3MpIiwKICAibmFtZSI6ICJNeXRoVFYiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZm54d2ViL2dub21lLXNoZWxsLW15dGh0diIsCiAgInV1aWQiOiAibXl0aHR2LWZueEBmbnh3ZWIuY29tIiwKICAidmVyc2lvbiI6IDE2Cn0="}
}}
, {"uuid": "middleclickclose@paolo.tranquilli.gmail.com", "name": "Quick Close in Overview", "pname": "middle-click-to-close-in-overview", "description": "Close windows with a button click (the middle one by default) when in overview mode", "link": "https://extensions.gnome.org/extension/352/middle-click-to-close-in-overview/", "shell_version_map": {
"38": {"version": "17", "sha256": "1nv6cjyiz1i7fddh21h0zmrvzfi3y70y1f0xsv2zd0rfg6rf0r77", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNsb3NlIHdpbmRvd3Mgd2l0aCBhIGJ1dHRvbiBjbGljayAodGhlIG1pZGRsZSBvbmUgYnkgZGVmYXVsdCkgd2hlbiBpbiBvdmVydmlldyBtb2RlIiwKICAibG9jYWxlIjogIi91c3IvbG9jYWwvc2hhcmUvbG9jYWxlIiwKICAibmFtZSI6ICJRdWljayBDbG9zZSBpbiBPdmVydmlldyIsCiAgIm9yaWdpbmFsLWF1dGhvcnMiOiBbCiAgICAiUGFvbG8gVHJhbnF1aWxsaSIKICBdLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMubWlkZGxlY2xpY2tjbG9zZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3A5MXBhdWwvbWlkZGxlY2xpY2tjbG9zZSIsCiAgInV1aWQiOiAibWlkZGxlY2xpY2tjbG9zZUBwYW9sby50cmFucXVpbGxpLmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiAxNwp9"},
@@ -323,7 +324,7 @@
"41": {"version": "25", "sha256": "0nxn93jxagzld6x0rxi56q1y8lcjzh2p82jhxli90cg940w38jj9", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgSGVicmV3IERhdGUgaW4gdGhlIFBhbmVsLlxuUmVxdWlyZXMgbGliaGRhdGUtZ2xpYiIsCiAgIm5hbWUiOiAiR25vbWUgSERhdGUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMiIsCiAgICAiMy40IiwKICAgICIzLjYiLAogICAgIjMuOCIsCiAgICAiMy4xMCIsCiAgICAiMy4xMiIsCiAgICAiMy4xNCIsCiAgICAiMy4xNiIsCiAgICAiMy4xOCIsCiAgICAiMy4yMCIsCiAgICAiMy4yMiIsCiAgICAiMy4yNCIsCiAgICAiMy4yNiIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9hbWlhZC9nbm9tZS1oZGF0ZSIsCiAgInV1aWQiOiAiaGRhdGVAaGF0dWwuaW5mbyIsCiAgInZlcnNpb24iOiAyNQp9"},
"42": {"version": "25", "sha256": "0nxn93jxagzld6x0rxi56q1y8lcjzh2p82jhxli90cg940w38jj9", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgSGVicmV3IERhdGUgaW4gdGhlIFBhbmVsLlxuUmVxdWlyZXMgbGliaGRhdGUtZ2xpYiIsCiAgIm5hbWUiOiAiR25vbWUgSERhdGUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMiIsCiAgICAiMy40IiwKICAgICIzLjYiLAogICAgIjMuOCIsCiAgICAiMy4xMCIsCiAgICAiMy4xMiIsCiAgICAiMy4xNCIsCiAgICAiMy4xNiIsCiAgICAiMy4xOCIsCiAgICAiMy4yMCIsCiAgICAiMy4yMiIsCiAgICAiMy4yNCIsCiAgICAiMy4yNiIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9hbWlhZC9nbm9tZS1oZGF0ZSIsCiAgInV1aWQiOiAiaGRhdGVAaGF0dWwuaW5mbyIsCiAgInZlcnNpb24iOiAyNQp9"},
"43": {"version": "25", "sha256": "0nxn93jxagzld6x0rxi56q1y8lcjzh2p82jhxli90cg940w38jj9", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgSGVicmV3IERhdGUgaW4gdGhlIFBhbmVsLlxuUmVxdWlyZXMgbGliaGRhdGUtZ2xpYiIsCiAgIm5hbWUiOiAiR25vbWUgSERhdGUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMiIsCiAgICAiMy40IiwKICAgICIzLjYiLAogICAgIjMuOCIsCiAgICAiMy4xMCIsCiAgICAiMy4xMiIsCiAgICAiMy4xNCIsCiAgICAiMy4xNiIsCiAgICAiMy4xOCIsCiAgICAiMy4yMCIsCiAgICAiMy4yMiIsCiAgICAiMy4yNCIsCiAgICAiMy4yNiIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9hbWlhZC9nbm9tZS1oZGF0ZSIsCiAgInV1aWQiOiAiaGRhdGVAaGF0dWwuaW5mbyIsCiAgInZlcnNpb24iOiAyNQp9"},
- "45": {"version": "27", "sha256": "1vxjml6kms07vyavn00ng8vbim782dsyrgbxwni32brvib0fi914", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgSGVicmV3IERhdGUgaW4gdGhlIFBhbmVsLlxuUmVxdWlyZXMgbGliaGRhdGUtZ2xpYiIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLWhkYXRlIiwKICAibmFtZSI6ICJHbm9tZSBIRGF0ZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9hbWlhZC9nbm9tZS1oZGF0ZSIsCiAgInV1aWQiOiAiaGRhdGVAaGF0dWwuaW5mbyIsCiAgInZlcnNpb24iOiAyNwp9"}
+ "45": {"version": "28", "sha256": "1wgc073mal2diar7my0lnh3gfp19879738bz7cahypai5blq12vj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgSGVicmV3IERhdGUgaW4gdGhlIFBhbmVsLlxuUmVxdWlyZXMgbGliaGRhdGUtZ2xpYiIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLWhkYXRlIiwKICAibmFtZSI6ICJHbm9tZSBIRGF0ZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9hbWlhZC9nbm9tZS1oZGF0ZSIsCiAgInV1aWQiOiAiaGRhdGVAaGF0dWwuaW5mbyIsCiAgInZlcnNpb24iOiAyOAp9"}
}}
, {"uuid": "todo.txt@bart.libert.gmail.com", "name": "Todo.txt", "pname": "todotxt", "description": "A Gnome shell interface for todo.txt. \n\nTodo.txt is a future-proof syntax for tasks (not made by me), for more info: http://todotxt.com/\n\nSome examples:\nTask: Basic task\n(A) Task: High priority task\nTask @project +context: Task is part of project and has a certain context\nx 2013-08-22 Task: Task was completed on the 22nd of August\n\nFor more info about the syntax: https://github.com/ginatrapani/todo.txt-cli/wiki/The-Todo.txt-Format\n\nQuick start:\nWhen you first enable the extension, chances are high you'll see a [X] in your top panel. If you click the [X], you will be able to choose between creating the necessary files automatically or selecting your own existing files to be used with the extension.\n\nPlease use the issue tracker on the homepage to report bugs and/or file feature requests, this makes tracking easier for me. Thanks!\n\nSee the included CHANGELOG.md for info about changes between different versions, or see it online: https://gitlab.com/todo.txt-gnome-shell-extension/todo-txt-gnome-shell-extension/-/blob/master/CHANGELOG.md", "link": "https://extensions.gnome.org/extension/570/todotxt/", "shell_version_map": {
"38": {"version": "33", "sha256": "1b0482ibbsvi4bhkl6a8gr0kv63cnbgaim02ysi0plqg4bcirxk2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR25vbWUgc2hlbGwgaW50ZXJmYWNlIGZvciB0b2RvLnR4dC4gXG5cblRvZG8udHh0IGlzIGEgZnV0dXJlLXByb29mIHN5bnRheCBmb3IgdGFza3MgKG5vdCBtYWRlIGJ5IG1lKSwgZm9yIG1vcmUgaW5mbzogaHR0cDovL3RvZG90eHQuY29tL1xuXG5Tb21lIGV4YW1wbGVzOlxuVGFzazogQmFzaWMgdGFza1xuKEEpIFRhc2s6IEhpZ2ggcHJpb3JpdHkgdGFza1xuVGFzayBAcHJvamVjdCArY29udGV4dDogVGFzayBpcyBwYXJ0IG9mIHByb2plY3QgYW5kIGhhcyBhIGNlcnRhaW4gY29udGV4dFxueCAyMDEzLTA4LTIyIFRhc2s6IFRhc2sgd2FzIGNvbXBsZXRlZCBvbiB0aGUgMjJuZCBvZiBBdWd1c3RcblxuRm9yIG1vcmUgaW5mbyBhYm91dCB0aGUgc3ludGF4OiBodHRwczovL2dpdGh1Yi5jb20vZ2luYXRyYXBhbmkvdG9kby50eHQtY2xpL3dpa2kvVGhlLVRvZG8udHh0LUZvcm1hdFxuXG5RdWljayBzdGFydDpcbldoZW4geW91IGZpcnN0IGVuYWJsZSB0aGUgZXh0ZW5zaW9uLCBjaGFuY2VzIGFyZSBoaWdoIHlvdSdsbCBzZWUgYSBbWF0gaW4geW91ciB0b3AgcGFuZWwuIElmIHlvdSBjbGljayB0aGUgW1hdLCB5b3Ugd2lsbCBiZSBhYmxlIHRvIGNob29zZSBiZXR3ZWVuIGNyZWF0aW5nIHRoZSBuZWNlc3NhcnkgZmlsZXMgYXV0b21hdGljYWxseSBvciBzZWxlY3RpbmcgeW91ciBvd24gZXhpc3RpbmcgZmlsZXMgdG8gYmUgdXNlZCB3aXRoIHRoZSBleHRlbnNpb24uXG5cblBsZWFzZSB1c2UgdGhlIGlzc3VlIHRyYWNrZXIgb24gdGhlIGhvbWVwYWdlIHRvIHJlcG9ydCBidWdzIGFuZC9vciBmaWxlIGZlYXR1cmUgcmVxdWVzdHMsIHRoaXMgbWFrZXMgdHJhY2tpbmcgZWFzaWVyIGZvciBtZS4gVGhhbmtzIVxuXG5TZWUgdGhlIGluY2x1ZGVkIENIQU5HRUxPRy5tZCBmb3IgaW5mbyBhYm91dCBjaGFuZ2VzIGJldHdlZW4gZGlmZmVyZW50IHZlcnNpb25zLCBvciBzZWUgaXQgb25saW5lOiBodHRwczovL2dpdGxhYi5jb20vdG9kby50eHQtZ25vbWUtc2hlbGwtZXh0ZW5zaW9uL3RvZG8tdHh0LWdub21lLXNoZWxsLWV4dGVuc2lvbi8tL2Jsb2IvbWFzdGVyL0NIQU5HRUxPRy5tZCIsCiAgIm5hbWUiOiAiVG9kby50eHQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS90b2RvLnR4dC1nbm9tZS1zaGVsbC1leHRlbnNpb24vdG9kby10eHQtZ25vbWUtc2hlbGwtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJ0b2RvLnR4dEBiYXJ0LmxpYmVydC5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMzMKfQ=="},
@@ -343,7 +344,7 @@
"42": {"version": "41", "sha256": "071m9z08bipyjfbvzyd00cdd0qrjz8ix6p2rjkqcjqgv102hldb7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUgYmF0dGVyeSBpY29uIGluIHRvcCBwYW5lbCwgaWYgYmF0dGVyeSBpcyBmdWxseSBjaGFyZ2VkIGFuZCBBQyBpcyBjb25uZWN0ZWQiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJhdXRvaGlkZS1iYXR0ZXJ5IiwKICAibmFtZSI6ICJBdXRvaGlkZSBCYXR0ZXJ5IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9haS9hdXRvaGlkZS1iYXR0ZXJ5IiwKICAidXVpZCI6ICJhdXRvaGlkZS1iYXR0ZXJ5QHNpdG5pay5ydSIsCiAgInZlcnNpb24iOiA0MQp9"},
"43": {"version": "41", "sha256": "071m9z08bipyjfbvzyd00cdd0qrjz8ix6p2rjkqcjqgv102hldb7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUgYmF0dGVyeSBpY29uIGluIHRvcCBwYW5lbCwgaWYgYmF0dGVyeSBpcyBmdWxseSBjaGFyZ2VkIGFuZCBBQyBpcyBjb25uZWN0ZWQiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJhdXRvaGlkZS1iYXR0ZXJ5IiwKICAibmFtZSI6ICJBdXRvaGlkZSBCYXR0ZXJ5IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9haS9hdXRvaGlkZS1iYXR0ZXJ5IiwKICAidXVpZCI6ICJhdXRvaGlkZS1iYXR0ZXJ5QHNpdG5pay5ydSIsCiAgInZlcnNpb24iOiA0MQp9"},
"44": {"version": "41", "sha256": "071m9z08bipyjfbvzyd00cdd0qrjz8ix6p2rjkqcjqgv102hldb7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUgYmF0dGVyeSBpY29uIGluIHRvcCBwYW5lbCwgaWYgYmF0dGVyeSBpcyBmdWxseSBjaGFyZ2VkIGFuZCBBQyBpcyBjb25uZWN0ZWQiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJhdXRvaGlkZS1iYXR0ZXJ5IiwKICAibmFtZSI6ICJBdXRvaGlkZSBCYXR0ZXJ5IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9haS9hdXRvaGlkZS1iYXR0ZXJ5IiwKICAidXVpZCI6ICJhdXRvaGlkZS1iYXR0ZXJ5QHNpdG5pay5ydSIsCiAgInZlcnNpb24iOiA0MQp9"},
- "45": {"version": "45", "sha256": "0kb47vx9nnbkkbcwgs6l9y21wlh1a3030kshwb6xrhy2iy390p0j", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUgYmF0dGVyeSBpY29uIGluIHRvcCBwYW5lbCwgaWYgYmF0dGVyeSBpcyBmdWxseSBjaGFyZ2VkIGFuZCBBQyBpcyBjb25uZWN0ZWQiLAogICJkb25hdGlvbnMiOiB7CiAgICAiZ2l0aHViIjogImFpIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogImF1dG9oaWRlLWJhdHRlcnkiLAogICJuYW1lIjogIkF1dG9oaWRlIEJhdHRlcnkiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYXV0b2hpZGUtYmF0dGVyeSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9haS9hdXRvaGlkZS1iYXR0ZXJ5IiwKICAidXVpZCI6ICJhdXRvaGlkZS1iYXR0ZXJ5QHNpdG5pay5ydSIsCiAgInZlcnNpb24iOiA0NQp9"}
+ "45": {"version": "46", "sha256": "19rhp40wdskf513ki5sm16pa1ka7fhcqsxk1gq4ngsfirbfc8lh1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUgYmF0dGVyeSBpY29uIGluIHRvcCBwYW5lbCwgaWYgYmF0dGVyeSBpcyBmdWxseSBjaGFyZ2VkIGFuZCBBQyBpcyBjb25uZWN0ZWQiLAogICJkb25hdGlvbnMiOiB7CiAgICAiZ2l0aHViIjogImFpIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogImF1dG9oaWRlLWJhdHRlcnkiLAogICJuYW1lIjogIkF1dG9oaWRlIEJhdHRlcnkiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYXV0b2hpZGUtYmF0dGVyeSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiLAogICAgIjQ2IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYWkvYXV0b2hpZGUtYmF0dGVyeSIsCiAgInV1aWQiOiAiYXV0b2hpZGUtYmF0dGVyeUBzaXRuaWsucnUiLAogICJ2ZXJzaW9uIjogNDYKfQ=="}
}}
, {"uuid": "launch-new-instance@gnome-shell-extensions.gcampax.github.com", "name": "Launch new instance", "pname": "launch-new-instance", "description": "Always launch a new instance when clicking in the dash or the application view.\nThis extension is part of Classic Mode and is officially supported by GNOME. Please do not report bugs using the form below, use GNOME's GitLab instance instead.", "link": "https://extensions.gnome.org/extension/600/launch-new-instance/", "shell_version_map": {
"38": {"version": "29", "sha256": "0qb1ajjwm076zxsd314n7f5vl72ih7j4h9y84bqwb9cxa53mp4g4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsd2F5cyBsYXVuY2ggYSBuZXcgaW5zdGFuY2Ugd2hlbiBjbGlja2luZyBpbiB0aGUgZGFzaCBvciB0aGUgYXBwbGljYXRpb24gdmlldy5cblRoaXMgZXh0ZW5zaW9uIGlzIHBhcnQgb2YgQ2xhc3NpYyBNb2RlIGFuZCBpcyBvZmZpY2lhbGx5IHN1cHBvcnRlZCBieSBHTk9NRS4gUGxlYXNlIGRvIG5vdCByZXBvcnQgYnVncyB1c2luZyB0aGUgZm9ybSBiZWxvdywgdXNlIEdOT01FJ3MgR2l0TGFiIGluc3RhbmNlIGluc3RlYWQuIiwKICAiZXh0ZW5zaW9uLWlkIjogImxhdW5jaC1uZXctaW5zdGFuY2UiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb25zIiwKICAibmFtZSI6ICJMYXVuY2ggbmV3IGluc3RhbmNlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmxhdW5jaC1uZXctaW5zdGFuY2UiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmdub21lLm9yZy9HTk9NRS9nbm9tZS1zaGVsbC1leHRlbnNpb25zIiwKICAidXVpZCI6ICJsYXVuY2gtbmV3LWluc3RhbmNlQGdub21lLXNoZWxsLWV4dGVuc2lvbnMuZ2NhbXBheC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDI5Cn0="},
@@ -586,6 +587,14 @@
"38": {"version": "20", "sha256": "15n816y34qlc7va72q75ngzw1my3n5j7xhg9a6dc0g8q4dd0g2r9", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1haWwgaW5kaWNhdG9yIChHTWFpbCwgSU1BUCwgUE9QKSBmb3IgR05PTUUuXG5cblBsZWFzZSBub3RlIHRoYXQgdGhpcyBleHRlbnNpb24gcmVxdWlyZXMgdGhlIG1haWxuYWcgZGFlbW9uLlxuSW5zdGFsbCBpdCBmcm9tIHlvdXIgZGlzdHJvcyBwYWNrYWdlIHJlcG9zaXRvcmllcyBvciBnZXQgaXQgaGVyZTpcbmh0dHBzOi8vZ2l0aHViLmNvbS9wdWxiL21haWxuYWdcblxuUGxlYXNlIGFsc28gbm90ZSB0aGF0IHRoaXMgdmVyc2lvbiBvZiB0aGUgZXh0ZW5zaW9uIGRvZXMgbm90IHN1cHBvcnQgYXZhdGFycyAoYXMgc2hvd24gaW4gdGhlIHNjcmVlbnNob3QpLlxuSWYgeW91IGxpa2UgdG8gaGF2ZSBhdmF0YXIgc3VwcG9ydCBpbnN0YWxsIHRoaXMgZXh0ZW5zaW9uIGZyb20geW91ciBkaXN0cm9zIHBhY2thZ2UgcmVwb3NpdG9yaWVzIG9yIGdldCBhIHBhY2thZ2UgZnJvbSBoZXJlOlxuaHR0cHM6Ly9naXRodWIuY29tL3B1bGIvbWFpbG5hZy1nbm9tZS1zaGVsbFxuXG5JTVBPUlRBTlQ6XG5JIGRvIG5vdCBnZXQgbm90aWZpY2F0aW9ucyBmb3IgdXNlciBjb21tZW50cy4gUGxlYXNlIGFsd2F5cyByZXBvcnQgYnVncyBoZXJlOlxuaHR0cHM6Ly9naXRodWIuY29tL3B1bGIvbWFpbG5hZy1nbm9tZS1zaGVsbC9pc3N1ZXMiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJtYWlsbmFnLWdub21lLXNoZWxsIiwKICAibmFtZSI6ICJNYWlsbmFnIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm1haWxuYWciLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAibWFpbG5hZ0BwdWxiLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMjAKfQ=="},
"40": {"version": "21", "sha256": "060lmc6jacjv1p4a6n7c3l0kmfskq012pgrf2gar0kf49lqrp665", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1haWwgaW5kaWNhdG9yIChHTWFpbCwgSU1BUCwgUE9QKSBmb3IgR05PTUUuXG5cblBsZWFzZSBub3RlIHRoYXQgdGhpcyBleHRlbnNpb24gcmVxdWlyZXMgdGhlIG1haWxuYWcgZGFlbW9uLlxuSW5zdGFsbCBpdCBmcm9tIHlvdXIgZGlzdHJvcyBwYWNrYWdlIHJlcG9zaXRvcmllcyBvciBnZXQgaXQgaGVyZTpcbmh0dHBzOi8vZ2l0aHViLmNvbS9wdWxiL21haWxuYWdcblxuUGxlYXNlIGFsc28gbm90ZSB0aGF0IHRoaXMgdmVyc2lvbiBvZiB0aGUgZXh0ZW5zaW9uIGRvZXMgbm90IHN1cHBvcnQgYXZhdGFycyAoYXMgc2hvd24gaW4gdGhlIHNjcmVlbnNob3QpLlxuSWYgeW91IGxpa2UgdG8gaGF2ZSBhdmF0YXIgc3VwcG9ydCBpbnN0YWxsIHRoaXMgZXh0ZW5zaW9uIGZyb20geW91ciBkaXN0cm9zIHBhY2thZ2UgcmVwb3NpdG9yaWVzIG9yIGdldCBhIHBhY2thZ2UgZnJvbSBoZXJlOlxuaHR0cHM6Ly9naXRodWIuY29tL3B1bGIvbWFpbG5hZy1nbm9tZS1zaGVsbFxuXG5JTVBPUlRBTlQ6XG5JIGRvIG5vdCBnZXQgbm90aWZpY2F0aW9ucyBmb3IgdXNlciBjb21tZW50cy4gUGxlYXNlIGFsd2F5cyByZXBvcnQgYnVncyBoZXJlOlxuaHR0cHM6Ly9naXRodWIuY29tL3B1bGIvbWFpbG5hZy1nbm9tZS1zaGVsbC9pc3N1ZXMiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJtYWlsbmFnLWdub21lLXNoZWxsIiwKICAibmFtZSI6ICJNYWlsbmFnIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm1haWxuYWciLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIgogIF0sCiAgInVybCI6ICIiLAogICJ1dWlkIjogIm1haWxuYWdAcHVsYi5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDIxCn0="}
}}
+, {"uuid": "workspace-isolated-dash@n-yuki", "name": "Workspace Isolated Dash", "pname": "workspace-isolated-dash", "description": "Isolate workspaces, making the Overview and App Switcher look and behave as if the active workspace is the only workspace (except the workspace switcher).\nThis means it will only show an app icon in the dash if the application has a window on the active workspace (unless they are favourited), activating an application will try open a new window if there are no existing windows on the active workspace, and the overview will only display app icons as 'running' if the application has a window on the active workspace.", "link": "https://extensions.gnome.org/extension/887/workspace-isolated-dash/", "shell_version_map": {
+ "38": {"version": "17", "sha256": "0n50lq6fmziamzyxbamwbq2mykl0ni86pkqmjhmwsssiavdr4w5j", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIklzb2xhdGUgd29ya3NwYWNlcywgbWFraW5nIHRoZSBPdmVydmlldyBhbmQgQXBwIFN3aXRjaGVyIGxvb2sgYW5kIGJlaGF2ZSBhcyBpZiB0aGUgYWN0aXZlIHdvcmtzcGFjZSBpcyB0aGUgb25seSB3b3Jrc3BhY2UgKGV4Y2VwdCB0aGUgd29ya3NwYWNlIHN3aXRjaGVyKS5cblRoaXMgbWVhbnMgaXQgd2lsbCBvbmx5IHNob3cgYW4gYXBwIGljb24gaW4gdGhlIGRhc2ggaWYgdGhlIGFwcGxpY2F0aW9uIGhhcyBhIHdpbmRvdyBvbiB0aGUgYWN0aXZlIHdvcmtzcGFjZSAodW5sZXNzIHRoZXkgYXJlIGZhdm91cml0ZWQpLCBhY3RpdmF0aW5nIGFuIGFwcGxpY2F0aW9uIHdpbGwgdHJ5IG9wZW4gYSBuZXcgd2luZG93IGlmIHRoZXJlIGFyZSBubyBleGlzdGluZyB3aW5kb3dzIG9uIHRoZSBhY3RpdmUgd29ya3NwYWNlLCBhbmQgdGhlIG92ZXJ2aWV3IHdpbGwgb25seSBkaXNwbGF5IGFwcCBpY29ucyBhcyAncnVubmluZycgaWYgdGhlIGFwcGxpY2F0aW9uIGhhcyBhIHdpbmRvdyBvbiB0aGUgYWN0aXZlIHdvcmtzcGFjZS4iLAogICJuYW1lIjogIldvcmtzcGFjZSBJc29sYXRlZCBEYXNoIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm4teXVraS53b3Jrc3BhY2UtaXNvbGF0ZWQtZGFzaCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vTi1ZdWtpL2dub21lLXNoZWxsLWV4dGVuc2lvbi13b3Jrc3BhY2UtaXNvbGF0ZWQtZGFzaCIsCiAgInV1aWQiOiAid29ya3NwYWNlLWlzb2xhdGVkLWRhc2hAbi15dWtpIiwKICAidmVyc2lvbiI6IDE3Cn0="},
+ "40": {"version": "17", "sha256": "0n50lq6fmziamzyxbamwbq2mykl0ni86pkqmjhmwsssiavdr4w5j", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIklzb2xhdGUgd29ya3NwYWNlcywgbWFraW5nIHRoZSBPdmVydmlldyBhbmQgQXBwIFN3aXRjaGVyIGxvb2sgYW5kIGJlaGF2ZSBhcyBpZiB0aGUgYWN0aXZlIHdvcmtzcGFjZSBpcyB0aGUgb25seSB3b3Jrc3BhY2UgKGV4Y2VwdCB0aGUgd29ya3NwYWNlIHN3aXRjaGVyKS5cblRoaXMgbWVhbnMgaXQgd2lsbCBvbmx5IHNob3cgYW4gYXBwIGljb24gaW4gdGhlIGRhc2ggaWYgdGhlIGFwcGxpY2F0aW9uIGhhcyBhIHdpbmRvdyBvbiB0aGUgYWN0aXZlIHdvcmtzcGFjZSAodW5sZXNzIHRoZXkgYXJlIGZhdm91cml0ZWQpLCBhY3RpdmF0aW5nIGFuIGFwcGxpY2F0aW9uIHdpbGwgdHJ5IG9wZW4gYSBuZXcgd2luZG93IGlmIHRoZXJlIGFyZSBubyBleGlzdGluZyB3aW5kb3dzIG9uIHRoZSBhY3RpdmUgd29ya3NwYWNlLCBhbmQgdGhlIG92ZXJ2aWV3IHdpbGwgb25seSBkaXNwbGF5IGFwcCBpY29ucyBhcyAncnVubmluZycgaWYgdGhlIGFwcGxpY2F0aW9uIGhhcyBhIHdpbmRvdyBvbiB0aGUgYWN0aXZlIHdvcmtzcGFjZS4iLAogICJuYW1lIjogIldvcmtzcGFjZSBJc29sYXRlZCBEYXNoIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm4teXVraS53b3Jrc3BhY2UtaXNvbGF0ZWQtZGFzaCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vTi1ZdWtpL2dub21lLXNoZWxsLWV4dGVuc2lvbi13b3Jrc3BhY2UtaXNvbGF0ZWQtZGFzaCIsCiAgInV1aWQiOiAid29ya3NwYWNlLWlzb2xhdGVkLWRhc2hAbi15dWtpIiwKICAidmVyc2lvbiI6IDE3Cn0="},
+ "41": {"version": "17", "sha256": "0n50lq6fmziamzyxbamwbq2mykl0ni86pkqmjhmwsssiavdr4w5j", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIklzb2xhdGUgd29ya3NwYWNlcywgbWFraW5nIHRoZSBPdmVydmlldyBhbmQgQXBwIFN3aXRjaGVyIGxvb2sgYW5kIGJlaGF2ZSBhcyBpZiB0aGUgYWN0aXZlIHdvcmtzcGFjZSBpcyB0aGUgb25seSB3b3Jrc3BhY2UgKGV4Y2VwdCB0aGUgd29ya3NwYWNlIHN3aXRjaGVyKS5cblRoaXMgbWVhbnMgaXQgd2lsbCBvbmx5IHNob3cgYW4gYXBwIGljb24gaW4gdGhlIGRhc2ggaWYgdGhlIGFwcGxpY2F0aW9uIGhhcyBhIHdpbmRvdyBvbiB0aGUgYWN0aXZlIHdvcmtzcGFjZSAodW5sZXNzIHRoZXkgYXJlIGZhdm91cml0ZWQpLCBhY3RpdmF0aW5nIGFuIGFwcGxpY2F0aW9uIHdpbGwgdHJ5IG9wZW4gYSBuZXcgd2luZG93IGlmIHRoZXJlIGFyZSBubyBleGlzdGluZyB3aW5kb3dzIG9uIHRoZSBhY3RpdmUgd29ya3NwYWNlLCBhbmQgdGhlIG92ZXJ2aWV3IHdpbGwgb25seSBkaXNwbGF5IGFwcCBpY29ucyBhcyAncnVubmluZycgaWYgdGhlIGFwcGxpY2F0aW9uIGhhcyBhIHdpbmRvdyBvbiB0aGUgYWN0aXZlIHdvcmtzcGFjZS4iLAogICJuYW1lIjogIldvcmtzcGFjZSBJc29sYXRlZCBEYXNoIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm4teXVraS53b3Jrc3BhY2UtaXNvbGF0ZWQtZGFzaCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vTi1ZdWtpL2dub21lLXNoZWxsLWV4dGVuc2lvbi13b3Jrc3BhY2UtaXNvbGF0ZWQtZGFzaCIsCiAgInV1aWQiOiAid29ya3NwYWNlLWlzb2xhdGVkLWRhc2hAbi15dWtpIiwKICAidmVyc2lvbiI6IDE3Cn0="},
+ "42": {"version": "17", "sha256": "0n50lq6fmziamzyxbamwbq2mykl0ni86pkqmjhmwsssiavdr4w5j", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIklzb2xhdGUgd29ya3NwYWNlcywgbWFraW5nIHRoZSBPdmVydmlldyBhbmQgQXBwIFN3aXRjaGVyIGxvb2sgYW5kIGJlaGF2ZSBhcyBpZiB0aGUgYWN0aXZlIHdvcmtzcGFjZSBpcyB0aGUgb25seSB3b3Jrc3BhY2UgKGV4Y2VwdCB0aGUgd29ya3NwYWNlIHN3aXRjaGVyKS5cblRoaXMgbWVhbnMgaXQgd2lsbCBvbmx5IHNob3cgYW4gYXBwIGljb24gaW4gdGhlIGRhc2ggaWYgdGhlIGFwcGxpY2F0aW9uIGhhcyBhIHdpbmRvdyBvbiB0aGUgYWN0aXZlIHdvcmtzcGFjZSAodW5sZXNzIHRoZXkgYXJlIGZhdm91cml0ZWQpLCBhY3RpdmF0aW5nIGFuIGFwcGxpY2F0aW9uIHdpbGwgdHJ5IG9wZW4gYSBuZXcgd2luZG93IGlmIHRoZXJlIGFyZSBubyBleGlzdGluZyB3aW5kb3dzIG9uIHRoZSBhY3RpdmUgd29ya3NwYWNlLCBhbmQgdGhlIG92ZXJ2aWV3IHdpbGwgb25seSBkaXNwbGF5IGFwcCBpY29ucyBhcyAncnVubmluZycgaWYgdGhlIGFwcGxpY2F0aW9uIGhhcyBhIHdpbmRvdyBvbiB0aGUgYWN0aXZlIHdvcmtzcGFjZS4iLAogICJuYW1lIjogIldvcmtzcGFjZSBJc29sYXRlZCBEYXNoIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm4teXVraS53b3Jrc3BhY2UtaXNvbGF0ZWQtZGFzaCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vTi1ZdWtpL2dub21lLXNoZWxsLWV4dGVuc2lvbi13b3Jrc3BhY2UtaXNvbGF0ZWQtZGFzaCIsCiAgInV1aWQiOiAid29ya3NwYWNlLWlzb2xhdGVkLWRhc2hAbi15dWtpIiwKICAidmVyc2lvbiI6IDE3Cn0="},
+ "43": {"version": "17", "sha256": "0n50lq6fmziamzyxbamwbq2mykl0ni86pkqmjhmwsssiavdr4w5j", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIklzb2xhdGUgd29ya3NwYWNlcywgbWFraW5nIHRoZSBPdmVydmlldyBhbmQgQXBwIFN3aXRjaGVyIGxvb2sgYW5kIGJlaGF2ZSBhcyBpZiB0aGUgYWN0aXZlIHdvcmtzcGFjZSBpcyB0aGUgb25seSB3b3Jrc3BhY2UgKGV4Y2VwdCB0aGUgd29ya3NwYWNlIHN3aXRjaGVyKS5cblRoaXMgbWVhbnMgaXQgd2lsbCBvbmx5IHNob3cgYW4gYXBwIGljb24gaW4gdGhlIGRhc2ggaWYgdGhlIGFwcGxpY2F0aW9uIGhhcyBhIHdpbmRvdyBvbiB0aGUgYWN0aXZlIHdvcmtzcGFjZSAodW5sZXNzIHRoZXkgYXJlIGZhdm91cml0ZWQpLCBhY3RpdmF0aW5nIGFuIGFwcGxpY2F0aW9uIHdpbGwgdHJ5IG9wZW4gYSBuZXcgd2luZG93IGlmIHRoZXJlIGFyZSBubyBleGlzdGluZyB3aW5kb3dzIG9uIHRoZSBhY3RpdmUgd29ya3NwYWNlLCBhbmQgdGhlIG92ZXJ2aWV3IHdpbGwgb25seSBkaXNwbGF5IGFwcCBpY29ucyBhcyAncnVubmluZycgaWYgdGhlIGFwcGxpY2F0aW9uIGhhcyBhIHdpbmRvdyBvbiB0aGUgYWN0aXZlIHdvcmtzcGFjZS4iLAogICJuYW1lIjogIldvcmtzcGFjZSBJc29sYXRlZCBEYXNoIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm4teXVraS53b3Jrc3BhY2UtaXNvbGF0ZWQtZGFzaCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vTi1ZdWtpL2dub21lLXNoZWxsLWV4dGVuc2lvbi13b3Jrc3BhY2UtaXNvbGF0ZWQtZGFzaCIsCiAgInV1aWQiOiAid29ya3NwYWNlLWlzb2xhdGVkLWRhc2hAbi15dWtpIiwKICAidmVyc2lvbiI6IDE3Cn0="},
+ "44": {"version": "17", "sha256": "0n50lq6fmziamzyxbamwbq2mykl0ni86pkqmjhmwsssiavdr4w5j", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIklzb2xhdGUgd29ya3NwYWNlcywgbWFraW5nIHRoZSBPdmVydmlldyBhbmQgQXBwIFN3aXRjaGVyIGxvb2sgYW5kIGJlaGF2ZSBhcyBpZiB0aGUgYWN0aXZlIHdvcmtzcGFjZSBpcyB0aGUgb25seSB3b3Jrc3BhY2UgKGV4Y2VwdCB0aGUgd29ya3NwYWNlIHN3aXRjaGVyKS5cblRoaXMgbWVhbnMgaXQgd2lsbCBvbmx5IHNob3cgYW4gYXBwIGljb24gaW4gdGhlIGRhc2ggaWYgdGhlIGFwcGxpY2F0aW9uIGhhcyBhIHdpbmRvdyBvbiB0aGUgYWN0aXZlIHdvcmtzcGFjZSAodW5sZXNzIHRoZXkgYXJlIGZhdm91cml0ZWQpLCBhY3RpdmF0aW5nIGFuIGFwcGxpY2F0aW9uIHdpbGwgdHJ5IG9wZW4gYSBuZXcgd2luZG93IGlmIHRoZXJlIGFyZSBubyBleGlzdGluZyB3aW5kb3dzIG9uIHRoZSBhY3RpdmUgd29ya3NwYWNlLCBhbmQgdGhlIG92ZXJ2aWV3IHdpbGwgb25seSBkaXNwbGF5IGFwcCBpY29ucyBhcyAncnVubmluZycgaWYgdGhlIGFwcGxpY2F0aW9uIGhhcyBhIHdpbmRvdyBvbiB0aGUgYWN0aXZlIHdvcmtzcGFjZS4iLAogICJuYW1lIjogIldvcmtzcGFjZSBJc29sYXRlZCBEYXNoIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm4teXVraS53b3Jrc3BhY2UtaXNvbGF0ZWQtZGFzaCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vTi1ZdWtpL2dub21lLXNoZWxsLWV4dGVuc2lvbi13b3Jrc3BhY2UtaXNvbGF0ZWQtZGFzaCIsCiAgInV1aWQiOiAid29ya3NwYWNlLWlzb2xhdGVkLWRhc2hAbi15dWtpIiwKICAidmVyc2lvbiI6IDE3Cn0="}
+ }}
, {"uuid": "mmod-panel@mmogp.com", "name": "MMOD Panel", "pname": "mmod-panel", "description": "Upgrades the Topbar in Gnome3, creating a customizable panel and providing options for fine-tuning your Desktop Experience.\n\nFeatures include:\n▸ Set comfort levels to provide theme support and fine-tune the overall look and feel of the panel.\n▸ Set the location/position of the panel (bottom by default).\n▸ Add a button to the panel in place of the activities link, using an icon of your preference.\n▸ Auto-hide the panel when not active/in-focus (makes use of pressure/gesture for showing the panel).\n▸ Display and manage your favorites/running apps directly on the panel.\n▸ Move the date menu to the aggregate/tray area.\n▸ Access and manage your extension preferences directly from the aggregate menu.\n▸ Customize behavior of the overview and panel(hot-corners/animations/effects) to suit your preferences.\n▸ More to come soon!\n\nThis project is loosely based on the Panel Settings extension:\nhttps://github.com/eddiefullmetal/gnome-shell-extensions/tree/master/panelSettings%40eddiefullmetal.gr\n\nSadly, Panel Settings has not seen any maintenance in years, though this is why I decided to create MMOD Panel.\n\nI also took inspiration from the following Gnome extensions: System-Monitor, Taskbar, and DashToDock.\n\nFor those of you who are wondering, the theme used in the screen shot is the Zukitwo-Dark-Shell Shell Theme; \neverything else is default Gnome on Debian Buster. However, the author of the aforementioned shell theme has \nchanged the name for various reasons to Ciliora-Prima-Shell - which can be found here:\n\n http://gnome-look.org/content/show.php?content=165096\n\nFor Gnome-Shell: 3.10, 3.12, 3.12.2, 3.14, 3.14.4, 3.16, 3.16.2, 3.18, 3.20, 3.22, 3.24, 3.26, 3.26.2, 3.28, 3.30, 3.32, 3.34, 3.35, 3.35.91, 3.36, 3.36.3, 3.36.4, 3.36.6, 3.38, 3.38.2, 40.0, 40.5, 41.0 (since version 12.0.0) f\nRik \n\nGerman Translation(s) for MMOD-Panel courtesy of Jonius Zeidler \n\nSource Repository: https://gitlab.com/mmod/mmod-panel/\n", "link": "https://extensions.gnome.org/extension/898/mmod-panel/", "shell_version_map": {
"38": {"version": "12", "sha256": "00sr6dcn7z5a8p9zq2xvvdac828m8f0akz3skb9sb9v8jay0m0hr", "metadata": "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"},
"40": {"version": "12", "sha256": "00sr6dcn7z5a8p9zq2xvvdac828m8f0akz3skb9sb9v8jay0m0hr", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlVwZ3JhZGVzIHRoZSBUb3BiYXIgaW4gR25vbWUzLCBjcmVhdGluZyBhIGN1c3RvbWl6YWJsZSBwYW5lbCBhbmQgcHJvdmlkaW5nIG9wdGlvbnMgZm9yIGZpbmUtdHVuaW5nIHlvdXIgRGVza3RvcCBFeHBlcmllbmNlLlxuXG5GZWF0dXJlcyBpbmNsdWRlOlxuXHUyNWI4IFNldCBjb21mb3J0IGxldmVscyB0byBwcm92aWRlIHRoZW1lIHN1cHBvcnQgYW5kIGZpbmUtdHVuZSB0aGUgb3ZlcmFsbCBsb29rIGFuZCBmZWVsIG9mIHRoZSBwYW5lbC5cblx1MjViOCBTZXQgdGhlIGxvY2F0aW9uL3Bvc2l0aW9uIG9mIHRoZSBwYW5lbCAoYm90dG9tIGJ5IGRlZmF1bHQpLlxuXHUyNWI4IEFkZCBhIGJ1dHRvbiB0byB0aGUgcGFuZWwgaW4gcGxhY2Ugb2YgdGhlIGFjdGl2aXRpZXMgbGluaywgdXNpbmcgYW4gaWNvbiBvZiB5b3VyIHByZWZlcmVuY2UuXG5cdTI1YjggQXV0by1oaWRlIHRoZSBwYW5lbCB3aGVuIG5vdCBhY3RpdmUvaW4tZm9jdXMgKG1ha2VzIHVzZSBvZiBwcmVzc3VyZS9nZXN0dXJlIGZvciBzaG93aW5nIHRoZSBwYW5lbCkuXG5cdTI1YjggRGlzcGxheSBhbmQgbWFuYWdlIHlvdXIgZmF2b3JpdGVzL3J1bm5pbmcgYXBwcyBkaXJlY3RseSBvbiB0aGUgcGFuZWwuXG5cdTI1YjggTW92ZSB0aGUgZGF0ZSBtZW51IHRvIHRoZSBhZ2dyZWdhdGUvdHJheSBhcmVhLlxuXHUyNWI4IEFjY2VzcyBhbmQgbWFuYWdlIHlvdXIgZXh0ZW5zaW9uIHByZWZlcmVuY2VzIGRpcmVjdGx5IGZyb20gdGhlIGFnZ3JlZ2F0ZSBtZW51LlxuXHUyNWI4IEN1c3RvbWl6ZSBiZWhhdmlvciBvZiB0aGUgb3ZlcnZpZXcgYW5kIHBhbmVsKGhvdC1jb3JuZXJzL2FuaW1hdGlvbnMvZWZmZWN0cykgdG8gc3VpdCB5b3VyIHByZWZlcmVuY2VzLlxuXHUyNWI4IE1vcmUgdG8gY29tZSBzb29uIVxuXG5UaGlzIHByb2plY3QgaXMgbG9vc2VseSBiYXNlZCBvbiB0aGUgUGFuZWwgU2V0dGluZ3MgZXh0ZW5zaW9uOlxuaHR0cHM6Ly9naXRodWIuY29tL2VkZGllZnVsbG1ldGFsL2dub21lLXNoZWxsLWV4dGVuc2lvbnMvdHJlZS9tYXN0ZXIvcGFuZWxTZXR0aW5ncyU0MGVkZGllZnVsbG1ldGFsLmdyXG5cblNhZGx5LCBQYW5lbCBTZXR0aW5ncyBoYXMgbm90IHNlZW4gYW55IG1haW50ZW5hbmNlIGluIHllYXJzLCB0aG91Z2ggdGhpcyBpcyB3aHkgSSBkZWNpZGVkIHRvIGNyZWF0ZSBNTU9EIFBhbmVsLlxuXG5JIGFsc28gdG9vayBpbnNwaXJhdGlvbiBmcm9tIHRoZSBmb2xsb3dpbmcgR25vbWUgZXh0ZW5zaW9uczogU3lzdGVtLU1vbml0b3IsIFRhc2tiYXIsIGFuZCBEYXNoVG9Eb2NrLlxuXG5Gb3IgdGhvc2Ugb2YgeW91IHdobyBhcmUgd29uZGVyaW5nLCB0aGUgdGhlbWUgdXNlZCBpbiB0aGUgc2NyZWVuIHNob3QgaXMgdGhlIFp1a2l0d28tRGFyay1TaGVsbCBTaGVsbCBUaGVtZTsgXG5ldmVyeXRoaW5nIGVsc2UgaXMgZGVmYXVsdCBHbm9tZSBvbiBEZWJpYW4gQnVzdGVyLiBIb3dldmVyLCB0aGUgYXV0aG9yIG9mIHRoZSBhZm9yZW1lbnRpb25lZCBzaGVsbCB0aGVtZSBoYXMgXG5jaGFuZ2VkIHRoZSBuYW1lIGZvciB2YXJpb3VzIHJlYXNvbnMgdG8gQ2lsaW9yYS1QcmltYS1TaGVsbCAtIHdoaWNoIGNhbiBiZSBmb3VuZCBoZXJlOlxuXG4gIGh0dHA6Ly9nbm9tZS1sb29rLm9yZy9jb250ZW50L3Nob3cucGhwP2NvbnRlbnQ9MTY1MDk2XG5cbkZvciBHbm9tZS1TaGVsbDogMy4xMCwgMy4xMiwgMy4xMi4yLCAzLjE0LCAzLjE0LjQsIDMuMTYsIDMuMTYuMiwgMy4xOCwgMy4yMCwgMy4yMiwgMy4yNCwgMy4yNiwgMy4yNi4yLCAzLjI4LCAzLjMwLCAzLjMyLCAzLjM0LCAzLjM1LCAzLjM1LjkxLCAzLjM2LCAzLjM2LjMsIDMuMzYuNCwgMy4zNi42LCAzLjM4LCAzLjM4LjIsIDQwLjAsIDQwLjUsIDQxLjAgKHNpbmNlIHZlcnNpb24gMTIuMC4wKSBmXG5SaWsgPHJpa0BtbW9kLmNvPlxuXG5HZXJtYW4gVHJhbnNsYXRpb24ocykgZm9yIE1NT0QtUGFuZWwgY291cnRlc3kgb2YgSm9uaXVzIFplaWRsZXIgPGpvbmF0YW5femVpZGxlckBnbXguZGU+XG5cblNvdXJjZSBSZXBvc2l0b3J5OiBodHRwczovL2dpdGxhYi5jb20vbW1vZC9tbW9kLXBhbmVsL1xuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAibW1vZC1wYW5lbCIsCiAgIm5hbWUiOiAiTU1PRCBQYW5lbCIsCiAgIm9yaWdpbmFsLWF1dGhvciI6ICJyaWtAbW1vZC5jbyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiMy4zNi4zIiwKICAgICIzLjM2LjQiLAogICAgIjMuMzYuNiIsCiAgICAiMy4zOC4yIiwKICAgICI0MC4wIiwKICAgICI0MS4wIiwKICAgICI0MC41IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vbW1vZC9tbW9kLXBhbmVsLyIsCiAgInV1aWQiOiAibW1vZC1wYW5lbEBtbW9ncC5jb20iLAogICJ2ZXJzaW9uIjogMTIKfQ=="},
@@ -689,7 +698,7 @@
"42": {"version": "17", "sha256": "18dx4zwj3ldcwqw3z1f8x4z2q9g7vp53cl7v6lw4dvz9kv47swbi", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3dzIGtleWJvYXJkIG1vZGlmaWVycyBzdGF0dXMuIEl0J3MgdXNlZnVsIHdoZW4gc3RpY2t5IGtleXMgYXJlIGFjdGl2ZS4iLAogICJuYW1lIjogIktleWJvYXJkIE1vZGlmaWVycyBTdGF0dXMiLAogICJvcmlnaW5hbC1hdXRob3IiOiAic25lZXRzaGVyQGxvY2FsaG9zdCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vc25lZXRzaGVyL0tleWJvYXJkLU1vZGlmaWVycy1TdGF0dXMiLAogICJ1dWlkIjogImtleWJvYXJkX21vZGlmaWVyc19zdGF0dXNAc25lZXRzaGVyIiwKICAidmVyc2lvbiI6IDE3Cn0="},
"43": {"version": "17", "sha256": "18dx4zwj3ldcwqw3z1f8x4z2q9g7vp53cl7v6lw4dvz9kv47swbi", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3dzIGtleWJvYXJkIG1vZGlmaWVycyBzdGF0dXMuIEl0J3MgdXNlZnVsIHdoZW4gc3RpY2t5IGtleXMgYXJlIGFjdGl2ZS4iLAogICJuYW1lIjogIktleWJvYXJkIE1vZGlmaWVycyBTdGF0dXMiLAogICJvcmlnaW5hbC1hdXRob3IiOiAic25lZXRzaGVyQGxvY2FsaG9zdCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vc25lZXRzaGVyL0tleWJvYXJkLU1vZGlmaWVycy1TdGF0dXMiLAogICJ1dWlkIjogImtleWJvYXJkX21vZGlmaWVyc19zdGF0dXNAc25lZXRzaGVyIiwKICAidmVyc2lvbiI6IDE3Cn0="},
"44": {"version": "17", "sha256": "18dx4zwj3ldcwqw3z1f8x4z2q9g7vp53cl7v6lw4dvz9kv47swbi", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3dzIGtleWJvYXJkIG1vZGlmaWVycyBzdGF0dXMuIEl0J3MgdXNlZnVsIHdoZW4gc3RpY2t5IGtleXMgYXJlIGFjdGl2ZS4iLAogICJuYW1lIjogIktleWJvYXJkIE1vZGlmaWVycyBTdGF0dXMiLAogICJvcmlnaW5hbC1hdXRob3IiOiAic25lZXRzaGVyQGxvY2FsaG9zdCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vc25lZXRzaGVyL0tleWJvYXJkLU1vZGlmaWVycy1TdGF0dXMiLAogICJ1dWlkIjogImtleWJvYXJkX21vZGlmaWVyc19zdGF0dXNAc25lZXRzaGVyIiwKICAidmVyc2lvbiI6IDE3Cn0="},
- "45": {"version": "20", "sha256": "1kvh8f6xfx4wpinkcshwsvcwp491ikj05bcvabcny2z8y7im3iw7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3dzIGtleWJvYXJkIG1vZGlmaWVycyBzdGF0dXMuIEl0J3MgdXNlZnVsIHdoZW4gc3RpY2t5IGtleXMgYXJlIGFjdGl2ZS4iLAogICJuYW1lIjogIktleWJvYXJkIE1vZGlmaWVycyBTdGF0dXMiLAogICJvcmlnaW5hbC1hdXRob3IiOiAic25lZXRzaGVyQGxvY2FsaG9zdCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zbmVldHNoZXIvS2V5Ym9hcmQtTW9kaWZpZXJzLVN0YXR1cyIsCiAgInV1aWQiOiAia2V5Ym9hcmRfbW9kaWZpZXJzX3N0YXR1c0BzbmVldHNoZXIiLAogICJ2ZXJzaW9uIjogMjAKfQ=="}
+ "45": {"version": "21", "sha256": "0qfq1s2i0c6h8n7f2n8ir5aa6xdp90afkmaspnpsxvlsm0qnx8x1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3dzIGtleWJvYXJkIG1vZGlmaWVycyBzdGF0dXMuIEl0J3MgdXNlZnVsIHdoZW4gc3RpY2t5IGtleXMgYXJlIGFjdGl2ZS4iLAogICJuYW1lIjogIktleWJvYXJkIE1vZGlmaWVycyBTdGF0dXMiLAogICJvcmlnaW5hbC1hdXRob3IiOiAic25lZXRzaGVyQGxvY2FsaG9zdCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiLAogICAgIjQ2IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vc25lZXRzaGVyL0tleWJvYXJkLU1vZGlmaWVycy1TdGF0dXMiLAogICJ1dWlkIjogImtleWJvYXJkX21vZGlmaWVyc19zdGF0dXNAc25lZXRzaGVyIiwKICAidmVyc2lvbiI6IDIxCn0="}
}}
, {"uuid": "thinkpadthermal@moonlight.drive.vk.gmail.com", "name": "ThinkPad Thermal", "pname": "thinkpad-thermal", "description": "ThinkPad thermal monitor, display ThinkPad thermal and fan status using /proc/acpi/ibm/thermal and /proc/acpi/ibm/fan.", "link": "https://extensions.gnome.org/extension/986/thinkpad-thermal/", "shell_version_map": {
"42": {"version": "17", "sha256": "0q8ah8ms6iv24fyl684hbivbnw5d9k4as991zng9k9ywsjlpp40d", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaW5rUGFkIHRoZXJtYWwgbW9uaXRvciwgZGlzcGxheSBUaGlua1BhZCB0aGVybWFsIGFuZCBmYW4gc3RhdHVzIHVzaW5nIC9wcm9jL2FjcGkvaWJtL3RoZXJtYWwgYW5kIC9wcm9jL2FjcGkvaWJtL2Zhbi4iLAogICJuYW1lIjogIlRoaW5rUGFkIFRoZXJtYWwiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9mbXVqYWtpdHovdGhpbmtwYWQtdGhlcm1hbCIsCiAgInV1aWQiOiAidGhpbmtwYWR0aGVybWFsQG1vb25saWdodC5kcml2ZS52ay5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMTcKfQ=="},
@@ -763,13 +772,14 @@
"43": {"version": "26", "sha256": "0qvhpajqnq7cbpkiwlaxp16r1amfv0kk4l98gcj5082vjpp2w9g7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRhc2t3aGlzcGVyZXIgaXMgYSBleHRlbnNpb24gZm9yIFRhc2tXYXJyaW9yIEFwcGxpY2F0aW9uIGh0dHBzOi8vdGFza3dhcnJpb3Iub3JnLiBJdCBpcyB0byBkaXNwbGF5IHVwY29taW5nIHRhc2tzIGFuZCB0YXNrIGRldGFpbHMgYXMgd2VsbCBhcyB0byBjcmVhdGUgYW5kIG1vZGlmeSB0aGVtLlxuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXRhc2t3aGlzcGVyZXIiLAogICJsb2NhbGVkaXIiOiAiL3Vzci9sb2NhbC9zaGFyZS9sb2NhbGUiLAogICJuYW1lIjogIlRhc2tXaGlzcGVyZXIiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudGFza3doaXNwZXJlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vY2luYXRpYy90YXNrd2hpc3BlcmVyIiwKICAidXVpZCI6ICJ0YXNrd2hpc3BlcmVyLWV4dGVuc2lvbkBpbmZpbmljb2RlLmRlIiwKICAidmVyc2lvbiI6IDI2Cn0="},
"44": {"version": "26", "sha256": "0qvhpajqnq7cbpkiwlaxp16r1amfv0kk4l98gcj5082vjpp2w9g7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRhc2t3aGlzcGVyZXIgaXMgYSBleHRlbnNpb24gZm9yIFRhc2tXYXJyaW9yIEFwcGxpY2F0aW9uIGh0dHBzOi8vdGFza3dhcnJpb3Iub3JnLiBJdCBpcyB0byBkaXNwbGF5IHVwY29taW5nIHRhc2tzIGFuZCB0YXNrIGRldGFpbHMgYXMgd2VsbCBhcyB0byBjcmVhdGUgYW5kIG1vZGlmeSB0aGVtLlxuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXRhc2t3aGlzcGVyZXIiLAogICJsb2NhbGVkaXIiOiAiL3Vzci9sb2NhbC9zaGFyZS9sb2NhbGUiLAogICJuYW1lIjogIlRhc2tXaGlzcGVyZXIiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudGFza3doaXNwZXJlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vY2luYXRpYy90YXNrd2hpc3BlcmVyIiwKICAidXVpZCI6ICJ0YXNrd2hpc3BlcmVyLWV4dGVuc2lvbkBpbmZpbmljb2RlLmRlIiwKICAidmVyc2lvbiI6IDI2Cn0="}
}}
-, {"uuid": "randomwallpaper@iflow.space", "name": "Random Wallpaper", "pname": "random-wallpaper", "description": "Fetch a random wallpaper from an online source and set it as a desktop background. \nThe desktop background can be updated periodically or manually.\n\nFeatures:\nMany different online sources with filters:\n - Unsplash (https://unsplash.com/)\n - Wallhaven (https://wallhaven.cc/)\n - Reddit (https://reddit.com)\n - Basically any JSON API/File (see Examples on GitHub)\nHistory of previous images\nSet lock screen image\nAutomatic renewal (Auto-Fetching)", "link": "https://extensions.gnome.org/extension/1040/random-wallpaper/", "shell_version_map": {
+, {"uuid": "randomwallpaper@iflow.space", "name": "Random Wallpaper", "pname": "random-wallpaper", "description": "Load new desktop wallpapers from various online sources with ease!\n\nFeatures:\nVarious configurable wallpaper sources\n--> Unsplash\n--> Wallhaven\n--> Reddit\n--> Basically any JSON API/File (Examples)\n----> Chromecast Images\n----> NASA Picture of the day\n----> Bing Picture of the day\n----> Google Earth View\n--> Local folders\n--> Static URLs\nMultiple sources to create a pool of sources\nHistory of previous images\n--> Save your favourite wallpaper\n--> Add images to a block list\nSet the lock screen background\nTimer based renewal (Auto-Fetching)\n--> Load a new wallpaper on startup\n--> Pause the timer when desired\nSupport for multiple monitors using third party tools\n--> Hydra Paper\n--> Superpaper\nExecute a custom command after every new wallpaper\n", "link": "https://extensions.gnome.org/extension/1040/random-wallpaper/", "shell_version_map": {
"38": {"version": "22", "sha256": "1mnc7k1816r0q8c95y36ryzl9cni6zms5xm587wmdmy4xi92i8ic", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZldGNoIGEgcmFuZG9tIHdhbGxwYXBlciBmcm9tIGFuIG9ubGluZSBzb3VyY2UgYW5kIHNldCBpdCBhcyBhIGRlc2t0b3AgYmFja2dyb3VuZC4gXG5UaGUgZGVza3RvcCBiYWNrZ3JvdW5kIGNhbiBiZSB1cGRhdGVkIHBlcmlvZGljYWxseSBvciBtYW51YWxseS5cblxuRmVhdHVyZXM6XG5NYW55IGRpZmZlcmVudCBvbmxpbmUgc291cmNlcyB3aXRoIGZpbHRlcnM6XG4gICAgICAgIC0gVW5zcGxhc2ggKGh0dHBzOi8vdW5zcGxhc2guY29tLylcbiAgICAgICAgLSBXYWxsaGF2ZW4gKGh0dHBzOi8vd2FsbGhhdmVuLmNjLylcbiAgICAgICAgLSBSZWRkaXQgKGh0dHBzOi8vcmVkZGl0LmNvbSlcbiAgICAgICAgLSBCYXNpY2FsbHkgYW55IEpTT04gQVBJL0ZpbGUgKHNlZSBFeGFtcGxlcyBvbiBHaXRIdWIpXG5IaXN0b3J5IG9mIHByZXZpb3VzIGltYWdlc1xuU2V0IGxvY2sgc2NyZWVuIGltYWdlXG5BdXRvbWF0aWMgcmVuZXdhbCAoQXV0by1GZXRjaGluZykiLAogICJuYW1lIjogIlJhbmRvbSBXYWxscGFwZXIiLAogICJzZW1hbnRpYy12ZXJzaW9uIjogIjIuNC41IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNwYWNlLmlmbG93LnJhbmRvbXdhbGxwYXBlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2lmbDB3L1JhbmRvbVdhbGxwYXBlckdub21lMyIsCiAgInV1aWQiOiAicmFuZG9td2FsbHBhcGVyQGlmbG93LnNwYWNlIiwKICAidmVyc2lvbiI6IDIyCn0="},
"40": {"version": "32", "sha256": "1l4s37rp1bx20r7pg906yqsvl9s6a18y0mcx08xkc11jn68d1g4q", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZldGNoIGEgcmFuZG9tIHdhbGxwYXBlciBmcm9tIGFuIG9ubGluZSBzb3VyY2UgYW5kIHNldCBpdCBhcyBhIGRlc2t0b3AgYmFja2dyb3VuZC4gXG5UaGUgZGVza3RvcCBiYWNrZ3JvdW5kIGNhbiBiZSB1cGRhdGVkIHBlcmlvZGljYWxseSBvciBtYW51YWxseS5cblxuRmVhdHVyZXM6XG5NYW55IGRpZmZlcmVudCBvbmxpbmUgc291cmNlcyB3aXRoIGZpbHRlcnM6XG4gICAgICAgIC0gVW5zcGxhc2ggKGh0dHBzOi8vdW5zcGxhc2guY29tLylcbiAgICAgICAgLSBXYWxsaGF2ZW4gKGh0dHBzOi8vd2FsbGhhdmVuLmNjLylcbiAgICAgICAgLSBSZWRkaXQgKGh0dHBzOi8vcmVkZGl0LmNvbSlcbiAgICAgICAgLSBCYXNpY2FsbHkgYW55IEpTT04gQVBJL0ZpbGUgKHNlZSBFeGFtcGxlcyBvbiBHaXRIdWIpXG5IaXN0b3J5IG9mIHByZXZpb3VzIGltYWdlc1xuU2V0IGxvY2sgc2NyZWVuIGltYWdlXG5BdXRvbWF0aWMgcmVuZXdhbCAoQXV0by1GZXRjaGluZykiLAogICJuYW1lIjogIlJhbmRvbSBXYWxscGFwZXIiLAogICJzZW1hbnRpYy12ZXJzaW9uIjogIjIuNy40IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNwYWNlLmlmbG93LnJhbmRvbXdhbGxwYXBlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAuMCIsCiAgICAiNDAuMSIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vaWZsMHcvUmFuZG9tV2FsbHBhcGVyR25vbWUzIiwKICAidXVpZCI6ICJyYW5kb213YWxscGFwZXJAaWZsb3cuc3BhY2UiLAogICJ2ZXJzaW9uIjogMzIKfQ=="},
"41": {"version": "32", "sha256": "1l4s37rp1bx20r7pg906yqsvl9s6a18y0mcx08xkc11jn68d1g4q", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZldGNoIGEgcmFuZG9tIHdhbGxwYXBlciBmcm9tIGFuIG9ubGluZSBzb3VyY2UgYW5kIHNldCBpdCBhcyBhIGRlc2t0b3AgYmFja2dyb3VuZC4gXG5UaGUgZGVza3RvcCBiYWNrZ3JvdW5kIGNhbiBiZSB1cGRhdGVkIHBlcmlvZGljYWxseSBvciBtYW51YWxseS5cblxuRmVhdHVyZXM6XG5NYW55IGRpZmZlcmVudCBvbmxpbmUgc291cmNlcyB3aXRoIGZpbHRlcnM6XG4gICAgICAgIC0gVW5zcGxhc2ggKGh0dHBzOi8vdW5zcGxhc2guY29tLylcbiAgICAgICAgLSBXYWxsaGF2ZW4gKGh0dHBzOi8vd2FsbGhhdmVuLmNjLylcbiAgICAgICAgLSBSZWRkaXQgKGh0dHBzOi8vcmVkZGl0LmNvbSlcbiAgICAgICAgLSBCYXNpY2FsbHkgYW55IEpTT04gQVBJL0ZpbGUgKHNlZSBFeGFtcGxlcyBvbiBHaXRIdWIpXG5IaXN0b3J5IG9mIHByZXZpb3VzIGltYWdlc1xuU2V0IGxvY2sgc2NyZWVuIGltYWdlXG5BdXRvbWF0aWMgcmVuZXdhbCAoQXV0by1GZXRjaGluZykiLAogICJuYW1lIjogIlJhbmRvbSBXYWxscGFwZXIiLAogICJzZW1hbnRpYy12ZXJzaW9uIjogIjIuNy40IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNwYWNlLmlmbG93LnJhbmRvbXdhbGxwYXBlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAuMCIsCiAgICAiNDAuMSIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vaWZsMHcvUmFuZG9tV2FsbHBhcGVyR25vbWUzIiwKICAidXVpZCI6ICJyYW5kb213YWxscGFwZXJAaWZsb3cuc3BhY2UiLAogICJ2ZXJzaW9uIjogMzIKfQ=="},
"42": {"version": "32", "sha256": "1l4s37rp1bx20r7pg906yqsvl9s6a18y0mcx08xkc11jn68d1g4q", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZldGNoIGEgcmFuZG9tIHdhbGxwYXBlciBmcm9tIGFuIG9ubGluZSBzb3VyY2UgYW5kIHNldCBpdCBhcyBhIGRlc2t0b3AgYmFja2dyb3VuZC4gXG5UaGUgZGVza3RvcCBiYWNrZ3JvdW5kIGNhbiBiZSB1cGRhdGVkIHBlcmlvZGljYWxseSBvciBtYW51YWxseS5cblxuRmVhdHVyZXM6XG5NYW55IGRpZmZlcmVudCBvbmxpbmUgc291cmNlcyB3aXRoIGZpbHRlcnM6XG4gICAgICAgIC0gVW5zcGxhc2ggKGh0dHBzOi8vdW5zcGxhc2guY29tLylcbiAgICAgICAgLSBXYWxsaGF2ZW4gKGh0dHBzOi8vd2FsbGhhdmVuLmNjLylcbiAgICAgICAgLSBSZWRkaXQgKGh0dHBzOi8vcmVkZGl0LmNvbSlcbiAgICAgICAgLSBCYXNpY2FsbHkgYW55IEpTT04gQVBJL0ZpbGUgKHNlZSBFeGFtcGxlcyBvbiBHaXRIdWIpXG5IaXN0b3J5IG9mIHByZXZpb3VzIGltYWdlc1xuU2V0IGxvY2sgc2NyZWVuIGltYWdlXG5BdXRvbWF0aWMgcmVuZXdhbCAoQXV0by1GZXRjaGluZykiLAogICJuYW1lIjogIlJhbmRvbSBXYWxscGFwZXIiLAogICJzZW1hbnRpYy12ZXJzaW9uIjogIjIuNy40IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNwYWNlLmlmbG93LnJhbmRvbXdhbGxwYXBlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAuMCIsCiAgICAiNDAuMSIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vaWZsMHcvUmFuZG9tV2FsbHBhcGVyR25vbWUzIiwKICAidXVpZCI6ICJyYW5kb213YWxscGFwZXJAaWZsb3cuc3BhY2UiLAogICJ2ZXJzaW9uIjogMzIKfQ=="},
"43": {"version": "32", "sha256": "1l4s37rp1bx20r7pg906yqsvl9s6a18y0mcx08xkc11jn68d1g4q", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZldGNoIGEgcmFuZG9tIHdhbGxwYXBlciBmcm9tIGFuIG9ubGluZSBzb3VyY2UgYW5kIHNldCBpdCBhcyBhIGRlc2t0b3AgYmFja2dyb3VuZC4gXG5UaGUgZGVza3RvcCBiYWNrZ3JvdW5kIGNhbiBiZSB1cGRhdGVkIHBlcmlvZGljYWxseSBvciBtYW51YWxseS5cblxuRmVhdHVyZXM6XG5NYW55IGRpZmZlcmVudCBvbmxpbmUgc291cmNlcyB3aXRoIGZpbHRlcnM6XG4gICAgICAgIC0gVW5zcGxhc2ggKGh0dHBzOi8vdW5zcGxhc2guY29tLylcbiAgICAgICAgLSBXYWxsaGF2ZW4gKGh0dHBzOi8vd2FsbGhhdmVuLmNjLylcbiAgICAgICAgLSBSZWRkaXQgKGh0dHBzOi8vcmVkZGl0LmNvbSlcbiAgICAgICAgLSBCYXNpY2FsbHkgYW55IEpTT04gQVBJL0ZpbGUgKHNlZSBFeGFtcGxlcyBvbiBHaXRIdWIpXG5IaXN0b3J5IG9mIHByZXZpb3VzIGltYWdlc1xuU2V0IGxvY2sgc2NyZWVuIGltYWdlXG5BdXRvbWF0aWMgcmVuZXdhbCAoQXV0by1GZXRjaGluZykiLAogICJuYW1lIjogIlJhbmRvbSBXYWxscGFwZXIiLAogICJzZW1hbnRpYy12ZXJzaW9uIjogIjIuNy40IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNwYWNlLmlmbG93LnJhbmRvbXdhbGxwYXBlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAuMCIsCiAgICAiNDAuMSIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vaWZsMHcvUmFuZG9tV2FsbHBhcGVyR25vbWUzIiwKICAidXVpZCI6ICJyYW5kb213YWxscGFwZXJAaWZsb3cuc3BhY2UiLAogICJ2ZXJzaW9uIjogMzIKfQ=="},
- "44": {"version": "32", "sha256": "1l4s37rp1bx20r7pg906yqsvl9s6a18y0mcx08xkc11jn68d1g4q", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZldGNoIGEgcmFuZG9tIHdhbGxwYXBlciBmcm9tIGFuIG9ubGluZSBzb3VyY2UgYW5kIHNldCBpdCBhcyBhIGRlc2t0b3AgYmFja2dyb3VuZC4gXG5UaGUgZGVza3RvcCBiYWNrZ3JvdW5kIGNhbiBiZSB1cGRhdGVkIHBlcmlvZGljYWxseSBvciBtYW51YWxseS5cblxuRmVhdHVyZXM6XG5NYW55IGRpZmZlcmVudCBvbmxpbmUgc291cmNlcyB3aXRoIGZpbHRlcnM6XG4gICAgICAgIC0gVW5zcGxhc2ggKGh0dHBzOi8vdW5zcGxhc2guY29tLylcbiAgICAgICAgLSBXYWxsaGF2ZW4gKGh0dHBzOi8vd2FsbGhhdmVuLmNjLylcbiAgICAgICAgLSBSZWRkaXQgKGh0dHBzOi8vcmVkZGl0LmNvbSlcbiAgICAgICAgLSBCYXNpY2FsbHkgYW55IEpTT04gQVBJL0ZpbGUgKHNlZSBFeGFtcGxlcyBvbiBHaXRIdWIpXG5IaXN0b3J5IG9mIHByZXZpb3VzIGltYWdlc1xuU2V0IGxvY2sgc2NyZWVuIGltYWdlXG5BdXRvbWF0aWMgcmVuZXdhbCAoQXV0by1GZXRjaGluZykiLAogICJuYW1lIjogIlJhbmRvbSBXYWxscGFwZXIiLAogICJzZW1hbnRpYy12ZXJzaW9uIjogIjIuNy40IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNwYWNlLmlmbG93LnJhbmRvbXdhbGxwYXBlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAuMCIsCiAgICAiNDAuMSIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vaWZsMHcvUmFuZG9tV2FsbHBhcGVyR25vbWUzIiwKICAidXVpZCI6ICJyYW5kb213YWxscGFwZXJAaWZsb3cuc3BhY2UiLAogICJ2ZXJzaW9uIjogMzIKfQ=="}
+ "44": {"version": "32", "sha256": "1l4s37rp1bx20r7pg906yqsvl9s6a18y0mcx08xkc11jn68d1g4q", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZldGNoIGEgcmFuZG9tIHdhbGxwYXBlciBmcm9tIGFuIG9ubGluZSBzb3VyY2UgYW5kIHNldCBpdCBhcyBhIGRlc2t0b3AgYmFja2dyb3VuZC4gXG5UaGUgZGVza3RvcCBiYWNrZ3JvdW5kIGNhbiBiZSB1cGRhdGVkIHBlcmlvZGljYWxseSBvciBtYW51YWxseS5cblxuRmVhdHVyZXM6XG5NYW55IGRpZmZlcmVudCBvbmxpbmUgc291cmNlcyB3aXRoIGZpbHRlcnM6XG4gICAgICAgIC0gVW5zcGxhc2ggKGh0dHBzOi8vdW5zcGxhc2guY29tLylcbiAgICAgICAgLSBXYWxsaGF2ZW4gKGh0dHBzOi8vd2FsbGhhdmVuLmNjLylcbiAgICAgICAgLSBSZWRkaXQgKGh0dHBzOi8vcmVkZGl0LmNvbSlcbiAgICAgICAgLSBCYXNpY2FsbHkgYW55IEpTT04gQVBJL0ZpbGUgKHNlZSBFeGFtcGxlcyBvbiBHaXRIdWIpXG5IaXN0b3J5IG9mIHByZXZpb3VzIGltYWdlc1xuU2V0IGxvY2sgc2NyZWVuIGltYWdlXG5BdXRvbWF0aWMgcmVuZXdhbCAoQXV0by1GZXRjaGluZykiLAogICJuYW1lIjogIlJhbmRvbSBXYWxscGFwZXIiLAogICJzZW1hbnRpYy12ZXJzaW9uIjogIjIuNy40IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNwYWNlLmlmbG93LnJhbmRvbXdhbGxwYXBlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAuMCIsCiAgICAiNDAuMSIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vaWZsMHcvUmFuZG9tV2FsbHBhcGVyR25vbWUzIiwKICAidXVpZCI6ICJyYW5kb213YWxscGFwZXJAaWZsb3cuc3BhY2UiLAogICJ2ZXJzaW9uIjogMzIKfQ=="},
+ "45": {"version": "34", "sha256": "1k0g1yyw0vk5n6c9qfa955bv1s9zlvca013i1p6iq9xn7y5alkjl", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxvYWQgbmV3IGRlc2t0b3Agd2FsbHBhcGVycyBmcm9tIHZhcmlvdXMgb25saW5lIHNvdXJjZXMgd2l0aCBlYXNlISIsCiAgImlzc3VlLXVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vaWZsMHcvUmFuZG9tV2FsbHBhcGVyR25vbWUzL2lzc3VlcyIsCiAgIm5hbWUiOiAiUmFuZG9tIFdhbGxwYXBlciIsCiAgInNlbWFudGljLXZlcnNpb24iOiAiMy4wLjEiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuc3BhY2UuaWZsb3cucmFuZG9td2FsbHBhcGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2lmbDB3L1JhbmRvbVdhbGxwYXBlckdub21lMyIsCiAgInV1aWQiOiAicmFuZG9td2FsbHBhcGVyQGlmbG93LnNwYWNlIiwKICAidmVyc2lvbiI6IDM0Cn0="}
}}
, {"uuid": "gse-haguichi-indicator@ztefn.github.com", "name": "Haguichi Indicator", "pname": "haguichi-indicator", "description": "Lets you control Haguichi directly from the system status area in GNOME Shell.", "link": "https://extensions.gnome.org/extension/1045/haguichi-indicator/", "shell_version_map": {
"38": {"version": "23", "sha256": "0gffarks0z70gdiz8jlgivv2lzxbr0hwbljjhs1xbffx45rla3g5", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxldHMgeW91IGNvbnRyb2wgSGFndWljaGkgZGlyZWN0bHkgZnJvbSB0aGUgc3lzdGVtIHN0YXR1cyBhcmVhIGluIEdOT01FIFNoZWxsLiIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJwYXlwYWwiOiAienRlZm4iCiAgfSwKICAibmFtZSI6ICJIYWd1aWNoaSBJbmRpY2F0b3IiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3p0ZWZuL2dzZS1oYWd1aWNoaS1pbmRpY2F0b3IiLAogICJ1dWlkIjogImdzZS1oYWd1aWNoaS1pbmRpY2F0b3JAenRlZm4uZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAyMwp9"},
@@ -823,9 +833,9 @@
"45": {"version": "19", "sha256": "1z583a2g6sdj1srkq3idzmdc7dvhddpd6ynw7z2kyy0rz4xkk3d6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3dzIGEgdG9vbHRpcCBvdmVyIGFwcGxpY2F0aW9ucyBpY29ucyBvbiBhcHBsaWNhdGlvbnMgb3ZlcnZpZXcgd2l0aCBhcHBsaWNhdGlvbiBuYW1lIGFuZC9vciBkZXNjcmlwdGlvbi4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJhcHBsaWNhdGlvbnMtb3ZlcnZpZXctdG9vbHRpcCIsCiAgIm5hbWUiOiAiQXBwbGljYXRpb25zIE92ZXJ2aWV3IFRvb2x0aXAiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYXBwbGljYXRpb25zLW92ZXJ2aWV3LXRvb2x0aXAiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vUmFwaGFlbFJvY2hldC9hcHBsaWNhdGlvbnMtb3ZlcnZpZXctdG9vbHRpcCIsCiAgInV1aWQiOiAiYXBwbGljYXRpb25zLW92ZXJ2aWV3LXRvb2x0aXBAUmFwaGFlbFJvY2hldCIsCiAgInZlcnNpb24iOiAxOQp9"}
}}
, {"uuid": "TwitchLive_Panel@extensions.maweki.de", "name": "TwitchLive Panel", "pname": "twitchlive-panel", "description": "A panel showing whether your favorite Twitch.tv streamers are streaming.\n\nCycles through the online streamers if multiples are configured. Click on the panel and then on streamer's name to launch the stream with a custom command (your browser or some other application).\n\nNeeds curl and mogrify to fully support streamer logos.", "link": "https://extensions.gnome.org/extension/1078/twitchlive-panel/", "shell_version_map": {
- "40": {"version": "39", "sha256": "0asq0rvcwl9rqa4l4c4qsjybpm1p0lyj2p6cz75lqrp0rfgzsvfb", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgcGFuZWwgc2hvd2luZyB3aGV0aGVyIHlvdXIgZmF2b3JpdGUgVHdpdGNoLnR2IHN0cmVhbWVycyBhcmUgc3RyZWFtaW5nLlxuXG5DeWNsZXMgdGhyb3VnaCB0aGUgb25saW5lIHN0cmVhbWVycyBpZiBtdWx0aXBsZXMgYXJlIGNvbmZpZ3VyZWQuIENsaWNrIG9uIHRoZSBwYW5lbCBhbmQgdGhlbiBvbiBzdHJlYW1lcidzIG5hbWUgdG8gbGF1bmNoIHRoZSBzdHJlYW0gd2l0aCBhIGN1c3RvbSBjb21tYW5kICh5b3VyIGJyb3dzZXIgb3Igc29tZSBvdGhlciBhcHBsaWNhdGlvbikuXG5cbk5lZWRzIGN1cmwgYW5kIG1vZ3JpZnkgdG8gZnVsbHkgc3VwcG9ydCBzdHJlYW1lciBsb2dvcy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJ0d2l0Y2hsaXZlIiwKICAibmFtZSI6ICJUd2l0Y2hMaXZlIFBhbmVsIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnR3aXRjaGxpdmUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMTQiLAogICAgIjMuMTYiLAogICAgIjMuMTgiLAogICAgIjMuMjAiLAogICAgIjMuMjIiLAogICAgIjMuMjQiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9tYXdla2kvdHdpdGNobGl2ZS1leHRlbnNpb24iLAogICJ1dWlkIjogIlR3aXRjaExpdmVfUGFuZWxAZXh0ZW5zaW9ucy5tYXdla2kuZGUiLAogICJ2ZXJzaW9uIjogMzkKfQ=="},
- "41": {"version": "39", "sha256": "0asq0rvcwl9rqa4l4c4qsjybpm1p0lyj2p6cz75lqrp0rfgzsvfb", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgcGFuZWwgc2hvd2luZyB3aGV0aGVyIHlvdXIgZmF2b3JpdGUgVHdpdGNoLnR2IHN0cmVhbWVycyBhcmUgc3RyZWFtaW5nLlxuXG5DeWNsZXMgdGhyb3VnaCB0aGUgb25saW5lIHN0cmVhbWVycyBpZiBtdWx0aXBsZXMgYXJlIGNvbmZpZ3VyZWQuIENsaWNrIG9uIHRoZSBwYW5lbCBhbmQgdGhlbiBvbiBzdHJlYW1lcidzIG5hbWUgdG8gbGF1bmNoIHRoZSBzdHJlYW0gd2l0aCBhIGN1c3RvbSBjb21tYW5kICh5b3VyIGJyb3dzZXIgb3Igc29tZSBvdGhlciBhcHBsaWNhdGlvbikuXG5cbk5lZWRzIGN1cmwgYW5kIG1vZ3JpZnkgdG8gZnVsbHkgc3VwcG9ydCBzdHJlYW1lciBsb2dvcy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJ0d2l0Y2hsaXZlIiwKICAibmFtZSI6ICJUd2l0Y2hMaXZlIFBhbmVsIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnR3aXRjaGxpdmUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMTQiLAogICAgIjMuMTYiLAogICAgIjMuMTgiLAogICAgIjMuMjAiLAogICAgIjMuMjIiLAogICAgIjMuMjQiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9tYXdla2kvdHdpdGNobGl2ZS1leHRlbnNpb24iLAogICJ1dWlkIjogIlR3aXRjaExpdmVfUGFuZWxAZXh0ZW5zaW9ucy5tYXdla2kuZGUiLAogICJ2ZXJzaW9uIjogMzkKfQ=="},
- "42": {"version": "39", "sha256": "0asq0rvcwl9rqa4l4c4qsjybpm1p0lyj2p6cz75lqrp0rfgzsvfb", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgcGFuZWwgc2hvd2luZyB3aGV0aGVyIHlvdXIgZmF2b3JpdGUgVHdpdGNoLnR2IHN0cmVhbWVycyBhcmUgc3RyZWFtaW5nLlxuXG5DeWNsZXMgdGhyb3VnaCB0aGUgb25saW5lIHN0cmVhbWVycyBpZiBtdWx0aXBsZXMgYXJlIGNvbmZpZ3VyZWQuIENsaWNrIG9uIHRoZSBwYW5lbCBhbmQgdGhlbiBvbiBzdHJlYW1lcidzIG5hbWUgdG8gbGF1bmNoIHRoZSBzdHJlYW0gd2l0aCBhIGN1c3RvbSBjb21tYW5kICh5b3VyIGJyb3dzZXIgb3Igc29tZSBvdGhlciBhcHBsaWNhdGlvbikuXG5cbk5lZWRzIGN1cmwgYW5kIG1vZ3JpZnkgdG8gZnVsbHkgc3VwcG9ydCBzdHJlYW1lciBsb2dvcy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJ0d2l0Y2hsaXZlIiwKICAibmFtZSI6ICJUd2l0Y2hMaXZlIFBhbmVsIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnR3aXRjaGxpdmUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMTQiLAogICAgIjMuMTYiLAogICAgIjMuMTgiLAogICAgIjMuMjAiLAogICAgIjMuMjIiLAogICAgIjMuMjQiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9tYXdla2kvdHdpdGNobGl2ZS1leHRlbnNpb24iLAogICJ1dWlkIjogIlR3aXRjaExpdmVfUGFuZWxAZXh0ZW5zaW9ucy5tYXdla2kuZGUiLAogICJ2ZXJzaW9uIjogMzkKfQ=="},
+ "40": {"version": "44", "sha256": "0shgh7lb1h8cwzj1h6ia26dqk4yimx3zqb0vgz4sdak8h55x8486", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgcGFuZWwgc2hvd2luZyB3aGV0aGVyIHlvdXIgZmF2b3JpdGUgVHdpdGNoLnR2IHN0cmVhbWVycyBhcmUgc3RyZWFtaW5nLlxuXG5DeWNsZXMgdGhyb3VnaCB0aGUgb25saW5lIHN0cmVhbWVycyBpZiBtdWx0aXBsZXMgYXJlIGNvbmZpZ3VyZWQuIENsaWNrIG9uIHRoZSBwYW5lbCBhbmQgdGhlbiBvbiBzdHJlYW1lcidzIG5hbWUgdG8gbGF1bmNoIHRoZSBzdHJlYW0gd2l0aCBhIGN1c3RvbSBjb21tYW5kICh5b3VyIGJyb3dzZXIgb3Igc29tZSBvdGhlciBhcHBsaWNhdGlvbikuXG5cbk5lZWRzIGN1cmwgYW5kIG1vZ3JpZnkgdG8gZnVsbHkgc3VwcG9ydCBzdHJlYW1lciBsb2dvcy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJ0d2l0Y2hsaXZlIiwKICAibmFtZSI6ICJUd2l0Y2hMaXZlIFBhbmVsIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnR3aXRjaGxpdmUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9tYXdla2kvdHdpdGNobGl2ZS1leHRlbnNpb24iLAogICJ1dWlkIjogIlR3aXRjaExpdmVfUGFuZWxAZXh0ZW5zaW9ucy5tYXdla2kuZGUiLAogICJ2ZXJzaW9uIjogNDQKfQ=="},
+ "41": {"version": "44", "sha256": "0shgh7lb1h8cwzj1h6ia26dqk4yimx3zqb0vgz4sdak8h55x8486", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgcGFuZWwgc2hvd2luZyB3aGV0aGVyIHlvdXIgZmF2b3JpdGUgVHdpdGNoLnR2IHN0cmVhbWVycyBhcmUgc3RyZWFtaW5nLlxuXG5DeWNsZXMgdGhyb3VnaCB0aGUgb25saW5lIHN0cmVhbWVycyBpZiBtdWx0aXBsZXMgYXJlIGNvbmZpZ3VyZWQuIENsaWNrIG9uIHRoZSBwYW5lbCBhbmQgdGhlbiBvbiBzdHJlYW1lcidzIG5hbWUgdG8gbGF1bmNoIHRoZSBzdHJlYW0gd2l0aCBhIGN1c3RvbSBjb21tYW5kICh5b3VyIGJyb3dzZXIgb3Igc29tZSBvdGhlciBhcHBsaWNhdGlvbikuXG5cbk5lZWRzIGN1cmwgYW5kIG1vZ3JpZnkgdG8gZnVsbHkgc3VwcG9ydCBzdHJlYW1lciBsb2dvcy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJ0d2l0Y2hsaXZlIiwKICAibmFtZSI6ICJUd2l0Y2hMaXZlIFBhbmVsIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnR3aXRjaGxpdmUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9tYXdla2kvdHdpdGNobGl2ZS1leHRlbnNpb24iLAogICJ1dWlkIjogIlR3aXRjaExpdmVfUGFuZWxAZXh0ZW5zaW9ucy5tYXdla2kuZGUiLAogICJ2ZXJzaW9uIjogNDQKfQ=="},
+ "42": {"version": "44", "sha256": "0shgh7lb1h8cwzj1h6ia26dqk4yimx3zqb0vgz4sdak8h55x8486", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgcGFuZWwgc2hvd2luZyB3aGV0aGVyIHlvdXIgZmF2b3JpdGUgVHdpdGNoLnR2IHN0cmVhbWVycyBhcmUgc3RyZWFtaW5nLlxuXG5DeWNsZXMgdGhyb3VnaCB0aGUgb25saW5lIHN0cmVhbWVycyBpZiBtdWx0aXBsZXMgYXJlIGNvbmZpZ3VyZWQuIENsaWNrIG9uIHRoZSBwYW5lbCBhbmQgdGhlbiBvbiBzdHJlYW1lcidzIG5hbWUgdG8gbGF1bmNoIHRoZSBzdHJlYW0gd2l0aCBhIGN1c3RvbSBjb21tYW5kICh5b3VyIGJyb3dzZXIgb3Igc29tZSBvdGhlciBhcHBsaWNhdGlvbikuXG5cbk5lZWRzIGN1cmwgYW5kIG1vZ3JpZnkgdG8gZnVsbHkgc3VwcG9ydCBzdHJlYW1lciBsb2dvcy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJ0d2l0Y2hsaXZlIiwKICAibmFtZSI6ICJUd2l0Y2hMaXZlIFBhbmVsIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnR3aXRjaGxpdmUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9tYXdla2kvdHdpdGNobGl2ZS1leHRlbnNpb24iLAogICJ1dWlkIjogIlR3aXRjaExpdmVfUGFuZWxAZXh0ZW5zaW9ucy5tYXdla2kuZGUiLAogICJ2ZXJzaW9uIjogNDQKfQ=="},
"43": {"version": "40", "sha256": "1sywzqy85dmv72iakvhpbpagj2vh6svgxjyx9amlc3a8ajhvws33", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgcGFuZWwgc2hvd2luZyB3aGV0aGVyIHlvdXIgZmF2b3JpdGUgVHdpdGNoLnR2IHN0cmVhbWVycyBhcmUgc3RyZWFtaW5nLlxuXG5DeWNsZXMgdGhyb3VnaCB0aGUgb25saW5lIHN0cmVhbWVycyBpZiBtdWx0aXBsZXMgYXJlIGNvbmZpZ3VyZWQuIENsaWNrIG9uIHRoZSBwYW5lbCBhbmQgdGhlbiBvbiBzdHJlYW1lcidzIG5hbWUgdG8gbGF1bmNoIHRoZSBzdHJlYW0gd2l0aCBhIGN1c3RvbSBjb21tYW5kICh5b3VyIGJyb3dzZXIgb3Igc29tZSBvdGhlciBhcHBsaWNhdGlvbikuXG5cbk5lZWRzIGN1cmwgYW5kIG1vZ3JpZnkgdG8gZnVsbHkgc3VwcG9ydCBzdHJlYW1lciBsb2dvcy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJ0d2l0Y2hsaXZlIiwKICAibmFtZSI6ICJUd2l0Y2hMaXZlIFBhbmVsIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnR3aXRjaGxpdmUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQzIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWF3ZWtpL3R3aXRjaGxpdmUtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJUd2l0Y2hMaXZlX1BhbmVsQGV4dGVuc2lvbnMubWF3ZWtpLmRlIiwKICAidmVyc2lvbiI6IDQwCn0="},
"44": {"version": "42", "sha256": "0r2bml13j0hc9r4cdwb9f6nfvj143wadc9n4n3fbdgypcb35a639", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgcGFuZWwgc2hvd2luZyB3aGV0aGVyIHlvdXIgZmF2b3JpdGUgVHdpdGNoLnR2IHN0cmVhbWVycyBhcmUgc3RyZWFtaW5nLlxuXG5DeWNsZXMgdGhyb3VnaCB0aGUgb25saW5lIHN0cmVhbWVycyBpZiBtdWx0aXBsZXMgYXJlIGNvbmZpZ3VyZWQuIENsaWNrIG9uIHRoZSBwYW5lbCBhbmQgdGhlbiBvbiBzdHJlYW1lcidzIG5hbWUgdG8gbGF1bmNoIHRoZSBzdHJlYW0gd2l0aCBhIGN1c3RvbSBjb21tYW5kICh5b3VyIGJyb3dzZXIgb3Igc29tZSBvdGhlciBhcHBsaWNhdGlvbikuXG5cbk5lZWRzIGN1cmwgYW5kIG1vZ3JpZnkgdG8gZnVsbHkgc3VwcG9ydCBzdHJlYW1lciBsb2dvcy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJ0d2l0Y2hsaXZlIiwKICAibmFtZSI6ICJUd2l0Y2hMaXZlIFBhbmVsIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnR3aXRjaGxpdmUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWF3ZWtpL3R3aXRjaGxpdmUtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJUd2l0Y2hMaXZlX1BhbmVsQGV4dGVuc2lvbnMubWF3ZWtpLmRlIiwKICAidmVyc2lvbiI6IDQyCn0="},
"45": {"version": "43", "sha256": "1022fvkqwfk0sz9nhval83aqjzk8k7zikc8adqqlrq4li09phhwn", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgcGFuZWwgc2hvd2luZyB3aGV0aGVyIHlvdXIgZmF2b3JpdGUgVHdpdGNoLnR2IHN0cmVhbWVycyBhcmUgc3RyZWFtaW5nLlxuXG5DeWNsZXMgdGhyb3VnaCB0aGUgb25saW5lIHN0cmVhbWVycyBpZiBtdWx0aXBsZXMgYXJlIGNvbmZpZ3VyZWQuIENsaWNrIG9uIHRoZSBwYW5lbCBhbmQgdGhlbiBvbiBzdHJlYW1lcidzIG5hbWUgdG8gbGF1bmNoIHRoZSBzdHJlYW0gd2l0aCBhIGN1c3RvbSBjb21tYW5kICh5b3VyIGJyb3dzZXIgb3Igc29tZSBvdGhlciBhcHBsaWNhdGlvbikuXG5cbk5lZWRzIGN1cmwgYW5kIG1vZ3JpZnkgdG8gZnVsbHkgc3VwcG9ydCBzdHJlYW1lciBsb2dvcy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJ0d2l0Y2hsaXZlIiwKICAibmFtZSI6ICJUd2l0Y2hMaXZlIFBhbmVsIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnR3aXRjaGxpdmUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWF3ZWtpL3R3aXRjaGxpdmUtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJUd2l0Y2hMaXZlX1BhbmVsQGV4dGVuc2lvbnMubWF3ZWtpLmRlIiwKICAidmVyc2lvbiI6IDQzCn0="}
@@ -943,7 +953,7 @@
"42": {"version": "15", "sha256": "0bhq1ns7pbrdxkclg2q213hfy0633171zfj17jhxxv70qpkskpbw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgc2hvd3MgYSBwb3AtdXAgb2YgdXNlZnVsIGtleWJvYXJkIHNob3J0Y3V0cyB3aGVuIEN0cmwgKyBBbHQgKyBTdXBlciArIFMgaXMgcHJlc3NlZCIsCiAgImdldHRleHQtZG9tYWluIjogIlNob3J0Y3V0cyIsCiAgIm5hbWUiOiAiU2hvcnRjdXRzIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNob3J0Y3V0cyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yMiIsCiAgICAiMy4yNCIsCiAgICAiMy4yNiIsCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vcGFkZGF0cmFwcGVyL3Nob3J0Y3V0cy1nbm9tZS1leHRlbnNpb24iLAogICJ1dWlkIjogIlNob3J0Y3V0c0BreWxlLmFpbXMuYWMuemEiLAogICJ2ZXJzaW9uIjogMTUKfQ=="},
"43": {"version": "15", "sha256": "0bhq1ns7pbrdxkclg2q213hfy0633171zfj17jhxxv70qpkskpbw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgc2hvd3MgYSBwb3AtdXAgb2YgdXNlZnVsIGtleWJvYXJkIHNob3J0Y3V0cyB3aGVuIEN0cmwgKyBBbHQgKyBTdXBlciArIFMgaXMgcHJlc3NlZCIsCiAgImdldHRleHQtZG9tYWluIjogIlNob3J0Y3V0cyIsCiAgIm5hbWUiOiAiU2hvcnRjdXRzIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNob3J0Y3V0cyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yMiIsCiAgICAiMy4yNCIsCiAgICAiMy4yNiIsCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vcGFkZGF0cmFwcGVyL3Nob3J0Y3V0cy1nbm9tZS1leHRlbnNpb24iLAogICJ1dWlkIjogIlNob3J0Y3V0c0BreWxlLmFpbXMuYWMuemEiLAogICJ2ZXJzaW9uIjogMTUKfQ=="},
"44": {"version": "15", "sha256": "0bhq1ns7pbrdxkclg2q213hfy0633171zfj17jhxxv70qpkskpbw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgc2hvd3MgYSBwb3AtdXAgb2YgdXNlZnVsIGtleWJvYXJkIHNob3J0Y3V0cyB3aGVuIEN0cmwgKyBBbHQgKyBTdXBlciArIFMgaXMgcHJlc3NlZCIsCiAgImdldHRleHQtZG9tYWluIjogIlNob3J0Y3V0cyIsCiAgIm5hbWUiOiAiU2hvcnRjdXRzIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNob3J0Y3V0cyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yMiIsCiAgICAiMy4yNCIsCiAgICAiMy4yNiIsCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vcGFkZGF0cmFwcGVyL3Nob3J0Y3V0cy1nbm9tZS1leHRlbnNpb24iLAogICJ1dWlkIjogIlNob3J0Y3V0c0BreWxlLmFpbXMuYWMuemEiLAogICJ2ZXJzaW9uIjogMTUKfQ=="},
- "45": {"version": "24", "sha256": "0205rzr0gsv684m2mvkrnjjd3qpww5mxacc7mx2x0xqlbzl8mk8g", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgc2hvd3MgYSBwb3AtdXAgb2YgdXNlZnVsIGtleWJvYXJkIHNob3J0Y3V0cyB3aGVuIEN0cmwgKyBBbHQgKyBTdXBlciArIFMgaXMgcHJlc3NlZCAoaG90a2V5IGNhbiBiZSBjaGFuZ2VkIGluIHNldHRpbmdzKSIsCiAgImdldHRleHQtZG9tYWluIjogIlNob3J0Y3V0cyIsCiAgIm5hbWUiOiAiU2hvcnRjdXRzIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNob3J0Y3V0cyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9wYWRkYXRyYXBwZXIvc2hvcnRjdXRzLWdub21lLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAiU2hvcnRjdXRzQGt5bGUuYWltcy5hYy56YSIsCiAgInZlcnNpb24iOiAyNCwKICAidmVyc2lvbi1uYW1lIjogIjQ1LjAiCn0="}
+ "45": {"version": "25", "sha256": "19db7wp7jrwyb7z7ghic4aa6nmk160h21gx9bgq7s119c8dyxpjq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgc2hvd3MgYSBwb3AtdXAgb2YgdXNlZnVsIGtleWJvYXJkIHNob3J0Y3V0cyB3aGVuIEN0cmwgKyBBbHQgKyBTdXBlciArIFMgaXMgcHJlc3NlZCAoaG90a2V5IGNhbiBiZSBjaGFuZ2VkIGluIHNldHRpbmdzKSIsCiAgImdldHRleHQtZG9tYWluIjogIlNob3J0Y3V0cyIsCiAgIm5hbWUiOiAiU2hvcnRjdXRzIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNob3J0Y3V0cyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiLAogICAgIjQ2IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vcGFkZGF0cmFwcGVyL3Nob3J0Y3V0cy1nbm9tZS1leHRlbnNpb24iLAogICJ1dWlkIjogIlNob3J0Y3V0c0BreWxlLmFpbXMuYWMuemEiLAogICJ2ZXJzaW9uIjogMjUsCiAgInZlcnNpb24tbmFtZSI6ICI0Ni4wIgp9"}
}}
, {"uuid": "sensory-perception@HarlemSquirrel.github.io", "name": "Sensory Perception", "pname": "sensory-perception", "description": "Requires lm-sensors (or lm_sensors). Shows CPU temperature, disk temperature, video card temperature, voltage and fan RPM.", "link": "https://extensions.gnome.org/extension/1145/sensory-perception/", "shell_version_map": {
"38": {"version": "13", "sha256": "16wc49khyk5arsis8kzpjgl6nl8gccc2y5sspq8rwnab22jnzwjh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlcXVpcmVzIGxtLXNlbnNvcnMgKG9yIGxtX3NlbnNvcnMpLiBTaG93cyBDUFUgdGVtcGVyYXR1cmUsIGRpc2sgdGVtcGVyYXR1cmUsIHZpZGVvIGNhcmQgdGVtcGVyYXR1cmUsIHZvbHRhZ2UgYW5kIGZhbiBSUE0uIiwKICAiZ2V0dGV4dC1kb21haW4iOiAic2Vuc29yeS1wZXJjZXB0aW9uIiwKICAibmFtZSI6ICJTZW5zb3J5IFBlcmNlcHRpb24iLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuc2Vuc29yeS1wZXJjZXB0aW9uIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vSGFybGVtU3F1aXJyZWwvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXNlbnNvcnktcGVyY2VwdGlvbiIsCiAgInV1aWQiOiAic2Vuc29yeS1wZXJjZXB0aW9uQEhhcmxlbVNxdWlycmVsLmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiAxMwp9"},
@@ -1259,14 +1269,14 @@
, {"uuid": "files-view@argonauta.framagit.org", "name": "Files View", "pname": "files-view", "description": "", "link": "https://extensions.gnome.org/extension/1395/files-view/", "shell_version_map": {
"38": {"version": "11", "sha256": "0367kzrpzbx1b2l1m68n2pmazlsy4207a1anvyr2n1a4xpq3vwb0", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIiIsCiAgImdldHRleHQtZG9tYWluIjogImZpbGVzLXZpZXciLAogICJuYW1lIjogIkZpbGVzIFZpZXciLAogICJyZWNlbnRseS1vcGVuLXBlcnNpc3RlbnQtZmlsZW5hbWUiOiAicmVjZW50bHktb3Blbi1mb2xkZXJzLWZpbGVzLXZpZXctZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLmpzb24iLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuZmlsZXMtdmlldyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4xOCIsCiAgICAiMy4yMCIsCiAgICAiMy4yMiIsCiAgICAiMy4yNCIsCiAgICAiMy4yNiIsCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiIiwKICAidXVpZCI6ICJmaWxlcy12aWV3QGFyZ29uYXV0YS5mcmFtYWdpdC5vcmciLAogICJ2ZXJzaW9uIjogMTEKfQ=="}
}}
-, {"uuid": "bluetooth-quick-connect@bjarosze.gmail.com", "name": "Bluetooth Quick Connect", "pname": "bluetooth-quick-connect", "description": "This extension allows paired Bluetooth devices to be connected and disconnected via the GNOME system menu, Shows battery status and more.\n", "link": "https://extensions.gnome.org/extension/1401/bluetooth-quick-connect/", "shell_version_map": {
+, {"uuid": "bluetooth-quick-connect@bjarosze.gmail.com", "name": "Bluetooth Quick Connect", "pname": "bluetooth-quick-connect", "description": "This extension allows paired Bluetooth devices to be connected and disconnected via the GNOME system menu, Shows battery status and more.", "link": "https://extensions.gnome.org/extension/1401/bluetooth-quick-connect/", "shell_version_map": {
"38": {"version": "16", "sha256": "1fkx12xx1m8pchyfvq0vmyd21m79s9s0jw4ackqg1nqcpwyqd1c3", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsbG93IHRvIGNvbm5lY3QgdG8gcGFpcmVkIGRldmljZXMgZnJvbSBnbm9tZSBjb250cm9sIHBhbmVsLlxuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiYmx1ZXRvb3RoLXF1aWNrLWNvbm5lY3QiLAogICJuYW1lIjogIkJsdWV0b290aCBRdWljayBDb25uZWN0IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmJsdWV0b290aC1xdWljay1jb25uZWN0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYmphcm9zemUvZ25vbWUtYmx1ZXRvb3RoLXF1aWNrLWNvbm5lY3QiLAogICJ1dWlkIjogImJsdWV0b290aC1xdWljay1jb25uZWN0QGJqYXJvc3plLmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiAxNgp9"},
"40": {"version": "34", "sha256": "0yv1igdh95s70jrqz3y6p0135a2yzzsjvqm2l2lg81qkfms6jk3k", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsbG93IHRvIGNvbm5lY3QgdG8gcGFpcmVkIGRldmljZXMgZnJvbSBnbm9tZSBjb250cm9sIHBhbmVsLlxuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiYmx1ZXRvb3RoLXF1aWNrLWNvbm5lY3QiLAogICJuYW1lIjogIkJsdWV0b290aCBRdWljayBDb25uZWN0IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmJsdWV0b290aC1xdWljay1jb25uZWN0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2JqYXJvc3plL2dub21lLWJsdWV0b290aC1xdWljay1jb25uZWN0IiwKICAidXVpZCI6ICJibHVldG9vdGgtcXVpY2stY29ubmVjdEBiamFyb3N6ZS5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMzQKfQ=="},
"41": {"version": "34", "sha256": "0yv1igdh95s70jrqz3y6p0135a2yzzsjvqm2l2lg81qkfms6jk3k", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsbG93IHRvIGNvbm5lY3QgdG8gcGFpcmVkIGRldmljZXMgZnJvbSBnbm9tZSBjb250cm9sIHBhbmVsLlxuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiYmx1ZXRvb3RoLXF1aWNrLWNvbm5lY3QiLAogICJuYW1lIjogIkJsdWV0b290aCBRdWljayBDb25uZWN0IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmJsdWV0b290aC1xdWljay1jb25uZWN0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2JqYXJvc3plL2dub21lLWJsdWV0b290aC1xdWljay1jb25uZWN0IiwKICAidXVpZCI6ICJibHVldG9vdGgtcXVpY2stY29ubmVjdEBiamFyb3N6ZS5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMzQKfQ=="},
"42": {"version": "34", "sha256": "0yv1igdh95s70jrqz3y6p0135a2yzzsjvqm2l2lg81qkfms6jk3k", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsbG93IHRvIGNvbm5lY3QgdG8gcGFpcmVkIGRldmljZXMgZnJvbSBnbm9tZSBjb250cm9sIHBhbmVsLlxuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiYmx1ZXRvb3RoLXF1aWNrLWNvbm5lY3QiLAogICJuYW1lIjogIkJsdWV0b290aCBRdWljayBDb25uZWN0IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmJsdWV0b290aC1xdWljay1jb25uZWN0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2JqYXJvc3plL2dub21lLWJsdWV0b290aC1xdWljay1jb25uZWN0IiwKICAidXVpZCI6ICJibHVldG9vdGgtcXVpY2stY29ubmVjdEBiamFyb3N6ZS5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMzQKfQ=="},
"43": {"version": "34", "sha256": "0yv1igdh95s70jrqz3y6p0135a2yzzsjvqm2l2lg81qkfms6jk3k", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsbG93IHRvIGNvbm5lY3QgdG8gcGFpcmVkIGRldmljZXMgZnJvbSBnbm9tZSBjb250cm9sIHBhbmVsLlxuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiYmx1ZXRvb3RoLXF1aWNrLWNvbm5lY3QiLAogICJuYW1lIjogIkJsdWV0b290aCBRdWljayBDb25uZWN0IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmJsdWV0b290aC1xdWljay1jb25uZWN0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2JqYXJvc3plL2dub21lLWJsdWV0b290aC1xdWljay1jb25uZWN0IiwKICAidXVpZCI6ICJibHVldG9vdGgtcXVpY2stY29ubmVjdEBiamFyb3N6ZS5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMzQKfQ=="},
"44": {"version": "37", "sha256": "0ydch21gzvndvbr7hlhps4l6gcncd6whdswf8rj23axl4q1smx4w", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsbG93IHRvIGNvbm5lY3QgdG8gcGFpcmVkIGRldmljZXMgZnJvbSBnbm9tZSBjb250cm9sIHBhbmVsLlxuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiYmx1ZXRvb3RoLXF1aWNrLWNvbm5lY3QiLAogICJuYW1lIjogIkJsdWV0b290aCBRdWljayBDb25uZWN0IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmJsdWV0b290aC1xdWljay1jb25uZWN0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2JqYXJvc3plL2dub21lLWJsdWV0b290aC1xdWljay1jb25uZWN0IiwKICAidXVpZCI6ICJibHVldG9vdGgtcXVpY2stY29ubmVjdEBiamFyb3N6ZS5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMzcKfQ=="},
- "45": {"version": "43", "sha256": "197kr6xpbphrfy0aqy6hd04hxypxiz4mrkg7anqf3x1s17036fmv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGFsbG93cyBwYWlyZWQgQmx1ZXRvb3RoIGRldmljZXMgdG8gYmUgY29ubmVjdGVkIGFuZCBkaXNjb25uZWN0ZWQgdmlhIHRoZSBHTk9NRSBzeXN0ZW0gbWVudSwgU2hvd3MgYmF0dGVyeSBzdGF0dXMgYW5kIG1vcmUuXG4iLAogICJkb25hdGlvbnMiOiB7CiAgICAiZ2l0aHViIjogIkJsYW5rUGFydGljbGUiCiAgfSwKICAiZ2V0dGV4dC1kb21haW4iOiAiYmx1ZXRvb3RoLXF1aWNrLWNvbm5lY3QiLAogICJuYW1lIjogIkJsdWV0b290aCBRdWljayBDb25uZWN0IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmJsdWV0b290aC1xdWljay1jb25uZWN0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0V4dGVuc2lvbnMtVmFsaGFsbGEvZ25vbWUtYmx1ZXRvb3RoLXF1aWNrLWNvbm5lY3QiLAogICJ1dWlkIjogImJsdWV0b290aC1xdWljay1jb25uZWN0QGJqYXJvc3plLmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiA0Mwp9"}
+ "45": {"version": "46", "sha256": "0bx665r2yihfxm322rxmh9x00cylxk7aji0idavqny3gp8xs6dvf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGFsbG93cyBwYWlyZWQgQmx1ZXRvb3RoIGRldmljZXMgdG8gYmUgY29ubmVjdGVkIGFuZCBkaXNjb25uZWN0ZWQgdmlhIHRoZSBHTk9NRSBzeXN0ZW0gbWVudSwgU2hvd3MgYmF0dGVyeSBzdGF0dXMgYW5kIG1vcmUuXG4iLAogICJkb25hdGlvbnMiOiB7CiAgICAiZ2l0aHViIjogIkJsYW5rUGFydGljbGUiCiAgfSwKICAiZ2V0dGV4dC1kb21haW4iOiAiYmx1ZXRvb3RoLXF1aWNrLWNvbm5lY3QiLAogICJuYW1lIjogIkJsdWV0b290aCBRdWljayBDb25uZWN0IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmJsdWV0b290aC1xdWljay1jb25uZWN0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0V4dGVuc2lvbnMtVmFsaGFsbGEvZ25vbWUtYmx1ZXRvb3RoLXF1aWNrLWNvbm5lY3QiLAogICJ1dWlkIjogImJsdWV0b290aC1xdWljay1jb25uZWN0QGJqYXJvc3plLmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiA0Ngp9"}
}}
, {"uuid": "syspeek-gs@gs.eros2.info", "name": "SysPeek-GS", "pname": "syspeek-gs", "description": "Minimalistic CPU load monitor widget inspired by SysPeek indicator", "link": "https://extensions.gnome.org/extension/1409/syspeek-gs/", "shell_version_map": {
"38": {"version": "12", "sha256": "0bdspqf9vyhfv9rcj1xz9jkswh4gy3laj2vhnfsl64skfp2c8qsl", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1pbmltYWxpc3RpYyBDUFUgbG9hZCBtb25pdG9yIHdpZGdldCBpbnNwaXJlZCBieSBTeXNQZWVrIGluZGljYXRvciIsCiAgIm5hbWUiOiAiU3lzUGVlay1HUyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZXVnZW5lLXJvbS9zeXNwZWVrLWdzIiwKICAidXVpZCI6ICJzeXNwZWVrLWdzQGdzLmVyb3MyLmluZm8iLAogICJ2ZXJzaW9uIjogMTIKfQ=="},
@@ -1335,7 +1345,7 @@
"42": {"version": "23", "sha256": "08pl7m0z4mpsg3556r282wyld61h3bzilfakzbmnqv18zf4xlfwz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN3aXRjaGVzIGt1YmUgY29uZmlnIGNvbnRleHQiLAogICJuYW1lIjogIkt1YmUgQ29uZmlnIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmt1YmUtY29uZmlnIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS92dmJvZ2Rhbm92ODcvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWt1YmVjb25maWciLAogICJ1dWlkIjogImt1YmVfY29uZmlnQHZ2Ym9nZGFub3Y4Ny5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMjMKfQ=="},
"43": {"version": "23", "sha256": "08pl7m0z4mpsg3556r282wyld61h3bzilfakzbmnqv18zf4xlfwz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN3aXRjaGVzIGt1YmUgY29uZmlnIGNvbnRleHQiLAogICJuYW1lIjogIkt1YmUgQ29uZmlnIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmt1YmUtY29uZmlnIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS92dmJvZ2Rhbm92ODcvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWt1YmVjb25maWciLAogICJ1dWlkIjogImt1YmVfY29uZmlnQHZ2Ym9nZGFub3Y4Ny5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMjMKfQ=="},
"44": {"version": "23", "sha256": "08pl7m0z4mpsg3556r282wyld61h3bzilfakzbmnqv18zf4xlfwz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN3aXRjaGVzIGt1YmUgY29uZmlnIGNvbnRleHQiLAogICJuYW1lIjogIkt1YmUgQ29uZmlnIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmt1YmUtY29uZmlnIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS92dmJvZ2Rhbm92ODcvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWt1YmVjb25maWciLAogICJ1dWlkIjogImt1YmVfY29uZmlnQHZ2Ym9nZGFub3Y4Ny5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMjMKfQ=="},
- "45": {"version": "25", "sha256": "138zkwzzicviss3b29w7a8y9f1bhpb0dm3q2finvlkvx1cknjhw8", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN3aXRjaGVzIGt1YmUgY29uZmlnIGNvbnRleHQiLAogICJuYW1lIjogIkt1YmUgQ29uZmlnIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmt1YmUtY29uZmlnIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3Z2Ym9nZGFub3Y4Ny9nbm9tZS1zaGVsbC1leHRlbnNpb24ta3ViZWNvbmZpZyIsCiAgInV1aWQiOiAia3ViZV9jb25maWdAdnZib2dkYW5vdjg3LmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiAyNQp9"}
+ "45": {"version": "26", "sha256": "182vyrmn0l46g0m3gx0rv1ki19birsabng4chvv8ma1j0rnr1phi", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN3aXRjaGVzIGt1YmUgY29uZmlnIGNvbnRleHQiLAogICJuYW1lIjogIkt1YmUgQ29uZmlnIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmt1YmUtY29uZmlnIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3Z2Ym9nZGFub3Y4Ny9nbm9tZS1zaGVsbC1leHRlbnNpb24ta3ViZWNvbmZpZyIsCiAgInV1aWQiOiAia3ViZV9jb25maWdAdnZib2dkYW5vdjg3LmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiAyNgp9"}
}}
, {"uuid": "microphone-loopback@atareao.es", "name": "Microphone Loopback", "pname": "microphone-loopback", "description": "This extension enables hear microphone on headphones or speakers", "link": "https://extensions.gnome.org/extension/1443/microphone-loopback/", "shell_version_map": {
"40": {"version": "5", "sha256": "1s9sh3afa3d7vbjlna6c7yls7kglwrxy6j5hns1ql4nprkdx8nb1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGVuYWJsZXMgaGVhciBtaWNyb3Bob25lIG9uIGhlYWRwaG9uZXMgb3Igc3BlYWtlcnMiLAogICJleHRlbnNpb24taWQiOiAibWljcm9waG9uZS1sb29wYmFja0BhdGFyZWFvLmVzIiwKICAiZ2V0dGV4dC1kb21haW4iOiAibWljcm9waG9uZS1sb29wYmFja0BhdGFyZWFvLmVzIiwKICAiaWNvbiI6ICJtaWNyb3Bob25lLWxvb3BiYWNrIiwKICAibmFtZSI6ICJNaWNyb3Bob25lIExvb3BiYWNrIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm1pY3JvcGhvbmUtbG9vcGJhY2siLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9hdGFyZWFvL21pY3JvcGhvbmUtbG9vcGJhY2siLAogICJ1dWlkIjogIm1pY3JvcGhvbmUtbG9vcGJhY2tAYXRhcmVhby5lcyIsCiAgInZlcnNpb24iOiA1Cn0="},
@@ -1374,7 +1384,7 @@
"42": {"version": "61", "sha256": "137piq92wc23imcwqg5jyra1yfvj5xhm3iy7ls3djni76xkcfghf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgZ2xpbXBzZSBpbnRvIHlvdXIgY29tcHV0ZXIncyB0ZW1wZXJhdHVyZSwgdm9sdGFnZSwgZmFuIHNwZWVkLCBtZW1vcnkgdXNhZ2UsIHByb2Nlc3NvciBsb2FkLCBzeXN0ZW0gcmVzb3VyY2VzLCBuZXR3b3JrIHNwZWVkIGFuZCBzdG9yYWdlIHN0YXRzLiBUaGlzIGlzIGEgb25lIHN0b3Agc2hvcCB0byBtb25pdG9yIGFsbCBvZiB5b3VyIHZpdGFsIHNlbnNvcnMuIFVzZXMgYXN5bmNocm9ub3VzIHBvbGxpbmcgdG8gcHJvdmlkZSBhIHNtb290aCB1c2VyIGV4cGVyaWVuY2UuIEZlYXR1cmUgcmVxdWVzdHMgb3IgYnVncz8gUGxlYXNlIHVzZSBHaXRIdWIuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAidml0YWxzIiwKICAibmFtZSI6ICJWaXRhbHMiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudml0YWxzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9jb3JlY29kaW5nL1ZpdGFscyIsCiAgInV1aWQiOiAiVml0YWxzQENvcmVDb2RpbmcuY29tIiwKICAidmVyc2lvbiI6IDYxCn0="},
"43": {"version": "61", "sha256": "137piq92wc23imcwqg5jyra1yfvj5xhm3iy7ls3djni76xkcfghf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgZ2xpbXBzZSBpbnRvIHlvdXIgY29tcHV0ZXIncyB0ZW1wZXJhdHVyZSwgdm9sdGFnZSwgZmFuIHNwZWVkLCBtZW1vcnkgdXNhZ2UsIHByb2Nlc3NvciBsb2FkLCBzeXN0ZW0gcmVzb3VyY2VzLCBuZXR3b3JrIHNwZWVkIGFuZCBzdG9yYWdlIHN0YXRzLiBUaGlzIGlzIGEgb25lIHN0b3Agc2hvcCB0byBtb25pdG9yIGFsbCBvZiB5b3VyIHZpdGFsIHNlbnNvcnMuIFVzZXMgYXN5bmNocm9ub3VzIHBvbGxpbmcgdG8gcHJvdmlkZSBhIHNtb290aCB1c2VyIGV4cGVyaWVuY2UuIEZlYXR1cmUgcmVxdWVzdHMgb3IgYnVncz8gUGxlYXNlIHVzZSBHaXRIdWIuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAidml0YWxzIiwKICAibmFtZSI6ICJWaXRhbHMiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudml0YWxzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9jb3JlY29kaW5nL1ZpdGFscyIsCiAgInV1aWQiOiAiVml0YWxzQENvcmVDb2RpbmcuY29tIiwKICAidmVyc2lvbiI6IDYxCn0="},
"44": {"version": "61", "sha256": "137piq92wc23imcwqg5jyra1yfvj5xhm3iy7ls3djni76xkcfghf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgZ2xpbXBzZSBpbnRvIHlvdXIgY29tcHV0ZXIncyB0ZW1wZXJhdHVyZSwgdm9sdGFnZSwgZmFuIHNwZWVkLCBtZW1vcnkgdXNhZ2UsIHByb2Nlc3NvciBsb2FkLCBzeXN0ZW0gcmVzb3VyY2VzLCBuZXR3b3JrIHNwZWVkIGFuZCBzdG9yYWdlIHN0YXRzLiBUaGlzIGlzIGEgb25lIHN0b3Agc2hvcCB0byBtb25pdG9yIGFsbCBvZiB5b3VyIHZpdGFsIHNlbnNvcnMuIFVzZXMgYXN5bmNocm9ub3VzIHBvbGxpbmcgdG8gcHJvdmlkZSBhIHNtb290aCB1c2VyIGV4cGVyaWVuY2UuIEZlYXR1cmUgcmVxdWVzdHMgb3IgYnVncz8gUGxlYXNlIHVzZSBHaXRIdWIuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAidml0YWxzIiwKICAibmFtZSI6ICJWaXRhbHMiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudml0YWxzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9jb3JlY29kaW5nL1ZpdGFscyIsCiAgInV1aWQiOiAiVml0YWxzQENvcmVDb2RpbmcuY29tIiwKICAidmVyc2lvbiI6IDYxCn0="},
- "45": {"version": "63", "sha256": "1ssjrcsq6pymh9q4qdi7zqpq3m16wgs2yj8nkv97pksbg1jfmza1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgZ2xpbXBzZSBpbnRvIHlvdXIgY29tcHV0ZXIncyB0ZW1wZXJhdHVyZSwgdm9sdGFnZSwgZmFuIHNwZWVkLCBtZW1vcnkgdXNhZ2UsIHByb2Nlc3NvciBsb2FkLCBzeXN0ZW0gcmVzb3VyY2VzLCBuZXR3b3JrIHNwZWVkIGFuZCBzdG9yYWdlIHN0YXRzLiBUaGlzIGlzIGEgb25lIHN0b3Agc2hvcCB0byBtb25pdG9yIGFsbCBvZiB5b3VyIHZpdGFsIHNlbnNvcnMuIFVzZXMgYXN5bmNocm9ub3VzIHBvbGxpbmcgdG8gcHJvdmlkZSBhIHNtb290aCB1c2VyIGV4cGVyaWVuY2UuIEZlYXR1cmUgcmVxdWVzdHMgb3IgYnVncz8gUGxlYXNlIHVzZSBHaXRIdWIuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAidml0YWxzIiwKICAibmFtZSI6ICJWaXRhbHMiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudml0YWxzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2NvcmVjb2RpbmcvVml0YWxzIiwKICAidXVpZCI6ICJWaXRhbHNAQ29yZUNvZGluZy5jb20iLAogICJ2ZXJzaW9uIjogNjMKfQ=="}
+ "45": {"version": "65", "sha256": "01w9z5razx4ss49pjnsdxfkzq9xd61rvg5vjkw7w2mwdq0jwzknk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgZ2xpbXBzZSBpbnRvIHlvdXIgY29tcHV0ZXIncyB0ZW1wZXJhdHVyZSwgdm9sdGFnZSwgZmFuIHNwZWVkLCBtZW1vcnkgdXNhZ2UsIHByb2Nlc3NvciBsb2FkLCBzeXN0ZW0gcmVzb3VyY2VzLCBuZXR3b3JrIHNwZWVkIGFuZCBzdG9yYWdlIHN0YXRzLiBUaGlzIGlzIGEgb25lIHN0b3Agc2hvcCB0byBtb25pdG9yIGFsbCBvZiB5b3VyIHZpdGFsIHNlbnNvcnMuIFVzZXMgYXN5bmNocm9ub3VzIHBvbGxpbmcgdG8gcHJvdmlkZSBhIHNtb290aCB1c2VyIGV4cGVyaWVuY2UuIEZlYXR1cmUgcmVxdWVzdHMgb3IgYnVncz8gUGxlYXNlIHVzZSBHaXRIdWIuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAidml0YWxzIiwKICAibmFtZSI6ICJWaXRhbHMiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudml0YWxzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIsCiAgICAiNDYiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9jb3JlY29kaW5nL1ZpdGFscyIsCiAgInV1aWQiOiAiVml0YWxzQENvcmVDb2RpbmcuY29tIiwKICAidmVyc2lvbiI6IDY1Cn0="}
}}
, {"uuid": "panel-date-format@keiii.github.com", "name": "Panel Date Format", "pname": "panel-date-format", "description": "Allows to customize the date format on the panel.", "link": "https://extensions.gnome.org/extension/1462/panel-date-format/", "shell_version_map": {
"40": {"version": "11", "sha256": "1l6kaq9lpxyyj81iqqxwdpa4mwdsndx744zmgr69vklz4lkyznj4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsbG93cyB0byBjdXN0b21pemUgdGhlIGRhdGUgZm9ybWF0IG9uIHRoZSBwYW5lbC4iLAogICJuYW1lIjogIlBhbmVsIERhdGUgRm9ybWF0IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnBhbmVsLWRhdGUtZm9ybWF0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9LRUlJSS9nbm9tZS1zaGVsbC1wYW5lbC1kYXRlLWZvcm1hdCIsCiAgInV1aWQiOiAicGFuZWwtZGF0ZS1mb3JtYXRAa2VpaWkuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAxMQp9"},
@@ -1519,7 +1529,8 @@
"41": {"version": "55", "sha256": "0mmrz2zqf1jpdgsw6bi7l733jx74myd8v785g1gdqk34wynz1fxd", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImFwcGxpY2F0aW9uLWlkIjogIm9yZy5rcm9ub3NvdWwud29ya3NldHMiLAogICJkZXNjcmlwdGlvbiI6ICJDdXN0b21pc2VkIFdvcmtzcGFjZXMgZW5hYmxlcyBjcmVhdGluZyBpc29sYXRlZCBhbmQgY3VzdG9taXNlZCB3b3Jrc3BhY2VzLlxuXG4qIENob29zZSBhIGRpZmZlcmVudCBiYWNrZ3JvdW5kIGltYWdlIGZvciBlYWNoIHdvcmtzcGFjZVxuKiBZb3VyIGRhc2ggd2lsbCBoYXZlIHVuaXF1ZSBmYXZvdXJpdGUgYXBwbGljYXRpb25zIG9uIGVhY2ggd29ya3NwYWNlXG4qIE9wdGlvbmFsbHkgaXNvbGF0ZSBydW5uaW5nIGFwcGxpY2F0aW9ucyB0byBvbmx5IGFwcGVhciBvbiB0aGUgZGFzaCBpbiB0aGVpciByZXNwZWN0aXZlIHdvcmtzcGFjZVxuKiBPcHRpb25hbGx5IHNob3cgd29ya3NwYWNlIGluZm9ybWF0aW9uIGFuZCBhY3Rpb25zIGluIHRoZSBvdmVydmlld1xuKiBTZXQgZWFjaCBjdXN0b21pc2VkIHdvcmtzcGFjZSB0byBhdXRvbG9hZCBvbiBzcGVjaWZpYyB3b3Jrc3BhY2VzXG4qIEhpZGUgdGhlIGV4dGVuc2lvbiBvbmNlIHlvdSd2ZSBjb25maWd1cmVkIHlvdXIgcHJlZmVyZW5jZXMgdG8gZW5qb3kgc2VhbWxlc3NseSB1cGdyYWRlZCB3b3Jrc3BhY2VzIGluIGdub21lIHNoZWxsXG5cblBsZWFzZSBkbyBub3QgbGVhdmUgYSBjb21tZW50IGZvciBpc3N1ZXMgYW5kIGluc3RlYWQgcmVwb3J0IGl0IGhlcmU6IGh0dHBzOi8vZ2l0aHViLmNvbS9ibGlway9DdXN0b21pc2VkLVdvcmtzcGFjZXMvaXNzdWVzIiwKICAiZXh0ZW5zaW9uLWlkIjogIndvcmtzZXRzIiwKICAiZ2V0dGV4dC1kb21haW4iOiAid29ya3NldHMiLAogICJuYW1lIjogIkN1c3RvbWlzZWQgV29ya3NwYWNlcyIsCiAgIm9yaWdpbmFsLWF1dGhvciI6ICJibGlwayIsCiAgInJlc291cmNlLXBhdGgiOiAiL29yZy9rcm9ub3NvdWwvd29ya3NldHMvIiwKICAic2V0dGluZ3MtcGF0aCI6ICIvb3JnL2dub21lL3NoZWxsL2V4dGVuc2lvbnMvd29ya3NldHMvIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLndvcmtzZXRzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9ibGlway9DdXN0b21pc2VkLVdvcmtzcGFjZXMiLAogICJ1dWlkIjogIndvcmtzZXRzQGJsaXBrLnh5eiIsCiAgInZlcnNpb24iOiA1NQp9"},
"42": {"version": "60", "sha256": "1f79yi0g8pdnxpbfq098xi52shg5qn1s8gy5yi83i38brz3dv4bp", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImFwcGxpY2F0aW9uLWlkIjogIm9yZy5rcm9ub3NvdWwud29ya3NldHMiLAogICJkZXNjcmlwdGlvbiI6ICJDdXN0b21pc2VkIFdvcmtzcGFjZXMgZW5hYmxlcyBjcmVhdGluZyBpc29sYXRlZCBhbmQgY3VzdG9taXNlZCB3b3Jrc3BhY2VzLlxuXG4qIENob29zZSBhIGRpZmZlcmVudCBiYWNrZ3JvdW5kIGltYWdlIGZvciBlYWNoIHdvcmtzcGFjZVxuKiBZb3VyIGRhc2ggd2lsbCBoYXZlIHVuaXF1ZSBmYXZvdXJpdGUgYXBwbGljYXRpb25zIG9uIGVhY2ggd29ya3NwYWNlXG4qIE9wdGlvbmFsbHkgaXNvbGF0ZSBydW5uaW5nIGFwcGxpY2F0aW9ucyB0byBvbmx5IGFwcGVhciBvbiB0aGUgZGFzaCBpbiB0aGVpciByZXNwZWN0aXZlIHdvcmtzcGFjZVxuKiBPcHRpb25hbGx5IHNob3cgd29ya3NwYWNlIGluZm9ybWF0aW9uIGFuZCBhY3Rpb25zIGluIHRoZSBvdmVydmlld1xuKiBTZXQgZWFjaCBjdXN0b21pc2VkIHdvcmtzcGFjZSB0byBhdXRvbG9hZCBvbiBzcGVjaWZpYyB3b3Jrc3BhY2VzXG4qIEhpZGUgdGhlIGV4dGVuc2lvbiBvbmNlIHlvdSd2ZSBjb25maWd1cmVkIHlvdXIgcHJlZmVyZW5jZXMgdG8gZW5qb3kgc2VhbWxlc3NseSB1cGdyYWRlZCB3b3Jrc3BhY2VzIGluIGdub21lIHNoZWxsXG5cblBsZWFzZSBkbyBub3QgbGVhdmUgYSBjb21tZW50IGZvciBpc3N1ZXMgYW5kIGluc3RlYWQgcmVwb3J0IGl0IGhlcmU6IGh0dHBzOi8vZ2l0aHViLmNvbS9ibGlway9DdXN0b21pc2VkLVdvcmtzcGFjZXMvaXNzdWVzIiwKICAiZXh0ZW5zaW9uLWlkIjogIndvcmtzZXRzIiwKICAiZ2V0dGV4dC1kb21haW4iOiAid29ya3NldHMiLAogICJuYW1lIjogIkN1c3RvbWlzZWQgV29ya3NwYWNlcyIsCiAgIm9yaWdpbmFsLWF1dGhvciI6ICJibGlwayIsCiAgInJlc291cmNlLXBhdGgiOiAiL29yZy9rcm9ub3NvdWwvd29ya3NldHMvIiwKICAic2V0dGluZ3MtcGF0aCI6ICIvb3JnL2dub21lL3NoZWxsL2V4dGVuc2lvbnMvd29ya3NldHMvIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLndvcmtzZXRzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYmxpcGsvQ3VzdG9taXNlZC1Xb3Jrc3BhY2VzIiwKICAidXVpZCI6ICJ3b3Jrc2V0c0BibGlway54eXoiLAogICJ2ZXJzaW9uIjogNjAKfQ=="},
"43": {"version": "60", "sha256": "1f79yi0g8pdnxpbfq098xi52shg5qn1s8gy5yi83i38brz3dv4bp", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImFwcGxpY2F0aW9uLWlkIjogIm9yZy5rcm9ub3NvdWwud29ya3NldHMiLAogICJkZXNjcmlwdGlvbiI6ICJDdXN0b21pc2VkIFdvcmtzcGFjZXMgZW5hYmxlcyBjcmVhdGluZyBpc29sYXRlZCBhbmQgY3VzdG9taXNlZCB3b3Jrc3BhY2VzLlxuXG4qIENob29zZSBhIGRpZmZlcmVudCBiYWNrZ3JvdW5kIGltYWdlIGZvciBlYWNoIHdvcmtzcGFjZVxuKiBZb3VyIGRhc2ggd2lsbCBoYXZlIHVuaXF1ZSBmYXZvdXJpdGUgYXBwbGljYXRpb25zIG9uIGVhY2ggd29ya3NwYWNlXG4qIE9wdGlvbmFsbHkgaXNvbGF0ZSBydW5uaW5nIGFwcGxpY2F0aW9ucyB0byBvbmx5IGFwcGVhciBvbiB0aGUgZGFzaCBpbiB0aGVpciByZXNwZWN0aXZlIHdvcmtzcGFjZVxuKiBPcHRpb25hbGx5IHNob3cgd29ya3NwYWNlIGluZm9ybWF0aW9uIGFuZCBhY3Rpb25zIGluIHRoZSBvdmVydmlld1xuKiBTZXQgZWFjaCBjdXN0b21pc2VkIHdvcmtzcGFjZSB0byBhdXRvbG9hZCBvbiBzcGVjaWZpYyB3b3Jrc3BhY2VzXG4qIEhpZGUgdGhlIGV4dGVuc2lvbiBvbmNlIHlvdSd2ZSBjb25maWd1cmVkIHlvdXIgcHJlZmVyZW5jZXMgdG8gZW5qb3kgc2VhbWxlc3NseSB1cGdyYWRlZCB3b3Jrc3BhY2VzIGluIGdub21lIHNoZWxsXG5cblBsZWFzZSBkbyBub3QgbGVhdmUgYSBjb21tZW50IGZvciBpc3N1ZXMgYW5kIGluc3RlYWQgcmVwb3J0IGl0IGhlcmU6IGh0dHBzOi8vZ2l0aHViLmNvbS9ibGlway9DdXN0b21pc2VkLVdvcmtzcGFjZXMvaXNzdWVzIiwKICAiZXh0ZW5zaW9uLWlkIjogIndvcmtzZXRzIiwKICAiZ2V0dGV4dC1kb21haW4iOiAid29ya3NldHMiLAogICJuYW1lIjogIkN1c3RvbWlzZWQgV29ya3NwYWNlcyIsCiAgIm9yaWdpbmFsLWF1dGhvciI6ICJibGlwayIsCiAgInJlc291cmNlLXBhdGgiOiAiL29yZy9rcm9ub3NvdWwvd29ya3NldHMvIiwKICAic2V0dGluZ3MtcGF0aCI6ICIvb3JnL2dub21lL3NoZWxsL2V4dGVuc2lvbnMvd29ya3NldHMvIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLndvcmtzZXRzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYmxpcGsvQ3VzdG9taXNlZC1Xb3Jrc3BhY2VzIiwKICAidXVpZCI6ICJ3b3Jrc2V0c0BibGlway54eXoiLAogICJ2ZXJzaW9uIjogNjAKfQ=="},
- "44": {"version": "60", "sha256": "1f79yi0g8pdnxpbfq098xi52shg5qn1s8gy5yi83i38brz3dv4bp", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImFwcGxpY2F0aW9uLWlkIjogIm9yZy5rcm9ub3NvdWwud29ya3NldHMiLAogICJkZXNjcmlwdGlvbiI6ICJDdXN0b21pc2VkIFdvcmtzcGFjZXMgZW5hYmxlcyBjcmVhdGluZyBpc29sYXRlZCBhbmQgY3VzdG9taXNlZCB3b3Jrc3BhY2VzLlxuXG4qIENob29zZSBhIGRpZmZlcmVudCBiYWNrZ3JvdW5kIGltYWdlIGZvciBlYWNoIHdvcmtzcGFjZVxuKiBZb3VyIGRhc2ggd2lsbCBoYXZlIHVuaXF1ZSBmYXZvdXJpdGUgYXBwbGljYXRpb25zIG9uIGVhY2ggd29ya3NwYWNlXG4qIE9wdGlvbmFsbHkgaXNvbGF0ZSBydW5uaW5nIGFwcGxpY2F0aW9ucyB0byBvbmx5IGFwcGVhciBvbiB0aGUgZGFzaCBpbiB0aGVpciByZXNwZWN0aXZlIHdvcmtzcGFjZVxuKiBPcHRpb25hbGx5IHNob3cgd29ya3NwYWNlIGluZm9ybWF0aW9uIGFuZCBhY3Rpb25zIGluIHRoZSBvdmVydmlld1xuKiBTZXQgZWFjaCBjdXN0b21pc2VkIHdvcmtzcGFjZSB0byBhdXRvbG9hZCBvbiBzcGVjaWZpYyB3b3Jrc3BhY2VzXG4qIEhpZGUgdGhlIGV4dGVuc2lvbiBvbmNlIHlvdSd2ZSBjb25maWd1cmVkIHlvdXIgcHJlZmVyZW5jZXMgdG8gZW5qb3kgc2VhbWxlc3NseSB1cGdyYWRlZCB3b3Jrc3BhY2VzIGluIGdub21lIHNoZWxsXG5cblBsZWFzZSBkbyBub3QgbGVhdmUgYSBjb21tZW50IGZvciBpc3N1ZXMgYW5kIGluc3RlYWQgcmVwb3J0IGl0IGhlcmU6IGh0dHBzOi8vZ2l0aHViLmNvbS9ibGlway9DdXN0b21pc2VkLVdvcmtzcGFjZXMvaXNzdWVzIiwKICAiZXh0ZW5zaW9uLWlkIjogIndvcmtzZXRzIiwKICAiZ2V0dGV4dC1kb21haW4iOiAid29ya3NldHMiLAogICJuYW1lIjogIkN1c3RvbWlzZWQgV29ya3NwYWNlcyIsCiAgIm9yaWdpbmFsLWF1dGhvciI6ICJibGlwayIsCiAgInJlc291cmNlLXBhdGgiOiAiL29yZy9rcm9ub3NvdWwvd29ya3NldHMvIiwKICAic2V0dGluZ3MtcGF0aCI6ICIvb3JnL2dub21lL3NoZWxsL2V4dGVuc2lvbnMvd29ya3NldHMvIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLndvcmtzZXRzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYmxpcGsvQ3VzdG9taXNlZC1Xb3Jrc3BhY2VzIiwKICAidXVpZCI6ICJ3b3Jrc2V0c0BibGlway54eXoiLAogICJ2ZXJzaW9uIjogNjAKfQ=="}
+ "44": {"version": "60", "sha256": "1f79yi0g8pdnxpbfq098xi52shg5qn1s8gy5yi83i38brz3dv4bp", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImFwcGxpY2F0aW9uLWlkIjogIm9yZy5rcm9ub3NvdWwud29ya3NldHMiLAogICJkZXNjcmlwdGlvbiI6ICJDdXN0b21pc2VkIFdvcmtzcGFjZXMgZW5hYmxlcyBjcmVhdGluZyBpc29sYXRlZCBhbmQgY3VzdG9taXNlZCB3b3Jrc3BhY2VzLlxuXG4qIENob29zZSBhIGRpZmZlcmVudCBiYWNrZ3JvdW5kIGltYWdlIGZvciBlYWNoIHdvcmtzcGFjZVxuKiBZb3VyIGRhc2ggd2lsbCBoYXZlIHVuaXF1ZSBmYXZvdXJpdGUgYXBwbGljYXRpb25zIG9uIGVhY2ggd29ya3NwYWNlXG4qIE9wdGlvbmFsbHkgaXNvbGF0ZSBydW5uaW5nIGFwcGxpY2F0aW9ucyB0byBvbmx5IGFwcGVhciBvbiB0aGUgZGFzaCBpbiB0aGVpciByZXNwZWN0aXZlIHdvcmtzcGFjZVxuKiBPcHRpb25hbGx5IHNob3cgd29ya3NwYWNlIGluZm9ybWF0aW9uIGFuZCBhY3Rpb25zIGluIHRoZSBvdmVydmlld1xuKiBTZXQgZWFjaCBjdXN0b21pc2VkIHdvcmtzcGFjZSB0byBhdXRvbG9hZCBvbiBzcGVjaWZpYyB3b3Jrc3BhY2VzXG4qIEhpZGUgdGhlIGV4dGVuc2lvbiBvbmNlIHlvdSd2ZSBjb25maWd1cmVkIHlvdXIgcHJlZmVyZW5jZXMgdG8gZW5qb3kgc2VhbWxlc3NseSB1cGdyYWRlZCB3b3Jrc3BhY2VzIGluIGdub21lIHNoZWxsXG5cblBsZWFzZSBkbyBub3QgbGVhdmUgYSBjb21tZW50IGZvciBpc3N1ZXMgYW5kIGluc3RlYWQgcmVwb3J0IGl0IGhlcmU6IGh0dHBzOi8vZ2l0aHViLmNvbS9ibGlway9DdXN0b21pc2VkLVdvcmtzcGFjZXMvaXNzdWVzIiwKICAiZXh0ZW5zaW9uLWlkIjogIndvcmtzZXRzIiwKICAiZ2V0dGV4dC1kb21haW4iOiAid29ya3NldHMiLAogICJuYW1lIjogIkN1c3RvbWlzZWQgV29ya3NwYWNlcyIsCiAgIm9yaWdpbmFsLWF1dGhvciI6ICJibGlwayIsCiAgInJlc291cmNlLXBhdGgiOiAiL29yZy9rcm9ub3NvdWwvd29ya3NldHMvIiwKICAic2V0dGluZ3MtcGF0aCI6ICIvb3JnL2dub21lL3NoZWxsL2V4dGVuc2lvbnMvd29ya3NldHMvIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLndvcmtzZXRzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYmxpcGsvQ3VzdG9taXNlZC1Xb3Jrc3BhY2VzIiwKICAidXVpZCI6ICJ3b3Jrc2V0c0BibGlway54eXoiLAogICJ2ZXJzaW9uIjogNjAKfQ=="},
+ "45": {"version": "65", "sha256": "0mrbflk2pwlwinm2xn3zgs6afs0rp0i3vqkl3fh19i4m0dxjm815", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImFwcGxpY2F0aW9uLWlkIjogIm9yZy5rcm9ub3NvdWwud29ya3NldHMiLAogICJkZXNjcmlwdGlvbiI6ICJDdXN0b21pc2VkIFdvcmtzcGFjZXMgZW5hYmxlcyBjcmVhdGluZyBpc29sYXRlZCBhbmQgY3VzdG9taXNlZCB3b3Jrc3BhY2VzLlxuXG4qIENob29zZSBhIGRpZmZlcmVudCBiYWNrZ3JvdW5kIGltYWdlIGZvciBlYWNoIHdvcmtzcGFjZVxuKiBZb3VyIGRhc2ggd2lsbCBoYXZlIHVuaXF1ZSBmYXZvdXJpdGUgYXBwbGljYXRpb25zIG9uIGVhY2ggd29ya3NwYWNlXG4qIE9wdGlvbmFsbHkgaXNvbGF0ZSBydW5uaW5nIGFwcGxpY2F0aW9ucyB0byBvbmx5IGFwcGVhciBvbiB0aGUgZGFzaCBpbiB0aGVpciByZXNwZWN0aXZlIHdvcmtzcGFjZVxuKiBPcHRpb25hbGx5IHNob3cgd29ya3NwYWNlIGluZm9ybWF0aW9uIGFuZCBhY3Rpb25zIGluIHRoZSBvdmVydmlld1xuKiBTZXQgZWFjaCBjdXN0b21pc2VkIHdvcmtzcGFjZSB0byBhdXRvbG9hZCBvbiBzcGVjaWZpYyB3b3Jrc3BhY2VzXG4qIEhpZGUgdGhlIGV4dGVuc2lvbiBvbmNlIHlvdSd2ZSBjb25maWd1cmVkIHlvdXIgcHJlZmVyZW5jZXMgdG8gZW5qb3kgc2VhbWxlc3NseSB1cGdyYWRlZCB3b3Jrc3BhY2VzIGluIGdub21lIHNoZWxsXG5cblBsZWFzZSBkbyBub3QgbGVhdmUgYSBjb21tZW50IGZvciBpc3N1ZXMgYW5kIGluc3RlYWQgcmVwb3J0IGl0IGhlcmU6IGh0dHBzOi8vZ2l0aHViLmNvbS9ibGlway9DdXN0b21pc2VkLVdvcmtzcGFjZXMvaXNzdWVzIiwKICAiZXh0ZW5zaW9uLWlkIjogIndvcmtzZXRzIiwKICAiZ2V0dGV4dC1kb21haW4iOiAid29ya3NldHMiLAogICJuYW1lIjogIkN1c3RvbWlzZWQgV29ya3NwYWNlcyIsCiAgIm9yaWdpbmFsLWF1dGhvciI6ICJibGlwayIsCiAgInJlc291cmNlLXBhdGgiOiAiL29yZy9rcm9ub3NvdWwvd29ya3NldHMvIiwKICAic2V0dGluZ3MtcGF0aCI6ICIvb3JnL2dub21lL3NoZWxsL2V4dGVuc2lvbnMvd29ya3NldHMvIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLndvcmtzZXRzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2JsaXBrL0N1c3RvbWlzZWQtV29ya3NwYWNlcyIsCiAgInV1aWQiOiAid29ya3NldHNAYmxpcGsueHl6IiwKICAidmVyc2lvbiI6IDY1Cn0="}
}}
, {"uuid": "NordVPN_Connect@poilrouge.fr", "name": "NordVPN Connect", "pname": "nordvpn-connect", "description": "Unofficial Gnome-Shell Extension to provide a GUI for the official NordVPN CLI Tool.", "link": "https://extensions.gnome.org/extension/1595/nordvpn-connect/", "shell_version_map": {
"38": {"version": "15", "sha256": "0xccvy3kqgglhcjhixlxi65sa49lbm896lra2sks65arkx3fh63k", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlVub2ZmaWNpYWwgR25vbWUtU2hlbGwgRXh0ZW5zaW9uIHRvIHByb3ZpZGUgYSBHVUkgZm9yIHRoZSBvZmZpY2lhbCBOb3JkVlBOIENMSSBUb29sLiIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbnMtbnZwbmNvbm5lY3QiLAogICJuYW1lIjogIk5vcmRWUE4gQ29ubmVjdCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5udnBuY29ubmVjdCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0FsZXhQb2lscm91Z2UvTm9yZFZQTi1jb25uZWN0IiwKICAidXVpZCI6ICJOb3JkVlBOX0Nvbm5lY3RAcG9pbHJvdWdlLmZyIiwKICAidmVyc2lvbiI6IDE1Cn0="},
@@ -2093,7 +2104,7 @@
"42": {"version": "27", "sha256": "1bssg2w71qinr2hhsyv0rpps7876y91azpqcl3dhdgipki4n7yvq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgTWVzc2FnaW5nIE1lbnUgZm9yIHRoZSBHbm9tZSBTaGVsbC4gQWxsIEVtYWlsIGFuZCBDaGF0IEFwcGxpY2F0aW9ucyBpbiBvbmUgUGxhY2UuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAibWVzc2FnaW5nbWVudSIsCiAgIm5hbWUiOiAiTWVzc2FnaW5nIE1lbnUiLAogICJvcmlnaW5hbC1hdXRob3IiOiAic2luaXN0ZXJzdHVmIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm1lc3NhZ2luZ21lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0NocmlzTGF1aW5nZXI3Ny9tZXNzYWdpbmdtZW51IiwKICAidXVpZCI6ICJtZXNzYWdpbmdtZW51QGxhdWluZ2VyLWNsYW4uZGUiLAogICJ2ZXJzaW9uIjogMjcKfQ=="},
"43": {"version": "27", "sha256": "1bssg2w71qinr2hhsyv0rpps7876y91azpqcl3dhdgipki4n7yvq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgTWVzc2FnaW5nIE1lbnUgZm9yIHRoZSBHbm9tZSBTaGVsbC4gQWxsIEVtYWlsIGFuZCBDaGF0IEFwcGxpY2F0aW9ucyBpbiBvbmUgUGxhY2UuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAibWVzc2FnaW5nbWVudSIsCiAgIm5hbWUiOiAiTWVzc2FnaW5nIE1lbnUiLAogICJvcmlnaW5hbC1hdXRob3IiOiAic2luaXN0ZXJzdHVmIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm1lc3NhZ2luZ21lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0NocmlzTGF1aW5nZXI3Ny9tZXNzYWdpbmdtZW51IiwKICAidXVpZCI6ICJtZXNzYWdpbmdtZW51QGxhdWluZ2VyLWNsYW4uZGUiLAogICJ2ZXJzaW9uIjogMjcKfQ=="},
"44": {"version": "27", "sha256": "1bssg2w71qinr2hhsyv0rpps7876y91azpqcl3dhdgipki4n7yvq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgTWVzc2FnaW5nIE1lbnUgZm9yIHRoZSBHbm9tZSBTaGVsbC4gQWxsIEVtYWlsIGFuZCBDaGF0IEFwcGxpY2F0aW9ucyBpbiBvbmUgUGxhY2UuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAibWVzc2FnaW5nbWVudSIsCiAgIm5hbWUiOiAiTWVzc2FnaW5nIE1lbnUiLAogICJvcmlnaW5hbC1hdXRob3IiOiAic2luaXN0ZXJzdHVmIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm1lc3NhZ2luZ21lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0NocmlzTGF1aW5nZXI3Ny9tZXNzYWdpbmdtZW51IiwKICAidXVpZCI6ICJtZXNzYWdpbmdtZW51QGxhdWluZ2VyLWNsYW4uZGUiLAogICJ2ZXJzaW9uIjogMjcKfQ=="},
- "45": {"version": "30", "sha256": "1bm2xqmj4hbygwwzzd782nx5vwy8d1lw1msmi4p3542w3kwq31jb", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgTWVzc2FnaW5nIE1lbnUgZm9yIHRoZSBHbm9tZSBTaGVsbC4gQWxsIEVtYWlsIGFuZCBDaGF0IEFwcGxpY2F0aW9ucyBpbiBvbmUgUGxhY2UuIiwKICAiZG9uYXRpb25zIjogewogICAgImdpdGh1YiI6ICJDaHJpc0xhdWluZ2VyNzciLAogICAgInBheXBhbCI6ICJDaHJpc0xhdWluZ2VyIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogIm1lc3NhZ2luZ21lbnUiLAogICJuYW1lIjogIk1lc3NhZ2luZyBNZW51IiwKICAib3JpZ2luYWwtYXV0aG9yIjogInNpbmlzdGVyc3R1ZiIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5tZXNzYWdpbmdtZW51IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0NocmlzTGF1aW5nZXI3Ny9tZXNzYWdpbmdtZW51IiwKICAidXVpZCI6ICJtZXNzYWdpbmdtZW51QGxhdWluZ2VyLWNsYW4uZGUiLAogICJ2ZXJzaW9uIjogMzAsCiAgInZlcnNpb24tbmFtZSI6ICI0NS4wIgp9"}
+ "45": {"version": "31", "sha256": "08vgzh2ph0i4xy57qyz233qdiiskhnr9y37x1s2dxkgg1ra8x8xf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgTWVzc2FnaW5nIE1lbnUgZm9yIHRoZSBHbm9tZSBTaGVsbC4gQWxsIEVtYWlsIGFuZCBDaGF0IEFwcGxpY2F0aW9ucyBpbiBvbmUgUGxhY2UuIiwKICAiZG9uYXRpb25zIjogewogICAgImdpdGh1YiI6ICJDaHJpc0xhdWluZ2VyNzciLAogICAgInBheXBhbCI6ICJDaHJpc0xhdWluZ2VyIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogIm1lc3NhZ2luZ21lbnUiLAogICJuYW1lIjogIk1lc3NhZ2luZyBNZW51IiwKICAib3JpZ2luYWwtYXV0aG9yIjogInNpbmlzdGVyc3R1ZiIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5tZXNzYWdpbmdtZW51IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIsCiAgICAiNDYiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9DaHJpc0xhdWluZ2VyNzcvbWVzc2FnaW5nbWVudSIsCiAgInV1aWQiOiAibWVzc2FnaW5nbWVudUBsYXVpbmdlci1jbGFuLmRlIiwKICAidmVyc2lvbiI6IDMxLAogICJ2ZXJzaW9uLW5hbWUiOiAiNDYuMCIKfQ=="}
}}
, {"uuid": "SettingsCenter@lauinger-clan.de", "name": "SettingsCenter", "pname": "settingscenter", "description": "Settings Center is a customizable drop-down menu for quickly launching frequently used apps in Gnome:Shell via the quicksettings. Originally created by XES.\n\nSettings shortcuts : gnome-tweak-tool, dconf-editor, gconf-editor, gnome-session-properties, gnome-shell-extension-prefs, seahorse and nvidia-settings. You can add your own\n\nOriginal source : http://svn.xesnet.fr/gnomeextensions", "link": "https://extensions.gnome.org/extension/2899/settingscenter/", "shell_version_map": {
"38": {"version": "2", "sha256": "0sywdlmfgy4k5bkxmawcb7b8100g8wnpndmwvdzjq51xv5605gcs", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNldHRpbmdzIENlbnRlciBpcyBhIGN1c3RvbWl6YWJsZSBkcm9wLWRvd24gbWVudSBmb3IgcXVpY2tseSBsYXVuY2hpbmcgZnJlcXVlbnRseSB1c2VkIGFwcHMgaW4gR25vbWU6U2hlbGwgdmlhIHRoZSBxdWlja3NldHRpbmdzLiBPcmlnaW5hbGx5IGNyZWF0ZWQgYnkgWEVTLlxuXG5TZXR0aW5ncyBzaG9ydGN1dHMgOiBnbm9tZS10d2Vhay10b29sLCBkY29uZi1lZGl0b3IsIGdjb25mLWVkaXRvciwgZ25vbWUtc2Vzc2lvbi1wcm9wZXJ0aWVzLCBnbm9tZS1zaGVsbC1leHRlbnNpb24tcHJlZnMsIHNlYWhvcnNlIGFuZCBudmlkaWEtc2V0dGluZ3MuIFlvdSBjYW4gYWRkIHlvdXIgb3duXG5cbk9yaWdpbmFsIHNvdXJjZSA6IGh0dHA6Ly9zdm4ueGVzbmV0LmZyL2dub21lZXh0ZW5zaW9ucyIsCiAgImxvY2FsZSI6ICIvdXNyL3NoYXJlL2xvY2FsZSIsCiAgIm5hbWUiOiAiU2V0dGluZ3NDZW50ZXIiLAogICJvcmlnaW5hbC1hdXRob3IiOiAiWGVzLCBsMzAwbHZsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjQiLAogICAgIjMuNiIsCiAgICAiMy41LjQiLAogICAgIjMuOCIsCiAgICAiMy4xMCIsCiAgICAiMy4xMiIsCiAgICAiMy4xNCIsCiAgICAiMy4xNiIsCiAgICAiMy4xOCIsCiAgICAiMy4yMCIsCiAgICAiMy4yMiIsCiAgICAiMy4yNCIsCiAgICAiMy4yNiIsCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0NocmlzTGF1aW5nZXI3Ny9YRVMtU2V0dGluZ3MtQ2VudGVyLUV4dGVuc2lvbiIsCiAgInV1aWQiOiAiU2V0dGluZ3NDZW50ZXJAbGF1aW5nZXItY2xhbi5kZSIsCiAgInZlcnNpb24iOiAyCn0="},
@@ -2102,7 +2113,7 @@
"42": {"version": "11", "sha256": "17na0a5bm4qkh1lcch9frpmlxn44kg7d4lx96lmpx8v0zp4v0c2a", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNldHRpbmdzIENlbnRlciBpcyBhIGN1c3RvbWl6YWJsZSBkcm9wLWRvd24gbWVudSBmb3IgcXVpY2tseSBsYXVuY2hpbmcgZnJlcXVlbnRseSB1c2VkIGFwcHMgaW4gR25vbWU6U2hlbGwgdmlhIHRoZSBxdWlja3NldHRpbmdzLiBPcmlnaW5hbGx5IGNyZWF0ZWQgYnkgWEVTLlxuXG5TZXR0aW5ncyBzaG9ydGN1dHMgOiBnbm9tZS10d2Vhay10b29sLCBkY29uZi1lZGl0b3IsIGdjb25mLWVkaXRvciwgZ25vbWUtc2Vzc2lvbi1wcm9wZXJ0aWVzLCBnbm9tZS1zaGVsbC1leHRlbnNpb24tcHJlZnMsIHNlYWhvcnNlIGFuZCBudmlkaWEtc2V0dGluZ3MuIFlvdSBjYW4gYWRkIHlvdXIgb3duXG5cbk9yaWdpbmFsIHNvdXJjZSA6IGh0dHA6Ly9zdm4ueGVzbmV0LmZyL2dub21lZXh0ZW5zaW9ucyIsCiAgImxvY2FsZSI6ICIvdXNyL3NoYXJlL2xvY2FsZSIsCiAgIm5hbWUiOiAiU2V0dGluZ3NDZW50ZXIiLAogICJvcmlnaW5hbC1hdXRob3IiOiAiWGVzLCBsMzAwbHZsIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLlNldHRpbmdzQ2VudGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vQ2hyaXNMYXVpbmdlcjc3L1hFUy1TZXR0aW5ncy1DZW50ZXItRXh0ZW5zaW9uIiwKICAidXVpZCI6ICJTZXR0aW5nc0NlbnRlckBsYXVpbmdlci1jbGFuLmRlIiwKICAidmVyc2lvbiI6IDExCn0="},
"43": {"version": "22", "sha256": "0vpnp0w7h33p5as86a03i5sg6clfd61q7f4p40ab16i4fsyy0dyn", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNldHRpbmdzIENlbnRlciBpcyBhIGN1c3RvbWl6YWJsZSBkcm9wLWRvd24gbWVudSBmb3IgcXVpY2tseSBsYXVuY2hpbmcgZnJlcXVlbnRseSB1c2VkIGFwcHMgaW4gR25vbWU6U2hlbGwgdmlhIHRoZSBxdWlja3NldHRpbmdzLiBPcmlnaW5hbGx5IGNyZWF0ZWQgYnkgWEVTLlxuXG5TZXR0aW5ncyBzaG9ydGN1dHMgOiBnbm9tZS10d2Vhay10b29sLCBkY29uZi1lZGl0b3IsIGdjb25mLWVkaXRvciwgZ25vbWUtc2Vzc2lvbi1wcm9wZXJ0aWVzLCBnbm9tZS1zaGVsbC1leHRlbnNpb24tcHJlZnMsIHNlYWhvcnNlIGFuZCBudmlkaWEtc2V0dGluZ3MuIFlvdSBjYW4gYWRkIHlvdXIgb3duXG5cbk9yaWdpbmFsIHNvdXJjZSA6IGh0dHA6Ly9zdm4ueGVzbmV0LmZyL2dub21lZXh0ZW5zaW9ucyIsCiAgImdldHRleHQtZG9tYWluIjogIlNldHRpbmdzQ2VudGVyIiwKICAibmFtZSI6ICJTZXR0aW5nc0NlbnRlciIsCiAgIm9yaWdpbmFsLWF1dGhvciI6ICJYZXMsIGwzMDBsdmwiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuU2V0dGluZ3NDZW50ZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0NocmlzTGF1aW5nZXI3Ny9YRVMtU2V0dGluZ3MtQ2VudGVyLUV4dGVuc2lvbiIsCiAgInV1aWQiOiAiU2V0dGluZ3NDZW50ZXJAbGF1aW5nZXItY2xhbi5kZSIsCiAgInZlcnNpb24iOiAyMgp9"},
"44": {"version": "22", "sha256": "0vpnp0w7h33p5as86a03i5sg6clfd61q7f4p40ab16i4fsyy0dyn", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNldHRpbmdzIENlbnRlciBpcyBhIGN1c3RvbWl6YWJsZSBkcm9wLWRvd24gbWVudSBmb3IgcXVpY2tseSBsYXVuY2hpbmcgZnJlcXVlbnRseSB1c2VkIGFwcHMgaW4gR25vbWU6U2hlbGwgdmlhIHRoZSBxdWlja3NldHRpbmdzLiBPcmlnaW5hbGx5IGNyZWF0ZWQgYnkgWEVTLlxuXG5TZXR0aW5ncyBzaG9ydGN1dHMgOiBnbm9tZS10d2Vhay10b29sLCBkY29uZi1lZGl0b3IsIGdjb25mLWVkaXRvciwgZ25vbWUtc2Vzc2lvbi1wcm9wZXJ0aWVzLCBnbm9tZS1zaGVsbC1leHRlbnNpb24tcHJlZnMsIHNlYWhvcnNlIGFuZCBudmlkaWEtc2V0dGluZ3MuIFlvdSBjYW4gYWRkIHlvdXIgb3duXG5cbk9yaWdpbmFsIHNvdXJjZSA6IGh0dHA6Ly9zdm4ueGVzbmV0LmZyL2dub21lZXh0ZW5zaW9ucyIsCiAgImdldHRleHQtZG9tYWluIjogIlNldHRpbmdzQ2VudGVyIiwKICAibmFtZSI6ICJTZXR0aW5nc0NlbnRlciIsCiAgIm9yaWdpbmFsLWF1dGhvciI6ICJYZXMsIGwzMDBsdmwiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuU2V0dGluZ3NDZW50ZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0NocmlzTGF1aW5nZXI3Ny9YRVMtU2V0dGluZ3MtQ2VudGVyLUV4dGVuc2lvbiIsCiAgInV1aWQiOiAiU2V0dGluZ3NDZW50ZXJAbGF1aW5nZXItY2xhbi5kZSIsCiAgInZlcnNpb24iOiAyMgp9"},
- "45": {"version": "26", "sha256": "16bh59fn02z5hq1svg2678479d0d600jq91k4y6xigzax1n7phvy", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNldHRpbmdzIENlbnRlciBpcyBhIGN1c3RvbWl6YWJsZSBkcm9wLWRvd24gbWVudSBmb3IgcXVpY2tseSBsYXVuY2hpbmcgZnJlcXVlbnRseSB1c2VkIGFwcHMgaW4gR25vbWU6U2hlbGwgdmlhIHRoZSBxdWlja3NldHRpbmdzLiBPcmlnaW5hbGx5IGNyZWF0ZWQgYnkgWEVTLlxuXG5TZXR0aW5ncyBzaG9ydGN1dHMgOiBnbm9tZS10d2Vhay10b29sLCBkY29uZi1lZGl0b3IsIGdjb25mLWVkaXRvciwgZ25vbWUtc2Vzc2lvbi1wcm9wZXJ0aWVzLCBnbm9tZS1zaGVsbC1leHRlbnNpb24tcHJlZnMsIHNlYWhvcnNlIGFuZCBudmlkaWEtc2V0dGluZ3MuIFlvdSBjYW4gYWRkIHlvdXIgb3duXG5cbk9yaWdpbmFsIHNvdXJjZSA6IGh0dHA6Ly9zdm4ueGVzbmV0LmZyL2dub21lZXh0ZW5zaW9ucyIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJnaXRodWIiOiAiQ2hyaXNMYXVpbmdlcjc3IiwKICAgICJwYXlwYWwiOiAiQ2hyaXNMYXVpbmdlciIKICB9LAogICJnZXR0ZXh0LWRvbWFpbiI6ICJTZXR0aW5nc0NlbnRlciIsCiAgIm5hbWUiOiAiU2V0dGluZ3NDZW50ZXIiLAogICJvcmlnaW5hbC1hdXRob3IiOiAiWGVzLCBsMzAwbHZsIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLlNldHRpbmdzQ2VudGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0NocmlzTGF1aW5nZXI3Ny9YRVMtU2V0dGluZ3MtQ2VudGVyLUV4dGVuc2lvbiIsCiAgInV1aWQiOiAiU2V0dGluZ3NDZW50ZXJAbGF1aW5nZXItY2xhbi5kZSIsCiAgInZlcnNpb24iOiAyNiwKICAidmVyc2lvbi1uYW1lIjogIjQ1LjAiCn0="}
+ "45": {"version": "27", "sha256": "1cpdrvqf66vvahwnmxrwk58kvc3wknb872v79xh6m1x7awxk0idj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNldHRpbmdzIENlbnRlciBpcyBhIGN1c3RvbWl6YWJsZSBkcm9wLWRvd24gbWVudSBmb3IgcXVpY2tseSBsYXVuY2hpbmcgZnJlcXVlbnRseSB1c2VkIGFwcHMgaW4gR25vbWU6U2hlbGwgdmlhIHRoZSBxdWlja3NldHRpbmdzLiBPcmlnaW5hbGx5IGNyZWF0ZWQgYnkgWEVTLlxuXG5TZXR0aW5ncyBzaG9ydGN1dHMgOiBnbm9tZS10d2Vhay10b29sLCBkY29uZi1lZGl0b3IsIGdjb25mLWVkaXRvciwgZ25vbWUtc2Vzc2lvbi1wcm9wZXJ0aWVzLCBnbm9tZS1zaGVsbC1leHRlbnNpb24tcHJlZnMsIHNlYWhvcnNlIGFuZCBudmlkaWEtc2V0dGluZ3MuIFlvdSBjYW4gYWRkIHlvdXIgb3duXG5cbk9yaWdpbmFsIHNvdXJjZSA6IGh0dHA6Ly9zdm4ueGVzbmV0LmZyL2dub21lZXh0ZW5zaW9ucyIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJnaXRodWIiOiAiQ2hyaXNMYXVpbmdlcjc3IiwKICAgICJwYXlwYWwiOiAiQ2hyaXNMYXVpbmdlciIKICB9LAogICJnZXR0ZXh0LWRvbWFpbiI6ICJTZXR0aW5nc0NlbnRlciIsCiAgIm5hbWUiOiAiU2V0dGluZ3NDZW50ZXIiLAogICJvcmlnaW5hbC1hdXRob3IiOiAiWGVzLCBsMzAwbHZsIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLlNldHRpbmdzQ2VudGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIsCiAgICAiNDYiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9DaHJpc0xhdWluZ2VyNzcvWEVTLVNldHRpbmdzLUNlbnRlci1FeHRlbnNpb24iLAogICJ1dWlkIjogIlNldHRpbmdzQ2VudGVyQGxhdWluZ2VyLWNsYW4uZGUiLAogICJ2ZXJzaW9uIjogMjcsCiAgInZlcnNpb24tbmFtZSI6ICI0Ni4wIgp9"}
}}
, {"uuid": "auto-mute-toggle@garotosopa.github.io", "name": "Auto-mute toggle", "pname": "auto-mute-toggle", "description": "Toggle whether to auto-mute speakers when headphones are plugged in.", "link": "https://extensions.gnome.org/extension/2905/auto-mute-toggle/", "shell_version_map": {
"40": {"version": "6", "sha256": "1lyh51gvsh9ydip77vjj8rigjiah97lh8gp91jcpqblwx69fs3dk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRvZ2dsZSB3aGV0aGVyIHRvIGF1dG8tbXV0ZSBzcGVha2VycyB3aGVuIGhlYWRwaG9uZXMgYXJlIHBsdWdnZWQgaW4uIiwKICAibmFtZSI6ICJBdXRvLW11dGUgdG9nZ2xlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2dhcm90b3NvcGEvZ3NlLWF1dG8tbXV0ZS10b2dnbGUiLAogICJ1dWlkIjogImF1dG8tbXV0ZS10b2dnbGVAZ2Fyb3Rvc29wYS5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogNgp9"}
@@ -2201,7 +2212,7 @@
"42": {"version": "55", "sha256": "0fwxj41ka95mxrjy3xbywqxm0fp00irypkwcg316pyy9cva4rk01", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgc3lzdGVtIGluZm9ybWF0aW9uIGluIEdOT01FIFNoZWxsIHN0YXR1cyBiYXIsIHN1Y2ggYXMgbWVtb3J5LCBDUFUsIGRpc2sgYW5kIGJhdHRlcnkgdXNhZ2VzLCBuZXR3b3JrIHJhdGVzXHUyMDI2XG5cblRoaXMgZm9yayBvZiBwYXJhZG94eHh6ZXJvL2dub21lLXNoZWxsLXN5c3RlbS1tb25pdG9yLWFwcGxldCBpcyBmb3IgcGFja2FnaW5nIHB1cnBvc2VzIG9ubHkuIFRoaXMgZXh0ZW5zaW9uIGlzIGJ1aWx0IGFuZCB1cGRhdGVkIGNvbnRpbnVvdXNseSB3aXRoIHRoZSB1cHN0cmVhbSBtYXN0ZXIgYnJhbmNoLCBvY2Nhc2lvbmFsbHkgaW5jbHVkaW5nIHBhdGNoZXMgdGhhdCBoYXZlbid0IHlldCBtZXJnZWQgdXBzdHJlYW0uXG5cblRoaXMgaXMgcHJlZmVyYWJsZSBmb3IgdXNlcnMgb24gYmxlZWRpbmcgZWRnZSBkaXN0cmlidXRpb25zIHRoYXQgcHJlZmVyIG5vdCB0byB3YWl0IGZvciBhIHN0YWJsZSByZWxlYXNlIGZyb20gdGhlIG1haW4gcmVwby4gT2YgY291cnNlLCBzaW5jZSB3ZSdyZSByZWxlYXNpbmcgZGlyZWN0bHkgZnJvbSBtYXN0ZXIgc29tZSBpbnN0YWJpbGl0eSBpcyBpbmV2aXRhYmxlLlxuXG5JZiB5b3UgZ2V0IGFuIGVycm9yIGFmdGVyIHVwZGF0aW5nLCB0cnkgcmVzdGFydGluZyBHbm9tZSBTaGVsbCB3aXRoIEFsdC1GMiB0aGVuICdyJy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJzeXN0ZW0tbW9uaXRvciIsCiAgIm5hbWUiOiAic3lzdGVtLW1vbml0b3ItbmV4dCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zeXN0ZW0tbW9uaXRvciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yNiIsCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWdhbGdzL2dub21lLXNoZWxsLXN5c3RlbS1tb25pdG9yLWFwcGxldCIsCiAgInV1aWQiOiAic3lzdGVtLW1vbml0b3ItbmV4dEBwYXJhZG94eHguemVyby5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogNTUKfQ=="},
"43": {"version": "55", "sha256": "0fwxj41ka95mxrjy3xbywqxm0fp00irypkwcg316pyy9cva4rk01", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgc3lzdGVtIGluZm9ybWF0aW9uIGluIEdOT01FIFNoZWxsIHN0YXR1cyBiYXIsIHN1Y2ggYXMgbWVtb3J5LCBDUFUsIGRpc2sgYW5kIGJhdHRlcnkgdXNhZ2VzLCBuZXR3b3JrIHJhdGVzXHUyMDI2XG5cblRoaXMgZm9yayBvZiBwYXJhZG94eHh6ZXJvL2dub21lLXNoZWxsLXN5c3RlbS1tb25pdG9yLWFwcGxldCBpcyBmb3IgcGFja2FnaW5nIHB1cnBvc2VzIG9ubHkuIFRoaXMgZXh0ZW5zaW9uIGlzIGJ1aWx0IGFuZCB1cGRhdGVkIGNvbnRpbnVvdXNseSB3aXRoIHRoZSB1cHN0cmVhbSBtYXN0ZXIgYnJhbmNoLCBvY2Nhc2lvbmFsbHkgaW5jbHVkaW5nIHBhdGNoZXMgdGhhdCBoYXZlbid0IHlldCBtZXJnZWQgdXBzdHJlYW0uXG5cblRoaXMgaXMgcHJlZmVyYWJsZSBmb3IgdXNlcnMgb24gYmxlZWRpbmcgZWRnZSBkaXN0cmlidXRpb25zIHRoYXQgcHJlZmVyIG5vdCB0byB3YWl0IGZvciBhIHN0YWJsZSByZWxlYXNlIGZyb20gdGhlIG1haW4gcmVwby4gT2YgY291cnNlLCBzaW5jZSB3ZSdyZSByZWxlYXNpbmcgZGlyZWN0bHkgZnJvbSBtYXN0ZXIgc29tZSBpbnN0YWJpbGl0eSBpcyBpbmV2aXRhYmxlLlxuXG5JZiB5b3UgZ2V0IGFuIGVycm9yIGFmdGVyIHVwZGF0aW5nLCB0cnkgcmVzdGFydGluZyBHbm9tZSBTaGVsbCB3aXRoIEFsdC1GMiB0aGVuICdyJy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJzeXN0ZW0tbW9uaXRvciIsCiAgIm5hbWUiOiAic3lzdGVtLW1vbml0b3ItbmV4dCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zeXN0ZW0tbW9uaXRvciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yNiIsCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWdhbGdzL2dub21lLXNoZWxsLXN5c3RlbS1tb25pdG9yLWFwcGxldCIsCiAgInV1aWQiOiAic3lzdGVtLW1vbml0b3ItbmV4dEBwYXJhZG94eHguemVyby5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogNTUKfQ=="},
"44": {"version": "55", "sha256": "0fwxj41ka95mxrjy3xbywqxm0fp00irypkwcg316pyy9cva4rk01", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgc3lzdGVtIGluZm9ybWF0aW9uIGluIEdOT01FIFNoZWxsIHN0YXR1cyBiYXIsIHN1Y2ggYXMgbWVtb3J5LCBDUFUsIGRpc2sgYW5kIGJhdHRlcnkgdXNhZ2VzLCBuZXR3b3JrIHJhdGVzXHUyMDI2XG5cblRoaXMgZm9yayBvZiBwYXJhZG94eHh6ZXJvL2dub21lLXNoZWxsLXN5c3RlbS1tb25pdG9yLWFwcGxldCBpcyBmb3IgcGFja2FnaW5nIHB1cnBvc2VzIG9ubHkuIFRoaXMgZXh0ZW5zaW9uIGlzIGJ1aWx0IGFuZCB1cGRhdGVkIGNvbnRpbnVvdXNseSB3aXRoIHRoZSB1cHN0cmVhbSBtYXN0ZXIgYnJhbmNoLCBvY2Nhc2lvbmFsbHkgaW5jbHVkaW5nIHBhdGNoZXMgdGhhdCBoYXZlbid0IHlldCBtZXJnZWQgdXBzdHJlYW0uXG5cblRoaXMgaXMgcHJlZmVyYWJsZSBmb3IgdXNlcnMgb24gYmxlZWRpbmcgZWRnZSBkaXN0cmlidXRpb25zIHRoYXQgcHJlZmVyIG5vdCB0byB3YWl0IGZvciBhIHN0YWJsZSByZWxlYXNlIGZyb20gdGhlIG1haW4gcmVwby4gT2YgY291cnNlLCBzaW5jZSB3ZSdyZSByZWxlYXNpbmcgZGlyZWN0bHkgZnJvbSBtYXN0ZXIgc29tZSBpbnN0YWJpbGl0eSBpcyBpbmV2aXRhYmxlLlxuXG5JZiB5b3UgZ2V0IGFuIGVycm9yIGFmdGVyIHVwZGF0aW5nLCB0cnkgcmVzdGFydGluZyBHbm9tZSBTaGVsbCB3aXRoIEFsdC1GMiB0aGVuICdyJy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJzeXN0ZW0tbW9uaXRvciIsCiAgIm5hbWUiOiAic3lzdGVtLW1vbml0b3ItbmV4dCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zeXN0ZW0tbW9uaXRvciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4yNiIsCiAgICAiMy4yOCIsCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWdhbGdzL2dub21lLXNoZWxsLXN5c3RlbS1tb25pdG9yLWFwcGxldCIsCiAgInV1aWQiOiAic3lzdGVtLW1vbml0b3ItbmV4dEBwYXJhZG94eHguemVyby5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogNTUKfQ=="},
- "45": {"version": "64", "sha256": "1sx2jrwclqx8jsn60bj9gi0xllnwvrix9jc5wfx4qzv210is5g1q", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgc3lzdGVtIGluZm9ybWF0aW9uIGluIEdOT01FIFNoZWxsIHN0YXR1cyBiYXIsIHN1Y2ggYXMgbWVtb3J5LCBDUFUsIGRpc2sgYW5kIGJhdHRlcnkgdXNhZ2VzLCBuZXR3b3JrIHJhdGVzXHUyMDI2XG5cblRoaXMgaXMgYSBmb3JrIG9mIHRoZSBzZWVtaW5nbHkgYWJhbmRvbmVkIHBhcmFkb3h4eHplcm8vZ25vbWUtc2hlbGwtc3lzdGVtLW1vbml0b3ItYXBwbGV0LlxuXG5JZiB5b3UgZ2V0IGFuIGVycm9yIGFmdGVyIHVwZGF0aW5nLCB0cnkgbG9nZ2luZyBvdXQgYW5kIGxvZ2dpbmcgYmFjayBpbiAodGhpcyBpcyBhIGtub3duIGlzc3VlIHRoYXQgaXMgYmVpbmcgd29ya2VkIG9uKS4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJzeXN0ZW0tbW9uaXRvciIsCiAgIm5hbWUiOiAic3lzdGVtLW1vbml0b3ItbmV4dCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zeXN0ZW0tbW9uaXRvciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9tZ2FsZ3MvZ25vbWUtc2hlbGwtc3lzdGVtLW1vbml0b3ItYXBwbGV0IiwKICAidXVpZCI6ICJzeXN0ZW0tbW9uaXRvci1uZXh0QHBhcmFkb3h4eC56ZXJvLmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiA2NAp9"}
+ "45": {"version": "65", "sha256": "0jzvq51p0y9rjq40fqz59l95r7bpsa0yp3yy5xmgssncimy4r5vx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgc3lzdGVtIGluZm9ybWF0aW9uIGluIEdOT01FIFNoZWxsIHN0YXR1cyBiYXIsIHN1Y2ggYXMgbWVtb3J5LCBDUFUsIGRpc2sgYW5kIGJhdHRlcnkgdXNhZ2VzLCBuZXR3b3JrIHJhdGVzXHUyMDI2XG5cblRoaXMgaXMgYSBmb3JrIG9mIHRoZSBzZWVtaW5nbHkgYWJhbmRvbmVkIHBhcmFkb3h4eHplcm8vZ25vbWUtc2hlbGwtc3lzdGVtLW1vbml0b3ItYXBwbGV0LlxuXG5JZiB5b3UgZ2V0IGFuIGVycm9yIGFmdGVyIHVwZGF0aW5nLCB0cnkgbG9nZ2luZyBvdXQgYW5kIGxvZ2dpbmcgYmFjayBpbiAodGhpcyBpcyBhIGtub3duIGlzc3VlIHRoYXQgaXMgYmVpbmcgd29ya2VkIG9uKS4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJzeXN0ZW0tbW9uaXRvciIsCiAgIm5hbWUiOiAic3lzdGVtLW1vbml0b3ItbmV4dCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zeXN0ZW0tbW9uaXRvciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9tZ2FsZ3MvZ25vbWUtc2hlbGwtc3lzdGVtLW1vbml0b3ItYXBwbGV0IiwKICAidXVpZCI6ICJzeXN0ZW0tbW9uaXRvci1uZXh0QHBhcmFkb3h4eC56ZXJvLmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiA2NQp9"}
}}
, {"uuid": "user-theme-x@tuberry.github.io", "name": "User Theme X", "pname": "user-themes-x", "description": "Customizable user-theme with user stylesheet and dark theme auto-switch based on the Night Light\n\nFor support, please report any issues via the homepage link below.", "link": "https://extensions.gnome.org/extension/3019/user-themes-x/", "shell_version_map": {
"38": {"version": "21", "sha256": "0qiahmg2nmrnlyablyava1488rvy0r2rq8pyv932lsx6vrn9gh6m", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkN1c3RvbWl6YWJsZSB1c2VyLXRoZW1lIHdpdGggdXNlciBzdHlsZXNoZWV0IGFuZCBkYXJrIHRoZW1lIGF1dG8tc3dpdGNoIGJhc2VkIG9uIHRoZSBOaWdodCBMaWdodFxuXG5Gb3Igc3VwcG9ydCwgcGxlYXNlIHJlcG9ydCBhbnkgaXNzdWVzIHZpYSB0aGUgaG9tZXBhZ2UgbGluayBiZWxvdy4iLAogICJleHRlbnNpb24taWQiOiAidXNlci10aGVtZS14IiwKICAiZ2V0dGV4dC1kb21haW4iOiAidXNlci10aGVtZS14IiwKICAibmFtZSI6ICJVc2VyIFRoZW1lIFgiLAogICJvcmlnaW5hbC1hdXRob3JzIjogWwogICAgImpvaG4uc3Rvd2Vyc0BnbWFpbC5jb20iCiAgXSwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnVzZXItdGhlbWUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS90dWJlcnJ5L3VzZXItdGhlbWUteCIsCiAgInV1aWQiOiAidXNlci10aGVtZS14QHR1YmVycnkuZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDIxCn0="},
@@ -2282,7 +2293,7 @@
"42": {"version": "47", "sha256": "04h957nzzvblk8zxiyanzyw02czdk459ljq1kkkhgk929cqsc0b3", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgYSBibHVyIGxvb2sgdG8gZGlmZmVyZW50IHBhcnRzIG9mIHRoZSBHTk9NRSBTaGVsbCwgaW5jbHVkaW5nIHRoZSB0b3AgcGFuZWwsIGRhc2ggYW5kIG92ZXJ2aWV3LlxuXG5Zb3UgY2FuIHN1cHBvcnQgbXkgd29yayBieSBzcG9uc29yaW5nIG1lIG9uOlxuLSBnaXRodWI6IGh0dHBzOi8vZ2l0aHViLmNvbS9zcG9uc29ycy9hdW5ldHhcbi0ga28tZmk6IGh0dHBzOi8va28tZmkuY29tL2F1bmV0eFxuXG5Ob3RlOiBpZiB0aGUgZXh0ZW5zaW9uIHNob3dzIGFuIGVycm9yIGFmdGVyIHVwZGF0aW5nLCBwbGVhc2UgbWFrZSBzdXJlIHRvIHJlc3RhcnQgeW91ciBzZXNzaW9uIHRvIHNlZSBpZiBpdCBwZXJzaXN0cy4gVGhpcyBpcyBkdWUgdG8gYSBidWcgaW4gZ25vbWUgc2hlbGwsIHdoaWNoIEkgY2FuJ3QgZml4IGJ5IG15c2VsZi4iLAogICJkb25hdGlvbnMiOiB7CiAgICAiZ2l0aHViIjogImF1bmV0eCIsCiAgICAia29maSI6ICJhdW5ldHgiCiAgfSwKICAibmFtZSI6ICJCbHVyIG15IFNoZWxsIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6IFsKICAgICJtZUBhdW5ldHguZGV2IgogIF0sCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5ibHVyLW15LXNoZWxsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYXVuZXR4L2dub21lLXNoZWxsLWV4dGVuc2lvbi1ibHVyLW15LXNoZWxsIiwKICAidXVpZCI6ICJibHVyLW15LXNoZWxsQGF1bmV0eCIsCiAgInZlcnNpb24iOiA0Nwp9"},
"43": {"version": "47", "sha256": "04h957nzzvblk8zxiyanzyw02czdk459ljq1kkkhgk929cqsc0b3", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgYSBibHVyIGxvb2sgdG8gZGlmZmVyZW50IHBhcnRzIG9mIHRoZSBHTk9NRSBTaGVsbCwgaW5jbHVkaW5nIHRoZSB0b3AgcGFuZWwsIGRhc2ggYW5kIG92ZXJ2aWV3LlxuXG5Zb3UgY2FuIHN1cHBvcnQgbXkgd29yayBieSBzcG9uc29yaW5nIG1lIG9uOlxuLSBnaXRodWI6IGh0dHBzOi8vZ2l0aHViLmNvbS9zcG9uc29ycy9hdW5ldHhcbi0ga28tZmk6IGh0dHBzOi8va28tZmkuY29tL2F1bmV0eFxuXG5Ob3RlOiBpZiB0aGUgZXh0ZW5zaW9uIHNob3dzIGFuIGVycm9yIGFmdGVyIHVwZGF0aW5nLCBwbGVhc2UgbWFrZSBzdXJlIHRvIHJlc3RhcnQgeW91ciBzZXNzaW9uIHRvIHNlZSBpZiBpdCBwZXJzaXN0cy4gVGhpcyBpcyBkdWUgdG8gYSBidWcgaW4gZ25vbWUgc2hlbGwsIHdoaWNoIEkgY2FuJ3QgZml4IGJ5IG15c2VsZi4iLAogICJkb25hdGlvbnMiOiB7CiAgICAiZ2l0aHViIjogImF1bmV0eCIsCiAgICAia29maSI6ICJhdW5ldHgiCiAgfSwKICAibmFtZSI6ICJCbHVyIG15IFNoZWxsIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6IFsKICAgICJtZUBhdW5ldHguZGV2IgogIF0sCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5ibHVyLW15LXNoZWxsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYXVuZXR4L2dub21lLXNoZWxsLWV4dGVuc2lvbi1ibHVyLW15LXNoZWxsIiwKICAidXVpZCI6ICJibHVyLW15LXNoZWxsQGF1bmV0eCIsCiAgInZlcnNpb24iOiA0Nwp9"},
"44": {"version": "47", "sha256": "04h957nzzvblk8zxiyanzyw02czdk459ljq1kkkhgk929cqsc0b3", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgYSBibHVyIGxvb2sgdG8gZGlmZmVyZW50IHBhcnRzIG9mIHRoZSBHTk9NRSBTaGVsbCwgaW5jbHVkaW5nIHRoZSB0b3AgcGFuZWwsIGRhc2ggYW5kIG92ZXJ2aWV3LlxuXG5Zb3UgY2FuIHN1cHBvcnQgbXkgd29yayBieSBzcG9uc29yaW5nIG1lIG9uOlxuLSBnaXRodWI6IGh0dHBzOi8vZ2l0aHViLmNvbS9zcG9uc29ycy9hdW5ldHhcbi0ga28tZmk6IGh0dHBzOi8va28tZmkuY29tL2F1bmV0eFxuXG5Ob3RlOiBpZiB0aGUgZXh0ZW5zaW9uIHNob3dzIGFuIGVycm9yIGFmdGVyIHVwZGF0aW5nLCBwbGVhc2UgbWFrZSBzdXJlIHRvIHJlc3RhcnQgeW91ciBzZXNzaW9uIHRvIHNlZSBpZiBpdCBwZXJzaXN0cy4gVGhpcyBpcyBkdWUgdG8gYSBidWcgaW4gZ25vbWUgc2hlbGwsIHdoaWNoIEkgY2FuJ3QgZml4IGJ5IG15c2VsZi4iLAogICJkb25hdGlvbnMiOiB7CiAgICAiZ2l0aHViIjogImF1bmV0eCIsCiAgICAia29maSI6ICJhdW5ldHgiCiAgfSwKICAibmFtZSI6ICJCbHVyIG15IFNoZWxsIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6IFsKICAgICJtZUBhdW5ldHguZGV2IgogIF0sCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5ibHVyLW15LXNoZWxsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYXVuZXR4L2dub21lLXNoZWxsLWV4dGVuc2lvbi1ibHVyLW15LXNoZWxsIiwKICAidXVpZCI6ICJibHVyLW15LXNoZWxsQGF1bmV0eCIsCiAgInZlcnNpb24iOiA0Nwp9"},
- "45": {"version": "54", "sha256": "15zr0l4p447r92rplwgkl05pywmpryxydv3brfmrlv3rm45zbgh1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgYSBibHVyIGxvb2sgdG8gZGlmZmVyZW50IHBhcnRzIG9mIHRoZSBHTk9NRSBTaGVsbCwgaW5jbHVkaW5nIHRoZSB0b3AgcGFuZWwsIGRhc2ggYW5kIG92ZXJ2aWV3LlxuXG5Zb3UgY2FuIHN1cHBvcnQgbXkgd29yayBieSBzcG9uc29yaW5nIG1lIG9uOlxuLSBnaXRodWI6IGh0dHBzOi8vZ2l0aHViLmNvbS9zcG9uc29ycy9hdW5ldHhcbi0ga28tZmk6IGh0dHBzOi8va28tZmkuY29tL2F1bmV0eFxuXG5Ob3RlOiBpZiB0aGUgZXh0ZW5zaW9uIHNob3dzIGFuIGVycm9yIGFmdGVyIHVwZGF0aW5nLCBwbGVhc2UgbWFrZSBzdXJlIHRvIHJlc3RhcnQgeW91ciBzZXNzaW9uIHRvIHNlZSBpZiBpdCBwZXJzaXN0cy4gVGhpcyBpcyBkdWUgdG8gYSBidWcgaW4gZ25vbWUgc2hlbGwsIHdoaWNoIEkgY2FuJ3QgZml4IGJ5IG15c2VsZi4iLAogICJkb25hdGlvbnMiOiB7CiAgICAiZ2l0aHViIjogImF1bmV0eCIsCiAgICAia29maSI6ICJhdW5ldHgiCiAgfSwKICAiZ2V0dGV4dC1kb21haW4iOiAiYmx1ci1teS1zaGVsbCIsCiAgIm5hbWUiOiAiQmx1ciBteSBTaGVsbCIsCiAgIm9yaWdpbmFsLWF1dGhvcnMiOiBbCiAgICAibWVAYXVuZXR4LmRldiIKICBdLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYmx1ci1teS1zaGVsbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9hdW5ldHgvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWJsdXItbXktc2hlbGwiLAogICJ1dWlkIjogImJsdXItbXktc2hlbGxAYXVuZXR4IiwKICAidmVyc2lvbiI6IDU0Cn0="}
+ "45": {"version": "55", "sha256": "10ia4dadk10aj3xdrgqrrzpphwdrwb1880lsncains5z901nmixz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgYSBibHVyIGxvb2sgdG8gZGlmZmVyZW50IHBhcnRzIG9mIHRoZSBHTk9NRSBTaGVsbCwgaW5jbHVkaW5nIHRoZSB0b3AgcGFuZWwsIGRhc2ggYW5kIG92ZXJ2aWV3LlxuXG5Zb3UgY2FuIHN1cHBvcnQgbXkgd29yayBieSBzcG9uc29yaW5nIG1lIG9uOlxuLSBnaXRodWI6IGh0dHBzOi8vZ2l0aHViLmNvbS9zcG9uc29ycy9hdW5ldHhcbi0ga28tZmk6IGh0dHBzOi8va28tZmkuY29tL2F1bmV0eFxuXG5Ob3RlOiBpZiB0aGUgZXh0ZW5zaW9uIHNob3dzIGFuIGVycm9yIGFmdGVyIHVwZGF0aW5nLCBwbGVhc2UgbWFrZSBzdXJlIHRvIHJlc3RhcnQgeW91ciBzZXNzaW9uIHRvIHNlZSBpZiBpdCBwZXJzaXN0cy4gVGhpcyBpcyBkdWUgdG8gYSBidWcgaW4gZ25vbWUgc2hlbGwsIHdoaWNoIEkgY2FuJ3QgZml4IGJ5IG15c2VsZi4iLAogICJkb25hdGlvbnMiOiB7CiAgICAiZ2l0aHViIjogImF1bmV0eCIsCiAgICAia29maSI6ICJhdW5ldHgiCiAgfSwKICAiZ2V0dGV4dC1kb21haW4iOiAiYmx1ci1teS1zaGVsbCIsCiAgIm5hbWUiOiAiQmx1ciBteSBTaGVsbCIsCiAgIm9yaWdpbmFsLWF1dGhvcnMiOiBbCiAgICAibWVAYXVuZXR4LmRldiIKICBdLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYmx1ci1teS1zaGVsbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9hdW5ldHgvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWJsdXItbXktc2hlbGwiLAogICJ1dWlkIjogImJsdXItbXktc2hlbGxAYXVuZXR4IiwKICAidmVyc2lvbiI6IDU1Cn0="}
}}
, {"uuid": "escape-overview@raelgc", "name": "ESCape Overview", "pname": "escape-overview", "description": "Close the Overview with a single ESC press when searchbox is empty.\n\nThe default gnome-shell behaviour is, during first ESC press, clean the searchbox, then second ESC press get back to Activities overview and then third ESC press will finally close the overview.", "link": "https://extensions.gnome.org/extension/3204/escape-overview/", "shell_version_map": {
"38": {"version": "5", "sha256": "12jycfdlywlc2gf7hcpa1draqsy8jgb2dgr8sihh2f97b31dk1nh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNsb3NlIHRoZSBPdmVydmlldyB3aXRoIGEgc2luZ2xlIEVTQyBwcmVzcyB3aGVuIHNlYXJjaGJveCBpcyBlbXB0eS5cblxuVGhlIGRlZmF1bHQgZ25vbWUtc2hlbGwgYmVoYXZpb3VyIGlzLCBkdXJpbmcgZmlyc3QgRVNDIHByZXNzLCBjbGVhbiB0aGUgc2VhcmNoYm94LCB0aGVuIHNlY29uZCBFU0MgcHJlc3MgZ2V0IGJhY2sgdG8gQWN0aXZpdGllcyBvdmVydmlldyBhbmQgdGhlbiB0aGlyZCBFU0MgcHJlc3Mgd2lsbCBmaW5hbGx5IGNsb3NlIHRoZSBvdmVydmlldy4iLAogICJuYW1lIjogIkVTQ2FwZSBPdmVydmlldyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3JhZWxnYy9lc2NhcGUtb3ZlcnZpZXciLAogICJ1dWlkIjogImVzY2FwZS1vdmVydmlld0ByYWVsZ2MiLAogICJ2ZXJzaW9uIjogNQp9"},
@@ -2338,7 +2349,7 @@
"42": {"version": "10", "sha256": "1x76p06ni4byl469hk0y3l5dkg2ad4khn5h02pgbyqxkfhf2y1il", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFuIGVhc3kgd2F5IHRvIGNyZWF0ZSBkZXNrdG9wIGFwcCBzaG9ydGN1dCBpbiBnbm9tZSIsCiAgIm5hbWUiOiAiQWRkIHRvIERlc2t0b3AiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9Ub21taW1vbi9hZGQtdG8tZGVza3RvcCIsCiAgInV1aWQiOiAiYWRkLXRvLWRlc2t0b3BAdG9tbWltb24uZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAxMAp9"},
"43": {"version": "10", "sha256": "1x76p06ni4byl469hk0y3l5dkg2ad4khn5h02pgbyqxkfhf2y1il", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFuIGVhc3kgd2F5IHRvIGNyZWF0ZSBkZXNrdG9wIGFwcCBzaG9ydGN1dCBpbiBnbm9tZSIsCiAgIm5hbWUiOiAiQWRkIHRvIERlc2t0b3AiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9Ub21taW1vbi9hZGQtdG8tZGVza3RvcCIsCiAgInV1aWQiOiAiYWRkLXRvLWRlc2t0b3BAdG9tbWltb24uZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAxMAp9"},
"44": {"version": "10", "sha256": "1x76p06ni4byl469hk0y3l5dkg2ad4khn5h02pgbyqxkfhf2y1il", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFuIGVhc3kgd2F5IHRvIGNyZWF0ZSBkZXNrdG9wIGFwcCBzaG9ydGN1dCBpbiBnbm9tZSIsCiAgIm5hbWUiOiAiQWRkIHRvIERlc2t0b3AiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9Ub21taW1vbi9hZGQtdG8tZGVza3RvcCIsCiAgInV1aWQiOiAiYWRkLXRvLWRlc2t0b3BAdG9tbWltb24uZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAxMAp9"},
- "45": {"version": "11", "sha256": "0rzfkibi6ymgg4h33aba2w1fphkh90h8ka56wa3g1slas4my0jll", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFuIGVhc3kgd2F5IHRvIGNyZWF0ZSBkZXNrdG9wIGFwcCBzaG9ydGN1dCBpbiBnbm9tZSIsCiAgImdldHRleHQtZG9tYWluIjogImFkZC10by1kZXNrdG9wIiwKICAibmFtZSI6ICJBZGQgdG8gRGVza3RvcCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9Ub21taW1vbi9hZGQtdG8tZGVza3RvcCIsCiAgInV1aWQiOiAiYWRkLXRvLWRlc2t0b3BAdG9tbWltb24uZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAxMQp9"}
+ "45": {"version": "12", "sha256": "1gc8cdjckf662fnd1c1q4p1iva5wphk4b4hwji9hf7hjbh8c9rqf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFuIGVhc3kgd2F5IHRvIGNyZWF0ZSBkZXNrdG9wIGFwcCBzaG9ydGN1dCBpbiBnbm9tZSIsCiAgImdldHRleHQtZG9tYWluIjogImFkZC10by1kZXNrdG9wIiwKICAibmFtZSI6ICJBZGQgdG8gRGVza3RvcCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiLAogICAgIjQ2LmJldGEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9Ub21taW1vbi9hZGQtdG8tZGVza3RvcCIsCiAgInV1aWQiOiAiYWRkLXRvLWRlc2t0b3BAdG9tbWltb24uZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAxMgp9"}
}}
, {"uuid": "wifi-power-management-toggle@rthery", "name": "Wi-Fi Power Management Toggle", "pname": "wi-fi-power-management-toggle", "description": "Add a toggle to limit Wi-Fi power consumption (when supported by the card) in Gnome System Menu.", "link": "https://extensions.gnome.org/extension/3258/wi-fi-power-management-toggle/", "shell_version_map": {
"38": {"version": "3", "sha256": "0w3w0wx6pdwr0ya69dkhjv10m0csjv2gsab98f57ycn8vi4zd7n5", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIHRvZ2dsZSB0byBsaW1pdCBXaS1GaSBwb3dlciBjb25zdW1wdGlvbiAod2hlbiBzdXBwb3J0ZWQgYnkgdGhlIGNhcmQpIGluIEdub21lIFN5c3RlbSBNZW51LiIsCiAgIm5hbWUiOiAiV2ktRmkgUG93ZXIgTWFuYWdlbWVudCBUb2dnbGUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9ydGhlcnkvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXdpZmktcG93ZXItbWFuYWdlbWVudC10b2dnbGUiLAogICJ1dWlkIjogIndpZmktcG93ZXItbWFuYWdlbWVudC10b2dnbGVAcnRoZXJ5IiwKICAidmVyc2lvbiI6IDMKfQ=="},
@@ -2412,7 +2423,7 @@
"42": {"version": "22", "sha256": "1y0gx662rhs32bjng5i5w3f6bkgc7i71rxki9qav4rp69fpnqnxg", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgbWFya2luZyBtZW51IHdoaWNoIGNhbiBiZSB1c2VkIHRvIGxhdW5jaCBhcHBsaWNhdGlvbnMsIHNpbXVsYXRlIGhvdGtleXMsIG9wZW4gVVJMcyBhbmQgbXVjaCBtb3JlLiBPbmNlIGluc3RhbGxlZCwgcHJlc3MgQ3RybCtTcGFjZSB0byBvcGVuIHRoZSBkZWZhdWx0IG1lbnUuIE9wZW4gdGhlIHByZWZlcmVuY2VzIGRpYWxvZyBmb3IgYW4gaW50ZXJhY3RpdmUgdHV0b3JpYWwgYW5kIGZvciBjcmVhdGluZyBjdXN0b20gbWVudXMhIiwKICAiZG9uYXRpb25zIjogewogICAgImdpdGh1YiI6ICJzY2huZWVnYW5zIiwKICAgICJrb2ZpIjogInNjaG5lZWdhbnMiLAogICAgInBheXBhbCI6ICJzaW1vbnNjaG5lZWdhbnMiCiAgfSwKICAiZ2V0dGV4dC1kb21haW4iOiAiZmx5cGllIiwKICAibmFtZSI6ICJGbHktUGllIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmZseXBpZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vU2NobmVlZ2Fucy9GbHktUGllIiwKICAidXVpZCI6ICJmbHlwaWVAc2NobmVlZ2Fucy5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDIyCn0="},
"43": {"version": "22", "sha256": "1y0gx662rhs32bjng5i5w3f6bkgc7i71rxki9qav4rp69fpnqnxg", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgbWFya2luZyBtZW51IHdoaWNoIGNhbiBiZSB1c2VkIHRvIGxhdW5jaCBhcHBsaWNhdGlvbnMsIHNpbXVsYXRlIGhvdGtleXMsIG9wZW4gVVJMcyBhbmQgbXVjaCBtb3JlLiBPbmNlIGluc3RhbGxlZCwgcHJlc3MgQ3RybCtTcGFjZSB0byBvcGVuIHRoZSBkZWZhdWx0IG1lbnUuIE9wZW4gdGhlIHByZWZlcmVuY2VzIGRpYWxvZyBmb3IgYW4gaW50ZXJhY3RpdmUgdHV0b3JpYWwgYW5kIGZvciBjcmVhdGluZyBjdXN0b20gbWVudXMhIiwKICAiZG9uYXRpb25zIjogewogICAgImdpdGh1YiI6ICJzY2huZWVnYW5zIiwKICAgICJrb2ZpIjogInNjaG5lZWdhbnMiLAogICAgInBheXBhbCI6ICJzaW1vbnNjaG5lZWdhbnMiCiAgfSwKICAiZ2V0dGV4dC1kb21haW4iOiAiZmx5cGllIiwKICAibmFtZSI6ICJGbHktUGllIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmZseXBpZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vU2NobmVlZ2Fucy9GbHktUGllIiwKICAidXVpZCI6ICJmbHlwaWVAc2NobmVlZ2Fucy5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDIyCn0="},
"44": {"version": "22", "sha256": "1y0gx662rhs32bjng5i5w3f6bkgc7i71rxki9qav4rp69fpnqnxg", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgbWFya2luZyBtZW51IHdoaWNoIGNhbiBiZSB1c2VkIHRvIGxhdW5jaCBhcHBsaWNhdGlvbnMsIHNpbXVsYXRlIGhvdGtleXMsIG9wZW4gVVJMcyBhbmQgbXVjaCBtb3JlLiBPbmNlIGluc3RhbGxlZCwgcHJlc3MgQ3RybCtTcGFjZSB0byBvcGVuIHRoZSBkZWZhdWx0IG1lbnUuIE9wZW4gdGhlIHByZWZlcmVuY2VzIGRpYWxvZyBmb3IgYW4gaW50ZXJhY3RpdmUgdHV0b3JpYWwgYW5kIGZvciBjcmVhdGluZyBjdXN0b20gbWVudXMhIiwKICAiZG9uYXRpb25zIjogewogICAgImdpdGh1YiI6ICJzY2huZWVnYW5zIiwKICAgICJrb2ZpIjogInNjaG5lZWdhbnMiLAogICAgInBheXBhbCI6ICJzaW1vbnNjaG5lZWdhbnMiCiAgfSwKICAiZ2V0dGV4dC1kb21haW4iOiAiZmx5cGllIiwKICAibmFtZSI6ICJGbHktUGllIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmZseXBpZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vU2NobmVlZ2Fucy9GbHktUGllIiwKICAidXVpZCI6ICJmbHlwaWVAc2NobmVlZ2Fucy5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDIyCn0="},
- "45": {"version": "24", "sha256": "0sx46wyyi74l07q39qfmsv27n4is96v68fjgjxjp1hngvcmnq6rp", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgbWFya2luZyBtZW51IHdoaWNoIGNhbiBiZSB1c2VkIHRvIGxhdW5jaCBhcHBsaWNhdGlvbnMsIHNpbXVsYXRlIGhvdGtleXMsIG9wZW4gVVJMcyBhbmQgbXVjaCBtb3JlLiBPbmNlIGluc3RhbGxlZCwgcHJlc3MgQ3RybCtTcGFjZSB0byBvcGVuIHRoZSBkZWZhdWx0IG1lbnUuIE9wZW4gdGhlIHByZWZlcmVuY2VzIGRpYWxvZyBmb3IgYW4gaW50ZXJhY3RpdmUgdHV0b3JpYWwgYW5kIGZvciBjcmVhdGluZyBjdXN0b20gbWVudXMhIiwKICAiZG9uYXRpb25zIjogewogICAgImdpdGh1YiI6ICJzY2huZWVnYW5zIiwKICAgICJrb2ZpIjogInNjaG5lZWdhbnMiLAogICAgInBheXBhbCI6ICJzaW1vbnNjaG5lZWdhbnMiCiAgfSwKICAiZ2V0dGV4dC1kb21haW4iOiAiZmx5cGllIiwKICAibmFtZSI6ICJGbHktUGllIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmZseXBpZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9TY2huZWVnYW5zL0ZseS1QaWUiLAogICJ1dWlkIjogImZseXBpZUBzY2huZWVnYW5zLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMjQKfQ=="}
+ "45": {"version": "25", "sha256": "1rsdic4g09ab0jmdzj0p941pfpc3qazk7swb01vdiq1fcflpkyp0", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgbWFya2luZyBtZW51IHdoaWNoIGNhbiBiZSB1c2VkIHRvIGxhdW5jaCBhcHBsaWNhdGlvbnMsIHNpbXVsYXRlIGhvdGtleXMsIG9wZW4gVVJMcyBhbmQgbXVjaCBtb3JlLiBPbmNlIGluc3RhbGxlZCwgcHJlc3MgQ3RybCtTcGFjZSB0byBvcGVuIHRoZSBkZWZhdWx0IG1lbnUuIE9wZW4gdGhlIHByZWZlcmVuY2VzIGRpYWxvZyBmb3IgYW4gaW50ZXJhY3RpdmUgdHV0b3JpYWwgYW5kIGZvciBjcmVhdGluZyBjdXN0b20gbWVudXMhIiwKICAiZG9uYXRpb25zIjogewogICAgImdpdGh1YiI6ICJzY2huZWVnYW5zIiwKICAgICJrb2ZpIjogInNjaG5lZWdhbnMiLAogICAgInBheXBhbCI6ICJzaW1vbnNjaG5lZWdhbnMiCiAgfSwKICAiZ2V0dGV4dC1kb21haW4iOiAiZmx5cGllIiwKICAibmFtZSI6ICJGbHktUGllIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmZseXBpZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiLAogICAgIjQ2IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vU2NobmVlZ2Fucy9GbHktUGllIiwKICAidXVpZCI6ICJmbHlwaWVAc2NobmVlZ2Fucy5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDI1Cn0="}
}}
, {"uuid": "jiggle@jeffchannell.com", "name": "Jiggle", "pname": "jiggle", "description": "Jiggle is a Gnome Shell extension that highlights the cursor position when the mouse is moved rapidly.", "link": "https://extensions.gnome.org/extension/3438/jiggle/", "shell_version_map": {
"38": {"version": "8", "sha256": "0f5zwvcqz648sn11nl49r0ki6zy5c2hp4imgba0dlc02fags7pxz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkppZ2dsZSBpcyBhIEdub21lIFNoZWxsIGV4dGVuc2lvbiB0aGF0IGhpZ2hsaWdodHMgdGhlIGN1cnNvciBwb3NpdGlvbiB3aGVuIHRoZSBtb3VzZSBpcyBtb3ZlZCByYXBpZGx5LiIsCiAgIm5hbWUiOiAiSmlnZ2xlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2LjMiLAogICAgIjMuMzguMSIsCiAgICAiNDAuMCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2plZmZjaGFubmVsbC9qaWdnbGUiLAogICJ1dWlkIjogImppZ2dsZUBqZWZmY2hhbm5lbGwuY29tIiwKICAidmVyc2lvbiI6IDgKfQ=="},
@@ -2552,7 +2563,7 @@
"42": {"version": "48", "sha256": "0y7pbng3jvvpwnjbajh4qs5n9rlb42w5q4cm2is7s9znwc4n73zj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFwcGxpY2F0aW9uIE1lbnUgRXh0ZW5zaW9uIGZvciBHTk9NRSIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJwYXlwYWwiOiAiYXphZWNoIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogImFyY21lbnUiLAogICJuYW1lIjogIkFyY01lbnUiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYXJjbWVudSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL2FyY21lbnUvQXJjTWVudSIsCiAgInV1aWQiOiAiYXJjbWVudUBhcmNtZW51LmNvbSIsCiAgInZlcnNpb24iOiA0OAp9"},
"43": {"version": "48", "sha256": "0y7pbng3jvvpwnjbajh4qs5n9rlb42w5q4cm2is7s9znwc4n73zj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFwcGxpY2F0aW9uIE1lbnUgRXh0ZW5zaW9uIGZvciBHTk9NRSIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJwYXlwYWwiOiAiYXphZWNoIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogImFyY21lbnUiLAogICJuYW1lIjogIkFyY01lbnUiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYXJjbWVudSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL2FyY21lbnUvQXJjTWVudSIsCiAgInV1aWQiOiAiYXJjbWVudUBhcmNtZW51LmNvbSIsCiAgInZlcnNpb24iOiA0OAp9"},
"44": {"version": "48", "sha256": "0y7pbng3jvvpwnjbajh4qs5n9rlb42w5q4cm2is7s9znwc4n73zj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFwcGxpY2F0aW9uIE1lbnUgRXh0ZW5zaW9uIGZvciBHTk9NRSIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJwYXlwYWwiOiAiYXphZWNoIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogImFyY21lbnUiLAogICJuYW1lIjogIkFyY01lbnUiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYXJjbWVudSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL2FyY21lbnUvQXJjTWVudSIsCiAgInV1aWQiOiAiYXJjbWVudUBhcmNtZW51LmNvbSIsCiAgInZlcnNpb24iOiA0OAp9"},
- "45": {"version": "52", "sha256": "1ngfmnp0q7c3636sjhmp5zz8n4yv748z9ihkgcyg96231zhv0ks8", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFwcGxpY2F0aW9uIE1lbnUgRXh0ZW5zaW9uIGZvciBHTk9NRSIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJwYXlwYWwiOiAiYXphZWNoIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogImFyY21lbnUiLAogICJuYW1lIjogIkFyY01lbnUiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYXJjbWVudSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9hcmNtZW51L0FyY01lbnUiLAogICJ1dWlkIjogImFyY21lbnVAYXJjbWVudS5jb20iLAogICJ2ZXJzaW9uIjogNTIKfQ=="}
+ "45": {"version": "55", "sha256": "0ggjf5avagpcrgljjkna2l662j78gfjh4maqkmfq4z1kj0vawliq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFwcGxpY2F0aW9uIE1lbnUgRXh0ZW5zaW9uIGZvciBHTk9NRSIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJwYXlwYWwiOiAiYXphZWNoIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogImFyY21lbnUiLAogICJuYW1lIjogIkFyY01lbnUiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYXJjbWVudSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiLAogICAgIjQ2IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vYXJjbWVudS9BcmNNZW51IiwKICAidXVpZCI6ICJhcmNtZW51QGFyY21lbnUuY29tIiwKICAidmVyc2lvbiI6IDU1LAogICJ2ZXJzaW9uLW5hbWUiOiAiNTUiCn0="}
}}
, {"uuid": "fixedimelist@alynx.one", "name": "Fixed IME List", "pname": "fixed-ime-list", "description": "Make the IME list in fixed sequence instead of MRU.", "link": "https://extensions.gnome.org/extension/3663/fixed-ime-list/", "shell_version_map": {
"38": {"version": "7", "sha256": "18z9h0fcq6w3kmcc5v8swjn18nc9hkck54jfzyv2697py6cd83kf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2UgdGhlIElNRSBsaXN0IGluIGZpeGVkIHNlcXVlbmNlIGluc3RlYWQgb2YgTVJVLiIsCiAgIm5hbWUiOiAiRml4ZWQgSU1FIExpc3QiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vQWx5bnhaaG91L2dub21lLXNoZWxsLWV4dGVuc2lvbi1maXhlZC1pbWUtbGlzdC8iLAogICJ1dWlkIjogImZpeGVkaW1lbGlzdEBhbHlueC5vbmUiLAogICJ2ZXJzaW9uIjogNwp9"},
@@ -2634,7 +2645,7 @@
"42": {"version": "30", "sha256": "1hzvimbdr6bd0a9ysynf5hsqlbkfnsgyqlphhnfgxa03wl6q8fk9", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGNvbnRyb2xzIFBoaWxpcHMgSHVlIGNvbXBhdGlibGUgbGlnaHRzIHVzaW5nIFBoaWxpcHMgSHVlIEJyaWRnZSBvbiB5b3VyIGxvY2FsIG5ldHdvcmssIGl0IGFsc28gYWxsb3dzIGNvbnRyb2xsaW5nIFBoaWxpcHMgSHVlIFN5bmMgQm94LiBJZiB5b3UgYXJlIGV4cGVyaWVuY2luZyBhbiBlcnJvciBvbiB0aGUgdXBncmFkZSwgcGxlYXNlIGxvZyBvdXQgYW5kIGxvZyBpbiBhZ2Fpbi4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJodWUtbGlnaHRzIiwKICAibmFtZSI6ICJIdWUgTGlnaHRzIiwKICAib3JpZ2luYWwtYXV0aG9yIjogImNobHVtc2t5dmFjbGF2QGdtYWlsLmNvbSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vdmNobHVtL2h1ZS1saWdodHMiLAogICJ1dWlkIjogImh1ZS1saWdodHNAY2hsdW1za3l2YWNsYXYuZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDMwCn0="},
"43": {"version": "30", "sha256": "1hzvimbdr6bd0a9ysynf5hsqlbkfnsgyqlphhnfgxa03wl6q8fk9", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGNvbnRyb2xzIFBoaWxpcHMgSHVlIGNvbXBhdGlibGUgbGlnaHRzIHVzaW5nIFBoaWxpcHMgSHVlIEJyaWRnZSBvbiB5b3VyIGxvY2FsIG5ldHdvcmssIGl0IGFsc28gYWxsb3dzIGNvbnRyb2xsaW5nIFBoaWxpcHMgSHVlIFN5bmMgQm94LiBJZiB5b3UgYXJlIGV4cGVyaWVuY2luZyBhbiBlcnJvciBvbiB0aGUgdXBncmFkZSwgcGxlYXNlIGxvZyBvdXQgYW5kIGxvZyBpbiBhZ2Fpbi4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJodWUtbGlnaHRzIiwKICAibmFtZSI6ICJIdWUgTGlnaHRzIiwKICAib3JpZ2luYWwtYXV0aG9yIjogImNobHVtc2t5dmFjbGF2QGdtYWlsLmNvbSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vdmNobHVtL2h1ZS1saWdodHMiLAogICJ1dWlkIjogImh1ZS1saWdodHNAY2hsdW1za3l2YWNsYXYuZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDMwCn0="},
"44": {"version": "30", "sha256": "1hzvimbdr6bd0a9ysynf5hsqlbkfnsgyqlphhnfgxa03wl6q8fk9", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGNvbnRyb2xzIFBoaWxpcHMgSHVlIGNvbXBhdGlibGUgbGlnaHRzIHVzaW5nIFBoaWxpcHMgSHVlIEJyaWRnZSBvbiB5b3VyIGxvY2FsIG5ldHdvcmssIGl0IGFsc28gYWxsb3dzIGNvbnRyb2xsaW5nIFBoaWxpcHMgSHVlIFN5bmMgQm94LiBJZiB5b3UgYXJlIGV4cGVyaWVuY2luZyBhbiBlcnJvciBvbiB0aGUgdXBncmFkZSwgcGxlYXNlIGxvZyBvdXQgYW5kIGxvZyBpbiBhZ2Fpbi4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJodWUtbGlnaHRzIiwKICAibmFtZSI6ICJIdWUgTGlnaHRzIiwKICAib3JpZ2luYWwtYXV0aG9yIjogImNobHVtc2t5dmFjbGF2QGdtYWlsLmNvbSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vdmNobHVtL2h1ZS1saWdodHMiLAogICJ1dWlkIjogImh1ZS1saWdodHNAY2hsdW1za3l2YWNsYXYuZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDMwCn0="},
- "45": {"version": "33", "sha256": "1lz6jbrh3qr3h5vgwarxzifa4743503hkpkw81lc8wzzypi9npq3", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGNvbnRyb2xzIFBoaWxpcHMgSHVlIGNvbXBhdGlibGUgbGlnaHRzIHVzaW5nIFBoaWxpcHMgSHVlIEJyaWRnZSBvbiB5b3VyIGxvY2FsIG5ldHdvcmssIGl0IGFsc28gYWxsb3dzIGNvbnRyb2xsaW5nIFBoaWxpcHMgSHVlIFN5bmMgQm94LiBJZiB5b3UgYXJlIGV4cGVyaWVuY2luZyBhbiBlcnJvciBvbiB0aGUgdXBncmFkZSwgcGxlYXNlIGxvZyBvdXQgYW5kIGxvZyBpbiBhZ2Fpbi4iLAogICJkb25hdGlvbnMiOiB7CiAgICAia29maSI6ICJ2Y2hsdW0iLAogICAgInBheXBhbCI6ICJ2Y2hsdW0iCiAgfSwKICAiZ2V0dGV4dC1kb21haW4iOiAiaHVlLWxpZ2h0cyIsCiAgIm5hbWUiOiAiSHVlIExpZ2h0cyIsCiAgIm9yaWdpbmFsLWF1dGhvciI6ICJjaGx1bXNreXZhY2xhdkBnbWFpbC5jb20iLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuaHVlLWxpZ2h0cyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS92Y2hsdW0vaHVlLWxpZ2h0cyIsCiAgInV1aWQiOiAiaHVlLWxpZ2h0c0BjaGx1bXNreXZhY2xhdi5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMzMKfQ=="}
+ "45": {"version": "35", "sha256": "0dl6zrr8nwrdycf9djn9dc4nznhp26iwcwhafw7ivkg0msz6a6gf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGNvbnRyb2xzIFBoaWxpcHMgSHVlIGNvbXBhdGlibGUgbGlnaHRzIHVzaW5nIFBoaWxpcHMgSHVlIEJyaWRnZSBvbiB5b3VyIGxvY2FsIG5ldHdvcmssIGl0IGFsc28gYWxsb3dzIGNvbnRyb2xsaW5nIFBoaWxpcHMgSHVlIFN5bmMgQm94LiBJZiB5b3UgYXJlIGV4cGVyaWVuY2luZyBhbiBlcnJvciBvbiB0aGUgdXBncmFkZSwgcGxlYXNlIGxvZyBvdXQgYW5kIGxvZyBpbiBhZ2Fpbi4iLAogICJkb25hdGlvbnMiOiB7CiAgICAia29maSI6ICJ2Y2hsdW0iLAogICAgInBheXBhbCI6ICJ2Y2hsdW0iCiAgfSwKICAiZ2V0dGV4dC1kb21haW4iOiAiaHVlLWxpZ2h0cyIsCiAgIm5hbWUiOiAiSHVlIExpZ2h0cyIsCiAgIm9yaWdpbmFsLWF1dGhvciI6ICJjaGx1bXNreXZhY2xhdkBnbWFpbC5jb20iLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuaHVlLWxpZ2h0cyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiLAogICAgIjQ2IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vdmNobHVtL2h1ZS1saWdodHMiLAogICJ1dWlkIjogImh1ZS1saWdodHNAY2hsdW1za3l2YWNsYXYuZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDM1Cn0="}
}}
, {"uuid": "compiz-alike-magic-lamp-effect@hermes83.github.com", "name": "Compiz alike magic lamp effect", "pname": "compiz-alike-magic-lamp-effect", "description": "Magic lamp effect inspired by the Compiz ones\n\nNB:\nIn case of update error please restart Gnome Shell (on Xorg press ALT+F2 then write r and press enter, on Wayland end the session and log in again)", "link": "https://extensions.gnome.org/extension/3740/compiz-alike-magic-lamp-effect/", "shell_version_map": {
"38": {"version": "17", "sha256": "0mv8glz3bxxs6gqms282ji8l375alqbwfszd6y7j0q6x9qhl6bz4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1hZ2ljIGxhbXAgZWZmZWN0IGluc3BpcmVkIGJ5IHRoZSBDb21waXogb25lc1xuXG5OQjpcbkluIGNhc2Ugb2YgdXBkYXRlIGVycm9yIHBsZWFzZSByZXN0YXJ0IEdub21lIFNoZWxsIChvbiBYb3JnIHByZXNzIEFMVCtGMiB0aGVuIHdyaXRlIHIgYW5kIHByZXNzIGVudGVyLCBvbiBXYXlsYW5kIGVuZCB0aGUgc2Vzc2lvbiBhbmQgbG9nIGluIGFnYWluKSIsCiAgIm5hbWUiOiAiQ29tcGl6IGFsaWtlIG1hZ2ljIGxhbXAgZWZmZWN0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjI4IiwKICAgICIzLjMwIiwKICAgICIzLjM0IiwKICAgICIzLjMyIiwKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9oZXJtZXM4My9jb21waXotYWxpa2UtbWFnaWMtbGFtcC1lZmZlY3QiLAogICJ1dWlkIjogImNvbXBpei1hbGlrZS1tYWdpYy1sYW1wLWVmZmVjdEBoZXJtZXM4My5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDE3Cn0="},
@@ -2671,12 +2682,12 @@
}}
, {"uuid": "ddterm@amezin.github.com", "name": "ddterm", "pname": "ddterm", "description": "Another drop down terminal extension for GNOME Shell. With tabs. Works on Wayland natively", "link": "https://extensions.gnome.org/extension/3780/ddterm/", "shell_version_map": {
"38": {"version": "43", "sha256": "1g5266vxl5k6m2fi86jsjpnlf2dd7vzp243y6bxrmipj7m602vlx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFub3RoZXIgZHJvcCBkb3duIHRlcm1pbmFsIGV4dGVuc2lvbiBmb3IgR05PTUUgU2hlbGwuIFdpdGggdGFicy4gV29ya3Mgb24gV2F5bGFuZCBuYXRpdmVseSIsCiAgImdldHRleHQtZG9tYWluIjogImRkdGVybUBhbWV6aW4uZ2l0aHViLmNvbSIsCiAgIm5hbWUiOiAiZGR0ZXJtIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogImNvbS5naXRodWIuYW1lemluLmRkdGVybSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9kZHRlcm0vZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWRkdGVybSIsCiAgInV1aWQiOiAiZGR0ZXJtQGFtZXppbi5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDQzCn0="},
- "40": {"version": "47", "sha256": "0bz53q342q7zk2wbj3v32nafk09l6s2lpslrlpaxw208pi0z00ip", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFub3RoZXIgZHJvcCBkb3duIHRlcm1pbmFsIGV4dGVuc2lvbiBmb3IgR05PTUUgU2hlbGwuIFdpdGggdGFicy4gV29ya3Mgb24gV2F5bGFuZCBuYXRpdmVseSIsCiAgImdldHRleHQtZG9tYWluIjogImRkdGVybUBhbWV6aW4uZ2l0aHViLmNvbSIsCiAgIm5hbWUiOiAiZGR0ZXJtIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogImNvbS5naXRodWIuYW1lemluLmRkdGVybSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZGR0ZXJtL2dub21lLXNoZWxsLWV4dGVuc2lvbi1kZHRlcm0iLAogICJ1dWlkIjogImRkdGVybUBhbWV6aW4uZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA0Nwp9"},
- "41": {"version": "47", "sha256": "0bz53q342q7zk2wbj3v32nafk09l6s2lpslrlpaxw208pi0z00ip", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFub3RoZXIgZHJvcCBkb3duIHRlcm1pbmFsIGV4dGVuc2lvbiBmb3IgR05PTUUgU2hlbGwuIFdpdGggdGFicy4gV29ya3Mgb24gV2F5bGFuZCBuYXRpdmVseSIsCiAgImdldHRleHQtZG9tYWluIjogImRkdGVybUBhbWV6aW4uZ2l0aHViLmNvbSIsCiAgIm5hbWUiOiAiZGR0ZXJtIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogImNvbS5naXRodWIuYW1lemluLmRkdGVybSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZGR0ZXJtL2dub21lLXNoZWxsLWV4dGVuc2lvbi1kZHRlcm0iLAogICJ1dWlkIjogImRkdGVybUBhbWV6aW4uZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA0Nwp9"},
- "42": {"version": "47", "sha256": "0bz53q342q7zk2wbj3v32nafk09l6s2lpslrlpaxw208pi0z00ip", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFub3RoZXIgZHJvcCBkb3duIHRlcm1pbmFsIGV4dGVuc2lvbiBmb3IgR05PTUUgU2hlbGwuIFdpdGggdGFicy4gV29ya3Mgb24gV2F5bGFuZCBuYXRpdmVseSIsCiAgImdldHRleHQtZG9tYWluIjogImRkdGVybUBhbWV6aW4uZ2l0aHViLmNvbSIsCiAgIm5hbWUiOiAiZGR0ZXJtIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogImNvbS5naXRodWIuYW1lemluLmRkdGVybSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZGR0ZXJtL2dub21lLXNoZWxsLWV4dGVuc2lvbi1kZHRlcm0iLAogICJ1dWlkIjogImRkdGVybUBhbWV6aW4uZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA0Nwp9"},
- "43": {"version": "47", "sha256": "0bz53q342q7zk2wbj3v32nafk09l6s2lpslrlpaxw208pi0z00ip", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFub3RoZXIgZHJvcCBkb3duIHRlcm1pbmFsIGV4dGVuc2lvbiBmb3IgR05PTUUgU2hlbGwuIFdpdGggdGFicy4gV29ya3Mgb24gV2F5bGFuZCBuYXRpdmVseSIsCiAgImdldHRleHQtZG9tYWluIjogImRkdGVybUBhbWV6aW4uZ2l0aHViLmNvbSIsCiAgIm5hbWUiOiAiZGR0ZXJtIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogImNvbS5naXRodWIuYW1lemluLmRkdGVybSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZGR0ZXJtL2dub21lLXNoZWxsLWV4dGVuc2lvbi1kZHRlcm0iLAogICJ1dWlkIjogImRkdGVybUBhbWV6aW4uZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA0Nwp9"},
- "44": {"version": "47", "sha256": "0bz53q342q7zk2wbj3v32nafk09l6s2lpslrlpaxw208pi0z00ip", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFub3RoZXIgZHJvcCBkb3duIHRlcm1pbmFsIGV4dGVuc2lvbiBmb3IgR05PTUUgU2hlbGwuIFdpdGggdGFicy4gV29ya3Mgb24gV2F5bGFuZCBuYXRpdmVseSIsCiAgImdldHRleHQtZG9tYWluIjogImRkdGVybUBhbWV6aW4uZ2l0aHViLmNvbSIsCiAgIm5hbWUiOiAiZGR0ZXJtIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogImNvbS5naXRodWIuYW1lemluLmRkdGVybSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZGR0ZXJtL2dub21lLXNoZWxsLWV4dGVuc2lvbi1kZHRlcm0iLAogICJ1dWlkIjogImRkdGVybUBhbWV6aW4uZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA0Nwp9"},
- "45": {"version": "50", "sha256": "0hjb2fzz4s681f5g9hg95c3bqx6cfhvhvdagph0zb24qf19vyicw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFub3RoZXIgZHJvcCBkb3duIHRlcm1pbmFsIGV4dGVuc2lvbiBmb3IgR05PTUUgU2hlbGwuIFdpdGggdGFicy4gV29ya3Mgb24gV2F5bGFuZCBuYXRpdmVseSIsCiAgImdldHRleHQtZG9tYWluIjogImRkdGVybUBhbWV6aW4uZ2l0aHViLmNvbSIsCiAgIm5hbWUiOiAiZGR0ZXJtIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogImNvbS5naXRodWIuYW1lemluLmRkdGVybSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9kZHRlcm0vZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWRkdGVybSIsCiAgInV1aWQiOiAiZGR0ZXJtQGFtZXppbi5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDUwCn0="}
+ "40": {"version": "52", "sha256": "1krvwgyhm0arlxlkympc7flim5q3kcrsrf1mv7wi1d0p3y5xydby", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFub3RoZXIgZHJvcCBkb3duIHRlcm1pbmFsIGV4dGVuc2lvbiBmb3IgR05PTUUgU2hlbGwuIFdpdGggdGFicy4gV29ya3Mgb24gV2F5bGFuZCBuYXRpdmVseSIsCiAgImdldHRleHQtZG9tYWluIjogImRkdGVybUBhbWV6aW4uZ2l0aHViLmNvbSIsCiAgIm5hbWUiOiAiZGR0ZXJtIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogImNvbS5naXRodWIuYW1lemluLmRkdGVybSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZGR0ZXJtL2dub21lLXNoZWxsLWV4dGVuc2lvbi1kZHRlcm0iLAogICJ1dWlkIjogImRkdGVybUBhbWV6aW4uZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA1Mgp9"},
+ "41": {"version": "52", "sha256": "1krvwgyhm0arlxlkympc7flim5q3kcrsrf1mv7wi1d0p3y5xydby", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFub3RoZXIgZHJvcCBkb3duIHRlcm1pbmFsIGV4dGVuc2lvbiBmb3IgR05PTUUgU2hlbGwuIFdpdGggdGFicy4gV29ya3Mgb24gV2F5bGFuZCBuYXRpdmVseSIsCiAgImdldHRleHQtZG9tYWluIjogImRkdGVybUBhbWV6aW4uZ2l0aHViLmNvbSIsCiAgIm5hbWUiOiAiZGR0ZXJtIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogImNvbS5naXRodWIuYW1lemluLmRkdGVybSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZGR0ZXJtL2dub21lLXNoZWxsLWV4dGVuc2lvbi1kZHRlcm0iLAogICJ1dWlkIjogImRkdGVybUBhbWV6aW4uZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA1Mgp9"},
+ "42": {"version": "52", "sha256": "1krvwgyhm0arlxlkympc7flim5q3kcrsrf1mv7wi1d0p3y5xydby", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFub3RoZXIgZHJvcCBkb3duIHRlcm1pbmFsIGV4dGVuc2lvbiBmb3IgR05PTUUgU2hlbGwuIFdpdGggdGFicy4gV29ya3Mgb24gV2F5bGFuZCBuYXRpdmVseSIsCiAgImdldHRleHQtZG9tYWluIjogImRkdGVybUBhbWV6aW4uZ2l0aHViLmNvbSIsCiAgIm5hbWUiOiAiZGR0ZXJtIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogImNvbS5naXRodWIuYW1lemluLmRkdGVybSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZGR0ZXJtL2dub21lLXNoZWxsLWV4dGVuc2lvbi1kZHRlcm0iLAogICJ1dWlkIjogImRkdGVybUBhbWV6aW4uZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA1Mgp9"},
+ "43": {"version": "52", "sha256": "1krvwgyhm0arlxlkympc7flim5q3kcrsrf1mv7wi1d0p3y5xydby", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFub3RoZXIgZHJvcCBkb3duIHRlcm1pbmFsIGV4dGVuc2lvbiBmb3IgR05PTUUgU2hlbGwuIFdpdGggdGFicy4gV29ya3Mgb24gV2F5bGFuZCBuYXRpdmVseSIsCiAgImdldHRleHQtZG9tYWluIjogImRkdGVybUBhbWV6aW4uZ2l0aHViLmNvbSIsCiAgIm5hbWUiOiAiZGR0ZXJtIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogImNvbS5naXRodWIuYW1lemluLmRkdGVybSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZGR0ZXJtL2dub21lLXNoZWxsLWV4dGVuc2lvbi1kZHRlcm0iLAogICJ1dWlkIjogImRkdGVybUBhbWV6aW4uZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA1Mgp9"},
+ "44": {"version": "52", "sha256": "1krvwgyhm0arlxlkympc7flim5q3kcrsrf1mv7wi1d0p3y5xydby", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFub3RoZXIgZHJvcCBkb3duIHRlcm1pbmFsIGV4dGVuc2lvbiBmb3IgR05PTUUgU2hlbGwuIFdpdGggdGFicy4gV29ya3Mgb24gV2F5bGFuZCBuYXRpdmVseSIsCiAgImdldHRleHQtZG9tYWluIjogImRkdGVybUBhbWV6aW4uZ2l0aHViLmNvbSIsCiAgIm5hbWUiOiAiZGR0ZXJtIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogImNvbS5naXRodWIuYW1lemluLmRkdGVybSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZGR0ZXJtL2dub21lLXNoZWxsLWV4dGVuc2lvbi1kZHRlcm0iLAogICJ1dWlkIjogImRkdGVybUBhbWV6aW4uZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA1Mgp9"},
+ "45": {"version": "51", "sha256": "1kddk47ywgnnw6q91sxss7vkymbljp9a8c8qh8rxayxdhvw9p4l6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFub3RoZXIgZHJvcCBkb3duIHRlcm1pbmFsIGV4dGVuc2lvbiBmb3IgR05PTUUgU2hlbGwuIFdpdGggdGFicy4gV29ya3Mgb24gV2F5bGFuZCBuYXRpdmVseSIsCiAgImdldHRleHQtZG9tYWluIjogImRkdGVybUBhbWV6aW4uZ2l0aHViLmNvbSIsCiAgIm5hbWUiOiAiZGR0ZXJtIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogImNvbS5naXRodWIuYW1lemluLmRkdGVybSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9kZHRlcm0vZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWRkdGVybSIsCiAgInV1aWQiOiAiZGR0ZXJtQGFtZXppbi5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDUxCn0="}
}}
, {"uuid": "favorites-only-dash@nahuelwexd.github.io", "name": "Favorites-only Dash", "pname": "favorites-only-dash", "description": "Show only favorite apps on Dash", "link": "https://extensions.gnome.org/extension/3789/favorites-only-dash/", "shell_version_map": {
"38": {"version": "1", "sha256": "110h019563j33gksaq5fs0z71sz1mslq1sbsmhk9mj3qggd9vs65", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgb25seSBmYXZvcml0ZSBhcHBzIG9uIERhc2giLAogICJuYW1lIjogIkZhdm9yaXRlcy1vbmx5IERhc2giLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAiZmF2b3JpdGVzLW9ubHktZGFzaEBuYWh1ZWx3ZXhkLmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiAxCn0="}
@@ -3022,14 +3033,16 @@
"41": {"version": "5", "sha256": "0w4xsa52l9fgf7bihq9gvr4lxvhn28d7jcdr9wb54zx8sf8gbflc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2FibGUgYWxsIEdOT01FIGJ1aWx0LWluIGdlc3R1cmVzLiBVc2VmdWwgZm9yIGtpb3NrcyBhbmQgdG91Y2ggc2NyZWVuIGFwcHMuIiwKICAibmFtZSI6ICJEaXNhYmxlIEdlc3R1cmVzIDIwMjEiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1ZlcnlDcmF6eURvZy9nbm9tZS1kaXNhYmxlLWdlc3R1cmVzIiwKICAidXVpZCI6ICJkaXNhYmxlLWdlc3R1cmVzLTIwMjFAdmVyeWNyYXp5ZG9nLmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiA1Cn0="},
"42": {"version": "5", "sha256": "0w4xsa52l9fgf7bihq9gvr4lxvhn28d7jcdr9wb54zx8sf8gbflc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2FibGUgYWxsIEdOT01FIGJ1aWx0LWluIGdlc3R1cmVzLiBVc2VmdWwgZm9yIGtpb3NrcyBhbmQgdG91Y2ggc2NyZWVuIGFwcHMuIiwKICAibmFtZSI6ICJEaXNhYmxlIEdlc3R1cmVzIDIwMjEiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1ZlcnlDcmF6eURvZy9nbm9tZS1kaXNhYmxlLWdlc3R1cmVzIiwKICAidXVpZCI6ICJkaXNhYmxlLWdlc3R1cmVzLTIwMjFAdmVyeWNyYXp5ZG9nLmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiA1Cn0="},
"43": {"version": "5", "sha256": "0w4xsa52l9fgf7bihq9gvr4lxvhn28d7jcdr9wb54zx8sf8gbflc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2FibGUgYWxsIEdOT01FIGJ1aWx0LWluIGdlc3R1cmVzLiBVc2VmdWwgZm9yIGtpb3NrcyBhbmQgdG91Y2ggc2NyZWVuIGFwcHMuIiwKICAibmFtZSI6ICJEaXNhYmxlIEdlc3R1cmVzIDIwMjEiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1ZlcnlDcmF6eURvZy9nbm9tZS1kaXNhYmxlLWdlc3R1cmVzIiwKICAidXVpZCI6ICJkaXNhYmxlLWdlc3R1cmVzLTIwMjFAdmVyeWNyYXp5ZG9nLmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiA1Cn0="},
- "44": {"version": "5", "sha256": "0w4xsa52l9fgf7bihq9gvr4lxvhn28d7jcdr9wb54zx8sf8gbflc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2FibGUgYWxsIEdOT01FIGJ1aWx0LWluIGdlc3R1cmVzLiBVc2VmdWwgZm9yIGtpb3NrcyBhbmQgdG91Y2ggc2NyZWVuIGFwcHMuIiwKICAibmFtZSI6ICJEaXNhYmxlIEdlc3R1cmVzIDIwMjEiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1ZlcnlDcmF6eURvZy9nbm9tZS1kaXNhYmxlLWdlc3R1cmVzIiwKICAidXVpZCI6ICJkaXNhYmxlLWdlc3R1cmVzLTIwMjFAdmVyeWNyYXp5ZG9nLmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiA1Cn0="}
+ "44": {"version": "5", "sha256": "0w4xsa52l9fgf7bihq9gvr4lxvhn28d7jcdr9wb54zx8sf8gbflc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2FibGUgYWxsIEdOT01FIGJ1aWx0LWluIGdlc3R1cmVzLiBVc2VmdWwgZm9yIGtpb3NrcyBhbmQgdG91Y2ggc2NyZWVuIGFwcHMuIiwKICAibmFtZSI6ICJEaXNhYmxlIEdlc3R1cmVzIDIwMjEiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1ZlcnlDcmF6eURvZy9nbm9tZS1kaXNhYmxlLWdlc3R1cmVzIiwKICAidXVpZCI6ICJkaXNhYmxlLWdlc3R1cmVzLTIwMjFAdmVyeWNyYXp5ZG9nLmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiA1Cn0="},
+ "45": {"version": "7", "sha256": "1mzqrwn9x4m0hliv3gr1dj2ybl1cnnwnjxsm1168cwdxk55cvlbd", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2FibGUgYWxsIEdOT01FIGJ1aWx0LWluIGdlc3R1cmVzLiBVc2VmdWwgZm9yIGtpb3NrcyBhbmQgdG91Y2ggc2NyZWVuIGFwcHMuIiwKICAibmFtZSI6ICJEaXNhYmxlIEdlc3R1cmVzIDIwMjEiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vVmVyeUNyYXp5RG9nL2dub21lLWRpc2FibGUtZ2VzdHVyZXMiLAogICJ1dWlkIjogImRpc2FibGUtZ2VzdHVyZXMtMjAyMUB2ZXJ5Y3Jhenlkb2cuZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDcKfQ=="}
}}
, {"uuid": "pi-hole@fnxweb.com", "name": "pi-hole", "pname": "pi-hole", "description": "Status and basic controls of local Pi-Hole", "link": "https://extensions.gnome.org/extension/4051/pi-hole/", "shell_version_map": {
"38": {"version": "1", "sha256": "0m19lv8zfhh8vqn0ln4a8g4g4hw9p6h98gb656vb0hblp5gsycfm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN0YXR1cyBhbmQgYmFzaWMgY29udHJvbHMgb2YgbG9jYWwgUGktSG9sZSIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbi1mbnh3ZWItcGktaG9sZSIsCiAgIm5hbWUiOiAicGktaG9sZSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5mbnh3ZWItcGktaG9sZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2ZueHdlYi9nbm9tZS1zaGVsbC1waS1ob2xlIiwKICAidXVpZCI6ICJwaS1ob2xlQGZueHdlYi5jb20iLAogICJ2ZXJzaW9uIjogMQp9"},
"41": {"version": "5", "sha256": "1dqa2bpigmycq6nsr04p8n91aj8pmzw5vn0d2za112mizzmb7xpc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN0YXR1cyBhbmQgYmFzaWMgY29udHJvbHMgb2YgbG9jYWwgUGktSG9sZSIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbi1mbnh3ZWItcGktaG9sZSIsCiAgIm5hbWUiOiAicGktaG9sZSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5mbnh3ZWItcGktaG9sZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDEiLAogICAgIjQyIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZm54d2ViL2dub21lLXNoZWxsLXBpLWhvbGUiLAogICJ1dWlkIjogInBpLWhvbGVAZm54d2ViLmNvbSIsCiAgInZlcnNpb24iOiA1Cn0="},
"42": {"version": "5", "sha256": "1dqa2bpigmycq6nsr04p8n91aj8pmzw5vn0d2za112mizzmb7xpc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN0YXR1cyBhbmQgYmFzaWMgY29udHJvbHMgb2YgbG9jYWwgUGktSG9sZSIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbi1mbnh3ZWItcGktaG9sZSIsCiAgIm5hbWUiOiAicGktaG9sZSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5mbnh3ZWItcGktaG9sZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDEiLAogICAgIjQyIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZm54d2ViL2dub21lLXNoZWxsLXBpLWhvbGUiLAogICJ1dWlkIjogInBpLWhvbGVAZm54d2ViLmNvbSIsCiAgInZlcnNpb24iOiA1Cn0="},
"43": {"version": "6", "sha256": "0zk6hm4ynhy7cbnq225wymhw8na1n7rzpni6gyp6wr420mpidn6i", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN0YXR1cyBhbmQgYmFzaWMgY29udHJvbHMgb2YgbG9jYWwgUGktSG9sZSIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbi1mbnh3ZWItcGktaG9sZSIsCiAgIm5hbWUiOiAicGktaG9sZSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5mbnh3ZWItcGktaG9sZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDMiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9mbnh3ZWIvZ25vbWUtc2hlbGwtcGktaG9sZSIsCiAgInV1aWQiOiAicGktaG9sZUBmbnh3ZWIuY29tIiwKICAidmVyc2lvbiI6IDYKfQ=="},
- "44": {"version": "8", "sha256": "1p2na9h7wd8r3g7yyz9qm42ivakmbzinmfnk2r3ch3kdsg6p9dw7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN0YXR1cyBhbmQgYmFzaWMgY29udHJvbHMgb2YgbG9jYWwgUGktSG9sZSIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbi1mbnh3ZWItcGktaG9sZSIsCiAgIm5hbWUiOiAicGktaG9sZSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5mbnh3ZWItcGktaG9sZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9mbnh3ZWIvZ25vbWUtc2hlbGwtcGktaG9sZSIsCiAgInV1aWQiOiAicGktaG9sZUBmbnh3ZWIuY29tIiwKICAidmVyc2lvbiI6IDgKfQ=="}
+ "44": {"version": "8", "sha256": "1p2na9h7wd8r3g7yyz9qm42ivakmbzinmfnk2r3ch3kdsg6p9dw7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN0YXR1cyBhbmQgYmFzaWMgY29udHJvbHMgb2YgbG9jYWwgUGktSG9sZSIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbi1mbnh3ZWItcGktaG9sZSIsCiAgIm5hbWUiOiAicGktaG9sZSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5mbnh3ZWItcGktaG9sZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9mbnh3ZWIvZ25vbWUtc2hlbGwtcGktaG9sZSIsCiAgInV1aWQiOiAicGktaG9sZUBmbnh3ZWIuY29tIiwKICAidmVyc2lvbiI6IDgKfQ=="},
+ "45": {"version": "11", "sha256": "0c71jaqv42n4hw1xbzvn1fy9hhzl0kxx42jai2a35nqqhkjir01h", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlN0YXR1cyBhbmQgYmFzaWMgY29udHJvbHMgb2YgbG9jYWwgUGktSG9sZSIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbi1mbnh3ZWItcGktaG9sZSIsCiAgIm5hbWUiOiAicGktaG9sZSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5mbnh3ZWItcGktaG9sZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9mbnh3ZWIvZ25vbWUtc2hlbGwtcGktaG9sZSIsCiAgInV1aWQiOiAicGktaG9sZUBmbnh3ZWIuY29tIiwKICAidmVyc2lvbiI6IDExCn0="}
}}
, {"uuid": "miniCal3@mtharpe", "name": "Minimalist Calendar 3", "pname": "minimalist-calendar-3", "description": "Remove event list and clock/calendar app buttons from the calendar window. This is just an updated version of v2 by breiq", "link": "https://extensions.gnome.org/extension/4052/minimalist-calendar-3/", "shell_version_map": {
"38": {"version": "4", "sha256": "1g52av962qcq9rrw91h6d7xqgh61wkn87srr3vffclkmkgmns5xq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlbW92ZSBldmVudCBsaXN0IGFuZCBjbG9jay9jYWxlbmRhciBhcHAgYnV0dG9ucyBmcm9tIHRoZSBjYWxlbmRhciB3aW5kb3cuIFRoaXMgaXMganVzdCBhbiB1cGRhdGVkIHZlcnNpb24gb2YgdjIgYnkgYnJlaXEiLAogICJuYW1lIjogIk1pbmltYWxpc3QgQ2FsZW5kYXIgMyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQyLjEiLAogICAgIjQyLjIiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9tdGhhcnBlL2dub21lLW1pbkNhbDMtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJtaW5pQ2FsM0BtdGhhcnBlIiwKICAidmVyc2lvbiI6IDQKfQ=="},
@@ -3293,12 +3306,12 @@
"40": {"version": "1", "sha256": "1awjnic8zca2f6viah2l4ai0pyfdyisxna9ys1zzpya11rwj6jk7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXBsZSBleHRlbnNpb24gdGhhdCBhbGxvd3MgeW91IGRvIGhhdmUgaW5kZXBlbmRlbnQgYXBwIHN3aXRjaGVyIChhbHQtdGFiKSBmb3IgZWFjaCB3b3Jrc3BhY2UuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAicHJpdmF0ZS1zd2l0Y2hlciIsCiAgIm5hbWUiOiAiUHJpdmF0ZSBTd2l0Y2hlciIsCiAgInNjaGVtYS1pZCI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5wcml2YXRlLXN3aXRjaGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MC5iZXRhIiwKICAgICI0MCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tIiwKICAidXVpZCI6ICJwcml2YXRlLXN3aXRjaGVyQGR6aWJhbi5jb20iLAogICJ2ZXJzaW9uIjogMQp9"}
}}
, {"uuid": "colosseum@sereneblue", "name": "Colosseum", "pname": "colosseum", "description": "View live scores for your favorite sports teams.", "link": "https://extensions.gnome.org/extension/4207/colosseum/", "shell_version_map": {
- "40": {"version": "27", "sha256": "1v75z39zndyhi7klsqf6vbk486nc1pzs8rj82s0llzjkw31kr3kq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlZpZXcgbGl2ZSBzY29yZXMgZm9yIHlvdXIgZmF2b3JpdGUgc3BvcnRzIHRlYW1zLiIsCiAgIm5hbWUiOiAiQ29sb3NzZXVtIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zZXJlbmVibHVlL2dub21lLXNoZWxsLWV4dGVuc2lvbi1jb2xvc3NldW0iLAogICJ1dWlkIjogImNvbG9zc2V1bUBzZXJlbmVibHVlIiwKICAidmVyc2lvbiI6IDI3Cn0="},
- "41": {"version": "27", "sha256": "1v75z39zndyhi7klsqf6vbk486nc1pzs8rj82s0llzjkw31kr3kq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlZpZXcgbGl2ZSBzY29yZXMgZm9yIHlvdXIgZmF2b3JpdGUgc3BvcnRzIHRlYW1zLiIsCiAgIm5hbWUiOiAiQ29sb3NzZXVtIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zZXJlbmVibHVlL2dub21lLXNoZWxsLWV4dGVuc2lvbi1jb2xvc3NldW0iLAogICJ1dWlkIjogImNvbG9zc2V1bUBzZXJlbmVibHVlIiwKICAidmVyc2lvbiI6IDI3Cn0="},
- "42": {"version": "27", "sha256": "1v75z39zndyhi7klsqf6vbk486nc1pzs8rj82s0llzjkw31kr3kq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlZpZXcgbGl2ZSBzY29yZXMgZm9yIHlvdXIgZmF2b3JpdGUgc3BvcnRzIHRlYW1zLiIsCiAgIm5hbWUiOiAiQ29sb3NzZXVtIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zZXJlbmVibHVlL2dub21lLXNoZWxsLWV4dGVuc2lvbi1jb2xvc3NldW0iLAogICJ1dWlkIjogImNvbG9zc2V1bUBzZXJlbmVibHVlIiwKICAidmVyc2lvbiI6IDI3Cn0="},
- "43": {"version": "27", "sha256": "1v75z39zndyhi7klsqf6vbk486nc1pzs8rj82s0llzjkw31kr3kq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlZpZXcgbGl2ZSBzY29yZXMgZm9yIHlvdXIgZmF2b3JpdGUgc3BvcnRzIHRlYW1zLiIsCiAgIm5hbWUiOiAiQ29sb3NzZXVtIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zZXJlbmVibHVlL2dub21lLXNoZWxsLWV4dGVuc2lvbi1jb2xvc3NldW0iLAogICJ1dWlkIjogImNvbG9zc2V1bUBzZXJlbmVibHVlIiwKICAidmVyc2lvbiI6IDI3Cn0="},
- "44": {"version": "27", "sha256": "1v75z39zndyhi7klsqf6vbk486nc1pzs8rj82s0llzjkw31kr3kq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlZpZXcgbGl2ZSBzY29yZXMgZm9yIHlvdXIgZmF2b3JpdGUgc3BvcnRzIHRlYW1zLiIsCiAgIm5hbWUiOiAiQ29sb3NzZXVtIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zZXJlbmVibHVlL2dub21lLXNoZWxsLWV4dGVuc2lvbi1jb2xvc3NldW0iLAogICJ1dWlkIjogImNvbG9zc2V1bUBzZXJlbmVibHVlIiwKICAidmVyc2lvbiI6IDI3Cn0="},
- "45": {"version": "25", "sha256": "18xcak2wwhjgzs21lq7dihmhh6vhcxi2b7wcwwam81wp6lwswkvk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlZpZXcgbGl2ZSBzY29yZXMgZm9yIHlvdXIgZmF2b3JpdGUgc3BvcnRzIHRlYW1zLiIsCiAgIm5hbWUiOiAiQ29sb3NzZXVtIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3NlcmVuZWJsdWUvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWNvbG9zc2V1bSIsCiAgInV1aWQiOiAiY29sb3NzZXVtQHNlcmVuZWJsdWUiLAogICJ2ZXJzaW9uIjogMjUKfQ=="}
+ "40": {"version": "31", "sha256": "1c7753fyqqh8x3hnfahpqjwiqil8kirxcfkmm7z39pl7iairs0zs", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlZpZXcgbGl2ZSBzY29yZXMgZm9yIHlvdXIgZmF2b3JpdGUgc3BvcnRzIHRlYW1zLiIsCiAgIm5hbWUiOiAiQ29sb3NzZXVtIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zZXJlbmVibHVlL2dub21lLXNoZWxsLWV4dGVuc2lvbi1jb2xvc3NldW0iLAogICJ1dWlkIjogImNvbG9zc2V1bUBzZXJlbmVibHVlIiwKICAidmVyc2lvbiI6IDMxCn0="},
+ "41": {"version": "31", "sha256": "1c7753fyqqh8x3hnfahpqjwiqil8kirxcfkmm7z39pl7iairs0zs", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlZpZXcgbGl2ZSBzY29yZXMgZm9yIHlvdXIgZmF2b3JpdGUgc3BvcnRzIHRlYW1zLiIsCiAgIm5hbWUiOiAiQ29sb3NzZXVtIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zZXJlbmVibHVlL2dub21lLXNoZWxsLWV4dGVuc2lvbi1jb2xvc3NldW0iLAogICJ1dWlkIjogImNvbG9zc2V1bUBzZXJlbmVibHVlIiwKICAidmVyc2lvbiI6IDMxCn0="},
+ "42": {"version": "31", "sha256": "1c7753fyqqh8x3hnfahpqjwiqil8kirxcfkmm7z39pl7iairs0zs", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlZpZXcgbGl2ZSBzY29yZXMgZm9yIHlvdXIgZmF2b3JpdGUgc3BvcnRzIHRlYW1zLiIsCiAgIm5hbWUiOiAiQ29sb3NzZXVtIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zZXJlbmVibHVlL2dub21lLXNoZWxsLWV4dGVuc2lvbi1jb2xvc3NldW0iLAogICJ1dWlkIjogImNvbG9zc2V1bUBzZXJlbmVibHVlIiwKICAidmVyc2lvbiI6IDMxCn0="},
+ "43": {"version": "31", "sha256": "1c7753fyqqh8x3hnfahpqjwiqil8kirxcfkmm7z39pl7iairs0zs", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlZpZXcgbGl2ZSBzY29yZXMgZm9yIHlvdXIgZmF2b3JpdGUgc3BvcnRzIHRlYW1zLiIsCiAgIm5hbWUiOiAiQ29sb3NzZXVtIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zZXJlbmVibHVlL2dub21lLXNoZWxsLWV4dGVuc2lvbi1jb2xvc3NldW0iLAogICJ1dWlkIjogImNvbG9zc2V1bUBzZXJlbmVibHVlIiwKICAidmVyc2lvbiI6IDMxCn0="},
+ "44": {"version": "31", "sha256": "1c7753fyqqh8x3hnfahpqjwiqil8kirxcfkmm7z39pl7iairs0zs", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlZpZXcgbGl2ZSBzY29yZXMgZm9yIHlvdXIgZmF2b3JpdGUgc3BvcnRzIHRlYW1zLiIsCiAgIm5hbWUiOiAiQ29sb3NzZXVtIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zZXJlbmVibHVlL2dub21lLXNoZWxsLWV4dGVuc2lvbi1jb2xvc3NldW0iLAogICJ1dWlkIjogImNvbG9zc2V1bUBzZXJlbmVibHVlIiwKICAidmVyc2lvbiI6IDMxCn0="},
+ "45": {"version": "30", "sha256": "0bzj3b6v25s20wizyfgginzb4r8spj8hq6x5kp34paqq10ncjys2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlZpZXcgbGl2ZSBzY29yZXMgZm9yIHlvdXIgZmF2b3JpdGUgc3BvcnRzIHRlYW1zLiIsCiAgIm5hbWUiOiAiQ29sb3NzZXVtIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIsCiAgICAiNDYiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zZXJlbmVibHVlL2dub21lLXNoZWxsLWV4dGVuc2lvbi1jb2xvc3NldW0iLAogICJ1dWlkIjogImNvbG9zc2V1bUBzZXJlbmVibHVlIiwKICAidmVyc2lvbiI6IDMwCn0="}
}}
, {"uuid": "gnome-plat-workspace@stonegate.me", "name": "Gnome 40 Flat Workspace", "pname": "gnome-40-plat-workspace", "description": "Remove shadow for workspace background in gnome 40.\nSource code https://github.com/stonega/gnome-extension-flat-workspace", "link": "https://extensions.gnome.org/extension/4215/gnome-40-plat-workspace/", "shell_version_map": {
"40": {"version": "3", "sha256": "0ly2dab9c0l7w1axnqs7xk2szd5jm2ifgniz2snw5mwkr5pw76nb", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlbW92ZSBzaGFkb3cgZm9yIHdvcmtzcGFjZSBiYWNrZ3JvdW5kIGluIGdub21lIDQwLlxuU291cmNlIGNvZGUgaHR0cHM6Ly9naXRodWIuY29tL3N0b25lZ2EvZ25vbWUtZXh0ZW5zaW9uLWZsYXQtd29ya3NwYWNlIiwKICAibmFtZSI6ICJHbm9tZSA0MCBGbGF0IFdvcmtzcGFjZSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5nbm9tZS1wbGF0LXdvcmtzcGFjZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAiZ25vbWUtcGxhdC13b3Jrc3BhY2VAc3RvbmVnYXRlLm1lIiwKICAidmVyc2lvbiI6IDMKfQ=="}
@@ -3322,7 +3335,7 @@
"42": {"version": "14", "sha256": "0i6qcfiv2q80161mm0fyb8xmfsc6pcjwsy1jagnxbzihqr6xpd2j", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIHNob3dzIHRoZSBiYXR0ZXJ5IG9mIHRoZSB3aXJlbGVzcyBrZXlib2FyZHMsIG1pY2UsIGFuZCBnYW1lIGNvbnRyb2xsZXJzIGluIHBlcmNlbnRhZ2VzIGFuZCBjb2xvcnMuIE11bHRpcGxlIGRldmljZXMgYXJlIHN1cHBvcnRlZC4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJ3aXJlbGVzcy1oaWQiLAogICJuYW1lIjogIldpcmVsZXNzIEhJRCIsCiAgIm9yaWdpbmFsLWF1dGhvciI6ICJjaGx1bXNreXZhY2xhdkBnbWFpbC5jb20iLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMud2lyZWxlc3MtaGlkIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS92Y2hsdW0vd2lyZWxlc3MtaGlkIiwKICAidXVpZCI6ICJ3aXJlbGVzcy1oaWRAY2hsdW1za3l2YWNsYXYuZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDE0Cn0="},
"43": {"version": "14", "sha256": "0i6qcfiv2q80161mm0fyb8xmfsc6pcjwsy1jagnxbzihqr6xpd2j", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIHNob3dzIHRoZSBiYXR0ZXJ5IG9mIHRoZSB3aXJlbGVzcyBrZXlib2FyZHMsIG1pY2UsIGFuZCBnYW1lIGNvbnRyb2xsZXJzIGluIHBlcmNlbnRhZ2VzIGFuZCBjb2xvcnMuIE11bHRpcGxlIGRldmljZXMgYXJlIHN1cHBvcnRlZC4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJ3aXJlbGVzcy1oaWQiLAogICJuYW1lIjogIldpcmVsZXNzIEhJRCIsCiAgIm9yaWdpbmFsLWF1dGhvciI6ICJjaGx1bXNreXZhY2xhdkBnbWFpbC5jb20iLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMud2lyZWxlc3MtaGlkIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS92Y2hsdW0vd2lyZWxlc3MtaGlkIiwKICAidXVpZCI6ICJ3aXJlbGVzcy1oaWRAY2hsdW1za3l2YWNsYXYuZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDE0Cn0="},
"44": {"version": "14", "sha256": "0i6qcfiv2q80161mm0fyb8xmfsc6pcjwsy1jagnxbzihqr6xpd2j", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIHNob3dzIHRoZSBiYXR0ZXJ5IG9mIHRoZSB3aXJlbGVzcyBrZXlib2FyZHMsIG1pY2UsIGFuZCBnYW1lIGNvbnRyb2xsZXJzIGluIHBlcmNlbnRhZ2VzIGFuZCBjb2xvcnMuIE11bHRpcGxlIGRldmljZXMgYXJlIHN1cHBvcnRlZC4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJ3aXJlbGVzcy1oaWQiLAogICJuYW1lIjogIldpcmVsZXNzIEhJRCIsCiAgIm9yaWdpbmFsLWF1dGhvciI6ICJjaGx1bXNreXZhY2xhdkBnbWFpbC5jb20iLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMud2lyZWxlc3MtaGlkIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS92Y2hsdW0vd2lyZWxlc3MtaGlkIiwKICAidXVpZCI6ICJ3aXJlbGVzcy1oaWRAY2hsdW1za3l2YWNsYXYuZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDE0Cn0="},
- "45": {"version": "16", "sha256": "1axrwjzh9anynb1kqgfyg5iwdi3racs1z01yns90pbhfsh68v2vf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIHNob3dzIHRoZSBiYXR0ZXJ5IG9mIHRoZSB3aXJlbGVzcyBrZXlib2FyZHMsIG1pY2UsIGFuZCBnYW1lIGNvbnRyb2xsZXJzIGluIHBlcmNlbnRhZ2VzIGFuZCBjb2xvcnMuIE11bHRpcGxlIGRldmljZXMgYXJlIHN1cHBvcnRlZC4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJ3aXJlbGVzcy1oaWQiLAogICJuYW1lIjogIldpcmVsZXNzIEhJRCIsCiAgIm9yaWdpbmFsLWF1dGhvciI6ICJjaGx1bXNreXZhY2xhdkBnbWFpbC5jb20iLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMud2lyZWxlc3MtaGlkIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3ZjaGx1bS93aXJlbGVzcy1oaWQiLAogICJ1dWlkIjogIndpcmVsZXNzLWhpZEBjaGx1bXNreXZhY2xhdi5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMTYKfQ=="}
+ "45": {"version": "17", "sha256": "07i480z5srfgzbn13vg4adxgd1k5rk2dnnd7fkpk8s8m779nzqvd", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIHNob3dzIHRoZSBiYXR0ZXJ5IG9mIHRoZSB3aXJlbGVzcyBrZXlib2FyZHMsIG1pY2UsIGFuZCBnYW1lIGNvbnRyb2xsZXJzIGluIHBlcmNlbnRhZ2VzIGFuZCBjb2xvcnMuIE11bHRpcGxlIGRldmljZXMgYXJlIHN1cHBvcnRlZC4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJ3aXJlbGVzcy1oaWQiLAogICJuYW1lIjogIldpcmVsZXNzIEhJRCIsCiAgIm9yaWdpbmFsLWF1dGhvciI6ICJjaGx1bXNreXZhY2xhdkBnbWFpbC5jb20iLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMud2lyZWxlc3MtaGlkIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIsCiAgICAiNDYiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS92Y2hsdW0vd2lyZWxlc3MtaGlkIiwKICAidXVpZCI6ICJ3aXJlbGVzcy1oaWRAY2hsdW1za3l2YWNsYXYuZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDE3Cn0="}
}}
, {"uuid": "better-osd@hllvc", "name": "Better OSD - Gnome 40", "pname": "better-osd-gnome-40", "description": "Customize your OSD popups. Move, resize, set delay and transparency!", "link": "https://extensions.gnome.org/extension/4231/better-osd-gnome-40/", "shell_version_map": {
"40": {"version": "6", "sha256": "0945xh7a607x3f9796gi3l5zylqa8gj1pnw44hcaak5sc6zpahgv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkN1c3RvbWl6ZSB5b3VyIE9TRCBwb3B1cHMuIE1vdmUsIHJlc2l6ZSwgc2V0IGRlbGF5IGFuZCB0cmFuc3BhcmVuY3khIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiYmV0dGVyLW9zZCIsCiAgIm5hbWUiOiAiQmV0dGVyIE9TRCAtIEdub21lIDQwIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQxLjEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9obGx2Yy9iZXR0ZXItb3NkIiwKICAidXVpZCI6ICJiZXR0ZXItb3NkQGhsbHZjIiwKICAidmVyc2lvbiI6IDYKfQ=="},
@@ -3388,7 +3401,7 @@
"42": {"version": "32", "sha256": "1dvpl7va3gcv323i7l12jidbfmy93in1d1vr5aj6568m0gh7lxk5", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlYnVnIjogZmFsc2UsCiAgImRlc2NyaXB0aW9uIjogIlJlc3RvcmUgdGhlIGFscGhhYmV0aWNhbCBvcmRlcmluZyBvZiB0aGUgYXBwIGdyaWQiLAogICJkb25hdGlvbnMiOiB7CiAgICAiZ2l0aHViIjogInN0dWFydGhheWh1cnN0IiwKICAgICJwYXlwYWwiOiAic3R1YXJ0YWhheWh1cnN0IgogIH0sCiAgImdldHRleHQtZG9tYWluIjogIkFscGhhYmV0aWNhbEFwcEdyaWRAc3R1YXJ0aGF5aHVyc3QiLAogICJuYW1lIjogIkFscGhhYmV0aWNhbCBBcHAgR3JpZCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5hbHBoYWJldGljYWwtYXBwLWdyaWQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3N0dWFydGhheWh1cnN0L2FscGhhYmV0aWNhbC1ncmlkLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAiQWxwaGFiZXRpY2FsQXBwR3JpZEBzdHVhcnRoYXlodXJzdCIsCiAgInZlcnNpb24iOiAzMgp9"},
"43": {"version": "32", "sha256": "1dvpl7va3gcv323i7l12jidbfmy93in1d1vr5aj6568m0gh7lxk5", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlYnVnIjogZmFsc2UsCiAgImRlc2NyaXB0aW9uIjogIlJlc3RvcmUgdGhlIGFscGhhYmV0aWNhbCBvcmRlcmluZyBvZiB0aGUgYXBwIGdyaWQiLAogICJkb25hdGlvbnMiOiB7CiAgICAiZ2l0aHViIjogInN0dWFydGhheWh1cnN0IiwKICAgICJwYXlwYWwiOiAic3R1YXJ0YWhheWh1cnN0IgogIH0sCiAgImdldHRleHQtZG9tYWluIjogIkFscGhhYmV0aWNhbEFwcEdyaWRAc3R1YXJ0aGF5aHVyc3QiLAogICJuYW1lIjogIkFscGhhYmV0aWNhbCBBcHAgR3JpZCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5hbHBoYWJldGljYWwtYXBwLWdyaWQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3N0dWFydGhheWh1cnN0L2FscGhhYmV0aWNhbC1ncmlkLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAiQWxwaGFiZXRpY2FsQXBwR3JpZEBzdHVhcnRoYXlodXJzdCIsCiAgInZlcnNpb24iOiAzMgp9"},
"44": {"version": "32", "sha256": "1dvpl7va3gcv323i7l12jidbfmy93in1d1vr5aj6568m0gh7lxk5", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlYnVnIjogZmFsc2UsCiAgImRlc2NyaXB0aW9uIjogIlJlc3RvcmUgdGhlIGFscGhhYmV0aWNhbCBvcmRlcmluZyBvZiB0aGUgYXBwIGdyaWQiLAogICJkb25hdGlvbnMiOiB7CiAgICAiZ2l0aHViIjogInN0dWFydGhheWh1cnN0IiwKICAgICJwYXlwYWwiOiAic3R1YXJ0YWhheWh1cnN0IgogIH0sCiAgImdldHRleHQtZG9tYWluIjogIkFscGhhYmV0aWNhbEFwcEdyaWRAc3R1YXJ0aGF5aHVyc3QiLAogICJuYW1lIjogIkFscGhhYmV0aWNhbCBBcHAgR3JpZCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5hbHBoYWJldGljYWwtYXBwLWdyaWQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3N0dWFydGhheWh1cnN0L2FscGhhYmV0aWNhbC1ncmlkLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAiQWxwaGFiZXRpY2FsQXBwR3JpZEBzdHVhcnRoYXlodXJzdCIsCiAgInZlcnNpb24iOiAzMgp9"},
- "45": {"version": "38", "sha256": "0785gzgglwlx6kmcnixm625dnhg0nzryznf111zhx9bhlq2n8695", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlc3RvcmUgdGhlIGFscGhhYmV0aWNhbCBvcmRlcmluZyBvZiB0aGUgYXBwIGdyaWQiLAogICJkb25hdGlvbnMiOiB7CiAgICAiZ2l0aHViIjogInN0dWFydGhheWh1cnN0IiwKICAgICJwYXlwYWwiOiAic3R1YXJ0YWhheWh1cnN0IgogIH0sCiAgImdldHRleHQtZG9tYWluIjogIkFscGhhYmV0aWNhbEFwcEdyaWRAc3R1YXJ0aGF5aHVyc3QiLAogICJuYW1lIjogIkFscGhhYmV0aWNhbCBBcHAgR3JpZCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5hbHBoYWJldGljYWwtYXBwLWdyaWQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vc3R1YXJ0aGF5aHVyc3QvYWxwaGFiZXRpY2FsLWdyaWQtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJBbHBoYWJldGljYWxBcHBHcmlkQHN0dWFydGhheWh1cnN0IiwKICAidmVyc2lvbiI6IDM4Cn0="}
+ "45": {"version": "39", "sha256": "1rp3czq2ggmr71mwpn0dir0zmnycwridgxvpx8xz45r8h0ra4ynv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlc3RvcmUgdGhlIGFscGhhYmV0aWNhbCBvcmRlcmluZyBvZiB0aGUgYXBwIGdyaWQiLAogICJkb25hdGlvbnMiOiB7CiAgICAiZ2l0aHViIjogInN0dWFydGhheWh1cnN0IiwKICAgICJwYXlwYWwiOiAic3R1YXJ0YWhheWh1cnN0IgogIH0sCiAgImdldHRleHQtZG9tYWluIjogIkFscGhhYmV0aWNhbEFwcEdyaWRAc3R1YXJ0aGF5aHVyc3QiLAogICJuYW1lIjogIkFscGhhYmV0aWNhbCBBcHAgR3JpZCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5hbHBoYWJldGljYWwtYXBwLWdyaWQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IiwKICAgICI0NiIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3N0dWFydGhheWh1cnN0L2FscGhhYmV0aWNhbC1ncmlkLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAiQWxwaGFiZXRpY2FsQXBwR3JpZEBzdHVhcnRoYXlodXJzdCIsCiAgInZlcnNpb24iOiAzOQp9"}
}}
, {"uuid": "tofumenu@tofu", "name": "Tofu Menu", "pname": "tofu-menu", "description": "Quick access menu for the GNOME panel with options that help ease the workflow for newcomers and power users alike.\n\nhttps://github.com/tofutech/tofumenu", "link": "https://extensions.gnome.org/extension/4272/tofu-menu/", "shell_version_map": {
"40": {"version": "2", "sha256": "05ndbjvvz0v1y8iq6ngqylz4z1ld6q5ibhkr5dh24wqc4wiky30v", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlF1aWNrIGFjY2VzcyBtZW51IGZvciB0aGUgR05PTUUgcGFuZWwgd2l0aCBvcHRpb25zIHRoYXQgaGVscCBlYXNlIHRoZSB3b3JrZmxvdyBmb3IgbmV3Y29tZXJzIGFuZCBwb3dlciB1c2VycyBhbGlrZS5cblxuaHR0cHM6Ly9naXRodWIuY29tL3RvZnV0ZWNoL3RvZnVtZW51IiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZmVkb3JhLW1lbnUiLAogICJuYW1lIjogIlRvZnUgTWVudSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcudG9mdS5mZWRvcmEtbWVudSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zMCIsCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiNDAiCiAgXSwKICAidXJsIjogIiIsCiAgInV1aWQiOiAidG9mdW1lbnVAdG9mdSIsCiAgInZlcnNpb24iOiAyCn0="}
@@ -3678,7 +3691,7 @@
"42": {"version": "24", "sha256": "007yp741jl5n6bf1zi4h5w9zhbbm1gavzdgklmrwjj114r49dx2b", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxvZ28gTWVudSAtIE1lbnUgc2ltaWxhciB0byBBcHBsZSdzIG1hY09TIG1lbnUgZm9yIHRoZSBHTk9NRSBEZXNrdG9wXG5UaGlzIGV4dGVuc2lvbiBnaXZlcyBhIHNpbXBsZSBtZW51IGFsb25nIHdpdGggdGhlIGFiaWxpdHkgdG8gZ2V0IHRoZSBpY29uIG9mIHlvdXIgZGlzdHJvIG9uIHRvcCBsZWZ0IHBhcnQgb2YgdGhlIHBhbmVsIGZvciBhIGdyZWF0IGxvb2suXG5UaGUgSWNvbiBjYW4gYmUgY3VzdG9taXplZCB0aHJvdWdoIHNldHRpbmdzLCBpdCBoYXMgYm90aCBMaW51eCBhbmQgQlNEIGxvZ29zLlxuZm9yIG1vcmUgc2NyZWVuc2hvdHMsIHZpc2l0IEdpdEh1Yi5cblxuVGhlIGRlZmF1bHQgVGVybWluYWwgYW5kIFNvZnR3YXJlIGNlbnRlciBjYW4gYWxzbyBiZSBjaGFuZ2VkLlxuXG5UaGlzIGV4dGVuc2lvbiBpcyBhIGZvcmsgb2YgLSBodHRwczovL2dpdGh1Yi5jb20vdG9mdXRlY2gvdG9mdW1lbnVcblxuVGhlIG9yaWdpbmFsIHByb2plY3QgaXMgbm8gbW9yZSBzdXBwb3J0ZWQgdGh1cyBJIG1hZGUgdGhpcy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJsb2dvLW1lbnUiLAogICJuYW1lIjogIkxvZ28gTWVudSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5sb2dvLW1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0FyeWFuMjAvTG9nb21lbnUiLAogICJ1dWlkIjogImxvZ29tZW51QGFyeWFuX2siLAogICJ2ZXJzaW9uIjogMjQsCiAgInZlcnNpb24tbmFtZSI6ICIyMC5sZWdhY3kiCn0="},
"43": {"version": "24", "sha256": "007yp741jl5n6bf1zi4h5w9zhbbm1gavzdgklmrwjj114r49dx2b", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxvZ28gTWVudSAtIE1lbnUgc2ltaWxhciB0byBBcHBsZSdzIG1hY09TIG1lbnUgZm9yIHRoZSBHTk9NRSBEZXNrdG9wXG5UaGlzIGV4dGVuc2lvbiBnaXZlcyBhIHNpbXBsZSBtZW51IGFsb25nIHdpdGggdGhlIGFiaWxpdHkgdG8gZ2V0IHRoZSBpY29uIG9mIHlvdXIgZGlzdHJvIG9uIHRvcCBsZWZ0IHBhcnQgb2YgdGhlIHBhbmVsIGZvciBhIGdyZWF0IGxvb2suXG5UaGUgSWNvbiBjYW4gYmUgY3VzdG9taXplZCB0aHJvdWdoIHNldHRpbmdzLCBpdCBoYXMgYm90aCBMaW51eCBhbmQgQlNEIGxvZ29zLlxuZm9yIG1vcmUgc2NyZWVuc2hvdHMsIHZpc2l0IEdpdEh1Yi5cblxuVGhlIGRlZmF1bHQgVGVybWluYWwgYW5kIFNvZnR3YXJlIGNlbnRlciBjYW4gYWxzbyBiZSBjaGFuZ2VkLlxuXG5UaGlzIGV4dGVuc2lvbiBpcyBhIGZvcmsgb2YgLSBodHRwczovL2dpdGh1Yi5jb20vdG9mdXRlY2gvdG9mdW1lbnVcblxuVGhlIG9yaWdpbmFsIHByb2plY3QgaXMgbm8gbW9yZSBzdXBwb3J0ZWQgdGh1cyBJIG1hZGUgdGhpcy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJsb2dvLW1lbnUiLAogICJuYW1lIjogIkxvZ28gTWVudSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5sb2dvLW1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0FyeWFuMjAvTG9nb21lbnUiLAogICJ1dWlkIjogImxvZ29tZW51QGFyeWFuX2siLAogICJ2ZXJzaW9uIjogMjQsCiAgInZlcnNpb24tbmFtZSI6ICIyMC5sZWdhY3kiCn0="},
"44": {"version": "24", "sha256": "007yp741jl5n6bf1zi4h5w9zhbbm1gavzdgklmrwjj114r49dx2b", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxvZ28gTWVudSAtIE1lbnUgc2ltaWxhciB0byBBcHBsZSdzIG1hY09TIG1lbnUgZm9yIHRoZSBHTk9NRSBEZXNrdG9wXG5UaGlzIGV4dGVuc2lvbiBnaXZlcyBhIHNpbXBsZSBtZW51IGFsb25nIHdpdGggdGhlIGFiaWxpdHkgdG8gZ2V0IHRoZSBpY29uIG9mIHlvdXIgZGlzdHJvIG9uIHRvcCBsZWZ0IHBhcnQgb2YgdGhlIHBhbmVsIGZvciBhIGdyZWF0IGxvb2suXG5UaGUgSWNvbiBjYW4gYmUgY3VzdG9taXplZCB0aHJvdWdoIHNldHRpbmdzLCBpdCBoYXMgYm90aCBMaW51eCBhbmQgQlNEIGxvZ29zLlxuZm9yIG1vcmUgc2NyZWVuc2hvdHMsIHZpc2l0IEdpdEh1Yi5cblxuVGhlIGRlZmF1bHQgVGVybWluYWwgYW5kIFNvZnR3YXJlIGNlbnRlciBjYW4gYWxzbyBiZSBjaGFuZ2VkLlxuXG5UaGlzIGV4dGVuc2lvbiBpcyBhIGZvcmsgb2YgLSBodHRwczovL2dpdGh1Yi5jb20vdG9mdXRlY2gvdG9mdW1lbnVcblxuVGhlIG9yaWdpbmFsIHByb2plY3QgaXMgbm8gbW9yZSBzdXBwb3J0ZWQgdGh1cyBJIG1hZGUgdGhpcy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJsb2dvLW1lbnUiLAogICJuYW1lIjogIkxvZ28gTWVudSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5sb2dvLW1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzAiLAogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0FyeWFuMjAvTG9nb21lbnUiLAogICJ1dWlkIjogImxvZ29tZW51QGFyeWFuX2siLAogICJ2ZXJzaW9uIjogMjQsCiAgInZlcnNpb24tbmFtZSI6ICIyMC5sZWdhY3kiCn0="},
- "45": {"version": "28", "sha256": "1jpkn14hxpk0zr2364fri48izsvfzmrvfg2ii5xy7m57nz6c3mp3", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxvZ28gTWVudSAtIE1lbnUgc2ltaWxhciB0byBBcHBsZSdzIG1hY09TIG1lbnUgZm9yIHRoZSBHTk9NRSBEZXNrdG9wXG5UaGlzIGV4dGVuc2lvbiBnaXZlcyBhIHNpbXBsZSBtZW51IGFsb25nIHdpdGggdGhlIGFiaWxpdHkgdG8gZ2V0IHRoZSBpY29uIG9mIHlvdXIgZGlzdHJvIG9uIHRvcCBsZWZ0IHBhcnQgb2YgdGhlIHBhbmVsIGZvciBhIGdyZWF0IGxvb2suXG5UaGUgSWNvbiBjYW4gYmUgY3VzdG9taXNlZCB0aHJvdWdoIHNldHRpbmdzLCBpdCBoYXMgYm90aCBMaW51eCBhbmQgQlNEIGxvZ29zLlxuRm9yIG1vcmUgc2NyZWVuc2hvdHMsIHZpc2l0IEdpdEh1Yi5cblxuVGhlICdBY3Rpdml0aWVzJyBpbmRpY2F0b3IgaXMgaGlkZGVuIGJ5IGRlZmF1bHQgYnV0IGNhbiBiZSBlbmFibGVkIHRocm91Z2ggc2V0dGluZ3MuXG5cblRoZSBkZWZhdWx0IFRlcm1pbmFsIGFuZCBTb2Z0d2FyZSBjZW50cmUgY2FuIGFsc28gYmUgY2hhbmdlZC5cblxuIEZvcmNlIFF1aXQgd29ya3Mgb24gV2F5bGFuZCBhbmQgWG9yZyIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJnaXRodWIiOiAiYXJ5YW4yMCIKICB9LAogICJnZXR0ZXh0LWRvbWFpbiI6ICJsb2dvLW1lbnUiLAogICJuYW1lIjogIkxvZ28gTWVudSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5sb2dvLW1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vQXJ5YW4yMC9Mb2dvbWVudSIsCiAgInV1aWQiOiAibG9nb21lbnVAYXJ5YW5fayIsCiAgInZlcnNpb24iOiAyOCwKICAidmVyc2lvbi1uYW1lIjogIjIyLjIiCn0="}
+ "45": {"version": "29", "sha256": "06ws3pv2yw9vb2qc97b7pd622a7dvamflvd19pr5633wsagd8sza", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxvZ28gTWVudSAtIE1lbnUgc2ltaWxhciB0byBBcHBsZSdzIG1hY09TIG1lbnUgZm9yIHRoZSBHTk9NRSBEZXNrdG9wXG5UaGlzIGV4dGVuc2lvbiBnaXZlcyBhIHNpbXBsZSBtZW51IGFsb25nIHdpdGggdGhlIGFiaWxpdHkgdG8gZ2V0IHRoZSBpY29uIG9mIHlvdXIgZGlzdHJvIG9uIHRvcCBsZWZ0IHBhcnQgb2YgdGhlIHBhbmVsIGZvciBhIGdyZWF0IGxvb2suXG5UaGUgSWNvbiBjYW4gYmUgY3VzdG9taXNlZCB0aHJvdWdoIHNldHRpbmdzLCBpdCBoYXMgYm90aCBMaW51eCBhbmQgQlNEIGxvZ29zLlxuRm9yIG1vcmUgc2NyZWVuc2hvdHMsIHZpc2l0IEdpdEh1Yi5cblxuVGhlICdBY3Rpdml0aWVzJyBpbmRpY2F0b3IgaXMgaGlkZGVuIGJ5IGRlZmF1bHQgYnV0IGNhbiBiZSBlbmFibGVkIHRocm91Z2ggc2V0dGluZ3MuXG5cblRoZSBkZWZhdWx0IFRlcm1pbmFsIGFuZCBTb2Z0d2FyZSBjZW50cmUgY2FuIGFsc28gYmUgY2hhbmdlZC5cblxuIEZvcmNlIFF1aXQgd29ya3Mgb24gV2F5bGFuZCBhbmQgWG9yZyIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJnaXRodWIiOiAiYXJ5YW4yMCIKICB9LAogICJnZXR0ZXh0LWRvbWFpbiI6ICJsb2dvLW1lbnUiLAogICJuYW1lIjogIkxvZ28gTWVudSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5sb2dvLW1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IiwKICAgICI0NiIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0FyeWFuMjAvTG9nb21lbnUiLAogICJ1dWlkIjogImxvZ29tZW51QGFyeWFuX2siLAogICJ2ZXJzaW9uIjogMjksCiAgInZlcnNpb24tbmFtZSI6ICIyMi40Igp9"}
}}
, {"uuid": "rog-manager@rog", "name": "Rog Asus Manager", "pname": "rog-asus-manager", "description": "Asus ROG manager", "link": "https://extensions.gnome.org/extension/4452/rog-asus-manager/", "shell_version_map": {
"38": {"version": "4", "sha256": "194k3qzjd05rki20ww0nv8001aiyp4ih9abv82g64058x8rmnff5", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFzdXMgUk9HIG1hbmFnZXIiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJyb2ctbWFuYWdlciIsCiAgIm5hbWUiOiAiUm9nIEFzdXMgTWFuYWdlciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5yb2dtYW5hZ2VyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYWxlamFuZHJvLW1vdXJhcy9yb2ctbWFuYWdlciIsCiAgInV1aWQiOiAicm9nLW1hbmFnZXJAcm9nIiwKICAidmVyc2lvbiI6IDQKfQ=="}
@@ -3769,7 +3782,7 @@
"42": {"version": "18", "sha256": "0dsr7437fnbgyz3mhdqgjz4c6cs69c4zlx18z4ibqbfjib47z1mn", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBwcml2YWN5IHNldHRpbmdzIHRvZ2dsZXMgdG8gdGhlIEdOT01FIHN5c3RlbSBtZW51IiwKICAiZG9uYXRpb25zIjogewogICAgImdpdGh1YiI6ICJzdHVhcnRoYXlodXJzdCIsCiAgICAicGF5cGFsIjogInN0dWFydGFoYXlodXJzdCIKICB9LAogICJnZXR0ZXh0LWRvbWFpbiI6ICJQcml2YWN5TWVudUBzdHVhcnRoYXlodXJzdCIsCiAgIm5hbWUiOiAiUHJpdmFjeSBRdWljayBTZXR0aW5ncyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5wcml2YWN5LW1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3N0dWFydGhheWh1cnN0L3ByaXZhY3ktbWVudS1leHRlbnNpb24iLAogICJ1dWlkIjogIlByaXZhY3lNZW51QHN0dWFydGhheWh1cnN0IiwKICAidmVyc2lvbiI6IDE4Cn0="},
"43": {"version": "18", "sha256": "0dsr7437fnbgyz3mhdqgjz4c6cs69c4zlx18z4ibqbfjib47z1mn", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBwcml2YWN5IHNldHRpbmdzIHRvZ2dsZXMgdG8gdGhlIEdOT01FIHN5c3RlbSBtZW51IiwKICAiZG9uYXRpb25zIjogewogICAgImdpdGh1YiI6ICJzdHVhcnRoYXlodXJzdCIsCiAgICAicGF5cGFsIjogInN0dWFydGFoYXlodXJzdCIKICB9LAogICJnZXR0ZXh0LWRvbWFpbiI6ICJQcml2YWN5TWVudUBzdHVhcnRoYXlodXJzdCIsCiAgIm5hbWUiOiAiUHJpdmFjeSBRdWljayBTZXR0aW5ncyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5wcml2YWN5LW1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3N0dWFydGhheWh1cnN0L3ByaXZhY3ktbWVudS1leHRlbnNpb24iLAogICJ1dWlkIjogIlByaXZhY3lNZW51QHN0dWFydGhheWh1cnN0IiwKICAidmVyc2lvbiI6IDE4Cn0="},
"44": {"version": "18", "sha256": "0dsr7437fnbgyz3mhdqgjz4c6cs69c4zlx18z4ibqbfjib47z1mn", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBwcml2YWN5IHNldHRpbmdzIHRvZ2dsZXMgdG8gdGhlIEdOT01FIHN5c3RlbSBtZW51IiwKICAiZG9uYXRpb25zIjogewogICAgImdpdGh1YiI6ICJzdHVhcnRoYXlodXJzdCIsCiAgICAicGF5cGFsIjogInN0dWFydGFoYXlodXJzdCIKICB9LAogICJnZXR0ZXh0LWRvbWFpbiI6ICJQcml2YWN5TWVudUBzdHVhcnRoYXlodXJzdCIsCiAgIm5hbWUiOiAiUHJpdmFjeSBRdWljayBTZXR0aW5ncyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5wcml2YWN5LW1lbnUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3N0dWFydGhheWh1cnN0L3ByaXZhY3ktbWVudS1leHRlbnNpb24iLAogICJ1dWlkIjogIlByaXZhY3lNZW51QHN0dWFydGhheWh1cnN0IiwKICAidmVyc2lvbiI6IDE4Cn0="},
- "45": {"version": "23", "sha256": "08x0hm9lvz5x5g7ppz2g6m8fr2ksxxphcf7by8y9qn25pqmkk5p4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBwcml2YWN5IHNldHRpbmdzIHRvZ2dsZXMgdG8gdGhlIEdOT01FIHN5c3RlbSBtZW51XG5OT1RFOiBUaGlzIG9ubHkgcHJvdmlkZXMgcXVpY2sgYWNjZXNzIHRvIHNldHRpbmdzLCBpdCBkb2Vzbid0IGRpcmVjdGx5IGFjY2VzcyBoYXJkd2FyZSIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJnaXRodWIiOiAic3R1YXJ0aGF5aHVyc3QiLAogICAgInBheXBhbCI6ICJzdHVhcnRhaGF5aHVyc3QiCiAgfSwKICAiZ2V0dGV4dC1kb21haW4iOiAiUHJpdmFjeU1lbnVAc3R1YXJ0aGF5aHVyc3QiLAogICJuYW1lIjogIlByaXZhY3kgUXVpY2sgU2V0dGluZ3MiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMucHJpdmFjeS1tZW51IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3N0dWFydGhheWh1cnN0L3ByaXZhY3ktbWVudS1leHRlbnNpb24iLAogICJ1dWlkIjogIlByaXZhY3lNZW51QHN0dWFydGhheWh1cnN0IiwKICAidmVyc2lvbiI6IDIzCn0="}
+ "45": {"version": "24", "sha256": "1w3p959rv31mv44pzjsnbai8jj2s3ldjr7366mvfpgkfw4n4fi7v", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBwcml2YWN5IHNldHRpbmdzIHRvZ2dsZXMgdG8gdGhlIEdOT01FIHN5c3RlbSBtZW51XG5OT1RFOiBUaGlzIG9ubHkgcHJvdmlkZXMgcXVpY2sgYWNjZXNzIHRvIHNldHRpbmdzLCBpdCBkb2Vzbid0IGRpcmVjdGx5IGFjY2VzcyBoYXJkd2FyZSIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJnaXRodWIiOiAic3R1YXJ0aGF5aHVyc3QiLAogICAgInBheXBhbCI6ICJzdHVhcnRhaGF5aHVyc3QiCiAgfSwKICAiZ2V0dGV4dC1kb21haW4iOiAiUHJpdmFjeU1lbnVAc3R1YXJ0aGF5aHVyc3QiLAogICJuYW1lIjogIlByaXZhY3kgUXVpY2sgU2V0dGluZ3MiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMucHJpdmFjeS1tZW51IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIsCiAgICAiNDYiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zdHVhcnRoYXlodXJzdC9wcml2YWN5LW1lbnUtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJQcml2YWN5TWVudUBzdHVhcnRoYXlodXJzdCIsCiAgInZlcnNpb24iOiAyNAp9"}
}}
, {"uuid": "hide-panel-lite@fthx", "name": "Hide Panel Lite", "pname": "hide-panel-light-version-without-hot-corner", "description": "Hide top panel except in overview.\n\nFor those who don't need a hot corner (e.g. running GNOME 40+ with three fingers gestures). Very very light extension. No options, no bugs. ;-)", "link": "https://extensions.gnome.org/extension/4496/hide-panel-light-version-without-hot-corner/", "shell_version_map": {
"38": {"version": "2", "sha256": "13kwd7sh0w34881wwaqvv0mchd7ym7av5s658jcrq2n8n2zma4xi", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUgdG9wIHBhbmVsIGV4Y2VwdCBpbiBvdmVydmlldy5cblxuRm9yIHRob3NlIHdobyBkb24ndCBuZWVkIGEgaG90IGNvcm5lciAoZS5nLiBydW5uaW5nIEdOT01FIDQwKyB3aXRoIHRocmVlIGZpbmdlcnMgZ2VzdHVyZXMpLiBWZXJ5IHZlcnkgbGlnaHQgZXh0ZW5zaW9uLiBObyBvcHRpb25zLCBubyBidWdzLiA7LSkiLAogICJuYW1lIjogIkhpZGUgUGFuZWwgTGl0ZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2Z0aHgvaGlkZS1wYW5lbC1saXRlIiwKICAidXVpZCI6ICJoaWRlLXBhbmVsLWxpdGVAZnRoeCIsCiAgInZlcnNpb24iOiAyCn0="},
@@ -3843,7 +3856,7 @@
"42": {"version": "27", "sha256": "0mh69mrrnc59njmzm1l4rcznw4ixcp8y4d5k9m7ckkk861lm0k1g", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRpbGUgd2luZG93cyBvbiBhIGN1c3RvbSBncmlkIHVzaW5nIHlvdXIga2V5Ym9hcmQuIFR5cGUgU3VwZXItVCB0byBzaG93IHRoZSBncmlkLCB0aGVuIHR5cGUgdHdvIHRpbGVzIChvciB0aGUgc2FtZSB0aWxlIHR3aWNlKSB0byBtb3ZlIHRoZSBhY3RpdmUgd2luZG93LlxuXG5UaGUgZ3JpZCBjYW4gYmUgdXAgdG8gNHgzIChjb3JyZXNwb25kaW5nIHRvIG9uZSBoYW5kIG9uIHRoZSBrZXlib2FyZCkgYW5kIGVhY2ggcm93L2NvbHVtbiBjYW4gYmUgd2VpZ2h0ZWQgdG8gdGFrZSB1cCBtb3JlIG9yIGxlc3Mgc3BhY2UuIiwKICAibmFtZSI6ICJUYWN0aWxlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnRhY3RpbGUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL2x1bmRhbC90YWN0aWxlIiwKICAidXVpZCI6ICJ0YWN0aWxlQGx1bmRhbC5pbyIsCiAgInZlcnNpb24iOiAyNwp9"},
"43": {"version": "27", "sha256": "0mh69mrrnc59njmzm1l4rcznw4ixcp8y4d5k9m7ckkk861lm0k1g", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRpbGUgd2luZG93cyBvbiBhIGN1c3RvbSBncmlkIHVzaW5nIHlvdXIga2V5Ym9hcmQuIFR5cGUgU3VwZXItVCB0byBzaG93IHRoZSBncmlkLCB0aGVuIHR5cGUgdHdvIHRpbGVzIChvciB0aGUgc2FtZSB0aWxlIHR3aWNlKSB0byBtb3ZlIHRoZSBhY3RpdmUgd2luZG93LlxuXG5UaGUgZ3JpZCBjYW4gYmUgdXAgdG8gNHgzIChjb3JyZXNwb25kaW5nIHRvIG9uZSBoYW5kIG9uIHRoZSBrZXlib2FyZCkgYW5kIGVhY2ggcm93L2NvbHVtbiBjYW4gYmUgd2VpZ2h0ZWQgdG8gdGFrZSB1cCBtb3JlIG9yIGxlc3Mgc3BhY2UuIiwKICAibmFtZSI6ICJUYWN0aWxlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnRhY3RpbGUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL2x1bmRhbC90YWN0aWxlIiwKICAidXVpZCI6ICJ0YWN0aWxlQGx1bmRhbC5pbyIsCiAgInZlcnNpb24iOiAyNwp9"},
"44": {"version": "27", "sha256": "0mh69mrrnc59njmzm1l4rcznw4ixcp8y4d5k9m7ckkk861lm0k1g", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRpbGUgd2luZG93cyBvbiBhIGN1c3RvbSBncmlkIHVzaW5nIHlvdXIga2V5Ym9hcmQuIFR5cGUgU3VwZXItVCB0byBzaG93IHRoZSBncmlkLCB0aGVuIHR5cGUgdHdvIHRpbGVzIChvciB0aGUgc2FtZSB0aWxlIHR3aWNlKSB0byBtb3ZlIHRoZSBhY3RpdmUgd2luZG93LlxuXG5UaGUgZ3JpZCBjYW4gYmUgdXAgdG8gNHgzIChjb3JyZXNwb25kaW5nIHRvIG9uZSBoYW5kIG9uIHRoZSBrZXlib2FyZCkgYW5kIGVhY2ggcm93L2NvbHVtbiBjYW4gYmUgd2VpZ2h0ZWQgdG8gdGFrZSB1cCBtb3JlIG9yIGxlc3Mgc3BhY2UuIiwKICAibmFtZSI6ICJUYWN0aWxlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnRhY3RpbGUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzQiLAogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL2x1bmRhbC90YWN0aWxlIiwKICAidXVpZCI6ICJ0YWN0aWxlQGx1bmRhbC5pbyIsCiAgInZlcnNpb24iOiAyNwp9"},
- "45": {"version": "29", "sha256": "14v87hfr00n05hz32rzmkmas2mcakmjmg03j6k3j172dnk1i3b7c", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRpbGUgd2luZG93cyBvbiBhIGN1c3RvbSBncmlkIHVzaW5nIHlvdXIga2V5Ym9hcmQuIFR5cGUgU3VwZXItVCB0byBzaG93IHRoZSBncmlkLCB0aGVuIHR5cGUgdHdvIHRpbGVzIChvciB0aGUgc2FtZSB0aWxlIHR3aWNlKSB0byBtb3ZlIHRoZSBhY3RpdmUgd2luZG93LlxuXG5UaGUgZ3JpZCBjYW4gYmUgdXAgdG8gNHgzIChjb3JyZXNwb25kaW5nIHRvIG9uZSBoYW5kIG9uIHRoZSBrZXlib2FyZCkgYW5kIGVhY2ggcm93L2NvbHVtbiBjYW4gYmUgd2VpZ2h0ZWQgdG8gdGFrZSB1cCBtb3JlIG9yIGxlc3Mgc3BhY2UuIiwKICAibmFtZSI6ICJUYWN0aWxlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnRhY3RpbGUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vbHVuZGFsL3RhY3RpbGUiLAogICJ1dWlkIjogInRhY3RpbGVAbHVuZGFsLmlvIiwKICAidmVyc2lvbiI6IDI5Cn0="}
+ "45": {"version": "30", "sha256": "06ys92waii3id1fxl4c3v17335h06l938a69vmdhx2p354y4r1aq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRpbGUgd2luZG93cyBvbiBhIGN1c3RvbSBncmlkIHVzaW5nIHlvdXIga2V5Ym9hcmQuIFR5cGUgU3VwZXItVCB0byBzaG93IHRoZSBncmlkLCB0aGVuIHR5cGUgdHdvIHRpbGVzIChvciB0aGUgc2FtZSB0aWxlIHR3aWNlKSB0byBtb3ZlIHRoZSBhY3RpdmUgd2luZG93LlxuXG5UaGUgZ3JpZCBjYW4gYmUgdXAgdG8gNHgzIChjb3JyZXNwb25kaW5nIHRvIG9uZSBoYW5kIG9uIHRoZSBrZXlib2FyZCkgYW5kIGVhY2ggcm93L2NvbHVtbiBjYW4gYmUgd2VpZ2h0ZWQgdG8gdGFrZSB1cCBtb3JlIG9yIGxlc3Mgc3BhY2UuIiwKICAibmFtZSI6ICJUYWN0aWxlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnRhY3RpbGUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vbHVuZGFsL3RhY3RpbGUiLAogICJ1dWlkIjogInRhY3RpbGVAbHVuZGFsLmlvIiwKICAidmVyc2lvbiI6IDMwCn0="}
}}
, {"uuid": "killapp@adam.gadmz", "name": "Kill App", "pname": "kill-app", "description": "Force quit or kill application", "link": "https://extensions.gnome.org/extension/4551/kill-app/", "shell_version_map": {
"38": {"version": "5", "sha256": "1d768xi63z8917p0m67wdy5vmv514yq6p3m84qyzk5lv084h5x0y", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImF1dGhvciI6ICJBZGFtIiwKICAiZGVzY3JpcHRpb24iOiAiRm9yY2UgcXVpdCBvciBraWxsIGFwcGxpY2F0aW9uIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWtpbGxhcHAiLAogICJuYW1lIjogIktpbGwgQXBwIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZHVvbmdnaWFraGFuaGIvS2lsbGFwcF9HTk9NRV9FeHRlbnNpb24iLAogICJ1dWlkIjogImtpbGxhcHBAYWRhbS5nYWRteiIsCiAgInZlcnNpb24iOiA1Cn0="},
@@ -3913,7 +3926,7 @@
"43": {"version": "8", "sha256": "0js5z8slr8h68bzmivh4fca2hznd6k8lbmqkmikm4v848c2gd79b", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkV4dGVuc2lvbiB0byBNb25pdG9yIEludGVybmV0IFNwZWVkIGFuZCBEYWlseSBEYXRhIFVzYWdlIG1pbmltYWxseS5cbiBJdCBpcyBhIGZvcmsgb2YgSW50ZXJuZXRTcGVlZE1ldGVyIiwKICAibmFtZSI6ICJJbnRlcm5ldCBTcGVlZCBNb25pdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vcmlzaHVpbmZpbml0eS9JbnRlcm5ldFNwZWVkTW9uaXRvciIsCiAgInV1aWQiOiAiSW50ZXJuZXRTcGVlZE1vbml0b3JAUmlzaHUiLAogICJ2ZXJzaW9uIjogOAp9"},
"44": {"version": "8", "sha256": "0js5z8slr8h68bzmivh4fca2hznd6k8lbmqkmikm4v848c2gd79b", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkV4dGVuc2lvbiB0byBNb25pdG9yIEludGVybmV0IFNwZWVkIGFuZCBEYWlseSBEYXRhIFVzYWdlIG1pbmltYWxseS5cbiBJdCBpcyBhIGZvcmsgb2YgSW50ZXJuZXRTcGVlZE1ldGVyIiwKICAibmFtZSI6ICJJbnRlcm5ldCBTcGVlZCBNb25pdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vcmlzaHVpbmZpbml0eS9JbnRlcm5ldFNwZWVkTW9uaXRvciIsCiAgInV1aWQiOiAiSW50ZXJuZXRTcGVlZE1vbml0b3JAUmlzaHUiLAogICJ2ZXJzaW9uIjogOAp9"}
}}
-, {"uuid": "simulate-switching-workspaces-on-active-monitor@micheledaros.com", "name": "Switch workspaces on active monitor", "pname": "switch-workspaces-on-active-monitor", "description": "Simulates switching the workspace on the active monitor only. Ctrl+Alt+q switches to the previous workspace, Ctrl+Alt+a switches to the next", "link": "https://extensions.gnome.org/extension/4586/switch-workspaces-on-active-monitor/", "shell_version_map": {
+, {"uuid": "simulate-switching-workspaces-on-active-monitor@micheledaros.com", "name": "Switch workspaces on active monitor", "pname": "switch-workspaces-on-active-monitor", "description": "Simulates switching the workspace on the active monitor only.", "link": "https://extensions.gnome.org/extension/4586/switch-workspaces-on-active-monitor/", "shell_version_map": {
"38": {"version": "10", "sha256": "18cs5lix4bws201vqbcrgv0icc57njqp85f66k75sqlai7jqxawf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXVsYXRlcyBzd2l0Y2hpbmcgdGhlIHdvcmtzcGFjZSBvbiB0aGUgYWN0aXZlIG1vbml0b3Igb25seS4gQ3RybCtBbHQrcSBzd2l0Y2hlcyB0byB0aGUgcHJldmlvdXMgd29ya3NwYWNlLCBDdHJsK0FsdCthIHN3aXRjaGVzIHRvIHRoZSBuZXh0IiwKICAibmFtZSI6ICJTd2l0Y2ggd29ya3NwYWNlcyBvbiBhY3RpdmUgbW9uaXRvciIsCiAgIm9yaWdpbmFsLWF1dGhvcnMiOiAiZGFyb3NtaWNAZ21haWwuY29tIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNpbXVsYXRlLXN3aXRjaGluZy13b3Jrc3BhY2VzLW9uLWFjdGl2ZS1tb25pdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9taWNoZWxlZGFyb3MvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXNpbXVsYXRlLXN3aXRjaGluZy13b3Jrc3BhY2VzLW9uLWFjdGl2ZS1tb25pdG9yIiwKICAidXVpZCI6ICJzaW11bGF0ZS1zd2l0Y2hpbmctd29ya3NwYWNlcy1vbi1hY3RpdmUtbW9uaXRvckBtaWNoZWxlZGFyb3MuY29tIiwKICAidmVyc2lvbiI6IDEwCn0="},
"40": {"version": "10", "sha256": "18cs5lix4bws201vqbcrgv0icc57njqp85f66k75sqlai7jqxawf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXVsYXRlcyBzd2l0Y2hpbmcgdGhlIHdvcmtzcGFjZSBvbiB0aGUgYWN0aXZlIG1vbml0b3Igb25seS4gQ3RybCtBbHQrcSBzd2l0Y2hlcyB0byB0aGUgcHJldmlvdXMgd29ya3NwYWNlLCBDdHJsK0FsdCthIHN3aXRjaGVzIHRvIHRoZSBuZXh0IiwKICAibmFtZSI6ICJTd2l0Y2ggd29ya3NwYWNlcyBvbiBhY3RpdmUgbW9uaXRvciIsCiAgIm9yaWdpbmFsLWF1dGhvcnMiOiAiZGFyb3NtaWNAZ21haWwuY29tIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNpbXVsYXRlLXN3aXRjaGluZy13b3Jrc3BhY2VzLW9uLWFjdGl2ZS1tb25pdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9taWNoZWxlZGFyb3MvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXNpbXVsYXRlLXN3aXRjaGluZy13b3Jrc3BhY2VzLW9uLWFjdGl2ZS1tb25pdG9yIiwKICAidXVpZCI6ICJzaW11bGF0ZS1zd2l0Y2hpbmctd29ya3NwYWNlcy1vbi1hY3RpdmUtbW9uaXRvckBtaWNoZWxlZGFyb3MuY29tIiwKICAidmVyc2lvbiI6IDEwCn0="},
"41": {"version": "10", "sha256": "18cs5lix4bws201vqbcrgv0icc57njqp85f66k75sqlai7jqxawf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXVsYXRlcyBzd2l0Y2hpbmcgdGhlIHdvcmtzcGFjZSBvbiB0aGUgYWN0aXZlIG1vbml0b3Igb25seS4gQ3RybCtBbHQrcSBzd2l0Y2hlcyB0byB0aGUgcHJldmlvdXMgd29ya3NwYWNlLCBDdHJsK0FsdCthIHN3aXRjaGVzIHRvIHRoZSBuZXh0IiwKICAibmFtZSI6ICJTd2l0Y2ggd29ya3NwYWNlcyBvbiBhY3RpdmUgbW9uaXRvciIsCiAgIm9yaWdpbmFsLWF1dGhvcnMiOiAiZGFyb3NtaWNAZ21haWwuY29tIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNpbXVsYXRlLXN3aXRjaGluZy13b3Jrc3BhY2VzLW9uLWFjdGl2ZS1tb25pdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9taWNoZWxlZGFyb3MvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXNpbXVsYXRlLXN3aXRjaGluZy13b3Jrc3BhY2VzLW9uLWFjdGl2ZS1tb25pdG9yIiwKICAidXVpZCI6ICJzaW11bGF0ZS1zd2l0Y2hpbmctd29ya3NwYWNlcy1vbi1hY3RpdmUtbW9uaXRvckBtaWNoZWxlZGFyb3MuY29tIiwKICAidmVyc2lvbiI6IDEwCn0="},
@@ -3938,7 +3951,8 @@
"41": {"version": "12", "sha256": "1yl96vx8mi60avp710fqwkd8c51d47ddc3vkdwq5l73frib22gg6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2UgYWlycGxhbmUgbW9kZSBzYW5lIGFnYWluISBUaGlzIGV4dGVuc2lvbiBnaXZlcyB5b3UgYmV0dGVyIGNvbnRyb2wgb3ZlciB0aGUgYWlycGxhbmUgbW9kZSBhbmQgbGV0cyB5b3UgdHVybiBvZmYgdGhlIGFubm95aW5nIFwiQmx1ZXRvb3RoIGdldHMgdHVybmVkIG9uIHdoZW4gSSBkaXNhYmxlIGFpcnBsYW5lIG1vZGVcIiBiZWhhdmlvdXIuIiwKICAibmFtZSI6ICJTYW5lIEFpcnBsYW5lIE1vZGUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3hLaXBwaS9nbm9tZS1zaGVsbC1leHRlbnNpb24tc2FuZS1haXJwbGFuZS1tb2RlIiwKICAidXVpZCI6ICJzYW5lLWFpcnBsYW5lLW1vZGVAa2lwcGkiLAogICJ2ZXJzaW9uIjogMTIKfQ=="},
"42": {"version": "12", "sha256": "1yl96vx8mi60avp710fqwkd8c51d47ddc3vkdwq5l73frib22gg6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2UgYWlycGxhbmUgbW9kZSBzYW5lIGFnYWluISBUaGlzIGV4dGVuc2lvbiBnaXZlcyB5b3UgYmV0dGVyIGNvbnRyb2wgb3ZlciB0aGUgYWlycGxhbmUgbW9kZSBhbmQgbGV0cyB5b3UgdHVybiBvZmYgdGhlIGFubm95aW5nIFwiQmx1ZXRvb3RoIGdldHMgdHVybmVkIG9uIHdoZW4gSSBkaXNhYmxlIGFpcnBsYW5lIG1vZGVcIiBiZWhhdmlvdXIuIiwKICAibmFtZSI6ICJTYW5lIEFpcnBsYW5lIE1vZGUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3hLaXBwaS9nbm9tZS1zaGVsbC1leHRlbnNpb24tc2FuZS1haXJwbGFuZS1tb2RlIiwKICAidXVpZCI6ICJzYW5lLWFpcnBsYW5lLW1vZGVAa2lwcGkiLAogICJ2ZXJzaW9uIjogMTIKfQ=="},
"43": {"version": "12", "sha256": "1yl96vx8mi60avp710fqwkd8c51d47ddc3vkdwq5l73frib22gg6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2UgYWlycGxhbmUgbW9kZSBzYW5lIGFnYWluISBUaGlzIGV4dGVuc2lvbiBnaXZlcyB5b3UgYmV0dGVyIGNvbnRyb2wgb3ZlciB0aGUgYWlycGxhbmUgbW9kZSBhbmQgbGV0cyB5b3UgdHVybiBvZmYgdGhlIGFubm95aW5nIFwiQmx1ZXRvb3RoIGdldHMgdHVybmVkIG9uIHdoZW4gSSBkaXNhYmxlIGFpcnBsYW5lIG1vZGVcIiBiZWhhdmlvdXIuIiwKICAibmFtZSI6ICJTYW5lIEFpcnBsYW5lIE1vZGUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3hLaXBwaS9nbm9tZS1zaGVsbC1leHRlbnNpb24tc2FuZS1haXJwbGFuZS1tb2RlIiwKICAidXVpZCI6ICJzYW5lLWFpcnBsYW5lLW1vZGVAa2lwcGkiLAogICJ2ZXJzaW9uIjogMTIKfQ=="},
- "44": {"version": "12", "sha256": "1yl96vx8mi60avp710fqwkd8c51d47ddc3vkdwq5l73frib22gg6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2UgYWlycGxhbmUgbW9kZSBzYW5lIGFnYWluISBUaGlzIGV4dGVuc2lvbiBnaXZlcyB5b3UgYmV0dGVyIGNvbnRyb2wgb3ZlciB0aGUgYWlycGxhbmUgbW9kZSBhbmQgbGV0cyB5b3UgdHVybiBvZmYgdGhlIGFubm95aW5nIFwiQmx1ZXRvb3RoIGdldHMgdHVybmVkIG9uIHdoZW4gSSBkaXNhYmxlIGFpcnBsYW5lIG1vZGVcIiBiZWhhdmlvdXIuIiwKICAibmFtZSI6ICJTYW5lIEFpcnBsYW5lIE1vZGUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3hLaXBwaS9nbm9tZS1zaGVsbC1leHRlbnNpb24tc2FuZS1haXJwbGFuZS1tb2RlIiwKICAidXVpZCI6ICJzYW5lLWFpcnBsYW5lLW1vZGVAa2lwcGkiLAogICJ2ZXJzaW9uIjogMTIKfQ=="}
+ "44": {"version": "12", "sha256": "1yl96vx8mi60avp710fqwkd8c51d47ddc3vkdwq5l73frib22gg6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2UgYWlycGxhbmUgbW9kZSBzYW5lIGFnYWluISBUaGlzIGV4dGVuc2lvbiBnaXZlcyB5b3UgYmV0dGVyIGNvbnRyb2wgb3ZlciB0aGUgYWlycGxhbmUgbW9kZSBhbmQgbGV0cyB5b3UgdHVybiBvZmYgdGhlIGFubm95aW5nIFwiQmx1ZXRvb3RoIGdldHMgdHVybmVkIG9uIHdoZW4gSSBkaXNhYmxlIGFpcnBsYW5lIG1vZGVcIiBiZWhhdmlvdXIuIiwKICAibmFtZSI6ICJTYW5lIEFpcnBsYW5lIE1vZGUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3hLaXBwaS9nbm9tZS1zaGVsbC1leHRlbnNpb24tc2FuZS1haXJwbGFuZS1tb2RlIiwKICAidXVpZCI6ICJzYW5lLWFpcnBsYW5lLW1vZGVAa2lwcGkiLAogICJ2ZXJzaW9uIjogMTIKfQ=="},
+ "45": {"version": "13", "sha256": "0fciqv67rfahdhq3qi58lgng5d12s5vabipqqzlz19zkljh5hgr2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2UgYWlycGxhbmUgbW9kZSBzYW5lIGFnYWluISBUaGlzIGV4dGVuc2lvbiBnaXZlcyB5b3UgYmV0dGVyIGNvbnRyb2wgb3ZlciB0aGUgYWlycGxhbmUgbW9kZSBhbmQgbGV0cyB5b3UgdHVybiBvZmYgdGhlIGFubm95aW5nIFwiQmx1ZXRvb3RoIGdldHMgdHVybmVkIG9uIHdoZW4gSSBkaXNhYmxlIGFpcnBsYW5lIG1vZGVcIiBiZWhhdmlvdXIuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAic2FuZS1haXJwbGFuZS1tb2RlIiwKICAibmFtZSI6ICJTYW5lIEFpcnBsYW5lIE1vZGUiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuc2FuZS1haXJwbGFuZS1tb2RlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0FudGlLaXBwaS9nbm9tZS1zaGVsbC1leHRlbnNpb24tc2FuZS1haXJwbGFuZS1tb2RlIiwKICAidXVpZCI6ICJzYW5lLWFpcnBsYW5lLW1vZGVAa2lwcGkiLAogICJ2ZXJzaW9uIjogMTMsCiAgInZlcnNpb24tbmFtZSI6ICIyLjAuMCIKfQ=="}
}}
, {"uuid": "undecorate@tabdeveloper.com", "name": "Undecorate Window for Wayland", "pname": "undecorate-window-for-wayland", "description": "Adds undecorate option to window menu to toggle window decoration.", "link": "https://extensions.gnome.org/extension/4606/undecorate-window-for-wayland/", "shell_version_map": {
"40": {"version": "4", "sha256": "11a93rd1vnnfhlqlb0j9ninx6ziqbbfbpqhi319zlx185358vfq0", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgdW5kZWNvcmF0ZSBvcHRpb24gdG8gd2luZG93IG1lbnUgdG8gdG9nZ2xlIHdpbmRvdyBkZWNvcmF0aW9uLiIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbi11bmRlY29yYXRlIiwKICAibmFtZSI6ICJVbmRlY29yYXRlIFdpbmRvdyBmb3IgV2F5bGFuZCIsCiAgIm9yaWdpbmFsLWF1dGhvcnMiOiBbCiAgICAic3VuLnd4Z0BnbWFpbC5jb20iLAogICAgInRpbUB0YWJkZXZlbG9wZXIuY29tIgogIF0sCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy51bmRlY29yYXRlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjIwIiwKICAgICIzLjIyIiwKICAgICIzLjI0IiwKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS90YnJhbnllbi9nbm9tZS1zaGVsbC1leHRlbnNpb24tdW5kZWNvcmF0ZSIsCiAgInV1aWQiOiAidW5kZWNvcmF0ZUB0YWJkZXZlbG9wZXIuY29tIiwKICAidmVyc2lvbiI6IDQKfQ=="},
@@ -3990,7 +4004,8 @@
"41": {"version": "11", "sha256": "0ai3fz37ij5k6s5mjhh9934bmwxs2m3fnir26sjrdsk5b3pgrygz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGVzIHRoZSBjbGFzc2ljIHRpdGxlIGJhciBvZiBtYXhpbWl6ZWQgWC5Pcmcgd2luZG93cyIsCiAgIm5hbWUiOiAiTm8gVGl0bGViYXIgV2hlbiBNYXhpbWl6ZWQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYWxlY2RvdG5pbmphL25vLXRpdGxlYmFyLXdoZW4tbWF4aW1pemVkIiwKICAidXVpZCI6ICJuby10aXRsZWJhci13aGVuLW1heGltaXplZEBhbGVjLm5pbmphIiwKICAidmVyc2lvbiI6IDExCn0="},
"42": {"version": "11", "sha256": "0ai3fz37ij5k6s5mjhh9934bmwxs2m3fnir26sjrdsk5b3pgrygz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGVzIHRoZSBjbGFzc2ljIHRpdGxlIGJhciBvZiBtYXhpbWl6ZWQgWC5Pcmcgd2luZG93cyIsCiAgIm5hbWUiOiAiTm8gVGl0bGViYXIgV2hlbiBNYXhpbWl6ZWQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYWxlY2RvdG5pbmphL25vLXRpdGxlYmFyLXdoZW4tbWF4aW1pemVkIiwKICAidXVpZCI6ICJuby10aXRsZWJhci13aGVuLW1heGltaXplZEBhbGVjLm5pbmphIiwKICAidmVyc2lvbiI6IDExCn0="},
"43": {"version": "11", "sha256": "0ai3fz37ij5k6s5mjhh9934bmwxs2m3fnir26sjrdsk5b3pgrygz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGVzIHRoZSBjbGFzc2ljIHRpdGxlIGJhciBvZiBtYXhpbWl6ZWQgWC5Pcmcgd2luZG93cyIsCiAgIm5hbWUiOiAiTm8gVGl0bGViYXIgV2hlbiBNYXhpbWl6ZWQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYWxlY2RvdG5pbmphL25vLXRpdGxlYmFyLXdoZW4tbWF4aW1pemVkIiwKICAidXVpZCI6ICJuby10aXRsZWJhci13aGVuLW1heGltaXplZEBhbGVjLm5pbmphIiwKICAidmVyc2lvbiI6IDExCn0="},
- "44": {"version": "11", "sha256": "0ai3fz37ij5k6s5mjhh9934bmwxs2m3fnir26sjrdsk5b3pgrygz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGVzIHRoZSBjbGFzc2ljIHRpdGxlIGJhciBvZiBtYXhpbWl6ZWQgWC5Pcmcgd2luZG93cyIsCiAgIm5hbWUiOiAiTm8gVGl0bGViYXIgV2hlbiBNYXhpbWl6ZWQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYWxlY2RvdG5pbmphL25vLXRpdGxlYmFyLXdoZW4tbWF4aW1pemVkIiwKICAidXVpZCI6ICJuby10aXRsZWJhci13aGVuLW1heGltaXplZEBhbGVjLm5pbmphIiwKICAidmVyc2lvbiI6IDExCn0="}
+ "44": {"version": "11", "sha256": "0ai3fz37ij5k6s5mjhh9934bmwxs2m3fnir26sjrdsk5b3pgrygz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGVzIHRoZSBjbGFzc2ljIHRpdGxlIGJhciBvZiBtYXhpbWl6ZWQgWC5Pcmcgd2luZG93cyIsCiAgIm5hbWUiOiAiTm8gVGl0bGViYXIgV2hlbiBNYXhpbWl6ZWQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYWxlY2RvdG5pbmphL25vLXRpdGxlYmFyLXdoZW4tbWF4aW1pemVkIiwKICAidXVpZCI6ICJuby10aXRsZWJhci13aGVuLW1heGltaXplZEBhbGVjLm5pbmphIiwKICAidmVyc2lvbiI6IDExCn0="},
+ "45": {"version": "14", "sha256": "07q32416kk7iilq2ifkll6ql583bavmcy68n9vcxlxxkakv0xfn4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGVzIHRoZSBjbGFzc2ljIHRpdGxlIGJhciBvZiBtYXhpbWl6ZWQgWC5Pcmcgd2luZG93cyIsCiAgIm5hbWUiOiAiTm8gVGl0bGViYXIgV2hlbiBNYXhpbWl6ZWQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYWxlY2RvdG5pbmphL25vLXRpdGxlYmFyLXdoZW4tbWF4aW1pemVkIiwKICAidXVpZCI6ICJuby10aXRsZWJhci13aGVuLW1heGltaXplZEBhbGVjLm5pbmphIiwKICAidmVyc2lvbiI6IDE0Cn0="}
}}
, {"uuid": "cryptowatch@d0x2f.github.com", "name": "CryptoWatch", "pname": "cryptowatch", "description": "Display live crypto portfolio balances.", "link": "https://extensions.gnome.org/extension/4633/cryptowatch/", "shell_version_map": {
"40": {"version": "10", "sha256": "1q980xnrarcvzfpvdkn1pwz436k296g7l9d813zrl40hq7dwjzh3", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgbGl2ZSBjcnlwdG8gcG9ydGZvbGlvIGJhbGFuY2VzLiIsCiAgImV4dGVuc2lvbi1pZCI6ICJjcnlwdG93YXRjaCIsCiAgImdldHRleHQtZG9tYWluIjogImNyeXB0b3dhdGNoIiwKICAibmFtZSI6ICJDcnlwdG9XYXRjaCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5jcnlwdG93YXRjaCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9kMHgyZi9DcnlwdG9XYXRjaCIsCiAgInV1aWQiOiAiY3J5cHRvd2F0Y2hAZDB4MmYuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAxMAp9"},
@@ -4011,10 +4026,10 @@
, {"uuid": "desktop-cube@schneegans.github.com", "name": "Desktop Cube", "pname": "desktop-cube", "description": "Indulge in nostalgia with useless 3D effects.", "link": "https://extensions.gnome.org/extension/4648/desktop-cube/", "shell_version_map": {
"40": {"version": "13", "sha256": "027jz7h07340ayqvzji8l33x2y7jxrzf64wr4gvh16dbgw1229fa", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkluZHVsZ2UgaW4gbm9zdGFsZ2lhIHdpdGggdXNlbGVzcyAzRCBlZmZlY3RzLiIsCiAgImdldHRleHQtZG9tYWluIjogImRlc2t0b3AtY3ViZSIsCiAgIm5hbWUiOiAiRGVza3RvcCBDdWJlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmRlc2t0b3AtY3ViZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9TY2huZWVnYW5zL0Rlc2t0b3AtQ3ViZSIsCiAgInV1aWQiOiAiZGVza3RvcC1jdWJlQHNjaG5lZWdhbnMuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAxMwp9"},
"41": {"version": "13", "sha256": "027jz7h07340ayqvzji8l33x2y7jxrzf64wr4gvh16dbgw1229fa", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkluZHVsZ2UgaW4gbm9zdGFsZ2lhIHdpdGggdXNlbGVzcyAzRCBlZmZlY3RzLiIsCiAgImdldHRleHQtZG9tYWluIjogImRlc2t0b3AtY3ViZSIsCiAgIm5hbWUiOiAiRGVza3RvcCBDdWJlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmRlc2t0b3AtY3ViZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9TY2huZWVnYW5zL0Rlc2t0b3AtQ3ViZSIsCiAgInV1aWQiOiAiZGVza3RvcC1jdWJlQHNjaG5lZWdhbnMuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAxMwp9"},
- "42": {"version": "21", "sha256": "1p0gk3gqc7vc85q8vdn8pibn2bdx1kb0frg323y5x1v7gwg63vg9", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkluZHVsZ2UgaW4gbm9zdGFsZ2lhIHdpdGggdXNlbGVzcyAzRCBlZmZlY3RzLiIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJnaXRodWIiOiAic2NobmVlZ2FucyIsCiAgICAia29maSI6ICJzY2huZWVnYW5zIiwKICAgICJwYXlwYWwiOiAic2ltb25zY2huZWVnYW5zIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogImRlc2t0b3AtY3ViZSIsCiAgIm5hbWUiOiAiRGVza3RvcCBDdWJlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmRlc2t0b3AtY3ViZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1NjaG5lZWdhbnMvRGVza3RvcC1DdWJlIiwKICAidXVpZCI6ICJkZXNrdG9wLWN1YmVAc2NobmVlZ2Fucy5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDIxCn0="},
- "43": {"version": "21", "sha256": "1p0gk3gqc7vc85q8vdn8pibn2bdx1kb0frg323y5x1v7gwg63vg9", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkluZHVsZ2UgaW4gbm9zdGFsZ2lhIHdpdGggdXNlbGVzcyAzRCBlZmZlY3RzLiIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJnaXRodWIiOiAic2NobmVlZ2FucyIsCiAgICAia29maSI6ICJzY2huZWVnYW5zIiwKICAgICJwYXlwYWwiOiAic2ltb25zY2huZWVnYW5zIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogImRlc2t0b3AtY3ViZSIsCiAgIm5hbWUiOiAiRGVza3RvcCBDdWJlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmRlc2t0b3AtY3ViZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1NjaG5lZWdhbnMvRGVza3RvcC1DdWJlIiwKICAidXVpZCI6ICJkZXNrdG9wLWN1YmVAc2NobmVlZ2Fucy5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDIxCn0="},
- "44": {"version": "21", "sha256": "1p0gk3gqc7vc85q8vdn8pibn2bdx1kb0frg323y5x1v7gwg63vg9", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkluZHVsZ2UgaW4gbm9zdGFsZ2lhIHdpdGggdXNlbGVzcyAzRCBlZmZlY3RzLiIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJnaXRodWIiOiAic2NobmVlZ2FucyIsCiAgICAia29maSI6ICJzY2huZWVnYW5zIiwKICAgICJwYXlwYWwiOiAic2ltb25zY2huZWVnYW5zIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogImRlc2t0b3AtY3ViZSIsCiAgIm5hbWUiOiAiRGVza3RvcCBDdWJlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmRlc2t0b3AtY3ViZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1NjaG5lZWdhbnMvRGVza3RvcC1DdWJlIiwKICAidXVpZCI6ICJkZXNrdG9wLWN1YmVAc2NobmVlZ2Fucy5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDIxCn0="},
- "45": {"version": "20", "sha256": "021bsg5xfzdp9rnw0fgzb7p1x4pnli8m2rgs1lqb3cqagphxa9qn", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkluZHVsZ2UgaW4gbm9zdGFsZ2lhIHdpdGggdXNlbGVzcyAzRCBlZmZlY3RzLiIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJnaXRodWIiOiAic2NobmVlZ2FucyIsCiAgICAia29maSI6ICJzY2huZWVnYW5zIiwKICAgICJwYXlwYWwiOiAic2ltb25zY2huZWVnYW5zIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogImRlc2t0b3AtY3ViZSIsCiAgIm5hbWUiOiAiRGVza3RvcCBDdWJlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmRlc2t0b3AtY3ViZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9TY2huZWVnYW5zL0Rlc2t0b3AtQ3ViZSIsCiAgInV1aWQiOiAiZGVza3RvcC1jdWJlQHNjaG5lZWdhbnMuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAyMAp9"}
+ "42": {"version": "23", "sha256": "02kgp6ihg8gkkhxprxd7m9i186i0l3sy954lp6s3aq23v2ya0yn0", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkluZHVsZ2UgaW4gbm9zdGFsZ2lhIHdpdGggdXNlbGVzcyAzRCBlZmZlY3RzLiIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJnaXRodWIiOiAic2NobmVlZ2FucyIsCiAgICAia29maSI6ICJzY2huZWVnYW5zIiwKICAgICJwYXlwYWwiOiAic2ltb25zY2huZWVnYW5zIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogImRlc2t0b3AtY3ViZSIsCiAgIm5hbWUiOiAiRGVza3RvcCBDdWJlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmRlc2t0b3AtY3ViZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1NjaG5lZWdhbnMvRGVza3RvcC1DdWJlIiwKICAidXVpZCI6ICJkZXNrdG9wLWN1YmVAc2NobmVlZ2Fucy5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDIzCn0="},
+ "43": {"version": "23", "sha256": "02kgp6ihg8gkkhxprxd7m9i186i0l3sy954lp6s3aq23v2ya0yn0", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkluZHVsZ2UgaW4gbm9zdGFsZ2lhIHdpdGggdXNlbGVzcyAzRCBlZmZlY3RzLiIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJnaXRodWIiOiAic2NobmVlZ2FucyIsCiAgICAia29maSI6ICJzY2huZWVnYW5zIiwKICAgICJwYXlwYWwiOiAic2ltb25zY2huZWVnYW5zIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogImRlc2t0b3AtY3ViZSIsCiAgIm5hbWUiOiAiRGVza3RvcCBDdWJlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmRlc2t0b3AtY3ViZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1NjaG5lZWdhbnMvRGVza3RvcC1DdWJlIiwKICAidXVpZCI6ICJkZXNrdG9wLWN1YmVAc2NobmVlZ2Fucy5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDIzCn0="},
+ "44": {"version": "23", "sha256": "02kgp6ihg8gkkhxprxd7m9i186i0l3sy954lp6s3aq23v2ya0yn0", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkluZHVsZ2UgaW4gbm9zdGFsZ2lhIHdpdGggdXNlbGVzcyAzRCBlZmZlY3RzLiIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJnaXRodWIiOiAic2NobmVlZ2FucyIsCiAgICAia29maSI6ICJzY2huZWVnYW5zIiwKICAgICJwYXlwYWwiOiAic2ltb25zY2huZWVnYW5zIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogImRlc2t0b3AtY3ViZSIsCiAgIm5hbWUiOiAiRGVza3RvcCBDdWJlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmRlc2t0b3AtY3ViZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1NjaG5lZWdhbnMvRGVza3RvcC1DdWJlIiwKICAidXVpZCI6ICJkZXNrdG9wLWN1YmVAc2NobmVlZ2Fucy5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDIzCn0="},
+ "45": {"version": "22", "sha256": "08hlrhm82y69wbdyms1xmp1sk4kq7jpk46kkqjj2y674484wc9m3", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkluZHVsZ2UgaW4gbm9zdGFsZ2lhIHdpdGggdXNlbGVzcyAzRCBlZmZlY3RzLiIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJnaXRodWIiOiAic2NobmVlZ2FucyIsCiAgICAia29maSI6ICJzY2huZWVnYW5zIiwKICAgICJwYXlwYWwiOiAic2ltb25zY2huZWVnYW5zIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogImRlc2t0b3AtY3ViZSIsCiAgIm5hbWUiOiAiRGVza3RvcCBDdWJlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmRlc2t0b3AtY3ViZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiLAogICAgIjQ2IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vU2NobmVlZ2Fucy9EZXNrdG9wLUN1YmUiLAogICJ1dWlkIjogImRlc2t0b3AtY3ViZUBzY2huZWVnYW5zLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMjIKfQ=="}
}}
, {"uuid": "notification-banner-reloaded@marcinjakubowski.github.com", "name": "Notification Banner Reloaded", "pname": "notification-banner-reloaded", "description": "Configure notification banner position and animation to your liking.\nVersion 9: Gnome 45 changes by mannjani@github\nVersion 10: mannjani@github added a test button inside prefs", "link": "https://extensions.gnome.org/extension/4651/notification-banner-reloaded/", "shell_version_map": {
"40": {"version": "8", "sha256": "1g0aa6xclyfbcaa4rccv5cgqmc6jr41yz821wvpa2rww64djl0jb", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNvbmZpZ3VyZSBub3RpZmljYXRpb24gYmFubmVyIHBvc2l0aW9uIGFuZCBhbmltYXRpb24gdG8geW91ciBsaWtpbmciLAogICJuYW1lIjogIk5vdGlmaWNhdGlvbiBCYW5uZXIgUmVsb2FkZWQiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMubm90aWZpY2F0aW9uLWJhbm5lci1yZWxvYWRlZCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWFyY2luamFrdWJvd3NraS9ub3RpZmljYXRpb24tcG9zaXRpb24tcmVsb2FkZWQiLAogICJ1dWlkIjogIm5vdGlmaWNhdGlvbi1iYW5uZXItcmVsb2FkZWRAbWFyY2luamFrdWJvd3NraS5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDgKfQ=="},
@@ -4080,13 +4095,13 @@
"41": {"version": "3", "sha256": "1dcy5kw5797wjjdg85hc16vh82c3xjs2xdn3m573rqs3fjayy507", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgc2ltcGxlIEluZGljYXRvciB0aGF0IHNob3dzIG15IGhvbWUtc2VydmVyIHN0YXR1cyAob25saW5lIC8gb2ZmbGluZSkgb24gdGhlIG1haW4gcGFuZWwuIEZ1cnRoZXJtb3JlIGEgd2FrZSBvbiBsYW4gY2FuIGJlIHRyaWdnZXJlZC4gRm9yIFdPTCBmdW5jdGlvbmFsaXR5LCBpdHMgbmVjZXNzYXJ5IHRoYXQgeW91IGhhdmUgJ3dha2VvbmxhbicgaW5zdGFsbGVkLiBUaGlzIGV4dGVuc2lvbiBpcyBvbmx5IHRlc3RlZCB3aXRoIEdub21lIDQwKy4gRGlkbnQgdGVzdCBpdCB5ZXQgd2l0aCBvbGRlciB2ZXJzaW9ucy4iLAogICJuYW1lIjogIlNlcnZlciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5TZXJ2ZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIKICBdLAogICJ1cmwiOiAiIiwKICAidXVpZCI6ICJTZXJ2ZXJAc3Zlbi5rcmFtZXIiLAogICJ2ZXJzaW9uIjogMwp9"}
}}
, {"uuid": "burn-my-windows@schneegans.github.com", "name": "Burn My Windows", "pname": "burn-my-windows", "description": "Disintegrate your windows with style.", "link": "https://extensions.gnome.org/extension/4679/burn-my-windows/", "shell_version_map": {
- "38": {"version": "40", "sha256": "18q7m8bh19dsacs5ziwgb70afvi2gppvymhq4l8m0ihppdzfa3xv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2ludGVncmF0ZSB5b3VyIHdpbmRvd3Mgd2l0aCBzdHlsZS4iLAogICJkb25hdGlvbnMiOiB7CiAgICAiZ2l0aHViIjogInNjaG5lZWdhbnMiLAogICAgImtvZmkiOiAic2NobmVlZ2FucyIsCiAgICAicGF5cGFsIjogInNpbW9uc2NobmVlZ2FucyIKICB9LAogICJnZXR0ZXh0LWRvbWFpbiI6ICJidXJuLW15LXdpbmRvd3MiLAogICJuYW1lIjogIkJ1cm4gTXkgV2luZG93cyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5idXJuLW15LXdpbmRvd3MiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1NjaG5lZWdhbnMvQnVybi1NeS1XaW5kb3dzIiwKICAidXVpZCI6ICJidXJuLW15LXdpbmRvd3NAc2NobmVlZ2Fucy5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDQwCn0="},
- "40": {"version": "40", "sha256": "18q7m8bh19dsacs5ziwgb70afvi2gppvymhq4l8m0ihppdzfa3xv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2ludGVncmF0ZSB5b3VyIHdpbmRvd3Mgd2l0aCBzdHlsZS4iLAogICJkb25hdGlvbnMiOiB7CiAgICAiZ2l0aHViIjogInNjaG5lZWdhbnMiLAogICAgImtvZmkiOiAic2NobmVlZ2FucyIsCiAgICAicGF5cGFsIjogInNpbW9uc2NobmVlZ2FucyIKICB9LAogICJnZXR0ZXh0LWRvbWFpbiI6ICJidXJuLW15LXdpbmRvd3MiLAogICJuYW1lIjogIkJ1cm4gTXkgV2luZG93cyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5idXJuLW15LXdpbmRvd3MiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1NjaG5lZWdhbnMvQnVybi1NeS1XaW5kb3dzIiwKICAidXVpZCI6ICJidXJuLW15LXdpbmRvd3NAc2NobmVlZ2Fucy5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDQwCn0="},
- "41": {"version": "40", "sha256": "18q7m8bh19dsacs5ziwgb70afvi2gppvymhq4l8m0ihppdzfa3xv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2ludGVncmF0ZSB5b3VyIHdpbmRvd3Mgd2l0aCBzdHlsZS4iLAogICJkb25hdGlvbnMiOiB7CiAgICAiZ2l0aHViIjogInNjaG5lZWdhbnMiLAogICAgImtvZmkiOiAic2NobmVlZ2FucyIsCiAgICAicGF5cGFsIjogInNpbW9uc2NobmVlZ2FucyIKICB9LAogICJnZXR0ZXh0LWRvbWFpbiI6ICJidXJuLW15LXdpbmRvd3MiLAogICJuYW1lIjogIkJ1cm4gTXkgV2luZG93cyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5idXJuLW15LXdpbmRvd3MiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1NjaG5lZWdhbnMvQnVybi1NeS1XaW5kb3dzIiwKICAidXVpZCI6ICJidXJuLW15LXdpbmRvd3NAc2NobmVlZ2Fucy5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDQwCn0="},
- "42": {"version": "40", "sha256": "18q7m8bh19dsacs5ziwgb70afvi2gppvymhq4l8m0ihppdzfa3xv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2ludGVncmF0ZSB5b3VyIHdpbmRvd3Mgd2l0aCBzdHlsZS4iLAogICJkb25hdGlvbnMiOiB7CiAgICAiZ2l0aHViIjogInNjaG5lZWdhbnMiLAogICAgImtvZmkiOiAic2NobmVlZ2FucyIsCiAgICAicGF5cGFsIjogInNpbW9uc2NobmVlZ2FucyIKICB9LAogICJnZXR0ZXh0LWRvbWFpbiI6ICJidXJuLW15LXdpbmRvd3MiLAogICJuYW1lIjogIkJ1cm4gTXkgV2luZG93cyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5idXJuLW15LXdpbmRvd3MiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1NjaG5lZWdhbnMvQnVybi1NeS1XaW5kb3dzIiwKICAidXVpZCI6ICJidXJuLW15LXdpbmRvd3NAc2NobmVlZ2Fucy5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDQwCn0="},
- "43": {"version": "40", "sha256": "18q7m8bh19dsacs5ziwgb70afvi2gppvymhq4l8m0ihppdzfa3xv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2ludGVncmF0ZSB5b3VyIHdpbmRvd3Mgd2l0aCBzdHlsZS4iLAogICJkb25hdGlvbnMiOiB7CiAgICAiZ2l0aHViIjogInNjaG5lZWdhbnMiLAogICAgImtvZmkiOiAic2NobmVlZ2FucyIsCiAgICAicGF5cGFsIjogInNpbW9uc2NobmVlZ2FucyIKICB9LAogICJnZXR0ZXh0LWRvbWFpbiI6ICJidXJuLW15LXdpbmRvd3MiLAogICJuYW1lIjogIkJ1cm4gTXkgV2luZG93cyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5idXJuLW15LXdpbmRvd3MiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1NjaG5lZWdhbnMvQnVybi1NeS1XaW5kb3dzIiwKICAidXVpZCI6ICJidXJuLW15LXdpbmRvd3NAc2NobmVlZ2Fucy5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDQwCn0="},
- "44": {"version": "40", "sha256": "18q7m8bh19dsacs5ziwgb70afvi2gppvymhq4l8m0ihppdzfa3xv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2ludGVncmF0ZSB5b3VyIHdpbmRvd3Mgd2l0aCBzdHlsZS4iLAogICJkb25hdGlvbnMiOiB7CiAgICAiZ2l0aHViIjogInNjaG5lZWdhbnMiLAogICAgImtvZmkiOiAic2NobmVlZ2FucyIsCiAgICAicGF5cGFsIjogInNpbW9uc2NobmVlZ2FucyIKICB9LAogICJnZXR0ZXh0LWRvbWFpbiI6ICJidXJuLW15LXdpbmRvd3MiLAogICJuYW1lIjogIkJ1cm4gTXkgV2luZG93cyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5idXJuLW15LXdpbmRvd3MiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1NjaG5lZWdhbnMvQnVybi1NeS1XaW5kb3dzIiwKICAidXVpZCI6ICJidXJuLW15LXdpbmRvd3NAc2NobmVlZ2Fucy5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDQwCn0="},
- "45": {"version": "39", "sha256": "0agrb9h78i5q45np8940830abz6fr93la2j804rpfcqx6f5cdlqm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2ludGVncmF0ZSB5b3VyIHdpbmRvd3Mgd2l0aCBzdHlsZS4iLAogICJkb25hdGlvbnMiOiB7CiAgICAiZ2l0aHViIjogInNjaG5lZWdhbnMiLAogICAgImtvZmkiOiAic2NobmVlZ2FucyIsCiAgICAicGF5cGFsIjogInNpbW9uc2NobmVlZ2FucyIKICB9LAogICJnZXR0ZXh0LWRvbWFpbiI6ICJidXJuLW15LXdpbmRvd3MiLAogICJuYW1lIjogIkJ1cm4gTXkgV2luZG93cyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5idXJuLW15LXdpbmRvd3MiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vU2NobmVlZ2Fucy9CdXJuLU15LVdpbmRvd3MiLAogICJ1dWlkIjogImJ1cm4tbXktd2luZG93c0BzY2huZWVnYW5zLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMzkKfQ=="}
+ "38": {"version": "42", "sha256": "1xyfpgdnl2fhvx0pw4f53h54smw6lj7w6pmxdp93wpz1h0mjh0ym", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2ludGVncmF0ZSB5b3VyIHdpbmRvd3Mgd2l0aCBzdHlsZS4iLAogICJkb25hdGlvbnMiOiB7CiAgICAiZ2l0aHViIjogInNjaG5lZWdhbnMiLAogICAgImtvZmkiOiAic2NobmVlZ2FucyIsCiAgICAicGF5cGFsIjogInNpbW9uc2NobmVlZ2FucyIKICB9LAogICJnZXR0ZXh0LWRvbWFpbiI6ICJidXJuLW15LXdpbmRvd3MiLAogICJuYW1lIjogIkJ1cm4gTXkgV2luZG93cyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5idXJuLW15LXdpbmRvd3MiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1NjaG5lZWdhbnMvQnVybi1NeS1XaW5kb3dzIiwKICAidXVpZCI6ICJidXJuLW15LXdpbmRvd3NAc2NobmVlZ2Fucy5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDQyCn0="},
+ "40": {"version": "42", "sha256": "1xyfpgdnl2fhvx0pw4f53h54smw6lj7w6pmxdp93wpz1h0mjh0ym", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2ludGVncmF0ZSB5b3VyIHdpbmRvd3Mgd2l0aCBzdHlsZS4iLAogICJkb25hdGlvbnMiOiB7CiAgICAiZ2l0aHViIjogInNjaG5lZWdhbnMiLAogICAgImtvZmkiOiAic2NobmVlZ2FucyIsCiAgICAicGF5cGFsIjogInNpbW9uc2NobmVlZ2FucyIKICB9LAogICJnZXR0ZXh0LWRvbWFpbiI6ICJidXJuLW15LXdpbmRvd3MiLAogICJuYW1lIjogIkJ1cm4gTXkgV2luZG93cyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5idXJuLW15LXdpbmRvd3MiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1NjaG5lZWdhbnMvQnVybi1NeS1XaW5kb3dzIiwKICAidXVpZCI6ICJidXJuLW15LXdpbmRvd3NAc2NobmVlZ2Fucy5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDQyCn0="},
+ "41": {"version": "42", "sha256": "1xyfpgdnl2fhvx0pw4f53h54smw6lj7w6pmxdp93wpz1h0mjh0ym", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2ludGVncmF0ZSB5b3VyIHdpbmRvd3Mgd2l0aCBzdHlsZS4iLAogICJkb25hdGlvbnMiOiB7CiAgICAiZ2l0aHViIjogInNjaG5lZWdhbnMiLAogICAgImtvZmkiOiAic2NobmVlZ2FucyIsCiAgICAicGF5cGFsIjogInNpbW9uc2NobmVlZ2FucyIKICB9LAogICJnZXR0ZXh0LWRvbWFpbiI6ICJidXJuLW15LXdpbmRvd3MiLAogICJuYW1lIjogIkJ1cm4gTXkgV2luZG93cyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5idXJuLW15LXdpbmRvd3MiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1NjaG5lZWdhbnMvQnVybi1NeS1XaW5kb3dzIiwKICAidXVpZCI6ICJidXJuLW15LXdpbmRvd3NAc2NobmVlZ2Fucy5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDQyCn0="},
+ "42": {"version": "42", "sha256": "1xyfpgdnl2fhvx0pw4f53h54smw6lj7w6pmxdp93wpz1h0mjh0ym", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2ludGVncmF0ZSB5b3VyIHdpbmRvd3Mgd2l0aCBzdHlsZS4iLAogICJkb25hdGlvbnMiOiB7CiAgICAiZ2l0aHViIjogInNjaG5lZWdhbnMiLAogICAgImtvZmkiOiAic2NobmVlZ2FucyIsCiAgICAicGF5cGFsIjogInNpbW9uc2NobmVlZ2FucyIKICB9LAogICJnZXR0ZXh0LWRvbWFpbiI6ICJidXJuLW15LXdpbmRvd3MiLAogICJuYW1lIjogIkJ1cm4gTXkgV2luZG93cyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5idXJuLW15LXdpbmRvd3MiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1NjaG5lZWdhbnMvQnVybi1NeS1XaW5kb3dzIiwKICAidXVpZCI6ICJidXJuLW15LXdpbmRvd3NAc2NobmVlZ2Fucy5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDQyCn0="},
+ "43": {"version": "42", "sha256": "1xyfpgdnl2fhvx0pw4f53h54smw6lj7w6pmxdp93wpz1h0mjh0ym", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2ludGVncmF0ZSB5b3VyIHdpbmRvd3Mgd2l0aCBzdHlsZS4iLAogICJkb25hdGlvbnMiOiB7CiAgICAiZ2l0aHViIjogInNjaG5lZWdhbnMiLAogICAgImtvZmkiOiAic2NobmVlZ2FucyIsCiAgICAicGF5cGFsIjogInNpbW9uc2NobmVlZ2FucyIKICB9LAogICJnZXR0ZXh0LWRvbWFpbiI6ICJidXJuLW15LXdpbmRvd3MiLAogICJuYW1lIjogIkJ1cm4gTXkgV2luZG93cyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5idXJuLW15LXdpbmRvd3MiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1NjaG5lZWdhbnMvQnVybi1NeS1XaW5kb3dzIiwKICAidXVpZCI6ICJidXJuLW15LXdpbmRvd3NAc2NobmVlZ2Fucy5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDQyCn0="},
+ "44": {"version": "42", "sha256": "1xyfpgdnl2fhvx0pw4f53h54smw6lj7w6pmxdp93wpz1h0mjh0ym", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2ludGVncmF0ZSB5b3VyIHdpbmRvd3Mgd2l0aCBzdHlsZS4iLAogICJkb25hdGlvbnMiOiB7CiAgICAiZ2l0aHViIjogInNjaG5lZWdhbnMiLAogICAgImtvZmkiOiAic2NobmVlZ2FucyIsCiAgICAicGF5cGFsIjogInNpbW9uc2NobmVlZ2FucyIKICB9LAogICJnZXR0ZXh0LWRvbWFpbiI6ICJidXJuLW15LXdpbmRvd3MiLAogICJuYW1lIjogIkJ1cm4gTXkgV2luZG93cyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5idXJuLW15LXdpbmRvd3MiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1NjaG5lZWdhbnMvQnVybi1NeS1XaW5kb3dzIiwKICAidXVpZCI6ICJidXJuLW15LXdpbmRvd3NAc2NobmVlZ2Fucy5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDQyCn0="},
+ "45": {"version": "41", "sha256": "0sbdsxs0i8yasrrzqyyc69jjc2f67ilkhqgvcmmy4cb7k1dm7idh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc2ludGVncmF0ZSB5b3VyIHdpbmRvd3Mgd2l0aCBzdHlsZS4iLAogICJkb25hdGlvbnMiOiB7CiAgICAiZ2l0aHViIjogInNjaG5lZWdhbnMiLAogICAgImtvZmkiOiAic2NobmVlZ2FucyIsCiAgICAicGF5cGFsIjogInNpbW9uc2NobmVlZ2FucyIKICB9LAogICJnZXR0ZXh0LWRvbWFpbiI6ICJidXJuLW15LXdpbmRvd3MiLAogICJuYW1lIjogIkJ1cm4gTXkgV2luZG93cyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5idXJuLW15LXdpbmRvd3MiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IiwKICAgICI0NiIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1NjaG5lZWdhbnMvQnVybi1NeS1XaW5kb3dzIiwKICAidXVpZCI6ICJidXJuLW15LXdpbmRvd3NAc2NobmVlZ2Fucy5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDQxCn0="}
}}
, {"uuid": "babar-lite@fthx", "name": "BaBar Lite", "pname": "babar-task-bar-lite-for-gnome-40", "description": "NOT MAINTAINED ANYMORE\nPLEASE USE:\nhttps://extensions.gnome.org/extension/6556/task-up/ (Babar-like)\nOR\nhttps://extensions.gnome.org/extension/5143/dashbar/ (Dash-like)\n\nTask bar. App grid, favorites, workspaces and tasks in panel. Light extension.\n\n Replace 'Activities' button by all current workspaces and apps buttons. Switch workspace/app or toggle overview by clicking on these buttons. Drag and drop favorite, task, dash item or app grid item to any workspace (you cannot reorder tasks inside a workspace). Change 'Places' label to an icon. No settings, use BaBar classic for that.\n\n You can use names for workspaces: there are two ways for that. 1) Edit the string array 'org.gnome.desktop.wm.preferences.workspace-names' gsettings key (through dconf editor, e.g.). 2) Use official GNOME extension Workspaces Indicator's settings. You don't have to write a long enough list: numbers are displayed if no workspace name is defined.", "link": "https://extensions.gnome.org/extension/4681/babar-task-bar-lite-for-gnome-40/", "shell_version_map": {
"40": {"version": "2", "sha256": "163g6mabkcmf05ja4bpj7kkc0c7rs4hsf5xyfm2z4npiscjha8n7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk5PVCBNQUlOVEFJTkVEIEFOWU1PUkVcblxuVGFzayBiYXIuIEFwcCBncmlkLCBmYXZvcml0ZXMsIHdvcmtzcGFjZXMgYW5kIHRhc2tzIGluIHBhbmVsLiBMaWdodCBleHRlbnNpb24uXG5cbiBSZXBsYWNlICdBY3Rpdml0aWVzJyBidXR0b24gYnkgYWxsIGN1cnJlbnQgd29ya3NwYWNlcyBhbmQgYXBwcyBidXR0b25zLiBTd2l0Y2ggd29ya3NwYWNlL2FwcCBvciB0b2dnbGUgb3ZlcnZpZXcgYnkgY2xpY2tpbmcgb24gdGhlc2UgYnV0dG9ucy4gRHJhZyBhbmQgZHJvcCBmYXZvcml0ZSwgdGFzaywgZGFzaCBpdGVtIG9yIGFwcCBncmlkIGl0ZW0gdG8gYW55IHdvcmtzcGFjZSAoeW91IGNhbm5vdCByZW9yZGVyIHRhc2tzIGluc2lkZSBhIHdvcmtzcGFjZSkuIENoYW5nZSAnUGxhY2VzJyBsYWJlbCB0byBhbiBpY29uLiBObyBzZXR0aW5ncywgdXNlIEJhQmFyIGNsYXNzaWMgZm9yIHRoYXQuXG5cbiBZb3UgY2FuIHVzZSBuYW1lcyBmb3Igd29ya3NwYWNlczogdGhlcmUgYXJlIHR3byB3YXlzIGZvciB0aGF0LiAxKSBFZGl0IHRoZSBzdHJpbmcgYXJyYXkgJ29yZy5nbm9tZS5kZXNrdG9wLndtLnByZWZlcmVuY2VzLndvcmtzcGFjZS1uYW1lcycgZ3NldHRpbmdzIGtleSAodGhyb3VnaCBkY29uZiBlZGl0b3IsIGUuZy4pLiAyKSBVc2Ugb2ZmaWNpYWwgR05PTUUgZXh0ZW5zaW9uIFdvcmtzcGFjZXMgSW5kaWNhdG9yJ3Mgc2V0dGluZ3MuIFlvdSBkb24ndCBoYXZlIHRvIHdyaXRlIGEgbG9uZyBlbm91Z2ggbGlzdDogbnVtYmVycyBhcmUgZGlzcGxheWVkIGlmIG5vIHdvcmtzcGFjZSBuYW1lIGlzIGRlZmluZWQuIiwKICAibmFtZSI6ICJCYUJhciBMaXRlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZnRoeC9iYWJhci1saXRlIiwKICAidXVpZCI6ICJiYWJhci1saXRlQGZ0aHgiLAogICJ2ZXJzaW9uIjogMgp9"},
@@ -4099,14 +4114,15 @@
"41": {"version": "12", "sha256": "12fdmnjsmr83q7qcl3nlmvgsw43jalnmcc9ffb8811l11gl0fxnm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZvciBhZXN0aGV0aWMgcHVycG9zZXMgYWRkcyB1c2VsZXNzIGdhcHMgYXJvdW5kIHRpbGVkIGFuZCBtYXhpbWl6ZWQgd2luZG93cyIsCiAgImdldHRleHQtZG9tYWluIjogInVzZWxlc3MtZ2FwcyIsCiAgIm5hbWUiOiAiVXNlbGVzcyBHYXBzIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnVzZWxlc3MtZ2FwcyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWlwbWlwL2dub21lLXNoZWxsLWV4dGVuc2lvbnMtdXNlbGVzcy1nYXBzIiwKICAidXVpZCI6ICJ1c2VsZXNzLWdhcHNAcGltc25lbC5jb20iLAogICJ2ZXJzaW9uIjogMTIKfQ=="},
"42": {"version": "12", "sha256": "12fdmnjsmr83q7qcl3nlmvgsw43jalnmcc9ffb8811l11gl0fxnm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZvciBhZXN0aGV0aWMgcHVycG9zZXMgYWRkcyB1c2VsZXNzIGdhcHMgYXJvdW5kIHRpbGVkIGFuZCBtYXhpbWl6ZWQgd2luZG93cyIsCiAgImdldHRleHQtZG9tYWluIjogInVzZWxlc3MtZ2FwcyIsCiAgIm5hbWUiOiAiVXNlbGVzcyBHYXBzIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnVzZWxlc3MtZ2FwcyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWlwbWlwL2dub21lLXNoZWxsLWV4dGVuc2lvbnMtdXNlbGVzcy1nYXBzIiwKICAidXVpZCI6ICJ1c2VsZXNzLWdhcHNAcGltc25lbC5jb20iLAogICJ2ZXJzaW9uIjogMTIKfQ=="},
"43": {"version": "12", "sha256": "12fdmnjsmr83q7qcl3nlmvgsw43jalnmcc9ffb8811l11gl0fxnm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZvciBhZXN0aGV0aWMgcHVycG9zZXMgYWRkcyB1c2VsZXNzIGdhcHMgYXJvdW5kIHRpbGVkIGFuZCBtYXhpbWl6ZWQgd2luZG93cyIsCiAgImdldHRleHQtZG9tYWluIjogInVzZWxlc3MtZ2FwcyIsCiAgIm5hbWUiOiAiVXNlbGVzcyBHYXBzIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnVzZWxlc3MtZ2FwcyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWlwbWlwL2dub21lLXNoZWxsLWV4dGVuc2lvbnMtdXNlbGVzcy1nYXBzIiwKICAidXVpZCI6ICJ1c2VsZXNzLWdhcHNAcGltc25lbC5jb20iLAogICJ2ZXJzaW9uIjogMTIKfQ=="},
- "44": {"version": "12", "sha256": "12fdmnjsmr83q7qcl3nlmvgsw43jalnmcc9ffb8811l11gl0fxnm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZvciBhZXN0aGV0aWMgcHVycG9zZXMgYWRkcyB1c2VsZXNzIGdhcHMgYXJvdW5kIHRpbGVkIGFuZCBtYXhpbWl6ZWQgd2luZG93cyIsCiAgImdldHRleHQtZG9tYWluIjogInVzZWxlc3MtZ2FwcyIsCiAgIm5hbWUiOiAiVXNlbGVzcyBHYXBzIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnVzZWxlc3MtZ2FwcyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWlwbWlwL2dub21lLXNoZWxsLWV4dGVuc2lvbnMtdXNlbGVzcy1nYXBzIiwKICAidXVpZCI6ICJ1c2VsZXNzLWdhcHNAcGltc25lbC5jb20iLAogICJ2ZXJzaW9uIjogMTIKfQ=="}
+ "44": {"version": "12", "sha256": "12fdmnjsmr83q7qcl3nlmvgsw43jalnmcc9ffb8811l11gl0fxnm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZvciBhZXN0aGV0aWMgcHVycG9zZXMgYWRkcyB1c2VsZXNzIGdhcHMgYXJvdW5kIHRpbGVkIGFuZCBtYXhpbWl6ZWQgd2luZG93cyIsCiAgImdldHRleHQtZG9tYWluIjogInVzZWxlc3MtZ2FwcyIsCiAgIm5hbWUiOiAiVXNlbGVzcyBHYXBzIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnVzZWxlc3MtZ2FwcyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWlwbWlwL2dub21lLXNoZWxsLWV4dGVuc2lvbnMtdXNlbGVzcy1nYXBzIiwKICAidXVpZCI6ICJ1c2VsZXNzLWdhcHNAcGltc25lbC5jb20iLAogICJ2ZXJzaW9uIjogMTIKfQ=="},
+ "45": {"version": "16", "sha256": "1rhvhapp81phvmdnwfg1393c2932qfi9i2jpynbd6v4hcv0qg52q", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZvciBhZXN0aGV0aWMgcHVycG9zZXMgYWRkcyB1c2VsZXNzIGdhcHMgYXJvdW5kIHRpbGVkIGFuZCBtYXhpbWl6ZWQgd2luZG93cyIsCiAgImdldHRleHQtZG9tYWluIjogInVzZWxlc3MtZ2FwcyIsCiAgIm5hbWUiOiAiVXNlbGVzcyBHYXBzIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnVzZWxlc3MtZ2FwcyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9taXBtaXAvZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucy11c2VsZXNzLWdhcHMiLAogICJ1dWlkIjogInVzZWxlc3MtZ2Fwc0BwaW1zbmVsLmNvbSIsCiAgInZlcnNpb24iOiAxNgp9"}
}}
, {"uuid": "serverstatus@footeware.ca", "name": "Server Status Indicator", "pname": "server-status-indicator", "description": "Indicator displaying status of entered web server urls, green for up, red for down.", "link": "https://extensions.gnome.org/extension/4687/server-status-indicator/", "shell_version_map": {
"40": {"version": "8", "sha256": "1mm2b6fwx58yvcq8fkxrkcb5bcm4ak8al5jw0939mwr8fjhgd13s", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkluZGljYXRvciBkaXNwbGF5aW5nIHN0YXR1cyBvZiBlbnRlcmVkIHdlYiBzZXJ2ZXIgdXJscywgZ3JlZW4gZm9yIHVwLCByZWQgZm9yIGRvd24uIiwKICAibmFtZSI6ICJTZXJ2ZXIgU3RhdHVzIEluZGljYXRvciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zZXJ2ZXJzdGF0dXMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MiIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0NyYWlnRm9vdGUvY2EuZm9vdGV3YXJlLmdub21lc2hlbGwuc2VydmVyc3RhdHVzLmdpdCIsCiAgInV1aWQiOiAic2VydmVyc3RhdHVzQGZvb3Rld2FyZS5jYSIsCiAgInZlcnNpb24iOiA4Cn0="},
"42": {"version": "8", "sha256": "1mm2b6fwx58yvcq8fkxrkcb5bcm4ak8al5jw0939mwr8fjhgd13s", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkluZGljYXRvciBkaXNwbGF5aW5nIHN0YXR1cyBvZiBlbnRlcmVkIHdlYiBzZXJ2ZXIgdXJscywgZ3JlZW4gZm9yIHVwLCByZWQgZm9yIGRvd24uIiwKICAibmFtZSI6ICJTZXJ2ZXIgU3RhdHVzIEluZGljYXRvciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zZXJ2ZXJzdGF0dXMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MiIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0NyYWlnRm9vdGUvY2EuZm9vdGV3YXJlLmdub21lc2hlbGwuc2VydmVyc3RhdHVzLmdpdCIsCiAgInV1aWQiOiAic2VydmVyc3RhdHVzQGZvb3Rld2FyZS5jYSIsCiAgInZlcnNpb24iOiA4Cn0="},
"43": {"version": "12", "sha256": "137wbizi57gpdp5dk38mxsi82ndrh11axj6h34spwyag000rlili", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkluZGljYXRvciBkaXNwbGF5aW5nIHN0YXR1cyBvZiBlbnRlcmVkIHdlYiBzZXJ2ZXIgdXJscywgZ3JlZW4gZm9yIHVwLCByZWQgZm9yIGRvd24uIiwKICAibmFtZSI6ICJTZXJ2ZXIgU3RhdHVzIEluZGljYXRvciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zZXJ2ZXJzdGF0dXMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0NyYWlnRm9vdGUvY2EuZm9vdGV3YXJlLmdub21lc2hlbGwuc2VydmVyc3RhdHVzLmdpdCIsCiAgInV1aWQiOiAic2VydmVyc3RhdHVzQGZvb3Rld2FyZS5jYSIsCiAgInZlcnNpb24iOiAxMgp9"},
"44": {"version": "12", "sha256": "137wbizi57gpdp5dk38mxsi82ndrh11axj6h34spwyag000rlili", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkluZGljYXRvciBkaXNwbGF5aW5nIHN0YXR1cyBvZiBlbnRlcmVkIHdlYiBzZXJ2ZXIgdXJscywgZ3JlZW4gZm9yIHVwLCByZWQgZm9yIGRvd24uIiwKICAibmFtZSI6ICJTZXJ2ZXIgU3RhdHVzIEluZGljYXRvciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zZXJ2ZXJzdGF0dXMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0NyYWlnRm9vdGUvY2EuZm9vdGV3YXJlLmdub21lc2hlbGwuc2VydmVyc3RhdHVzLmdpdCIsCiAgInV1aWQiOiAic2VydmVyc3RhdHVzQGZvb3Rld2FyZS5jYSIsCiAgInZlcnNpb24iOiAxMgp9"},
- "45": {"version": "22", "sha256": "1hxilmlzdz75i2c0ihwxz0hxd2n3z1bkpij97939q4wpzjl8kvbl", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkluZGljYXRvciBkaXNwbGF5aW5nIHN0YXR1cyBvZiBlbnRlcmVkIHdlYiBzZXJ2ZXIgdXJscywgZ3JlZW4gZm9yIHVwLCByZWQgZm9yIGRvd24uIiwKICAibmFtZSI6ICJTZXJ2ZXIgU3RhdHVzIEluZGljYXRvciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zZXJ2ZXJzdGF0dXMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vQ3JhaWdGb290ZS9jYS5mb290ZXdhcmUuZ25vbWVzaGVsbC5zZXJ2ZXJzdGF0dXMuZ2l0IiwKICAidXVpZCI6ICJzZXJ2ZXJzdGF0dXNAZm9vdGV3YXJlLmNhIiwKICAidmVyc2lvbiI6IDIyCn0="}
+ "45": {"version": "23", "sha256": "1lbfs3xfsmijyfdxmjy0vw9iy96z97cwx3c9n85jnxf4rcvj5yg7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkluZGljYXRvciBkaXNwbGF5aW5nIHN0YXR1cyBvZiBlbnRlcmVkIHdlYiBzZXJ2ZXIgdXJscywgZ3JlZW4gZm9yIHVwLCByZWQgZm9yIGRvd24uIiwKICAibmFtZSI6ICJTZXJ2ZXIgU3RhdHVzIEluZGljYXRvciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zZXJ2ZXJzdGF0dXMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IiwKICAgICI0NiIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0NyYWlnRm9vdGUvY2EuZm9vdGV3YXJlLmdub21lc2hlbGwuc2VydmVyc3RhdHVzLmdpdCIsCiAgInV1aWQiOiAic2VydmVyc3RhdHVzQGZvb3Rld2FyZS5jYSIsCiAgInZlcnNpb24iOiAyMwp9"}
}}
, {"uuid": "focus-follows-workspace@christopher.luebbemeier.gmail.com", "name": "Focus Follows Workspace", "pname": "focus-follows-workspace", "description": "Focus the primary monitor after switching workspaces via keyboard", "link": "https://extensions.gnome.org/extension/4688/focus-follows-workspace/", "shell_version_map": {
"41": {"version": "7", "sha256": "0bi73fpvkfj3y6f440fw5xv1294szhisa2qyzz4rwh7n4n49xhqf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZvY3VzIHRoZSBwcmltYXJ5IG1vbml0b3IgYWZ0ZXIgc3dpdGNoaW5nIHdvcmtzcGFjZXMgdmlhIGtleWJvYXJkIiwKICAibmFtZSI6ICJGb2N1cyBGb2xsb3dzIFdvcmtzcGFjZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9jaHJpc3RvcGhlci1sL2ZvY3VzLWZvbGxvd3Mtd29ya3NwYWNlIiwKICAidXVpZCI6ICJmb2N1cy1mb2xsb3dzLXdvcmtzcGFjZUBjaHJpc3RvcGhlci5sdWViYmVtZWllci5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogNwp9"},
@@ -4141,7 +4157,8 @@
"41": {"version": "9", "sha256": "06vgjcbmsg0xvrrrffafzdlj85w8fpqbin2xixk38p2w6skrh6fx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZ2hsaWdodHMgdGhlIGZvY3Vzc2VkIHdpbmRvdyB3aXRoIGEgdGVtcG9yYXJ5IGJvcmRlciIsCiAgImdldHRleHQtZG9tYWluIjogImhpZ2hsaWdoLWZvY3VzIiwKICAibmFtZSI6ICJIaWdobGlnaHQgRm9jdXMiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuaGlnaGxpZ2h0LWZvY3VzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9taXBtaXAvZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucy1oaWdobGlnaHQtZm9jdXMiLAogICJ1dWlkIjogImhpZ2hsaWdodC1mb2N1c0BwaW1zbmVsLmNvbSIsCiAgInZlcnNpb24iOiA5Cn0="},
"42": {"version": "9", "sha256": "06vgjcbmsg0xvrrrffafzdlj85w8fpqbin2xixk38p2w6skrh6fx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZ2hsaWdodHMgdGhlIGZvY3Vzc2VkIHdpbmRvdyB3aXRoIGEgdGVtcG9yYXJ5IGJvcmRlciIsCiAgImdldHRleHQtZG9tYWluIjogImhpZ2hsaWdoLWZvY3VzIiwKICAibmFtZSI6ICJIaWdobGlnaHQgRm9jdXMiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuaGlnaGxpZ2h0LWZvY3VzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9taXBtaXAvZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucy1oaWdobGlnaHQtZm9jdXMiLAogICJ1dWlkIjogImhpZ2hsaWdodC1mb2N1c0BwaW1zbmVsLmNvbSIsCiAgInZlcnNpb24iOiA5Cn0="},
"43": {"version": "9", "sha256": "06vgjcbmsg0xvrrrffafzdlj85w8fpqbin2xixk38p2w6skrh6fx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZ2hsaWdodHMgdGhlIGZvY3Vzc2VkIHdpbmRvdyB3aXRoIGEgdGVtcG9yYXJ5IGJvcmRlciIsCiAgImdldHRleHQtZG9tYWluIjogImhpZ2hsaWdoLWZvY3VzIiwKICAibmFtZSI6ICJIaWdobGlnaHQgRm9jdXMiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuaGlnaGxpZ2h0LWZvY3VzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9taXBtaXAvZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucy1oaWdobGlnaHQtZm9jdXMiLAogICJ1dWlkIjogImhpZ2hsaWdodC1mb2N1c0BwaW1zbmVsLmNvbSIsCiAgInZlcnNpb24iOiA5Cn0="},
- "44": {"version": "9", "sha256": "06vgjcbmsg0xvrrrffafzdlj85w8fpqbin2xixk38p2w6skrh6fx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZ2hsaWdodHMgdGhlIGZvY3Vzc2VkIHdpbmRvdyB3aXRoIGEgdGVtcG9yYXJ5IGJvcmRlciIsCiAgImdldHRleHQtZG9tYWluIjogImhpZ2hsaWdoLWZvY3VzIiwKICAibmFtZSI6ICJIaWdobGlnaHQgRm9jdXMiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuaGlnaGxpZ2h0LWZvY3VzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9taXBtaXAvZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucy1oaWdobGlnaHQtZm9jdXMiLAogICJ1dWlkIjogImhpZ2hsaWdodC1mb2N1c0BwaW1zbmVsLmNvbSIsCiAgInZlcnNpb24iOiA5Cn0="}
+ "44": {"version": "9", "sha256": "06vgjcbmsg0xvrrrffafzdlj85w8fpqbin2xixk38p2w6skrh6fx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZ2hsaWdodHMgdGhlIGZvY3Vzc2VkIHdpbmRvdyB3aXRoIGEgdGVtcG9yYXJ5IGJvcmRlciIsCiAgImdldHRleHQtZG9tYWluIjogImhpZ2hsaWdoLWZvY3VzIiwKICAibmFtZSI6ICJIaWdobGlnaHQgRm9jdXMiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuaGlnaGxpZ2h0LWZvY3VzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9taXBtaXAvZ25vbWUtc2hlbGwtZXh0ZW5zaW9ucy1oaWdobGlnaHQtZm9jdXMiLAogICJ1dWlkIjogImhpZ2hsaWdodC1mb2N1c0BwaW1zbmVsLmNvbSIsCiAgInZlcnNpb24iOiA5Cn0="},
+ "45": {"version": "11", "sha256": "184vx3axv8sgjkg240y4mwa2lv4syydmxm299gz9xg748siv1kks", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZ2hsaWdodHMgdGhlIGZvY3Vzc2VkIHdpbmRvdyB3aXRoIGEgdGVtcG9yYXJ5IGJvcmRlciIsCiAgImdldHRleHQtZG9tYWluIjogImhpZ2hsaWdoLWZvY3VzIiwKICAibmFtZSI6ICJIaWdobGlnaHQgRm9jdXMiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuaGlnaGxpZ2h0LWZvY3VzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL21pcG1pcC9nbm9tZS1zaGVsbC1leHRlbnNpb25zLWhpZ2hsaWdodC1mb2N1cyIsCiAgInV1aWQiOiAiaGlnaGxpZ2h0LWZvY3VzQHBpbXNuZWwuY29tIiwKICAidmVyc2lvbiI6IDExCn0="}
}}
, {"uuid": "awesome-tiles@velitasali.com", "name": "Awesome Tiles", "pname": "awesome-tiles", "description": "Tile windows using keyboard shortcuts.", "link": "https://extensions.gnome.org/extension/4702/awesome-tiles/", "shell_version_map": {
"40": {"version": "10", "sha256": "1q1y7ldiay5frw52fhfz7fs3jx3f23jkcir8ilji2hmkj64p0vkh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRpbGUgd2luZG93cyB1c2luZyBrZXlib2FyZCBzaG9ydGN1dHMuIiwKICAibmFtZSI6ICJBd2Vzb21lIFRpbGVzIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmF3ZXNvbWUtdGlsZXMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3ZlbGl0YXNhbGkvZ25vbWUtYXdlc29tZS10aWxlcy1leHRlbnNpb24iLAogICJ1dWlkIjogImF3ZXNvbWUtdGlsZXNAdmVsaXRhc2FsaS5jb20iLAogICJ2ZXJzaW9uIjogMTAKfQ=="},
@@ -4325,12 +4342,13 @@
"43": {"version": "25", "sha256": "1halrjfj7xahask8saqgijnirkyg8ch03wa3j89f0zfvwjdcbxpr", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIiogQWx0ICsgTW91c2UgY29udHJvbCB3aW5kb3dcbkRldGFpbGVkIGluc3RydWN0aW9ucyBhcmUgb24gdGhlIGhvbWUgcGFnZSBhbmQgaW4gY29uZmlnIGludGVyZmFjZS4gXG5EaXNhYmxlIGRlc2t0b3AgQmFja2dyb3VuZE1lbnUsIERpc2FibGUgUGFuZWwgZHJhZ01vZGUuIFxuQWRkIGEgZ2FwIGF0IHJpZ2h0IHNjcmVlbiBlZGdlLiBcbkFkZCBUb3AtTGVmdCBhbmQgVG9wLVJpZ2h0IGNvcm5lciBhcyBob3QgY29uZXIuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiYWx0LW1vdXNlIiwKICAibmFtZSI6ICJBbHQgTW91c2UiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYWx0LW1vdXNlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZWV4cHJlc3MvZ3MtYWx0LW1vdXNlIiwKICAidXVpZCI6ICJhbHQtbW91c2VAZWV4cHNzLmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiAyNQp9"},
"44": {"version": "25", "sha256": "1halrjfj7xahask8saqgijnirkyg8ch03wa3j89f0zfvwjdcbxpr", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIiogQWx0ICsgTW91c2UgY29udHJvbCB3aW5kb3dcbkRldGFpbGVkIGluc3RydWN0aW9ucyBhcmUgb24gdGhlIGhvbWUgcGFnZSBhbmQgaW4gY29uZmlnIGludGVyZmFjZS4gXG5EaXNhYmxlIGRlc2t0b3AgQmFja2dyb3VuZE1lbnUsIERpc2FibGUgUGFuZWwgZHJhZ01vZGUuIFxuQWRkIGEgZ2FwIGF0IHJpZ2h0IHNjcmVlbiBlZGdlLiBcbkFkZCBUb3AtTGVmdCBhbmQgVG9wLVJpZ2h0IGNvcm5lciBhcyBob3QgY29uZXIuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiYWx0LW1vdXNlIiwKICAibmFtZSI6ICJBbHQgTW91c2UiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYWx0LW1vdXNlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZWV4cHJlc3MvZ3MtYWx0LW1vdXNlIiwKICAidXVpZCI6ICJhbHQtbW91c2VAZWV4cHNzLmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiAyNQp9"}
}}
-, {"uuid": "workspace-switcher-manager@G-dH.github.com", "name": "Workspace Switcher Manager", "pname": "workspace-switcher-manager", "description": "Make the workspace switcher popup useful! Customize your workspace switcher behavior and the content, dimensions, position, orientation and colors of its popup indicator.\n\n- all GNOME workspace related options in one place\n- adds ws switcher Wraparoud and Ignore Last (empty) Workspace options\n- allows to disable or customize switcher popup\n- allows adding content to the workspace switcher popup - Workspace Name, Current Application Name, Workspace Index\n- ws switcher popup appearance customization includes position on screen, timings, size, colors , orientation", "link": "https://extensions.gnome.org/extension/4788/workspace-switcher-manager/", "shell_version_map": {
+, {"uuid": "workspace-switcher-manager@G-dH.github.com", "name": "WSM (Workspace Switcher Manager)", "pname": "workspace-switcher-manager", "description": "Make the workspace switcher popup useful! Customize your workspace switcher behavior and the content, dimensions, position, orientation and colors of its popup indicator.\n\n- all GNOME workspace related options in one place\n- adds ws switcher Wraparoud and Ignore Last (empty) Workspace options\n- allows to disable or customize switcher popup\n- allows adding content to the workspace switcher popup - Workspace Name, Current Application Name, Workspace Index\n- ws switcher popup appearance customization includes position on screen, timings, size, colors , orientation", "link": "https://extensions.gnome.org/extension/4788/workspace-switcher-manager/", "shell_version_map": {
"38": {"version": "9", "sha256": "1pd5a520rfnf4hcpdqxq7z5c7691qh07685as6s9ssvfdzhxxf61", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2UgdGhlIHdvcmtzcGFjZSBzd2l0Y2hlciBwb3B1cCB1c2VmdWwhIEN1c3RvbWl6ZSB5b3VyIHdvcmtzcGFjZSBzd2l0Y2hlciBiZWhhdmlvciBhbmQgdGhlIGNvbnRlbnQsIGRpbWVuc2lvbnMsIHBvc2l0aW9uLCBvcmllbnRhdGlvbiBhbmQgY29sb3JzIG9mIGl0cyBwb3B1cCBpbmRpY2F0b3IuXG5cbi0gYWxsIEdOT01FIHdvcmtzcGFjZSByZWxhdGVkIG9wdGlvbnMgYXQgb25lIHBsYWNlXG4tIGFsbG93cyB0byBzd2l0Y2ggd29ya3NwYWNlcyBvcmllbnRhdGlvbiB0byBob3Jpem9udGFsIG9yIHZlcnRpY2FsXG4tIGFkZHMgd29ya3NwYWNlIHN3aXRjaGVyICdXcmFwYXJvdWQnIGFuZCAnSWdub3JlIExhc3QgKGVtcHR5KSBXb3Jrc3BhY2UnIG9wdGlvbnNcbi0gYWxsb3dzIHRvIGRpc2FibGUgb3IgY3VzdG9taXplIHN3aXRjaGVyIHBvcHVwXG4tIGFsbG93cyBhZGRpbmcgY29udGVudCB0byB0aGUgd29ya3NwYWNlIHN3aXRjaGVyIHBvcHVwIC0gV29ya3NwYWNlIE5hbWUsIEN1cnJlbnQgQXBwbGljYXRpb24gTmFtZSwgV29ya3NwYWNlIEluZGV4XG4tIHdvcmtzcGFjZSBzd2l0Y2hlciBwb3B1cCBhcHBlYXJhbmNlIGN1c3RvbWl6YXRpb24gaW5jbHVkZXMgcG9zaXRpb24gb24gc2NyZWVuLCB0aW1pbmdzLCBzaXplLCBjb2xvcnMgLCBvcmllbnRhdGlvbi5cblxuUGxlYXNlLCByZXBvcnQgYnVncyBvbiB0aGUgR2l0SHViIHBhZ2UgbGlua2VkIGJlbG93LiIsCiAgImdldHRleHQtZG9tYWluIjogIndvcmtzcGFjZS1zd2l0Y2hlci1tYW5hZ2VyIiwKICAibmFtZSI6ICJXb3Jrc3BhY2UgU3dpdGNoZXIgTWFuYWdlciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy53b3Jrc3BhY2Utc3dpdGNoZXItbWFuYWdlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9HLWRIL3dvcmtzcGFjZS1zd2l0Y2hlci1tYW5hZ2VyIiwKICAidXVpZCI6ICJ3b3Jrc3BhY2Utc3dpdGNoZXItbWFuYWdlckBHLWRILmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogOQp9"},
"40": {"version": "9", "sha256": "1pd5a520rfnf4hcpdqxq7z5c7691qh07685as6s9ssvfdzhxxf61", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2UgdGhlIHdvcmtzcGFjZSBzd2l0Y2hlciBwb3B1cCB1c2VmdWwhIEN1c3RvbWl6ZSB5b3VyIHdvcmtzcGFjZSBzd2l0Y2hlciBiZWhhdmlvciBhbmQgdGhlIGNvbnRlbnQsIGRpbWVuc2lvbnMsIHBvc2l0aW9uLCBvcmllbnRhdGlvbiBhbmQgY29sb3JzIG9mIGl0cyBwb3B1cCBpbmRpY2F0b3IuXG5cbi0gYWxsIEdOT01FIHdvcmtzcGFjZSByZWxhdGVkIG9wdGlvbnMgYXQgb25lIHBsYWNlXG4tIGFsbG93cyB0byBzd2l0Y2ggd29ya3NwYWNlcyBvcmllbnRhdGlvbiB0byBob3Jpem9udGFsIG9yIHZlcnRpY2FsXG4tIGFkZHMgd29ya3NwYWNlIHN3aXRjaGVyICdXcmFwYXJvdWQnIGFuZCAnSWdub3JlIExhc3QgKGVtcHR5KSBXb3Jrc3BhY2UnIG9wdGlvbnNcbi0gYWxsb3dzIHRvIGRpc2FibGUgb3IgY3VzdG9taXplIHN3aXRjaGVyIHBvcHVwXG4tIGFsbG93cyBhZGRpbmcgY29udGVudCB0byB0aGUgd29ya3NwYWNlIHN3aXRjaGVyIHBvcHVwIC0gV29ya3NwYWNlIE5hbWUsIEN1cnJlbnQgQXBwbGljYXRpb24gTmFtZSwgV29ya3NwYWNlIEluZGV4XG4tIHdvcmtzcGFjZSBzd2l0Y2hlciBwb3B1cCBhcHBlYXJhbmNlIGN1c3RvbWl6YXRpb24gaW5jbHVkZXMgcG9zaXRpb24gb24gc2NyZWVuLCB0aW1pbmdzLCBzaXplLCBjb2xvcnMgLCBvcmllbnRhdGlvbi5cblxuUGxlYXNlLCByZXBvcnQgYnVncyBvbiB0aGUgR2l0SHViIHBhZ2UgbGlua2VkIGJlbG93LiIsCiAgImdldHRleHQtZG9tYWluIjogIndvcmtzcGFjZS1zd2l0Y2hlci1tYW5hZ2VyIiwKICAibmFtZSI6ICJXb3Jrc3BhY2UgU3dpdGNoZXIgTWFuYWdlciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy53b3Jrc3BhY2Utc3dpdGNoZXItbWFuYWdlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9HLWRIL3dvcmtzcGFjZS1zd2l0Y2hlci1tYW5hZ2VyIiwKICAidXVpZCI6ICJ3b3Jrc3BhY2Utc3dpdGNoZXItbWFuYWdlckBHLWRILmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogOQp9"},
"41": {"version": "9", "sha256": "1pd5a520rfnf4hcpdqxq7z5c7691qh07685as6s9ssvfdzhxxf61", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2UgdGhlIHdvcmtzcGFjZSBzd2l0Y2hlciBwb3B1cCB1c2VmdWwhIEN1c3RvbWl6ZSB5b3VyIHdvcmtzcGFjZSBzd2l0Y2hlciBiZWhhdmlvciBhbmQgdGhlIGNvbnRlbnQsIGRpbWVuc2lvbnMsIHBvc2l0aW9uLCBvcmllbnRhdGlvbiBhbmQgY29sb3JzIG9mIGl0cyBwb3B1cCBpbmRpY2F0b3IuXG5cbi0gYWxsIEdOT01FIHdvcmtzcGFjZSByZWxhdGVkIG9wdGlvbnMgYXQgb25lIHBsYWNlXG4tIGFsbG93cyB0byBzd2l0Y2ggd29ya3NwYWNlcyBvcmllbnRhdGlvbiB0byBob3Jpem9udGFsIG9yIHZlcnRpY2FsXG4tIGFkZHMgd29ya3NwYWNlIHN3aXRjaGVyICdXcmFwYXJvdWQnIGFuZCAnSWdub3JlIExhc3QgKGVtcHR5KSBXb3Jrc3BhY2UnIG9wdGlvbnNcbi0gYWxsb3dzIHRvIGRpc2FibGUgb3IgY3VzdG9taXplIHN3aXRjaGVyIHBvcHVwXG4tIGFsbG93cyBhZGRpbmcgY29udGVudCB0byB0aGUgd29ya3NwYWNlIHN3aXRjaGVyIHBvcHVwIC0gV29ya3NwYWNlIE5hbWUsIEN1cnJlbnQgQXBwbGljYXRpb24gTmFtZSwgV29ya3NwYWNlIEluZGV4XG4tIHdvcmtzcGFjZSBzd2l0Y2hlciBwb3B1cCBhcHBlYXJhbmNlIGN1c3RvbWl6YXRpb24gaW5jbHVkZXMgcG9zaXRpb24gb24gc2NyZWVuLCB0aW1pbmdzLCBzaXplLCBjb2xvcnMgLCBvcmllbnRhdGlvbi5cblxuUGxlYXNlLCByZXBvcnQgYnVncyBvbiB0aGUgR2l0SHViIHBhZ2UgbGlua2VkIGJlbG93LiIsCiAgImdldHRleHQtZG9tYWluIjogIndvcmtzcGFjZS1zd2l0Y2hlci1tYW5hZ2VyIiwKICAibmFtZSI6ICJXb3Jrc3BhY2UgU3dpdGNoZXIgTWFuYWdlciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy53b3Jrc3BhY2Utc3dpdGNoZXItbWFuYWdlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9HLWRIL3dvcmtzcGFjZS1zd2l0Y2hlci1tYW5hZ2VyIiwKICAidXVpZCI6ICJ3b3Jrc3BhY2Utc3dpdGNoZXItbWFuYWdlckBHLWRILmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogOQp9"},
- "42": {"version": "9", "sha256": "1pd5a520rfnf4hcpdqxq7z5c7691qh07685as6s9ssvfdzhxxf61", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2UgdGhlIHdvcmtzcGFjZSBzd2l0Y2hlciBwb3B1cCB1c2VmdWwhIEN1c3RvbWl6ZSB5b3VyIHdvcmtzcGFjZSBzd2l0Y2hlciBiZWhhdmlvciBhbmQgdGhlIGNvbnRlbnQsIGRpbWVuc2lvbnMsIHBvc2l0aW9uLCBvcmllbnRhdGlvbiBhbmQgY29sb3JzIG9mIGl0cyBwb3B1cCBpbmRpY2F0b3IuXG5cbi0gYWxsIEdOT01FIHdvcmtzcGFjZSByZWxhdGVkIG9wdGlvbnMgYXQgb25lIHBsYWNlXG4tIGFsbG93cyB0byBzd2l0Y2ggd29ya3NwYWNlcyBvcmllbnRhdGlvbiB0byBob3Jpem9udGFsIG9yIHZlcnRpY2FsXG4tIGFkZHMgd29ya3NwYWNlIHN3aXRjaGVyICdXcmFwYXJvdWQnIGFuZCAnSWdub3JlIExhc3QgKGVtcHR5KSBXb3Jrc3BhY2UnIG9wdGlvbnNcbi0gYWxsb3dzIHRvIGRpc2FibGUgb3IgY3VzdG9taXplIHN3aXRjaGVyIHBvcHVwXG4tIGFsbG93cyBhZGRpbmcgY29udGVudCB0byB0aGUgd29ya3NwYWNlIHN3aXRjaGVyIHBvcHVwIC0gV29ya3NwYWNlIE5hbWUsIEN1cnJlbnQgQXBwbGljYXRpb24gTmFtZSwgV29ya3NwYWNlIEluZGV4XG4tIHdvcmtzcGFjZSBzd2l0Y2hlciBwb3B1cCBhcHBlYXJhbmNlIGN1c3RvbWl6YXRpb24gaW5jbHVkZXMgcG9zaXRpb24gb24gc2NyZWVuLCB0aW1pbmdzLCBzaXplLCBjb2xvcnMgLCBvcmllbnRhdGlvbi5cblxuUGxlYXNlLCByZXBvcnQgYnVncyBvbiB0aGUgR2l0SHViIHBhZ2UgbGlua2VkIGJlbG93LiIsCiAgImdldHRleHQtZG9tYWluIjogIndvcmtzcGFjZS1zd2l0Y2hlci1tYW5hZ2VyIiwKICAibmFtZSI6ICJXb3Jrc3BhY2UgU3dpdGNoZXIgTWFuYWdlciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy53b3Jrc3BhY2Utc3dpdGNoZXItbWFuYWdlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9HLWRIL3dvcmtzcGFjZS1zd2l0Y2hlci1tYW5hZ2VyIiwKICAidXVpZCI6ICJ3b3Jrc3BhY2Utc3dpdGNoZXItbWFuYWdlckBHLWRILmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogOQp9"},
- "43": {"version": "9", "sha256": "1pd5a520rfnf4hcpdqxq7z5c7691qh07685as6s9ssvfdzhxxf61", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2UgdGhlIHdvcmtzcGFjZSBzd2l0Y2hlciBwb3B1cCB1c2VmdWwhIEN1c3RvbWl6ZSB5b3VyIHdvcmtzcGFjZSBzd2l0Y2hlciBiZWhhdmlvciBhbmQgdGhlIGNvbnRlbnQsIGRpbWVuc2lvbnMsIHBvc2l0aW9uLCBvcmllbnRhdGlvbiBhbmQgY29sb3JzIG9mIGl0cyBwb3B1cCBpbmRpY2F0b3IuXG5cbi0gYWxsIEdOT01FIHdvcmtzcGFjZSByZWxhdGVkIG9wdGlvbnMgYXQgb25lIHBsYWNlXG4tIGFsbG93cyB0byBzd2l0Y2ggd29ya3NwYWNlcyBvcmllbnRhdGlvbiB0byBob3Jpem9udGFsIG9yIHZlcnRpY2FsXG4tIGFkZHMgd29ya3NwYWNlIHN3aXRjaGVyICdXcmFwYXJvdWQnIGFuZCAnSWdub3JlIExhc3QgKGVtcHR5KSBXb3Jrc3BhY2UnIG9wdGlvbnNcbi0gYWxsb3dzIHRvIGRpc2FibGUgb3IgY3VzdG9taXplIHN3aXRjaGVyIHBvcHVwXG4tIGFsbG93cyBhZGRpbmcgY29udGVudCB0byB0aGUgd29ya3NwYWNlIHN3aXRjaGVyIHBvcHVwIC0gV29ya3NwYWNlIE5hbWUsIEN1cnJlbnQgQXBwbGljYXRpb24gTmFtZSwgV29ya3NwYWNlIEluZGV4XG4tIHdvcmtzcGFjZSBzd2l0Y2hlciBwb3B1cCBhcHBlYXJhbmNlIGN1c3RvbWl6YXRpb24gaW5jbHVkZXMgcG9zaXRpb24gb24gc2NyZWVuLCB0aW1pbmdzLCBzaXplLCBjb2xvcnMgLCBvcmllbnRhdGlvbi5cblxuUGxlYXNlLCByZXBvcnQgYnVncyBvbiB0aGUgR2l0SHViIHBhZ2UgbGlua2VkIGJlbG93LiIsCiAgImdldHRleHQtZG9tYWluIjogIndvcmtzcGFjZS1zd2l0Y2hlci1tYW5hZ2VyIiwKICAibmFtZSI6ICJXb3Jrc3BhY2UgU3dpdGNoZXIgTWFuYWdlciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy53b3Jrc3BhY2Utc3dpdGNoZXItbWFuYWdlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9HLWRIL3dvcmtzcGFjZS1zd2l0Y2hlci1tYW5hZ2VyIiwKICAidXVpZCI6ICJ3b3Jrc3BhY2Utc3dpdGNoZXItbWFuYWdlckBHLWRILmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogOQp9"},
+ "42": {"version": "13", "sha256": "0kx6q83x540w4drv07rivbbz8liv8n1mi4vaygi330hqannsy6ds", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2UgdGhlIHdvcmtzcGFjZSBzd2l0Y2hlciBwb3B1cCB1c2VmdWwhIEN1c3RvbWl6ZSB5b3VyIHdvcmtzcGFjZSBzd2l0Y2hlciBiZWhhdmlvciBhbmQgdGhlIGNvbnRlbnQsIGRpbWVuc2lvbnMsIHBvc2l0aW9uLCBvcmllbnRhdGlvbiBhbmQgY29sb3JzIG9mIGl0cyBwb3B1cCBpbmRpY2F0b3IuXG5cbi0gYWxsIEdOT01FIHdvcmtzcGFjZSByZWxhdGVkIG9wdGlvbnMgaW4gb25lIHBsYWNlXG4tIGFkZHMgd3Mgc3dpdGNoZXIgV3JhcGFyb3VkIGFuZCBJZ25vcmUgTGFzdCAoZW1wdHkpIFdvcmtzcGFjZSBvcHRpb25zXG4tIGFsbG93cyB0byBkaXNhYmxlIG9yIGN1c3RvbWl6ZSBzd2l0Y2hlciBwb3B1cFxuLSBhbGxvd3MgYWRkaW5nIGNvbnRlbnQgdG8gdGhlIHdvcmtzcGFjZSBzd2l0Y2hlciBwb3B1cCAtIFdvcmtzcGFjZSBOYW1lLCBDdXJyZW50IEFwcGxpY2F0aW9uIE5hbWUsIFdvcmtzcGFjZSBJbmRleFxuLSB3cyBzd2l0Y2hlciBwb3B1cCBhcHBlYXJhbmNlIGN1c3RvbWl6YXRpb24gaW5jbHVkZXMgcG9zaXRpb24gb24gc2NyZWVuLCB0aW1pbmdzLCBzaXplLCBjb2xvcnMgLCBvcmllbnRhdGlvbiIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJidXltZWFjb2ZmZWUiOiAiZ2VvcmdkaCIKICB9LAogICJnZXR0ZXh0LWRvbWFpbiI6ICJ3b3Jrc3BhY2Utc3dpdGNoZXItbWFuYWdlciIsCiAgIm5hbWUiOiAiV29ya3NwYWNlIFN3aXRjaGVyIE1hbmFnZXIiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMud29ya3NwYWNlLXN3aXRjaGVyLW1hbmFnZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9HLWRIL3dvcmtzcGFjZS1zd2l0Y2hlci1tYW5hZ2VyIiwKICAidXVpZCI6ICJ3b3Jrc3BhY2Utc3dpdGNoZXItbWFuYWdlckBHLWRILmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMTMsCiAgInZlcnNpb24tbmFtZSI6ICI0NC4xIgp9"},
+ "43": {"version": "13", "sha256": "0kx6q83x540w4drv07rivbbz8liv8n1mi4vaygi330hqannsy6ds", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2UgdGhlIHdvcmtzcGFjZSBzd2l0Y2hlciBwb3B1cCB1c2VmdWwhIEN1c3RvbWl6ZSB5b3VyIHdvcmtzcGFjZSBzd2l0Y2hlciBiZWhhdmlvciBhbmQgdGhlIGNvbnRlbnQsIGRpbWVuc2lvbnMsIHBvc2l0aW9uLCBvcmllbnRhdGlvbiBhbmQgY29sb3JzIG9mIGl0cyBwb3B1cCBpbmRpY2F0b3IuXG5cbi0gYWxsIEdOT01FIHdvcmtzcGFjZSByZWxhdGVkIG9wdGlvbnMgaW4gb25lIHBsYWNlXG4tIGFkZHMgd3Mgc3dpdGNoZXIgV3JhcGFyb3VkIGFuZCBJZ25vcmUgTGFzdCAoZW1wdHkpIFdvcmtzcGFjZSBvcHRpb25zXG4tIGFsbG93cyB0byBkaXNhYmxlIG9yIGN1c3RvbWl6ZSBzd2l0Y2hlciBwb3B1cFxuLSBhbGxvd3MgYWRkaW5nIGNvbnRlbnQgdG8gdGhlIHdvcmtzcGFjZSBzd2l0Y2hlciBwb3B1cCAtIFdvcmtzcGFjZSBOYW1lLCBDdXJyZW50IEFwcGxpY2F0aW9uIE5hbWUsIFdvcmtzcGFjZSBJbmRleFxuLSB3cyBzd2l0Y2hlciBwb3B1cCBhcHBlYXJhbmNlIGN1c3RvbWl6YXRpb24gaW5jbHVkZXMgcG9zaXRpb24gb24gc2NyZWVuLCB0aW1pbmdzLCBzaXplLCBjb2xvcnMgLCBvcmllbnRhdGlvbiIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJidXltZWFjb2ZmZWUiOiAiZ2VvcmdkaCIKICB9LAogICJnZXR0ZXh0LWRvbWFpbiI6ICJ3b3Jrc3BhY2Utc3dpdGNoZXItbWFuYWdlciIsCiAgIm5hbWUiOiAiV29ya3NwYWNlIFN3aXRjaGVyIE1hbmFnZXIiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMud29ya3NwYWNlLXN3aXRjaGVyLW1hbmFnZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9HLWRIL3dvcmtzcGFjZS1zd2l0Y2hlci1tYW5hZ2VyIiwKICAidXVpZCI6ICJ3b3Jrc3BhY2Utc3dpdGNoZXItbWFuYWdlckBHLWRILmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMTMsCiAgInZlcnNpb24tbmFtZSI6ICI0NC4xIgp9"},
+ "44": {"version": "13", "sha256": "0kx6q83x540w4drv07rivbbz8liv8n1mi4vaygi330hqannsy6ds", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2UgdGhlIHdvcmtzcGFjZSBzd2l0Y2hlciBwb3B1cCB1c2VmdWwhIEN1c3RvbWl6ZSB5b3VyIHdvcmtzcGFjZSBzd2l0Y2hlciBiZWhhdmlvciBhbmQgdGhlIGNvbnRlbnQsIGRpbWVuc2lvbnMsIHBvc2l0aW9uLCBvcmllbnRhdGlvbiBhbmQgY29sb3JzIG9mIGl0cyBwb3B1cCBpbmRpY2F0b3IuXG5cbi0gYWxsIEdOT01FIHdvcmtzcGFjZSByZWxhdGVkIG9wdGlvbnMgaW4gb25lIHBsYWNlXG4tIGFkZHMgd3Mgc3dpdGNoZXIgV3JhcGFyb3VkIGFuZCBJZ25vcmUgTGFzdCAoZW1wdHkpIFdvcmtzcGFjZSBvcHRpb25zXG4tIGFsbG93cyB0byBkaXNhYmxlIG9yIGN1c3RvbWl6ZSBzd2l0Y2hlciBwb3B1cFxuLSBhbGxvd3MgYWRkaW5nIGNvbnRlbnQgdG8gdGhlIHdvcmtzcGFjZSBzd2l0Y2hlciBwb3B1cCAtIFdvcmtzcGFjZSBOYW1lLCBDdXJyZW50IEFwcGxpY2F0aW9uIE5hbWUsIFdvcmtzcGFjZSBJbmRleFxuLSB3cyBzd2l0Y2hlciBwb3B1cCBhcHBlYXJhbmNlIGN1c3RvbWl6YXRpb24gaW5jbHVkZXMgcG9zaXRpb24gb24gc2NyZWVuLCB0aW1pbmdzLCBzaXplLCBjb2xvcnMgLCBvcmllbnRhdGlvbiIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJidXltZWFjb2ZmZWUiOiAiZ2VvcmdkaCIKICB9LAogICJnZXR0ZXh0LWRvbWFpbiI6ICJ3b3Jrc3BhY2Utc3dpdGNoZXItbWFuYWdlciIsCiAgIm5hbWUiOiAiV29ya3NwYWNlIFN3aXRjaGVyIE1hbmFnZXIiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMud29ya3NwYWNlLXN3aXRjaGVyLW1hbmFnZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9HLWRIL3dvcmtzcGFjZS1zd2l0Y2hlci1tYW5hZ2VyIiwKICAidXVpZCI6ICJ3b3Jrc3BhY2Utc3dpdGNoZXItbWFuYWdlckBHLWRILmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMTMsCiAgInZlcnNpb24tbmFtZSI6ICI0NC4xIgp9"},
"45": {"version": "12", "sha256": "15548k8jk4mkchp869fxbdnw7wpxfsq8sphgx3cf2b7dyw3rx1v6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2UgdGhlIHdvcmtzcGFjZSBzd2l0Y2hlciBwb3B1cCB1c2VmdWwhIEN1c3RvbWl6ZSB5b3VyIHdvcmtzcGFjZSBzd2l0Y2hlciBiZWhhdmlvciBhbmQgdGhlIGNvbnRlbnQsIGRpbWVuc2lvbnMsIHBvc2l0aW9uLCBvcmllbnRhdGlvbiBhbmQgY29sb3JzIG9mIGl0cyBwb3B1cCBpbmRpY2F0b3IuXG5cbi0gYWxsIEdOT01FIHdvcmtzcGFjZSByZWxhdGVkIG9wdGlvbnMgaW4gb25lIHBsYWNlXG4tIGFkZHMgd3Mgc3dpdGNoZXIgV3JhcGFyb3VkIGFuZCBJZ25vcmUgTGFzdCAoZW1wdHkpIFdvcmtzcGFjZSBvcHRpb25zXG4tIGFsbG93cyB0byBkaXNhYmxlIG9yIGN1c3RvbWl6ZSBzd2l0Y2hlciBwb3B1cFxuLSBhbGxvd3MgYWRkaW5nIGNvbnRlbnQgdG8gdGhlIHdvcmtzcGFjZSBzd2l0Y2hlciBwb3B1cCAtIFdvcmtzcGFjZSBOYW1lLCBDdXJyZW50IEFwcGxpY2F0aW9uIE5hbWUsIFdvcmtzcGFjZSBJbmRleFxuLSB3cyBzd2l0Y2hlciBwb3B1cCBhcHBlYXJhbmNlIGN1c3RvbWl6YXRpb24gaW5jbHVkZXMgcG9zaXRpb24gb24gc2NyZWVuLCB0aW1pbmdzLCBzaXplLCBjb2xvcnMgLCBvcmllbnRhdGlvbiIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJidXltZWFjb2ZmZWUiOiAiZ2VvcmdkaCIKICB9LAogICJnZXR0ZXh0LWRvbWFpbiI6ICJ3b3Jrc3BhY2Utc3dpdGNoZXItbWFuYWdlciIsCiAgIm5hbWUiOiAiV29ya3NwYWNlIFN3aXRjaGVyIE1hbmFnZXIiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMud29ya3NwYWNlLXN3aXRjaGVyLW1hbmFnZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IiwKICAgICI0NiIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0ctZEgvd29ya3NwYWNlLXN3aXRjaGVyLW1hbmFnZXIiLAogICJ1dWlkIjogIndvcmtzcGFjZS1zd2l0Y2hlci1tYW5hZ2VyQEctZEguZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAxMiwKICAidmVyc2lvbi1uYW1lIjogIjQ2LjEiCn0="}
}}
, {"uuid": "compare@eexpss.gmail.com", "name": "Compare or Open", "pname": "compare-filedir-from-clip", "description": "Copy/Select two Dirs/Files from anywhere such as `nautilus` or `gnome-terminal`, and then compare them (use `meld`) or open with Ctrl-O or open with context-menu.", "link": "https://extensions.gnome.org/extension/4789/compare-filedir-from-clip/", "shell_version_map": {
@@ -4343,7 +4361,7 @@
"42": {"version": "8", "sha256": "0ldkfawgpdzzicr7ja1v1lyjg38pw0mh2i91gm3bdf9wxln4d6j7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIHRvZ2dsZSB0byBlbmFibGUvZGlzYWJsZSBDUFUgZnJlcXVlbmN5IGJvb3N0IGluIEdub21lIFBvd2VyIFByb2ZpbGVzIG1lbnUuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZnJlcS1ib29zdC1zd2l0Y2hAbWV0YWwwMzMyNiIsCiAgIm5hbWUiOiAiRnJlcXVlbmN5IEJvb3N0IFN3aXRjaCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5mcmVxLWJvb3N0LXN3aXRjaCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDIiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9tZXRhbDAzMzI2L2dub21lLWZyZXF1ZW5jeS1ib29zdC1zd2l0Y2giLAogICJ1dWlkIjogImZyZXEtYm9vc3Qtc3dpdGNoQG1ldGFsMDMzMjYiLAogICJ2ZXJzaW9uIjogOAp9"},
"43": {"version": "9", "sha256": "0fj1bc209l2zz8cwllxm5nadd0hmvikf9d1ydfwc25l201czl68m", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIHRvZ2dsZSB0byBlbmFibGUvZGlzYWJsZSBDUFUgZnJlcXVlbmN5IGJvb3N0IGluIEdub21lIFBvd2VyIFByb2ZpbGVzIG1lbnUuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZnJlcS1ib29zdC1zd2l0Y2hAbWV0YWwwMzMyNiIsCiAgIm5hbWUiOiAiRnJlcXVlbmN5IEJvb3N0IFN3aXRjaCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5mcmVxLWJvb3N0LXN3aXRjaCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDMiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9tZXRhbDAzMzI2L2dub21lLWZyZXF1ZW5jeS1ib29zdC1zd2l0Y2giLAogICJ1dWlkIjogImZyZXEtYm9vc3Qtc3dpdGNoQG1ldGFsMDMzMjYiLAogICJ2ZXJzaW9uIjogOQp9"},
"44": {"version": "12", "sha256": "14kxvp230ls2g657yl4zxnsz1f3rn9m20ykrmqmvsjkhcmgkkq30", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIHRvZ2dsZSB0byBlbmFibGUvZGlzYWJsZSBDUFUgZnJlcXVlbmN5IGJvb3N0IGluIEdub21lIFBvd2VyIFByb2ZpbGVzIG1lbnUuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZnJlcS1ib29zdC1zd2l0Y2hAbWV0YWwwMzMyNiIsCiAgIm5hbWUiOiAiRnJlcXVlbmN5IEJvb3N0IFN3aXRjaCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5mcmVxLWJvb3N0LXN3aXRjaCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9tZXRhbDAzMzI2L2dub21lLWZyZXF1ZW5jeS1ib29zdC1zd2l0Y2giLAogICJ1dWlkIjogImZyZXEtYm9vc3Qtc3dpdGNoQG1ldGFsMDMzMjYiLAogICJ2ZXJzaW9uIjogMTIKfQ=="},
- "45": {"version": "15", "sha256": "1mv1sn1sl749yvjal3f53913x3sxrrnini4f6dj3cxgkb4kswd17", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIHRvZ2dsZSB0byBlbmFibGUvZGlzYWJsZSBDUFUgZnJlcXVlbmN5IGJvb3N0IGluIEdub21lIFF1aWNrIFNldHRpbmdzIG1lbnUuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZnJlcS1ib29zdC1zd2l0Y2hAbWV0YWwwMzMyNiIsCiAgIm5hbWUiOiAiRnJlcXVlbmN5IEJvb3N0IFN3aXRjaCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5mcmVxLWJvb3N0LXN3aXRjaCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9tZXRhbDAzMzI2L2dub21lLWZyZXF1ZW5jeS1ib29zdC1zd2l0Y2giLAogICJ1dWlkIjogImZyZXEtYm9vc3Qtc3dpdGNoQG1ldGFsMDMzMjYiLAogICJ2ZXJzaW9uIjogMTUKfQ=="}
+ "45": {"version": "19", "sha256": "08dy68x4g4i84lkns2hnbrax4y7d8m8z6k9qqhb120wjkz7x03vk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIHRvZ2dsZSB0byBlbmFibGUvZGlzYWJsZSBDUFUgZnJlcXVlbmN5IGJvb3N0IGluIEdub21lIFF1aWNrIFNldHRpbmdzIG1lbnUuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZnJlcS1ib29zdC1zd2l0Y2hAbWV0YWwwMzMyNiIsCiAgIm5hbWUiOiAiRnJlcXVlbmN5IEJvb3N0IFN3aXRjaCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5mcmVxLWJvb3N0LXN3aXRjaCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9tZXRhbDAzMzI2L2dub21lLWZyZXF1ZW5jeS1ib29zdC1zd2l0Y2giLAogICJ1dWlkIjogImZyZXEtYm9vc3Qtc3dpdGNoQG1ldGFsMDMzMjYiLAogICJ2ZXJzaW9uIjogMTkKfQ=="}
}}
, {"uuid": "pop-launcher-super-key@ManeLippert", "name": "Pop Launcher Super-Key", "pname": "pop-launcher-super-key", "description": "Fork of Pop COSMIC: Binds Pop Launcher on Super-Key when Pop COSMIC Extension is disabled. \n\nSince Pop!_OS moves to its own desktop enviroment (COSMIC DE) and I left linux behind me this extension will not get continued. If someone is willing to port the extension to Gnome 45, get in touch with me on the repository page on GitHub.\n\nIf you want to change the support manually, modify '~/.local/share/gnome-shell/extensions/pop-launcher-super-key@ManeLippert/metadata.json' under 'shell-version' add the desired version (e.g '44' for GNOME 44).", "link": "https://extensions.gnome.org/extension/4797/pop-launcher-super-key/", "shell_version_map": {
"38": {"version": "10", "sha256": "05qdfqiscminv560finl4qy6747n9r1n54h1yx7k648wwxb31s9s", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZvcmsgb2YgUG9wIENPU01JQzogQmluZHMgUG9wIExhdW5jaGVyIG9uIFN1cGVyLUtleSB3aGVuIFBvcCBDT1NNSUMgRXh0ZW5zaW9uIGlzIGRpc2FibGVkLiBcblxuU2luY2UgUG9wIV9PUyBtb3ZlcyB0byBpdHMgb3duIGRlc2t0b3AgZW52aXJvbWVudCAoQ09TTUlDIERFKSBhbmQgSSBsZWZ0IGxpbnV4IGJlaGluZCBtZSB0aGlzIGV4dGVuc2lvbiB3aWxsIG5vdCBnZXQgY29udGludWVkLiBcblxuSWYgeW91IHdhbnQgdG8gY2hhbmdlIHRoZSBzdXBwb3J0IG1hbnVhbGx5LCBtb2RpZnkgJ34vLmxvY2FsL3NoYXJlL2dub21lLXNoZWxsL2V4dGVuc2lvbnMvcG9wLWxhdW5jaGVyLXN1cGVyLWtleUBNYW5lTGlwcGVydC9tZXRhZGF0YS5qc29uJyB1bmRlciAnc2hlbGwtdmVyc2lvbicgYWRkIHRoZSBkZXNpcmVkIHZlcnNpb24gKGUuZyAnNDQnIGZvciBHTk9NRSA0NCkuIiwKICAibmFtZSI6ICJQb3AgTGF1bmNoZXIgU3VwZXItS2V5IiwKICAib3JpZ2luYWwtYXV0aG9ycyI6ICJTeXN0ZW03NiIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5wb3AtbGF1bmNoZXItc3VwZXIta2V5IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9NYW5lTGlwcGVydC9wb3AtbGF1bmNoZXItc3VwZXIta2V5IiwKICAidXVpZCI6ICJwb3AtbGF1bmNoZXItc3VwZXIta2V5QE1hbmVMaXBwZXJ0IiwKICAidmVyc2lvbiI6IDEwCn0="},
@@ -4358,7 +4376,7 @@
"42": {"version": "13", "sha256": "07l1vgv9zhyh8q2h0gnn69x7h8gfn8x9q34p9lab7w08s4zn2rnb", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkVuYWJsZS9EaXNhYmxlIGJhdHRlcnkgdGhyZXNob2xkIG9uIExlbm92byBUaGlua3BhZCBsYXB0b3BzLlxuXG5JZiB5b3UgbWFpbmx5IHVzZSB0aGUgc3lzdGVtIHdpdGggdGhlIEFDIHBvd2VyIGFkYXB0ZXIgY29ubmVjdGVkIGFuZCBvbmx5IHVzZSB0aGUgYmF0dGVyeSBzcG9yYWRpY2FsbHksIHlvdSBjYW4gaW5jcmVhc2UgYmF0dGVyeSBsaWZlIGJ5IHNldHRpbmcgdGhlIG1heGltdW0gY2hhcmdlIHZhbHVlIHRvIGxlc3MgdGhhbiAxMDAlLiBUaGlzIGlzIHVzZWZ1bCBiZWNhdXNlIGJhdHRlcmllcyB0aGF0IGFyZSB1c2VkIHNwb3JhZGljYWxseSBoYXZlIGEgbG9uZ2VyIGxpZmVzcGFuIHdoZW4ga2VwdCBhdCBsZXNzIHRoYW4gZnVsbCBjaGFyZ2UuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAidGhpbmtwYWQtYmF0dGVyeS10aHJlc2hvbGRAbWFyY29zZGFsdmFyZXoub3JnIiwKICAibmFtZSI6ICJUaGlua3BhZCBCYXR0ZXJ5IFRocmVzaG9sZCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy50aGlua3BhZC1iYXR0ZXJ5LXRocmVzaG9sZCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL21hcmNvc2RhbHZhcmV6L3RoaW5rcGFkLWJhdHRlcnktdGhyZXNob2xkLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAidGhpbmtwYWQtYmF0dGVyeS10aHJlc2hvbGRAbWFyY29zZGFsdmFyZXoub3JnIiwKICAidmVyc2lvbiI6IDEzCn0="},
"43": {"version": "34", "sha256": "0ii1pxbnfjjli8jj0fq1g1rlhzpbn1j9088jp0sjrxvdlrmksdzv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkVuYWJsZS9EaXNhYmxlIGJhdHRlcnkgdGhyZXNob2xkIG9uIExlbm92byBUaGlua3BhZCBsYXB0b3BzLlxuXG5JZiB5b3UgbWFpbmx5IHVzZSB0aGUgc3lzdGVtIHdpdGggdGhlIEFDIHBvd2VyIGFkYXB0ZXIgY29ubmVjdGVkIGFuZCBvbmx5IHVzZSB0aGUgYmF0dGVyeSBzcG9yYWRpY2FsbHksIHlvdSBjYW4gaW5jcmVhc2UgYmF0dGVyeSBsaWZlIGJ5IHNldHRpbmcgdGhlIG1heGltdW0gY2hhcmdlIHZhbHVlIHRvIGxlc3MgdGhhbiAxMDAlLiBUaGlzIGlzIHVzZWZ1bCBiZWNhdXNlIGJhdHRlcmllcyB0aGF0IGFyZSB1c2VkIHNwb3JhZGljYWxseSBoYXZlIGEgbG9uZ2VyIGxpZmVzcGFuIHdoZW4ga2VwdCBhdCBsZXNzIHRoYW4gZnVsbCBjaGFyZ2UuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAidGhpbmtwYWQtYmF0dGVyeS10aHJlc2hvbGRAbWFyY29zZGFsdmFyZXoub3JnIiwKICAibmFtZSI6ICJUaGlua3BhZCBCYXR0ZXJ5IFRocmVzaG9sZCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy50aGlua3BhZC1iYXR0ZXJ5LXRocmVzaG9sZCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vbWFyY29zZGFsdmFyZXovdGhpbmtwYWQtYmF0dGVyeS10aHJlc2hvbGQtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJ0aGlua3BhZC1iYXR0ZXJ5LXRocmVzaG9sZEBtYXJjb3NkYWx2YXJlei5vcmciLAogICJ2ZXJzaW9uIjogMzQKfQ=="},
"44": {"version": "34", "sha256": "0ii1pxbnfjjli8jj0fq1g1rlhzpbn1j9088jp0sjrxvdlrmksdzv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkVuYWJsZS9EaXNhYmxlIGJhdHRlcnkgdGhyZXNob2xkIG9uIExlbm92byBUaGlua3BhZCBsYXB0b3BzLlxuXG5JZiB5b3UgbWFpbmx5IHVzZSB0aGUgc3lzdGVtIHdpdGggdGhlIEFDIHBvd2VyIGFkYXB0ZXIgY29ubmVjdGVkIGFuZCBvbmx5IHVzZSB0aGUgYmF0dGVyeSBzcG9yYWRpY2FsbHksIHlvdSBjYW4gaW5jcmVhc2UgYmF0dGVyeSBsaWZlIGJ5IHNldHRpbmcgdGhlIG1heGltdW0gY2hhcmdlIHZhbHVlIHRvIGxlc3MgdGhhbiAxMDAlLiBUaGlzIGlzIHVzZWZ1bCBiZWNhdXNlIGJhdHRlcmllcyB0aGF0IGFyZSB1c2VkIHNwb3JhZGljYWxseSBoYXZlIGEgbG9uZ2VyIGxpZmVzcGFuIHdoZW4ga2VwdCBhdCBsZXNzIHRoYW4gZnVsbCBjaGFyZ2UuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAidGhpbmtwYWQtYmF0dGVyeS10aHJlc2hvbGRAbWFyY29zZGFsdmFyZXoub3JnIiwKICAibmFtZSI6ICJUaGlua3BhZCBCYXR0ZXJ5IFRocmVzaG9sZCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy50aGlua3BhZC1iYXR0ZXJ5LXRocmVzaG9sZCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vbWFyY29zZGFsdmFyZXovdGhpbmtwYWQtYmF0dGVyeS10aHJlc2hvbGQtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJ0aGlua3BhZC1iYXR0ZXJ5LXRocmVzaG9sZEBtYXJjb3NkYWx2YXJlei5vcmciLAogICJ2ZXJzaW9uIjogMzQKfQ=="},
- "45": {"version": "42", "sha256": "11l1pwh77l8d3f1yajh86y0hx97vw5d36aa4r725854hj0rqz941", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkVuYWJsZS9EaXNhYmxlIGJhdHRlcnkgdGhyZXNob2xkIG9uIExlbm92byBUaGlua3BhZCBsYXB0b3BzLlxuXG5JZiB5b3UgbWFpbmx5IHVzZSB0aGUgc3lzdGVtIHdpdGggdGhlIEFDIHBvd2VyIGFkYXB0ZXIgY29ubmVjdGVkIGFuZCBvbmx5IHVzZSB0aGUgYmF0dGVyeSBzcG9yYWRpY2FsbHksIHlvdSBjYW4gaW5jcmVhc2UgYmF0dGVyeSBsaWZlIGJ5IHNldHRpbmcgdGhlIG1heGltdW0gY2hhcmdlIHZhbHVlIHRvIGxlc3MgdGhhbiAxMDAlLiBUaGlzIGlzIHVzZWZ1bCBiZWNhdXNlIGJhdHRlcmllcyB0aGF0IGFyZSB1c2VkIHNwb3JhZGljYWxseSBoYXZlIGEgbG9uZ2VyIGxpZmVzcGFuIHdoZW4ga2VwdCBhdCBsZXNzIHRoYW4gZnVsbCBjaGFyZ2UuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAidGhpbmtwYWQtYmF0dGVyeS10aHJlc2hvbGRAbWFyY29zZGFsdmFyZXoub3JnIiwKICAibmFtZSI6ICJUaGlua3BhZCBCYXR0ZXJ5IFRocmVzaG9sZCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy50aGlua3BhZC1iYXR0ZXJ5LXRocmVzaG9sZCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9tYXJjb3NkYWx2YXJlei90aGlua3BhZC1iYXR0ZXJ5LXRocmVzaG9sZC1leHRlbnNpb24iLAogICJ1dWlkIjogInRoaW5rcGFkLWJhdHRlcnktdGhyZXNob2xkQG1hcmNvc2RhbHZhcmV6Lm9yZyIsCiAgInZlcnNpb24iOiA0Mgp9"}
+ "45": {"version": "43", "sha256": "1hk6l2w1n56n406inl7q9phlggpsxy6sgqsqw7j9nm76snkhd582", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkVuYWJsZS9EaXNhYmxlIGJhdHRlcnkgdGhyZXNob2xkIG9uIExlbm92byBUaGlua3BhZCBsYXB0b3BzLlxuXG5JZiB5b3UgbWFpbmx5IHVzZSB0aGUgc3lzdGVtIHdpdGggdGhlIEFDIHBvd2VyIGFkYXB0ZXIgY29ubmVjdGVkIGFuZCBvbmx5IHVzZSB0aGUgYmF0dGVyeSBzcG9yYWRpY2FsbHksIHlvdSBjYW4gaW5jcmVhc2UgYmF0dGVyeSBsaWZlIGJ5IHNldHRpbmcgdGhlIG1heGltdW0gY2hhcmdlIHZhbHVlIHRvIGxlc3MgdGhhbiAxMDAlLiBUaGlzIGlzIHVzZWZ1bCBiZWNhdXNlIGJhdHRlcmllcyB0aGF0IGFyZSB1c2VkIHNwb3JhZGljYWxseSBoYXZlIGEgbG9uZ2VyIGxpZmVzcGFuIHdoZW4ga2VwdCBhdCBsZXNzIHRoYW4gZnVsbCBjaGFyZ2UuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAidGhpbmtwYWQtYmF0dGVyeS10aHJlc2hvbGRAbWFyY29zZGFsdmFyZXoub3JnIiwKICAibmFtZSI6ICJUaGlua3BhZCBCYXR0ZXJ5IFRocmVzaG9sZCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy50aGlua3BhZC1iYXR0ZXJ5LXRocmVzaG9sZCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9tYXJjb3NkYWx2YXJlei90aGlua3BhZC1iYXR0ZXJ5LXRocmVzaG9sZC1leHRlbnNpb24iLAogICJ1dWlkIjogInRoaW5rcGFkLWJhdHRlcnktdGhyZXNob2xkQG1hcmNvc2RhbHZhcmV6Lm9yZyIsCiAgInZlcnNpb24iOiA0Mwp9"}
}}
, {"uuid": "lock-screen-message@advendradeswanta.gitlab.com", "name": "Lock Screen Message", "pname": "lock-screen-message", "description": "Simple extension that let's you add your message to the lock screen (unlockDialog)", "link": "https://extensions.gnome.org/extension/4801/lock-screen-message/", "shell_version_map": {
"40": {"version": "3", "sha256": "0hkr6gm7kr69fc4zjb8rddwj75jpbpvqz4wpkfl659wjn4980s3c", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXBsZSBleHRlbnNpb24gdGhhdCBsZXQncyB5b3UgYWRkIHlvdXIgbWVzc2FnZSB0byB0aGUgbG9jayBzY3JlZW4gKHVubG9ja0RpYWxvZykiLAogICJuYW1lIjogIkxvY2sgU2NyZWVuIE1lc3NhZ2UiLAogICJzZXNzaW9uLW1vZGVzIjogWwogICAgInVzZXIiLAogICAgInVubG9jay1kaWFsb2ciCiAgXSwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmxvY2stc2NyZWVuLW1lc3NhZ2UiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9BZHZlbmRyYURlc3dhbnRhL2xvY2stc2NyZWVuLW1lc3NhZ2UiLAogICJ1dWlkIjogImxvY2stc2NyZWVuLW1lc3NhZ2VAYWR2ZW5kcmFkZXN3YW50YS5naXRsYWIuY29tIiwKICAidmVyc2lvbiI6IDMKfQ=="},
@@ -4533,7 +4551,7 @@
"41": {"version": "3", "sha256": "1cp0gyj8kms59p9l5wn2z0p4g9rfyn79wxv806gllqmwql6xrm4x", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJpZ2h0IGNsaWNrIGF0IHRvcCBsZWZ0IGNvcm5lciB0byBicmluZyB1cCBhcHBzIG1lbnUuIiwKICAibmFtZSI6ICJVc2FibGUgT3ZlcnZpZXciLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWVjaHRpZnMvdXNhYmxlLW92ZXJ2aWV3IiwKICAidXVpZCI6ICJ1c2FibGUtb3ZlcnZpZXdAbWVjaHRpZnMiLAogICJ2ZXJzaW9uIjogMwp9"},
"42": {"version": "3", "sha256": "1cp0gyj8kms59p9l5wn2z0p4g9rfyn79wxv806gllqmwql6xrm4x", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJpZ2h0IGNsaWNrIGF0IHRvcCBsZWZ0IGNvcm5lciB0byBicmluZyB1cCBhcHBzIG1lbnUuIiwKICAibmFtZSI6ICJVc2FibGUgT3ZlcnZpZXciLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWVjaHRpZnMvdXNhYmxlLW92ZXJ2aWV3IiwKICAidXVpZCI6ICJ1c2FibGUtb3ZlcnZpZXdAbWVjaHRpZnMiLAogICJ2ZXJzaW9uIjogMwp9"},
"43": {"version": "3", "sha256": "1cp0gyj8kms59p9l5wn2z0p4g9rfyn79wxv806gllqmwql6xrm4x", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJpZ2h0IGNsaWNrIGF0IHRvcCBsZWZ0IGNvcm5lciB0byBicmluZyB1cCBhcHBzIG1lbnUuIiwKICAibmFtZSI6ICJVc2FibGUgT3ZlcnZpZXciLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWVjaHRpZnMvdXNhYmxlLW92ZXJ2aWV3IiwKICAidXVpZCI6ICJ1c2FibGUtb3ZlcnZpZXdAbWVjaHRpZnMiLAogICJ2ZXJzaW9uIjogMwp9"},
- "45": {"version": "4", "sha256": "1vf8pq29sx1pfjs79j34il654sp93id9p6110qlx5yskg65qcmq1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJpZ2h0IGNsaWNrIGF0IHRvcCBsZWZ0IGNvcm5lciB0byBicmluZyB1cCBhcHBzIG1lbnUuIiwKICAibmFtZSI6ICJVc2FibGUgT3ZlcnZpZXciLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWVjaHRpZnMvdXNhYmxlLW92ZXJ2aWV3IiwKICAidXVpZCI6ICJ1c2FibGUtb3ZlcnZpZXdAbWVjaHRpZnMiLAogICJ2ZXJzaW9uIjogNAp9"}
+ "45": {"version": "6", "sha256": "0hg06924jh6aqma2dlnfnj7hrrxlzwf9njrxbxr473ddqp4sxi76", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJpZ2h0IGNsaWNrIGF0IHRvcCBsZWZ0IGNvcm5lciB0byBicmluZyB1cCBhcHBzIG1lbnUuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXVzYWJsZS1vdmVydmlldyIsCiAgIm5hbWUiOiAiVXNhYmxlIE92ZXJ2aWV3IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnVzYWJsZS1vdmVydmlldyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiLAogICAgIjQ2IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWVjaHRpZnMvdXNhYmxlLW92ZXJ2aWV3IiwKICAidXVpZCI6ICJ1c2FibGUtb3ZlcnZpZXdAbWVjaHRpZnMiLAogICJ2ZXJzaW9uIjogNgp9"}
}}
, {"uuid": "overview-clicking@mechtifs", "name": "Overview Clicking", "pname": "overview-clicking", "description": "Close the overview or show up application grid by left/right clicking empty space. Forked from click-to-close-overview@l3nn4rt.github.io.", "link": "https://extensions.gnome.org/extension/4898/overview-clicking/", "shell_version_map": {
"40": {"version": "1", "sha256": "10f08rn9m66ynrs5jsgxg4qzrimcjdslgs36s8fgcblr5r31kw8i", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNsb3NlIHRoZSBvdmVydmlldyBvciBzaG93IHVwIGFwcGxpY2F0aW9uIGdyaWQgYnkgbGVmdC9yaWdodCBjbGlja2luZyBlbXB0eSBzcGFjZS4gRm9ya2VkIGZyb20gY2xpY2stdG8tY2xvc2Utb3ZlcnZpZXdAbDNubjRydC5naXRodWIuaW8uIiwKICAibmFtZSI6ICJPdmVydmlldyBDbGlja2luZyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL21lY2h0aWZzL292ZXJ2aWV3LWNsaWNraW5nIiwKICAidXVpZCI6ICJvdmVydmlldy1jbGlja2luZ0BtZWNodGlmcyIsCiAgInZlcnNpb24iOiAxCn0="},
@@ -4583,14 +4601,14 @@
"43": {"version": "10", "sha256": "12hnxf90z6vc4clr2h9sp3zy764fda3rqrnzry00l1waydzcw83d", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIiMjIG1vbml0b3IgZ2l0IGRpcmVjdG9yeSBmb3IgY2hhbmdlcy5cbj4gV29ya2luZyB3aXRoIGEgbG90IG9mIGdpdCBkaXJlY3RvcmllcywgSSBhbHdheXMgZm9yZ290IGNvbW1pdC5cbiMjIyBQYW5lbCBJY29uXG4tIE1vdXNlIDIsIGZvcmNlIHJlZnJlc2ggKHJlLXJlYWQgY29uZmlnIGZpbGUsIGNoZWNrIGdpdCBkaXJzLCByZWZyZXNoIG1lbnUpLlxuLSBNb3VzZSAzLCBvcGVuIGNvbmZpZ2ZpbGUuIGNvbmZpZyBmaWxlIGlzIGB+Ly5jb25maWcvZ2l0LW1vbml0b3IuanNvbmAuXG4jIyMgTWVudSBJdGVtXG4tIERpcmVjdG9yeSBhbmQgRmlsZXM6IE1vdXNlIDEsIG9wZW5lZCB3aXRoIGBnaXQgZGlmZnRvb2xgLCBlZyBgbWVsZGAuIE1vdXNlIDMsIHN5c3RlbSBvcGVuLiIsCiAgIm5hbWUiOiAiR2l0IE1vbml0b3IiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2VleHByZXNzL2dzLWdpdCIsCiAgInV1aWQiOiAiZ2l0QGVleHBzcy5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMTAKfQ=="},
"44": {"version": "10", "sha256": "12hnxf90z6vc4clr2h9sp3zy764fda3rqrnzry00l1waydzcw83d", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIiMjIG1vbml0b3IgZ2l0IGRpcmVjdG9yeSBmb3IgY2hhbmdlcy5cbj4gV29ya2luZyB3aXRoIGEgbG90IG9mIGdpdCBkaXJlY3RvcmllcywgSSBhbHdheXMgZm9yZ290IGNvbW1pdC5cbiMjIyBQYW5lbCBJY29uXG4tIE1vdXNlIDIsIGZvcmNlIHJlZnJlc2ggKHJlLXJlYWQgY29uZmlnIGZpbGUsIGNoZWNrIGdpdCBkaXJzLCByZWZyZXNoIG1lbnUpLlxuLSBNb3VzZSAzLCBvcGVuIGNvbmZpZ2ZpbGUuIGNvbmZpZyBmaWxlIGlzIGB+Ly5jb25maWcvZ2l0LW1vbml0b3IuanNvbmAuXG4jIyMgTWVudSBJdGVtXG4tIERpcmVjdG9yeSBhbmQgRmlsZXM6IE1vdXNlIDEsIG9wZW5lZCB3aXRoIGBnaXQgZGlmZnRvb2xgLCBlZyBgbWVsZGAuIE1vdXNlIDMsIHN5c3RlbSBvcGVuLiIsCiAgIm5hbWUiOiAiR2l0IE1vbml0b3IiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2VleHByZXNzL2dzLWdpdCIsCiAgInV1aWQiOiAiZ2l0QGVleHBzcy5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMTAKfQ=="}
}}
-, {"uuid": "mprisLabel@moon-0xff.github.com", "name": "Media Label and Controls (Mpris Label)", "pname": "mpris-label", "description": "Display a label in your panel with the song/title/album/artist information available from an mpris compatible player. You can also control the player, raise/lower its volume, customize the label, and a lot more! This extension works with Spotify, Vlc, Rhythmbox, Firefox, Chromium, and (probably) any MPRIS compatible player.", "link": "https://extensions.gnome.org/extension/4928/mpris-label/", "shell_version_map": {
+, {"uuid": "mprisLabel@moon-0xff.github.com", "name": "Media Label and Controls (Mpris Label)", "pname": "mpris-label", "description": "Display a label in your panel with the song/title/album/artist information available from an mpris compatible player. You can also control the player, raise/lower its volume, customize the label, and a lot more! This extension works with Spotify, Vlc, Rhythmbox, Firefox, Chromium, and (probably) any MPRIS compatible player.\n\nThe newest update (for GNOME 43,44 and 45):\n- Solves various problems triggered by an empty `Identity` field on a player\n- Allows binding the action 'Next/Prev track' to scroll up/down\n- Allows different font colors for the label text\nNote: Version 26 for GNOME 45 users already included the `Identity` fixes", "link": "https://extensions.gnome.org/extension/4928/mpris-label/", "shell_version_map": {
"38": {"version": "18", "sha256": "1wbmzrkx8vjnr4jdgrqp2i3i7qs0dqb8nww15vh4q91f99f89cp0", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgaW4geW91ciBwYW5lbCB0aGUgKHNvbmcpdGl0bGUsIGFsYnVtIGFuZC9vciBhcnRpc3QgbmFtZSBhdmFpbGFibGUgZnJvbSBhbiBtcHJpcyBjb21wYXRpYmxlIG1lZGlhIHBsYXllciwgc3VjaCBhcyBTcG90aWZ5LCBWbGMsIFJoeXRobWJveCwgRmlyZWZveCwgQ2hyb21pdW0gYW5kIG1hbnkgbW9yZS4gTG90cyBvZiBmZWF0dXJlcyBhbmQgdmVyeSBjdXN0b21pemFibGUhIiwKICAibmFtZSI6ICJNcHJpcyBMYWJlbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vTW9vbi0weGZmL2dub21lLW1wcmlzLWxhYmVsIiwKICAidXVpZCI6ICJtcHJpc0xhYmVsQG1vb24tMHhmZi5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDE4Cn0="},
"40": {"version": "18", "sha256": "1wbmzrkx8vjnr4jdgrqp2i3i7qs0dqb8nww15vh4q91f99f89cp0", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgaW4geW91ciBwYW5lbCB0aGUgKHNvbmcpdGl0bGUsIGFsYnVtIGFuZC9vciBhcnRpc3QgbmFtZSBhdmFpbGFibGUgZnJvbSBhbiBtcHJpcyBjb21wYXRpYmxlIG1lZGlhIHBsYXllciwgc3VjaCBhcyBTcG90aWZ5LCBWbGMsIFJoeXRobWJveCwgRmlyZWZveCwgQ2hyb21pdW0gYW5kIG1hbnkgbW9yZS4gTG90cyBvZiBmZWF0dXJlcyBhbmQgdmVyeSBjdXN0b21pemFibGUhIiwKICAibmFtZSI6ICJNcHJpcyBMYWJlbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vTW9vbi0weGZmL2dub21lLW1wcmlzLWxhYmVsIiwKICAidXVpZCI6ICJtcHJpc0xhYmVsQG1vb24tMHhmZi5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDE4Cn0="},
"41": {"version": "18", "sha256": "1wbmzrkx8vjnr4jdgrqp2i3i7qs0dqb8nww15vh4q91f99f89cp0", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgaW4geW91ciBwYW5lbCB0aGUgKHNvbmcpdGl0bGUsIGFsYnVtIGFuZC9vciBhcnRpc3QgbmFtZSBhdmFpbGFibGUgZnJvbSBhbiBtcHJpcyBjb21wYXRpYmxlIG1lZGlhIHBsYXllciwgc3VjaCBhcyBTcG90aWZ5LCBWbGMsIFJoeXRobWJveCwgRmlyZWZveCwgQ2hyb21pdW0gYW5kIG1hbnkgbW9yZS4gTG90cyBvZiBmZWF0dXJlcyBhbmQgdmVyeSBjdXN0b21pemFibGUhIiwKICAibmFtZSI6ICJNcHJpcyBMYWJlbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vTW9vbi0weGZmL2dub21lLW1wcmlzLWxhYmVsIiwKICAidXVpZCI6ICJtcHJpc0xhYmVsQG1vb24tMHhmZi5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDE4Cn0="},
"42": {"version": "18", "sha256": "1wbmzrkx8vjnr4jdgrqp2i3i7qs0dqb8nww15vh4q91f99f89cp0", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgaW4geW91ciBwYW5lbCB0aGUgKHNvbmcpdGl0bGUsIGFsYnVtIGFuZC9vciBhcnRpc3QgbmFtZSBhdmFpbGFibGUgZnJvbSBhbiBtcHJpcyBjb21wYXRpYmxlIG1lZGlhIHBsYXllciwgc3VjaCBhcyBTcG90aWZ5LCBWbGMsIFJoeXRobWJveCwgRmlyZWZveCwgQ2hyb21pdW0gYW5kIG1hbnkgbW9yZS4gTG90cyBvZiBmZWF0dXJlcyBhbmQgdmVyeSBjdXN0b21pemFibGUhIiwKICAibmFtZSI6ICJNcHJpcyBMYWJlbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vTW9vbi0weGZmL2dub21lLW1wcmlzLWxhYmVsIiwKICAidXVpZCI6ICJtcHJpc0xhYmVsQG1vb24tMHhmZi5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDE4Cn0="},
- "43": {"version": "25", "sha256": "1di9ai4sldx56x0mvrllpca8iyg54wszvpbh3kykdsr5b8jg0h83", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgYSBsYWJlbCBpbiB5b3VyIHBhbmVsIHdpdGggdGhlIHNvbmcvdGl0bGUvYWxidW0vYXJ0aXN0IGluZm9ybWF0aW9uIGF2YWlsYWJsZSBmcm9tIGFuIG1wcmlzIGNvbXBhdGlibGUgcGxheWVyLiBZb3UgY2FuIGFsc28gY29udHJvbCB0aGUgcGxheWVyLCByYWlzZS9sb3dlciBpdHMgdm9sdW1lLCBjdXN0b21pemUgdGhlIGxhYmVsLCBhbmQgYSBsb3QgbW9yZSEgVGhpcyBleHRlbnNpb24gd29ya3Mgd2l0aCBTcG90aWZ5LCBWbGMsIFJoeXRobWJveCwgRmlyZWZveCwgQ2hyb21pdW0sIGFuZCAocHJvYmFibHkpIGFueSBNUFJJUyBjb21wYXRpYmxlIHBsYXllci4iLAogICJuYW1lIjogIk1lZGlhIExhYmVsIGFuZCBDb250cm9scyAoTXByaXMgTGFiZWwpIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9Nb29uLTB4ZmYvZ25vbWUtbXByaXMtbGFiZWwiLAogICJ1dWlkIjogIm1wcmlzTGFiZWxAbW9vbi0weGZmLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMjUKfQ=="},
- "44": {"version": "25", "sha256": "1di9ai4sldx56x0mvrllpca8iyg54wszvpbh3kykdsr5b8jg0h83", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgYSBsYWJlbCBpbiB5b3VyIHBhbmVsIHdpdGggdGhlIHNvbmcvdGl0bGUvYWxidW0vYXJ0aXN0IGluZm9ybWF0aW9uIGF2YWlsYWJsZSBmcm9tIGFuIG1wcmlzIGNvbXBhdGlibGUgcGxheWVyLiBZb3UgY2FuIGFsc28gY29udHJvbCB0aGUgcGxheWVyLCByYWlzZS9sb3dlciBpdHMgdm9sdW1lLCBjdXN0b21pemUgdGhlIGxhYmVsLCBhbmQgYSBsb3QgbW9yZSEgVGhpcyBleHRlbnNpb24gd29ya3Mgd2l0aCBTcG90aWZ5LCBWbGMsIFJoeXRobWJveCwgRmlyZWZveCwgQ2hyb21pdW0sIGFuZCAocHJvYmFibHkpIGFueSBNUFJJUyBjb21wYXRpYmxlIHBsYXllci4iLAogICJuYW1lIjogIk1lZGlhIExhYmVsIGFuZCBDb250cm9scyAoTXByaXMgTGFiZWwpIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9Nb29uLTB4ZmYvZ25vbWUtbXByaXMtbGFiZWwiLAogICJ1dWlkIjogIm1wcmlzTGFiZWxAbW9vbi0weGZmLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMjUKfQ=="},
- "45": {"version": "26", "sha256": "06gpycpr3wfyxvhfnbnxhbf01z14c7wvrfwn1rdz4mn822dyq8dn", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgYSBsYWJlbCBpbiB5b3VyIHBhbmVsIHdpdGggdGhlIHNvbmcvdGl0bGUvYWxidW0vYXJ0aXN0IGluZm9ybWF0aW9uIGF2YWlsYWJsZSBmcm9tIGFuIG1wcmlzIGNvbXBhdGlibGUgcGxheWVyLiBZb3UgY2FuIGFsc28gY29udHJvbCB0aGUgcGxheWVyLCByYWlzZS9sb3dlciBpdHMgdm9sdW1lLCBjdXN0b21pemUgdGhlIGxhYmVsLCBhbmQgYSBsb3QgbW9yZSEgVGhpcyBleHRlbnNpb24gd29ya3Mgd2l0aCBTcG90aWZ5LCBWbGMsIFJoeXRobWJveCwgRmlyZWZveCwgQ2hyb21pdW0sIGFuZCAocHJvYmFibHkpIGFueSBNUFJJUyBjb21wYXRpYmxlIHBsYXllci4iLAogICJuYW1lIjogIk1lZGlhIExhYmVsIGFuZCBDb250cm9scyAoTXByaXMgTGFiZWwpIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm1wcmlzLWxhYmVsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL01vb24tMHhmZi9nbm9tZS1tcHJpcy1sYWJlbCIsCiAgInV1aWQiOiAibXByaXNMYWJlbEBtb29uLTB4ZmYuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAyNgp9"}
+ "43": {"version": "27", "sha256": "0q93cphymsxqzhli6v7gd0kh90n4kmkhihd3ms5nl7amacs0a0bg", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgYSBsYWJlbCBpbiB5b3VyIHBhbmVsIHdpdGggdGhlIHNvbmcvdGl0bGUvYWxidW0vYXJ0aXN0IGluZm9ybWF0aW9uIGF2YWlsYWJsZSBmcm9tIGFuIG1wcmlzIGNvbXBhdGlibGUgcGxheWVyLiBZb3UgY2FuIGFsc28gY29udHJvbCB0aGUgcGxheWVyLCByYWlzZS9sb3dlciBpdHMgdm9sdW1lLCBjdXN0b21pemUgdGhlIGxhYmVsLCBhbmQgYSBsb3QgbW9yZSEgVGhpcyBleHRlbnNpb24gd29ya3Mgd2l0aCBTcG90aWZ5LCBWbGMsIFJoeXRobWJveCwgRmlyZWZveCwgQ2hyb21pdW0sIGFuZCAocHJvYmFibHkpIGFueSBNUFJJUyBjb21wYXRpYmxlIHBsYXllci4iLAogICJuYW1lIjogIk1lZGlhIExhYmVsIGFuZCBDb250cm9scyAoTXByaXMgTGFiZWwpIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm1wcmlzLWxhYmVsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9Nb29uLTB4ZmYvZ25vbWUtbXByaXMtbGFiZWwiLAogICJ1dWlkIjogIm1wcmlzTGFiZWxAbW9vbi0weGZmLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMjcKfQ=="},
+ "44": {"version": "27", "sha256": "0q93cphymsxqzhli6v7gd0kh90n4kmkhihd3ms5nl7amacs0a0bg", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgYSBsYWJlbCBpbiB5b3VyIHBhbmVsIHdpdGggdGhlIHNvbmcvdGl0bGUvYWxidW0vYXJ0aXN0IGluZm9ybWF0aW9uIGF2YWlsYWJsZSBmcm9tIGFuIG1wcmlzIGNvbXBhdGlibGUgcGxheWVyLiBZb3UgY2FuIGFsc28gY29udHJvbCB0aGUgcGxheWVyLCByYWlzZS9sb3dlciBpdHMgdm9sdW1lLCBjdXN0b21pemUgdGhlIGxhYmVsLCBhbmQgYSBsb3QgbW9yZSEgVGhpcyBleHRlbnNpb24gd29ya3Mgd2l0aCBTcG90aWZ5LCBWbGMsIFJoeXRobWJveCwgRmlyZWZveCwgQ2hyb21pdW0sIGFuZCAocHJvYmFibHkpIGFueSBNUFJJUyBjb21wYXRpYmxlIHBsYXllci4iLAogICJuYW1lIjogIk1lZGlhIExhYmVsIGFuZCBDb250cm9scyAoTXByaXMgTGFiZWwpIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm1wcmlzLWxhYmVsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9Nb29uLTB4ZmYvZ25vbWUtbXByaXMtbGFiZWwiLAogICJ1dWlkIjogIm1wcmlzTGFiZWxAbW9vbi0weGZmLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMjcKfQ=="},
+ "45": {"version": "28", "sha256": "11gzrrk7hswd8h588jylxn5wxxxniwpmp6lgpxd2vaff0sab8l98", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgYSBsYWJlbCBpbiB5b3VyIHBhbmVsIHdpdGggdGhlIHNvbmcvdGl0bGUvYWxidW0vYXJ0aXN0IGluZm9ybWF0aW9uIGF2YWlsYWJsZSBmcm9tIGFuIG1wcmlzIGNvbXBhdGlibGUgcGxheWVyLiBZb3UgY2FuIGFsc28gY29udHJvbCB0aGUgcGxheWVyLCByYWlzZS9sb3dlciBpdHMgdm9sdW1lLCBjdXN0b21pemUgdGhlIGxhYmVsLCBhbmQgYSBsb3QgbW9yZSEgVGhpcyBleHRlbnNpb24gd29ya3Mgd2l0aCBTcG90aWZ5LCBWbGMsIFJoeXRobWJveCwgRmlyZWZveCwgQ2hyb21pdW0sIGFuZCAocHJvYmFibHkpIGFueSBNUFJJUyBjb21wYXRpYmxlIHBsYXllci4iLAogICJuYW1lIjogIk1lZGlhIExhYmVsIGFuZCBDb250cm9scyAoTXByaXMgTGFiZWwpIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm1wcmlzLWxhYmVsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL01vb24tMHhmZi9nbm9tZS1tcHJpcy1sYWJlbCIsCiAgInV1aWQiOiAibXByaXNMYWJlbEBtb29uLTB4ZmYuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAyOAp9"}
}}
, {"uuid": "captivate@rensoliemans.nl", "name": "Captivate", "pname": "captivate", "description": "Activate your CapsLock button.\nUsing gnome-tweaks, remap your CapsLock to a Menu key, and then this extension works perfectly. Alternatively, choose a different key in the extension settings.\n\nDon't forget to log out and in again if you're updating the extension manually.", "link": "https://extensions.gnome.org/extension/4932/captivate/", "shell_version_map": {
"40": {"version": "6", "sha256": "1lpaq3pbhg0c90xbvhwi9hz8m5nzvfgw14g61wg7f8fc17a7s77i", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFjdGl2YXRlIHlvdXIgQ2Fwc0xvY2sgYnV0dG9uLlxuVXNpbmcgZ25vbWUtdHdlYWtzLCByZW1hcCB5b3VyIENhcHNMb2NrIHRvIGEgTWVudSBrZXksIGFuZCB0aGVuIHRoaXMgZXh0ZW5zaW9uIHdvcmtzIHBlcmZlY3RseS4gQWx0ZXJuYXRpdmVseSwgY2hvb3NlIGEgZGlmZmVyZW50IGtleSBpbiB0aGUgZXh0ZW5zaW9uIHNldHRpbmdzLlxuXG5Eb24ndCBmb3JnZXQgdG8gbG9nIG91dCBhbmQgaW4gYWdhaW4gaWYgeW91J3JlIHVwZGF0aW5nIHRoZSBleHRlbnNpb24gbWFudWFsbHkuIiwKICAibmFtZSI6ICJDYXB0aXZhdGUiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuY2FwdGl2YXRlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vcmVuc29saWVtYW5zL2NhcHRpdmF0ZSIsCiAgInV1aWQiOiAiY2FwdGl2YXRlQHJlbnNvbGllbWFucy5ubCIsCiAgInZlcnNpb24iOiA2Cn0="},
@@ -4608,21 +4626,21 @@
"42": {"version": "18", "sha256": "0mklxqybxnlw8jpfljyg2hapw8zgi732162ris96li0440a8h616", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgc2ltcGxlIGFwcCBpY29uIHRhc2tiYXIuIFNob3cgcnVubmluZyBhcHBzIGFuZCBmYXZvcml0ZXMgb24gdGhlIG1haW4gcGFuZWwuIiwKICAiZG9uYXRpb25zIjogewogICAgInBheXBhbCI6ICJhemFlY2giCiAgfSwKICAiZ2V0dGV4dC1kb21haW4iOiAiYXp0YXNrYmFyIiwKICAibmFtZSI6ICJBcHAgSWNvbnMgVGFza2JhciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5henRhc2tiYXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9BbmRyZXdaYWVjaC9henRhc2tiYXIiLAogICJ1dWlkIjogImF6dGFza2JhckBhenRhc2tiYXIuZ2l0bGFiLmNvbSIsCiAgInZlcnNpb24iOiAxOAp9"},
"43": {"version": "18", "sha256": "0mklxqybxnlw8jpfljyg2hapw8zgi732162ris96li0440a8h616", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgc2ltcGxlIGFwcCBpY29uIHRhc2tiYXIuIFNob3cgcnVubmluZyBhcHBzIGFuZCBmYXZvcml0ZXMgb24gdGhlIG1haW4gcGFuZWwuIiwKICAiZG9uYXRpb25zIjogewogICAgInBheXBhbCI6ICJhemFlY2giCiAgfSwKICAiZ2V0dGV4dC1kb21haW4iOiAiYXp0YXNrYmFyIiwKICAibmFtZSI6ICJBcHAgSWNvbnMgVGFza2JhciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5henRhc2tiYXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9BbmRyZXdaYWVjaC9henRhc2tiYXIiLAogICJ1dWlkIjogImF6dGFza2JhckBhenRhc2tiYXIuZ2l0bGFiLmNvbSIsCiAgInZlcnNpb24iOiAxOAp9"},
"44": {"version": "18", "sha256": "0mklxqybxnlw8jpfljyg2hapw8zgi732162ris96li0440a8h616", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgc2ltcGxlIGFwcCBpY29uIHRhc2tiYXIuIFNob3cgcnVubmluZyBhcHBzIGFuZCBmYXZvcml0ZXMgb24gdGhlIG1haW4gcGFuZWwuIiwKICAiZG9uYXRpb25zIjogewogICAgInBheXBhbCI6ICJhemFlY2giCiAgfSwKICAiZ2V0dGV4dC1kb21haW4iOiAiYXp0YXNrYmFyIiwKICAibmFtZSI6ICJBcHAgSWNvbnMgVGFza2JhciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5henRhc2tiYXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9BbmRyZXdaYWVjaC9henRhc2tiYXIiLAogICJ1dWlkIjogImF6dGFza2JhckBhenRhc2tiYXIuZ2l0bGFiLmNvbSIsCiAgInZlcnNpb24iOiAxOAp9"},
- "45": {"version": "21", "sha256": "0hncdwy1swn85jyyvf0kxmlmbwvxq9z9hqbqhg974r8wrkyk4ymv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgc2ltcGxlIGFwcCBpY29uIHRhc2tiYXIuIFNob3cgcnVubmluZyBhcHBzIGFuZCBmYXZvcml0ZXMgb24gdGhlIG1haW4gcGFuZWwuIiwKICAiZG9uYXRpb25zIjogewogICAgInBheXBhbCI6ICJhemFlY2giCiAgfSwKICAiZ2V0dGV4dC1kb21haW4iOiAiYXp0YXNrYmFyIiwKICAibmFtZSI6ICJBcHAgSWNvbnMgVGFza2JhciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5henRhc2tiYXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vQW5kcmV3WmFlY2gvYXp0YXNrYmFyIiwKICAidXVpZCI6ICJhenRhc2tiYXJAYXp0YXNrYmFyLmdpdGxhYi5jb20iLAogICJ2ZXJzaW9uIjogMjEKfQ=="}
+ "45": {"version": "22", "sha256": "1zcb26wb96xif8swywgb9f9nkvbgmgl2hiy0bbj19xwfdbvjdvp2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgc2ltcGxlIGFwcCBpY29uIHRhc2tiYXIuIFNob3cgcnVubmluZyBhcHBzIGFuZCBmYXZvcml0ZXMgb24gdGhlIG1haW4gcGFuZWwuIiwKICAiZG9uYXRpb25zIjogewogICAgInBheXBhbCI6ICJhemFlY2giCiAgfSwKICAiZ2V0dGV4dC1kb21haW4iOiAiYXp0YXNrYmFyIiwKICAibmFtZSI6ICJBcHAgSWNvbnMgVGFza2JhciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5henRhc2tiYXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IiwKICAgICI0NiIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL0FuZHJld1phZWNoL2F6dGFza2JhciIsCiAgInV1aWQiOiAiYXp0YXNrYmFyQGF6dGFza2Jhci5naXRsYWIuY29tIiwKICAidmVyc2lvbiI6IDIyCn0="}
}}
, {"uuid": "netSpeedMonitor@nidyran.github.io", "name": "Network Speed Tracker", "pname": "net-speed-monitor", "description": "Network Speed Tracker \nUsage instructions:\n1 - Right-click to easily switch between available network sources.\n2 - Left-click to toggle display modes.\n3 - Middle-click to adjust the refresh rate.", "link": "https://extensions.gnome.org/extension/4947/net-speed-monitor/", "shell_version_map": {
"38": {"version": "5", "sha256": "1zvp8zx82kqbf9ck55csgcysld3z1gr3cigkl5y73s5rvqj3ks5n", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGhlbHBzIHRyYWNraW5nIGFuZCBtb25pdG9yaW5nIG5ldHdvcmsgc3BlZWQuIFxuVGhlcmUgYXJlIGZpdmUgbW9kZXM6IFxuMSAtIGRvd25sb2FkIHNwZWVkIG9ubHkuIFxuMiAtIHVwbG9hZCBzcGVlZCBvbmx5LiBcbjMgLSBkb3dubG9hZCBzcGVlZCBhbmQgdXBsb2FkIHNwZWVkIHRvZ2V0aGVyLiBcbjQgLSBkb3dubG9hZCBzcGVlZCBhbmQgdXBsb2FkIHNwZWVkIHN1bW1lZC4gXG41IC0gdG90YWwgZGF0YSB1c2FnZSBcblRvIHN3aXRjaCBiZXR3ZWVuIG1vZGVzLCB1c2UgbGVmdCBtb3VzZSBjbGljay4gXG5UbyBzd2l0Y2ggYmV0d2VlbiBhdmFpbGFibGUgc291cmNlcywgdXNlIHJpZ2h0IG1vdXNlIGNsaWNrLiBcblRvIGNoYW5nZSByZWZyZXNoIHRpbWUgMjAwIC0gMTAwMCB1c2UgbWlkZGxlIG1vdXNlIGNsaWNrLiBcblRoZSBmaXJzdCBzb3VyY2Ugd2l0aCB1c2FnZSBoaWdoZXIgdGhhbiB6ZXJvIHdpbGwgYmUgcGlja2VkIGJ5IGRlZmF1bHQuIFxuVGhlIGV4dGVuc2lvbiB3aWxsIHBpY2sgdGhlIGZpcnN0IHNvdXJjZSBieSBkZWZhdWx0LCBhbmQgaWYgbm8gdHJhZmZpYyBpcyBlc3RhYmxpc2hlZCBpdCB3aWxsIHN3aXRjaCB0byB0aGUgbmV4dCBvbmUgYW5kIHNvIG9uXG5UaGUgc291cmNlIGNvZGUgaXMgYXZhaWxhYmxlIGluIHRoZSBsaW5rIGJlbG93LCB1cGRhdGUgcmVxdWVzdHMgYXJlIHdlbGNvbWUuIiwKICAibmFtZSI6ICJuZXQgc3BlZWQgbW9uaXRvciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbmlkeXJhbi9uZXRTcGVlZE1vbml0b3IvIiwKICAidXVpZCI6ICJuZXRTcGVlZE1vbml0b3JAbmlkeXJhbi5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogNQp9"},
"40": {"version": "5", "sha256": "1zvp8zx82kqbf9ck55csgcysld3z1gr3cigkl5y73s5rvqj3ks5n", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGhlbHBzIHRyYWNraW5nIGFuZCBtb25pdG9yaW5nIG5ldHdvcmsgc3BlZWQuIFxuVGhlcmUgYXJlIGZpdmUgbW9kZXM6IFxuMSAtIGRvd25sb2FkIHNwZWVkIG9ubHkuIFxuMiAtIHVwbG9hZCBzcGVlZCBvbmx5LiBcbjMgLSBkb3dubG9hZCBzcGVlZCBhbmQgdXBsb2FkIHNwZWVkIHRvZ2V0aGVyLiBcbjQgLSBkb3dubG9hZCBzcGVlZCBhbmQgdXBsb2FkIHNwZWVkIHN1bW1lZC4gXG41IC0gdG90YWwgZGF0YSB1c2FnZSBcblRvIHN3aXRjaCBiZXR3ZWVuIG1vZGVzLCB1c2UgbGVmdCBtb3VzZSBjbGljay4gXG5UbyBzd2l0Y2ggYmV0d2VlbiBhdmFpbGFibGUgc291cmNlcywgdXNlIHJpZ2h0IG1vdXNlIGNsaWNrLiBcblRvIGNoYW5nZSByZWZyZXNoIHRpbWUgMjAwIC0gMTAwMCB1c2UgbWlkZGxlIG1vdXNlIGNsaWNrLiBcblRoZSBmaXJzdCBzb3VyY2Ugd2l0aCB1c2FnZSBoaWdoZXIgdGhhbiB6ZXJvIHdpbGwgYmUgcGlja2VkIGJ5IGRlZmF1bHQuIFxuVGhlIGV4dGVuc2lvbiB3aWxsIHBpY2sgdGhlIGZpcnN0IHNvdXJjZSBieSBkZWZhdWx0LCBhbmQgaWYgbm8gdHJhZmZpYyBpcyBlc3RhYmxpc2hlZCBpdCB3aWxsIHN3aXRjaCB0byB0aGUgbmV4dCBvbmUgYW5kIHNvIG9uXG5UaGUgc291cmNlIGNvZGUgaXMgYXZhaWxhYmxlIGluIHRoZSBsaW5rIGJlbG93LCB1cGRhdGUgcmVxdWVzdHMgYXJlIHdlbGNvbWUuIiwKICAibmFtZSI6ICJuZXQgc3BlZWQgbW9uaXRvciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbmlkeXJhbi9uZXRTcGVlZE1vbml0b3IvIiwKICAidXVpZCI6ICJuZXRTcGVlZE1vbml0b3JAbmlkeXJhbi5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogNQp9"},
"41": {"version": "5", "sha256": "1zvp8zx82kqbf9ck55csgcysld3z1gr3cigkl5y73s5rvqj3ks5n", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGhlbHBzIHRyYWNraW5nIGFuZCBtb25pdG9yaW5nIG5ldHdvcmsgc3BlZWQuIFxuVGhlcmUgYXJlIGZpdmUgbW9kZXM6IFxuMSAtIGRvd25sb2FkIHNwZWVkIG9ubHkuIFxuMiAtIHVwbG9hZCBzcGVlZCBvbmx5LiBcbjMgLSBkb3dubG9hZCBzcGVlZCBhbmQgdXBsb2FkIHNwZWVkIHRvZ2V0aGVyLiBcbjQgLSBkb3dubG9hZCBzcGVlZCBhbmQgdXBsb2FkIHNwZWVkIHN1bW1lZC4gXG41IC0gdG90YWwgZGF0YSB1c2FnZSBcblRvIHN3aXRjaCBiZXR3ZWVuIG1vZGVzLCB1c2UgbGVmdCBtb3VzZSBjbGljay4gXG5UbyBzd2l0Y2ggYmV0d2VlbiBhdmFpbGFibGUgc291cmNlcywgdXNlIHJpZ2h0IG1vdXNlIGNsaWNrLiBcblRvIGNoYW5nZSByZWZyZXNoIHRpbWUgMjAwIC0gMTAwMCB1c2UgbWlkZGxlIG1vdXNlIGNsaWNrLiBcblRoZSBmaXJzdCBzb3VyY2Ugd2l0aCB1c2FnZSBoaWdoZXIgdGhhbiB6ZXJvIHdpbGwgYmUgcGlja2VkIGJ5IGRlZmF1bHQuIFxuVGhlIGV4dGVuc2lvbiB3aWxsIHBpY2sgdGhlIGZpcnN0IHNvdXJjZSBieSBkZWZhdWx0LCBhbmQgaWYgbm8gdHJhZmZpYyBpcyBlc3RhYmxpc2hlZCBpdCB3aWxsIHN3aXRjaCB0byB0aGUgbmV4dCBvbmUgYW5kIHNvIG9uXG5UaGUgc291cmNlIGNvZGUgaXMgYXZhaWxhYmxlIGluIHRoZSBsaW5rIGJlbG93LCB1cGRhdGUgcmVxdWVzdHMgYXJlIHdlbGNvbWUuIiwKICAibmFtZSI6ICJuZXQgc3BlZWQgbW9uaXRvciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zMiIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbmlkeXJhbi9uZXRTcGVlZE1vbml0b3IvIiwKICAidXVpZCI6ICJuZXRTcGVlZE1vbml0b3JAbmlkeXJhbi5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogNQp9"}
}}
-, {"uuid": "gradienttopbar@pshow.org", "name": "Gradient Top Bar", "pname": "gradient-top-bar", "description": "Makes GNOME's panel's background gradient. You can edit the colour scheme from the extension's settings in Gnome 45.", "link": "https://extensions.gnome.org/extension/4955/gradient-top-bar/", "shell_version_map": {
+, {"uuid": "gradienttopbar@pshow.org", "name": "Gradient Top Bar", "pname": "gradient-top-bar", "description": "Makes GNOME's panel's background gradient. You can edit the colour scheme from the extension's settings in Gnome 45 and above.", "link": "https://extensions.gnome.org/extension/4955/gradient-top-bar/", "shell_version_map": {
"38": {"version": "4", "sha256": "004m77f5hkg9bq9lk2cf79x9va7np37q7yv474bwg165v7a0s8v1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2VzIEdOT01FJ3MgdG9wYmFyJ3MgYmFja2dyb3VuZCBncmFkaWVudC4gWW91IGNhbiBlZGl0IHRoZSBjb2xvdXIgc2NoZW1lIGZyb20gdGhlIGV4dGVuc2lvbidzIHNldHRpbmdzLiIsCiAgIm5hbWUiOiAiR3JhZGllbnQgVG9wIEJhciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vcGV0YXItdi9ncmFkaWVudHRvcGJhciIsCiAgInV1aWQiOiAiZ3JhZGllbnR0b3BiYXJAcHNob3cub3JnIiwKICAidmVyc2lvbiI6IDQKfQ=="},
"40": {"version": "4", "sha256": "004m77f5hkg9bq9lk2cf79x9va7np37q7yv474bwg165v7a0s8v1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2VzIEdOT01FJ3MgdG9wYmFyJ3MgYmFja2dyb3VuZCBncmFkaWVudC4gWW91IGNhbiBlZGl0IHRoZSBjb2xvdXIgc2NoZW1lIGZyb20gdGhlIGV4dGVuc2lvbidzIHNldHRpbmdzLiIsCiAgIm5hbWUiOiAiR3JhZGllbnQgVG9wIEJhciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vcGV0YXItdi9ncmFkaWVudHRvcGJhciIsCiAgInV1aWQiOiAiZ3JhZGllbnR0b3BiYXJAcHNob3cub3JnIiwKICAidmVyc2lvbiI6IDQKfQ=="},
"41": {"version": "4", "sha256": "004m77f5hkg9bq9lk2cf79x9va7np37q7yv474bwg165v7a0s8v1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2VzIEdOT01FJ3MgdG9wYmFyJ3MgYmFja2dyb3VuZCBncmFkaWVudC4gWW91IGNhbiBlZGl0IHRoZSBjb2xvdXIgc2NoZW1lIGZyb20gdGhlIGV4dGVuc2lvbidzIHNldHRpbmdzLiIsCiAgIm5hbWUiOiAiR3JhZGllbnQgVG9wIEJhciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vcGV0YXItdi9ncmFkaWVudHRvcGJhciIsCiAgInV1aWQiOiAiZ3JhZGllbnR0b3BiYXJAcHNob3cub3JnIiwKICAidmVyc2lvbiI6IDQKfQ=="},
"42": {"version": "4", "sha256": "004m77f5hkg9bq9lk2cf79x9va7np37q7yv474bwg165v7a0s8v1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2VzIEdOT01FJ3MgdG9wYmFyJ3MgYmFja2dyb3VuZCBncmFkaWVudC4gWW91IGNhbiBlZGl0IHRoZSBjb2xvdXIgc2NoZW1lIGZyb20gdGhlIGV4dGVuc2lvbidzIHNldHRpbmdzLiIsCiAgIm5hbWUiOiAiR3JhZGllbnQgVG9wIEJhciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vcGV0YXItdi9ncmFkaWVudHRvcGJhciIsCiAgInV1aWQiOiAiZ3JhZGllbnR0b3BiYXJAcHNob3cub3JnIiwKICAidmVyc2lvbiI6IDQKfQ=="},
"43": {"version": "11", "sha256": "0nb4jcxhx994kgmsv0aksrjwxnw58bjw0hsijkg6bb8idm32s1pp", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2VzIEdOT01FJ3MgdG9wYmFyJ3MgYmFja2dyb3VuZCBncmFkaWVudC4gWW91IGNhbiBlZGl0IHRoZSBjb2xvdXIgc2NoZW1lIGZyb20gdGhlIGV4dGVuc2lvbidzIHNldHRpbmdzLiIsCiAgImdldHRleHQtZG9tYWluIjogIm9yZy5wc2hvdy5ncmFkaWVudHRvcGJhciIsCiAgImhhc1ByZWZzIjogdHJ1ZSwKICAiaWNvbiI6ICIuL2Fzc2V0cy9sb2dvLnBuZyIsCiAgIm5hbWUiOiAiR3JhZGllbnQgVG9wIEJhciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5vcmcucHNob3cuZ3JhZGllbnR0b3BiYXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3BldGFyLXYvZ3JhZGllbnR0b3BiYXIiLAogICJ1dWlkIjogImdyYWRpZW50dG9wYmFyQHBzaG93Lm9yZyIsCiAgInZlcnNpb24iOiAxMQp9"},
"44": {"version": "11", "sha256": "0nb4jcxhx994kgmsv0aksrjwxnw58bjw0hsijkg6bb8idm32s1pp", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2VzIEdOT01FJ3MgdG9wYmFyJ3MgYmFja2dyb3VuZCBncmFkaWVudC4gWW91IGNhbiBlZGl0IHRoZSBjb2xvdXIgc2NoZW1lIGZyb20gdGhlIGV4dGVuc2lvbidzIHNldHRpbmdzLiIsCiAgImdldHRleHQtZG9tYWluIjogIm9yZy5wc2hvdy5ncmFkaWVudHRvcGJhciIsCiAgImhhc1ByZWZzIjogdHJ1ZSwKICAiaWNvbiI6ICIuL2Fzc2V0cy9sb2dvLnBuZyIsCiAgIm5hbWUiOiAiR3JhZGllbnQgVG9wIEJhciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5vcmcucHNob3cuZ3JhZGllbnR0b3BiYXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3BldGFyLXYvZ3JhZGllbnR0b3BiYXIiLAogICJ1dWlkIjogImdyYWRpZW50dG9wYmFyQHBzaG93Lm9yZyIsCiAgInZlcnNpb24iOiAxMQp9"},
- "45": {"version": "18", "sha256": "0q263vhm4cpgrydc6ab8i6yp573i1ywvzjqxpg8pyg9737lyw5vi", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2VzIEdOT01FJ3MgcGFuZWwncyBiYWNrZ3JvdW5kIGdyYWRpZW50LiBZb3UgY2FuIGVkaXQgdGhlIGNvbG91ciBzY2hlbWUgZnJvbSB0aGUgZXh0ZW5zaW9uJ3Mgc2V0dGluZ3MgaW4gR25vbWUgNDUuIiwKICAiZG9uYXRpb25zIjogewogICAgImdpdGh1YiI6ICJwZXRhci12IiwKICAgICJwYXlwYWwiOiAicGV0YXJ2NzMiCiAgfSwKICAiZ2V0dGV4dC1kb21haW4iOiAib3JnLnBzaG93LmdyYWRpZW50dG9wYmFyIiwKICAiaGFzUHJlZnMiOiB0cnVlLAogICJpY29uIjogIi4vYXNzZXRzL2xvZ28ucG5nIiwKICAibmFtZSI6ICJHcmFkaWVudCBUb3AgQmFyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm9yZy5wc2hvdy5ncmFkaWVudHRvcGJhciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9wZXRhci12L2dyYWRpZW50dG9wYmFyIiwKICAidXVpZCI6ICJncmFkaWVudHRvcGJhckBwc2hvdy5vcmciLAogICJ2ZXJzaW9uIjogMTgKfQ=="}
+ "45": {"version": "19", "sha256": "0afh1cjy27srrdbr9b7lj1d25a06w1sixy3j14lw251rp461dvnx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1ha2VzIEdOT01FJ3MgcGFuZWwncyBiYWNrZ3JvdW5kIGdyYWRpZW50LiBZb3UgY2FuIGVkaXQgdGhlIGNvbG91ciBzY2hlbWUgZnJvbSB0aGUgZXh0ZW5zaW9uJ3Mgc2V0dGluZ3MgaW4gR25vbWUgNDUuIiwKICAiZG9uYXRpb25zIjogewogICAgImdpdGh1YiI6ICJwZXRhci12IiwKICAgICJwYXlwYWwiOiAicGV0YXJ2NzMiCiAgfSwKICAiZ2V0dGV4dC1kb21haW4iOiAib3JnLnBzaG93LmdyYWRpZW50dG9wYmFyIiwKICAiaGFzUHJlZnMiOiB0cnVlLAogICJpY29uIjogIi4vYXNzZXRzL2xvZ28ucG5nIiwKICAibmFtZSI6ICJHcmFkaWVudCBUb3AgQmFyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm9yZy5wc2hvdy5ncmFkaWVudHRvcGJhciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiLAogICAgIjQ2IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vcGV0YXItdi9ncmFkaWVudHRvcGJhciIsCiAgInV1aWQiOiAiZ3JhZGllbnR0b3BiYXJAcHNob3cub3JnIiwKICAidmVyc2lvbiI6IDE5Cn0="}
}}
, {"uuid": "note@eexpss.gmail.com", "name": "note", "pname": "note", "description": "Add selected text to Note.\n- Notes automatic recognition and sort as 'Directory' 'Command' 'Clipboard'.\n- 'Directory': Mouse 1/2/3 act as 'Open in Files/Open in termianl/Paste dir'. It can be used as a temporary bookmark. And any click will change the working diretory in real time.\n- 'Command': Mouse 1/3 as 'Excute command in Terminal/Paste cmd'.\n- 'Clipboard': Mouse act as 'Paste to Clipboard(PRIMARY)'.\n- Terminal support kgx(new gnome-console) and gnome-terminal.", "link": "https://extensions.gnome.org/extension/4962/note/", "shell_version_map": {
"42": {"version": "7", "sha256": "1niwlhls41l92g0bcllp4y4bdhn7k310514xkd36rn61pqz9b7q6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBzZWxlY3RlZCB0ZXh0IHRvIE5vdGUuXG4tIE5vdGVzIGF1dG9tYXRpYyByZWNvZ25pdGlvbiBhbmQgc29ydCBhcyAnRGlyZWN0b3J5JyAnQ29tbWFuZCcgJ0NsaXBib2FyZCcuXG4tICdEaXJlY3RvcnknOiBNb3VzZSAxLzIvMyBhY3QgYXMgJ09wZW4gaW4gRmlsZXMvT3BlbiBpbiB0ZXJtaWFubC9QYXN0ZSBkaXInLiBJdCBjYW4gYmUgdXNlZCBhcyBhIHRlbXBvcmFyeSBib29rbWFyay4gQW5kIGFueSBjbGljayB3aWxsIGNoYW5nZSB0aGUgd29ya2luZyBkaXJldG9yeSBpbiByZWFsIHRpbWUuXG4tICdDb21tYW5kJzogTW91c2UgMS8zIGFzICdFeGN1dGUgY29tbWFuZCBpbiBUZXJtaW5hbC9QYXN0ZSBjbWQnLlxuLSAnQ2xpcGJvYXJkJzogTW91c2UgYWN0IGFzICdQYXN0ZSB0byBDbGlwYm9hcmQoUFJJTUFSWSknLlxuLSBUZXJtaW5hbCBzdXBwb3J0IGtneChuZXcgZ25vbWUtY29uc29sZSkgYW5kIGdub21lLXRlcm1pbmFsLiIsCiAgImdldHRleHQtZG9tYWluIjogIm5vdGUiLAogICJuYW1lIjogIm5vdGUiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMubm90ZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDIiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9lZXhwcmVzcy9ncy1ub3RlIiwKICAidXVpZCI6ICJub3RlQGVleHBzcy5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogNwp9"}
@@ -4684,7 +4702,7 @@
"42": {"version": "34", "sha256": "1f1mlyh4588ajnnxavxfhkwh2qds1zrcmskv1dxi0si0k8dsyzg4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoZSBBbmltYXRlZCAmIEVmZmVjdGZ1bCBEb2NrIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZGFzaDJkb2NrLWxpdGUiLAogICJuYW1lIjogIkRhc2gyRG9jayBMaXRlIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6IFsKICAgICJpY2VkbWFuIgogIF0sCiAgInNjaGVtYS1pZCI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5kYXNoMmRvY2stbGl0ZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2ljZWRtYW4vZGFzaDJkb2NrLWxpdGUiLAogICJ1dWlkIjogImRhc2gyZG9jay1saXRlQGljZWRtYW4uZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAzNAp9"},
"43": {"version": "34", "sha256": "1f1mlyh4588ajnnxavxfhkwh2qds1zrcmskv1dxi0si0k8dsyzg4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoZSBBbmltYXRlZCAmIEVmZmVjdGZ1bCBEb2NrIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZGFzaDJkb2NrLWxpdGUiLAogICJuYW1lIjogIkRhc2gyRG9jayBMaXRlIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6IFsKICAgICJpY2VkbWFuIgogIF0sCiAgInNjaGVtYS1pZCI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5kYXNoMmRvY2stbGl0ZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2ljZWRtYW4vZGFzaDJkb2NrLWxpdGUiLAogICJ1dWlkIjogImRhc2gyZG9jay1saXRlQGljZWRtYW4uZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAzNAp9"},
"44": {"version": "34", "sha256": "1f1mlyh4588ajnnxavxfhkwh2qds1zrcmskv1dxi0si0k8dsyzg4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoZSBBbmltYXRlZCAmIEVmZmVjdGZ1bCBEb2NrIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZGFzaDJkb2NrLWxpdGUiLAogICJuYW1lIjogIkRhc2gyRG9jayBMaXRlIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6IFsKICAgICJpY2VkbWFuIgogIF0sCiAgInNjaGVtYS1pZCI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5kYXNoMmRvY2stbGl0ZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2ljZWRtYW4vZGFzaDJkb2NrLWxpdGUiLAogICJ1dWlkIjogImRhc2gyZG9jay1saXRlQGljZWRtYW4uZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAzNAp9"},
- "45": {"version": "38", "sha256": "0kcg6jhfjdbx3gqbk9s00y8l35by132almyv6wkp39n44vhdvzv2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoZSBBbmltYXRlZCAmIEVmZmVjdGZ1bCBEb2NrIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZGFzaDJkb2NrLWxpdGUiLAogICJuYW1lIjogIkRhc2gyRG9jayBMaXRlIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6IFsKICAgICJpY2VkbWFuIgogIF0sCiAgInNjaGVtYS1pZCI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5kYXNoMmRvY2stbGl0ZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9pY2VkbWFuL2Rhc2gyZG9jay1saXRlIiwKICAidXVpZCI6ICJkYXNoMmRvY2stbGl0ZUBpY2VkbWFuLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMzgKfQ=="}
+ "45": {"version": "43", "sha256": "1z7w5h5j3y6ygbs76an2d103f9sa3f0w638ddc7hicxxddlbgldz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoZSBBbmltYXRlZCAmIEVmZmVjdGZ1bCBEb2NrIiwKICAiZG9uYXRpb25zIjogewogICAgImJ1eW1lYWNvZmZlZSI6ICJpY2VkbWFuIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogImRhc2gyZG9jay1saXRlIiwKICAibmFtZSI6ICJEYXNoMkRvY2sgQW5pbWF0ZWQiLAogICJvcmlnaW5hbC1hdXRob3JzIjogWwogICAgImljZWRtYW4iCiAgXSwKICAic2NoZW1hLWlkIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmRhc2gyZG9jay1saXRlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2ljZWRtYW4vZGFzaDJkb2NrLWxpdGUiLAogICJ1dWlkIjogImRhc2gyZG9jay1saXRlQGljZWRtYW4uZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA0Mwp9"}
}}
, {"uuid": "animate@eexpss.gmail.com", "name": "animate", "pname": "animate", "description": "Animated small man run through the screen. Scroll mouse can change deferent character. You can use your PNG characters instead of the original ones.", "link": "https://extensions.gnome.org/extension/4995/animate/", "shell_version_map": {
"40": {"version": "4", "sha256": "1p110wz7wdr51z2fsn6z72lcnln13h8lfbw9a00hs9qb0y71qf5q", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFuaW1hdGVkIHNtYWxsIG1hbiBydW4gdGhyb3VnaCB0aGUgc2NyZWVuLiBTY3JvbGwgbW91c2UgY2FuIGNoYW5nZSBkZWZlcmVudCBjaGFyYWN0ZXIuIFlvdSBjYW4gdXNlIHlvdXIgUE5HIGNoYXJhY3RlcnMgaW5zdGVhZCBvZiB0aGUgb3JpZ2luYWwgb25lcy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJhbmltYXRlIiwKICAibmFtZSI6ICJhbmltYXRlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZWV4cHJlc3MvZ3MtYW5pbWF0ZSIsCiAgInV1aWQiOiAiYW5pbWF0ZUBlZXhwc3MuZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDQKfQ=="},
@@ -4798,7 +4816,7 @@
"42": {"version": "11", "sha256": "0vcg42shlmm9v59qqyy5i8nqsh8gfasc67156k92f619yhav298y", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlB1dCBhIHNpbmdsZSB0YXNrIG9yIGdvYWwgaW4geW91ciBtZW51IGJhciIsCiAgIm5hbWUiOiAiT25lIFRoaW5nIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9kYW50ZWhlbWVyc29uL29uZS10aGluZyIsCiAgInV1aWQiOiAib25lLXRoaW5nQGdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMTEKfQ=="},
"43": {"version": "11", "sha256": "0vcg42shlmm9v59qqyy5i8nqsh8gfasc67156k92f619yhav298y", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlB1dCBhIHNpbmdsZSB0YXNrIG9yIGdvYWwgaW4geW91ciBtZW51IGJhciIsCiAgIm5hbWUiOiAiT25lIFRoaW5nIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9kYW50ZWhlbWVyc29uL29uZS10aGluZyIsCiAgInV1aWQiOiAib25lLXRoaW5nQGdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMTEKfQ=="},
"44": {"version": "11", "sha256": "0vcg42shlmm9v59qqyy5i8nqsh8gfasc67156k92f619yhav298y", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlB1dCBhIHNpbmdsZSB0YXNrIG9yIGdvYWwgaW4geW91ciBtZW51IGJhciIsCiAgIm5hbWUiOiAiT25lIFRoaW5nIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9kYW50ZWhlbWVyc29uL29uZS10aGluZyIsCiAgInV1aWQiOiAib25lLXRoaW5nQGdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMTEKfQ=="},
- "45": {"version": "13", "sha256": "1vbxmg87zch88gxn87ymhjha2mvsyq0z8qlahxn4vz9r85kx8zrk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlB1dCBhIHNpbmdsZSB0YXNrIG9yIGdvYWwgaW4geW91ciBtZW51IGJhciIsCiAgIm5hbWUiOiAiT25lIFRoaW5nIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm9uZS10aGluZyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9kYW50ZWhlbWVyc29uL29uZS10aGluZyIsCiAgInV1aWQiOiAib25lLXRoaW5nQGdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMTMKfQ=="}
+ "45": {"version": "16", "sha256": "1jl74kymqhx691zayc0jqnaka0z99d5sfrrpggygp09cqf5yl8qr", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlB1dCBhIHNpbmdsZSB0YXNrIG9yIGdvYWwgaW4geW91ciBtZW51IGJhciIsCiAgIm5hbWUiOiAiT25lIFRoaW5nIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm9uZS10aGluZyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9kYW50ZWhlbWVyc29uL29uZS10aGluZyIsCiAgInV1aWQiOiAib25lLXRoaW5nQGdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMTYKfQ=="}
}}
, {"uuid": "vpn-toggler@rheddes.nl", "name": "VPN Toggler", "pname": "vpn-toggler", "description": "A forked version of (https://extensions.gnome.org/extension/4061/custom-vpn-toggler/).\n VPN Toggler (and indicator) allows to see the status of a VPN (with its icon), see IP address associated and permit to start and stop VPN (from a menu).\n\nThis plugin required an additional script to interact with VPN. \nAn example for Open VPN is available on extension repository. \nFollow the link to Extension Web Site and see README.", "link": "https://extensions.gnome.org/extension/5075/vpn-toggler/", "shell_version_map": {
"42": {"version": "5", "sha256": "0z1jfgkhw6b20s3zmq2iydbs8a4cigbn8qw2kwxwplapg176lrlj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgZm9ya2VkIHZlcnNpb24gb2YgKGh0dHBzOi8vZXh0ZW5zaW9ucy5nbm9tZS5vcmcvZXh0ZW5zaW9uLzQwNjEvY3VzdG9tLXZwbi10b2dnbGVyLykuXG4gVlBOIFRvZ2dsZXIgKGFuZCBpbmRpY2F0b3IpIGFsbG93cyB0byBzZWUgdGhlIHN0YXR1cyBvZiBhIFZQTiAod2l0aCBpdHMgaWNvbiksIHNlZSBJUCBhZGRyZXNzIGFzc29jaWF0ZWQgYW5kIHBlcm1pdCB0byBzdGFydCBhbmQgc3RvcCBWUE4gKGZyb20gYSBtZW51KS5cblxuVGhpcyBwbHVnaW4gcmVxdWlyZWQgYW4gYWRkaXRpb25hbCBzY3JpcHQgdG8gaW50ZXJhY3Qgd2l0aCBWUE4uIFxuQW4gZXhhbXBsZSBmb3IgT3BlbiBWUE4gaXMgYXZhaWxhYmxlIG9uIGV4dGVuc2lvbiByZXBvc2l0b3J5LiBcbkZvbGxvdyB0aGUgbGluayB0byBFeHRlbnNpb24gV2ViIFNpdGUgYW5kIHNlZSBSRUFETUUuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAidnBuLXRvZ2dsZXJAcmhlZGRlcy5ubCIsCiAgIm5hbWUiOiAiVlBOIFRvZ2dsZXIiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudnBuLXRvZ2dsZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9SaGVkZGVzL3Zwbi10b2dnbGVyIiwKICAidXVpZCI6ICJ2cG4tdG9nZ2xlckByaGVkZGVzLm5sIiwKICAidmVyc2lvbiI6IDUKfQ=="},
@@ -4856,7 +4874,7 @@
"42": {"version": "21", "sha256": "06hfl07q9v6r6igys09c2bk7r1jdv588nl6m1br382fl8b6g5bgg", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlF1aWNrIGFjY2VzcyB0byBEb2NrZXIgYW5kIERvY2tlciBDb21wb3NlLiIsCiAgIm5hbWUiOiAiRG9ja2VyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vc3RpY2ttYW5fMHgwMC9nbm9tZV9zaGVsbF9leHRlbnNpb25fZG9ja2VyIiwKICAidXVpZCI6ICJkb2NrZXJAc3RpY2ttYW5fMHgwMC5jb20iLAogICJ2ZXJzaW9uIjogMjEKfQ=="},
"43": {"version": "21", "sha256": "06hfl07q9v6r6igys09c2bk7r1jdv588nl6m1br382fl8b6g5bgg", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlF1aWNrIGFjY2VzcyB0byBEb2NrZXIgYW5kIERvY2tlciBDb21wb3NlLiIsCiAgIm5hbWUiOiAiRG9ja2VyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vc3RpY2ttYW5fMHgwMC9nbm9tZV9zaGVsbF9leHRlbnNpb25fZG9ja2VyIiwKICAidXVpZCI6ICJkb2NrZXJAc3RpY2ttYW5fMHgwMC5jb20iLAogICJ2ZXJzaW9uIjogMjEKfQ=="},
"44": {"version": "21", "sha256": "06hfl07q9v6r6igys09c2bk7r1jdv588nl6m1br382fl8b6g5bgg", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlF1aWNrIGFjY2VzcyB0byBEb2NrZXIgYW5kIERvY2tlciBDb21wb3NlLiIsCiAgIm5hbWUiOiAiRG9ja2VyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vc3RpY2ttYW5fMHgwMC9nbm9tZV9zaGVsbF9leHRlbnNpb25fZG9ja2VyIiwKICAidXVpZCI6ICJkb2NrZXJAc3RpY2ttYW5fMHgwMC5jb20iLAogICJ2ZXJzaW9uIjogMjEKfQ=="},
- "45": {"version": "29", "sha256": "06mgm7faff87p8fi1fhqmybffmjig0h6b28ngynlxhh7ca5d485b", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlF1aWNrIGFjY2VzcyB0byBEb2NrZXIgYW5kIERvY2tlciBDb21wb3NlLiIsCiAgImdldHRleHQtZG9tYWluIjogImRvY2tlckBzdGlja21hbl8weDAwLmNvbSIsCiAgIm5hbWUiOiAiRG9ja2VyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmRvY2tlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9zdGlja21hbl8weDAwL2dub21lX3NoZWxsX2V4dGVuc2lvbl9kb2NrZXIiLAogICJ1dWlkIjogImRvY2tlckBzdGlja21hbl8weDAwLmNvbSIsCiAgInZlcnNpb24iOiAyOQp9"}
+ "45": {"version": "31", "sha256": "02vkhxs5nm0jap716gbqzyyznmldy87lfgbn512x448y5h8v9fgd", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlF1aWNrIGFjY2VzcyB0byBEb2NrZXIgYW5kIERvY2tlciBDb21wb3NlLiIsCiAgImdldHRleHQtZG9tYWluIjogImRvY2tlckBzdGlja21hbl8weDAwLmNvbSIsCiAgIm5hbWUiOiAiRG9ja2VyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmRvY2tlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiLAogICAgIjQ2IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vc3RpY2ttYW5fMHgwMC9nbm9tZV9zaGVsbF9leHRlbnNpb25fZG9ja2VyIiwKICAidXVpZCI6ICJkb2NrZXJAc3RpY2ttYW5fMHgwMC5jb20iLAogICJ2ZXJzaW9uIjogMzEKfQ=="}
}}
, {"uuid": "reboottouefi@ubaygd.com", "name": "RebootToUEFI", "pname": "reboottouefi", "description": "Reboot system into UEFI", "link": "https://extensions.gnome.org/extension/5105/reboottouefi/", "shell_version_map": {
"42": {"version": "14", "sha256": "1yqp2hhmcymzjyq3shwh1cld423iah9s8s3caqmjrln7vjh4syrw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlYm9vdCBzeXN0ZW0gaW50byBVRUZJIiwKICAibGljZW5zZSI6ICJHUEx2MyIsCiAgIm5hbWUiOiAiUmVib290VG9VRUZJIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vVWJheUdEL3JlYm9vdHRvdWVmaSIsCiAgInV1aWQiOiAicmVib290dG91ZWZpQHViYXlnZC5jb20iLAogICJ2ZXJzaW9uIjogMTQKfQ=="},
@@ -4922,7 +4940,7 @@
"42": {"version": "7", "sha256": "0ws6q5hdgygqp9x2jrhjklidyvc7miqs4h34fll7d1947scqw6lv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIGNsb2NrIHRvIHRoZSBkZXNrdG9wISIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJwYXlwYWwiOiAiYXphZWNoIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogImF6Y2xvY2siLAogICJuYW1lIjogIkRlc2t0b3AgQ2xvY2siLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYXpjbG9jayIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL0FuZHJld1phZWNoL2F6Y2xvY2siLAogICJ1dWlkIjogImF6Y2xvY2tAYXpjbG9jay5naXRsYWIuY29tIiwKICAidmVyc2lvbiI6IDcKfQ=="},
"43": {"version": "7", "sha256": "0ws6q5hdgygqp9x2jrhjklidyvc7miqs4h34fll7d1947scqw6lv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIGNsb2NrIHRvIHRoZSBkZXNrdG9wISIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJwYXlwYWwiOiAiYXphZWNoIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogImF6Y2xvY2siLAogICJuYW1lIjogIkRlc2t0b3AgQ2xvY2siLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYXpjbG9jayIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL0FuZHJld1phZWNoL2F6Y2xvY2siLAogICJ1dWlkIjogImF6Y2xvY2tAYXpjbG9jay5naXRsYWIuY29tIiwKICAidmVyc2lvbiI6IDcKfQ=="},
"44": {"version": "7", "sha256": "0ws6q5hdgygqp9x2jrhjklidyvc7miqs4h34fll7d1947scqw6lv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIGNsb2NrIHRvIHRoZSBkZXNrdG9wISIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJwYXlwYWwiOiAiYXphZWNoIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogImF6Y2xvY2siLAogICJuYW1lIjogIkRlc2t0b3AgQ2xvY2siLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYXpjbG9jayIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL0FuZHJld1phZWNoL2F6Y2xvY2siLAogICJ1dWlkIjogImF6Y2xvY2tAYXpjbG9jay5naXRsYWIuY29tIiwKICAidmVyc2lvbiI6IDcKfQ=="},
- "45": {"version": "10", "sha256": "1y5wk8jjb260x18fqks4yybwn4gdfdl4jqm4m72l3amx062m3vbv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIGNsb2NrIHRvIHRoZSBkZXNrdG9wISIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJwYXlwYWwiOiAiYXphZWNoIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogImF6Y2xvY2siLAogICJuYW1lIjogIkRlc2t0b3AgQ2xvY2siLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYXpjbG9jayIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9BbmRyZXdaYWVjaC9hemNsb2NrIiwKICAidXVpZCI6ICJhemNsb2NrQGF6Y2xvY2suZ2l0bGFiLmNvbSIsCiAgInZlcnNpb24iOiAxMAp9"}
+ "45": {"version": "11", "sha256": "1wgzgs9ch93kh1xgb2j8ahbrklkgfwnfg6ridjxbw0hld6znxsqy", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIGNsb2NrIHRvIHRoZSBkZXNrdG9wISIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJwYXlwYWwiOiAiYXphZWNoIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogImF6Y2xvY2siLAogICJuYW1lIjogIkRlc2t0b3AgQ2xvY2siLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYXpjbG9jayIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiLAogICAgIjQ2IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vQW5kcmV3WmFlY2gvYXpjbG9jayIsCiAgInV1aWQiOiAiYXpjbG9ja0BhemNsb2NrLmdpdGxhYi5jb20iLAogICJ2ZXJzaW9uIjogMTEKfQ=="}
}}
, {"uuid": "since-indicator@atareao.es", "name": "Since Indicator", "pname": "since-indicator", "description": "A simple menubar app for GNOME Shell that tracks how long you've been using your computer uninterruptedly", "link": "https://extensions.gnome.org/extension/5158/since-indicator/", "shell_version_map": {
"40": {"version": "4", "sha256": "009g178nkrpwhmvwwaamch3mjby03fiby7qvr0d5mp1dfrzsk3c5", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImF1dG9yIjogIkxvcmVuem8gQ2FyYm9uZWxsIiwKICAiY29weXJpZ2h0IjogIjIwMjIiLAogICJkZXNjcmlwdGlvbiI6ICJBIHNpbXBsZSBtZW51YmFyIGFwcCBmb3IgR05PTUUgU2hlbGwgdGhhdCB0cmFja3MgaG93IGxvbmcgeW91J3ZlIGJlZW4gdXNpbmcgeW91ciBjb21wdXRlciB1bmludGVycnVwdGVkbHkiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJzaW5jZS1pbmRpY2F0b3JAYXRhcmVhby5lcyIsCiAgImljb24iOiAic2luY2UtaW5kaWNhdG9yIiwKICAibmFtZSI6ICJTaW5jZSBJbmRpY2F0b3IiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuc2luY2UtaW5kaWNhdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vd3d3LmF0YXJlYW8uZXMvYXBsaWNhY2lvbi9zaW5jZS1pbmRpY2F0b3IiLAogICJ1dWlkIjogInNpbmNlLWluZGljYXRvckBhdGFyZWFvLmVzIiwKICAidmVyc2lvbiI6IDQKfQ=="},
@@ -4947,9 +4965,9 @@
"42": {"version": "10", "sha256": "0ca4nlss4j5rmq8xaqvjxxacdhb1vlmydqp4cal77mgzk79q63nk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlVQb3dlciBCYXR0ZXJ5IEluZGljYXRvci4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJ1cG93ZXJfYmF0dGVyeV9pbmRpY2F0b3IiLAogICJuYW1lIjogIlVQb3dlciBCYXR0ZXJ5IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vY29kaWxpYS91cG93ZXItYmF0dGVyeSIsCiAgInV1aWQiOiAidXBvd2VyLWJhdHRlcnlAY29kaWxpYS5jb20iLAogICJ2ZXJzaW9uIjogMTAKfQ=="},
"43": {"version": "10", "sha256": "0ca4nlss4j5rmq8xaqvjxxacdhb1vlmydqp4cal77mgzk79q63nk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlVQb3dlciBCYXR0ZXJ5IEluZGljYXRvci4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJ1cG93ZXJfYmF0dGVyeV9pbmRpY2F0b3IiLAogICJuYW1lIjogIlVQb3dlciBCYXR0ZXJ5IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vY29kaWxpYS91cG93ZXItYmF0dGVyeSIsCiAgInV1aWQiOiAidXBvd2VyLWJhdHRlcnlAY29kaWxpYS5jb20iLAogICJ2ZXJzaW9uIjogMTAKfQ=="},
"44": {"version": "10", "sha256": "0ca4nlss4j5rmq8xaqvjxxacdhb1vlmydqp4cal77mgzk79q63nk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlVQb3dlciBCYXR0ZXJ5IEluZGljYXRvci4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJ1cG93ZXJfYmF0dGVyeV9pbmRpY2F0b3IiLAogICJuYW1lIjogIlVQb3dlciBCYXR0ZXJ5IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vY29kaWxpYS91cG93ZXItYmF0dGVyeSIsCiAgInV1aWQiOiAidXBvd2VyLWJhdHRlcnlAY29kaWxpYS5jb20iLAogICJ2ZXJzaW9uIjogMTAKfQ=="},
- "45": {"version": "14", "sha256": "0z9aivrmzix5x0c09i6n2qdvs4xilf2cbjlvl0a7942h6nikqqrm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlVQb3dlciBCYXR0ZXJ5IEluZGljYXRvci4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJ1cG93ZXJfYmF0dGVyeV9pbmRpY2F0b3IiLAogICJuYW1lIjogIlVQb3dlciBCYXR0ZXJ5IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2NvZGlsaWEvdXBvd2VyLWJhdHRlcnkiLAogICJ1dWlkIjogInVwb3dlci1iYXR0ZXJ5QGNvZGlsaWEuY29tIiwKICAidmVyc2lvbiI6IDE0Cn0="}
+ "45": {"version": "15", "sha256": "1zcssp721cj5lal8a6x054qnyx45949vjkkd1disjs09z4p99xpc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlVQb3dlciBCYXR0ZXJ5IEluZGljYXRvci4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJ1cG93ZXJfYmF0dGVyeV9pbmRpY2F0b3IiLAogICJuYW1lIjogIlVQb3dlciBCYXR0ZXJ5IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2NvZGlsaWEvdXBvd2VyLWJhdHRlcnkiLAogICJ1dWlkIjogInVwb3dlci1iYXR0ZXJ5QGNvZGlsaWEuY29tIiwKICAidmVyc2lvbiI6IDE1Cn0="}
}}
-, {"uuid": "vertical-workspaces@G-dH.github.com", "name": "V-Shell (Vertical Workspaces)", "pname": "vertical-workspaces", "description": "Customize your GNOME Shell UX to fit your workflow, whether you like horizontally or vertically stacked workspaces.\n\nDear users, the reliability and stability of this extension is my priority, but V-Shell is an extension to default GNOME Shell and cannot be compatible with all available extensions. If you encounter any problem, first check for conflicts with other extensions and then open an issue on the Github page linked below.\n\nV-Shell includes many workarounds to survive conflicts and work with Dash to Dock / Ubuntu Dock extensions and other popular extensions, but issues may occur. The patched Dash to Dock for V-Shell is already available in my GitHub repository.\n\nAny feedback is greatly appreciated!\n\nV-Shell features:\n- vertical or horizontal orientation of workspaces\n- customize the overview layout, dimensions and contents\n- alternative overview modes with static workspace preview that reduces unnecessary movement on the screen\n- 4 predefined profiles with different layout and behavior that can be overwritten by your settings\n- wallpaper background with adjustable blur effect in the overview\n- dash icon size, content, icon click and scroll behavior\n- app grid dimensions, icons size, contents and behavior\n- active icons in the folder preview\n- close workspace button on workspace thumbnail\n- main panel position and visibility\n- hot corner/edge position and behavior\n- notifications, OSD and workspace switcher popup position\n- custom window attention handler behavior\n- improved app search provider with custom icon size\n- window search provider for quick navigation between windows\n- recent files search provider\n- extensions search provider\n- static background in the workspace switcher animation outside of the overview\n- independent workspace switching for each monitor (workaround)\n- workspace switcher popup appears even when switching workspace with a gesture\n- workspace isolated Dash\n- fixes (works around) several upstream bugs (known and reported)\n- modular structure of the V-Shell allows you to disable modules that you don't need or conflict with other extension that you like better for the task", "link": "https://extensions.gnome.org/extension/5177/vertical-workspaces/", "shell_version_map": {
+, {"uuid": "vertical-workspaces@G-dH.github.com", "name": "V-Shell (Vertical Workspaces)", "pname": "vertical-workspaces", "description": "Customize your GNOME Shell UX to fit your workflow, whether you like horizontally or vertically stacked workspaces. Originally Vertical Workspaces.\n\nDear users, the reliability and stability of this extension is my priority, but V-Shell is an extension to default GNOME Shell and cannot be compatible with all available extensions. If you encounter any problem, first check for conflicts with other extensions and then open an issue on the Github page linked below.\n\nV-Shell includes many workarounds to survive conflicts and work with Dash to Dock / Ubuntu Dock extensions and other popular extensions, but issues may occur. The patched Dash to Dock for V-Shell is already available in my GitHub repository.\n\nAny feedback is greatly appreciated!\n\nV-Shell features:\n- vertical or horizontal orientation of workspaces\n- customize the overview layout, dimensions and contents\n- alternative overview modes with static workspace preview that reduces unnecessary movement on the screen\n- 4 predefined profiles with different layout and behavior that can be overwritten by your settings\n- wallpaper background with adjustable blur effect in the overview\n- dash icon size, content, icon click and scroll behavior\n- app grid dimensions, icon size, contents and behavior\n- active icons in the folder preview\n- close workspace button on workspace thumbnail\n- main panel position and visibility\n- hot corner/edge position and behavior\n- notifications, OSD and workspace switcher popup position\n- custom window attention handler behavior\n- improved app search provider with custom icon size\n- window search provider for quick navigation between windows\n- recent files search provider\n- extensions search provider\n- static background in the workspace switcher animation outside of the overview\n- independent workspace switching for each monitor (workaround)\n- workspace switcher popup appears even when switching workspace with a gesture\n- workspace isolated Dash\n- fixes (works around) several upstream bugs (known and reported)\n- modular structure of the V-Shell allows you to disable modules that you don't need or conflict with other extension that you like better for the task", "link": "https://extensions.gnome.org/extension/5177/vertical-workspaces/", "shell_version_map": {
"42": {"version": "53", "sha256": "14jva97bd3shc3skz348pw41h9slxrkz6zd7s3x9snf4rv5xj59r", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkN1c3RvbWl6ZSB5b3VyIEdOT01FIFNoZWxsIFVYIHRvIHN1aXQgeW91ciB3b3JrZmxvdywgd2hldGhlciB5b3UgbGlrZSBob3Jpem9udGFsbHkgb3IgdmVydGljYWxseSBzdGFja2VkIHdvcmtzcGFjZXMuIiwKICAiZG9uYXRpb25zIjogewogICAgImJ1eW1lYWNvZmZlZSI6ICJnZW9yZ2RoIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogInZlcnRpY2FsLXdvcmtzcGFjZXMiLAogICJuYW1lIjogIlYtU2hlbGwgKFZlcnRpY2FsIFdvcmtzcGFjZXMpIiwKICAic2Vzc2lvbi1tb2RlcyI6IFsKICAgICJ1bmxvY2stZGlhbG9nIiwKICAgICJ1c2VyIgogIF0sCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy52ZXJ0aWNhbC13b3Jrc3BhY2VzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vRy1kSC92ZXJ0aWNhbC13b3Jrc3BhY2VzIiwKICAidXVpZCI6ICJ2ZXJ0aWNhbC13b3Jrc3BhY2VzQEctZEguZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA1MywKICAidmVyc2lvbi1uYW1lIjogIjQ0LjExIgp9"},
"43": {"version": "53", "sha256": "14jva97bd3shc3skz348pw41h9slxrkz6zd7s3x9snf4rv5xj59r", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkN1c3RvbWl6ZSB5b3VyIEdOT01FIFNoZWxsIFVYIHRvIHN1aXQgeW91ciB3b3JrZmxvdywgd2hldGhlciB5b3UgbGlrZSBob3Jpem9udGFsbHkgb3IgdmVydGljYWxseSBzdGFja2VkIHdvcmtzcGFjZXMuIiwKICAiZG9uYXRpb25zIjogewogICAgImJ1eW1lYWNvZmZlZSI6ICJnZW9yZ2RoIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogInZlcnRpY2FsLXdvcmtzcGFjZXMiLAogICJuYW1lIjogIlYtU2hlbGwgKFZlcnRpY2FsIFdvcmtzcGFjZXMpIiwKICAic2Vzc2lvbi1tb2RlcyI6IFsKICAgICJ1bmxvY2stZGlhbG9nIiwKICAgICJ1c2VyIgogIF0sCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy52ZXJ0aWNhbC13b3Jrc3BhY2VzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vRy1kSC92ZXJ0aWNhbC13b3Jrc3BhY2VzIiwKICAidXVpZCI6ICJ2ZXJ0aWNhbC13b3Jrc3BhY2VzQEctZEguZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA1MywKICAidmVyc2lvbi1uYW1lIjogIjQ0LjExIgp9"},
"44": {"version": "53", "sha256": "14jva97bd3shc3skz348pw41h9slxrkz6zd7s3x9snf4rv5xj59r", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkN1c3RvbWl6ZSB5b3VyIEdOT01FIFNoZWxsIFVYIHRvIHN1aXQgeW91ciB3b3JrZmxvdywgd2hldGhlciB5b3UgbGlrZSBob3Jpem9udGFsbHkgb3IgdmVydGljYWxseSBzdGFja2VkIHdvcmtzcGFjZXMuIiwKICAiZG9uYXRpb25zIjogewogICAgImJ1eW1lYWNvZmZlZSI6ICJnZW9yZ2RoIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogInZlcnRpY2FsLXdvcmtzcGFjZXMiLAogICJuYW1lIjogIlYtU2hlbGwgKFZlcnRpY2FsIFdvcmtzcGFjZXMpIiwKICAic2Vzc2lvbi1tb2RlcyI6IFsKICAgICJ1bmxvY2stZGlhbG9nIiwKICAgICJ1c2VyIgogIF0sCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy52ZXJ0aWNhbC13b3Jrc3BhY2VzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vRy1kSC92ZXJ0aWNhbC13b3Jrc3BhY2VzIiwKICAidXVpZCI6ICJ2ZXJ0aWNhbC13b3Jrc3BhY2VzQEctZEguZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA1MywKICAidmVyc2lvbi1uYW1lIjogIjQ0LjExIgp9"},
@@ -4973,7 +4991,7 @@
"42": {"version": "4", "sha256": "02zh6hqv3l7r9m4im864hjpyhjsyblvvclinl817q6vmbbniq5f2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZvcmsgb2YgUG9wIExhdW5jaGVyIFN1cGVyLUtleTogQmluZCB0aGUgU3VwZXItS2V5IHRvIGEgY3VzdG9tIGFjdGlvbiIsCiAgIm5hbWUiOiAiU3VwZXIgS2V5IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnN1cGVyLWtleSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3RvbW1pbW9uIiwKICAidXVpZCI6ICJzdXBlci1rZXlAdG9tbWltb24uZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA0Cn0="},
"43": {"version": "4", "sha256": "02zh6hqv3l7r9m4im864hjpyhjsyblvvclinl817q6vmbbniq5f2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZvcmsgb2YgUG9wIExhdW5jaGVyIFN1cGVyLUtleTogQmluZCB0aGUgU3VwZXItS2V5IHRvIGEgY3VzdG9tIGFjdGlvbiIsCiAgIm5hbWUiOiAiU3VwZXIgS2V5IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnN1cGVyLWtleSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3RvbW1pbW9uIiwKICAidXVpZCI6ICJzdXBlci1rZXlAdG9tbWltb24uZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA0Cn0="},
"44": {"version": "4", "sha256": "02zh6hqv3l7r9m4im864hjpyhjsyblvvclinl817q6vmbbniq5f2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZvcmsgb2YgUG9wIExhdW5jaGVyIFN1cGVyLUtleTogQmluZCB0aGUgU3VwZXItS2V5IHRvIGEgY3VzdG9tIGFjdGlvbiIsCiAgIm5hbWUiOiAiU3VwZXIgS2V5IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnN1cGVyLWtleSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3RvbW1pbW9uIiwKICAidXVpZCI6ICJzdXBlci1rZXlAdG9tbWltb24uZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA0Cn0="},
- "45": {"version": "5", "sha256": "0rsp5aiq1glxw7gs1dsbdsahrdvl2giq8q4p5y5bxfhlldy21c2a", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZvcmsgb2YgUG9wIExhdW5jaGVyIFN1cGVyLUtleTogQmluZCB0aGUgU3VwZXItS2V5IHRvIGEgY3VzdG9tIGFjdGlvbiIsCiAgIm5hbWUiOiAiU3VwZXIgS2V5IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnN1cGVyLWtleSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS90b21taW1vbiIsCiAgInV1aWQiOiAic3VwZXIta2V5QHRvbW1pbW9uLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNQp9"}
+ "45": {"version": "6", "sha256": "0baab2h9bd6qqwpcciwvk6j93aifpmnwlz03xp4ryib13hkwpsx7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkZvcmsgb2YgUG9wIExhdW5jaGVyIFN1cGVyLUtleTogQmluZCB0aGUgU3VwZXItS2V5IHRvIGEgY3VzdG9tIGFjdGlvbiIsCiAgIm5hbWUiOiAiU3VwZXIgS2V5IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnN1cGVyLWtleSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiLAogICAgIjQ2LmJldGEiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS90b21taW1vbiIsCiAgInV1aWQiOiAic3VwZXIta2V5QHRvbW1pbW9uLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNgp9"}
}}
, {"uuid": "quick-google-meet@gmail.com", "name": "Quick Google Meet", "pname": "quick-google-meet", "description": "Quick open your personal google meet conference. This extension is not affiliated with Google", "link": "https://extensions.gnome.org/extension/5189/quick-google-meet/", "shell_version_map": {
"42": {"version": "2", "sha256": "17c0yrnwfanfp8jmmzwk87si5g93bchp7rhf6k2srf788pcbiifr", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlF1aWNrIG9wZW4geW91ciBwZXJzb25hbCBnb29nbGUgbWVldCBjb25mZXJlbmNlLiBUaGlzIGV4dGVuc2lvbiBpcyBub3QgYWZmaWxpYXRlZCB3aXRoIEdvb2dsZSIsCiAgIm5hbWUiOiAiUXVpY2sgR29vZ2xlIE1lZXQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQyIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vTWlzY2VsbGlhbi9xdWljay1nb29nbGUtbWVldCIsCiAgInV1aWQiOiAicXVpY2stZ29vZ2xlLW1lZXRAZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDIKfQ=="}
@@ -5258,7 +5276,7 @@
"42": {"version": "10", "sha256": "0sga40ixfj8wcxm66wm2rvcyzgbdfzl7ji8hjwqa0hx1s125nv60", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGFkZCBhIHN3aXRjaCB0byB0aGUgV2lGaSBtZW51LCBpbiB0aGUgR05PTUUgc3lzdGVtIG1lbnUsIHRoYXQgc2hvdyBhIFFSIENvZGUgb2YgdGhlIGFjdGl2ZSBjb25uZWN0aW9uLlxuXG5UaGlzIGNhbiBiZSB1c2VmdWwgZm9yIHF1aWNrbHkgY29ubmVjdGluZyBkZXZpY2VzIGNhcGFibGUgb2YgcmVhZGluZyBRUiBDb2RlIGFuZCBhcHBseWluZyB0aGUgc2V0dGluZ3MgdG8gdGhlIHN5c3RlbSwgd2l0aG91dCBoYXZpbmcgdG8gdHlwZSBpbiB0aGUgbmFtZSBhbmQgdGhlIHBhc3N3b3JkIG9mIHRoZSBXaUZpLiAoZS5nLiBBbmRyb2lkIFNtYXJ0cGhvbmUpLiBcblxuRnJvbSB2ZXJzaW9uIDQgYWRkZWQgYSBmdW5jdGlvbmFsaXR5IHRvIGNvcHkgdGhlIFFSIENvZGUgdG8gY2xpcGJvYXJkIHdpdGggcmlnaHQgY2xpY2sgb24gaXQuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXdpZmlxcmNvZGUiLAogICJuYW1lIjogIldpZmkgUVIgQ29kZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5nbm9tZS5vcmcvZ2xlcnJvL2dub21lLXNoZWxsLWV4dGVuc2lvbi13aWZpcXJjb2RlIiwKICAidXVpZCI6ICJ3aWZpcXJjb2RlQGdsZXJyby5wbS5tZSIsCiAgInZlcnNpb24iOiAxMAp9"},
"43": {"version": "10", "sha256": "0sga40ixfj8wcxm66wm2rvcyzgbdfzl7ji8hjwqa0hx1s125nv60", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGFkZCBhIHN3aXRjaCB0byB0aGUgV2lGaSBtZW51LCBpbiB0aGUgR05PTUUgc3lzdGVtIG1lbnUsIHRoYXQgc2hvdyBhIFFSIENvZGUgb2YgdGhlIGFjdGl2ZSBjb25uZWN0aW9uLlxuXG5UaGlzIGNhbiBiZSB1c2VmdWwgZm9yIHF1aWNrbHkgY29ubmVjdGluZyBkZXZpY2VzIGNhcGFibGUgb2YgcmVhZGluZyBRUiBDb2RlIGFuZCBhcHBseWluZyB0aGUgc2V0dGluZ3MgdG8gdGhlIHN5c3RlbSwgd2l0aG91dCBoYXZpbmcgdG8gdHlwZSBpbiB0aGUgbmFtZSBhbmQgdGhlIHBhc3N3b3JkIG9mIHRoZSBXaUZpLiAoZS5nLiBBbmRyb2lkIFNtYXJ0cGhvbmUpLiBcblxuRnJvbSB2ZXJzaW9uIDQgYWRkZWQgYSBmdW5jdGlvbmFsaXR5IHRvIGNvcHkgdGhlIFFSIENvZGUgdG8gY2xpcGJvYXJkIHdpdGggcmlnaHQgY2xpY2sgb24gaXQuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXdpZmlxcmNvZGUiLAogICJuYW1lIjogIldpZmkgUVIgQ29kZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5nbm9tZS5vcmcvZ2xlcnJvL2dub21lLXNoZWxsLWV4dGVuc2lvbi13aWZpcXJjb2RlIiwKICAidXVpZCI6ICJ3aWZpcXJjb2RlQGdsZXJyby5wbS5tZSIsCiAgInZlcnNpb24iOiAxMAp9"},
"44": {"version": "10", "sha256": "0sga40ixfj8wcxm66wm2rvcyzgbdfzl7ji8hjwqa0hx1s125nv60", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGFkZCBhIHN3aXRjaCB0byB0aGUgV2lGaSBtZW51LCBpbiB0aGUgR05PTUUgc3lzdGVtIG1lbnUsIHRoYXQgc2hvdyBhIFFSIENvZGUgb2YgdGhlIGFjdGl2ZSBjb25uZWN0aW9uLlxuXG5UaGlzIGNhbiBiZSB1c2VmdWwgZm9yIHF1aWNrbHkgY29ubmVjdGluZyBkZXZpY2VzIGNhcGFibGUgb2YgcmVhZGluZyBRUiBDb2RlIGFuZCBhcHBseWluZyB0aGUgc2V0dGluZ3MgdG8gdGhlIHN5c3RlbSwgd2l0aG91dCBoYXZpbmcgdG8gdHlwZSBpbiB0aGUgbmFtZSBhbmQgdGhlIHBhc3N3b3JkIG9mIHRoZSBXaUZpLiAoZS5nLiBBbmRyb2lkIFNtYXJ0cGhvbmUpLiBcblxuRnJvbSB2ZXJzaW9uIDQgYWRkZWQgYSBmdW5jdGlvbmFsaXR5IHRvIGNvcHkgdGhlIFFSIENvZGUgdG8gY2xpcGJvYXJkIHdpdGggcmlnaHQgY2xpY2sgb24gaXQuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXdpZmlxcmNvZGUiLAogICJuYW1lIjogIldpZmkgUVIgQ29kZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5nbm9tZS5vcmcvZ2xlcnJvL2dub21lLXNoZWxsLWV4dGVuc2lvbi13aWZpcXJjb2RlIiwKICAidXVpZCI6ICJ3aWZpcXJjb2RlQGdsZXJyby5wbS5tZSIsCiAgInZlcnNpb24iOiAxMAp9"},
- "45": {"version": "13", "sha256": "1dr9drh55xnd2nsl97pbwclf8slx0adcf95vvc7l263d9llcir33", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGFkZCBhIHN3aXRjaCB0byB0aGUgV2lGaSBtZW51LCBpbiB0aGUgR05PTUUgc3lzdGVtIG1lbnUsIHRoYXQgc2hvdyBhIFFSIENvZGUgb2YgdGhlIGFjdGl2ZSBjb25uZWN0aW9uLlxuXG5UaGlzIGNhbiBiZSB1c2VmdWwgZm9yIHF1aWNrbHkgY29ubmVjdGluZyBkZXZpY2VzIGNhcGFibGUgb2YgcmVhZGluZyBRUiBDb2RlIGFuZCBhcHBseWluZyB0aGUgc2V0dGluZ3MgdG8gdGhlIHN5c3RlbSwgd2l0aG91dCBoYXZpbmcgdG8gdHlwZSBpbiB0aGUgbmFtZSBhbmQgdGhlIHBhc3N3b3JkIG9mIHRoZSBXaUZpLiAoZS5nLiBBbmRyb2lkIFNtYXJ0cGhvbmUpLiBcblxuRnJvbSB2ZXJzaW9uIDQgYWRkZWQgYSBmdW5jdGlvbmFsaXR5IHRvIGNvcHkgdGhlIFFSIENvZGUgdG8gY2xpcGJvYXJkIHdpdGggcmlnaHQgY2xpY2sgb24gaXQuIiwKICAiZG9uYXRpb25zIjogewogICAgImtvZmkiOiAiZ2xlcnJvIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbi13aWZpcXJjb2RlIiwKICAibmFtZSI6ICJXaWZpIFFSIENvZGUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5nbm9tZS5vcmcvZ2xlcnJvL2dub21lLXNoZWxsLWV4dGVuc2lvbi13aWZpcXJjb2RlIiwKICAidXVpZCI6ICJ3aWZpcXJjb2RlQGdsZXJyby5wbS5tZSIsCiAgInZlcnNpb24iOiAxMwp9"}
+ "45": {"version": "14", "sha256": "167lllr4fy8xl8fdc3sn3b2gl66n4qmmxdd5wj6ra061cp1kz3ky", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGFkZCBhIHN3aXRjaCB0byB0aGUgV2lGaSBtZW51LCBpbiB0aGUgR05PTUUgc3lzdGVtIG1lbnUsIHRoYXQgc2hvdyBhIFFSIENvZGUgb2YgdGhlIGFjdGl2ZSBjb25uZWN0aW9uLlxuXG5UaGlzIGNhbiBiZSB1c2VmdWwgZm9yIHF1aWNrbHkgY29ubmVjdGluZyBkZXZpY2VzIGNhcGFibGUgb2YgcmVhZGluZyBRUiBDb2RlIGFuZCBhcHBseWluZyB0aGUgc2V0dGluZ3MgdG8gdGhlIHN5c3RlbSwgd2l0aG91dCBoYXZpbmcgdG8gdHlwZSBpbiB0aGUgbmFtZSBhbmQgdGhlIHBhc3N3b3JkIG9mIHRoZSBXaUZpLiAoZS5nLiBBbmRyb2lkIFNtYXJ0cGhvbmUpLiBcblxuRnJvbSB2ZXJzaW9uIDQgYWRkZWQgYSBmdW5jdGlvbmFsaXR5IHRvIGNvcHkgdGhlIFFSIENvZGUgdG8gY2xpcGJvYXJkIHdpdGggcmlnaHQgY2xpY2sgb24gaXQuIiwKICAiZG9uYXRpb25zIjogewogICAgImtvZmkiOiAiZ2xlcnJvIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbi13aWZpcXJjb2RlIiwKICAibmFtZSI6ICJXaWZpIFFSIENvZGUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IiwKICAgICI0NiIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuZ25vbWUub3JnL2dsZXJyby9nbm9tZS1zaGVsbC1leHRlbnNpb24td2lmaXFyY29kZSIsCiAgInV1aWQiOiAid2lmaXFyY29kZUBnbGVycm8ucG0ubWUiLAogICJ2ZXJzaW9uIjogMTQKfQ=="}
}}
, {"uuid": "eos-desktop@endlessm.com", "name": "Endless Desktop", "pname": "endless-desktop", "description": "Endless OS signature desktop", "link": "https://extensions.gnome.org/extension/5419/endless-desktop/", "shell_version_map": {
"41": {"version": "2", "sha256": "07wg7nab34bvhqnlfdni622c5r3pvlq04dly8spk53nxdwvisckr", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkVuZGxlc3MgT1Mgc2lnbmF0dXJlIGRlc2t0b3AiLAogICJuYW1lIjogIkVuZGxlc3MgRGVza3RvcCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJjb20uZW5kbGVzc20uZGVza3RvcC1leHRlbnNpb24iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQxIiwKICAgICI0MiIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2VuZGxlc3NtL2Vvcy1kZXNrdG9wLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAiZW9zLWRlc2t0b3BAZW5kbGVzc20uY29tIiwKICAidmVyc2lvbiI6IDIKfQ=="},
@@ -5320,7 +5338,7 @@
"42": {"version": "17", "sha256": "054k5q694ssm937qfxximg2qh5zx4m2qzcffdxjm4xd39qn4bi85", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRha2UgdGhlIGFwcHMgc2VhcmNoIG91dCBvZiBvdmVydmlldyIsCiAgImdldHRleHQtZG9tYWluIjogInNlYXJjaC1saWdodCIsCiAgIm5hbWUiOiAiU2VhcmNoIExpZ2h0IiwKICAic2NoZW1hLWlkIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNlYXJjaC1saWdodCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2ljZWRtYW4vc2VhcmNoLWxpZ2h0IiwKICAidXVpZCI6ICJzZWFyY2gtbGlnaHRAaWNlZG1hbi5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDE3Cn0="},
"43": {"version": "17", "sha256": "054k5q694ssm937qfxximg2qh5zx4m2qzcffdxjm4xd39qn4bi85", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRha2UgdGhlIGFwcHMgc2VhcmNoIG91dCBvZiBvdmVydmlldyIsCiAgImdldHRleHQtZG9tYWluIjogInNlYXJjaC1saWdodCIsCiAgIm5hbWUiOiAiU2VhcmNoIExpZ2h0IiwKICAic2NoZW1hLWlkIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNlYXJjaC1saWdodCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2ljZWRtYW4vc2VhcmNoLWxpZ2h0IiwKICAidXVpZCI6ICJzZWFyY2gtbGlnaHRAaWNlZG1hbi5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDE3Cn0="},
"44": {"version": "17", "sha256": "054k5q694ssm937qfxximg2qh5zx4m2qzcffdxjm4xd39qn4bi85", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRha2UgdGhlIGFwcHMgc2VhcmNoIG91dCBvZiBvdmVydmlldyIsCiAgImdldHRleHQtZG9tYWluIjogInNlYXJjaC1saWdodCIsCiAgIm5hbWUiOiAiU2VhcmNoIExpZ2h0IiwKICAic2NoZW1hLWlkIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNlYXJjaC1saWdodCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2ljZWRtYW4vc2VhcmNoLWxpZ2h0IiwKICAidXVpZCI6ICJzZWFyY2gtbGlnaHRAaWNlZG1hbi5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDE3Cn0="},
- "45": {"version": "19", "sha256": "038pg46kyjy1rxrv59h01rqsrn3nzkba24pjjajjpf9zvn88di9n", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRha2UgdGhlIGFwcHMgc2VhcmNoIG91dCBvZiBvdmVydmlldyIsCiAgImdldHRleHQtZG9tYWluIjogInNlYXJjaC1saWdodCIsCiAgIm5hbWUiOiAiU2VhcmNoIExpZ2h0IiwKICAic2NoZW1hLWlkIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNlYXJjaC1saWdodCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9pY2VkbWFuL3NlYXJjaC1saWdodCIsCiAgInV1aWQiOiAic2VhcmNoLWxpZ2h0QGljZWRtYW4uZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAxOQp9"}
+ "45": {"version": "20", "sha256": "1hy73br84dp9gz37jvnqyf680g2xn3gj4fbj03bddrzgbwq6hpj8", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRha2UgdGhlIGFwcHMgc2VhcmNoIG91dCBvZiBvdmVydmlldyIsCiAgImdldHRleHQtZG9tYWluIjogInNlYXJjaC1saWdodCIsCiAgIm5hbWUiOiAiU2VhcmNoIExpZ2h0IiwKICAic2NoZW1hLWlkIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNlYXJjaC1saWdodCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9pY2VkbWFuL3NlYXJjaC1saWdodCIsCiAgInV1aWQiOiAic2VhcmNoLWxpZ2h0QGljZWRtYW4uZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAyMAp9"}
}}
, {"uuid": "ibus-switcher@kevinhwang91.github.com", "name": "IBus Switcher", "pname": "ibus-switcher", "description": "Use d-bus to switch ibus source", "link": "https://extensions.gnome.org/extension/5497/ibus-switcher/", "shell_version_map": {
"45": {"version": "3", "sha256": "0cdbqrla0n3a82q1nvlyxx1a521c4xgc8b1rawc7qrbp6yjasi85", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlVzZSBkLWJ1cyB0byBzd2l0Y2ggaWJ1cyBzb3VyY2UiLAogICJuYW1lIjogIklCdXMgU3dpdGNoZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20va2V2aW5od2FuZzkxL2dub21lLXNoZWxsLWlidXMtc3dpdGNoZXIiLAogICJ1dWlkIjogImlidXMtc3dpdGNoZXJAa2V2aW5od2FuZzkxLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMwp9"}
@@ -5354,7 +5372,7 @@
, {"uuid": "nano-lights@chlumskyvaclav.gmail.com", "name": "Nano Lights", "pname": "nano-lights", "description": "This extension controls Nanoleaf shapes and possibly others Nanoleaf devices on your local (wifi) network. If you are experiencing an error on the upgrade, please log out and log in again.", "link": "https://extensions.gnome.org/extension/5519/nano-lights/", "shell_version_map": {
"43": {"version": "4", "sha256": "0w0092hhra27d59842xgc6r01v4sfbl5p1ipvf3gbq6pam9955b0", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGNvbnRyb2xzIE5hbm9sZWFmIHNoYXBlcyBhbmQgcG9zc2libHkgb3RoZXJzIE5hbm9sZWFmIGRldmljZXMgb24geW91ciBsb2NhbCAod2lmaSkgbmV0d29yay4gSWYgeW91IGFyZSBleHBlcmllbmNpbmcgYW4gZXJyb3Igb24gdGhlIHVwZ3JhZGUsIHBsZWFzZSBsb2cgb3V0IGFuZCBsb2cgaW4gYWdhaW4uIiwKICAiZ2V0dGV4dC1kb21haW4iOiAibmFuby1saWdodHMiLAogICJuYW1lIjogIk5hbm8gTGlnaHRzIiwKICAib3JpZ2luYWwtYXV0aG9yIjogImNobHVtc2t5dmFjbGF2QGdtYWlsLmNvbSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vdmNobHVtL25hbm8tbGlnaHRzIiwKICAidXVpZCI6ICJuYW5vLWxpZ2h0c0BjaGx1bXNreXZhY2xhdi5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogNAp9"},
"44": {"version": "4", "sha256": "0w0092hhra27d59842xgc6r01v4sfbl5p1ipvf3gbq6pam9955b0", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGNvbnRyb2xzIE5hbm9sZWFmIHNoYXBlcyBhbmQgcG9zc2libHkgb3RoZXJzIE5hbm9sZWFmIGRldmljZXMgb24geW91ciBsb2NhbCAod2lmaSkgbmV0d29yay4gSWYgeW91IGFyZSBleHBlcmllbmNpbmcgYW4gZXJyb3Igb24gdGhlIHVwZ3JhZGUsIHBsZWFzZSBsb2cgb3V0IGFuZCBsb2cgaW4gYWdhaW4uIiwKICAiZ2V0dGV4dC1kb21haW4iOiAibmFuby1saWdodHMiLAogICJuYW1lIjogIk5hbm8gTGlnaHRzIiwKICAib3JpZ2luYWwtYXV0aG9yIjogImNobHVtc2t5dmFjbGF2QGdtYWlsLmNvbSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vdmNobHVtL25hbm8tbGlnaHRzIiwKICAidXVpZCI6ICJuYW5vLWxpZ2h0c0BjaGx1bXNreXZhY2xhdi5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogNAp9"},
- "45": {"version": "6", "sha256": "0imm4icqlv2clvj8jvj4pb4rbk2rcsidr5gzwsg8zjzzmf4xlphk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGNvbnRyb2xzIE5hbm9sZWFmIHNoYXBlcyBhbmQgcG9zc2libHkgb3RoZXJzIE5hbm9sZWFmIGRldmljZXMgb24geW91ciBsb2NhbCAod2lmaSkgbmV0d29yay4gSWYgeW91IGFyZSBleHBlcmllbmNpbmcgYW4gZXJyb3Igb24gdGhlIHVwZ3JhZGUsIHBsZWFzZSBsb2cgb3V0IGFuZCBsb2cgaW4gYWdhaW4uIiwKICAiZ2V0dGV4dC1kb21haW4iOiAibmFuby1saWdodHMiLAogICJuYW1lIjogIk5hbm8gTGlnaHRzIiwKICAib3JpZ2luYWwtYXV0aG9yIjogImNobHVtc2t5dmFjbGF2QGdtYWlsLmNvbSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5uYW5vLWxpZ2h0cyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS92Y2hsdW0vbmFuby1saWdodHMiLAogICJ1dWlkIjogIm5hbm8tbGlnaHRzQGNobHVtc2t5dmFjbGF2LmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiA2Cn0="}
+ "45": {"version": "7", "sha256": "1ayij5spshhnj711dhppv4ql2gzpck5f9dsq9y8qybz7h15r0nj9", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGNvbnRyb2xzIE5hbm9sZWFmIHNoYXBlcyBhbmQgcG9zc2libHkgb3RoZXJzIE5hbm9sZWFmIGRldmljZXMgb24geW91ciBsb2NhbCAod2lmaSkgbmV0d29yay4gSWYgeW91IGFyZSBleHBlcmllbmNpbmcgYW4gZXJyb3Igb24gdGhlIHVwZ3JhZGUsIHBsZWFzZSBsb2cgb3V0IGFuZCBsb2cgaW4gYWdhaW4uIiwKICAiZ2V0dGV4dC1kb21haW4iOiAibmFuby1saWdodHMiLAogICJuYW1lIjogIk5hbm8gTGlnaHRzIiwKICAib3JpZ2luYWwtYXV0aG9yIjogImNobHVtc2t5dmFjbGF2QGdtYWlsLmNvbSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5uYW5vLWxpZ2h0cyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiLAogICAgIjQ2IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vdmNobHVtL25hbm8tbGlnaHRzIiwKICAidXVpZCI6ICJuYW5vLWxpZ2h0c0BjaGx1bXNreXZhY2xhdi5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogNwp9"}
}}
, {"uuid": "just-another-search-bar@xelad0m", "name": "Just Another Search Bar", "pname": "just-another-search-bar", "description": "Simple GNOME Shell extension for performing search queries\nfrom desktop. Some search engines are added as examples, you can add your own,\nincluding local search tools with suitable CLI", "link": "https://extensions.gnome.org/extension/5522/just-another-search-bar/", "shell_version_map": {
"43": {"version": "9", "sha256": "1p934bgds2d5sg4a7hqrdlm9l9psrg2bjfasv0h1k46nj0dpryzs", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXBsZSBHTk9NRSBTaGVsbCBleHRlbnNpb24gZm9yIHBlcmZvcm1pbmcgc2VhcmNoIHF1ZXJpZXNcbmZyb20gZGVza3RvcC4gU29tZSBzZWFyY2ggZW5naW5lcyBhcmUgYWRkZWQgYXMgZXhhbXBsZXMsIHlvdSBjYW4gYWRkIHlvdXIgb3duLFxuaW5jbHVkaW5nIGxvY2FsIHNlYXJjaCB0b29scyB3aXRoIHN1aXRhYmxlIENMSSIsCiAgIm5hbWUiOiAiSnVzdCBBbm90aGVyIFNlYXJjaCBCYXIiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLXNoZWxsLmV4dGVuc2lvbnMuanVzdC1hbm90aGVyLXNlYXJjaC1iYXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3hlbGFkMG0vanVzdC1hbm90aGVyLXNlYXJjaC1iYXIiLAogICJ1dWlkIjogImp1c3QtYW5vdGhlci1zZWFyY2gtYmFyQHhlbGFkMG0iLAogICJ2ZXJzaW9uIjogOQp9"},
@@ -5551,10 +5569,10 @@
"44": {"version": "4", "sha256": "0jszkq48sac8i7pgdgyfcyyqpc4jq3shaj0ghm9hwahs9qd040h3", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgbWluaW1hbCBjbGlwYm9hcmQgaW5kaWNhdG9yIGZvciB0aGUgZ25vbWUgc2hlbGwiLAogICJuYW1lIjogIkNsaXBib2FyZCBJbmRpY2F0b3IiLAogICJvcmlnaW5hbC1hdXRob3JzIjogIkRpZWcwSnMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0RpZWcwSnMvZ25vbWUtY2xpcGJvYXJkLWluZGljYXRvciIsCiAgInV1aWQiOiAiY2xpcGJvYXJkLWluZGljYXRvckBEaWVnMEpzLmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiA0Cn0="}
}}
, {"uuid": "Battery-Health-Charging@maniacx.github.com", "name": "Battery Health Charging", "pname": "battery-health-charging", "description": "Set battery charging threshold / charging limit / charging mode\nBattery Health Charging: An extension to maximize the battery life of laptops by setting their charging threshold or modes.\n\nCompatible with\n- Asus\n- LG\n- Samsung\n- Sony\n- Huawei\n- Toshiba\n- System76\n- Lenovo (Ideapad, Legion)\n- Thinkpad\n- Panasonic\n- Acer (dependencies: kernel module)\n- MSI (dependencies: kernel module)\n- Tuxedo (dependencies: kernel module)\n- Slimbook (dependencies: kernel module)\n- Tuxedo IntelQC71 (dependencies: kernel module)\n- XMG IntelQC71 (dependencies: kernel module)\n- Eluktronics IntelQC71 (dependencies: kernel module)\n- Purism Librem (dependencies: kernel module)\n- Gigabyte Aero/Aorus (dependencies: kernel module)\n- Dell (dependencies: custom package libsmbios)\n- Dell (dependencies: custom package Dell Command Center)\n- Apple Macbook Intel-series chip (dependencies: kernel module)\n- Apple Macbook M-series chip (dependencies: custom kernel)\n- Razer (dependencies: custom package razer-cli)\n- Framework (dependencies: kernel module)\n\nNot all models are comaptible. Please read about the compatibility and dependencies of your device on github link below.\n\nhttps://maniacx.github.io/Battery-Health-Charging/", "link": "https://extensions.gnome.org/extension/5724/battery-health-charging/", "shell_version_map": {
- "42": {"version": "50", "sha256": "0dnmlhhy0dzwgb9snz6gc3m5p5avij3f73m2645n0bx917p3az2a", "metadata": "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"},
- "43": {"version": "50", "sha256": "0dnmlhhy0dzwgb9snz6gc3m5p5avij3f73m2645n0bx917p3az2a", "metadata": "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"},
- "44": {"version": "50", "sha256": "0dnmlhhy0dzwgb9snz6gc3m5p5avij3f73m2645n0bx917p3az2a", "metadata": "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"},
- "45": {"version": "51", "sha256": "153r65965xrqwxf65qrnkijix5x5cl78wp1l60jvv9kb3yj616a1", "metadata": "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"}
+ "42": {"version": "59", "sha256": "01c1a7k20cnwi18sklvwzsbmdlqfjaph3np2gs7syjy087cff4j6", "metadata": "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"},
+ "43": {"version": "59", "sha256": "01c1a7k20cnwi18sklvwzsbmdlqfjaph3np2gs7syjy087cff4j6", "metadata": "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"},
+ "44": {"version": "59", "sha256": "01c1a7k20cnwi18sklvwzsbmdlqfjaph3np2gs7syjy087cff4j6", "metadata": "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"},
+ "45": {"version": "60", "sha256": "07h72vpc949z50fhhkn86mq2qcdibgl5263wi29l136260nsiv9p", "metadata": "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"}
}}
, {"uuid": "oneclickbios@sao.studio", "name": "One-Click BIOS", "pname": "one-click-bios", "description": "Restart into firmware settings directly from OS\n\nHold Shift and click the power menu button to trigger restart into firmware settings.\n\nAny suggestion is appreciated on GitHub!", "link": "https://extensions.gnome.org/extension/5733/one-click-bios/", "shell_version_map": {
"43": {"version": "5", "sha256": "07r9vspq35s632j0pzywrhb8islb9fq49dv0a1s6yvp23d7bzni7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlc3RhcnQgaW50byBmaXJtd2FyZSBzZXR0aW5ncyBkaXJlY3RseSBmcm9tIE9TXG5cbkhvbGQgU2hpZnQgYW5kIGNsaWNrIHRoZSBwb3dlciBtZW51IGJ1dHRvbiB0byB0cmlnZ2VyIHJlc3RhcnQgaW50byBmaXJtd2FyZSBzZXR0aW5ncy5cblxuQW55IHN1Z2dlc3Rpb24gaXMgYXBwcmVjaWF0ZWQgb24gR2l0SHViISIsCiAgIm5hbWUiOiAiT25lLUNsaWNrIEJJT1MiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQzIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbTFuaWNydXNoZXIvb25lLWNsaWNrLWJpb3MiLAogICJ1dWlkIjogIm9uZWNsaWNrYmlvc0BzYW8uc3R1ZGlvIiwKICAidmVyc2lvbiI6IDUKfQ=="}
@@ -5663,7 +5681,7 @@
"42": {"version": "6", "sha256": "1mdyigd5dn324ivb5bgypiq4gibjsbzm0rkcml9hi699a609736k", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkdub21lIFNoZWxsIEV4dGVuc2lvbiB0byB2aXN1YWxpemUgaGVhZHNldCBzdGF0dXMgZnJvbSBIZWFkc2V0Q29udHJvbCAoaHR0cHM6Ly9naXRodWIuY29tL1NhcGQvSGVhZHNldENvbnRyb2wpIGNvbW1hbmQgbGluZSB0b29sIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiSGVhZHNldENvbnRyb2wiLAogICJuYW1lIjogIkhlYWRzZXRDb250cm9sIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLkhlYWRzZXRDb250cm9sIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vQ2hyaXNMYXVpbmdlcjc3L2dub21lLXNoZWxsLWV4dGVuc2lvbi1IZWFkc2V0Q29udHJvbCIsCiAgInV1aWQiOiAiSGVhZHNldENvbnRyb2xAbGF1aW5nZXItY2xhbi5kZSIsCiAgInZlcnNpb24iOiA2Cn0="},
"43": {"version": "15", "sha256": "04as61msjqq359r1qm0d7dw7df2bcngx67pmwva8flyvzi62iavi", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkdub21lIFNoZWxsIEV4dGVuc2lvbiB0byB2aXN1YWxpemUgaGVhZHNldCBzdGF0dXMgZnJvbSBIZWFkc2V0Q29udHJvbCAoaHR0cHM6Ly9naXRodWIuY29tL1NhcGQvSGVhZHNldENvbnRyb2wpIGNvbW1hbmQgbGluZSB0b29sIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiSGVhZHNldENvbnRyb2wiLAogICJuYW1lIjogIkhlYWRzZXRDb250cm9sIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLkhlYWRzZXRDb250cm9sIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9DaHJpc0xhdWluZ2VyNzcvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLUhlYWRzZXRDb250cm9sIiwKICAidXVpZCI6ICJIZWFkc2V0Q29udHJvbEBsYXVpbmdlci1jbGFuLmRlIiwKICAidmVyc2lvbiI6IDE1Cn0="},
"44": {"version": "23", "sha256": "00clk8w8p1sxsqpmwsmlgki2vvx9cjlvnzsrn9j69n1img4vbiwh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkdub21lIFNoZWxsIEV4dGVuc2lvbiB0byB2aXN1YWxpemUgaGVhZHNldCBzdGF0dXMgZnJvbSBIZWFkc2V0Q29udHJvbCAoaHR0cHM6Ly9naXRodWIuY29tL1NhcGQvSGVhZHNldENvbnRyb2wpIGNvbW1hbmQgbGluZSB0b29sIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiSGVhZHNldENvbnRyb2wiLAogICJuYW1lIjogIkhlYWRzZXRDb250cm9sIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLkhlYWRzZXRDb250cm9sIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0NocmlzTGF1aW5nZXI3Ny9nbm9tZS1zaGVsbC1leHRlbnNpb24tSGVhZHNldENvbnRyb2wiLAogICJ1dWlkIjogIkhlYWRzZXRDb250cm9sQGxhdWluZ2VyLWNsYW4uZGUiLAogICJ2ZXJzaW9uIjogMjMKfQ=="},
- "45": {"version": "25", "sha256": "0wanclwsflg1p64sj5v6gq6hajq02qjgx3jc9pszyk8sgl60p64d", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkdub21lIFNoZWxsIEV4dGVuc2lvbiB0byB2aXN1YWxpemUgaGVhZHNldCBzdGF0dXMgZnJvbSBIZWFkc2V0Q29udHJvbCAoaHR0cHM6Ly9naXRodWIuY29tL1NhcGQvSGVhZHNldENvbnRyb2wpIGNvbW1hbmQgbGluZSB0b29sIiwKICAiZG9uYXRpb25zIjogewogICAgImdpdGh1YiI6ICJDaHJpc0xhdWluZ2VyNzciLAogICAgInBheXBhbCI6ICJDaHJpc0xhdWluZ2VyIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogIkhlYWRzZXRDb250cm9sIiwKICAibmFtZSI6ICJIZWFkc2V0Q29udHJvbCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5IZWFkc2V0Q29udHJvbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9DaHJpc0xhdWluZ2VyNzcvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLUhlYWRzZXRDb250cm9sIiwKICAidXVpZCI6ICJIZWFkc2V0Q29udHJvbEBsYXVpbmdlci1jbGFuLmRlIiwKICAidmVyc2lvbiI6IDI1LAogICJ2ZXJzaW9uLW5hbWUiOiAiNDUuMCIKfQ=="}
+ "45": {"version": "27", "sha256": "0cjadripkp234a0qps382yqjg4a2mpgrsz8j2pc9j571mg8ypj4h", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkdub21lIFNoZWxsIEV4dGVuc2lvbiB0byB2aXN1YWxpemUgaGVhZHNldCBzdGF0dXMgZnJvbSBIZWFkc2V0Q29udHJvbCAoaHR0cHM6Ly9naXRodWIuY29tL1NhcGQvSGVhZHNldENvbnRyb2wpIGNvbW1hbmQgbGluZSB0b29sIiwKICAiZG9uYXRpb25zIjogewogICAgImdpdGh1YiI6ICJDaHJpc0xhdWluZ2VyNzciLAogICAgInBheXBhbCI6ICJDaHJpc0xhdWluZ2VyIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogIkhlYWRzZXRDb250cm9sIiwKICAibmFtZSI6ICJIZWFkc2V0Q29udHJvbCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5IZWFkc2V0Q29udHJvbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiLAogICAgIjQ2IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vQ2hyaXNMYXVpbmdlcjc3L2dub21lLXNoZWxsLWV4dGVuc2lvbi1IZWFkc2V0Q29udHJvbCIsCiAgInV1aWQiOiAiSGVhZHNldENvbnRyb2xAbGF1aW5nZXItY2xhbi5kZSIsCiAgInZlcnNpb24iOiAyNywKICAidmVyc2lvbi1uYW1lIjogIjQ2LjEiCn0="}
}}
, {"uuid": "true-color-window-invert@lynet101", "name": "True Color Window Invert", "pname": "true-color-invert", "description": "Inverts the color of individual windows so they are hue-preserved.\nDefault shortcut is Super+I (Fork from JackKenney, due to 2+ years of inactivity)\n\n!!!IMPORTANT!!!\nDue to changes in personal and professional life, developer lynet_101 will no longer be able to maintain this project, and the extension, as a result, is from December the 5th 2023 orphaned\n\npatch notes v3:\nFixed an issue where windows would occasionally disappear upon inversion\n\nAbout Gnome 45:\nThere will be made an effort to port this extension to gnome 45, but gnome has decided to revamp large parts of the framework used for these sorts of extensions, and as I'm a solo developer, don't expect it to be ported immediately .\n\nI apologize for any inconvenience this may cause ;(", "link": "https://extensions.gnome.org/extension/5829/true-color-invert/", "shell_version_map": {
"38": {"version": "3", "sha256": "01hsx7mrd0z8fy797x3xn8jqjjw8a34dxj4vvf9w43zdixj5i6z4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkludmVydHMgdGhlIGNvbG9yIG9mIGluZGl2aWR1YWwgd2luZG93cyBzbyB0aGV5IGFyZSBodWUtcHJlc2VydmVkLlxuRGVmYXVsdCBzaG9ydGN1dCBpcyBTdXBlcitJIChGb3JrIGZyb20gSmFja0tlbm5leSwgZHVlIHRvIDIrIHllYXJzIG9mIGluYWN0aXZpdHlcblxucGF0Y2ggbm90ZXMgdjM6XG5GaXhlZCBhbiBpc3N1ZSB3aGVyZSB3aW5kb3dzIHdvdWxkIG9jY2FzaW9uYWxseSBkaXNhcHBlYXIgdXBvbiBpbnZlcnNpb25cblxuTm90ZXM6XG5BcyB0aGlzIGlzIGEgZmFpcmx5IG5ldyBhZG9wdGlvbiwgb2YgYSBmYWlybHkgb2xkIHByb2plY3QsIGV4cGVjdCBmcmVxdWVudCB1cGRhdGVzIGFuZCBidWcgcGF0Y2hlcyBpbiB0aGUgYmVnaW5uaW5nLiB0aGFuayB5b3UgZm9yIHVuZGVyc3RhbmRpbmciLAogICJuYW1lIjogIlRydWUgQ29sb3IgV2luZG93IEludmVydCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy50cnVlLWNvbG9yLXdpbmRvdy1pbnZlcnQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjMuMzIiLAogICAgIjMuMzYiLAogICAgIjMuMzgiLAogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0x5bmV0MTAxL2dub21lLXRydWUtY29sb3ItaW52ZXJ0IiwKICAidXVpZCI6ICJ0cnVlLWNvbG9yLXdpbmRvdy1pbnZlcnRAbHluZXQxMDEiLAogICJ2ZXJzaW9uIjogMwp9"},
@@ -5749,7 +5767,7 @@
"44": {"version": "8", "sha256": "09ybjnfgf4pnyj4q9xck5cs5yi0mwin47d3mbq55m25mk8sd8grm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlF1aWNrbHkgY2FwdHVyZSB0ZXh0IHNuaXBwZXRzIGFuZCBpZGVhcywgdGhlbiBjb252ZXJ0IHRoZW0gdG8gdGFza3Mgb3IgZXZlbnRzIiwKICAibmFtZSI6ICJRdWljayBUZXh0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2JyYWluc3Rvcm10cm9vcGVyL3F1aWNrdGV4dCIsCiAgInV1aWQiOiAicXVpY2t0ZXh0QGJyYWluc3Rvcm10cm9vcGVyLmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiA4Cn0="},
"45": {"version": "12", "sha256": "0g9vyxvjsn0wbkmwdnj1dx1qja6ny98hq6yc05yj3kr13nh3ynx3", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlF1aWNrbHkgY2FwdHVyZSB0ZXh0IHNuaXBwZXRzIGFuZCBpZGVhcywgdGhlbiBjb252ZXJ0IHRoZW0gdG8gdGFza3Mgb3IgZXZlbnRzIiwKICAibmFtZSI6ICJRdWljayBUZXh0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2JyYWluc3Rvcm10cm9vcGVyL3F1aWNrdGV4dCIsCiAgInV1aWQiOiAicXVpY2t0ZXh0QGJyYWluc3Rvcm10cm9vcGVyLmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiAxMgp9"}
}}
-, {"uuid": "app-hider@lynith.dev", "name": "App Hider", "pname": "app-hider", "description": "Hide apps in GNOME's app menu", "link": "https://extensions.gnome.org/extension/5895/app-hider/", "shell_version_map": {
+, {"uuid": "app-hider@lynith.dev", "name": "App Hider", "pname": "app-hider", "description": "Hide apps in GNOME's app menu or global search.", "link": "https://extensions.gnome.org/extension/5895/app-hider/", "shell_version_map": {
"42": {"version": "18", "sha256": "1cqwwynvnqpgzip4v1r52yl66x8rqd15xyjabplb9i19dibwh67a", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUgYXBwcyBpbiBHTk9NRSdzIGFwcCBtZW51IiwKICAiZG9uYXRpb25zIjogewogICAgImtvZmkiOiAibHluaXRoIgogIH0sCiAgIm5hbWUiOiAiQXBwIEhpZGVyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmFwcC1oaWRlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0x5bml0aERldi9nbm9tZS1hcHAtaGlkZXIiLAogICJ1dWlkIjogImFwcC1oaWRlckBseW5pdGguZGV2IiwKICAidmVyc2lvbiI6IDE4Cn0="},
"43": {"version": "18", "sha256": "1cqwwynvnqpgzip4v1r52yl66x8rqd15xyjabplb9i19dibwh67a", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUgYXBwcyBpbiBHTk9NRSdzIGFwcCBtZW51IiwKICAiZG9uYXRpb25zIjogewogICAgImtvZmkiOiAibHluaXRoIgogIH0sCiAgIm5hbWUiOiAiQXBwIEhpZGVyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmFwcC1oaWRlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0x5bml0aERldi9nbm9tZS1hcHAtaGlkZXIiLAogICJ1dWlkIjogImFwcC1oaWRlckBseW5pdGguZGV2IiwKICAidmVyc2lvbiI6IDE4Cn0="},
"44": {"version": "18", "sha256": "1cqwwynvnqpgzip4v1r52yl66x8rqd15xyjabplb9i19dibwh67a", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUgYXBwcyBpbiBHTk9NRSdzIGFwcCBtZW51IiwKICAiZG9uYXRpb25zIjogewogICAgImtvZmkiOiAibHluaXRoIgogIH0sCiAgIm5hbWUiOiAiQXBwIEhpZGVyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmFwcC1oaWRlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0x5bml0aERldi9nbm9tZS1hcHAtaGlkZXIiLAogICJ1dWlkIjogImFwcC1oaWRlckBseW5pdGguZGV2IiwKICAidmVyc2lvbiI6IDE4Cn0="},
@@ -5789,7 +5807,7 @@
"41": {"version": "7", "sha256": "1xd634cql2xakn62yqdml3s4r6x347138i7a20dn9r3qgmsi87s1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgYW4gaWNvbiBpbnN0ZWFkIG9mIHRoZSBsYWJlbCIsCiAgIm5hbWUiOiAiTG9nbyBBY3Rpdml0aWVzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9vcmJpdGNvcnJlY3Rvbi9sb2dvLWFjdGl2aXRpZXMiLAogICJ1dWlkIjogImxvZ29hY3Rpdml0aWVzQGdpdGh1Yi5jb20ub3JiaXRjb3JyZWN0aW9uIiwKICAidmVyc2lvbiI6IDcKfQ=="},
"42": {"version": "7", "sha256": "1xd634cql2xakn62yqdml3s4r6x347138i7a20dn9r3qgmsi87s1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgYW4gaWNvbiBpbnN0ZWFkIG9mIHRoZSBsYWJlbCIsCiAgIm5hbWUiOiAiTG9nbyBBY3Rpdml0aWVzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9vcmJpdGNvcnJlY3Rvbi9sb2dvLWFjdGl2aXRpZXMiLAogICJ1dWlkIjogImxvZ29hY3Rpdml0aWVzQGdpdGh1Yi5jb20ub3JiaXRjb3JyZWN0aW9uIiwKICAidmVyc2lvbiI6IDcKfQ=="},
"43": {"version": "7", "sha256": "1xd634cql2xakn62yqdml3s4r6x347138i7a20dn9r3qgmsi87s1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgYW4gaWNvbiBpbnN0ZWFkIG9mIHRoZSBsYWJlbCIsCiAgIm5hbWUiOiAiTG9nbyBBY3Rpdml0aWVzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9vcmJpdGNvcnJlY3Rvbi9sb2dvLWFjdGl2aXRpZXMiLAogICJ1dWlkIjogImxvZ29hY3Rpdml0aWVzQGdpdGh1Yi5jb20ub3JiaXRjb3JyZWN0aW9uIiwKICAidmVyc2lvbiI6IDcKfQ=="},
- "44": {"version": "7", "sha256": "1xd634cql2xakn62yqdml3s4r6x347138i7a20dn9r3qgmsi87s1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgYW4gaWNvbiBpbnN0ZWFkIG9mIHRoZSBsYWJlbCIsCiAgIm5hbWUiOiAiTG9nbyBBY3Rpdml0aWVzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9vcmJpdGNvcnJlY3Rvbi9sb2dvLWFjdGl2aXRpZXMiLAogICJ1dWlkIjogImxvZ29hY3Rpdml0aWVzQGdpdGh1Yi5jb20ub3JiaXRjb3JyZWN0aW9uIiwKICAidmVyc2lvbiI6IDcKfQ=="},
+ "44": {"version": "12", "sha256": "1nl24nrqz03lccl63ixi5w033n8q779kcmq8l1nsk0jjr8sz605c", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgYW4gaWNvbiBpbnN0ZWFkIG9mIHRoZSBsYWJlbCIsCiAgIm5hbWUiOiAiTG9nbyBBY3Rpdml0aWVzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL29yYml0Y29ycmVjdG9uL2xvZ28tYWN0aXZpdGllcyIsCiAgInV1aWQiOiAibG9nb2FjdGl2aXRpZXNAZ2l0aHViLmNvbS5vcmJpdGNvcnJlY3Rpb24iLAogICJ2ZXJzaW9uIjogMTIKfQ=="},
"45": {"version": "11", "sha256": "07zvxm2dhjbzw8g2bs0d8ajig3nvh10sf3n8kygn8gaxrx8dxdkf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgYW4gaWNvbiBpbnN0ZWFkIG9mIHRoZSBsYWJlbCIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJnaXRodWIiOiAiaG93YmVhIgogIH0sCiAgIm5hbWUiOiAiTG9nbyBBY3Rpdml0aWVzIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmxvZ29hY3Rpdml0aWVzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2hvd2JlYS9sb2dvLWFjdGl2aXRpZXMiLAogICJ1dWlkIjogImxvZ29hY3Rpdml0aWVzQGdpdGh1Yi5jb20ub3JiaXRjb3JyZWN0aW9uIiwKICAidmVyc2lvbiI6IDExCn0="}
}}
, {"uuid": "forbiden-multi-touch-gesture@touch-easy.com", "name": "forbiden-multi-touch", "pname": "forbiden-multi-touch", "description": "forbiden multi-touch-gesture", "link": "https://extensions.gnome.org/extension/5931/forbiden-multi-touch/", "shell_version_map": {
@@ -5802,9 +5820,9 @@
"44": {"version": "1", "sha256": "1jfy70wvx6qaaqkar37bsqyk5xshm3z69apdrmddznjq0am4m75l", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR1BVIHByb2ZpbGUgc3dpdGNoZXIgZGVzaWduZWQgdG8gd29yayB3aXRoIE52aWRpYSBQUklNRSAocHJpbWUtc2VsZWN0KS4iLAogICJuYW1lIjogIlBSSU1FIEdQVSBQcm9maWxlIFNlbGVjdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2FsZXhpc3B1cnNsYW5lL1BSSU1FLUdQVS1Qcm9maWxlLVNlbGVjdG9yLmdpdCIsCiAgInV1aWQiOiAiUFJJTUVfR1BVX3Byb2ZpbGVfc2VsZWN0b3JAYWxleGlzcHVyc2xhbmUuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAxCn0="}
}}
, {"uuid": "quick-settings-audio-panel@rayzeq.github.io", "name": "Quick Settings Audio Panel", "pname": "quick-settings-audio-panel", "description": "Create a new panel containing volumes and media control in the quick settings", "link": "https://extensions.gnome.org/extension/5940/quick-settings-audio-panel/", "shell_version_map": {
- "43": {"version": "36", "sha256": "11qg2qszr3c4360nns0k8zp4yzma104dqhz6fxjah4l06dd4ajp6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNyZWF0ZSBhIG5ldyBwYW5lbCBjb250YWluaW5nIHZvbHVtZXMgYW5kIG1lZGlhIGNvbnRyb2wgaW4gdGhlIHF1aWNrIHNldHRpbmdzIiwKICAibmFtZSI6ICJRdWljayBTZXR0aW5ncyBBdWRpbyBQYW5lbCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5xdWljay1zZXR0aW5ncy1hdWRpby1wYW5lbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vUmF5emVxL3F1aWNrLXNldHRpbmdzLWF1ZGlvLXBhbmVsIiwKICAidXVpZCI6ICJxdWljay1zZXR0aW5ncy1hdWRpby1wYW5lbEByYXl6ZXEuZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDM2Cn0="},
- "44": {"version": "36", "sha256": "11qg2qszr3c4360nns0k8zp4yzma104dqhz6fxjah4l06dd4ajp6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNyZWF0ZSBhIG5ldyBwYW5lbCBjb250YWluaW5nIHZvbHVtZXMgYW5kIG1lZGlhIGNvbnRyb2wgaW4gdGhlIHF1aWNrIHNldHRpbmdzIiwKICAibmFtZSI6ICJRdWljayBTZXR0aW5ncyBBdWRpbyBQYW5lbCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5xdWljay1zZXR0aW5ncy1hdWRpby1wYW5lbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vUmF5emVxL3F1aWNrLXNldHRpbmdzLWF1ZGlvLXBhbmVsIiwKICAidXVpZCI6ICJxdWljay1zZXR0aW5ncy1hdWRpby1wYW5lbEByYXl6ZXEuZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDM2Cn0="},
- "45": {"version": "35", "sha256": "1mwvx43x35dpf55z5ap7x342wsd7f3i1y7dv32vldq5ykkp4kga6", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNyZWF0ZSBhIG5ldyBwYW5lbCBjb250YWluaW5nIHZvbHVtZXMgYW5kIG1lZGlhIGNvbnRyb2wgaW4gdGhlIHF1aWNrIHNldHRpbmdzIiwKICAiZ2V0dGV4dC1kb21haW4iOiAicXVpY2stc2V0dGluZ3MtYXVkaW8tcGFuZWxAcmF5emVxLmdpdGh1Yi5pbyIsCiAgIm5hbWUiOiAiUXVpY2sgU2V0dGluZ3MgQXVkaW8gUGFuZWwiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMucXVpY2stc2V0dGluZ3MtYXVkaW8tcGFuZWwiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vUmF5emVxL3F1aWNrLXNldHRpbmdzLWF1ZGlvLXBhbmVsIiwKICAidXVpZCI6ICJxdWljay1zZXR0aW5ncy1hdWRpby1wYW5lbEByYXl6ZXEuZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDM1Cn0="}
+ "43": {"version": "45", "sha256": "00d8j387483znvsigd0cjm8kgzb7z4paipavpdilmdv5k14iv0wn", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNyZWF0ZSBhIG5ldyBwYW5lbCBjb250YWluaW5nIHZvbHVtZXMgYW5kIG1lZGlhIGNvbnRyb2wgaW4gdGhlIHF1aWNrIHNldHRpbmdzIiwKICAibmFtZSI6ICJRdWljayBTZXR0aW5ncyBBdWRpbyBQYW5lbCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5xdWljay1zZXR0aW5ncy1hdWRpby1wYW5lbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vUmF5emVxL3F1aWNrLXNldHRpbmdzLWF1ZGlvLXBhbmVsIiwKICAidXVpZCI6ICJxdWljay1zZXR0aW5ncy1hdWRpby1wYW5lbEByYXl6ZXEuZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDQ1Cn0="},
+ "44": {"version": "45", "sha256": "00d8j387483znvsigd0cjm8kgzb7z4paipavpdilmdv5k14iv0wn", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNyZWF0ZSBhIG5ldyBwYW5lbCBjb250YWluaW5nIHZvbHVtZXMgYW5kIG1lZGlhIGNvbnRyb2wgaW4gdGhlIHF1aWNrIHNldHRpbmdzIiwKICAibmFtZSI6ICJRdWljayBTZXR0aW5ncyBBdWRpbyBQYW5lbCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5xdWljay1zZXR0aW5ncy1hdWRpby1wYW5lbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vUmF5emVxL3F1aWNrLXNldHRpbmdzLWF1ZGlvLXBhbmVsIiwKICAidXVpZCI6ICJxdWljay1zZXR0aW5ncy1hdWRpby1wYW5lbEByYXl6ZXEuZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDQ1Cn0="},
+ "45": {"version": "44", "sha256": "0xkwz2mkchvy2mym5a05p4b3wdzpv14kvcgwyywzxwmlmxxcxg74", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNyZWF0ZSBhIG5ldyBwYW5lbCBjb250YWluaW5nIHZvbHVtZXMgYW5kIG1lZGlhIGNvbnRyb2wgaW4gdGhlIHF1aWNrIHNldHRpbmdzIiwKICAiZ2V0dGV4dC1kb21haW4iOiAicXVpY2stc2V0dGluZ3MtYXVkaW8tcGFuZWxAcmF5emVxLmdpdGh1Yi5pbyIsCiAgIm5hbWUiOiAiUXVpY2sgU2V0dGluZ3MgQXVkaW8gUGFuZWwiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMucXVpY2stc2V0dGluZ3MtYXVkaW8tcGFuZWwiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vUmF5emVxL3F1aWNrLXNldHRpbmdzLWF1ZGlvLXBhbmVsIiwKICAidXVpZCI6ICJxdWljay1zZXR0aW5ncy1hdWRpby1wYW5lbEByYXl6ZXEuZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDQ0Cn0="}
}}
, {"uuid": "soft-brightness-plus@joelkitching.com", "name": "Soft Brightness Plus", "pname": "soft-brightness-plus", "description": "Add or override the brightness slider to change the brightness via an alpha layer (and optionally stop using or cooperate with the exising backlight, if present).\nEither internal, external or all monitors can be dimmed.\nSee the GitHub page for details.\n\nNote that this extension will keep running on the lock screen, as you'd also want the brightness setting to apply to the lock screen as well. Please report on GitHub if this gives you any trouble.\n\nThis extension is a fork of the original 'Soft brightness' extension, and maintains support for new GNOME releases.", "link": "https://extensions.gnome.org/extension/5943/soft-brightness-plus/", "shell_version_map": {
"38": {"version": "5", "sha256": "08i7qzs1c9ifpa9z5xdiif31jp10g4jxr0ijnn18vmcgv2pkfyln", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBvciBvdmVycmlkZSB0aGUgYnJpZ2h0bmVzcyBzbGlkZXIgdG8gY2hhbmdlIHRoZSBicmlnaHRuZXNzIHZpYSBhbiBhbHBoYSBsYXllciAoYW5kIG9wdGlvbmFsbHkgc3RvcCB1c2luZyBvciBjb29wZXJhdGUgd2l0aCB0aGUgZXhpc2luZyBiYWNrbGlnaHQsIGlmIHByZXNlbnQpLlxuRWl0aGVyIGludGVybmFsLCBleHRlcm5hbCBvciBhbGwgbW9uaXRvcnMgY2FuIGJlIGRpbW1lZC5cblNlZSB0aGUgR2l0SHViIHBhZ2UgZm9yIGRldGFpbHMuXG5cbk5vdGUgdGhhdCB0aGlzIGV4dGVuc2lvbiB3aWxsIGtlZXAgcnVubmluZyBvbiB0aGUgbG9jayBzY3JlZW4sIGFzIHlvdSdkIGFsc28gd2FudCB0aGUgYnJpZ2h0bmVzcyBzZXR0aW5nIHRvIGFwcGx5IHRvIHRoZSBsb2NrIHNjcmVlbiBhcyB3ZWxsLiBQbGVhc2UgcmVwb3J0IG9uIEdpdEh1YiBpZiB0aGlzIGdpdmVzIHlvdSBhbnkgdHJvdWJsZS5cblxuVGhpcyBleHRlbnNpb24gaXMgYSBmb3JrIG9mIHRoZSBvcmlnaW5hbCAnU29mdCBicmlnaHRuZXNzJyBleHRlbnNpb24sIGFuZCBpbmNsdWRlcyBzdXBwb3J0IGZvciBHTk9NRSA0MysuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAic29mdC1icmlnaHRuZXNzLXBsdXMiLAogICJuYW1lIjogIlNvZnQgQnJpZ2h0bmVzcyBQbHVzIiwKICAic2Vzc2lvbi1tb2RlcyI6IFsKICAgICJ1c2VyIiwKICAgICJ1bmxvY2stZGlhbG9nIgogIF0sCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zb2Z0LWJyaWdodG5lc3MtcGx1cyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zNCIsCiAgICAiMy4zNiIsCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vamtpdGNoaW5nL3NvZnQtYnJpZ2h0bmVzcy1wbHVzIiwKICAidXVpZCI6ICJzb2Z0LWJyaWdodG5lc3MtcGx1c0Bqb2Vsa2l0Y2hpbmcuY29tIiwKICAidmNzX3JldmlzaW9uIjogIjc3YjVmOTIiLAogICJ2ZXJzaW9uIjogNQp9"},
@@ -5839,13 +5857,13 @@
"44": {"version": "10", "sha256": "0v3cg0la8hr52pwpaxpaa4jkv5b2jw101dva78gvnqc04k4hgf7g", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR25vbWUgU2hlbGwgZXh0ZW5zaW9uIHRoYXQgYWxsb3dzIHlvdSB0byBoaWRlIHNlbGVjdGVkIG91dHB1dC9pbnB1dCBkZXZpY2VzIGZyb20gdGhlIFF1aWNrIFNldHRpbmdzIGF1ZGlvIGRldmljZXMgcGFuZWwuXG5UaGFua3MgdG8gaXQsIHlvdXIgUXVpY2sgU2V0dGluZ3MgcGFuZWwgd2lsbCBsaXN0IG9ubHkgdGhvc2UgZGV2aWNlcyB0aGF0IHlvdSBhY3R1YWxseSB1c2UgbWFraW5nIGl0IGVhc2llciB0byBxdWlja2x5IHN3aXRjaCBiZXR3ZWVuIHRoZW0uXG5cbk5vdGUgdGhhdCB0aGlzIGV4dGVuc2lvbiBkb2VzIG5vdCBwbGF5IHdlbGwgd2l0aCB0aGUgaHR0cHM6Ly9leHRlbnNpb25zLmdub21lLm9yZy9leHRlbnNpb24vNTk0MC9xdWljay1zZXR0aW5ncy1hdWRpby1wYW5lbC8gZXh0ZW5zaW9uLiBJdHMgb3B0aW9uIHRvXCJBbHdheXMgc2hvdyBtaWNyb3Bob25lIHZvbHVtZSBzbGlkZXJcIiBtaWdodCBub3Qgd29yayB3aGVuIFF1aWNrIFNldHRpbmdzIEF1ZGlvIERldmljZXMgSGlkZXIgaXMgZW5hYmxlZC4gQSB3b3JrYXJvdW5kIGNvdWxkIGJlIHRvIGluc3RhbGwgaHR0cHM6Ly9leHRlbnNpb25zLmdub21lLm9yZy9leHRlbnNpb24vNTQ0Ni9xdWljay1zZXR0aW5ncy10d2Vha2VyLywgd2hpY2ggaGFzIGEgc2ltaWxhciBmdW5jdGluYWxpdHkgdW5kZXIgdGhlIHRvZ2dsZSBcIkFsd2F5cyBzaG93IGlucHV0XCIgdW5kZXIgXCJJbnB1dC9PdXRwdXRcIiB0YWIuXG5cbkNoZWNrIG91dCB0aGUgaHR0cHM6Ly9leHRlbnNpb25zLmdub21lLm9yZy9leHRlbnNpb24vNjAwMC9xdWljay1zZXR0aW5ncy1hdWRpby1kZXZpY2VzLXJlbmFtZXIvIGlmIHlvdSdkIHJhdGhlciB3YW50IHRvIHJlbmFtZSBzb21lIGRldmljZSB0aGFuIGhpZGUgaXQuIiwKICAibmFtZSI6ICJRdWljayBTZXR0aW5ncyBBdWRpbyBEZXZpY2VzIEhpZGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9tYXJjaW5qYWhuL2dub21lLXF1aWNrc2V0dGluZ3MtYXVkaW8tZGV2aWNlcy1oaWRlci1leHRlbnNpb24iLAogICJ1dWlkIjogInF1aWNrc2V0dGluZ3MtYXVkaW8tZGV2aWNlcy1oaWRlckBtYXJjaW5qYWhuLmNvbSIsCiAgInZlcnNpb24iOiAxMAp9"},
"45": {"version": "12", "sha256": "13pjpn6kvz4ml07j7b0029kx6jaqd62qsyaywk4p69y2xakx279k", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR25vbWUgU2hlbGwgZXh0ZW5zaW9uIHRoYXQgYWxsb3dzIHlvdSB0byBoaWRlIHNlbGVjdGVkIG91dHB1dC9pbnB1dCBkZXZpY2VzIGZyb20gdGhlIFF1aWNrIFNldHRpbmdzIGF1ZGlvIGRldmljZXMgcGFuZWwuXG5UaGFua3MgdG8gaXQsIHlvdXIgUXVpY2sgU2V0dGluZ3MgcGFuZWwgd2lsbCBsaXN0IG9ubHkgdGhvc2UgZGV2aWNlcyB0aGF0IHlvdSBhY3R1YWxseSB1c2UgbWFraW5nIGl0IGVhc2llciB0byBxdWlja2x5IHN3aXRjaCBiZXR3ZWVuIHRoZW0uXG5cbk5vdGUgdGhhdCB0aGlzIGV4dGVuc2lvbiBkb2VzIG5vdCBwbGF5IHdlbGwgd2l0aCB0aGUgaHR0cHM6Ly9leHRlbnNpb25zLmdub21lLm9yZy9leHRlbnNpb24vNTk0MC9xdWljay1zZXR0aW5ncy1hdWRpby1wYW5lbC8gZXh0ZW5zaW9uLiBJdHMgb3B0aW9uIHRvXCJBbHdheXMgc2hvdyBtaWNyb3Bob25lIHZvbHVtZSBzbGlkZXJcIiBtaWdodCBub3Qgd29yayB3aGVuIFF1aWNrIFNldHRpbmdzIEF1ZGlvIERldmljZXMgSGlkZXIgaXMgZW5hYmxlZC4gQSB3b3JrYXJvdW5kIGNvdWxkIGJlIHRvIGluc3RhbGwgaHR0cHM6Ly9leHRlbnNpb25zLmdub21lLm9yZy9leHRlbnNpb24vNTQ0Ni9xdWljay1zZXR0aW5ncy10d2Vha2VyLywgd2hpY2ggaGFzIGEgc2ltaWxhciBmdW5jdGluYWxpdHkgdW5kZXIgdGhlIHRvZ2dsZSBcIkFsd2F5cyBzaG93IGlucHV0XCIgdW5kZXIgXCJJbnB1dC9PdXRwdXRcIiB0YWIuXG5cbkNoZWNrIG91dCB0aGUgaHR0cHM6Ly9leHRlbnNpb25zLmdub21lLm9yZy9leHRlbnNpb24vNjAwMC9xdWljay1zZXR0aW5ncy1hdWRpby1kZXZpY2VzLXJlbmFtZXIvIGlmIHlvdSdkIHJhdGhlciB3YW50IHRvIHJlbmFtZSBzb21lIGRldmljZSB0aGFuIGhpZGUgaXQuIiwKICAibmFtZSI6ICJRdWljayBTZXR0aW5ncyBBdWRpbyBEZXZpY2VzIEhpZGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL21hcmNpbmphaG4vZ25vbWUtcXVpY2tzZXR0aW5ncy1hdWRpby1kZXZpY2VzLWhpZGVyLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAicXVpY2tzZXR0aW5ncy1hdWRpby1kZXZpY2VzLWhpZGVyQG1hcmNpbmphaG4uY29tIiwKICAidmVyc2lvbiI6IDEyCn0="}
}}
-, {"uuid": "workspaces-by-open-apps@favo02.github.com", "name": "Workspaces indicator by open apps", "pname": "workspaces-indicator-by-open-apps", "description": "Display a simple workspace indicator showing icons of apps open in it.\n\nFeatures:\n\n- Show a simple indicator to display workspaces and apps open in it\n- Support for drag and drop: change an application workspace just dragging its icon\n- Right/Left click to focus application, Middle click to close\n- Workspaces scrolling: change active workspace scrolling hover the indicator\n- Support for multiple monitor (for both static and dynamic workspaces)\n- Rename workspaces directly from the extension (activate in settings)\n\nCustomization:\n\n- Indicator position\n- Scroll direction (normal or inverse)\n- Activate/deactivate 'middle click to close app'\n- Activate/deactivate 'workspace indicator'\n- Activate/deactivate 'app indicator'\n- Customize indicator color\n- Activate/deactivate 'round borders'\n- Show/Hide workspaces names\n- Show/Hide empty workspaces\n- Customize apps on all workspaces text indicator\n- Desaturate all icons\n- No effect/Reduce opacity/Desaturate for both minimized and inactive apps\n- Limit icons per workspace\n- Group icons of same application", "link": "https://extensions.gnome.org/extension/5967/workspaces-indicator-by-open-apps/", "shell_version_map": {
- "40": {"version": "11", "sha256": "15k910zwrq45fxp0vpbghsmkc63k664vc9i20n0v37797l0wkaid", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgYSBzaW1wbGUgd29ya3NwYWNlIGluZGljYXRvciBzaG93aW5nIGljb25zIG9mIGFwcHMgb3BlbiBpbiBpdC5cblxuRmVhdHVyZXM6XG5cbi0gU2hvdyBhIHNpbXBsZSBpbmRpY2F0b3IgdG8gZGlzcGxheSB3b3Jrc3BhY2VzIGFuZCBhcHBzIG9wZW4gaW4gaXRcbi0gU3VwcG9ydCBmb3IgZHJhZyBhbmQgZHJvcDogY2hhbmdlIGFuIGFwcGxpY2F0aW9uIHdvcmtzcGFjZSBqdXN0IGRyYWdnaW5nIGl0cyBpY29uXG4tIFJpZ2h0L0xlZnQgY2xpY2sgdG8gZm9jdXMgYXBwbGljYXRpb24sIE1pZGRsZSBjbGljayB0byBjbG9zZVxuLSBXb3Jrc3BhY2VzIHNjcm9sbGluZzogY2hhbmdlIGFjdGl2ZSB3b3Jrc3BhY2Ugc2Nyb2xsaW5nIGhvdmVyIHRoZSBpbmRpY2F0b3Jcbi0gU3VwcG9ydCBmb3IgbXVsdGlwbGUgbW9uaXRvciAoZm9yIGJvdGggc3RhdGljIGFuZCBkeW5hbWljIHdvcmtzcGFjZXMpXG4tIFJlbmFtZSB3b3Jrc3BhY2VzIGRpcmVjdGx5IGZyb20gdGhlIGV4dGVuc2lvbiAoYWN0aXZhdGUgaW4gc2V0dGluZ3MpXG5cbkN1c3RvbWl6YXRpb246XG5cbi0gSW5kaWNhdG9yIHBvc2l0aW9uXG4tIFNjcm9sbCBkaXJlY3Rpb24gKG5vcm1hbCBvciBpbnZlcnNlKVxuLSBBY3RpdmF0ZS9kZWFjdGl2YXRlICdtaWRkbGUgY2xpY2sgdG8gY2xvc2UgYXBwJ1xuLSBBY3RpdmF0ZS9kZWFjdGl2YXRlICd3b3Jrc3BhY2UgaW5kaWNhdG9yJ1xuLSBBY3RpdmF0ZS9kZWFjdGl2YXRlICdhcHAgaW5kaWNhdG9yJ1xuLSBDdXN0b21pemUgaW5kaWNhdG9yIGNvbG9yXG4tIEFjdGl2YXRlL2RlYWN0aXZhdGUgJ3JvdW5kIGJvcmRlcnMnXG4tIFNob3cvSGlkZSB3b3Jrc3BhY2VzIG5hbWVzXG4tIFNob3cvSGlkZSBlbXB0eSB3b3Jrc3BhY2VzXG4tIEN1c3RvbWl6ZSBhcHBzIG9uIGFsbCB3b3Jrc3BhY2VzIHRleHQgaW5kaWNhdG9yXG4tIERlc2F0dXJhdGUgYWxsIGljb25zXG4tIE5vIGVmZmVjdC9SZWR1Y2Ugb3BhY2l0eS9EZXNhdHVyYXRlIGZvciBib3RoIG1pbmltaXplZCBhbmQgaW5hY3RpdmUgYXBwc1xuLSBMaW1pdCBpY29ucyBwZXIgd29ya3NwYWNlXG4tIEdyb3VwIGljb25zIG9mIHNhbWUgYXBwbGljYXRpb25cbiIsCiAgIm5hbWUiOiAiV29ya3NwYWNlcyBpbmRpY2F0b3IgYnkgb3BlbiBhcHBzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9GYXZvMDIvd29ya3NwYWNlcy1ieS1vcGVuLWFwcHMiLAogICJ1dWlkIjogIndvcmtzcGFjZXMtYnktb3Blbi1hcHBzQGZhdm8wMi5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDExCn0="},
- "41": {"version": "11", "sha256": "15k910zwrq45fxp0vpbghsmkc63k664vc9i20n0v37797l0wkaid", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgYSBzaW1wbGUgd29ya3NwYWNlIGluZGljYXRvciBzaG93aW5nIGljb25zIG9mIGFwcHMgb3BlbiBpbiBpdC5cblxuRmVhdHVyZXM6XG5cbi0gU2hvdyBhIHNpbXBsZSBpbmRpY2F0b3IgdG8gZGlzcGxheSB3b3Jrc3BhY2VzIGFuZCBhcHBzIG9wZW4gaW4gaXRcbi0gU3VwcG9ydCBmb3IgZHJhZyBhbmQgZHJvcDogY2hhbmdlIGFuIGFwcGxpY2F0aW9uIHdvcmtzcGFjZSBqdXN0IGRyYWdnaW5nIGl0cyBpY29uXG4tIFJpZ2h0L0xlZnQgY2xpY2sgdG8gZm9jdXMgYXBwbGljYXRpb24sIE1pZGRsZSBjbGljayB0byBjbG9zZVxuLSBXb3Jrc3BhY2VzIHNjcm9sbGluZzogY2hhbmdlIGFjdGl2ZSB3b3Jrc3BhY2Ugc2Nyb2xsaW5nIGhvdmVyIHRoZSBpbmRpY2F0b3Jcbi0gU3VwcG9ydCBmb3IgbXVsdGlwbGUgbW9uaXRvciAoZm9yIGJvdGggc3RhdGljIGFuZCBkeW5hbWljIHdvcmtzcGFjZXMpXG4tIFJlbmFtZSB3b3Jrc3BhY2VzIGRpcmVjdGx5IGZyb20gdGhlIGV4dGVuc2lvbiAoYWN0aXZhdGUgaW4gc2V0dGluZ3MpXG5cbkN1c3RvbWl6YXRpb246XG5cbi0gSW5kaWNhdG9yIHBvc2l0aW9uXG4tIFNjcm9sbCBkaXJlY3Rpb24gKG5vcm1hbCBvciBpbnZlcnNlKVxuLSBBY3RpdmF0ZS9kZWFjdGl2YXRlICdtaWRkbGUgY2xpY2sgdG8gY2xvc2UgYXBwJ1xuLSBBY3RpdmF0ZS9kZWFjdGl2YXRlICd3b3Jrc3BhY2UgaW5kaWNhdG9yJ1xuLSBBY3RpdmF0ZS9kZWFjdGl2YXRlICdhcHAgaW5kaWNhdG9yJ1xuLSBDdXN0b21pemUgaW5kaWNhdG9yIGNvbG9yXG4tIEFjdGl2YXRlL2RlYWN0aXZhdGUgJ3JvdW5kIGJvcmRlcnMnXG4tIFNob3cvSGlkZSB3b3Jrc3BhY2VzIG5hbWVzXG4tIFNob3cvSGlkZSBlbXB0eSB3b3Jrc3BhY2VzXG4tIEN1c3RvbWl6ZSBhcHBzIG9uIGFsbCB3b3Jrc3BhY2VzIHRleHQgaW5kaWNhdG9yXG4tIERlc2F0dXJhdGUgYWxsIGljb25zXG4tIE5vIGVmZmVjdC9SZWR1Y2Ugb3BhY2l0eS9EZXNhdHVyYXRlIGZvciBib3RoIG1pbmltaXplZCBhbmQgaW5hY3RpdmUgYXBwc1xuLSBMaW1pdCBpY29ucyBwZXIgd29ya3NwYWNlXG4tIEdyb3VwIGljb25zIG9mIHNhbWUgYXBwbGljYXRpb25cbiIsCiAgIm5hbWUiOiAiV29ya3NwYWNlcyBpbmRpY2F0b3IgYnkgb3BlbiBhcHBzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9GYXZvMDIvd29ya3NwYWNlcy1ieS1vcGVuLWFwcHMiLAogICJ1dWlkIjogIndvcmtzcGFjZXMtYnktb3Blbi1hcHBzQGZhdm8wMi5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDExCn0="},
- "42": {"version": "11", "sha256": "15k910zwrq45fxp0vpbghsmkc63k664vc9i20n0v37797l0wkaid", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgYSBzaW1wbGUgd29ya3NwYWNlIGluZGljYXRvciBzaG93aW5nIGljb25zIG9mIGFwcHMgb3BlbiBpbiBpdC5cblxuRmVhdHVyZXM6XG5cbi0gU2hvdyBhIHNpbXBsZSBpbmRpY2F0b3IgdG8gZGlzcGxheSB3b3Jrc3BhY2VzIGFuZCBhcHBzIG9wZW4gaW4gaXRcbi0gU3VwcG9ydCBmb3IgZHJhZyBhbmQgZHJvcDogY2hhbmdlIGFuIGFwcGxpY2F0aW9uIHdvcmtzcGFjZSBqdXN0IGRyYWdnaW5nIGl0cyBpY29uXG4tIFJpZ2h0L0xlZnQgY2xpY2sgdG8gZm9jdXMgYXBwbGljYXRpb24sIE1pZGRsZSBjbGljayB0byBjbG9zZVxuLSBXb3Jrc3BhY2VzIHNjcm9sbGluZzogY2hhbmdlIGFjdGl2ZSB3b3Jrc3BhY2Ugc2Nyb2xsaW5nIGhvdmVyIHRoZSBpbmRpY2F0b3Jcbi0gU3VwcG9ydCBmb3IgbXVsdGlwbGUgbW9uaXRvciAoZm9yIGJvdGggc3RhdGljIGFuZCBkeW5hbWljIHdvcmtzcGFjZXMpXG4tIFJlbmFtZSB3b3Jrc3BhY2VzIGRpcmVjdGx5IGZyb20gdGhlIGV4dGVuc2lvbiAoYWN0aXZhdGUgaW4gc2V0dGluZ3MpXG5cbkN1c3RvbWl6YXRpb246XG5cbi0gSW5kaWNhdG9yIHBvc2l0aW9uXG4tIFNjcm9sbCBkaXJlY3Rpb24gKG5vcm1hbCBvciBpbnZlcnNlKVxuLSBBY3RpdmF0ZS9kZWFjdGl2YXRlICdtaWRkbGUgY2xpY2sgdG8gY2xvc2UgYXBwJ1xuLSBBY3RpdmF0ZS9kZWFjdGl2YXRlICd3b3Jrc3BhY2UgaW5kaWNhdG9yJ1xuLSBBY3RpdmF0ZS9kZWFjdGl2YXRlICdhcHAgaW5kaWNhdG9yJ1xuLSBDdXN0b21pemUgaW5kaWNhdG9yIGNvbG9yXG4tIEFjdGl2YXRlL2RlYWN0aXZhdGUgJ3JvdW5kIGJvcmRlcnMnXG4tIFNob3cvSGlkZSB3b3Jrc3BhY2VzIG5hbWVzXG4tIFNob3cvSGlkZSBlbXB0eSB3b3Jrc3BhY2VzXG4tIEN1c3RvbWl6ZSBhcHBzIG9uIGFsbCB3b3Jrc3BhY2VzIHRleHQgaW5kaWNhdG9yXG4tIERlc2F0dXJhdGUgYWxsIGljb25zXG4tIE5vIGVmZmVjdC9SZWR1Y2Ugb3BhY2l0eS9EZXNhdHVyYXRlIGZvciBib3RoIG1pbmltaXplZCBhbmQgaW5hY3RpdmUgYXBwc1xuLSBMaW1pdCBpY29ucyBwZXIgd29ya3NwYWNlXG4tIEdyb3VwIGljb25zIG9mIHNhbWUgYXBwbGljYXRpb25cbiIsCiAgIm5hbWUiOiAiV29ya3NwYWNlcyBpbmRpY2F0b3IgYnkgb3BlbiBhcHBzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9GYXZvMDIvd29ya3NwYWNlcy1ieS1vcGVuLWFwcHMiLAogICJ1dWlkIjogIndvcmtzcGFjZXMtYnktb3Blbi1hcHBzQGZhdm8wMi5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDExCn0="},
- "43": {"version": "11", "sha256": "15k910zwrq45fxp0vpbghsmkc63k664vc9i20n0v37797l0wkaid", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgYSBzaW1wbGUgd29ya3NwYWNlIGluZGljYXRvciBzaG93aW5nIGljb25zIG9mIGFwcHMgb3BlbiBpbiBpdC5cblxuRmVhdHVyZXM6XG5cbi0gU2hvdyBhIHNpbXBsZSBpbmRpY2F0b3IgdG8gZGlzcGxheSB3b3Jrc3BhY2VzIGFuZCBhcHBzIG9wZW4gaW4gaXRcbi0gU3VwcG9ydCBmb3IgZHJhZyBhbmQgZHJvcDogY2hhbmdlIGFuIGFwcGxpY2F0aW9uIHdvcmtzcGFjZSBqdXN0IGRyYWdnaW5nIGl0cyBpY29uXG4tIFJpZ2h0L0xlZnQgY2xpY2sgdG8gZm9jdXMgYXBwbGljYXRpb24sIE1pZGRsZSBjbGljayB0byBjbG9zZVxuLSBXb3Jrc3BhY2VzIHNjcm9sbGluZzogY2hhbmdlIGFjdGl2ZSB3b3Jrc3BhY2Ugc2Nyb2xsaW5nIGhvdmVyIHRoZSBpbmRpY2F0b3Jcbi0gU3VwcG9ydCBmb3IgbXVsdGlwbGUgbW9uaXRvciAoZm9yIGJvdGggc3RhdGljIGFuZCBkeW5hbWljIHdvcmtzcGFjZXMpXG4tIFJlbmFtZSB3b3Jrc3BhY2VzIGRpcmVjdGx5IGZyb20gdGhlIGV4dGVuc2lvbiAoYWN0aXZhdGUgaW4gc2V0dGluZ3MpXG5cbkN1c3RvbWl6YXRpb246XG5cbi0gSW5kaWNhdG9yIHBvc2l0aW9uXG4tIFNjcm9sbCBkaXJlY3Rpb24gKG5vcm1hbCBvciBpbnZlcnNlKVxuLSBBY3RpdmF0ZS9kZWFjdGl2YXRlICdtaWRkbGUgY2xpY2sgdG8gY2xvc2UgYXBwJ1xuLSBBY3RpdmF0ZS9kZWFjdGl2YXRlICd3b3Jrc3BhY2UgaW5kaWNhdG9yJ1xuLSBBY3RpdmF0ZS9kZWFjdGl2YXRlICdhcHAgaW5kaWNhdG9yJ1xuLSBDdXN0b21pemUgaW5kaWNhdG9yIGNvbG9yXG4tIEFjdGl2YXRlL2RlYWN0aXZhdGUgJ3JvdW5kIGJvcmRlcnMnXG4tIFNob3cvSGlkZSB3b3Jrc3BhY2VzIG5hbWVzXG4tIFNob3cvSGlkZSBlbXB0eSB3b3Jrc3BhY2VzXG4tIEN1c3RvbWl6ZSBhcHBzIG9uIGFsbCB3b3Jrc3BhY2VzIHRleHQgaW5kaWNhdG9yXG4tIERlc2F0dXJhdGUgYWxsIGljb25zXG4tIE5vIGVmZmVjdC9SZWR1Y2Ugb3BhY2l0eS9EZXNhdHVyYXRlIGZvciBib3RoIG1pbmltaXplZCBhbmQgaW5hY3RpdmUgYXBwc1xuLSBMaW1pdCBpY29ucyBwZXIgd29ya3NwYWNlXG4tIEdyb3VwIGljb25zIG9mIHNhbWUgYXBwbGljYXRpb25cbiIsCiAgIm5hbWUiOiAiV29ya3NwYWNlcyBpbmRpY2F0b3IgYnkgb3BlbiBhcHBzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9GYXZvMDIvd29ya3NwYWNlcy1ieS1vcGVuLWFwcHMiLAogICJ1dWlkIjogIndvcmtzcGFjZXMtYnktb3Blbi1hcHBzQGZhdm8wMi5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDExCn0="},
- "44": {"version": "11", "sha256": "15k910zwrq45fxp0vpbghsmkc63k664vc9i20n0v37797l0wkaid", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgYSBzaW1wbGUgd29ya3NwYWNlIGluZGljYXRvciBzaG93aW5nIGljb25zIG9mIGFwcHMgb3BlbiBpbiBpdC5cblxuRmVhdHVyZXM6XG5cbi0gU2hvdyBhIHNpbXBsZSBpbmRpY2F0b3IgdG8gZGlzcGxheSB3b3Jrc3BhY2VzIGFuZCBhcHBzIG9wZW4gaW4gaXRcbi0gU3VwcG9ydCBmb3IgZHJhZyBhbmQgZHJvcDogY2hhbmdlIGFuIGFwcGxpY2F0aW9uIHdvcmtzcGFjZSBqdXN0IGRyYWdnaW5nIGl0cyBpY29uXG4tIFJpZ2h0L0xlZnQgY2xpY2sgdG8gZm9jdXMgYXBwbGljYXRpb24sIE1pZGRsZSBjbGljayB0byBjbG9zZVxuLSBXb3Jrc3BhY2VzIHNjcm9sbGluZzogY2hhbmdlIGFjdGl2ZSB3b3Jrc3BhY2Ugc2Nyb2xsaW5nIGhvdmVyIHRoZSBpbmRpY2F0b3Jcbi0gU3VwcG9ydCBmb3IgbXVsdGlwbGUgbW9uaXRvciAoZm9yIGJvdGggc3RhdGljIGFuZCBkeW5hbWljIHdvcmtzcGFjZXMpXG4tIFJlbmFtZSB3b3Jrc3BhY2VzIGRpcmVjdGx5IGZyb20gdGhlIGV4dGVuc2lvbiAoYWN0aXZhdGUgaW4gc2V0dGluZ3MpXG5cbkN1c3RvbWl6YXRpb246XG5cbi0gSW5kaWNhdG9yIHBvc2l0aW9uXG4tIFNjcm9sbCBkaXJlY3Rpb24gKG5vcm1hbCBvciBpbnZlcnNlKVxuLSBBY3RpdmF0ZS9kZWFjdGl2YXRlICdtaWRkbGUgY2xpY2sgdG8gY2xvc2UgYXBwJ1xuLSBBY3RpdmF0ZS9kZWFjdGl2YXRlICd3b3Jrc3BhY2UgaW5kaWNhdG9yJ1xuLSBBY3RpdmF0ZS9kZWFjdGl2YXRlICdhcHAgaW5kaWNhdG9yJ1xuLSBDdXN0b21pemUgaW5kaWNhdG9yIGNvbG9yXG4tIEFjdGl2YXRlL2RlYWN0aXZhdGUgJ3JvdW5kIGJvcmRlcnMnXG4tIFNob3cvSGlkZSB3b3Jrc3BhY2VzIG5hbWVzXG4tIFNob3cvSGlkZSBlbXB0eSB3b3Jrc3BhY2VzXG4tIEN1c3RvbWl6ZSBhcHBzIG9uIGFsbCB3b3Jrc3BhY2VzIHRleHQgaW5kaWNhdG9yXG4tIERlc2F0dXJhdGUgYWxsIGljb25zXG4tIE5vIGVmZmVjdC9SZWR1Y2Ugb3BhY2l0eS9EZXNhdHVyYXRlIGZvciBib3RoIG1pbmltaXplZCBhbmQgaW5hY3RpdmUgYXBwc1xuLSBMaW1pdCBpY29ucyBwZXIgd29ya3NwYWNlXG4tIEdyb3VwIGljb25zIG9mIHNhbWUgYXBwbGljYXRpb25cbiIsCiAgIm5hbWUiOiAiV29ya3NwYWNlcyBpbmRpY2F0b3IgYnkgb3BlbiBhcHBzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9GYXZvMDIvd29ya3NwYWNlcy1ieS1vcGVuLWFwcHMiLAogICJ1dWlkIjogIndvcmtzcGFjZXMtYnktb3Blbi1hcHBzQGZhdm8wMi5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDExCn0="},
- "45": {"version": "12", "sha256": "1mkixa6xb2bl8hygf7m8q910ixhaqa0jrcg2dvnhbxg05166h0fz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgYSBzaW1wbGUgd29ya3NwYWNlIGluZGljYXRvciBzaG93aW5nIGljb25zIG9mIGFwcHMgb3BlbiBpbiBpdC5cblxuRmVhdHVyZXM6XG5cbi0gU2hvdyBhIHNpbXBsZSBpbmRpY2F0b3IgdG8gZGlzcGxheSB3b3Jrc3BhY2VzIGFuZCBhcHBzIG9wZW4gaW4gaXRcbi0gU3VwcG9ydCBmb3IgZHJhZyBhbmQgZHJvcDogY2hhbmdlIGFuIGFwcGxpY2F0aW9uIHdvcmtzcGFjZSBqdXN0IGRyYWdnaW5nIGl0cyBpY29uXG4tIFJpZ2h0L0xlZnQgY2xpY2sgdG8gZm9jdXMgYXBwbGljYXRpb24sIE1pZGRsZSBjbGljayB0byBjbG9zZVxuLSBXb3Jrc3BhY2VzIHNjcm9sbGluZzogY2hhbmdlIGFjdGl2ZSB3b3Jrc3BhY2Ugc2Nyb2xsaW5nIGhvdmVyIHRoZSBpbmRpY2F0b3Jcbi0gU3VwcG9ydCBmb3IgbXVsdGlwbGUgbW9uaXRvciAoZm9yIGJvdGggc3RhdGljIGFuZCBkeW5hbWljIHdvcmtzcGFjZXMpXG4tIFJlbmFtZSB3b3Jrc3BhY2VzIGRpcmVjdGx5IGZyb20gdGhlIGV4dGVuc2lvbiAoYWN0aXZhdGUgaW4gc2V0dGluZ3MpXG5cbkN1c3RvbWl6YXRpb246XG5cbi0gSW5kaWNhdG9yIHBvc2l0aW9uXG4tIFNjcm9sbCBkaXJlY3Rpb24gKG5vcm1hbCBvciBpbnZlcnNlKVxuLSBBY3RpdmF0ZS9kZWFjdGl2YXRlICdtaWRkbGUgY2xpY2sgdG8gY2xvc2UgYXBwJ1xuLSBBY3RpdmF0ZS9kZWFjdGl2YXRlICd3b3Jrc3BhY2UgaW5kaWNhdG9yJ1xuLSBBY3RpdmF0ZS9kZWFjdGl2YXRlICdhcHAgaW5kaWNhdG9yJ1xuLSBDdXN0b21pemUgaW5kaWNhdG9yIGNvbG9yXG4tIEFjdGl2YXRlL2RlYWN0aXZhdGUgJ3JvdW5kIGJvcmRlcnMnXG4tIFNob3cvSGlkZSB3b3Jrc3BhY2VzIG5hbWVzXG4tIFNob3cvSGlkZSBlbXB0eSB3b3Jrc3BhY2VzXG4tIEN1c3RvbWl6ZSBhcHBzIG9uIGFsbCB3b3Jrc3BhY2VzIHRleHQgaW5kaWNhdG9yXG4tIERlc2F0dXJhdGUgYWxsIGljb25zXG4tIE5vIGVmZmVjdC9SZWR1Y2Ugb3BhY2l0eS9EZXNhdHVyYXRlIGZvciBib3RoIG1pbmltaXplZCBhbmQgaW5hY3RpdmUgYXBwc1xuLSBMaW1pdCBpY29ucyBwZXIgd29ya3NwYWNlXG4tIEdyb3VwIGljb25zIG9mIHNhbWUgYXBwbGljYXRpb25cbiIsCiAgIm5hbWUiOiAiV29ya3NwYWNlcyBpbmRpY2F0b3IgYnkgb3BlbiBhcHBzIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLndvcmtzcGFjZXMtaW5kaWNhdG9yLWJ5LW9wZW4tYXBwcyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9GYXZvMDIvd29ya3NwYWNlcy1ieS1vcGVuLWFwcHMiLAogICJ1dWlkIjogIndvcmtzcGFjZXMtYnktb3Blbi1hcHBzQGZhdm8wMi5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDEyCn0="}
+, {"uuid": "workspaces-by-open-apps@favo02.github.com", "name": "Workspaces indicator by open apps", "pname": "workspaces-indicator-by-open-apps", "description": "Display a simple workspace indicator showing icons of apps open in it.\n\nFeatures:\n\n- Show a simple indicator to display workspaces and apps open in it\n- Support for drag and drop: change an application workspace just dragging its icon\n- Right/Left click to focus/minimize application, Middle click to close\n- Workspaces scrolling: change active workspace scrolling hover the indicator\n- Support for multiple monitor (for both static and dynamic workspaces)\n- Rename workspaces directly from the extension (activate in settings)\n- Hide/show GNOME default workspace indicator (former activities button)\n\nCustomization:\n\n- Indicator position\n- Mouse scroll direction (normal or inverse)\n- Activate/deactivate 'middle click to close app'\n- Activate/deactivate 'click on active workspace to overview'\n- Activate/deactivate 'click on focused application to minimize'\n- Activate/deactivate 'workspace indicator'\n- Activate/deactivate 'app indicator'\n- Customize indicator color\n- Activate/deactivate 'round borders'\n- Show/Hide workspaces names\n- Show/Hide empty workspaces\n- Customize apps on all workspaces text indicator\n- Desaturate all icons\n- No effect/Reduce opacity/Desaturate for both minimized and inactive apps\n- Limit icons per workspace\n- Group icons of same application\n- Ignore applications (with regex)", "link": "https://extensions.gnome.org/extension/5967/workspaces-indicator-by-open-apps/", "shell_version_map": {
+ "40": {"version": "8", "sha256": "1iimby4wglgyrfx20la0kp9wdnfz3y6868n2044qvda2fbwxdx5c", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgYSBzaW1wbGUgd29ya3NwYWNlIGluZGljYXRvciBzaG93aW5nIGljb25zIG9mIGFwcHMgb3BlbiBpbiBpdC5cblxuVXNhZ2UgYW5kIGN1c3RvbWl6YXRpb246XG4tIFN1cHBvcnQgZm9yIGRyYWcgYW5kIGRyb3A6IGNoYW5nZSBhbiBhcHBsaWNhdGlvbiB3b3Jrc3BhY2UganVzdCBkcmFnZ2luZyBpdHMgaWNvblxuLSBSaWdodC9MZWZ0IGNsaWNrIHRvIGZvY3VzIGFwcGxpY2F0aW9uLCBNaWRkbGUgY2xpY2sgdG8gY2xvc2Vcbi0gV29ya3NwYWNlcyBzY3JvbGxpbmc6IGNoYW5nZSBhY3RpdmUgd29ya3NwYWNlIHNjcm9sbGluZyBob3ZlciB0aGUgaW5kaWNhdG9yXG4tIFRvZ2dsZSBhcHBsaWNhdGlvbiBpY29ucyBkZXNhdHVyYXRpb25cbi0gU2hvdy9IaWRlIHdvcmtzcGFjZXMgaW5kZXggbGFiZWxcbi0gU2hvdy9IaWRlIGFjdGl2ZSB3b3Jrc3BhY2UgYW5kIGZvY3VzZWQgYXBwIGluZGljYXRvclxuLSBDaGFuZ2UgaW5kaWNhdG9yIHN0eWxlIGFuZCBjb2xvclxuLSBTdXBwb3J0IGZvciBtdWx0aXBsZSBtb25pdG9yIChmb3IgYm90aCBzdGF0aWMgYW5kIGR5bmFtaWMgd29ya3NwYWNlcylcbi0gW05FVyFdIEhpZGUgZW1wdHkgd29ya3NwYWNlc1xuLSBbTkVXIV0gUmVuYW1lIHdvcmtzcGFjZXMgZGlyZWN0bHkgZnJvbSB0aGUgZXh0ZW5zaW9uIChzd2l0Y2ggd29ya3NwYWNlIHRvIGFwcGx5IG5ldyBuYW1lKVxuIiwKICAibmFtZSI6ICJXb3Jrc3BhY2VzIGluZGljYXRvciBieSBvcGVuIGFwcHMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0Zhdm8wMi93b3Jrc3BhY2VzLWJ5LW9wZW4tYXBwcyIsCiAgInV1aWQiOiAid29ya3NwYWNlcy1ieS1vcGVuLWFwcHNAZmF2bzAyLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogOAp9"},
+ "41": {"version": "8", "sha256": "1iimby4wglgyrfx20la0kp9wdnfz3y6868n2044qvda2fbwxdx5c", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgYSBzaW1wbGUgd29ya3NwYWNlIGluZGljYXRvciBzaG93aW5nIGljb25zIG9mIGFwcHMgb3BlbiBpbiBpdC5cblxuVXNhZ2UgYW5kIGN1c3RvbWl6YXRpb246XG4tIFN1cHBvcnQgZm9yIGRyYWcgYW5kIGRyb3A6IGNoYW5nZSBhbiBhcHBsaWNhdGlvbiB3b3Jrc3BhY2UganVzdCBkcmFnZ2luZyBpdHMgaWNvblxuLSBSaWdodC9MZWZ0IGNsaWNrIHRvIGZvY3VzIGFwcGxpY2F0aW9uLCBNaWRkbGUgY2xpY2sgdG8gY2xvc2Vcbi0gV29ya3NwYWNlcyBzY3JvbGxpbmc6IGNoYW5nZSBhY3RpdmUgd29ya3NwYWNlIHNjcm9sbGluZyBob3ZlciB0aGUgaW5kaWNhdG9yXG4tIFRvZ2dsZSBhcHBsaWNhdGlvbiBpY29ucyBkZXNhdHVyYXRpb25cbi0gU2hvdy9IaWRlIHdvcmtzcGFjZXMgaW5kZXggbGFiZWxcbi0gU2hvdy9IaWRlIGFjdGl2ZSB3b3Jrc3BhY2UgYW5kIGZvY3VzZWQgYXBwIGluZGljYXRvclxuLSBDaGFuZ2UgaW5kaWNhdG9yIHN0eWxlIGFuZCBjb2xvclxuLSBTdXBwb3J0IGZvciBtdWx0aXBsZSBtb25pdG9yIChmb3IgYm90aCBzdGF0aWMgYW5kIGR5bmFtaWMgd29ya3NwYWNlcylcbi0gW05FVyFdIEhpZGUgZW1wdHkgd29ya3NwYWNlc1xuLSBbTkVXIV0gUmVuYW1lIHdvcmtzcGFjZXMgZGlyZWN0bHkgZnJvbSB0aGUgZXh0ZW5zaW9uIChzd2l0Y2ggd29ya3NwYWNlIHRvIGFwcGx5IG5ldyBuYW1lKVxuIiwKICAibmFtZSI6ICJXb3Jrc3BhY2VzIGluZGljYXRvciBieSBvcGVuIGFwcHMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQwIiwKICAgICI0MSIsCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL0Zhdm8wMi93b3Jrc3BhY2VzLWJ5LW9wZW4tYXBwcyIsCiAgInV1aWQiOiAid29ya3NwYWNlcy1ieS1vcGVuLWFwcHNAZmF2bzAyLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogOAp9"},
+ "42": {"version": "13", "sha256": "1qmwvsv7g5lh7yri6m8c3r18zhvr6bh2wcchlgydyzfdkdsvjpid", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgYSBzaW1wbGUgd29ya3NwYWNlIGluZGljYXRvciBzaG93aW5nIGljb25zIG9mIGFwcHMgb3BlbiBpbiBpdC5cblxuRmVhdHVyZXM6XG5cbi0gU2hvdyBhIHNpbXBsZSBpbmRpY2F0b3IgdG8gZGlzcGxheSB3b3Jrc3BhY2VzIGFuZCBhcHBzIG9wZW4gaW4gaXRcbi0gU3VwcG9ydCBmb3IgZHJhZyBhbmQgZHJvcDogY2hhbmdlIGFuIGFwcGxpY2F0aW9uIHdvcmtzcGFjZSBqdXN0IGRyYWdnaW5nIGl0cyBpY29uXG4tIFJpZ2h0L0xlZnQgY2xpY2sgdG8gZm9jdXMgYXBwbGljYXRpb24sIE1pZGRsZSBjbGljayB0byBjbG9zZVxuLSBXb3Jrc3BhY2VzIHNjcm9sbGluZzogY2hhbmdlIGFjdGl2ZSB3b3Jrc3BhY2Ugc2Nyb2xsaW5nIGhvdmVyIHRoZSBpbmRpY2F0b3Jcbi0gU3VwcG9ydCBmb3IgbXVsdGlwbGUgbW9uaXRvciAoZm9yIGJvdGggc3RhdGljIGFuZCBkeW5hbWljIHdvcmtzcGFjZXMpXG4tIFJlbmFtZSB3b3Jrc3BhY2VzIGRpcmVjdGx5IGZyb20gdGhlIGV4dGVuc2lvbiAoYWN0aXZhdGUgaW4gc2V0dGluZ3MpXG5cbkN1c3RvbWl6YXRpb246XG5cbi0gSW5kaWNhdG9yIHBvc2l0aW9uXG4tIFNjcm9sbCBkaXJlY3Rpb24gKG5vcm1hbCBvciBpbnZlcnNlKVxuLSBBY3RpdmF0ZS9kZWFjdGl2YXRlICdtaWRkbGUgY2xpY2sgdG8gY2xvc2UgYXBwJ1xuLSBBY3RpdmF0ZS9kZWFjdGl2YXRlICd3b3Jrc3BhY2UgaW5kaWNhdG9yJ1xuLSBBY3RpdmF0ZS9kZWFjdGl2YXRlICdhcHAgaW5kaWNhdG9yJ1xuLSBDdXN0b21pemUgaW5kaWNhdG9yIGNvbG9yXG4tIEFjdGl2YXRlL2RlYWN0aXZhdGUgJ3JvdW5kIGJvcmRlcnMnXG4tIFNob3cvSGlkZSB3b3Jrc3BhY2VzIG5hbWVzXG4tIFNob3cvSGlkZSBlbXB0eSB3b3Jrc3BhY2VzXG4tIEN1c3RvbWl6ZSBhcHBzIG9uIGFsbCB3b3Jrc3BhY2VzIHRleHQgaW5kaWNhdG9yXG4tIERlc2F0dXJhdGUgYWxsIGljb25zXG4tIE5vIGVmZmVjdC9SZWR1Y2Ugb3BhY2l0eS9EZXNhdHVyYXRlIGZvciBib3RoIG1pbmltaXplZCBhbmQgaW5hY3RpdmUgYXBwc1xuLSBMaW1pdCBpY29ucyBwZXIgd29ya3NwYWNlXG4tIEdyb3VwIGljb25zIG9mIHNhbWUgYXBwbGljYXRpb25cbiIsCiAgIm5hbWUiOiAiV29ya3NwYWNlcyBpbmRpY2F0b3IgYnkgb3BlbiBhcHBzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vRmF2bzAyL3dvcmtzcGFjZXMtYnktb3Blbi1hcHBzIiwKICAidXVpZCI6ICJ3b3Jrc3BhY2VzLWJ5LW9wZW4tYXBwc0BmYXZvMDIuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAxMwp9"},
+ "43": {"version": "13", "sha256": "1qmwvsv7g5lh7yri6m8c3r18zhvr6bh2wcchlgydyzfdkdsvjpid", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgYSBzaW1wbGUgd29ya3NwYWNlIGluZGljYXRvciBzaG93aW5nIGljb25zIG9mIGFwcHMgb3BlbiBpbiBpdC5cblxuRmVhdHVyZXM6XG5cbi0gU2hvdyBhIHNpbXBsZSBpbmRpY2F0b3IgdG8gZGlzcGxheSB3b3Jrc3BhY2VzIGFuZCBhcHBzIG9wZW4gaW4gaXRcbi0gU3VwcG9ydCBmb3IgZHJhZyBhbmQgZHJvcDogY2hhbmdlIGFuIGFwcGxpY2F0aW9uIHdvcmtzcGFjZSBqdXN0IGRyYWdnaW5nIGl0cyBpY29uXG4tIFJpZ2h0L0xlZnQgY2xpY2sgdG8gZm9jdXMgYXBwbGljYXRpb24sIE1pZGRsZSBjbGljayB0byBjbG9zZVxuLSBXb3Jrc3BhY2VzIHNjcm9sbGluZzogY2hhbmdlIGFjdGl2ZSB3b3Jrc3BhY2Ugc2Nyb2xsaW5nIGhvdmVyIHRoZSBpbmRpY2F0b3Jcbi0gU3VwcG9ydCBmb3IgbXVsdGlwbGUgbW9uaXRvciAoZm9yIGJvdGggc3RhdGljIGFuZCBkeW5hbWljIHdvcmtzcGFjZXMpXG4tIFJlbmFtZSB3b3Jrc3BhY2VzIGRpcmVjdGx5IGZyb20gdGhlIGV4dGVuc2lvbiAoYWN0aXZhdGUgaW4gc2V0dGluZ3MpXG5cbkN1c3RvbWl6YXRpb246XG5cbi0gSW5kaWNhdG9yIHBvc2l0aW9uXG4tIFNjcm9sbCBkaXJlY3Rpb24gKG5vcm1hbCBvciBpbnZlcnNlKVxuLSBBY3RpdmF0ZS9kZWFjdGl2YXRlICdtaWRkbGUgY2xpY2sgdG8gY2xvc2UgYXBwJ1xuLSBBY3RpdmF0ZS9kZWFjdGl2YXRlICd3b3Jrc3BhY2UgaW5kaWNhdG9yJ1xuLSBBY3RpdmF0ZS9kZWFjdGl2YXRlICdhcHAgaW5kaWNhdG9yJ1xuLSBDdXN0b21pemUgaW5kaWNhdG9yIGNvbG9yXG4tIEFjdGl2YXRlL2RlYWN0aXZhdGUgJ3JvdW5kIGJvcmRlcnMnXG4tIFNob3cvSGlkZSB3b3Jrc3BhY2VzIG5hbWVzXG4tIFNob3cvSGlkZSBlbXB0eSB3b3Jrc3BhY2VzXG4tIEN1c3RvbWl6ZSBhcHBzIG9uIGFsbCB3b3Jrc3BhY2VzIHRleHQgaW5kaWNhdG9yXG4tIERlc2F0dXJhdGUgYWxsIGljb25zXG4tIE5vIGVmZmVjdC9SZWR1Y2Ugb3BhY2l0eS9EZXNhdHVyYXRlIGZvciBib3RoIG1pbmltaXplZCBhbmQgaW5hY3RpdmUgYXBwc1xuLSBMaW1pdCBpY29ucyBwZXIgd29ya3NwYWNlXG4tIEdyb3VwIGljb25zIG9mIHNhbWUgYXBwbGljYXRpb25cbiIsCiAgIm5hbWUiOiAiV29ya3NwYWNlcyBpbmRpY2F0b3IgYnkgb3BlbiBhcHBzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vRmF2bzAyL3dvcmtzcGFjZXMtYnktb3Blbi1hcHBzIiwKICAidXVpZCI6ICJ3b3Jrc3BhY2VzLWJ5LW9wZW4tYXBwc0BmYXZvMDIuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAxMwp9"},
+ "44": {"version": "13", "sha256": "1qmwvsv7g5lh7yri6m8c3r18zhvr6bh2wcchlgydyzfdkdsvjpid", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgYSBzaW1wbGUgd29ya3NwYWNlIGluZGljYXRvciBzaG93aW5nIGljb25zIG9mIGFwcHMgb3BlbiBpbiBpdC5cblxuRmVhdHVyZXM6XG5cbi0gU2hvdyBhIHNpbXBsZSBpbmRpY2F0b3IgdG8gZGlzcGxheSB3b3Jrc3BhY2VzIGFuZCBhcHBzIG9wZW4gaW4gaXRcbi0gU3VwcG9ydCBmb3IgZHJhZyBhbmQgZHJvcDogY2hhbmdlIGFuIGFwcGxpY2F0aW9uIHdvcmtzcGFjZSBqdXN0IGRyYWdnaW5nIGl0cyBpY29uXG4tIFJpZ2h0L0xlZnQgY2xpY2sgdG8gZm9jdXMgYXBwbGljYXRpb24sIE1pZGRsZSBjbGljayB0byBjbG9zZVxuLSBXb3Jrc3BhY2VzIHNjcm9sbGluZzogY2hhbmdlIGFjdGl2ZSB3b3Jrc3BhY2Ugc2Nyb2xsaW5nIGhvdmVyIHRoZSBpbmRpY2F0b3Jcbi0gU3VwcG9ydCBmb3IgbXVsdGlwbGUgbW9uaXRvciAoZm9yIGJvdGggc3RhdGljIGFuZCBkeW5hbWljIHdvcmtzcGFjZXMpXG4tIFJlbmFtZSB3b3Jrc3BhY2VzIGRpcmVjdGx5IGZyb20gdGhlIGV4dGVuc2lvbiAoYWN0aXZhdGUgaW4gc2V0dGluZ3MpXG5cbkN1c3RvbWl6YXRpb246XG5cbi0gSW5kaWNhdG9yIHBvc2l0aW9uXG4tIFNjcm9sbCBkaXJlY3Rpb24gKG5vcm1hbCBvciBpbnZlcnNlKVxuLSBBY3RpdmF0ZS9kZWFjdGl2YXRlICdtaWRkbGUgY2xpY2sgdG8gY2xvc2UgYXBwJ1xuLSBBY3RpdmF0ZS9kZWFjdGl2YXRlICd3b3Jrc3BhY2UgaW5kaWNhdG9yJ1xuLSBBY3RpdmF0ZS9kZWFjdGl2YXRlICdhcHAgaW5kaWNhdG9yJ1xuLSBDdXN0b21pemUgaW5kaWNhdG9yIGNvbG9yXG4tIEFjdGl2YXRlL2RlYWN0aXZhdGUgJ3JvdW5kIGJvcmRlcnMnXG4tIFNob3cvSGlkZSB3b3Jrc3BhY2VzIG5hbWVzXG4tIFNob3cvSGlkZSBlbXB0eSB3b3Jrc3BhY2VzXG4tIEN1c3RvbWl6ZSBhcHBzIG9uIGFsbCB3b3Jrc3BhY2VzIHRleHQgaW5kaWNhdG9yXG4tIERlc2F0dXJhdGUgYWxsIGljb25zXG4tIE5vIGVmZmVjdC9SZWR1Y2Ugb3BhY2l0eS9EZXNhdHVyYXRlIGZvciBib3RoIG1pbmltaXplZCBhbmQgaW5hY3RpdmUgYXBwc1xuLSBMaW1pdCBpY29ucyBwZXIgd29ya3NwYWNlXG4tIEdyb3VwIGljb25zIG9mIHNhbWUgYXBwbGljYXRpb25cbiIsCiAgIm5hbWUiOiAiV29ya3NwYWNlcyBpbmRpY2F0b3IgYnkgb3BlbiBhcHBzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vRmF2bzAyL3dvcmtzcGFjZXMtYnktb3Blbi1hcHBzIiwKICAidXVpZCI6ICJ3b3Jrc3BhY2VzLWJ5LW9wZW4tYXBwc0BmYXZvMDIuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAxMwp9"},
+ "45": {"version": "14", "sha256": "1grasnfra2ni3mkdib6sr9h8rj23x5fdly99c03i49vsw05qd614", "metadata": "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"}
}}
, {"uuid": "fshyperlinks@nuclear.polygon", "name": "FS Hyperlinks", "pname": "fs-hyperlinks", "description": "Select a path to jump there (When Ctrl is down)\nAlt+J to open file or directory with default app (when its path is in clipboard)", "link": "https://extensions.gnome.org/extension/5970/fs-hyperlinks/", "shell_version_map": {
"38": {"version": "5", "sha256": "0q6gsl75vivslv9yw8ik5pfapdz4ivvr9bmai2pjy2ls7cw9zf9k", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNlbGVjdCBhIHBhdGggdG8ganVtcCB0aGVyZSAoV2hlbiBDdHJsIGlzIGRvd24pXG5BbHQrSiB0byBvcGVuIGZpbGUgb3IgZGlyZWN0b3J5IHdpdGggZGVmYXVsdCBhcHAgKHdoZW4gaXRzIHBhdGggaXMgaW4gY2xpcGJvYXJkKSIsCiAgIm5hbWUiOiAiRlMgSHlwZXJsaW5rcyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbnVjbGVhcnBvbHlnb24vZnNfaHlwZXJsaW5rc19nbm9tZV9leHRlbnNpb24iLAogICJ1dWlkIjogImZzaHlwZXJsaW5rc0BudWNsZWFyLnBvbHlnb24iLAogICJ2ZXJzaW9uIjogNQp9"},
@@ -5861,7 +5879,7 @@
"42": {"version": "95", "sha256": "0myj4g5351fi04w3iwpshjhy9a5vgq85nwd186ymlvnjby12d6fh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRvb2wgdG8gU2hvdyBHaXRodWIgQWN0aW9ucyBzdGF0dXMgb24gR25vbWUgRGVza3RvcC5cblxuQ2hlY2sgb24gZ2l0aHViIGhvdyB0byBpbnN0YWxsLlxuaWYgeW91IGxpa2UgaXQgZ2l2ZSBtZSBhIHN0YXIgb24gZ2l0aHViICFcblxuQWZ0ZXIgdXBkYXRpbmcsIGlmIHlvdSBnZXQgYW4gZXJyb3IsIHBsZWFzZSByZWxvZ2luLlxuXG5UaGlzIGV4dGVuc2lvbiBpcyBub3QgYWZmaWxpYXRlZCwgZnVuZGVkLCBvciBpbiBhbnkgd2F5IGFzc29jaWF0ZWQgd2l0aCBNaWNyb3NvZnQgYW5kIEdpdEh1Yi4iLAogICJuYW1lIjogIkdpdGh1YiBBY3Rpb25zIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6IFsKICAgICJhcm9ub25ha0BnbWFpbC5jb20iCiAgXSwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmdpdGh1Yi1hY3Rpb25zIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9hcm9ub25hay9naXRodWItYWN0aW9ucy1nbm9tZS1leHRlbnNpb24iLAogICJ1dWlkIjogImdpdGh1Yi1hY3Rpb25zQGFyb25vbmFrLmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiA5NQp9"},
"43": {"version": "95", "sha256": "0myj4g5351fi04w3iwpshjhy9a5vgq85nwd186ymlvnjby12d6fh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRvb2wgdG8gU2hvdyBHaXRodWIgQWN0aW9ucyBzdGF0dXMgb24gR25vbWUgRGVza3RvcC5cblxuQ2hlY2sgb24gZ2l0aHViIGhvdyB0byBpbnN0YWxsLlxuaWYgeW91IGxpa2UgaXQgZ2l2ZSBtZSBhIHN0YXIgb24gZ2l0aHViICFcblxuQWZ0ZXIgdXBkYXRpbmcsIGlmIHlvdSBnZXQgYW4gZXJyb3IsIHBsZWFzZSByZWxvZ2luLlxuXG5UaGlzIGV4dGVuc2lvbiBpcyBub3QgYWZmaWxpYXRlZCwgZnVuZGVkLCBvciBpbiBhbnkgd2F5IGFzc29jaWF0ZWQgd2l0aCBNaWNyb3NvZnQgYW5kIEdpdEh1Yi4iLAogICJuYW1lIjogIkdpdGh1YiBBY3Rpb25zIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6IFsKICAgICJhcm9ub25ha0BnbWFpbC5jb20iCiAgXSwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmdpdGh1Yi1hY3Rpb25zIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9hcm9ub25hay9naXRodWItYWN0aW9ucy1nbm9tZS1leHRlbnNpb24iLAogICJ1dWlkIjogImdpdGh1Yi1hY3Rpb25zQGFyb25vbmFrLmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiA5NQp9"},
"44": {"version": "95", "sha256": "0myj4g5351fi04w3iwpshjhy9a5vgq85nwd186ymlvnjby12d6fh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRvb2wgdG8gU2hvdyBHaXRodWIgQWN0aW9ucyBzdGF0dXMgb24gR25vbWUgRGVza3RvcC5cblxuQ2hlY2sgb24gZ2l0aHViIGhvdyB0byBpbnN0YWxsLlxuaWYgeW91IGxpa2UgaXQgZ2l2ZSBtZSBhIHN0YXIgb24gZ2l0aHViICFcblxuQWZ0ZXIgdXBkYXRpbmcsIGlmIHlvdSBnZXQgYW4gZXJyb3IsIHBsZWFzZSByZWxvZ2luLlxuXG5UaGlzIGV4dGVuc2lvbiBpcyBub3QgYWZmaWxpYXRlZCwgZnVuZGVkLCBvciBpbiBhbnkgd2F5IGFzc29jaWF0ZWQgd2l0aCBNaWNyb3NvZnQgYW5kIEdpdEh1Yi4iLAogICJuYW1lIjogIkdpdGh1YiBBY3Rpb25zIiwKICAib3JpZ2luYWwtYXV0aG9ycyI6IFsKICAgICJhcm9ub25ha0BnbWFpbC5jb20iCiAgXSwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmdpdGh1Yi1hY3Rpb25zIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9hcm9ub25hay9naXRodWItYWN0aW9ucy1nbm9tZS1leHRlbnNpb24iLAogICJ1dWlkIjogImdpdGh1Yi1hY3Rpb25zQGFyb25vbmFrLmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiA5NQp9"},
- "45": {"version": "121", "sha256": "00dcyyi9gmn2bz87f30fh1j5xsh4g4pvvp6v1lfm1l781d8gjrqx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRvb2wgdG8gU2hvdyBHaXRodWIgQWN0aW9ucyBzdGF0dXMgb24gR25vbWUgRGVza3RvcC5cblxuQ2hlY2sgb24gZ2l0aHViIGhvdyB0byBpbnN0YWxsLlxuaWYgeW91IGxpa2UgaXQgZ2l2ZSBtZSBhIHN0YXIgb24gZ2l0aHViICFcblxuQWZ0ZXIgdXBkYXRpbmcsIGlmIHlvdSBnZXQgYW4gZXJyb3IsIHBsZWFzZSByZWxvZ2luLlxuXG5UaGlzIGV4dGVuc2lvbiBpcyBub3QgYWZmaWxpYXRlZCwgZnVuZGVkLCBvciBpbiBhbnkgd2F5IGFzc29jaWF0ZWQgd2l0aCBNaWNyb3NvZnQgYW5kIEdpdEh1Yi5cblxuVmVyc2lvbnMgYmVsb3cgZ25vbWUgNDUgd2lsbCBubyBsb25nZXIgYmUgc3VwcG9ydGVkIDovIiwKICAibmFtZSI6ICJHaXRodWIgQWN0aW9ucyIsCiAgIm9yaWdpbmFsLWF1dGhvcnMiOiBbCiAgICAiYXJvbm9uYWtAZ21haWwuY29tIgogIF0sCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5naXRodWItYWN0aW9ucyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9hcm9ub25hay9naXRodWItYWN0aW9ucy1nbm9tZS1leHRlbnNpb24iLAogICJ1dWlkIjogImdpdGh1Yi1hY3Rpb25zQGFyb25vbmFrLmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiAxMjEKfQ=="}
+ "45": {"version": "125", "sha256": "0knil479fizsnafm6vmslh9mwm987y09s9jaxs1qcq2hhr391l3w", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRvb2wgdG8gU2hvdyBHaXRodWIgQWN0aW9ucyBzdGF0dXMgb24gR25vbWUgRGVza3RvcC5cblxuQ2hlY2sgb24gZ2l0aHViIGhvdyB0byBpbnN0YWxsLlxuaWYgeW91IGxpa2UgaXQgZ2l2ZSBtZSBhIHN0YXIgb24gZ2l0aHViICFcblxuQWZ0ZXIgdXBkYXRpbmcsIGlmIHlvdSBnZXQgYW4gZXJyb3IsIHBsZWFzZSByZWxvZ2luLlxuXG5UaGlzIGV4dGVuc2lvbiBpcyBub3QgYWZmaWxpYXRlZCwgZnVuZGVkLCBvciBpbiBhbnkgd2F5IGFzc29jaWF0ZWQgd2l0aCBNaWNyb3NvZnQgYW5kIEdpdEh1Yi5cblxuVmVyc2lvbnMgYmVsb3cgZ25vbWUgNDUgd2lsbCBubyBsb25nZXIgYmUgc3VwcG9ydGVkIDovIiwKICAibmFtZSI6ICJHaXRodWIgQWN0aW9ucyIsCiAgIm9yaWdpbmFsLWF1dGhvcnMiOiBbCiAgICAiYXJvbm9uYWtAZ21haWwuY29tIgogIF0sCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5naXRodWItYWN0aW9ucyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9hcm9ub25hay9naXRodWItYWN0aW9ucy1nbm9tZS1leHRlbnNpb24iLAogICJ1dWlkIjogImdpdGh1Yi1hY3Rpb25zQGFyb25vbmFrLmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiAxMjUKfQ=="}
}}
, {"uuid": "dim-completed-calendar-events@marcinjahn.com", "name": "Dim Completed Calendar Events", "pname": "dim-completed-calendar-events", "description": "Dim completed events in the top panel menu to easily distinguish between upcoming and past events. You can also highlight events that are ongoing.", "link": "https://extensions.gnome.org/extension/5979/dim-completed-calendar-events/", "shell_version_map": {
"44": {"version": "3", "sha256": "0dpyrhdc70f77wv8hx7d6xnpxx13c2qfp7dbx716mqq90raij39f", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpbSBjb21wbGV0ZWQgZXZlbnRzIGluIHRoZSB0b3AgcGFuZWwgbWVudSB0byBlYXNpbHkgZGlzdGluZ3Vpc2ggYmV0d2VlbiB1cGNvbWluZyBhbmQgcGFzdCBldmVudHMuIFlvdSBjYW4gYWxzbyBoaWdobGlnaHQgZXZlbnRzIHRoYXQgYXJlIG9uZ29pbmcuIiwKICAibmFtZSI6ICJEaW0gQ29tcGxldGVkIENhbGVuZGFyIEV2ZW50cyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9tYXJjaW5qYWhuL2dub21lLWRpbS1jb21wbGV0ZWQtY2FsZW5kYXItZXZlbnRzLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAiZGltLWNvbXBsZXRlZC1jYWxlbmRhci1ldmVudHNAbWFyY2luamFobi5jb20iLAogICJ2ZXJzaW9uIjogMwp9"},
@@ -5888,6 +5906,11 @@
"44": {"version": "1", "sha256": "0prm3afmr0jfixycfldc4xy0pgqsa0ybxm68nwhmn7dpj77yivcz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNldHMgdGhlIExhbmd1YWdlIGluZGljYXRvciBpbiB0aGUgc3RhdHVzIGFyZWEgdG8gdXBwZXJjYXNlLiIsCiAgIm5hbWUiOiAiVXBwZXJjYXNlIExhbmd1YWdlIEluZGljYXRvciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vQnVmZmVyc29sdmUvVXBwZXJjYXNlLUxhbmd1YWdlLUluZGljYXRvciIsCiAgInV1aWQiOiAidXBwZXJjYXNlLWxhbmd1YWdlLWluZGljYXRvckBidWZmZXJzb2x2ZSIsCiAgInZlcnNpb24iOiAxCn0="},
"45": {"version": "2", "sha256": "0iwxqjmbacf8xhhz0ijcpfg9d7cg78s77q6rh1lgp38nswnkz6hj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNldHMgdGhlIExhbmd1YWdlIGluZGljYXRvciBpbiB0aGUgc3RhdHVzIGFyZWEgdG8gdXBwZXJjYXNlLiIsCiAgIm5hbWUiOiAiVXBwZXJjYXNlIExhbmd1YWdlIEluZGljYXRvciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9CdWZmZXJzb2x2ZS9VcHBlcmNhc2UtTGFuZ3VhZ2UtSW5kaWNhdG9yIiwKICAidXVpZCI6ICJ1cHBlcmNhc2UtbGFuZ3VhZ2UtaW5kaWNhdG9yQGJ1ZmZlcnNvbHZlIiwKICAidmVyc2lvbiI6IDIKfQ=="}
}}
+, {"uuid": "my-hijri-date-extension@ameen-sha", "name": "Hijri Date Extension", "pname": "hijri-date-extension", "description": "Display the Hijri date in the top panel.", "link": "https://extensions.gnome.org/extension/5995/hijri-date-extension/", "shell_version_map": {
+ "38": {"version": "5", "sha256": "1zzw95f1643y5g0xfcip0d7kpgpjkdm1dz2ai3k3y1qlg3jjgl4q", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgdGhlIEhpanJpIGRhdGUgaW4gdGhlIHRvcCBwYW5lbC4iLAogICJuYW1lIjogIkhpanJpIERhdGUgRXh0ZW5zaW9uIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDIiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9BbWVlbi1TaGEtQ2hlZXJhbmdhbi9IaWpyaS1EYXRlLUdub21lLUV4dGVuc2lvbiIsCiAgInV1aWQiOiAibXktaGlqcmktZGF0ZS1leHRlbnNpb25AYW1lZW4tc2hhIiwKICAidmVyc2lvbiI6IDUKfQ=="},
+ "40": {"version": "5", "sha256": "1zzw95f1643y5g0xfcip0d7kpgpjkdm1dz2ai3k3y1qlg3jjgl4q", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgdGhlIEhpanJpIGRhdGUgaW4gdGhlIHRvcCBwYW5lbC4iLAogICJuYW1lIjogIkhpanJpIERhdGUgRXh0ZW5zaW9uIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDIiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9BbWVlbi1TaGEtQ2hlZXJhbmdhbi9IaWpyaS1EYXRlLUdub21lLUV4dGVuc2lvbiIsCiAgInV1aWQiOiAibXktaGlqcmktZGF0ZS1leHRlbnNpb25AYW1lZW4tc2hhIiwKICAidmVyc2lvbiI6IDUKfQ=="},
+ "42": {"version": "5", "sha256": "1zzw95f1643y5g0xfcip0d7kpgpjkdm1dz2ai3k3y1qlg3jjgl4q", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgdGhlIEhpanJpIGRhdGUgaW4gdGhlIHRvcCBwYW5lbC4iLAogICJuYW1lIjogIkhpanJpIERhdGUgRXh0ZW5zaW9uIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM2IiwKICAgICIzLjM4IiwKICAgICI0MCIsCiAgICAiNDIiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9BbWVlbi1TaGEtQ2hlZXJhbmdhbi9IaWpyaS1EYXRlLUdub21lLUV4dGVuc2lvbiIsCiAgInV1aWQiOiAibXktaGlqcmktZGF0ZS1leHRlbnNpb25AYW1lZW4tc2hhIiwKICAidmVyc2lvbiI6IDUKfQ=="}
+ }}
, {"uuid": "quicksettings-audio-devices-renamer@marcinjahn.com", "name": "Quick Settings Audio Devices Renamer", "pname": "quick-settings-audio-devices-renamer", "description": "It lets you change the names of your audio devices in the Quick Settings Audio Panel. This works for both speakers and microphones. It's useful when you need to switch quickly between different audio devices and their default names aren't very clear or they're a bit too explicit. This extension makes it easy to customize devices names so that, e.g. \"Speaker - Family 17h/19h HD Audio Controller\" could become just \"Laptop Speakers\". Emojis are supported as well!\n\nCheck out the https://extensions.gnome.org/extension/5964/quick-settings-audio-devices-hider/ if some device should rather be hidden than renamed", "link": "https://extensions.gnome.org/extension/6000/quick-settings-audio-devices-renamer/", "shell_version_map": {
"44": {"version": "2", "sha256": "1gnlzgxm67iyc41vqs2scc991b3df57b9z2mfg914c5d83a2nl94", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkl0IGxldHMgeW91IGNoYW5nZSB0aGUgbmFtZXMgb2YgeW91ciBhdWRpbyBkZXZpY2VzIGluIHRoZSBRdWljayBTZXR0aW5ncyBBdWRpbyBQYW5lbC4gVGhpcyB3b3JrcyBmb3IgYm90aCBzcGVha2VycyBhbmQgbWljcm9waG9uZXMuIEl0J3MgdXNlZnVsIHdoZW4geW91IG5lZWQgdG8gc3dpdGNoIHF1aWNrbHkgYmV0d2VlbiBkaWZmZXJlbnQgYXVkaW8gZGV2aWNlcyBhbmQgdGhlaXIgZGVmYXVsdCBuYW1lcyBhcmVuJ3QgdmVyeSBjbGVhciBvciB0aGV5J3JlIGEgYml0IHRvbyBleHBsaWNpdC4gVGhpcyBleHRlbnNpb24gbWFrZXMgaXQgZWFzeSB0byBjdXN0b21pemUgZGV2aWNlcyBuYW1lcyBzbyB0aGF0LCBlLmcuIFwiU3BlYWtlciAtIEZhbWlseSAxN2gvMTloIEhEIEF1ZGlvIENvbnRyb2xsZXJcIiBjb3VsZCBiZWNvbWUganVzdCBcIkxhcHRvcCBTcGVha2Vyc1wiLiBFbW9qaXMgYXJlIHN1cHBvcnRlZCBhcyB3ZWxsIVxuXG5DaGVjayBvdXQgdGhlIGh0dHBzOi8vZXh0ZW5zaW9ucy5nbm9tZS5vcmcvZXh0ZW5zaW9uLzU5NjQvcXVpY2stc2V0dGluZ3MtYXVkaW8tZGV2aWNlcy1oaWRlci8gaWYgc29tZSBkZXZpY2Ugc2hvdWxkIHJhdGhlciBiZSBoaWRkZW4gdGhhbiByZW5hbWVkIiwKICAibmFtZSI6ICJRdWljayBTZXR0aW5ncyBBdWRpbyBEZXZpY2VzIFJlbmFtZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWFyY2luamFobi9nbm9tZS1xdWlja3NldHRpbmdzLWF1ZGlvLWRldmljZXMtcmVuYW1lci1leHRlbnNpb24iLAogICJ1dWlkIjogInF1aWNrc2V0dGluZ3MtYXVkaW8tZGV2aWNlcy1yZW5hbWVyQG1hcmNpbmphaG4uY29tIiwKICAidmVyc2lvbiI6IDIKfQ=="},
"45": {"version": "3", "sha256": "1dwbkk655a4zsd13pniprpl660qmn3mgw3zw967qzg9pr6jfi0fk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkl0IGxldHMgeW91IGNoYW5nZSB0aGUgbmFtZXMgb2YgeW91ciBhdWRpbyBkZXZpY2VzIGluIHRoZSBRdWljayBTZXR0aW5ncyBBdWRpbyBQYW5lbC4gVGhpcyB3b3JrcyBmb3IgYm90aCBzcGVha2VycyBhbmQgbWljcm9waG9uZXMuIEl0J3MgdXNlZnVsIHdoZW4geW91IG5lZWQgdG8gc3dpdGNoIHF1aWNrbHkgYmV0d2VlbiBkaWZmZXJlbnQgYXVkaW8gZGV2aWNlcyBhbmQgdGhlaXIgZGVmYXVsdCBuYW1lcyBhcmVuJ3QgdmVyeSBjbGVhciBvciB0aGV5J3JlIGEgYml0IHRvbyBleHBsaWNpdC4gVGhpcyBleHRlbnNpb24gbWFrZXMgaXQgZWFzeSB0byBjdXN0b21pemUgZGV2aWNlcyBuYW1lcyBzbyB0aGF0LCBlLmcuIFwiU3BlYWtlciAtIEZhbWlseSAxN2gvMTloIEhEIEF1ZGlvIENvbnRyb2xsZXJcIiBjb3VsZCBiZWNvbWUganVzdCBcIkxhcHRvcCBTcGVha2Vyc1wiLiBFbW9qaXMgYXJlIHN1cHBvcnRlZCBhcyB3ZWxsIVxuXG5DaGVjayBvdXQgdGhlIGh0dHBzOi8vZXh0ZW5zaW9ucy5nbm9tZS5vcmcvZXh0ZW5zaW9uLzU5NjQvcXVpY2stc2V0dGluZ3MtYXVkaW8tZGV2aWNlcy1oaWRlci8gaWYgc29tZSBkZXZpY2Ugc2hvdWxkIHJhdGhlciBiZSBoaWRkZW4gdGhhbiByZW5hbWVkIiwKICAibmFtZSI6ICJRdWljayBTZXR0aW5ncyBBdWRpbyBEZXZpY2VzIFJlbmFtZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWFyY2luamFobi9nbm9tZS1xdWlja3NldHRpbmdzLWF1ZGlvLWRldmljZXMtcmVuYW1lci1leHRlbnNpb24iLAogICJ1dWlkIjogInF1aWNrc2V0dGluZ3MtYXVkaW8tZGV2aWNlcy1yZW5hbWVyQG1hcmNpbmphaG4uY29tIiwKICAidmVyc2lvbiI6IDMKfQ=="}
@@ -5895,7 +5918,8 @@
, {"uuid": "cronomix@zagortenay333", "name": "Cronomix", "pname": "cronomix", "description": "All-in-one timer, stopwatch, pomodoro, alarms and todos", "link": "https://extensions.gnome.org/extension/6003/cronomix/", "shell_version_map": {
"42": {"version": "6", "sha256": "10izaph3dg2dcqrzk4ffw10fdv41gvrggidnb236zc89437pp91j", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsbC1pbi1vbmUgdGltZXIsIHN0b3B3YXRjaCwgcG9tb2Rvcm8sIGFsYXJtcyBhbmQgdG9kb3MiLAogICJuYW1lIjogIkNyb25vbWl4IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vemFnb3J0ZW5heTMzMy9jcm9ub21peCIsCiAgInV1aWQiOiAiY3Jvbm9taXhAemFnb3J0ZW5heTMzMyIsCiAgInZlcnNpb24iOiA2Cn0="},
"43": {"version": "6", "sha256": "10izaph3dg2dcqrzk4ffw10fdv41gvrggidnb236zc89437pp91j", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsbC1pbi1vbmUgdGltZXIsIHN0b3B3YXRjaCwgcG9tb2Rvcm8sIGFsYXJtcyBhbmQgdG9kb3MiLAogICJuYW1lIjogIkNyb25vbWl4IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vemFnb3J0ZW5heTMzMy9jcm9ub21peCIsCiAgInV1aWQiOiAiY3Jvbm9taXhAemFnb3J0ZW5heTMzMyIsCiAgInZlcnNpb24iOiA2Cn0="},
- "44": {"version": "6", "sha256": "10izaph3dg2dcqrzk4ffw10fdv41gvrggidnb236zc89437pp91j", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsbC1pbi1vbmUgdGltZXIsIHN0b3B3YXRjaCwgcG9tb2Rvcm8sIGFsYXJtcyBhbmQgdG9kb3MiLAogICJuYW1lIjogIkNyb25vbWl4IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vemFnb3J0ZW5heTMzMy9jcm9ub21peCIsCiAgInV1aWQiOiAiY3Jvbm9taXhAemFnb3J0ZW5heTMzMyIsCiAgInZlcnNpb24iOiA2Cn0="}
+ "44": {"version": "6", "sha256": "10izaph3dg2dcqrzk4ffw10fdv41gvrggidnb236zc89437pp91j", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsbC1pbi1vbmUgdGltZXIsIHN0b3B3YXRjaCwgcG9tb2Rvcm8sIGFsYXJtcyBhbmQgdG9kb3MiLAogICJuYW1lIjogIkNyb25vbWl4IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vemFnb3J0ZW5heTMzMy9jcm9ub21peCIsCiAgInV1aWQiOiAiY3Jvbm9taXhAemFnb3J0ZW5heTMzMyIsCiAgInZlcnNpb24iOiA2Cn0="},
+ "45": {"version": "8", "sha256": "1ki0m31jm9j642nrz7njkhqr1bv8yrqgi6nbfql0zxphdrbsa8mc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsbC1pbi1vbmUgdGltZXIsIHN0b3B3YXRjaCwgcG9tb2Rvcm8sIGFsYXJtcyBhbmQgdG9kb3MiLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJjcm9ub21peCIsCiAgIm5hbWUiOiAiQ3Jvbm9taXgiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vemFnb3J0ZW5heTMzMy9jcm9ub21peCIsCiAgInV1aWQiOiAiY3Jvbm9taXhAemFnb3J0ZW5heTMzMyIsCiAgInZlcnNpb24iOiA4Cn0="}
}}
, {"uuid": "switchlayoutaltshift@seriyyy95.com", "name": "SwitchLayoutAltShift", "pname": "switchlayoutaltshift", "description": "Allows to switch input source using Alt+Shift", "link": "https://extensions.gnome.org/extension/6006/switchlayoutaltshift/", "shell_version_map": {
"42": {"version": "2", "sha256": "0c1v3kw5kg7gk81hr1xjpj9h4xk0yz02b35dfd906ap0x62sq4wa", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsbG93cyB0byBzd2l0Y2ggaW5wdXQgc291cmNlIHVzaW5nIEFsdCtTaGlmdCIsCiAgIm5hbWUiOiAiU3dpdGNoTGF5b3V0QWx0U2hpZnQiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuc3dpdGNobGF5b3V0YWx0c2hpZnQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9TZXJpeXl5OTUvc3dpdGNobGF5b3V0YWx0c2hpZnQuZ2l0IiwKICAidXVpZCI6ICJzd2l0Y2hsYXlvdXRhbHRzaGlmdEBzZXJpeXl5OTUuY29tIiwKICAidmVyc2lvbiI6IDIKfQ=="},
@@ -5928,9 +5952,9 @@
"44": {"version": "4", "sha256": "157ih6nyg5v0jwia5jqyai8y8012afgcy8h08vfg3qms0qij14bv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNvbnRyb2wgdm9sdW1lIG9mIG11bHRpcGxlIHNvdW5kIGludGVyZmFjZXMiLAogICJuYW1lIjogIkFkdmFuY2VkIFZvbHVtZSBDb250cm9sIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWFyeGpvaG5zb24vYWR2YW5jZWR2b2x1bWVjb250cm9sIiwKICAidXVpZCI6ICJhZHZhbmNlZHZvbHVtZWNvbnRyb2xAbWFyay5qb2huc29uLnVidW50dS5jb20iLAogICJ2ZXJzaW9uIjogNAp9"}
}}
, {"uuid": "systemd-status@ne0sight.github.io", "name": "Systemd Status", "pname": "systemd-status", "description": "Show systemd system state", "link": "https://extensions.gnome.org/extension/6045/systemd-status/", "shell_version_map": {
- "43": {"version": "2", "sha256": "0fqip97f3cnhn79vjy8krblxsj53i7hs5y6k6sr0zfv1d3wia8lz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgc3lzdGVtZCBzeXN0ZW0gc3RhdGUiLAogICJuYW1lIjogIlN5c3RlbWQgU3RhdHVzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9uRTBzSWdoVC9zeXN0ZW1kLXN0YXR1cy1nbm9tZS1leHRlbnNpb24iLAogICJ1dWlkIjogInN5c3RlbWQtc3RhdHVzQG5lMHNpZ2h0LmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiAyCn0="},
- "44": {"version": "2", "sha256": "0fqip97f3cnhn79vjy8krblxsj53i7hs5y6k6sr0zfv1d3wia8lz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgc3lzdGVtZCBzeXN0ZW0gc3RhdGUiLAogICJuYW1lIjogIlN5c3RlbWQgU3RhdHVzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9uRTBzSWdoVC9zeXN0ZW1kLXN0YXR1cy1nbm9tZS1leHRlbnNpb24iLAogICJ1dWlkIjogInN5c3RlbWQtc3RhdHVzQG5lMHNpZ2h0LmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiAyCn0="},
- "45": {"version": "4", "sha256": "0zwgr1prhwwqpxjc5chnvslkqdfm9m9238v7v18bkfzx221s8n4q", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgc3lzdGVtZCBzeXN0ZW0gc3RhdGUiLAogICJuYW1lIjogIlN5c3RlbWQgU3RhdHVzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL25FMHNJZ2hUL3N5c3RlbWQtc3RhdHVzLWdub21lLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAic3lzdGVtZC1zdGF0dXNAbmUwc2lnaHQuZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDQKfQ=="}
+ "43": {"version": "5", "sha256": "103f6rn0py6ivrxhgmr11bxqj7lnd15w8f4pl1k88a11r2gkpqb8", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgc3lzdGVtZCBzeXN0ZW0gc3RhdGUiLAogICJuYW1lIjogIlN5c3RlbWQgU3RhdHVzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9uRTBzSWdoVC9zeXN0ZW1kLXN0YXR1cy1nbm9tZS1leHRlbnNpb24iLAogICJ1dWlkIjogInN5c3RlbWQtc3RhdHVzQG5lMHNpZ2h0LmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiA1Cn0="},
+ "44": {"version": "5", "sha256": "103f6rn0py6ivrxhgmr11bxqj7lnd15w8f4pl1k88a11r2gkpqb8", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgc3lzdGVtZCBzeXN0ZW0gc3RhdGUiLAogICJuYW1lIjogIlN5c3RlbWQgU3RhdHVzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9uRTBzSWdoVC9zeXN0ZW1kLXN0YXR1cy1nbm9tZS1leHRlbnNpb24iLAogICJ1dWlkIjogInN5c3RlbWQtc3RhdHVzQG5lMHNpZ2h0LmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiA1Cn0="},
+ "45": {"version": "6", "sha256": "0mwsyg2df835cww9hmp86mz5ncxlggiw746r0kyl3xa6pqyxpfrx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgc3lzdGVtZCBzeXN0ZW0gc3RhdGUiLAogICJuYW1lIjogIlN5c3RlbWQgU3RhdHVzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL25FMHNJZ2hUL3N5c3RlbWQtc3RhdHVzLWdub21lLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAic3lzdGVtZC1zdGF0dXNAbmUwc2lnaHQuZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDYKfQ=="}
}}
, {"uuid": "peek-top-bar-on-fullscreen@marcinjahn.com", "name": "Peek Top Bar on Fullscreen", "pname": "peek-top-bar-on-fullscreen", "description": "Show the top bar (panel) on demand while having full screen content on (like a YouTube video). Just hover the mouse cursor to the top of the screen, and the panel will show up. This way, you can quickly check the time, or swich some toggles. This is similar to what macOS offers for full screen apps.\n\nOn Wayland, to hide the top bar, you need to click the primary mouse button somewhere outside of the bar. On X11, just take the cursor away from the bar.\nThis extension is incompatible with Blur My Shell extension.", "link": "https://extensions.gnome.org/extension/6048/peek-top-bar-on-fullscreen/", "shell_version_map": {
"43": {"version": "8", "sha256": "1p196by16zmgsy5af1jsgrm38p6vrrzh1pn2nbar6zw25pldsn8p", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgdGhlIHRvcCBiYXIgKHBhbmVsKSBvbiBkZW1hbmQgd2hpbGUgaGF2aW5nIGZ1bGwgc2NyZWVuIGNvbnRlbnQgb24gKGxpa2UgYSBZb3VUdWJlIHZpZGVvKS4gSnVzdCBob3ZlciB0aGUgbW91c2UgY3Vyc29yIHRvIHRoZSB0b3Agb2YgdGhlIHNjcmVlbiwgYW5kIHRoZSBwYW5lbCB3aWxsIHNob3cgdXAuIFRoaXMgd2F5LCB5b3UgY2FuIHF1aWNrbHkgY2hlY2sgdGhlIHRpbWUsIG9yIHN3aWNoIHNvbWUgdG9nZ2xlcy4gVGhpcyBpcyBzaW1pbGFyIHRvIHdoYXQgbWFjT1Mgb2ZmZXJzIGZvciBmdWxsIHNjcmVlbiBhcHBzLlxuXG5PbiBXYXlsYW5kLCB0byBoaWRlIHRoZSB0b3AgYmFyLCB5b3UgbmVlZCB0byBjbGljayB0aGUgcHJpbWFyeSBtb3VzZSBidXR0b24gc29tZXdoZXJlIG91dHNpZGUgb2YgdGhlIGJhci4gT24gWDExLCBqdXN0IHRha2UgdGhlIGN1cnNvciBhd2F5IGZyb20gdGhlIGJhci5cblRoaXMgZXh0ZW5zaW9uIGlzIGluY29tcGF0aWJsZSB3aXRoIEJsdXIgTXkgU2hlbGwgZXh0ZW5zaW9uLiIsCiAgIm5hbWUiOiAiUGVlayBUb3AgQmFyIG9uIEZ1bGxzY3JlZW4iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL21hcmNpbmphaG4vZ25vbWUtcGVlay10b3AtYmFyLW9uLWZ1bGxzY3JlZW4tZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJwZWVrLXRvcC1iYXItb24tZnVsbHNjcmVlbkBtYXJjaW5qYWhuLmNvbSIsCiAgInZlcnNpb24iOiA4Cn0="},
@@ -5945,7 +5969,7 @@
}}
, {"uuid": "happy-appy-hotkey@jqno.nl", "name": "Happy Appy Hotkey", "pname": "happy-appy-hotkey", "description": "Assign hotkeys to applications to give them focus or launch them\n\nFeatures:\n- Assign a hotkey to an app to:\n-- Give it focus if it's already running, or\n-- Launch it if it's not.\n- Assign a hotkey to cycle through all the apps that don't have a hotkey\n- Supports Wayland", "link": "https://extensions.gnome.org/extension/6057/happy-appy-hotkey/", "shell_version_map": {
"44": {"version": "3", "sha256": "0fg9967jwsp24pphv1bdiz6fns2kslrg3dx4xq4q894aiwxzagrv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFzc2lnbiBob3RrZXlzIHRvIGFwcGxpY2F0aW9ucyB0byBnaXZlIHRoZW0gZm9jdXMgb3IgbGF1bmNoIHRoZW1cblxuRmVhdHVyZXM6XG4tIEFzc2lnbiBhIGhvdGtleSB0byBhbiBhcHAgdG86XG4tLSBHaXZlIGl0IGZvY3VzIGlmIGl0J3MgYWxyZWFkeSBydW5uaW5nLCBvclxuLS0gTGF1bmNoIGl0IGlmIGl0J3Mgbm90LlxuLSBBc3NpZ24gYSBob3RrZXkgdG8gY3ljbGUgdGhyb3VnaCBhbGwgdGhlIGFwcHMgdGhhdCBkb24ndCBoYXZlIGEgaG90a2V5XG4tIFN1cHBvcnRzIFdheWxhbmQiLAogICJuYW1lIjogIkhhcHB5IEFwcHkgSG90a2V5IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2pxbm8vZ25vbWUtaGFwcHktYXBweS1ob3RrZXkvIiwKICAidXVpZCI6ICJoYXBweS1hcHB5LWhvdGtleUBqcW5vLm5sIiwKICAidmVyc2lvbiI6IDMKfQ=="},
- "45": {"version": "5", "sha256": "0k6g2vqgg6y81p58c4m6dwsmy4hiakqini2m4zy5p87wpxfpc87s", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFzc2lnbiBob3RrZXlzIHRvIGFwcGxpY2F0aW9ucyB0byBnaXZlIHRoZW0gZm9jdXMgb3IgbGF1bmNoIHRoZW1cblxuRmVhdHVyZXM6XG4tIEFzc2lnbiBhIGhvdGtleSB0byBhbiBhcHAgdG86XG4tLSBHaXZlIGl0IGZvY3VzIGlmIGl0J3MgYWxyZWFkeSBydW5uaW5nLCBvclxuLS0gTGF1bmNoIGl0IGlmIGl0J3Mgbm90LlxuLSBBc3NpZ24gYSBob3RrZXkgdG8gY3ljbGUgdGhyb3VnaCBhbGwgdGhlIGFwcHMgdGhhdCBkb24ndCBoYXZlIGEgaG90a2V5XG4tIFN1cHBvcnRzIFdheWxhbmQiLAogICJuYW1lIjogIkhhcHB5IEFwcHkgSG90a2V5IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2pxbm8vZ25vbWUtaGFwcHktYXBweS1ob3RrZXkvIiwKICAidXVpZCI6ICJoYXBweS1hcHB5LWhvdGtleUBqcW5vLm5sIiwKICAidmVyc2lvbiI6IDUKfQ=="}
+ "45": {"version": "6", "sha256": "0jnlyswviwg9bab8y8vss7rgyz499119yhzjinqq6qh1v7wc6b9r", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFzc2lnbiBob3RrZXlzIHRvIGFwcGxpY2F0aW9ucyB0byBnaXZlIHRoZW0gZm9jdXMgb3IgbGF1bmNoIHRoZW1cblxuRmVhdHVyZXM6XG4tIEFzc2lnbiBhIGhvdGtleSB0byBhbiBhcHAgdG86XG4tLSBHaXZlIGl0IGZvY3VzIGlmIGl0J3MgYWxyZWFkeSBydW5uaW5nLCBvclxuLS0gTGF1bmNoIGl0IGlmIGl0J3Mgbm90LlxuLSBBc3NpZ24gYSBob3RrZXkgdG8gY3ljbGUgdGhyb3VnaCBhbGwgdGhlIGFwcHMgdGhhdCBkb24ndCBoYXZlIGEgaG90a2V5XG4tIFN1cHBvcnRzIFdheWxhbmQiLAogICJuYW1lIjogIkhhcHB5IEFwcHkgSG90a2V5IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2pxbm8vZ25vbWUtaGFwcHktYXBweS1ob3RrZXkvIiwKICAidXVpZCI6ICJoYXBweS1hcHB5LWhvdGtleUBqcW5vLm5sIiwKICAidmVyc2lvbiI6IDYKfQ=="}
}}
, {"uuid": "window-switcher@tbepdb", "name": "WindowSwitcher", "pname": "windowswitcher", "description": "One windows circle with Alt+tab. Another one with Super+tab(in my case instant messangers).", "link": "https://extensions.gnome.org/extension/6060/windowswitcher/", "shell_version_map": {
"42": {"version": "2", "sha256": "1lgs3mr9384az7ybjbxpxz4dndwypz98awyg1qz8k7sfl631w3n7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlByb3ZpZGUgbXVsdGlwbGUgd2luZG93IGJhc2VkIHN3aXRjaGVycy4iLAogICJleHRlbnNpb24taWQiOiAid2luZG93LXN3aXRjaGVyIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXdpbmRvdy1zd2l0Y2hlciIsCiAgIm5hbWUiOiAiV2luZG93U3dpdGNoZXIiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMud2luZG93LXN3aXRjaGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vdGJlcGRiL3dpbmRvdy1zd2l0Y2hlciIsCiAgInV1aWQiOiAid2luZG93LXN3aXRjaGVyQHRiZXBkYiIsCiAgInZlcnNpb24iOiAyCn0="},
@@ -6000,17 +6024,17 @@
"44": {"version": "4", "sha256": "1nzzsm6ai8b0l8c5xvx83cspm4gzx1n6wfdrbik3g7xam06hr861", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkF1dG9tYXRpY2FsbHkgcGFzdGUgRW1vamlzIGZyb20gdGhlIFNtaWxlIGVtb2ppIHBpY2tlciIsCiAgIm5hbWUiOiAiU21pbGUgLSBjb21wbGVtZW50YXJ5IGV4dGVuc2lvbiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL21pam9ydXMvc21pbGUtZ25vbWUtZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJzbWlsZS1leHRlbnNpb25AbWlqb3J1cy5pdCIsCiAgInZlcnNpb24iOiA0Cn0="},
"45": {"version": "7", "sha256": "1fjcwgifggnckk0p7mskpgaz3wm71nz4qgcc1f1jqynwdbidds7l", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkF1dG9tYXRpY2FsbHkgcGFzdGUgRW1vamlzIGZyb20gdGhlIFNtaWxlIGVtb2ppIHBpY2tlciIsCiAgIm5hbWUiOiAiU21pbGUgLSBjb21wbGVtZW50YXJ5IGV4dGVuc2lvbiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9taWpvcnVzL3NtaWxlLWdub21lLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAic21pbGUtZXh0ZW5zaW9uQG1pam9ydXMuaXQiLAogICJ2ZXJzaW9uIjogNwp9"}
}}
-, {"uuid": "paperwm@paperwm.github.com", "name": "PaperWM", "pname": "paperwm", "description": "Tiling window manager with a twist!\n\n PaperWM is a Gnome Shell extension which provides scrollable tiling of windows and per monitor workspaces. It's inspired by paper notebooks and tiling window managers.\n\nPlease see our github page to report issues, understand features, and learn how to configure PaperWM to your liking.", "link": "https://extensions.gnome.org/extension/6099/paperwm/", "shell_version_map": {
+, {"uuid": "paperwm@paperwm.github.com", "name": "PaperWM", "pname": "paperwm", "description": "Tiling window manager with a twist!\n\nPaperWM is a Gnome Shell extension which provides scrollable tiling of windows and per monitor workspaces. It's inspired by paper notebooks and tiling window managers.\n\nPlease see our github page to report issues, understand features, and learn how to configure PaperWM to your liking.", "link": "https://extensions.gnome.org/extension/6099/paperwm/", "shell_version_map": {
"42": {"version": "71", "sha256": "1w626hadaskid3dn39ab4nm9d3sky852rjng4vy4l62a46xvrn7k", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRpbGluZyB3aW5kb3cgbWFuYWdlciB3aXRoIGEgdHdpc3QiLAogICJuYW1lIjogIlBhcGVyV00iLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMucGFwZXJ3bSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3BhcGVyd20vUGFwZXJXTSIsCiAgInV1aWQiOiAicGFwZXJ3bUBwYXBlcndtLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNzEsCiAgInZlcnNpb24tbmFtZSI6ICI0NC4xNy4wIgp9"},
"43": {"version": "71", "sha256": "1w626hadaskid3dn39ab4nm9d3sky852rjng4vy4l62a46xvrn7k", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRpbGluZyB3aW5kb3cgbWFuYWdlciB3aXRoIGEgdHdpc3QiLAogICJuYW1lIjogIlBhcGVyV00iLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMucGFwZXJ3bSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3BhcGVyd20vUGFwZXJXTSIsCiAgInV1aWQiOiAicGFwZXJ3bUBwYXBlcndtLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNzEsCiAgInZlcnNpb24tbmFtZSI6ICI0NC4xNy4wIgp9"},
"44": {"version": "71", "sha256": "1w626hadaskid3dn39ab4nm9d3sky852rjng4vy4l62a46xvrn7k", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRpbGluZyB3aW5kb3cgbWFuYWdlciB3aXRoIGEgdHdpc3QiLAogICJuYW1lIjogIlBhcGVyV00iLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMucGFwZXJ3bSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3BhcGVyd20vUGFwZXJXTSIsCiAgInV1aWQiOiAicGFwZXJ3bUBwYXBlcndtLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNzEsCiAgInZlcnNpb24tbmFtZSI6ICI0NC4xNy4wIgp9"},
- "45": {"version": "75", "sha256": "1sj9g9l5x8a7qf7dzy5l0db9va29k9lj1s5z94gwadcy4b3xhnyw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRpbGluZyB3aW5kb3cgbWFuYWdlciB3aXRoIGEgdHdpc3QiLAogICJuYW1lIjogIlBhcGVyV00iLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMucGFwZXJ3bSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9wYXBlcndtL1BhcGVyV00iLAogICJ1dWlkIjogInBhcGVyd21AcGFwZXJ3bS5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDc1LAogICJ2ZXJzaW9uLW5hbWUiOiAiNDUuOS4xIgp9"}
+ "45": {"version": "79", "sha256": "0mwd9dwqmi0jbg61fx3r7sxzdw25kvnvxccl5y98w1dxx33x0bqj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRpbGluZyB3aW5kb3cgbWFuYWdlciB3aXRoIGEgdHdpc3QiLAogICJkb25hdGlvbnMiOiB7CiAgICAiYnV5bWVhY29mZmVlIjogImpheXRhYWxhIgogIH0sCiAgIm5hbWUiOiAiUGFwZXJXTSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5wYXBlcndtIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3BhcGVyd20vUGFwZXJXTSIsCiAgInV1aWQiOiAicGFwZXJ3bUBwYXBlcndtLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNzksCiAgInZlcnNpb24tbmFtZSI6ICI0NS4xMS4wIgp9"}
}}
-, {"uuid": "lightshell@dikasp.gitlab", "name": "Light Shell", "pname": "light-shell", "description": "an alternative full light style theme for GNOME Shell", "link": "https://extensions.gnome.org/extension/6102/light-shell/", "shell_version_map": {
+, {"uuid": "lightshell@dikasp.gitlab", "name": "Light Shell", "pname": "light-shell", "description": "the missing full light style gnome shell theme you had been looking for :D\n\nNOTE: later release are merged and continue within Luminus extension. brings various improvement and dark mode integration.\n\nvisit my gitlab to report issue, feature, or just have a look on some nice light style desktop screenshots.", "link": "https://extensions.gnome.org/extension/6102/light-shell/", "shell_version_map": {
"42": {"version": "11", "sha256": "0fqshl06w2amy8d3gw2car4rab6zqpi79dg5dr05d5swggq1vm8n", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFwcGx5IGZ1bGwgbGlnaHQgdGhlbWUgaW50byBkZWZhdWx0IGdub21lIHNoZWxsLCBpbmNsdWRpbmcgbGlnaHQgb3ZlcnZpZXcuIiwKICAibmFtZSI6ICJMaWdodCBTaGVsbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDIiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9kaWthc2V0eWFwcmF5b2dpL2xpZ2h0LXNoZWxsIiwKICAidXVpZCI6ICJsaWdodHNoZWxsQGRpa2FzcC5naXRsYWIiLAogICJ2ZXJzaW9uIjogMTEKfQ=="},
"43": {"version": "10", "sha256": "09hyrcg6y1269z7fzix72g09kvvdmy40z57m8yvib8hvl9wxarfc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFwcGx5IGZ1bGwgbGlnaHQgdGhlbWUgaW50byBkZWZhdWx0IGdub21lIHNoZWxsLCBpbmNsdWRpbmcgbGlnaHQgb3ZlcnZpZXcuIiwKICAibmFtZSI6ICJMaWdodCBTaGVsbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDMiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9kaWthc2V0eWFwcmF5b2dpL2xpZ2h0LXNoZWxsIiwKICAidXVpZCI6ICJsaWdodHNoZWxsQGRpa2FzcC5naXRsYWIiLAogICJ2ZXJzaW9uIjogMTAKfQ=="},
"44": {"version": "13", "sha256": "0c8prbldkrylv1mkkmkcj606sllksvdan57bf11yw1abwgqy7idm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFwcGx5IGZ1bGwgbGlnaHQgdGhlbWUgaW50byBkZWZhdWx0IEdOT01FIFNoZWxsLCBpbmNsdWRpbmcgbGlnaHQgb3ZlcnZpZXciLAogICJuYW1lIjogIkxpZ2h0IFNoZWxsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL2Rpa2FzZXR5YXByYXlvZ2kvbGlnaHQtc2hlbGwiLAogICJ1dWlkIjogImxpZ2h0c2hlbGxAZGlrYXNwLmdpdGxhYiIsCiAgInZlcnNpb24iOiAxMwp9"},
- "45": {"version": "23", "sha256": "1yrqbmkbf9x61y3v9kz3hwgq21vyq4490c2hc61njmydh39b6gca", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoZSBmdWxsIGxpZ2h0IHN0eWxlIEdOT01FIFNoZWxsIHRoZW1lIHlvdSBoYWQgYmVlbiBsb29raW5nIGZvci4iLAogICJuYW1lIjogIkxpZ2h0IFNoZWxsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL2Rpa2FzZXR5YXByYXlvZ2kvbGlnaHQtc2hlbGwiLAogICJ1dWlkIjogImxpZ2h0c2hlbGxAZGlrYXNwLmdpdGxhYiIsCiAgInZlcnNpb24iOiAyMwp9"}
+ "45": {"version": "24", "sha256": "1kg12n5gaxgdypv13dv6l7h0na72n0myc46c3yzzs9wsfyrhcfpg", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk5PVEU6IGxhdGVyIHJlbGVhc2UgYXJlIG1lcmdlZCBhbmQgY29udGludWUgd2l0aGluIEx1bWludXMgZXh0ZW5zaW9uLiBicmluZ3MgdmFyaW91cyBpbXByb3ZlbWVudCBhbmQgZGFyayBtb2RlIGludGVncmF0aW9uLiIsCiAgIm5hbWUiOiAiTGlnaHQgU2hlbGwiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vZGlrYXNldHlhcHJheW9naS9saWdodC1zaGVsbCIsCiAgInV1aWQiOiAibGlnaHRzaGVsbEBkaWthc3AuZ2l0bGFiIiwKICAidmVyc2lvbiI6IDI0Cn0="}
}}
, {"uuid": "input-source-binder@mifishe.github.com", "name": "Input Source Binder", "pname": "input-source-binder", "description": "Binds shortcuts to input sources (up to 5). Alt+Shift+1 - the first input source, Alt+Shift+2 - the second one and etc.", "link": "https://extensions.gnome.org/extension/6105/input-source-binder/", "shell_version_map": {
"44": {"version": "3", "sha256": "1gfbwy1nhmk311n5s7464sv0p1lb71wpbx8fp9jb0rarmw2vrshl", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkJpbmRzIHNob3J0Y3V0cyB0byBpbnB1dCBzb3VyY2VzICh1cCB0byA1KS4gQWx0K1NoaWZ0KzEgLSB0aGUgZmlyc3QgaW5wdXQgc291cmNlLCBBbHQrU2hpZnQrMiAtIHRoZSBzZWNvbmQgb25lIGFuZCBldGMuIiwKICAibmFtZSI6ICJJbnB1dCBTb3VyY2UgQmluZGVyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmlucHV0LXNvdXJjZS1iaW5kZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWlmaXNoZS9nbm9tZS1pbnB1dC1zb3VyY2UtYmluZGVyIiwKICAidXVpZCI6ICJpbnB1dC1zb3VyY2UtYmluZGVyQG1pZmlzaGUuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAzCn0="}
@@ -6031,9 +6055,9 @@
, {"uuid": "lightshellubuntu@dikasp.gitlab", "name": "Light Shell Ubuntu", "pname": "light-shell-ubuntu", "description": "Unofficial Light Shell theme port for use with Ubuntu's default GNOME Shell.\n\nCurrently unmaintained! visit my gitlab for simple tutorial on making your own custom Light Shell with accent color and more.", "link": "https://extensions.gnome.org/extension/6118/light-shell-ubuntu/", "shell_version_map": {
"44": {"version": "4", "sha256": "1fhw7fqnaqyc8zkshrs6kwjzzqni289lq83gg70yrmib452w7b6d", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkN1cnJlbnRseSB1bm1haW50YWluZWQhIHZpc2l0IG15IGdpdGxhYiBmb3Igc2ltcGxlIHR1dG9yaWFsIG9uIG1ha2luZyB5b3VyIG93biBjdXN0b20gTGlnaHQgU2hlbGwgd2l0aCBhY2NlbnQgY29sb3IgYW5kIG1vcmUuIiwKICAibmFtZSI6ICJMaWdodCBTaGVsbCBVYnVudHUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vZGlrYXNldHlhcHJheW9naS9saWdodC1zaGVsbCIsCiAgInV1aWQiOiAibGlnaHRzaGVsbHVidW50dUBkaWthc3AuZ2l0bGFiIiwKICAidmVyc2lvbiI6IDQKfQ=="}
}}
-, {"uuid": "blurmylightshell@dikasp.gitlab", "name": "Blur my Light Shell", "pname": "blur-my-light-shell", "description": "Light Shell theme port for use with Blur my Shell extension", "link": "https://extensions.gnome.org/extension/6121/blur-my-light-shell/", "shell_version_map": {
+, {"uuid": "blurmylightshell@dikasp.gitlab", "name": "Blur my Light Shell", "pname": "blur-my-light-shell", "description": "Light Shell version for use with Blur my Shell extension.\n\nNOTE: later release are merged and continue within Luminus extension. brings various improvement and dark mode integration.\n\nvisit my gitlab to report issue, feature, or just have a look on some nice light style desktop screenshots :D", "link": "https://extensions.gnome.org/extension/6121/blur-my-light-shell/", "shell_version_map": {
"44": {"version": "4", "sha256": "19rh1137rqdlyfj309kdy21ry9dyl5apr5h2qwylf6wg7m1qa5pw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxpZ2h0IHNoZWxsIHBvcnQgZm9yIHVzZSB3aXRoIGJsdXIgbXkgc2hlbGwgZXh0ZW5zaW9uIChubyBuZWVkIHRvIGN1c3RvbWl6ZSBhbnl0aGluZywganVzdCBoaXQgYmx1ciBteSBzaGVsbCByZXNldCBwcmVmZXJlbmNlcyBidXR0b24gYW5kIGVuam95KS4iLAogICJuYW1lIjogIkJsdXIgbXkgTGlnaHQgU2hlbGwiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vZGlrYXNldHlhcHJheW9naS9saWdodC1zaGVsbCIsCiAgInV1aWQiOiAiYmx1cm15bGlnaHRzaGVsbEBkaWthc3AuZ2l0bGFiIiwKICAidmVyc2lvbiI6IDQKfQ=="},
- "45": {"version": "10", "sha256": "0w2aw78q3pi53qhydrnzwx538s1cnfahji4rls40kpy9z7w120hk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxpZ2h0IFNoZWxsIHRoZW1lIHBvcnQgZm9yIHVzZSB3aXRoIEJsdXIgbXkgU2hlbGwgZXh0ZW5zaW9uIiwKICAibmFtZSI6ICJCbHVyIG15IExpZ2h0IFNoZWxsIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL2Rpa2FzZXR5YXByYXlvZ2kvbGlnaHQtc2hlbGwiLAogICJ1dWlkIjogImJsdXJteWxpZ2h0c2hlbGxAZGlrYXNwLmdpdGxhYiIsCiAgInZlcnNpb24iOiAxMAp9"}
+ "45": {"version": "11", "sha256": "0x2asdav5b2jd9b4rngcq26yrv39kgvlyhscr8h4h284xd4k11gm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk5PVEU6IGxhdGVyIHJlbGVhc2UgYXJlIG1lcmdlZCBhbmQgY29udGludWUgd2l0aGluIEx1bWludXMgZXh0ZW5zaW9uLiBicmluZ3MgdmFyaW91cyBpbXByb3ZlbWVudCBhbmQgZGFyayBtb2RlIGludGVncmF0aW9uLiIsCiAgIm5hbWUiOiAiQmx1ciBteSBMaWdodCBTaGVsbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9kaWthc2V0eWFwcmF5b2dpL2xpZ2h0LXNoZWxsIiwKICAidXVpZCI6ICJibHVybXlsaWdodHNoZWxsQGRpa2FzcC5naXRsYWIiLAogICJ2ZXJzaW9uIjogMTEKfQ=="}
}}
, {"uuid": "maximize-lonely-window@MrShuster", "name": "Maximize Lonely Window", "pname": "only-window-maximize", "description": "a gnome extension in which maximizes the only window in a workspace\n\nI work with workspaces, and I want to focus on my work as much as possible and not get distracted with window managment.\n\nThis extension makes it so that if for example there are 2 windows in a workspace and you close one of them, the one that's left will become maximized. if a window is the single window in a workspace, why not make it maximized? makes sense to me.\n\nnovember 1: updated the extension to support multiple monitors", "link": "https://extensions.gnome.org/extension/6127/only-window-maximize/", "shell_version_map": {
"44": {"version": "2", "sha256": "08bhz358pg7wqmz2a2grhrchjpsqpl8n6fpj6x1y0xljyipksqbp", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1heGltaXplIHRoZSBvbmx5IHdpbmRvdyBpbiBhIHdvcmtzcGFjZSIsCiAgIm5hbWUiOiAiTWF4aW1pemUgTG9uZWx5IFdpbmRvdyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9NclNodXN0ZXIvbWF4aW1pemVfbG9uZWx5X3dpbmRvdy8iLAogICJ1dWlkIjogIm1heGltaXplLWxvbmVseS13aW5kb3dATXJTaHVzdGVyIiwKICAidmVyc2lvbiI6IDIKfQ=="},
@@ -6095,11 +6119,16 @@
"44": {"version": "3", "sha256": "0xj7q0c41586crm855f939s3m9nvcbkdxk6jf9spiy5sjw2b5yca", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR1BVIHByb2ZpbGUgc3dpdGNoZXIgZGVzaWduZWQgdG8gd29yayB3aXRoIFBSSU1FIChwcmltZS1zZWxlY3QpLiBGb3JrIG9mIFBSSU1FIEdQVSBQcm9maWxlIFNlbGVjdG9yIiwKICAibmFtZSI6ICJQUklNRSBIZWxwZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vWi1SYXktRW50ZXJ0YWlubWVudC9QUklNRS1IZWxwZXIiLAogICJ1dWlkIjogIlBSSU1FX0hlbHBlckB6LXJheS5kZSIsCiAgInZlcnNpb24iOiAzCn0="}
}}
, {"uuid": "usd-try-gshell@arifesat.github.com", "name": "USD-TRY", "pname": "usd-try", "description": "USD (US Dollar) converted to TRY (Turkish Lira). Updates are received every 30 seconds.\n\nIt is a fork of Dollar-PKR. This extension uses economia.awesomeapi.com.br", "link": "https://extensions.gnome.org/extension/6192/usd-try/", "shell_version_map": {
+ "38": {"version": "8", "sha256": "0g1bcs897k5qyw5qpxmd0w7kb64jqkddk70xqznlvbcycy7x59nh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlVTRCAoVVMgRG9sbGFyKSBjb252ZXJ0ZWQgdG8gVFJZIChUdXJraXNoIExpcmEpLiBVcGRhdGVzIGFyZSByZWNlaXZlZCBldmVyeSAzMCBzZWNvbmRzLlxuXG5JdCBpcyBhIGZvcmsgb2YgRG9sbGFyLVBLUi4gVGhpcyBleHRlbnNpb24gdXNlcyBlY29ub21pYS5hd2Vzb21lYXBpLmNvbS5iciIsCiAgIm5hbWUiOiAiVVNELVRSWSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2FyaWZlc2F0L1VTRC1UUlktR1NoZWxsIiwKICAidXVpZCI6ICJ1c2QtdHJ5LWdzaGVsbEBhcmlmZXNhdC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDgKfQ=="},
+ "40": {"version": "8", "sha256": "0g1bcs897k5qyw5qpxmd0w7kb64jqkddk70xqznlvbcycy7x59nh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlVTRCAoVVMgRG9sbGFyKSBjb252ZXJ0ZWQgdG8gVFJZIChUdXJraXNoIExpcmEpLiBVcGRhdGVzIGFyZSByZWNlaXZlZCBldmVyeSAzMCBzZWNvbmRzLlxuXG5JdCBpcyBhIGZvcmsgb2YgRG9sbGFyLVBLUi4gVGhpcyBleHRlbnNpb24gdXNlcyBlY29ub21pYS5hd2Vzb21lYXBpLmNvbS5iciIsCiAgIm5hbWUiOiAiVVNELVRSWSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2FyaWZlc2F0L1VTRC1UUlktR1NoZWxsIiwKICAidXVpZCI6ICJ1c2QtdHJ5LWdzaGVsbEBhcmlmZXNhdC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDgKfQ=="},
+ "41": {"version": "8", "sha256": "0g1bcs897k5qyw5qpxmd0w7kb64jqkddk70xqznlvbcycy7x59nh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlVTRCAoVVMgRG9sbGFyKSBjb252ZXJ0ZWQgdG8gVFJZIChUdXJraXNoIExpcmEpLiBVcGRhdGVzIGFyZSByZWNlaXZlZCBldmVyeSAzMCBzZWNvbmRzLlxuXG5JdCBpcyBhIGZvcmsgb2YgRG9sbGFyLVBLUi4gVGhpcyBleHRlbnNpb24gdXNlcyBlY29ub21pYS5hd2Vzb21lYXBpLmNvbS5iciIsCiAgIm5hbWUiOiAiVVNELVRSWSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2FyaWZlc2F0L1VTRC1UUlktR1NoZWxsIiwKICAidXVpZCI6ICJ1c2QtdHJ5LWdzaGVsbEBhcmlmZXNhdC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDgKfQ=="},
+ "42": {"version": "8", "sha256": "0g1bcs897k5qyw5qpxmd0w7kb64jqkddk70xqznlvbcycy7x59nh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlVTRCAoVVMgRG9sbGFyKSBjb252ZXJ0ZWQgdG8gVFJZIChUdXJraXNoIExpcmEpLiBVcGRhdGVzIGFyZSByZWNlaXZlZCBldmVyeSAzMCBzZWNvbmRzLlxuXG5JdCBpcyBhIGZvcmsgb2YgRG9sbGFyLVBLUi4gVGhpcyBleHRlbnNpb24gdXNlcyBlY29ub21pYS5hd2Vzb21lYXBpLmNvbS5iciIsCiAgIm5hbWUiOiAiVVNELVRSWSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiMy4zOCIsCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2FyaWZlc2F0L1VTRC1UUlktR1NoZWxsIiwKICAidXVpZCI6ICJ1c2QtdHJ5LWdzaGVsbEBhcmlmZXNhdC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDgKfQ=="},
"43": {"version": "4", "sha256": "03dajywpcvvhxcwz8jv1yq230iznh30i2lbxs01gpijl4gir7rhy", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlVTRCAoVVMgRG9sbGFyKSBjb252ZXJ0ZWQgdG8gVFJZIChUdXJraXNoIExpcmEpLiBVcGRhdGVzIGFyZSByZWNlaXZlZCBldmVyeSAzMCBzZWNvbmRzLlxuXG5JdCBpcyBhIGZvcmsgb2YgRG9sbGFyLVBLUi4gVGhpcyBleHRlbnNpb24gdXNlcyBlY29ub21pYS5hd2Vzb21lYXBpLmNvbS5iciIsCiAgIm5hbWUiOiAiVVNELVRSWSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYXJpZmVzYXQvVVNELVRSWS1HU2hlbGwiLAogICJ1dWlkIjogInVzZC10cnktZ3NoZWxsQGFyaWZlc2F0LmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNAp9"},
"44": {"version": "4", "sha256": "03dajywpcvvhxcwz8jv1yq230iznh30i2lbxs01gpijl4gir7rhy", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlVTRCAoVVMgRG9sbGFyKSBjb252ZXJ0ZWQgdG8gVFJZIChUdXJraXNoIExpcmEpLiBVcGRhdGVzIGFyZSByZWNlaXZlZCBldmVyeSAzMCBzZWNvbmRzLlxuXG5JdCBpcyBhIGZvcmsgb2YgRG9sbGFyLVBLUi4gVGhpcyBleHRlbnNpb24gdXNlcyBlY29ub21pYS5hd2Vzb21lYXBpLmNvbS5iciIsCiAgIm5hbWUiOiAiVVNELVRSWSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYXJpZmVzYXQvVVNELVRSWS1HU2hlbGwiLAogICJ1dWlkIjogInVzZC10cnktZ3NoZWxsQGFyaWZlc2F0LmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogNAp9"},
"45": {"version": "7", "sha256": "0045lld30k30425scg2f686isnh2sqvwq41n62p9jc2lx4kvh5kg", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlVTRCAoVVMgRG9sbGFyKSBjb252ZXJ0ZWQgdG8gVFJZIChUdXJraXNoIExpcmEpLiBVcGRhdGVzIGFyZSByZWNlaXZlZCBldmVyeSAzMCBzZWNvbmRzLlxuXG5JdCBpcyBhIGZvcmsgb2YgRG9sbGFyLVBLUi4gVGhpcyBleHRlbnNpb24gdXNlcyBlY29ub21pYS5hd2Vzb21lYXBpLmNvbS5iciIsCiAgIm5hbWUiOiAiVVNELVRSWSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9hcmlmZXNhdC9VU0QtVFJZLUdTaGVsbCIsCiAgInV1aWQiOiAidXNkLXRyeS1nc2hlbGxAYXJpZmVzYXQuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA3Cn0="}
}}
, {"uuid": "hide-dock-in-overview@roslax", "name": "Hide the dock in overview", "pname": "hide-the-dock-in-overview", "description": "hides the dock in overview mode", "link": "https://extensions.gnome.org/extension/6195/hide-the-dock-in-overview/", "shell_version_map": {
+ "43": {"version": "7", "sha256": "1qfjnfgx6vdq1xp5f6j7jf818993xpa2h4lg9y4dnfndclh0yazl", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogImhpZGVzIHRoZSBkb2NrIGluIG92ZXJ2aWV3IG1vZGUiLAogICJuYW1lIjogIkhpZGUgdGhlIGRvY2sgaW4gb3ZlcnZpZXciLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQzIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vUm9zR3RIdWIvSGlkZS1kb2NrLWluLW92ZXJ2aWV3IiwKICAidXVpZCI6ICJoaWRlLWRvY2staW4tb3ZlcnZpZXdAcm9zbGF4IiwKICAidmVyc2lvbiI6IDcKfQ=="},
"44": {"version": "3", "sha256": "12f1qrc9hahjrqxvdviqz99pkgzs75f9k6qnnz4fh9v61bqwi31d", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogImhpZGVzIHRoZSBkb2NrIGluIG92ZXJ2aWV3IG1vZGUiLAogICJuYW1lIjogIkhpZGUgdGhlIGRvY2sgaW4gb3ZlcnZpZXciLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vUm9zR3RIdWIvSGlkZS1kb2NrLWluLW92ZXJ2aWV3L3RyZWUvbWFpbiIsCiAgInV1aWQiOiAiaGlkZS1kb2NrLWluLW92ZXJ2aWV3QHJvc2xheCIsCiAgInZlcnNpb24iOiAzCn0="},
"45": {"version": "6", "sha256": "07bpr6xfpcbyx1braaada0n257fcgyisfpif42c6w9cann6ffq6m", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogImhpZGVzIHRoZSBkb2NrIGluIG92ZXJ2aWV3IG1vZGUiLAogICJuYW1lIjogIkhpZGUgdGhlIGRvY2sgaW4gb3ZlcnZpZXciLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vUm9zR3RIdWIvSGlkZS1kb2NrLWluLW92ZXJ2aWV3IiwKICAidXVpZCI6ICJoaWRlLWRvY2staW4tb3ZlcnZpZXdAcm9zbGF4IiwKICAidmVyc2lvbiI6IDYKfQ=="}
}}
@@ -6109,7 +6138,7 @@
, {"uuid": "transmission-daemon-ng@glerro.pm.me", "name": "Transmission Daemon Indicator NG", "pname": "transmission-daemon-indicator-ng", "description": "Monitor and control transmission-daemon or transmission-gtk.\nThe extension use the Transmission RPC protocol for interacting with it.\n\nFragments 2.0 user also can use this extension because it is a frontend for transmission-daemon.\n\nThis extension is only for Gnome Shell version major or equal to 43 because it use libsoup3.", "link": "https://extensions.gnome.org/extension/6204/transmission-daemon-indicator-ng/", "shell_version_map": {
"43": {"version": "5", "sha256": "1g4dxrw7d9yik2y86l66nm50p7glyym6x0ff005kxmd42cl7dv9y", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vbml0b3IgYW5kIGNvbnRyb2wgdHJhbnNtaXNzaW9uLWRhZW1vbiBvciB0cmFuc21pc3Npb24tZ3RrLlxuVGhlIGV4dGVuc2lvbiB1c2UgdGhlIFRyYW5zbWlzc2lvbiBSUEMgcHJvdG9jb2wgZm9yIGludGVyYWN0aW5nIHdpdGggaXQuXG5cbkZyYWdtZW50cyAyLjAgdXNlciBhbHNvIGNhbiB1c2UgdGhpcyBleHRlbnNpb24gYmVjYXVzZSBpdCBpcyBhIGZyb250ZW5kIGZvciB0cmFuc21pc3Npb24tZGFlbW9uLlxuXG5UaGlzIGV4dGVuc2lvbiBpcyBvbmx5IGZvciBHbm9tZSBTaGVsbCB2ZXJzaW9uIG1ham9yIG9yIGVxdWFsIHRvIDQzIGJlY2F1c2UgaXQgdXNlIGxpYnNvdXAzLiIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJrb2ZpIjogImdsZXJybyIKICB9LAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb24tdHJhbnNtaXNzaW9uLWRhZW1vbi1uZyIsCiAgIm5hbWUiOiAiVHJhbnNtaXNzaW9uIERhZW1vbiBJbmRpY2F0b3IgTkciLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudHJhbnNtaXNzaW9uLWRhZW1vbi1uZyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5nbm9tZS5vcmcvZ2xlcnJvL2dub21lLXNoZWxsLWV4dGVuc2lvbi10cmFuc21pc3Npb24tZGFlbW9uLW5nIiwKICAidXVpZCI6ICJ0cmFuc21pc3Npb24tZGFlbW9uLW5nQGdsZXJyby5wbS5tZSIsCiAgInZlcnNpb24iOiA1Cn0="},
"44": {"version": "5", "sha256": "1g4dxrw7d9yik2y86l66nm50p7glyym6x0ff005kxmd42cl7dv9y", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vbml0b3IgYW5kIGNvbnRyb2wgdHJhbnNtaXNzaW9uLWRhZW1vbiBvciB0cmFuc21pc3Npb24tZ3RrLlxuVGhlIGV4dGVuc2lvbiB1c2UgdGhlIFRyYW5zbWlzc2lvbiBSUEMgcHJvdG9jb2wgZm9yIGludGVyYWN0aW5nIHdpdGggaXQuXG5cbkZyYWdtZW50cyAyLjAgdXNlciBhbHNvIGNhbiB1c2UgdGhpcyBleHRlbnNpb24gYmVjYXVzZSBpdCBpcyBhIGZyb250ZW5kIGZvciB0cmFuc21pc3Npb24tZGFlbW9uLlxuXG5UaGlzIGV4dGVuc2lvbiBpcyBvbmx5IGZvciBHbm9tZSBTaGVsbCB2ZXJzaW9uIG1ham9yIG9yIGVxdWFsIHRvIDQzIGJlY2F1c2UgaXQgdXNlIGxpYnNvdXAzLiIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJrb2ZpIjogImdsZXJybyIKICB9LAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb24tdHJhbnNtaXNzaW9uLWRhZW1vbi1uZyIsCiAgIm5hbWUiOiAiVHJhbnNtaXNzaW9uIERhZW1vbiBJbmRpY2F0b3IgTkciLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudHJhbnNtaXNzaW9uLWRhZW1vbi1uZyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5nbm9tZS5vcmcvZ2xlcnJvL2dub21lLXNoZWxsLWV4dGVuc2lvbi10cmFuc21pc3Npb24tZGFlbW9uLW5nIiwKICAidXVpZCI6ICJ0cmFuc21pc3Npb24tZGFlbW9uLW5nQGdsZXJyby5wbS5tZSIsCiAgInZlcnNpb24iOiA1Cn0="},
- "45": {"version": "6", "sha256": "0y3kbraz4xp004pxxakb9ihr0m9w50l0nijk00lw0hwin8l38vn8", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vbml0b3IgYW5kIGNvbnRyb2wgdHJhbnNtaXNzaW9uLWRhZW1vbiBvciB0cmFuc21pc3Npb24tZ3RrLlxuVGhlIGV4dGVuc2lvbiB1c2UgdGhlIFRyYW5zbWlzc2lvbiBSUEMgcHJvdG9jb2wgZm9yIGludGVyYWN0aW5nIHdpdGggaXQuXG5cbkZyYWdtZW50cyAyLjAgdXNlciBhbHNvIGNhbiB1c2UgdGhpcyBleHRlbnNpb24gYmVjYXVzZSBpdCBpcyBhIGZyb250ZW5kIGZvciB0cmFuc21pc3Npb24tZGFlbW9uLlxuXG5UaGlzIGV4dGVuc2lvbiBpcyBvbmx5IGZvciBHbm9tZSBTaGVsbCB2ZXJzaW9uIG1ham9yIG9yIGVxdWFsIHRvIDQzIGJlY2F1c2UgaXQgdXNlIGxpYnNvdXAzLiIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJrb2ZpIjogImdsZXJybyIKICB9LAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb24tdHJhbnNtaXNzaW9uLWRhZW1vbi1uZyIsCiAgIm5hbWUiOiAiVHJhbnNtaXNzaW9uIERhZW1vbiBJbmRpY2F0b3IgTkciLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudHJhbnNtaXNzaW9uLWRhZW1vbi1uZyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmdub21lLm9yZy9nbGVycm8vZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXRyYW5zbWlzc2lvbi1kYWVtb24tbmciLAogICJ1dWlkIjogInRyYW5zbWlzc2lvbi1kYWVtb24tbmdAZ2xlcnJvLnBtLm1lIiwKICAidmVyc2lvbiI6IDYKfQ=="}
+ "45": {"version": "7", "sha256": "0yn838z4y3ycf8ydcnc4a1w4abjp1sss0sjpka7kkmqx6vms13hm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vbml0b3IgYW5kIGNvbnRyb2wgdHJhbnNtaXNzaW9uLWRhZW1vbiBvciB0cmFuc21pc3Npb24tZ3RrLlxuVGhlIGV4dGVuc2lvbiB1c2UgdGhlIFRyYW5zbWlzc2lvbiBSUEMgcHJvdG9jb2wgZm9yIGludGVyYWN0aW5nIHdpdGggaXQuXG5cbkZyYWdtZW50cyAyLjAgdXNlciBhbHNvIGNhbiB1c2UgdGhpcyBleHRlbnNpb24gYmVjYXVzZSBpdCBpcyBhIGZyb250ZW5kIGZvciB0cmFuc21pc3Npb24tZGFlbW9uLlxuXG5UaGlzIGV4dGVuc2lvbiBpcyBvbmx5IGZvciBHbm9tZSBTaGVsbCB2ZXJzaW9uIG1ham9yIG9yIGVxdWFsIHRvIDQzIGJlY2F1c2UgaXQgdXNlIGxpYnNvdXAzLiIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJrb2ZpIjogImdsZXJybyIKICB9LAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb24tdHJhbnNtaXNzaW9uLWRhZW1vbi1uZyIsCiAgIm5hbWUiOiAiVHJhbnNtaXNzaW9uIERhZW1vbiBJbmRpY2F0b3IgTkciLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMudHJhbnNtaXNzaW9uLWRhZW1vbi1uZyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiLAogICAgIjQ2IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5nbm9tZS5vcmcvZ2xlcnJvL2dub21lLXNoZWxsLWV4dGVuc2lvbi10cmFuc21pc3Npb24tZGFlbW9uLW5nIiwKICAidXVpZCI6ICJ0cmFuc21pc3Npb24tZGFlbW9uLW5nQGdsZXJyby5wbS5tZSIsCiAgInZlcnNpb24iOiA3Cn0="}
}}
, {"uuid": "seasonal-clock@johanbove.info", "name": "Seasonal Clock", "pname": "seasonal-clock", "description": "Displays the current Seasonal Clock hour in the Gnome panel", "link": "https://extensions.gnome.org/extension/6210/seasonal-clock/", "shell_version_map": {
"43": {"version": "2", "sha256": "1kmxrmszrxg4fsvw4qy2npkxfbmqq0s1fki4a7gby7m0d589fa0q", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXlzIHRoZSBjdXJyZW50IFNlYXNvbmFsIENsb2NrIGhvdXIgaW4gdGhlIEdub21lIHBhbmVsIiwKICAibmFtZSI6ICJTZWFzb25hbCBDbG9jayIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vam9oYW5ib3ZlL3NlYXNvbmFsY2xvY2stZ25vbWUiLAogICJ1dWlkIjogInNlYXNvbmFsLWNsb2NrQGpvaGFuYm92ZS5pbmZvIiwKICAidmVyc2lvbiI6IDIKfQ=="},
@@ -6151,7 +6180,7 @@
"45": {"version": "16", "sha256": "08s99nph5zz34g8aaf8r7fh4a8w9mg6lv67cx0ravyfnb6anmc90", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkVtb2ppIGNvcHkgaXMgYSB2ZXJzYXRpbGUgZXh0ZW5zaW9uIGRlc2lnbmVkIHRvIHNpbXBsaWZ5IGVtb2ppIHNlbGVjdGlvbiBhbmQgY2xpcGJvYXJkIG1hbmFnZW1lbnQuXG5cbkl0IGlzIGEgZm9yayBvZiBFbW9qaSBTZWxlY3Rvci4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJlbW9qaS1jb3B5IiwKICAibmFtZSI6ICJFbW9qaSBDb3B5IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmVtb2ppLWNvcHkiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZmVsaXBlZnRuL2Vtb2ppLWNvcHkiLAogICJ1dWlkIjogImVtb2ppLWNvcHlAZmVsaXBlZnRuIiwKICAidmVyc2lvbiI6IDE2Cn0="}
}}
, {"uuid": "notification-icons@muhammad_ans.github", "name": "Top Panel Notification Icons Revived", "pname": "top-panel-notification-icons-revived", "description": "Displays notifications icon in top panel", "link": "https://extensions.gnome.org/extension/6248/top-panel-notification-icons-revived/", "shell_version_map": {
- "45": {"version": "4", "sha256": "0s5bk169l1c7xhvrc07g1y6wjdzsgb3bylc1b3r38kjazdbyr3zp", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXlzIG5vdGlmaWNhdGlvbnMgaWNvbiBpbiB0b3AgcGFuZWwiLAogICJuYW1lIjogIlRvcCBQYW5lbCBOb3RpZmljYXRpb24gSWNvbnMgUmV2aXZlZCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5ub3RpZmljYXRpb24taWNvbnMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbXVoYW1tYWQtYW5zL25vdGlmaWNhdGlvbi1pY29ucyIsCiAgInV1aWQiOiAibm90aWZpY2F0aW9uLWljb25zQG11aGFtbWFkX2Fucy5naXRodWIiLAogICJ2ZXJzaW9uIjogNAp9"}
+ "45": {"version": "5", "sha256": "19pkkzvkzbr6wwyd405757fjbikk65q4lvvxa82bbnpggkb6nns4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXlzIG5vdGlmaWNhdGlvbnMgaWNvbiBpbiB0b3AgcGFuZWwiLAogICJuYW1lIjogIlRvcCBQYW5lbCBOb3RpZmljYXRpb24gSWNvbnMgUmV2aXZlZCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5ub3RpZmljYXRpb24taWNvbnMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IiwKICAgICI0NiIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL211aGFtbWFkLWFucy9ub3RpZmljYXRpb24taWNvbnMiLAogICJ1dWlkIjogIm5vdGlmaWNhdGlvbi1pY29uc0BtdWhhbW1hZF9hbnMuZ2l0aHViIiwKICAidmVyc2lvbiI6IDUKfQ=="}
}}
, {"uuid": "huanghaohhoa@163.com", "name": "Application Tabs", "pname": "application-tabs", "description": "Panel will include a different window tab for the same application that is currently launched.\n1. You can see the application window intuitively\n2. Click tab to jump to the corresponding window immediately\n3. Click the Close button to close the window", "link": "https://extensions.gnome.org/extension/6254/application-tabs/", "shell_version_map": {
"45": {"version": "14", "sha256": "0jc3sfwhb8l3gk1nf06ryqzahsjidjzw486cmzbbzdxnbqnn210f", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlBhbmVsIHdpbGwgaW5jbHVkZSBhIGRpZmZlcmVudCB3aW5kb3cgdGFiIGZvciB0aGUgc2FtZSBhcHBsaWNhdGlvbiB0aGF0IGlzIGN1cnJlbnRseSBsYXVuY2hlZC5cbjEuIFlvdSBjYW4gc2VlIHRoZSBhcHBsaWNhdGlvbiB3aW5kb3cgaW50dWl0aXZlbHlcbjIuIENsaWNrIHRhYiB0byBqdW1wIHRvIHRoZSBjb3JyZXNwb25kaW5nIHdpbmRvdyBpbW1lZGlhdGVseVxuMy4gQ2xpY2sgdGhlIENsb3NlIGJ1dHRvbiB0byBjbG9zZSB0aGUgd2luZG93IiwKICAibmFtZSI6ICJBcHBsaWNhdGlvbiBUYWJzIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLmFwcF90YWJzIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2hob2FvL2FwcF90YWJzIiwKICAidXVpZCI6ICJodWFuZ2hhb2hob2FAMTYzLmNvbSIsCiAgInZlcnNpb24iOiAxNAp9"}
@@ -6178,12 +6207,12 @@
, {"uuid": "battery-usage-wattmeter@halfmexicanhalfamazing.gmail.com", "name": "Battery Usage Wattmeter", "pname": "battery-usage-wattmeter", "description": " Shows charging/discharging consumption (+/-) in Watt next to battery percentage level.\nDefault sync reload set to 4 seconds.\nNo consumption info when battery is full.\nFork of https://github.com/wennaspeedy/batt_consumption_wattmetter", "link": "https://extensions.gnome.org/extension/6278/battery-usage-wattmeter/", "shell_version_map": {
"43": {"version": "2", "sha256": "06kg17qp07wlpsa5gcl5ah8av6dx8cp2df87xkm39rkj58whf2fp", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIiBTaG93cyBjaGFyZ2luZy9kaXNjaGFyZ2luZyBjb25zdW1wdGlvbiAoKy8tKSBpbiBXYXR0IG5leHQgdG8gYmF0dGVyeSBwZXJjZW50YWdlIGxldmVsLlxuRGVmYXVsdCBzeW5jIHJlbG9hZCBzZXQgdG8gNCBzZWNvbmRzLlxuTm8gY29uc3VtcHRpb24gaW5mbyB3aGVuIGJhdHRlcnkgaXMgZnVsbC5cbkZvcmsgb2YgaHR0cHM6Ly9naXRodWIuY29tL3dlbm5hc3BlZWR5L2JhdHRfY29uc3VtcHRpb25fd2F0dG1ldHRlciIsCiAgIm5hbWUiOiAiQmF0dGVyeSBVc2FnZSBXYXR0bWV0ZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2hhbGZtZXhpY2FuL2JhdHRlcnktdXNhZ2Utd2F0dG1ldGVyLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAiYmF0dGVyeS11c2FnZS13YXR0bWV0ZXJAaGFsZm1leGljYW5oYWxmYW1hemluZy5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMgp9"},
"44": {"version": "2", "sha256": "06kg17qp07wlpsa5gcl5ah8av6dx8cp2df87xkm39rkj58whf2fp", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIiBTaG93cyBjaGFyZ2luZy9kaXNjaGFyZ2luZyBjb25zdW1wdGlvbiAoKy8tKSBpbiBXYXR0IG5leHQgdG8gYmF0dGVyeSBwZXJjZW50YWdlIGxldmVsLlxuRGVmYXVsdCBzeW5jIHJlbG9hZCBzZXQgdG8gNCBzZWNvbmRzLlxuTm8gY29uc3VtcHRpb24gaW5mbyB3aGVuIGJhdHRlcnkgaXMgZnVsbC5cbkZvcmsgb2YgaHR0cHM6Ly9naXRodWIuY29tL3dlbm5hc3BlZWR5L2JhdHRfY29uc3VtcHRpb25fd2F0dG1ldHRlciIsCiAgIm5hbWUiOiAiQmF0dGVyeSBVc2FnZSBXYXR0bWV0ZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2hhbGZtZXhpY2FuL2JhdHRlcnktdXNhZ2Utd2F0dG1ldGVyLWV4dGVuc2lvbiIsCiAgInV1aWQiOiAiYmF0dGVyeS11c2FnZS13YXR0bWV0ZXJAaGFsZm1leGljYW5oYWxmYW1hemluZy5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogMgp9"},
- "45": {"version": "8", "sha256": "0wryrm43fphdzfqg2crbwa4xzr2697x517yhvsrx97hmflmsnh52", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIiBTaG93cyBjaGFyZ2luZy9kaXNjaGFyZ2luZyBjb25zdW1wdGlvbiAoKy8tKSBpbiBXYXR0IG5leHQgdG8gYmF0dGVyeSBwZXJjZW50YWdlIGxldmVsLlxuRGVmYXVsdCBzeW5jIHJlbG9hZCBzZXQgdG8gNCBzZWNvbmRzLlxuTm8gY29uc3VtcHRpb24gaW5mbyB3aGVuIGJhdHRlcnkgaXMgZnVsbC5cbkZvcmsgb2YgaHR0cHM6Ly9naXRodWIuY29tL3dlbm5hc3BlZWR5L2JhdHRfY29uc3VtcHRpb25fd2F0dG1ldHRlciIsCiAgIm5hbWUiOiAiQmF0dGVyeSBVc2FnZSBXYXR0bWV0ZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vaGFsZm1leGljYW4vYmF0dGVyeS11c2FnZS13YXR0bWV0ZXItZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJiYXR0ZXJ5LXVzYWdlLXdhdHRtZXRlckBoYWxmbWV4aWNhbmhhbGZhbWF6aW5nLmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiA4Cn0="}
+ "45": {"version": "12", "sha256": "0zrbz33pc3ill8qam7ww13g045xhjfynnzj7mwfxn0kb7y48wpbw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIiBTaG93cyBjaGFyZ2luZy9kaXNjaGFyZ2luZyBjb25zdW1wdGlvbiAoKy8tKSBpbiBXYXR0IG5leHQgdG8gYmF0dGVyeSBwZXJjZW50YWdlIGxldmVsLlxuRGVmYXVsdCBzeW5jIHJlbG9hZCBzZXQgdG8gNCBzZWNvbmRzLlxuTm8gY29uc3VtcHRpb24gaW5mbyB3aGVuIGJhdHRlcnkgaXMgZnVsbC5cbkZvcmsgb2YgaHR0cHM6Ly9naXRodWIuY29tL3dlbm5hc3BlZWR5L2JhdHRfY29uc3VtcHRpb25fd2F0dG1ldHRlciIsCiAgIm5hbWUiOiAiQmF0dGVyeSBVc2FnZSBXYXR0bWV0ZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vaGFsZm1leGljYW4vYmF0dGVyeS11c2FnZS13YXR0bWV0ZXItZXh0ZW5zaW9uIiwKICAidXVpZCI6ICJiYXR0ZXJ5LXVzYWdlLXdhdHRtZXRlckBoYWxmbWV4aWNhbmhhbGZhbWF6aW5nLmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiAxMgp9"}
}}
-, {"uuid": "azwallpaper@azwallpaper.gitlab.com", "name": "Wallpaper Slideshow", "pname": "wallpaper-slideshow", "description": "Wallpaper slideshow extension.\n\nWhen first installing, make sure to set your slideshow directory in the settings.\n\nRight click on your desktop for easy access to Wallpaper Slideshow settings or to jump to the next background in queue.\n\nThe extension will detect all images in the user defined slideshow directory, randomize the order, and start a slideshow at an interval of your choice. Any file changes, additions, or deletions in the directory will be detected and the slideshow queue will update accordingly.\n\nSlideshow queue is preserved upon shutdown and will pick up from where it left off.\n\nOptionally downloads BING wallpaper of the day. If enabled, the extension will attempt to download the BING wallpaper of the day every 12 hours.", "link": "https://extensions.gnome.org/extension/6281/wallpaper-slideshow/", "shell_version_map": {
+, {"uuid": "azwallpaper@azwallpaper.gitlab.com", "name": "Wallpaper Slideshow", "pname": "wallpaper-slideshow", "description": "Wallpaper slideshow extension. Optionally downloads BING wallpaper of the day.\n\nWhen first installing, make sure to set your slideshow directory in the settings.\n\nRight click on your desktop for easy access to Wallpaper Slideshow settings or to jump to the next background in queue.\n\nThe extension will detect all images in the user defined slideshow directory, randomize the order, and start a slideshow at an interval of your choice. Any file changes, additions, or deletions in the directory will be detected and the slideshow queue will update accordingly.\n\nSlideshow queue is preserved upon shutdown and will pick up from where it left off.", "link": "https://extensions.gnome.org/extension/6281/wallpaper-slideshow/", "shell_version_map": {
"43": {"version": "7", "sha256": "0d07s6pv96aibcp26gdhg1rif5pfcw7078q1kckris0vihjyw27p", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIldhbGxwYXBlciBzbGlkZXNob3cgZXh0ZW5zaW9uIGZvciBHTk9NRS4gT3B0aW9uYWxseSBkb3dubG9hZHMgQklORyB3YWxscGFwZXIgb2YgdGhlIGRheS5cbiBBdXRvbWF0aWNhbGx5IGNoYW5nZXMgdGhlIHdhbGxwYXBlciAoYmFja2dyb3VuZCkgYXQgYSB1c2VyIGRlZmluZWQgaW50ZXJ2YWwuIiwKICAiZG9uYXRpb25zIjogewogICAgInBheXBhbCI6ICJhemFlY2giCiAgfSwKICAiZ2V0dGV4dC1kb21haW4iOiAiYXp3YWxscGFwZXIiLAogICJuYW1lIjogIldhbGxwYXBlciBTbGlkZXNob3ciLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYXp3YWxscGFwZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL0FuZHJld1phZWNoL2F6d2FsbHBhcGVyIiwKICAidXVpZCI6ICJhendhbGxwYXBlckBhendhbGxwYXBlci5naXRsYWIuY29tIiwKICAidmVyc2lvbiI6IDcKfQ=="},
"44": {"version": "7", "sha256": "0d07s6pv96aibcp26gdhg1rif5pfcw7078q1kckris0vihjyw27p", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIldhbGxwYXBlciBzbGlkZXNob3cgZXh0ZW5zaW9uIGZvciBHTk9NRS4gT3B0aW9uYWxseSBkb3dubG9hZHMgQklORyB3YWxscGFwZXIgb2YgdGhlIGRheS5cbiBBdXRvbWF0aWNhbGx5IGNoYW5nZXMgdGhlIHdhbGxwYXBlciAoYmFja2dyb3VuZCkgYXQgYSB1c2VyIGRlZmluZWQgaW50ZXJ2YWwuIiwKICAiZG9uYXRpb25zIjogewogICAgInBheXBhbCI6ICJhemFlY2giCiAgfSwKICAiZ2V0dGV4dC1kb21haW4iOiAiYXp3YWxscGFwZXIiLAogICJuYW1lIjogIldhbGxwYXBlciBTbGlkZXNob3ciLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYXp3YWxscGFwZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL0FuZHJld1phZWNoL2F6d2FsbHBhcGVyIiwKICAidXVpZCI6ICJhendhbGxwYXBlckBhendhbGxwYXBlci5naXRsYWIuY29tIiwKICAidmVyc2lvbiI6IDcKfQ=="},
- "45": {"version": "6", "sha256": "1fw7jc4h7lcjkd5py1pm49a1fflbmdynvjhzwsfwmfff86smzw4z", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIldhbGxwYXBlciBzbGlkZXNob3cgZXh0ZW5zaW9uIGZvciBHTk9NRS4gT3B0aW9uYWxseSBkb3dubG9hZHMgQklORyB3YWxscGFwZXIgb2YgdGhlIGRheS5cbiBBdXRvbWF0aWNhbGx5IGNoYW5nZXMgdGhlIHdhbGxwYXBlciAoYmFja2dyb3VuZCkgYXQgYSB1c2VyIGRlZmluZWQgaW50ZXJ2YWwuIiwKICAiZG9uYXRpb25zIjogewogICAgInBheXBhbCI6ICJhemFlY2giCiAgfSwKICAiZ2V0dGV4dC1kb21haW4iOiAiYXp3YWxscGFwZXIiLAogICJuYW1lIjogIldhbGxwYXBlciBTbGlkZXNob3ciLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYXp3YWxscGFwZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vQW5kcmV3WmFlY2gvYXp3YWxscGFwZXIiLAogICJ1dWlkIjogImF6d2FsbHBhcGVyQGF6d2FsbHBhcGVyLmdpdGxhYi5jb20iLAogICJ2ZXJzaW9uIjogNgp9"}
+ "45": {"version": "8", "sha256": "13hzijdx6gkmqwx8hmap3s9sw3pdrhk2g4nam31aiabal84ghjmh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIldhbGxwYXBlciBzbGlkZXNob3cgZXh0ZW5zaW9uIGZvciBHTk9NRS4gT3B0aW9uYWxseSBkb3dubG9hZHMgQklORyB3YWxscGFwZXIgb2YgdGhlIGRheS5cbiBBdXRvbWF0aWNhbGx5IGNoYW5nZXMgdGhlIHdhbGxwYXBlciAoYmFja2dyb3VuZCkgYXQgYSB1c2VyIGRlZmluZWQgaW50ZXJ2YWwuIiwKICAiZG9uYXRpb25zIjogewogICAgInBheXBhbCI6ICJhemFlY2giCiAgfSwKICAiZ2V0dGV4dC1kb21haW4iOiAiYXp3YWxscGFwZXIiLAogICJuYW1lIjogIldhbGxwYXBlciBTbGlkZXNob3ciLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYXp3YWxscGFwZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IiwKICAgICI0NiIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuY29tL0FuZHJld1phZWNoL2F6d2FsbHBhcGVyIiwKICAidXVpZCI6ICJhendhbGxwYXBlckBhendhbGxwYXBlci5naXRsYWIuY29tIiwKICAidmVyc2lvbiI6IDgKfQ=="}
}}
, {"uuid": "energy-rate-indicator@kaetowjj.gmail.com", "name": "Energy Rate Indicator", "pname": "energy-rate-indicator", "description": "Displays the current energy rate in the top bar.", "link": "https://extensions.gnome.org/extension/6290/energy-rate-indicator/", "shell_version_map": {
"44": {"version": "7", "sha256": "0nyvjh579s4kicwcpxzd5n5xbn2v87p87hzbhmv771llvzhsgz1l", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXlzIHRoZSBjdXJyZW50IGVuZXJneSByYXRlIGluIHRoZSB0b3AgYmFyLiIsCiAgIm5hbWUiOiAiRW5lcmd5IFJhdGUgSW5kaWNhdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2thZXRvd2pqL0dub21lLUV4dGVuc2lvbi1FbmVyZ3ktUmF0ZS1JbmRpY2F0b3IiLAogICJ1dWlkIjogImVuZXJneS1yYXRlLWluZGljYXRvckBrYWV0b3dqai5nbWFpbC5jb20iLAogICJ2ZXJzaW9uIjogNwp9"}
@@ -6210,7 +6239,7 @@
"42": {"version": "12", "sha256": "0nczzyvwpaaxfwvi6nn8vgy6csl30d2j81ilwnirsngblps22s1d", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpbSB3aW5kb3dzIHdpdGhvdXQgZm9jdXMiLAogICJuYW1lIjogIkRpbSBCYWNrZ3JvdW5kIFdpbmRvd3MiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuZGltLWJhY2tncm91bmQtd2luZG93cyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3N0ZXBoYW5lLTEzL2dub21lLXNoZWxsLWV4dGVuc2lvbi1kaW0tYmFja2dyb3VuZC13aW5kb3dzIiwKICAidXVpZCI6ICJkaW0tYmFja2dyb3VuZC13aW5kb3dzQHN0ZXBoYW5lLTEzLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMTIKfQ=="},
"43": {"version": "12", "sha256": "0nczzyvwpaaxfwvi6nn8vgy6csl30d2j81ilwnirsngblps22s1d", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpbSB3aW5kb3dzIHdpdGhvdXQgZm9jdXMiLAogICJuYW1lIjogIkRpbSBCYWNrZ3JvdW5kIFdpbmRvd3MiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuZGltLWJhY2tncm91bmQtd2luZG93cyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3N0ZXBoYW5lLTEzL2dub21lLXNoZWxsLWV4dGVuc2lvbi1kaW0tYmFja2dyb3VuZC13aW5kb3dzIiwKICAidXVpZCI6ICJkaW0tYmFja2dyb3VuZC13aW5kb3dzQHN0ZXBoYW5lLTEzLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMTIKfQ=="},
"44": {"version": "12", "sha256": "0nczzyvwpaaxfwvi6nn8vgy6csl30d2j81ilwnirsngblps22s1d", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpbSB3aW5kb3dzIHdpdGhvdXQgZm9jdXMiLAogICJuYW1lIjogIkRpbSBCYWNrZ3JvdW5kIFdpbmRvd3MiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuZGltLWJhY2tncm91bmQtd2luZG93cyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDIiLAogICAgIjQzIiwKICAgICI0NCIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3N0ZXBoYW5lLTEzL2dub21lLXNoZWxsLWV4dGVuc2lvbi1kaW0tYmFja2dyb3VuZC13aW5kb3dzIiwKICAidXVpZCI6ICJkaW0tYmFja2dyb3VuZC13aW5kb3dzQHN0ZXBoYW5lLTEzLmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMTIKfQ=="},
- "45": {"version": "11", "sha256": "1dci1d4lnrd5dl1zr52ss5yw466jvc4v0hv8rawysfn9a913kqva", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpbSB3aW5kb3dzIHdpdGhvdXQgZm9jdXMiLAogICJuYW1lIjogIkRpbSBCYWNrZ3JvdW5kIFdpbmRvd3MiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuZGltLWJhY2tncm91bmQtd2luZG93cyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zdGVwaGFuZS0xMy9nbm9tZS1zaGVsbC1leHRlbnNpb24tZGltLWJhY2tncm91bmQtd2luZG93cyIsCiAgInV1aWQiOiAiZGltLWJhY2tncm91bmQtd2luZG93c0BzdGVwaGFuZS0xMy5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDExCn0="}
+ "45": {"version": "13", "sha256": "1379nq1hpzzqcw5gzcbzkg73r2g7kr389bwpd3ka1q3bxs64y7ha", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpbSB3aW5kb3dzIHdpdGhvdXQgZm9jdXMiLAogICJuYW1lIjogIkRpbSBCYWNrZ3JvdW5kIFdpbmRvd3MiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuZGltLWJhY2tncm91bmQtd2luZG93cyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zdGVwaGFuZS0xMy9nbm9tZS1zaGVsbC1leHRlbnNpb24tZGltLWJhY2tncm91bmQtd2luZG93cyIsCiAgInV1aWQiOiAiZGltLWJhY2tncm91bmQtd2luZG93c0BzdGVwaGFuZS0xMy5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDEzCn0="}
}}
, {"uuid": "hide-gnome-power-icon@siren15.github.com", "name": "Hide power button icon", "pname": "hide-power-button-icon", "description": "Hide the power icon in the quick settings area of the Gnome panel.", "link": "https://extensions.gnome.org/extension/6319/hide-power-button-icon/", "shell_version_map": {
"44": {"version": "2", "sha256": "05x5rz1485fw6id3s18hman0clw7j5q8ff5w71ca49lkcwr5y323", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUgdGhlIHBvd2VyIGljb24gaW4gdGhlIHF1aWNrIHNldHRpbmdzIGFyZWEgb2YgdGhlIEdub21lIHBhbmVsLiIsCiAgIm5hbWUiOiAiSGlkZSBwb3dlciBidXR0b24gaWNvbiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zaXJlbjE1L2hpZGUtZ25vbWUtcG93ZXItaWNvbiIsCiAgInV1aWQiOiAiaGlkZS1nbm9tZS1wb3dlci1pY29uQHNpcmVuMTUuZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAyCn0="}
@@ -6276,8 +6305,8 @@
, {"uuid": "perma-clip@susannedev.com", "name": "Perma Clip", "pname": "perma-clip", "description": "Add up to 10 strings to clipboard permanently. Useful for quickly pasting passwords.", "link": "https://extensions.gnome.org/extension/6388/perma-clip/", "shell_version_map": {
"42": {"version": "3", "sha256": "01pb072dy77h0047hkbnsmdsfmzcwp7mjyglp66g1yvgliawlv09", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCB1cCB0byAxMCBzdHJpbmdzIHRvIGNsaXBib2FyZCBwZXJtYW5lbnRseS4gVXNlZnVsIGZvciBxdWlja2x5IHBhc3RpbmcgcGFzc3dvcmRzLiIsCiAgIm5hbWUiOiAiUGVybWEgQ2xpcCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5wZXJtYS1jbGlwIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL1RoZUdyZWdzdGVyMTExMS9wZXJtYS1jbGlwIiwKICAidXVpZCI6ICJwZXJtYS1jbGlwQHN1c2FubmVkZXYuY29tIiwKICAidmVyc2lvbiI6IDMKfQ=="}
}}
-, {"uuid": "simple-workspaces-bar@null-git", "name": "Simple Workspaces Bar", "pname": "simple-workspaces-bar", "description": "Replace 'Activities' button by all current workspaces buttons. Switch workspace or toggle overview by clicking on these buttons.\n\n You can use names for workspaces: there are two ways for that. 1) Edit the string array 'org.gnome.desktop.wm.preferences.workspace-names' gsettings key (through dconf editor, e.g.). 2) Use official GNOME extension Workspaces Indicator's settings. You don't have to write a long enough list: numbers are displayed if no workspace name is defined.\n\nThis is a GNOME 45 compatible fork of https://extensions.gnome.org/extension/3851/workspaces-bar/", "link": "https://extensions.gnome.org/extension/6394/simple-workspaces-bar/", "shell_version_map": {
- "45": {"version": "2", "sha256": "18n2v9k82sa20kp75vdmw7kq1jzl3qcbkn6k7zab49isw970n8rr", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlcGxhY2UgJ0FjdGl2aXRpZXMnIGJ1dHRvbiBieSBhbGwgY3VycmVudCB3b3Jrc3BhY2VzIGJ1dHRvbnMuIFN3aXRjaCB3b3Jrc3BhY2Ugb3IgdG9nZ2xlIG92ZXJ2aWV3IGJ5IGNsaWNraW5nIG9uIHRoZXNlIGJ1dHRvbnMuXG5cbiBZb3UgY2FuIHVzZSBuYW1lcyBmb3Igd29ya3NwYWNlczogdGhlcmUgYXJlIHR3byB3YXlzIGZvciB0aGF0LiAxKSBFZGl0IHRoZSBzdHJpbmcgYXJyYXkgJ29yZy5nbm9tZS5kZXNrdG9wLndtLnByZWZlcmVuY2VzLndvcmtzcGFjZS1uYW1lcycgZ3NldHRpbmdzIGtleSAodGhyb3VnaCBkY29uZiBlZGl0b3IsIGUuZy4pLiAyKSBVc2Ugb2ZmaWNpYWwgR05PTUUgZXh0ZW5zaW9uIFdvcmtzcGFjZXMgSW5kaWNhdG9yJ3Mgc2V0dGluZ3MuIFlvdSBkb24ndCBoYXZlIHRvIHdyaXRlIGEgbG9uZyBlbm91Z2ggbGlzdDogbnVtYmVycyBhcmUgZGlzcGxheWVkIGlmIG5vIHdvcmtzcGFjZSBuYW1lIGlzIGRlZmluZWQuXG5cblRoaXMgaXMgYSBHTk9NRSA0NSBjb21wYXRpYmxlIGZvcmsgb2YgaHR0cHM6Ly9leHRlbnNpb25zLmdub21lLm9yZy9leHRlbnNpb24vMzg1MS93b3Jrc3BhY2VzLWJhci8iLAogICJuYW1lIjogIlNpbXBsZSBXb3Jrc3BhY2VzIEJhciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9udWxsLWdpdC9zaW1wbGUtd29ya3NwYWNlcy1iYXIiLAogICJ1dWlkIjogInNpbXBsZS13b3Jrc3BhY2VzLWJhckBudWxsLWdpdCIsCiAgInZlcnNpb24iOiAyCn0="}
+, {"uuid": "simple-workspaces-bar@null-git", "name": "Simple Workspaces Bar", "pname": "simple-workspaces-bar", "description": "Replace 'Activities' button by all current workspaces buttons. Switch workspace or toggle overview by clicking on these buttons.\n\n You can use names for workspaces: there are two ways for that. 1) Edit the string array 'org.gnome.desktop.wm.preferences.workspace-names' gsettings key (through dconf editor, e.g.). 2) Use official GNOME extension Workspaces Indicator's settings. You don't have to write a long enough list: numbers are displayed if no workspace name is defined.\n\nThis is a GNOME 45+ compatible fork of https://extensions.gnome.org/extension/3851/workspaces-bar/", "link": "https://extensions.gnome.org/extension/6394/simple-workspaces-bar/", "shell_version_map": {
+ "45": {"version": "3", "sha256": "0g7ldnm718kf9qy25ssls1b5gx2rv1hq0cwig5cxsgxmirvfjfgq", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlJlcGxhY2UgJ0FjdGl2aXRpZXMnIGJ1dHRvbiBieSBhbGwgY3VycmVudCB3b3Jrc3BhY2VzIGJ1dHRvbnMuIFN3aXRjaCB3b3Jrc3BhY2Ugb3IgdG9nZ2xlIG92ZXJ2aWV3IGJ5IGNsaWNraW5nIG9uIHRoZXNlIGJ1dHRvbnMuXG5cbiBZb3UgY2FuIHVzZSBuYW1lcyBmb3Igd29ya3NwYWNlczogdGhlcmUgYXJlIHR3byB3YXlzIGZvciB0aGF0LiAxKSBFZGl0IHRoZSBzdHJpbmcgYXJyYXkgJ29yZy5nbm9tZS5kZXNrdG9wLndtLnByZWZlcmVuY2VzLndvcmtzcGFjZS1uYW1lcycgZ3NldHRpbmdzIGtleSAodGhyb3VnaCBkY29uZiBlZGl0b3IsIGUuZy4pLiAyKSBVc2Ugb2ZmaWNpYWwgR05PTUUgZXh0ZW5zaW9uIFdvcmtzcGFjZXMgSW5kaWNhdG9yJ3Mgc2V0dGluZ3MuIFlvdSBkb24ndCBoYXZlIHRvIHdyaXRlIGEgbG9uZyBlbm91Z2ggbGlzdDogbnVtYmVycyBhcmUgZGlzcGxheWVkIGlmIG5vIHdvcmtzcGFjZSBuYW1lIGlzIGRlZmluZWQuXG5cblRoaXMgaXMgYSBHTk9NRSA0NSBjb21wYXRpYmxlIGZvcmsgb2YgaHR0cHM6Ly9leHRlbnNpb25zLmdub21lLm9yZy9leHRlbnNpb24vMzg1MS93b3Jrc3BhY2VzLWJhci8iLAogICJuYW1lIjogIlNpbXBsZSBXb3Jrc3BhY2VzIEJhciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiLAogICAgIjQ2IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vbnVsbC1naXQvc2ltcGxlLXdvcmtzcGFjZXMtYmFyIiwKICAidXVpZCI6ICJzaW1wbGUtd29ya3NwYWNlcy1iYXJAbnVsbC1naXQiLAogICJ2ZXJzaW9uIjogMwp9"}
}}
, {"uuid": "speedinator@liam.moe", "name": "Speedinator", "pname": "speedinator", "description": "Control the speed of gnome-shell animations", "link": "https://extensions.gnome.org/extension/6397/speedinator/", "shell_version_map": {
"45": {"version": "4", "sha256": "1207ylsqwy47a9x47qg5wzycpbzljx71ps74dl7fjygsb2gik1ml", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkNvbnRyb2wgdGhlIHNwZWVkIG9mIGdub21lLXNoZWxsIGFuaW1hdGlvbnMiLAogICJuYW1lIjogIlNwZWVkaW5hdG9yIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm1vZS5saWFtLnNwZWVkaW5hdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3RlaHNxdWlkZ2Uvc3BlZWRpbmF0b3IiLAogICJ1dWlkIjogInNwZWVkaW5hdG9yQGxpYW0ubW9lIiwKICAidmVyc2lvbiI6IDQKfQ=="}
@@ -6318,13 +6347,13 @@
, {"uuid": "hideclock@kevinbburns.com", "name": "Hide That Clock", "pname": "hide-that-clock", "description": "Simply hides the clock from the panel.", "link": "https://extensions.gnome.org/extension/6439/hide-that-clock/", "shell_version_map": {
"45": {"version": "2", "sha256": "1ggbh9j95pji6g43mf4859i2sgwfzps2pkmkghgp950mkal71ajl", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXBseSBoaWRlcyB0aGUgY2xvY2sgZnJvbSB0aGUgcGFuZWwuIiwKICAibmFtZSI6ICJIaWRlIFRoYXQgQ2xvY2siLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20va2V2aW5iYnVybnMvR25vbWVIaWRlQ2xvY2siLAogICJ1dWlkIjogImhpZGVjbG9ja0BrZXZpbmJidXJucy5jb20iLAogICJ2ZXJzaW9uIjogMgp9"}
}}
-, {"uuid": "panel-free@fthx", "name": "Panel Free", "pname": "panel-free", "description": "Hide top panel. Panel appears only in overview.\n\n Pro tip: you can stil access to panel's items, just click when your mouse cursor is at the top.", "link": "https://extensions.gnome.org/extension/6454/panel-free/", "shell_version_map": {
- "45": {"version": "5", "sha256": "0vccagnrwppbzn05qn70hjab3rcz0kq2lhvkx1mf2xf3xxqz6718", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUgdG9wIHBhbmVsLiBQYW5lbCBhcHBlYXJzIG9ubHkgaW4gb3ZlcnZpZXcuXG5cbiBQcm8gdGlwOiB5b3UgY2FuIHN0aWwgYWNjZXNzIHRvIHBhbmVsJ3MgaXRlbXMsIGp1c3QgY2xpY2sgd2hlbiB5b3VyIG1vdXNlIGN1cnNvciBpcyBhdCB0aGUgdG9wLiIsCiAgIm5hbWUiOiAiUGFuZWwgRnJlZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9mdGh4L3BhbmVsLWZyZWUiLAogICJ1dWlkIjogInBhbmVsLWZyZWVAZnRoeCIsCiAgInZlcnNpb24iOiA1Cn0="}
+, {"uuid": "panel-free@fthx", "name": "Panel Free", "pname": "panel-free", "description": "Hide top panel. Panel appears only in overview.", "link": "https://extensions.gnome.org/extension/6454/panel-free/", "shell_version_map": {
+ "45": {"version": "6", "sha256": "1nzy84vrb71f1v7z6bqwfj6dm6nkg2a6a658vmdz79vmsrljidgz", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUgdG9wIHBhbmVsLiBQYW5lbCBhcHBlYXJzIG9ubHkgaW4gb3ZlcnZpZXcuIiwKICAibmFtZSI6ICJQYW5lbCBGcmVlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2Z0aHgvcGFuZWwtZnJlZSIsCiAgInV1aWQiOiAicGFuZWwtZnJlZUBmdGh4IiwKICAidmVyc2lvbiI6IDYKfQ=="}
}}
, {"uuid": "VividShade@mozo64.github.io", "name": "VividShade: Multi-Monitor RGB Dimming Control", "pname": "vividshade-multi-monitor-rgb-dimming-control", "description": "Multimonitor dimming overlay with RGB regulation: extension adds a button with a light bulb icon to your panel. Clicking this button reveals individual sliders for each connected monitor, allowing you to adjust the dimming level. Additionally, you can toggle the color of the dimming effect and customize it using RGB sliders located at the bottom of the menu. \n\nTested with Ubuntu 22.04.3 LTS and GNOME Shell 42.9. \n\nIt is a fork of Dim Desktop 70.", "link": "https://extensions.gnome.org/extension/6463/vividshade-multi-monitor-rgb-dimming-control/", "shell_version_map": {
"42": {"version": "1", "sha256": "0lw047iqjzmsnz19wajr73n1zprgh8r4mhh9srjkhm65k5h4gfs5", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk11bHRpbW9uaXRvciBkaW1taW5nIG92ZXJsYXkgd2l0aCBSR0IgcmVndWxhdGlvbjogZXh0ZW5zaW9uIGFkZHMgYSBidXR0b24gd2l0aCBhIGxpZ2h0IGJ1bGIgaWNvbiB0byB5b3VyIHBhbmVsLiBDbGlja2luZyB0aGlzIGJ1dHRvbiByZXZlYWxzIGluZGl2aWR1YWwgc2xpZGVycyBmb3IgZWFjaCBjb25uZWN0ZWQgbW9uaXRvciwgYWxsb3dpbmcgeW91IHRvIGFkanVzdCB0aGUgZGltbWluZyBsZXZlbC4gQWRkaXRpb25hbGx5LCB5b3UgY2FuIHRvZ2dsZSB0aGUgY29sb3Igb2YgdGhlIGRpbW1pbmcgZWZmZWN0IGFuZCBjdXN0b21pemUgaXQgdXNpbmcgUkdCIHNsaWRlcnMgbG9jYXRlZCBhdCB0aGUgYm90dG9tIG9mIHRoZSBtZW51LiBcblxuVGVzdGVkIHdpdGggVWJ1bnR1IDIyLjA0LjMgTFRTIGFuZCBHTk9NRSBTaGVsbCA0Mi45LiBcblxuSXQgaXMgYSBmb3JrIG9mIERpbSBEZXNrdG9wIDcwLiIsCiAgIm5hbWUiOiAiVml2aWRTaGFkZTogTXVsdGktTW9uaXRvciBSR0IgRGltbWluZyBDb250cm9sIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL21vem82NC9WaXZpZFNoYWRlIiwKICAidXVpZCI6ICJWaXZpZFNoYWRlQG1vem82NC5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogMQp9"}
}}
-, {"uuid": "picture-of-the-day@swsnr.de", "name": "Picture of the Day", "pname": "picture-of-the-day", "description": "Get a picture of the day as desktop background.\n\nSupports the following sources:\n\n* NASA Astronomy Picture of the Day (APOD) from https://apod.nasa.gov/apod/astropix.html\n* NASA Earth Observatory Image of the Day from https://earthobservatory.nasa.gov/topic/image-of-the-day\n* Bing from https://www.bing.com\n* Wikimedia from https://commons.wikimedia.org/wiki/Main_Page\n", "link": "https://extensions.gnome.org/extension/6469/picture-of-the-day/", "shell_version_map": {
+, {"uuid": "picture-of-the-day@swsnr.de", "name": "Picture of the Day", "pname": "picture-of-the-day", "description": "Get a picture of the day as desktop background or wallpaper.\n\nSupports the following sources: NASA Astronomy Picture of the Day (APOD), NASA Earth Observatory Image of the Day, Bing, and Wikimedia Featured Image.", "link": "https://extensions.gnome.org/extension/6469/picture-of-the-day/", "shell_version_map": {
"45": {"version": "20", "sha256": "0fsx12ngzhhzrhrabd5r6d10yyf5x7gg3manm6b09hb1xqwrl7cv", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkdldCBhIHBpY3R1cmUgb2YgdGhlIGRheSBhcyBkZXNrdG9wIGJhY2tncm91bmQuXG5cblN1cHBvcnRzIHRoZSBmb2xsb3dpbmcgc291cmNlczpcblxuKiBOQVNBIEFzdHJvbm9teSBQaWN0dXJlIG9mIHRoZSBEYXkgKEFQT0QpIGZyb20gaHR0cHM6Ly9hcG9kLm5hc2EuZ292L2Fwb2QvYXN0cm9waXguaHRtbFxuKiBOQVNBIEVhcnRoIE9ic2VydmF0b3J5IEltYWdlIG9mIHRoZSBEYXkgZnJvbSBodHRwczovL2VhcnRob2JzZXJ2YXRvcnkubmFzYS5nb3YvdG9waWMvaW1hZ2Utb2YtdGhlLWRheVxuKiBCaW5nIGZyb20gaHR0cHM6Ly93d3cuYmluZy5jb21cbiogV2lraW1lZGlhIGZyb20gaHR0cHM6Ly9jb21tb25zLndpa2ltZWRpYS5vcmcvd2lraS9NYWluX1BhZ2VcbiIsCiAgImdldHRleHQtZG9tYWluIjogInBpY3R1cmUtb2YtdGhlLWRheUBzd3Nuci5kZSIsCiAgIm5hbWUiOiAiUGljdHVyZSBvZiB0aGUgRGF5IiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnN3c25yLXBpY3R1cmUtb2YtdGhlLWRheSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zd3Nuci9nbm9tZS1zaGVsbC1leHRlbnNpb24tcGljdHVyZS1vZi10aGUtZGF5IiwKICAidXVpZCI6ICJwaWN0dXJlLW9mLXRoZS1kYXlAc3dzbnIuZGUiLAogICJ2ZXJzaW9uIjogMjAsCiAgInZlcnNpb24tbmFtZSI6ICI0NS4xMyIKfQ=="}
}}
, {"uuid": "overviewnow@thesola.io", "name": "Overview Flick", "pname": "overview-flick", "description": "Flick from the right to get the Overview.\n\nThis extension was revived to GNOME 45 from the original, https://extensions.gnome.org/extension/1088/overview-now/\n\nOriginal description:\n\nThis is my first extension. Thanks, GNOME!. Based on \"Slide for Keyboard\", https://extensions.gnome.org/extension/993/slide-for-keyboard/\n\nWhy did I do this? Well, if you do a gesture with your thumb, on your touchscreen, from the right to the center, you'll get the Overview. If you do that, your thumb will be placed in the Desktop selector, so you will be able to move between desktops with your thumb. Perfect! This is also easier to trigger than the default GNOME gesture (three fingers closing).", "link": "https://extensions.gnome.org/extension/6478/overview-flick/", "shell_version_map": {
@@ -6336,8 +6365,8 @@
, {"uuid": "lockscreen@lfarkas.org", "name": "Lock Screen Button on Panel", "pname": "lock-screen", "description": "Add lock icon to the panel and lock the screen instead of using ctrl-alt-l (fork of https://github.com/sramkrishna/gnome3-extensions)", "link": "https://extensions.gnome.org/extension/6487/lock-screen/", "shell_version_map": {
"45": {"version": "4", "sha256": "1nbq5rsg854d9ivi9j8ngcf91skblj7p8p4i955bv3kmxixxiwyc", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBsb2NrIGljb24gdG8gdGhlIHBhbmVsIGFuZCBsb2NrIHRoZSBzY3JlZW4gaW5zdGVhZCBvZiB1c2luZyBjdHJsLWFsdC1sIChmb3JrIG9mIGh0dHBzOi8vZ2l0aHViLmNvbS9zcmFta3Jpc2huYS9nbm9tZTMtZXh0ZW5zaW9ucykiLAogICJuYW1lIjogIkxvY2sgU2NyZWVuIEJ1dHRvbiBvbiBQYW5lbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9sZmFya2FzL2dub21lMy1leHRlbnNpb25zIiwKICAidXVpZCI6ICJsb2Nrc2NyZWVuQGxmYXJrYXMub3JnIiwKICAidmVyc2lvbiI6IDQKfQ=="}
}}
-, {"uuid": "overviewhover@mattdavis90", "name": "Overview Hover", "pname": "overview-hover", "description": "Hover over a window in overview mode to make it active", "link": "https://extensions.gnome.org/extension/6490/overview-hover/", "shell_version_map": {
- "45": {"version": "2", "sha256": "1afvwrhnihih06gvqaj6qdkngqzs36l3w7bwsnw8mzj7hvifs0fg", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhvdmVyIG92ZXIgYSB3aW5kb3cgaW4gb3ZlcnZpZXcgbW9kZSB0byBtYWtlIGl0IGFjdGl2ZSIsCiAgIm5hbWUiOiAiT3ZlcnZpZXcgSG92ZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWF0dGRhdmlzOTAvb3ZlcnZpZXctaG92ZXIiLAogICJ1dWlkIjogIm92ZXJ2aWV3aG92ZXJAbWF0dGRhdmlzOTAiLAogICJ2ZXJzaW9uIjogMgp9"}
+, {"uuid": "overviewhover@mattdavis90", "name": "Overview Hover", "pname": "overview-hover", "description": "An extension that aims to simulate the MacOS Mission Control experience.\n\nMain Features\n* Hover a window preview in Gnome Shell's overview to bring that window into focus\n* Window focus won't change until you move your mouse\n* Window focus will revert if your mouse leaves a preview\n* Window focus doesn't change until you begin leaving overview\n\nPlease report issue on Github. Thanks", "link": "https://extensions.gnome.org/extension/6490/overview-hover/", "shell_version_map": {
+ "45": {"version": "3", "sha256": "1mkpwzykp0gc9za22bbs1k16nr0k83wj44hwzsgfjcm37smh96k1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhvdmVyIG92ZXIgYSB3aW5kb3cgaW4gb3ZlcnZpZXcgbW9kZSB0byBtYWtlIGl0IGFjdGl2ZSIsCiAgIm5hbWUiOiAiT3ZlcnZpZXcgSG92ZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWF0dGRhdmlzOTAvb3ZlcnZpZXctaG92ZXIiLAogICJ1dWlkIjogIm92ZXJ2aWV3aG92ZXJAbWF0dGRhdmlzOTAiLAogICJ2ZXJzaW9uIjogMwp9"}
}}
, {"uuid": "onedrive@client.onedrive.com", "name": "Onedrive Client", "pname": "onedrive", "description": "Show and Control Onedrive Client", "link": "https://extensions.gnome.org/extension/6493/onedrive/", "shell_version_map": {
"45": {"version": "5", "sha256": "1pjlj22hrr1aks3wy9sxm4lpd7lk38f0jhzdz5vxsb0gg8707925", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgYW5kIENvbnRyb2wgT25lZHJpdmUgQ2xpZW50IiwKICAiZ2V0dGV4dC1kb21haW4iOiAib25lZHJpdmVAY2xpZW50Lm9uZWRyaXZlLmNvbSIsCiAgIm5hbWUiOiAiT25lZHJpdmUgQ2xpZW50IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2R2bWFzdGVyZngvT25lZHJpdmUtR25vbWUtU2hlbGwtNDUiLAogICJ1dWlkIjogIm9uZWRyaXZlQGNsaWVudC5vbmVkcml2ZS5jb20iLAogICJ2ZXJzaW9uIjogNQp9"}
@@ -6361,10 +6390,10 @@
, {"uuid": "todo-list@speedflyer689.github.com", "name": "Todo list", "pname": "todo-list", "description": "Adds a todo list to the notification panel", "link": "https://extensions.gnome.org/extension/6526/todo-list/", "shell_version_map": {
"38": {"version": "3", "sha256": "06hqbrk894bi0acilq4vg5a3h3gjmkmi1jv7vmaws0bn7gzqafjx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZHMgYSB0b2RvIGxpc3QgdG8gdGhlIG5vdGlmaWNhdGlvbiBwYW5lbCIsCiAgIm5hbWUiOiAiVG9kbyBsaXN0IiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICIzLjM4IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vU3BlZWRmbHllcjY4OS9Hbm9tZS1Ub2RvLUxpc3QiLAogICJ1dWlkIjogInRvZG8tbGlzdEBzcGVlZGZseWVyNjg5LmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMwp9"}
}}
-, {"uuid": "logowidget@github.com.howbea", "name": "Desktop Logo", "pname": "logo-widget", "description": "Add a logo to the desktop. \n This is a fork of Background Logo extension \n https://extensions.gnome.org/extension/889/background-logo/", "link": "https://extensions.gnome.org/extension/6529/logo-widget/", "shell_version_map": {
+, {"uuid": "logowidget@github.com.howbea", "name": "Logo Widget", "pname": "logo-widget", "description": "Add a logo to the desktop. This is a fork of Background Logo extension \n https://extensions.gnome.org/extension/889/background-logo/ \n https://pagure.io/background-logo-extension", "link": "https://extensions.gnome.org/extension/6529/logo-widget/", "shell_version_map": {
"42": {"version": "10", "sha256": "05xba9h9d1939fgc05xizc0ds0c014ycmwg2ax461pgjy218xfkb", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIGxvZ28gdG8gdGhlIGRlc2t0b3AuIFRoaXMgaXMgYSBmb3JrIG9mIEJhY2tncm91bmQgTG9nbyBleHRlbnNpb24gXG4gaHR0cHM6Ly9leHRlbnNpb25zLmdub21lLm9yZy9leHRlbnNpb24vODg5L2JhY2tncm91bmQtbG9nby8gXG4gaHR0cHM6Ly9wYWd1cmUuaW8vYmFja2dyb3VuZC1sb2dvLWV4dGVuc2lvbiIsCiAgIm5hbWUiOiAiTG9nbyBXaWRnZXQiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMubG9nby13aWRnZXQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9ob3diZWEvbG9nby13aWRnZXQiLAogICJ1dWlkIjogImxvZ293aWRnZXRAZ2l0aHViLmNvbS5ob3diZWEiLAogICJ2ZXJzaW9uIjogMTAKfQ=="},
"43": {"version": "10", "sha256": "05xba9h9d1939fgc05xizc0ds0c014ycmwg2ax461pgjy218xfkb", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIGxvZ28gdG8gdGhlIGRlc2t0b3AuIFRoaXMgaXMgYSBmb3JrIG9mIEJhY2tncm91bmQgTG9nbyBleHRlbnNpb24gXG4gaHR0cHM6Ly9leHRlbnNpb25zLmdub21lLm9yZy9leHRlbnNpb24vODg5L2JhY2tncm91bmQtbG9nby8gXG4gaHR0cHM6Ly9wYWd1cmUuaW8vYmFja2dyb3VuZC1sb2dvLWV4dGVuc2lvbiIsCiAgIm5hbWUiOiAiTG9nbyBXaWRnZXQiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMubG9nby13aWRnZXQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9ob3diZWEvbG9nby13aWRnZXQiLAogICJ1dWlkIjogImxvZ293aWRnZXRAZ2l0aHViLmNvbS5ob3diZWEiLAogICJ2ZXJzaW9uIjogMTAKfQ=="},
- "44": {"version": "10", "sha256": "05xba9h9d1939fgc05xizc0ds0c014ycmwg2ax461pgjy218xfkb", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIGxvZ28gdG8gdGhlIGRlc2t0b3AuIFRoaXMgaXMgYSBmb3JrIG9mIEJhY2tncm91bmQgTG9nbyBleHRlbnNpb24gXG4gaHR0cHM6Ly9leHRlbnNpb25zLmdub21lLm9yZy9leHRlbnNpb24vODg5L2JhY2tncm91bmQtbG9nby8gXG4gaHR0cHM6Ly9wYWd1cmUuaW8vYmFja2dyb3VuZC1sb2dvLWV4dGVuc2lvbiIsCiAgIm5hbWUiOiAiTG9nbyBXaWRnZXQiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMubG9nby13aWRnZXQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9ob3diZWEvbG9nby13aWRnZXQiLAogICJ1dWlkIjogImxvZ293aWRnZXRAZ2l0aHViLmNvbS5ob3diZWEiLAogICJ2ZXJzaW9uIjogMTAKfQ=="},
+ "44": {"version": "13", "sha256": "0qzqk7rfcgyi9hx1yjpmx05bgb9x7bscmbw5r31119pl4q7syn9p", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIGxvZ28gdG8gdGhlIGRlc2t0b3AuIFRoaXMgaXMgYSBmb3JrIG9mIEJhY2tncm91bmQgTG9nbyBleHRlbnNpb24gXG4gaHR0cHM6Ly9leHRlbnNpb25zLmdub21lLm9yZy9leHRlbnNpb24vODg5L2JhY2tncm91bmQtbG9nby8gXG4gaHR0cHM6Ly9wYWd1cmUuaW8vYmFja2dyb3VuZC1sb2dvLWV4dGVuc2lvbiIsCiAgIm5hbWUiOiAiTG9nbyBXaWRnZXQiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMubG9nby13aWRnZXQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vaG93YmVhL2xvZ28td2lkZ2V0IiwKICAidXVpZCI6ICJsb2dvd2lkZ2V0QGdpdGh1Yi5jb20uaG93YmVhIiwKICAidmVyc2lvbiI6IDEzCn0="},
"45": {"version": "12", "sha256": "1zykpyzm7s19db4g2kl3p9nlywzag3rg1331mjq6vscsky2y5iy9", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBhIGxvZ28gdG8gdGhlIGRlc2t0b3AuIFxuIFRoaXMgaXMgYSBmb3JrIG9mIEJhY2tncm91bmQgTG9nbyBleHRlbnNpb24gXG4gaHR0cHM6Ly9leHRlbnNpb25zLmdub21lLm9yZy9leHRlbnNpb24vODg5L2JhY2tncm91bmQtbG9nby8iLAogICJkb25hdGlvbnMiOiB7CiAgICAiZ2l0aHViIjogImhvd2JlYSIKICB9LAogICJuYW1lIjogIkRlc2t0b3AgTG9nbyIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5sb2dvLXdpZGdldCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9ob3diZWEvbG9nby13aWRnZXQiLAogICJ1dWlkIjogImxvZ293aWRnZXRAZ2l0aHViLmNvbS5ob3diZWEiLAogICJ2ZXJzaW9uIjogMTIKfQ=="}
}}
, {"uuid": "azan@a7medkhalaf", "name": "xAzanTimes", "pname": "xazantimes", "description": "Azan is an Islamic prayer times extension for Gnome Shell.\nIt's a fork of the extension by faissaloo.\n\nFeatures\n- List compulsory prayer times\n- Optionally display Imsak, Sunrise, Sunset and Midnight\n- Show remaining time for the upcoming prayer.\n- Show current date in Hijri calendar.\n- Display a notification when it's time for prayer.\n- Automatic Geoclue2 location detection\n- Show times in 24 hour and 12 hour formats\n- Hijri date adjusment\n- Iqamah option", "link": "https://extensions.gnome.org/extension/6538/xazantimes/", "shell_version_map": {
@@ -6386,10 +6415,10 @@
"45": {"version": "2", "sha256": "0plh54aqh27kzp2rnbmsf4rqgr1mdx4nl16fg0ijnhfg700yvl45", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBELUJ1cyBpbnRlcmZhY2UgZm9yIGNoYW5naW5nIGlucHV0IHNvdXJjZXMgdmlhIGNvbW1hbmQiLAogICJuYW1lIjogIklucHV0IHNvdXJjZSBELUJ1cyBpbnRlcmZhY2UiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vaGVycnNjaGVyLW9mLXNsZWVwaW5nL2dub21lLWlucHV0LXNvdXJjZS1kYnVzLWludGVyZmFjZSIsCiAgInV1aWQiOiAiaW5wdXQtc291cmNlLWRidXMtaW50ZXJmYWNlQHJhaWRlbl9mdW1vIiwKICAidmVyc2lvbiI6IDIKfQ=="}
}}
, {"uuid": "rectangle@acristoffers.me", "name": "Rectangle", "pname": "rectangle", "description": "Magnet/Rectangle like manual tiling", "link": "https://extensions.gnome.org/extension/6553/rectangle/", "shell_version_map": {
- "42": {"version": "9", "sha256": "0m5hzgihyy2ws5wmqxmzfp59cljqkcyga1i5pnfkqzl28dpnpkbs", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1hZ25ldC9SZWN0YW5nbGUgbGlrZSBtYW51YWwgdGlsaW5nIiwKICAibmFtZSI6ICJSZWN0YW5nbGUiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMucmVjdGFuZ2xlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYWNyaXN0b2ZmZXJzL2dub21lLXJlY3RhbmdsZSIsCiAgInV1aWQiOiAicmVjdGFuZ2xlQGFjcmlzdG9mZmVycy5tZSIsCiAgInZlcnNpb24iOiA5Cn0="},
- "43": {"version": "9", "sha256": "0m5hzgihyy2ws5wmqxmzfp59cljqkcyga1i5pnfkqzl28dpnpkbs", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1hZ25ldC9SZWN0YW5nbGUgbGlrZSBtYW51YWwgdGlsaW5nIiwKICAibmFtZSI6ICJSZWN0YW5nbGUiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMucmVjdGFuZ2xlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYWNyaXN0b2ZmZXJzL2dub21lLXJlY3RhbmdsZSIsCiAgInV1aWQiOiAicmVjdGFuZ2xlQGFjcmlzdG9mZmVycy5tZSIsCiAgInZlcnNpb24iOiA5Cn0="},
- "44": {"version": "9", "sha256": "0m5hzgihyy2ws5wmqxmzfp59cljqkcyga1i5pnfkqzl28dpnpkbs", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1hZ25ldC9SZWN0YW5nbGUgbGlrZSBtYW51YWwgdGlsaW5nIiwKICAibmFtZSI6ICJSZWN0YW5nbGUiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMucmVjdGFuZ2xlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYWNyaXN0b2ZmZXJzL2dub21lLXJlY3RhbmdsZSIsCiAgInV1aWQiOiAicmVjdGFuZ2xlQGFjcmlzdG9mZmVycy5tZSIsCiAgInZlcnNpb24iOiA5Cn0="},
- "45": {"version": "11", "sha256": "1mldn4fgkn0fl6ccjyj8ka9hsqyf0wwrkym7zlfr7gd9a1jd7a16", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1hZ25ldC9SZWN0YW5nbGUgbGlrZSBtYW51YWwgdGlsaW5nIiwKICAibmFtZSI6ICJSZWN0YW5nbGUiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMucmVjdGFuZ2xlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2FjcmlzdG9mZmVycy9nbm9tZS1yZWN0YW5nbGUiLAogICJ1dWlkIjogInJlY3RhbmdsZUBhY3Jpc3RvZmZlcnMubWUiLAogICJ2ZXJzaW9uIjogMTEKfQ=="}
+ "42": {"version": "12", "sha256": "0zmy1v8jgydk6dp6a3rgq6c7yjn2whb3hxwbkxy2063qb9c2vmv4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1hZ25ldC9SZWN0YW5nbGUgbGlrZSBtYW51YWwgdGlsaW5nIiwKICAibmFtZSI6ICJSZWN0YW5nbGUiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMucmVjdGFuZ2xlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYWNyaXN0b2ZmZXJzL2dub21lLXJlY3RhbmdsZSIsCiAgInV1aWQiOiAicmVjdGFuZ2xlQGFjcmlzdG9mZmVycy5tZSIsCiAgInZlcnNpb24iOiAxMgp9"},
+ "43": {"version": "12", "sha256": "0zmy1v8jgydk6dp6a3rgq6c7yjn2whb3hxwbkxy2063qb9c2vmv4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1hZ25ldC9SZWN0YW5nbGUgbGlrZSBtYW51YWwgdGlsaW5nIiwKICAibmFtZSI6ICJSZWN0YW5nbGUiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMucmVjdGFuZ2xlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYWNyaXN0b2ZmZXJzL2dub21lLXJlY3RhbmdsZSIsCiAgInV1aWQiOiAicmVjdGFuZ2xlQGFjcmlzdG9mZmVycy5tZSIsCiAgInZlcnNpb24iOiAxMgp9"},
+ "44": {"version": "12", "sha256": "0zmy1v8jgydk6dp6a3rgq6c7yjn2whb3hxwbkxy2063qb9c2vmv4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1hZ25ldC9SZWN0YW5nbGUgbGlrZSBtYW51YWwgdGlsaW5nIiwKICAibmFtZSI6ICJSZWN0YW5nbGUiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMucmVjdGFuZ2xlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYWNyaXN0b2ZmZXJzL2dub21lLXJlY3RhbmdsZSIsCiAgInV1aWQiOiAicmVjdGFuZ2xlQGFjcmlzdG9mZmVycy5tZSIsCiAgInZlcnNpb24iOiAxMgp9"},
+ "45": {"version": "15", "sha256": "16m25a8648ihwjl1xwsx4zq9080lbmj3rcm5rz90rc4y8d2lw9jw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1hZ25ldC9SZWN0YW5nbGUgbGlrZSBtYW51YWwgdGlsaW5nIiwKICAibmFtZSI6ICJSZWN0YW5nbGUiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMucmVjdGFuZ2xlIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2FjcmlzdG9mZmVycy9nbm9tZS1yZWN0YW5nbGUiLAogICJ1dWlkIjogInJlY3RhbmdsZUBhY3Jpc3RvZmZlcnMubWUiLAogICJ2ZXJzaW9uIjogMTUKfQ=="}
}}
, {"uuid": "task-up@fthx", "name": "Task Up", "pname": "task-up", "description": "Task bar. Handles multiple workspaces. Very light extension.\n\n Complete rewrite of BaBar task bar extension, light code only has 300 lines.\n\n Some settings.", "link": "https://extensions.gnome.org/extension/6556/task-up/", "shell_version_map": {
"45": {"version": "31", "sha256": "0yycjk6lyr81l9vixggy8bkchr0lbghln8i144m9py0003yw1jxr", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRhc2sgYmFyLiBIYW5kbGVzIG11bHRpcGxlIHdvcmtzcGFjZXMuIFZlcnkgbGlnaHQgZXh0ZW5zaW9uLlxuXG4gQ29tcGxldGUgcmV3cml0ZSBvZiBCYUJhciB0YXNrIGJhciBleHRlbnNpb24sIGxpZ2h0IGNvZGUgb25seSBoYXMgMzAwIGxpbmVzLlxuXG4gU29tZSBzZXR0aW5ncy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJnbm9tZS1zaGVsbC1leHRlbnNpb25zIiwKICAibmFtZSI6ICJUYXNrIFVwIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnRhc2stdXAiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZnRoeC90YXNrLXVwIiwKICAidXVpZCI6ICJ0YXNrLXVwQGZ0aHgiLAogICJ2ZXJzaW9uIjogMzEKfQ=="}
@@ -6403,16 +6432,16 @@
"45": {"version": "2", "sha256": "056x6bg3z8vqrknyqgr8hfgd8x04nj626jxw30p3qszvazajr8bj", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1vdmUgUmVnaW9uL0xhbmd1YWdlIFRyYXkgVG8gUXVpY2sgU2V0dGluZ3MiLAogICJuYW1lIjogInhMYW5ndWFnZVRyYXkiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vYTdtZWRraGFsYWYvTGFuZ1RyYXkiLAogICJ1dWlkIjogImxhbmdUcmF5QGE3bWVka2hhbGFmIiwKICAidmVyc2lvbiI6IDIKfQ=="}
}}
, {"uuid": "simplebreakreminder@castillodel.com", "name": "Simple Break Reminder", "pname": "simple-break-reminder", "description": "It's important to remember to take a break", "link": "https://extensions.gnome.org/extension/6568/simple-break-reminder/", "shell_version_map": {
- "45": {"version": "2", "sha256": "07014vqkcxhrndwywbprxkngvvyn0fvwpq7iaky04m8zb88jhk4l", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkl0J3MgaW1wb3J0YW50IHRvIHJlbWVtYmVyIHRvIHRha2UgYSBicmVhayIsCiAgIm5hbWUiOiAiU2ltcGxlIEJyZWFrIFJlbWluZGVyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNpbXBsZWJyZWFrcmVtaW5kZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vQ2FzdGlsbG9EZWwvc2ltcGxlYnJlYWtyZW1pbmRlciIsCiAgInV1aWQiOiAic2ltcGxlYnJlYWtyZW1pbmRlckBjYXN0aWxsb2RlbC5jb20iLAogICJ2ZXJzaW9uIjogMgp9"}
+ "45": {"version": "5", "sha256": "07924cprg9bw7has2w6fhizfslzr9cdrjk2am198s4cs7f234i60", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkl0J3MgaW1wb3J0YW50IHRvIHJlbWVtYmVyIHRvIHRha2UgYSBicmVhayIsCiAgIm5hbWUiOiAiU2ltcGxlIEJyZWFrIFJlbWluZGVyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNpbXBsZWJyZWFrcmVtaW5kZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vQ2FzdGlsbG9EZWwvc2ltcGxlYnJlYWtyZW1pbmRlciIsCiAgInV1aWQiOiAic2ltcGxlYnJlYWtyZW1pbmRlckBjYXN0aWxsb2RlbC5jb20iLAogICJ2ZXJzaW9uIjogNQp9"}
}}
, {"uuid": "OnTheTop@fablevi.github.io", "name": "On The Top", "pname": "on-the-top", "description": "Always on top button in the top bar", "link": "https://extensions.gnome.org/extension/6571/on-the-top/", "shell_version_map": {
- "45": {"version": "10", "sha256": "1k2kfclz145xmiby6lc5f4fylqwh0w5mdc4nv4vd4c6ynzpj8rga", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsd2F5cyBvbiB0b3AgYnV0dG9uIGluIHRoZSB0b3AgYmFyIiwKICAiZXh0ZW5zaW9uLWlkIjogInNob3ctRGJ1dHRvbiIsCiAgImdldHRleHQtZG9tYWluIjogInNob3ctRGJ1dHRvbiIsCiAgIm5hbWUiOiAiT24gVGhlIFRvcCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5vbi10aGUtdG9wIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL2ZhYmxldmkvT25UaGVUb3AtZmFibGV2aS5naXRodWIuaW8iLAogICJ1dWlkIjogIk9uVGhlVG9wQGZhYmxldmkuZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDEwCn0="}
+ "45": {"version": "13", "sha256": "0ag6q2fhhzp5fzfcg2fqc7kyscqzk0q0yx85aqs9swcm19b267ar", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsd2F5cyBvbiB0b3AgYnV0dG9uIGluIHRoZSB0b3AgYmFyIiwKICAiZXh0ZW5zaW9uLWlkIjogIk9uVGhlVG9wIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiT25UaGVUb3AiLAogICJuYW1lIjogIk9uIFRoZSBUb3AiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMub24tdGhlLXRvcCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiLAogICAgIjQ2IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZmFibGV2aS9PblRoZVRvcCIsCiAgInV1aWQiOiAiT25UaGVUb3BAZmFibGV2aS5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogMTMKfQ=="}
}}
-, {"uuid": "openbar@neuromorph", "name": "Open Bar", "pname": "open-bar", "description": "Top Bar ( Top Panel ) customization / styling. Open the Top Bar and let the colors 🍹 flow.\n\nUpdate (v10/v11) (also btn.child fix in v13/v14) : \n** Please click on 'Apply Menu Styles' (or change some setting) once you upgrade the extension. This will refresh the stylesheet as per your saved settings.\n- Apply style to notification popups\n- Candy-bar palette for panel buttons\n- Menu secondary color override to allow selecting custom (high contrast) colors within the menu\n- Fixes for screencast/ remote sharing/ battery indicators and DND toggle switch etc.\n\nFeedback / suggestions are welcome.\n\nCustomize:\n- Bar Type: Fixed, Floating or Islands / Trilands\n- Bar position, height, margin, paddings\n- Bar foreground color, font\n- Bar background color, transparency, gradient, shadow, highlights\n- Shape rectangular to pill, border width, color, neon glow\n- Menu customizations: foreground, background colors, transparency, border, shadow, active/selection, hover colors\netc.\n\n* Includes a custom color palette in each color button popup. The palette is auto-generated from the desktop background.\n\nIf the panel/menu isn't looking right, you need to tweak some settings. There are a lot of knobs to allow for differnt setups/tastes. It can also make it a bit overwhelming if you are not familiar with css styles but with some experimentation it will become a lot easier. Here are brief notes:\n- BG/FG color: Background or Foreground colors. Foreground is typically text and icons.\n- Alpha: Transparency for the color. 0 is transparent while 1 is opaque.\n- Panel BG will affect the bar while Tri/Islands BG will affect the individual indicator buttons/combos (in Trilands/Islands mode).\n- Gradient goes from Start color to End color. If you want a single color fading, select same color for both with differnt Alphas. e.g. Setting end color alpha to 0 will form a gradient from Start color to transparent.\n- Highlight color: It is the background color upon hover or focus. You can choose to highlight with border instead.\n- Vertical padding: Controls size of highlights in Mainland/Floating. Also controls size of Islands/Trilands. Increase height if padding squeezes the text.\n- Panel Shadow: a downward shadow for the panel bar. Shadow Spread controls both shadow trasparency and spread together.\n- Border: \n = Width controls thickness (grows inwards for Islands). Adjust bar height accordingly, after setting border width. \n = Radius will control the shape from rectangle at radius 0 to Pill at radius close to bar height. \n = Neon glow: adds a neon-light like glow to the border. You need dark, relatively opaque background with bright/neon colored, relatively opaque border. Neon will override Panel shadow in Mainland and Floating mode.\n - Menus: \n = FG/BG, border, highlight are similar to above but for menus.\n = Selected/active color is for menu items that are active e.g. Today's day in Calendar or WiFi in Quick Settings or even an active menu item with opened submenu. \n = Shadow applies to the panel menu. Use white/bright color in dark theme and black/dark color in light theme for the effect to show and help with contrast. Using same color as menu border is also a good idea. Use the Alphas for both border and shadow to increase or reduce their effect.\n = Once enabled, menu settings now also apply as soon as changed. You need to press 'Apply Menu Styles' button to enable the menu style. 'Reset Menu Styles' button will remove all menu styles and revert to your default theme.\n\nLastly, be a tiny bit gentler with the sliders in the settings as they modify the stylesheet behind the scene for every update.", "link": "https://extensions.gnome.org/extension/6580/open-bar/", "shell_version_map": {
- "42": {"version": "13", "sha256": "1jr879g164jmvv88zmcssmiqdykrdbaagpg6scap4srs4mq9lg98", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRvcCBCYXIgKCBUb3AgUGFuZWwgKSBjdXN0b21pemF0aW9uIC8gc3R5bGluZy4gT3BlbiB0aGUgVG9wIEJhciBhbmQgbGV0IHRoZSBjb2xvcnMgXHVkODNjXHVkZjc5IGZsb3cuIiwKICAiZG9uYXRpb25zIjogewogICAgImJ1eW1lYWNvZmZlZSI6ICJuZXVyb21vcnBoIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogIm9wZW5iYXIiLAogICJuYW1lIjogIk9wZW4gQmFyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm9wZW5iYXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9uZXVyb21vcnBoL29wZW5iYXIiLAogICJ1dWlkIjogIm9wZW5iYXJAbmV1cm9tb3JwaCIsCiAgInZlcnNpb24iOiAxMwp9"},
- "43": {"version": "13", "sha256": "1jr879g164jmvv88zmcssmiqdykrdbaagpg6scap4srs4mq9lg98", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRvcCBCYXIgKCBUb3AgUGFuZWwgKSBjdXN0b21pemF0aW9uIC8gc3R5bGluZy4gT3BlbiB0aGUgVG9wIEJhciBhbmQgbGV0IHRoZSBjb2xvcnMgXHVkODNjXHVkZjc5IGZsb3cuIiwKICAiZG9uYXRpb25zIjogewogICAgImJ1eW1lYWNvZmZlZSI6ICJuZXVyb21vcnBoIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogIm9wZW5iYXIiLAogICJuYW1lIjogIk9wZW4gQmFyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm9wZW5iYXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9uZXVyb21vcnBoL29wZW5iYXIiLAogICJ1dWlkIjogIm9wZW5iYXJAbmV1cm9tb3JwaCIsCiAgInZlcnNpb24iOiAxMwp9"},
- "44": {"version": "13", "sha256": "1jr879g164jmvv88zmcssmiqdykrdbaagpg6scap4srs4mq9lg98", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRvcCBCYXIgKCBUb3AgUGFuZWwgKSBjdXN0b21pemF0aW9uIC8gc3R5bGluZy4gT3BlbiB0aGUgVG9wIEJhciBhbmQgbGV0IHRoZSBjb2xvcnMgXHVkODNjXHVkZjc5IGZsb3cuIiwKICAiZG9uYXRpb25zIjogewogICAgImJ1eW1lYWNvZmZlZSI6ICJuZXVyb21vcnBoIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogIm9wZW5iYXIiLAogICJuYW1lIjogIk9wZW4gQmFyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm9wZW5iYXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9uZXVyb21vcnBoL29wZW5iYXIiLAogICJ1dWlkIjogIm9wZW5iYXJAbmV1cm9tb3JwaCIsCiAgInZlcnNpb24iOiAxMwp9"},
- "45": {"version": "14", "sha256": "05jxag81i6krwxzhi2jqg26rk4armnxys9r64q47s74k59s61yrh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRvcCBCYXIgKCBUb3AgUGFuZWwgKSBjdXN0b21pemF0aW9uIC8gc3R5bGluZy4gT3BlbiB0aGUgVG9wIEJhciBhbmQgbGV0IHRoZSBjb2xvcnMgXHVkODNjXHVkZjc5IGZsb3cuIiwKICAiZG9uYXRpb25zIjogewogICAgImJ1eW1lYWNvZmZlZSI6ICJuZXVyb21vcnBoIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogIm9wZW5iYXIiLAogICJuYW1lIjogIk9wZW4gQmFyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm9wZW5iYXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbmV1cm9tb3JwaC9vcGVuYmFyIiwKICAidXVpZCI6ICJvcGVuYmFyQG5ldXJvbW9ycGgiLAogICJ2ZXJzaW9uIjogMTQKfQ=="}
+, {"uuid": "openbar@neuromorph", "name": "Open Bar", "pname": "open-bar", "description": "Top Bar ( Top Panel ) customization / styling. Open the Top Bar and let the colors 🍹 flow.\n\n**Please report on Github if something is broken due to an update or if you have any suggestions/feedback.\n\nUpdate ( v17/v18 ):\n- Auto-theming options \n- Import, export theme settings to file.\n- Window-Max Bar: Change Bar props when a window is maximized\n- More settings in Menus: Menu panel and buttons radius, slider tweaks\n\nAuto Theming:\n- Note: If you want to save your current settings, please use Export Settings option before applying Auto-theme. You can import it back whenever needed.\n- Please select Bar properties esp. type of bar before applying a theme. If you change Bar type later, apply theme again.\n- There are three theme options: 'Color', 'Dark', 'Light' and two variations for each: 'Default', 'Alt'. Try all combinations to see what you prefer for your desktop background.\n- There are more settings in Auto-Theme. You can select if you want the secondary menu BG color to be derived from BG or auto-selected from palette. Also, you can specify any preferred accent color or let the theme choose from the background. \n- Since it is one size fit all solution, 'No Free Lunch' theorem applies. Not all options will work for all backgrounds, so the additional knobs above will help you select the desired one. One of the combinations should work for you at least with little bit tweaking if needed.\n- Once theme is applied, you can further tweak any of the manual settings, as usual, to finetune the theme. \n- There is an option for Auto-refreshing theme when background changes. This will overwrite current theme with newly generated one when background is changed. \n[ Note: changing the background by right clicking an image file (instead of from settings) may not get detected in newer Gnome. ]\n\nCustomize:\n- Bar Type: Fixed, Floating or Islands / Trilands\n- Bar position, height, margin, paddings\n- Bar foreground color, font\n- Bar background color, transparency, gradient, shadow, highlights, candybar \n- Shape rectangular to pill, border width, color, neon glow\n- Menu customizations: foreground, background colors, transparency, border, shadow, active/selection, hover colors\netc.\n- Apply to notification popups\n- Includes a custom color palette, under the default palette, in each color button popup. The palette is auto-generated from the desktop background.\n\n\nIf the panel/menu isn't looking right, you need to tweak some settings. There are a lot of knobs to allow for differnt setups/tastes. It can also make it a bit overwhelming if you are not familiar with css styles but with some experimentation it will become a lot easier. Here are brief notes:\n- BG/FG color: Background or Foreground colors. Foreground is typically text and icons.\n- Alpha: Transparency for the color. 0 is transparent while 1 is opaque.\n- Panel BG will affect the bar while Tri/Islands BG will affect the individual indicator buttons/combos (in Trilands/Islands mode).\n- Gradient goes from Start color to End color. If you want a single color fading, select same color for both with differnt Alphas. e.g. Setting end color alpha to 0 will form a gradient from Start color to transparent.\n- Highlight color: It is the background color upon hover or focus. You can choose to highlight with border instead.\n- Vertical padding: Controls size of highlights in Mainland/Floating. Also controls size of Islands/Trilands. Increase height if padding squeezes the text.\n- Panel Shadow: a downward shadow for the panel bar. Shadow Spread controls both shadow trasparency and spread together.\n- Border: \n = Width controls thickness (grows inwards for Islands). Adjust bar height accordingly, after setting border width. \n = Radius will control the shape from rectangle at radius 0 to Pill at radius close to bar height. \n = Neon glow: adds a neon-light like glow to the border. You need dark, relatively opaque background with bright/neon colored, relatively opaque border. Neon will override Panel shadow in Mainland and Floating mode.\n - Menus: \n = FG/BG, border, highlight are similar to above but for menus.\n = Selected/active color is for menu items that are active e.g. Today's day in Calendar or WiFi in Quick Settings or even an active menu item with opened submenu. \n = Shadow applies to the panel menu. Use white/bright color in dark theme and black/dark color in light theme for the effect to show and help with contrast. Using same color as menu border is also a good idea. Use the Alphas for both border and shadow to increase or reduce their effect.\n = Once enabled, menu settings now also apply as soon as changed. You need to press 'Apply Menu Styles' button to enable the menu style. 'Reset Menu Styles' button will remove all menu styles and revert to your default theme.\n\nLastly, be a tiny bit gentler with the sliders in the settings as they modify the stylesheet behind the scene for every update.", "link": "https://extensions.gnome.org/extension/6580/open-bar/", "shell_version_map": {
+ "42": {"version": "17", "sha256": "13nx2bg5hh12fcvr7n5d0vm9i74gmc2dyaqsmwfy5053kil9v57i", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRvcCBCYXIgKCBUb3AgUGFuZWwgKSBjdXN0b21pemF0aW9uIC8gc3R5bGluZy4gT3BlbiB0aGUgVG9wIEJhciBhbmQgbGV0IHRoZSBjb2xvcnMgXHVkODNjXHVkZjc5IGZsb3cuIiwKICAiZG9uYXRpb25zIjogewogICAgImJ1eW1lYWNvZmZlZSI6ICJuZXVyb21vcnBoIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogIm9wZW5iYXIiLAogICJuYW1lIjogIk9wZW4gQmFyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm9wZW5iYXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9uZXVyb21vcnBoL29wZW5iYXIiLAogICJ1dWlkIjogIm9wZW5iYXJAbmV1cm9tb3JwaCIsCiAgInZlcnNpb24iOiAxNwp9"},
+ "43": {"version": "17", "sha256": "13nx2bg5hh12fcvr7n5d0vm9i74gmc2dyaqsmwfy5053kil9v57i", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRvcCBCYXIgKCBUb3AgUGFuZWwgKSBjdXN0b21pemF0aW9uIC8gc3R5bGluZy4gT3BlbiB0aGUgVG9wIEJhciBhbmQgbGV0IHRoZSBjb2xvcnMgXHVkODNjXHVkZjc5IGZsb3cuIiwKICAiZG9uYXRpb25zIjogewogICAgImJ1eW1lYWNvZmZlZSI6ICJuZXVyb21vcnBoIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogIm9wZW5iYXIiLAogICJuYW1lIjogIk9wZW4gQmFyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm9wZW5iYXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9uZXVyb21vcnBoL29wZW5iYXIiLAogICJ1dWlkIjogIm9wZW5iYXJAbmV1cm9tb3JwaCIsCiAgInZlcnNpb24iOiAxNwp9"},
+ "44": {"version": "17", "sha256": "13nx2bg5hh12fcvr7n5d0vm9i74gmc2dyaqsmwfy5053kil9v57i", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRvcCBCYXIgKCBUb3AgUGFuZWwgKSBjdXN0b21pemF0aW9uIC8gc3R5bGluZy4gT3BlbiB0aGUgVG9wIEJhciBhbmQgbGV0IHRoZSBjb2xvcnMgXHVkODNjXHVkZjc5IGZsb3cuIiwKICAiZG9uYXRpb25zIjogewogICAgImJ1eW1lYWNvZmZlZSI6ICJuZXVyb21vcnBoIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogIm9wZW5iYXIiLAogICJuYW1lIjogIk9wZW4gQmFyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm9wZW5iYXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9uZXVyb21vcnBoL29wZW5iYXIiLAogICJ1dWlkIjogIm9wZW5iYXJAbmV1cm9tb3JwaCIsCiAgInZlcnNpb24iOiAxNwp9"},
+ "45": {"version": "18", "sha256": "1rcq6k2mpwfaxj8grihkv27af33d11s6kdrk15ws7ca44a7vrqfy", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRvcCBCYXIgKCBUb3AgUGFuZWwgKSBjdXN0b21pemF0aW9uIC8gc3R5bGluZy4gT3BlbiB0aGUgVG9wIEJhciBhbmQgbGV0IHRoZSBjb2xvcnMgXHVkODNjXHVkZjc5IGZsb3cuIiwKICAiZG9uYXRpb25zIjogewogICAgImJ1eW1lYWNvZmZlZSI6ICJuZXVyb21vcnBoIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogIm9wZW5iYXIiLAogICJuYW1lIjogIk9wZW4gQmFyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm9wZW5iYXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbmV1cm9tb3JwaC9vcGVuYmFyIiwKICAidXVpZCI6ICJvcGVuYmFyQG5ldXJvbW9ycGgiLAogICJ2ZXJzaW9uIjogMTgKfQ=="}
}}
, {"uuid": "auto-power-profile@dmy3k.github.io", "name": "Auto Power Profile", "pname": "auto-power-profile", "description": "Automatically switch between power profiles based on power supply and battery status.", "link": "https://extensions.gnome.org/extension/6583/auto-power-profile/", "shell_version_map": {
"45": {"version": "3", "sha256": "11y3snf4vslf6z27bp11b3mwa6b4fwcq268lhcrq7zmjgdij79hf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkF1dG9tYXRpY2FsbHkgc3dpdGNoIGJldHdlZW4gcG93ZXIgcHJvZmlsZXMgYmFzZWQgb24gcG93ZXIgc3VwcGx5IGFuZCBiYXR0ZXJ5IHN0YXR1cy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5hdXRvLXBvd2VyLXByb2ZpbGUiLAogICJuYW1lIjogIkF1dG8gUG93ZXIgUHJvZmlsZSIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5hdXRvLXBvd2VyLXByb2ZpbGUiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZG15M2svYXV0by1wb3dlci1wcm9maWxlIiwKICAidXVpZCI6ICJhdXRvLXBvd2VyLXByb2ZpbGVAZG15M2suZ2l0aHViLmlvIiwKICAidmVyc2lvbiI6IDMKfQ=="}
@@ -6460,8 +6489,8 @@
"41": {"version": "6", "sha256": "0mj2l700cj47hpsg62y1rc7z10ycsbkmd3c9fv5xy2rnlsrpv5in", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgJiBIaWRlIGljb25zIGZyb20gdGhlIHRvcCBwYW5lbCIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbi1pY29uaGlkZXJ1cGRhdGVkIiwKICAibmFtZSI6ICJJY29uIEhpZGVyIFVwZGF0ZWQiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuaWNvbi1oaWRlci11cGRhdGVkIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbHgzNThoY2wvbGludXhpY29uaGlkZXJ1cGRhdGVkIiwKICAidXVpZCI6ICJpY29uLWhpZGVyLXVwZGF0ZWRAbHgzNThoY2wuY29tIiwKICAidmVyc2lvbiI6IDYKfQ=="},
"42": {"version": "6", "sha256": "0mj2l700cj47hpsg62y1rc7z10ycsbkmd3c9fv5xy2rnlsrpv5in", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgJiBIaWRlIGljb25zIGZyb20gdGhlIHRvcCBwYW5lbCIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbi1pY29uaGlkZXJ1cGRhdGVkIiwKICAibmFtZSI6ICJJY29uIEhpZGVyIFVwZGF0ZWQiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuaWNvbi1oaWRlci11cGRhdGVkIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MCIsCiAgICAiNDEiLAogICAgIjQyIgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbHgzNThoY2wvbGludXhpY29uaGlkZXJ1cGRhdGVkIiwKICAidXVpZCI6ICJpY29uLWhpZGVyLXVwZGF0ZWRAbHgzNThoY2wuY29tIiwKICAidmVyc2lvbiI6IDYKfQ=="}
}}
-, {"uuid": "openweather-extension@penguin-teal.github.io", "name": "OpenWeather Refined", "pname": "openweather", "description": "Display weather for the current or a specified location. Fork of OpenWeather.\nWeather data is provided by OpenWeatherMap.org.\nIf location is set to \"My Location,\" location is provided by infoip.io.", "link": "https://extensions.gnome.org/extension/6655/openweather/", "shell_version_map": {
- "45": {"version": "7", "sha256": "1fkfabfnq1q0hqlx4768lwqsp0q576z3pig24hdbnkx7rhgmm523", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgd2VhdGhlciBmb3IgdGhlIGN1cnJlbnQgb3IgYSBzcGVjaWZpZWQgbG9jYXRpb24uIEZvcmsgb2YgT3BlbldlYXRoZXIuXG5XZWF0aGVyIGRhdGEgaXMgcHJvdmlkZWQgYnkgT3BlbldlYXRoZXJNYXAub3JnLlxuSWYgbG9jYXRpb24gaXMgc2V0IHRvIFwiTXkgTG9jYXRpb24sXCIgbG9jYXRpb24gaXMgcHJvdmlkZWQgYnkgaW5mb2lwLmlvLiIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbi1vcGVud2VhdGhlcnJlZmluZWQiLAogICJnaXQtdmVyc2lvbiI6ICIxMjgucjMuZzUzYjg1ZjciLAogICJuYW1lIjogIk9wZW5XZWF0aGVyIFJlZmluZWQiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMub3BlbndlYXRoZXJyZWZpbmVkIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3Blbmd1aW4tdGVhbC9nbm9tZS1vcGVud2VhdGhlciIsCiAgInV1aWQiOiAib3BlbndlYXRoZXItZXh0ZW5zaW9uQHBlbmd1aW4tdGVhbC5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogNywKICAidmVyc2lvbi1uYW1lIjogIjEyOCIKfQ=="}
+, {"uuid": "openweather-extension@penguin-teal.github.io", "name": "OpenWeather Refined", "pname": "openweather", "description": "Display weather for the current or a specified location. Fork of OpenWeather.\nWeather data is provided by OpenWeatherMap.org.\nIf location is set to \"My Location,\" which is the case by default on laptops, this extension will use location services and Nominatim (from openstreetmap.org), or infoip.io if that failed.", "link": "https://extensions.gnome.org/extension/6655/openweather/", "shell_version_map": {
+ "45": {"version": "9", "sha256": "13q1mricrid2avmb77xhlck282b4rap7liba6fs8iq76d7r87y58", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkRpc3BsYXkgd2VhdGhlciBmb3IgdGhlIGN1cnJlbnQgb3IgYSBzcGVjaWZpZWQgbG9jYXRpb24uIEZvcmsgb2YgT3BlbldlYXRoZXIuXG5XZWF0aGVyIGRhdGEgaXMgcHJvdmlkZWQgYnkgT3BlbldlYXRoZXJNYXAub3JnLlxuSWYgbG9jYXRpb24gaXMgc2V0IHRvIFwiTXkgTG9jYXRpb24sXCIgd2hpY2ggaXMgdGhlIGNhc2UgYnkgZGVmYXVsdCBvbiBsYXB0b3BzLCB0aGlzIGV4dGVuc2lvbiB3aWxsIHVzZSBsb2NhdGlvbiBzZXJ2aWNlcyBhbmQgTm9taW5hdGltIChmcm9tIG9wZW5zdHJlZXRtYXAub3JnKSwgb3IgaW5mb2lwLmlvIGlmIHRoYXQgZmFpbGVkLiIsCiAgImdldHRleHQtZG9tYWluIjogImdub21lLXNoZWxsLWV4dGVuc2lvbi1vcGVud2VhdGhlcnJlZmluZWQiLAogICJnaXQtdmVyc2lvbiI6ICIxMzAucjEuZzAzM2M2ODIiLAogICJuYW1lIjogIk9wZW5XZWF0aGVyIFJlZmluZWQiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMub3BlbndlYXRoZXJyZWZpbmVkIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3Blbmd1aW4tdGVhbC9nbm9tZS1vcGVud2VhdGhlciIsCiAgInV1aWQiOiAib3BlbndlYXRoZXItZXh0ZW5zaW9uQHBlbmd1aW4tdGVhbC5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogOSwKICAidmVyc2lvbi1uYW1lIjogIjEzMCIKfQ=="}
}}
, {"uuid": "wallhub@sakithb.github.io", "name": "Wallhub", "pname": "wallhub", "description": "Manage wallpapers with ease", "link": "https://extensions.gnome.org/extension/6661/wallhub/", "shell_version_map": {
"45": {"version": "5", "sha256": "1m7n8pv2hfjfw3ffmwhmb8khmrymqij0n6p1lgr93cxqm9myj0qx", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIk1hbmFnZSB3YWxscGFwZXJzIHdpdGggZWFzZSIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJnaXRodWIiOiAic2FraXRoYiIsCiAgICAia29maSI6ICJzYWtpdGhiIgogIH0sCiAgImdldHRleHQtZG9tYWluIjogIndhbGxodWJAc2FraXRoYi5naXRodWIuaW8iLAogICJuYW1lIjogIldhbGxodWIiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMud2FsbGh1YiIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9zYWtpdGhiL3dhbGxodWIiLAogICJ1dWlkIjogIndhbGxodWJAc2FraXRoYi5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogNSwKICAidmVyc2lvbi1uYW1lIjogIjEuMS4yIgp9"}
@@ -6470,10 +6499,10 @@
"45": {"version": "3", "sha256": "0c2xlbrhjczmdqbwr8a1xnibdvhgaq23fd58g2hcw8nmp4jw0sdf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkVhc2lseSBtb25pdG9yIGFuZCBtYW5hZ2UgeW91ciBtb3VudGVkIHJlbW92YWJsZSBkcml2ZXMsIGRpc3BsYXlpbmcgc2l6ZXMgYW5kIGhpZ2hsaWdodGluZyB0aGUgbW91bnQgd2l0aCB0aGUgbGVhc3QgdXNlZCBzcGFjZSBpbiB0aGUgdG9wIGJhci5Gb3JrZWQgYW5kIE1vZGlmaWVkIGV4dGVuc2l2ZWx5IGZyb20gUmVtb3ZhYmxlIERyaXZlIE1lbnUgYnkgZm11ZWxsbmVyIiwKICAibmFtZSI6ICJNb3VudCBNZXRlciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9kaGFyaWhhcmFuLTA1L2dub21lLXNoZWxsLWV4dGVuc2lvbi1tb3VudC1tZXRlciIsCiAgInV1aWQiOiAibW91bnRNZXRlckBkaGFyaWhhcmFuMjYuZ21haWwuY29tIiwKICAidmVyc2lvbiI6IDMKfQ=="}
}}
, {"uuid": "Bluetooth-Battery-Meter@maniacx.github.com", "name": "Bluetooth Battery Meter", "pname": "bluetooth-battery-meter", "description": "A Gnome extension featuring indicator icons in system tray, serving as meter for Bluetooth device battery levels and providing detailed battery levels via icon/text in the Bluetooth quick settings menu.\n\nNote:\nCertain Bluetooth devices do not report battery level until Bluez's experimental features are enabled in system. Check Readme for details.", "link": "https://extensions.gnome.org/extension/6670/bluetooth-battery-meter/", "shell_version_map": {
- "42": {"version": "9", "sha256": "1qq6ni213dmcgp0s0la8k09l982mzivvs33vkvz9qpiiwlwmhh9m", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR25vbWUgZXh0ZW5zaW9uIGZlYXR1cmluZyBpbmRpY2F0b3IgaWNvbnMgaW4gc3lzdGVtIHRyYXksIHNlcnZpbmcgYXMgbWV0ZXIgZm9yIEJsdWV0b290aCBkZXZpY2UgYmF0dGVyeSBsZXZlbHMgYW5kIHByb3ZpZGluZyBkZXRhaWxlZCBiYXR0ZXJ5IGxldmVscyB2aWEgaWNvbi90ZXh0IGluIHRoZSBCbHVldG9vdGggcXVpY2sgc2V0dGluZ3MgbWVudS5cblxuTm90ZTpcbkNlcnRhaW4gQmx1ZXRvb3RoIGRldmljZXMgZG8gbm90IHJlcG9ydCBiYXR0ZXJ5IGxldmVsIHVudGlsIEJsdWV6J3MgZXhwZXJpbWVudGFsIGZlYXR1cmVzIGFyZSBlbmFibGVkIGluIHN5c3RlbS4gQ2hlY2sgUmVhZG1lIGZvciBkZXRhaWxzLiIsCiAgImdldHRleHQtZG9tYWluIjogIkJsdWV0b290aC1CYXR0ZXJ5LU1ldGVyQG1hbmlhY3guZ2l0aHViLmNvbSIsCiAgIm5hbWUiOiAiQmx1ZXRvb3RoIEJhdHRlcnkgTWV0ZXIiLAogICJzZXNzaW9uLW1vZGVzIjogWwogICAgInVubG9jay1kaWFsb2ciLAogICAgInVzZXIiCiAgXSwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLkJsdWV0b290aC1CYXR0ZXJ5LU1ldGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWFuaWFjeC9CbHVldG9vdGgtQmF0dGVyeS1NZXRlciIsCiAgInV1aWQiOiAiQmx1ZXRvb3RoLUJhdHRlcnktTWV0ZXJAbWFuaWFjeC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDkKfQ=="},
- "43": {"version": "9", "sha256": "1qq6ni213dmcgp0s0la8k09l982mzivvs33vkvz9qpiiwlwmhh9m", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR25vbWUgZXh0ZW5zaW9uIGZlYXR1cmluZyBpbmRpY2F0b3IgaWNvbnMgaW4gc3lzdGVtIHRyYXksIHNlcnZpbmcgYXMgbWV0ZXIgZm9yIEJsdWV0b290aCBkZXZpY2UgYmF0dGVyeSBsZXZlbHMgYW5kIHByb3ZpZGluZyBkZXRhaWxlZCBiYXR0ZXJ5IGxldmVscyB2aWEgaWNvbi90ZXh0IGluIHRoZSBCbHVldG9vdGggcXVpY2sgc2V0dGluZ3MgbWVudS5cblxuTm90ZTpcbkNlcnRhaW4gQmx1ZXRvb3RoIGRldmljZXMgZG8gbm90IHJlcG9ydCBiYXR0ZXJ5IGxldmVsIHVudGlsIEJsdWV6J3MgZXhwZXJpbWVudGFsIGZlYXR1cmVzIGFyZSBlbmFibGVkIGluIHN5c3RlbS4gQ2hlY2sgUmVhZG1lIGZvciBkZXRhaWxzLiIsCiAgImdldHRleHQtZG9tYWluIjogIkJsdWV0b290aC1CYXR0ZXJ5LU1ldGVyQG1hbmlhY3guZ2l0aHViLmNvbSIsCiAgIm5hbWUiOiAiQmx1ZXRvb3RoIEJhdHRlcnkgTWV0ZXIiLAogICJzZXNzaW9uLW1vZGVzIjogWwogICAgInVubG9jay1kaWFsb2ciLAogICAgInVzZXIiCiAgXSwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLkJsdWV0b290aC1CYXR0ZXJ5LU1ldGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWFuaWFjeC9CbHVldG9vdGgtQmF0dGVyeS1NZXRlciIsCiAgInV1aWQiOiAiQmx1ZXRvb3RoLUJhdHRlcnktTWV0ZXJAbWFuaWFjeC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDkKfQ=="},
- "44": {"version": "9", "sha256": "1qq6ni213dmcgp0s0la8k09l982mzivvs33vkvz9qpiiwlwmhh9m", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR25vbWUgZXh0ZW5zaW9uIGZlYXR1cmluZyBpbmRpY2F0b3IgaWNvbnMgaW4gc3lzdGVtIHRyYXksIHNlcnZpbmcgYXMgbWV0ZXIgZm9yIEJsdWV0b290aCBkZXZpY2UgYmF0dGVyeSBsZXZlbHMgYW5kIHByb3ZpZGluZyBkZXRhaWxlZCBiYXR0ZXJ5IGxldmVscyB2aWEgaWNvbi90ZXh0IGluIHRoZSBCbHVldG9vdGggcXVpY2sgc2V0dGluZ3MgbWVudS5cblxuTm90ZTpcbkNlcnRhaW4gQmx1ZXRvb3RoIGRldmljZXMgZG8gbm90IHJlcG9ydCBiYXR0ZXJ5IGxldmVsIHVudGlsIEJsdWV6J3MgZXhwZXJpbWVudGFsIGZlYXR1cmVzIGFyZSBlbmFibGVkIGluIHN5c3RlbS4gQ2hlY2sgUmVhZG1lIGZvciBkZXRhaWxzLiIsCiAgImdldHRleHQtZG9tYWluIjogIkJsdWV0b290aC1CYXR0ZXJ5LU1ldGVyQG1hbmlhY3guZ2l0aHViLmNvbSIsCiAgIm5hbWUiOiAiQmx1ZXRvb3RoIEJhdHRlcnkgTWV0ZXIiLAogICJzZXNzaW9uLW1vZGVzIjogWwogICAgInVubG9jay1kaWFsb2ciLAogICAgInVzZXIiCiAgXSwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLkJsdWV0b290aC1CYXR0ZXJ5LU1ldGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWFuaWFjeC9CbHVldG9vdGgtQmF0dGVyeS1NZXRlciIsCiAgInV1aWQiOiAiQmx1ZXRvb3RoLUJhdHRlcnktTWV0ZXJAbWFuaWFjeC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDkKfQ=="},
- "45": {"version": "10", "sha256": "1mxcg8plgsyr6n7k9m1q2nbif3fpxiyj0ld9r22mmif1hmvnqwww", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR25vbWUgZXh0ZW5zaW9uIGZlYXR1cmluZyBpbmRpY2F0b3IgaWNvbnMgaW4gc3lzdGVtIHRyYXksIHNlcnZpbmcgYXMgbWV0ZXIgZm9yIEJsdWV0b290aCBkZXZpY2UgYmF0dGVyeSBsZXZlbHMgYW5kIHByb3ZpZGluZyBkZXRhaWxlZCBiYXR0ZXJ5IGxldmVscyB2aWEgaWNvbi90ZXh0IGluIHRoZSBCbHVldG9vdGggcXVpY2sgc2V0dGluZ3MgbWVudS5cblxuTm90ZTpcbkNlcnRhaW4gQmx1ZXRvb3RoIGRldmljZXMgZG8gbm90IHJlcG9ydCBiYXR0ZXJ5IGxldmVsIHVudGlsIEJsdWV6J3MgZXhwZXJpbWVudGFsIGZlYXR1cmVzIGFyZSBlbmFibGVkIGluIHN5c3RlbS4gQ2hlY2sgUmVhZG1lIGZvciBkZXRhaWxzLiIsCiAgImdldHRleHQtZG9tYWluIjogIkJsdWV0b290aC1CYXR0ZXJ5LU1ldGVyQG1hbmlhY3guZ2l0aHViLmNvbSIsCiAgIm5hbWUiOiAiQmx1ZXRvb3RoIEJhdHRlcnkgTWV0ZXIiLAogICJzZXNzaW9uLW1vZGVzIjogWwogICAgInVubG9jay1kaWFsb2ciLAogICAgInVzZXIiCiAgXSwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLkJsdWV0b290aC1CYXR0ZXJ5LU1ldGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIsCiAgICAiNDYiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9tYW5pYWN4L0JsdWV0b290aC1CYXR0ZXJ5LU1ldGVyIiwKICAidXVpZCI6ICJCbHVldG9vdGgtQmF0dGVyeS1NZXRlckBtYW5pYWN4LmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMTAKfQ=="}
+ "42": {"version": "13", "sha256": "0whvcy54fjq6alvc1q6xs0lis44g1k3sv3ygfpv4559wss6m8c1y", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR25vbWUgZXh0ZW5zaW9uIGZlYXR1cmluZyBpbmRpY2F0b3IgaWNvbnMgaW4gc3lzdGVtIHRyYXksIHNlcnZpbmcgYXMgbWV0ZXIgZm9yIEJsdWV0b290aCBkZXZpY2UgYmF0dGVyeSBsZXZlbHMgYW5kIHByb3ZpZGluZyBkZXRhaWxlZCBiYXR0ZXJ5IGxldmVscyB2aWEgaWNvbi90ZXh0IGluIHRoZSBCbHVldG9vdGggcXVpY2sgc2V0dGluZ3MgbWVudS5cblxuTm90ZTpcbkNlcnRhaW4gQmx1ZXRvb3RoIGRldmljZXMgZG8gbm90IHJlcG9ydCBiYXR0ZXJ5IGxldmVsIHVudGlsIEJsdWV6J3MgZXhwZXJpbWVudGFsIGZlYXR1cmVzIGFyZSBlbmFibGVkIGluIHN5c3RlbS4gQ2hlY2sgUmVhZG1lIGZvciBkZXRhaWxzLiIsCiAgImdldHRleHQtZG9tYWluIjogIkJsdWV0b290aC1CYXR0ZXJ5LU1ldGVyQG1hbmlhY3guZ2l0aHViLmNvbSIsCiAgIm5hbWUiOiAiQmx1ZXRvb3RoIEJhdHRlcnkgTWV0ZXIiLAogICJzZXNzaW9uLW1vZGVzIjogWwogICAgInVubG9jay1kaWFsb2ciLAogICAgInVzZXIiCiAgXSwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLkJsdWV0b290aC1CYXR0ZXJ5LU1ldGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWFuaWFjeC9CbHVldG9vdGgtQmF0dGVyeS1NZXRlciIsCiAgInV1aWQiOiAiQmx1ZXRvb3RoLUJhdHRlcnktTWV0ZXJAbWFuaWFjeC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDEzCn0="},
+ "43": {"version": "13", "sha256": "0whvcy54fjq6alvc1q6xs0lis44g1k3sv3ygfpv4559wss6m8c1y", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR25vbWUgZXh0ZW5zaW9uIGZlYXR1cmluZyBpbmRpY2F0b3IgaWNvbnMgaW4gc3lzdGVtIHRyYXksIHNlcnZpbmcgYXMgbWV0ZXIgZm9yIEJsdWV0b290aCBkZXZpY2UgYmF0dGVyeSBsZXZlbHMgYW5kIHByb3ZpZGluZyBkZXRhaWxlZCBiYXR0ZXJ5IGxldmVscyB2aWEgaWNvbi90ZXh0IGluIHRoZSBCbHVldG9vdGggcXVpY2sgc2V0dGluZ3MgbWVudS5cblxuTm90ZTpcbkNlcnRhaW4gQmx1ZXRvb3RoIGRldmljZXMgZG8gbm90IHJlcG9ydCBiYXR0ZXJ5IGxldmVsIHVudGlsIEJsdWV6J3MgZXhwZXJpbWVudGFsIGZlYXR1cmVzIGFyZSBlbmFibGVkIGluIHN5c3RlbS4gQ2hlY2sgUmVhZG1lIGZvciBkZXRhaWxzLiIsCiAgImdldHRleHQtZG9tYWluIjogIkJsdWV0b290aC1CYXR0ZXJ5LU1ldGVyQG1hbmlhY3guZ2l0aHViLmNvbSIsCiAgIm5hbWUiOiAiQmx1ZXRvb3RoIEJhdHRlcnkgTWV0ZXIiLAogICJzZXNzaW9uLW1vZGVzIjogWwogICAgInVubG9jay1kaWFsb2ciLAogICAgInVzZXIiCiAgXSwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLkJsdWV0b290aC1CYXR0ZXJ5LU1ldGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWFuaWFjeC9CbHVldG9vdGgtQmF0dGVyeS1NZXRlciIsCiAgInV1aWQiOiAiQmx1ZXRvb3RoLUJhdHRlcnktTWV0ZXJAbWFuaWFjeC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDEzCn0="},
+ "44": {"version": "13", "sha256": "0whvcy54fjq6alvc1q6xs0lis44g1k3sv3ygfpv4559wss6m8c1y", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR25vbWUgZXh0ZW5zaW9uIGZlYXR1cmluZyBpbmRpY2F0b3IgaWNvbnMgaW4gc3lzdGVtIHRyYXksIHNlcnZpbmcgYXMgbWV0ZXIgZm9yIEJsdWV0b290aCBkZXZpY2UgYmF0dGVyeSBsZXZlbHMgYW5kIHByb3ZpZGluZyBkZXRhaWxlZCBiYXR0ZXJ5IGxldmVscyB2aWEgaWNvbi90ZXh0IGluIHRoZSBCbHVldG9vdGggcXVpY2sgc2V0dGluZ3MgbWVudS5cblxuTm90ZTpcbkNlcnRhaW4gQmx1ZXRvb3RoIGRldmljZXMgZG8gbm90IHJlcG9ydCBiYXR0ZXJ5IGxldmVsIHVudGlsIEJsdWV6J3MgZXhwZXJpbWVudGFsIGZlYXR1cmVzIGFyZSBlbmFibGVkIGluIHN5c3RlbS4gQ2hlY2sgUmVhZG1lIGZvciBkZXRhaWxzLiIsCiAgImdldHRleHQtZG9tYWluIjogIkJsdWV0b290aC1CYXR0ZXJ5LU1ldGVyQG1hbmlhY3guZ2l0aHViLmNvbSIsCiAgIm5hbWUiOiAiQmx1ZXRvb3RoIEJhdHRlcnkgTWV0ZXIiLAogICJzZXNzaW9uLW1vZGVzIjogWwogICAgInVubG9jay1kaWFsb2ciLAogICAgInVzZXIiCiAgXSwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLkJsdWV0b290aC1CYXR0ZXJ5LU1ldGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWFuaWFjeC9CbHVldG9vdGgtQmF0dGVyeS1NZXRlciIsCiAgInV1aWQiOiAiQmx1ZXRvb3RoLUJhdHRlcnktTWV0ZXJAbWFuaWFjeC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDEzCn0="},
+ "45": {"version": "14", "sha256": "1l86hrcr5fasz63bvyqh9lgnrnwf3czc89krv1ka4z2bn22vzpx4", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR25vbWUgZXh0ZW5zaW9uIGZlYXR1cmluZyBpbmRpY2F0b3IgaWNvbnMgaW4gc3lzdGVtIHRyYXksIHNlcnZpbmcgYXMgbWV0ZXIgZm9yIEJsdWV0b290aCBkZXZpY2UgYmF0dGVyeSBsZXZlbHMgYW5kIHByb3ZpZGluZyBkZXRhaWxlZCBiYXR0ZXJ5IGxldmVscyB2aWEgaWNvbi90ZXh0IGluIHRoZSBCbHVldG9vdGggcXVpY2sgc2V0dGluZ3MgbWVudS5cblxuTm90ZTpcbkNlcnRhaW4gQmx1ZXRvb3RoIGRldmljZXMgZG8gbm90IHJlcG9ydCBiYXR0ZXJ5IGxldmVsIHVudGlsIEJsdWV6J3MgZXhwZXJpbWVudGFsIGZlYXR1cmVzIGFyZSBlbmFibGVkIGluIHN5c3RlbS4gQ2hlY2sgUmVhZG1lIGZvciBkZXRhaWxzLiIsCiAgImdldHRleHQtZG9tYWluIjogIkJsdWV0b290aC1CYXR0ZXJ5LU1ldGVyQG1hbmlhY3guZ2l0aHViLmNvbSIsCiAgIm5hbWUiOiAiQmx1ZXRvb3RoIEJhdHRlcnkgTWV0ZXIiLAogICJzZXNzaW9uLW1vZGVzIjogWwogICAgInVubG9jay1kaWFsb2ciLAogICAgInVzZXIiCiAgXSwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLkJsdWV0b290aC1CYXR0ZXJ5LU1ldGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIsCiAgICAiNDYiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9tYW5pYWN4L0JsdWV0b290aC1CYXR0ZXJ5LU1ldGVyIiwKICAidXVpZCI6ICJCbHVldG9vdGgtQmF0dGVyeS1NZXRlckBtYW5pYWN4LmdpdGh1Yi5jb20iLAogICJ2ZXJzaW9uIjogMTQKfQ=="}
}}
, {"uuid": "xwayland-indicator@swsnr.de", "name": "XWayland Indicator", "pname": "xwayland-indicator", "description": "Indicate whether the focused window uses XWayland.", "link": "https://extensions.gnome.org/extension/6676/xwayland-indicator/", "shell_version_map": {
"45": {"version": "1", "sha256": "1y86189fhiw44625pkb6aj2gy0im4jdflsh8f9h4x9pc72hnfqmm", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkluZGljYXRlIHdoZXRoZXIgdGhlIGZvY3VzZWQgd2luZG93IHVzZXMgWFdheWxhbmQuIiwKICAibmFtZSI6ICJYV2F5bGFuZCBJbmRpY2F0b3IiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vc3dzbnIvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXh3YXlsYW5kIiwKICAidXVpZCI6ICJ4d2F5bGFuZC1pbmRpY2F0b3JAc3dzbnIuZGUiLAogICJ2ZXJzaW9uIjogMSwKICAidmVyc2lvbi1uYW1lIjogIjQ1LjEiCn0="}
@@ -6481,8 +6510,8 @@
, {"uuid": "power-profile@fthx", "name": "Power profile indicator", "pname": "power-profile-indicator", "description": "Add current power profile in panel's system icons. Very light extension.", "link": "https://extensions.gnome.org/extension/6679/power-profile-indicator/", "shell_version_map": {
"45": {"version": "2", "sha256": "19rdih8km5qh4fz5imvyb1wcnpl0d4nnccb05k58b1vlfsfm6ahl", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBjdXJyZW50IHBvd2VyIHByb2ZpbGUgaW4gcGFuZWwncyBzeXN0ZW0gaWNvbnMuIFZlcnkgbGlnaHQgZXh0ZW5zaW9uLiIsCiAgIm5hbWUiOiAiUG93ZXIgcHJvZmlsZSBpbmRpY2F0b3IiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZnRoeC9wb3dlci1wcm9maWxlIiwKICAidXVpZCI6ICJwb3dlci1wcm9maWxlQGZ0aHgiLAogICJ2ZXJzaW9uIjogMgp9"}
}}
-, {"uuid": "monitor@astraext.github.io", "name": "Astra Monitor", "pname": "astra-monitor", "description": "Astra Monitor is a cutting-edge, fully customizable, and performance-focused monitoring extension for GNOME's top bar. It's an all-in-one solution for those seeking to keep a close eye on their system's performance metrics like CPU, GPU, RAM, disk usage, network statistics, and sensor readings.", "link": "https://extensions.gnome.org/extension/6682/astra-monitor/", "shell_version_map": {
- "45": {"version": "13", "sha256": "11b0jlngpxplfjzynl68b0rqfin7lkv4glnnd64m4zl56kwfri9p", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFzdHJhIE1vbml0b3IgaXMgYSBjdXR0aW5nLWVkZ2UsIGZ1bGx5IGN1c3RvbWl6YWJsZSwgYW5kIHBlcmZvcm1hbmNlLWZvY3VzZWQgbW9uaXRvcmluZyBleHRlbnNpb24gZm9yIEdOT01FJ3MgdG9wIGJhci4gSXQncyBhbiBhbGwtaW4tb25lIHNvbHV0aW9uIGZvciB0aG9zZSBzZWVraW5nIHRvIGtlZXAgYSBjbG9zZSBleWUgb24gdGhlaXIgc3lzdGVtJ3MgcGVyZm9ybWFuY2UgbWV0cmljcyBsaWtlIENQVSwgR1BVLCBSQU0sIGRpc2sgdXNhZ2UsIG5ldHdvcmsgc3RhdGlzdGljcywgYW5kIHNlbnNvciByZWFkaW5ncy4iLAogICJkb25hdGlvbnMiOiB7CiAgICAiYnV5bWVhY29mZmVlIjogImFzdHJhLmV4dCIsCiAgICAicGF0cmVvbiI6ICJBc3RyYUV4dCIKICB9LAogICJnZXR0ZXh0LWRvbWFpbiI6ICJtb25pdG9yQGFzdHJhZXh0LmdpdGh1Yi5pbyIsCiAgImxpY2Vuc2UiOiAiR1BMLTMuMC1vci1sYXRlciIsCiAgIm5hbWUiOiAiQXN0cmEgTW9uaXRvciIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5hc3RyYS1tb25pdG9yIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIsCiAgICAiNDYiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9Bc3RyYUV4dC9hc3RyYS1tb25pdG9yIiwKICAidXVpZCI6ICJtb25pdG9yQGFzdHJhZXh0LmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiAxMywKICAidmVyc2lvbi1uYW1lIjogIjkiCn0="}
+, {"uuid": "monitor@astraext.github.io", "name": "Astra Monitor", "pname": "astra-monitor", "description": "Astra Monitor is a cutting-edge, fully customizable, and performance-focused system monitoring extension for GNOME's top bar. It's an all-in-one solution for those seeking to keep a close eye on their system's performance metrics like CPU, GPU, RAM, disk usage, network statistics, and sensor readings.", "link": "https://extensions.gnome.org/extension/6682/astra-monitor/", "shell_version_map": {
+ "45": {"version": "20", "sha256": "1d4s73adlh5wblyxyzcrb0s24l1m8kw9sh6ijkk00xca2kpwr401", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFzdHJhIE1vbml0b3IgaXMgYSBjdXR0aW5nLWVkZ2UsIGZ1bGx5IGN1c3RvbWl6YWJsZSwgYW5kIHBlcmZvcm1hbmNlLWZvY3VzZWQgc3lzdGVtIG1vbml0b3JpbmcgZXh0ZW5zaW9uIGZvciBHTk9NRSdzIHRvcCBiYXIuIEl0J3MgYW4gYWxsLWluLW9uZSBzb2x1dGlvbiBmb3IgdGhvc2Ugc2Vla2luZyB0byBrZWVwIGEgY2xvc2UgZXllIG9uIHRoZWlyIHN5c3RlbSdzIHBlcmZvcm1hbmNlIG1ldHJpY3MgbGlrZSBDUFUsIEdQVSwgUkFNLCBkaXNrIHVzYWdlLCBuZXR3b3JrIHN0YXRpc3RpY3MsIGFuZCBzZW5zb3IgcmVhZGluZ3MuIiwKICAiZG9uYXRpb25zIjogewogICAgImJ1eW1lYWNvZmZlZSI6ICJhc3RyYS5leHQiLAogICAgInBhdHJlb24iOiAiQXN0cmFFeHQiCiAgfSwKICAiZ2V0dGV4dC1kb21haW4iOiAibW9uaXRvckBhc3RyYWV4dC5naXRodWIuaW8iLAogICJsaWNlbnNlIjogIkdQTC0zLjAtb3ItbGF0ZXIiLAogICJuYW1lIjogIkFzdHJhIE1vbml0b3IiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYXN0cmEtbW9uaXRvciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiLAogICAgIjQ2IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vQXN0cmFFeHQvYXN0cmEtbW9uaXRvciIsCiAgInV1aWQiOiAibW9uaXRvckBhc3RyYWV4dC5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogMjAsCiAgInZlcnNpb24tbmFtZSI6ICIxMyIKfQ=="}
}}
, {"uuid": "collector-pip@mijorus.it", "name": "Collector - complementary extension", "pname": "collector-complementary-extension", "description": "Allows the Collector window to stay always on top", "link": "https://extensions.gnome.org/extension/6685/collector-complementary-extension/", "shell_version_map": {
"45": {"version": "1", "sha256": "0ndzxkw8rxjb3nxwyi4znhyq7j2p4yb6vj9gxfdz9hl2kcbsn1k7", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFsbG93cyB0aGUgQ29sbGVjdG9yIHdpbmRvdyB0byBzdGF5IGFsd2F5cyBvbiB0b3AiLAogICJuYW1lIjogIkNvbGxlY3RvciAtIGNvbXBsZW1lbnRhcnkgZXh0ZW5zaW9uIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL21pam9ydXMvZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLWNvbGxlY3RvciIsCiAgInV1aWQiOiAiY29sbGVjdG9yLXBpcEBtaWpvcnVzLml0IiwKICAidmVyc2lvbiI6IDEKfQ=="}
@@ -6518,7 +6547,7 @@
, {"uuid": "hide-cursor@elcste.com", "name": "Hide Cursor", "pname": "hide-cursor", "description": "Hide the mouse cursor on inactivity.\n\nFor use on Wayland, since unclutter, unclutter-xfixes and xbanish only work on X11.\n\nNote: This initial version has a hardcoded 5 second timeout. I'd like to add settings to support configuring this value, but I have no definite plans. Collaboration appreciated! (I'd also accept a contribution adding support for hiding the cursor while typing, but I don't have plans for this myself.)", "link": "https://extensions.gnome.org/extension/6727/hide-cursor/", "shell_version_map": {
"45": {"version": "1", "sha256": "0a20d0f82r26cww79xlaiqmlfh1sqxnqa001pynp4b1wqwq9sdwl", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUgdGhlIG1vdXNlIGN1cnNvciBvbiBpbmFjdGl2aXR5LlxuXG5Gb3IgdXNlIG9uIFdheWxhbmQsIHNpbmNlIHVuY2x1dHRlciwgdW5jbHV0dGVyLXhmaXhlcyBhbmQgeGJhbmlzaCBvbmx5IHdvcmsgb24gWDExLlxuXG5Ob3RlOiBUaGlzIGluaXRpYWwgdmVyc2lvbiBoYXMgYSBoYXJkY29kZWQgNSBzZWNvbmQgdGltZW91dC4gSSdkIGxpa2UgdG8gYWRkIHNldHRpbmdzIHRvIHN1cHBvcnQgY29uZmlndXJpbmcgdGhpcyB2YWx1ZSwgYnV0IEkgaGF2ZSBubyBkZWZpbml0ZSBwbGFucy4gQ29sbGFib3JhdGlvbiBhcHByZWNpYXRlZCEgKEknZCBhbHNvIGFjY2VwdCBhIGNvbnRyaWJ1dGlvbiBhZGRpbmcgc3VwcG9ydCBmb3IgaGlkaW5nIHRoZSBjdXJzb3Igd2hpbGUgdHlwaW5nLCBidXQgSSBkb24ndCBoYXZlIHBsYW5zIGZvciB0aGlzIG15c2VsZi4pIiwKICAibmFtZSI6ICJIaWRlIEN1cnNvciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9lbGNzdGUvaGlkZS1jdXJzb3IiLAogICJ1dWlkIjogImhpZGUtY3Vyc29yQGVsY3N0ZS5jb20iLAogICJ2ZXJzaW9uIjogMQp9"}
}}
-, {"uuid": "windows-search-provider@G-dH.github.com", "name": "WSP (Windows Search Provider)", "pname": "wsp-windows-search-provider", "description": "Search and control windows from the Activities overview\n\n- Supports GNOME Shell 42 - 46\n- Open windows can be added to the top of the global search results\n- Adding a customizable prefix in front of the search pattern allows you to display an isolated search view with a complete list of open windows\n- Strict, Fuzzy and RegExp search methods\n- Close and move windows between workspaces\n- Close and move commands can be added at the end of the search pattern\n- Sorting options for the complete list of open windows\n\nVisit the Homepage linked below for more information and instructions.", "link": "https://extensions.gnome.org/extension/6730/wsp-windows-search-provider/", "shell_version_map": {
+, {"uuid": "windows-search-provider@G-dH.github.com", "name": "WSP (Windows Search Provider)", "pname": "wsp-windows-search-provider", "description": "Search and control windows from the Activities overview\n\n- Supports GNOME Shell 42 - 46\n- Open windows can be added to the top of the global search results\n- Adding a customizable prefix in front of the search pattern allows you to display an isolated search view with a complete list of open windows\n- Strict, Fuzzy and RegExp search methods\n- Close and move windows between workspaces using mouse or commands\n- Sorting options for the complete list of open windows\n\nVisit the Homepage linked below for more information and instructions.", "link": "https://extensions.gnome.org/extension/6730/wsp-windows-search-provider/", "shell_version_map": {
"42": {"version": "12", "sha256": "1l9d6ldrsvackp8pv6qmdjwdxq0p99y6kl00fzm465hggn334mpl", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNlYXJjaCBhbmQgY29udHJvbCB3aW5kb3dzIGZyb20gdGhlIEFjdGl2aXRpZXMgb3ZlcnZpZXciLAogICJkb25hdGlvbnMiOiB7CiAgICAiYnV5bWVhY29mZmVlIjogImdlb3JnZGgiCiAgfSwKICAiZ2V0dGV4dC1kb21haW4iOiAid2luZG93cy1zZWFyY2gtcHJvdmlkZXIiLAogICJuYW1lIjogIldTUCAoV2luZG93cyBTZWFyY2ggUHJvdmlkZXIpIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLndpbmRvd3Mtc2VhcmNoLXByb3ZpZGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vRy1kSC93aW5kb3dzLXNlYXJjaC1wcm92aWRlciIsCiAgInV1aWQiOiAid2luZG93cy1zZWFyY2gtcHJvdmlkZXJARy1kSC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDEyLAogICJ2ZXJzaW9uLW5hbWUiOiAiNDQuNSIKfQ=="},
"43": {"version": "12", "sha256": "1l9d6ldrsvackp8pv6qmdjwdxq0p99y6kl00fzm465hggn334mpl", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNlYXJjaCBhbmQgY29udHJvbCB3aW5kb3dzIGZyb20gdGhlIEFjdGl2aXRpZXMgb3ZlcnZpZXciLAogICJkb25hdGlvbnMiOiB7CiAgICAiYnV5bWVhY29mZmVlIjogImdlb3JnZGgiCiAgfSwKICAiZ2V0dGV4dC1kb21haW4iOiAid2luZG93cy1zZWFyY2gtcHJvdmlkZXIiLAogICJuYW1lIjogIldTUCAoV2luZG93cyBTZWFyY2ggUHJvdmlkZXIpIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLndpbmRvd3Mtc2VhcmNoLXByb3ZpZGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vRy1kSC93aW5kb3dzLXNlYXJjaC1wcm92aWRlciIsCiAgInV1aWQiOiAid2luZG93cy1zZWFyY2gtcHJvdmlkZXJARy1kSC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDEyLAogICJ2ZXJzaW9uLW5hbWUiOiAiNDQuNSIKfQ=="},
"44": {"version": "12", "sha256": "1l9d6ldrsvackp8pv6qmdjwdxq0p99y6kl00fzm465hggn334mpl", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNlYXJjaCBhbmQgY29udHJvbCB3aW5kb3dzIGZyb20gdGhlIEFjdGl2aXRpZXMgb3ZlcnZpZXciLAogICJkb25hdGlvbnMiOiB7CiAgICAiYnV5bWVhY29mZmVlIjogImdlb3JnZGgiCiAgfSwKICAiZ2V0dGV4dC1kb21haW4iOiAid2luZG93cy1zZWFyY2gtcHJvdmlkZXIiLAogICJuYW1lIjogIldTUCAoV2luZG93cyBTZWFyY2ggUHJvdmlkZXIpIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLndpbmRvd3Mtc2VhcmNoLXByb3ZpZGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vRy1kSC93aW5kb3dzLXNlYXJjaC1wcm92aWRlciIsCiAgInV1aWQiOiAid2luZG93cy1zZWFyY2gtcHJvdmlkZXJARy1kSC5naXRodWIuY29tIiwKICAidmVyc2lvbiI6IDEyLAogICJ2ZXJzaW9uLW5hbWUiOiAiNDQuNSIKfQ=="},
@@ -6527,7 +6556,69 @@
, {"uuid": "CrazyInternetSpeedMeter@larryw3i_at_163.com", "name": "Crazy Internet Speed Meter", "pname": "crazy-internet-speed-meter", "description": "Simple and minimal internet speed meter extension for the Gnome Shell", "link": "https://extensions.gnome.org/extension/6733/crazy-internet-speed-meter/", "shell_version_map": {
"45": {"version": "10", "sha256": "1xkgsnl4akp2x8ls4sf4prn26p1x3sc3lrg16f0l6i1sab4bk85q", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNpbXBsZSBhbmQgbWluaW1hbCBpbnRlcm5ldCBzcGVlZCBtZXRlciBleHRlbnNpb24gZm9yIHRoZSBHbm9tZSBTaGVsbCIsCiAgImdldHRleHQtZG9tYWluIjogIkNyYXp5SW50ZXJuZXRTcGVlZE1ldGVyQGxhcnJ5dzNpX2F0XzE2My5jb20iLAogICJuYW1lIjogIkNyYXp5IEludGVybmV0IFNwZWVkIE1ldGVyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLkNyYXp5SW50ZXJuZXRTcGVlZE1ldGVyIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRsYWIuZ25vbWUub3JnL2xhcnJ5d2VpL2NyYXp5aW50ZXJuZXRzcGVlZG1ldGVyIiwKICAidXVpZCI6ICJDcmF6eUludGVybmV0U3BlZWRNZXRlckBsYXJyeXczaV9hdF8xNjMuY29tIiwKICAidmVyc2lvbiI6IDEwLAogICJ2ZXJzaW9uLW5hbWUiOiAiMjAyNDAyMDIuMTYzOCIKfQ=="}
}}
-, {"uuid": "inhibitionindicator@monyxie.github.io", "name": "Inhibition Indicator", "pname": "inhibition-indicator", "description": "Indicates whether the system is being prevented from going into sleep by programs.", "link": "https://extensions.gnome.org/extension/6736/inhibition-indicator/", "shell_version_map": {
- "45": {"version": "2", "sha256": "02i755d3g4rn267pnsa4jbjfgywsqv9xqzcb3kpc1zlam34fjf2r", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkluZGljYXRlcyB3aGV0aGVyIHRoZSBzeXN0ZW0gaXMgYmVpbmcgcHJldmVudGVkIGZyb20gZ29pbmcgaW50byBzbGVlcCBieSBwcm9ncmFtcy4iLAogICJnZXR0ZXh0LWRvbWFpbiI6ICJpbmhpYml0aW9uaW5kaWNhdG9yQG1vbnl4aWUuZ2l0aHViLmlvIiwKICAibmFtZSI6ICJJbmhpYml0aW9uIEluZGljYXRvciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9tb255eGllL2luaGliaXRpb25pbmRpY2F0b3IiLAogICJ1dWlkIjogImluaGliaXRpb25pbmRpY2F0b3JAbW9ueXhpZS5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogMgp9"}
+, {"uuid": "inhibitionindicator@monyxie.github.io", "name": "Inhibition Indicator", "pname": "inhibition-indicator", "description": "Indicates whether the system is prevented from sleeping by programs.", "link": "https://extensions.gnome.org/extension/6736/inhibition-indicator/", "shell_version_map": {
+ "45": {"version": "3", "sha256": "166mpf7ds1x1f57g30kywqcvaadcg9grxp2cfgckknwp72fbyqcy", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkluZGljYXRlcyB3aGV0aGVyIHRoZSBzeXN0ZW0gaXMgcHJldmVudGVkIGZyb20gc2xlZXBpbmcgYnkgcHJvZ3JhbXMuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiaW5oaWJpdGlvbmluZGljYXRvckBtb255eGllLmdpdGh1Yi5pbyIsCiAgIm5hbWUiOiAiSW5oaWJpdGlvbiBJbmRpY2F0b3IiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuaW5oaWJpdGlvbmluZGljYXRvciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9tb255eGllL2luaGliaXRpb25pbmRpY2F0b3IiLAogICJ1dWlkIjogImluaGliaXRpb25pbmRpY2F0b3JAbW9ueXhpZS5naXRodWIuaW8iLAogICJ2ZXJzaW9uIjogMwp9"}
+ }}
+, {"uuid": "blurt@quantiusbenignus.local", "name": "Blurt", "pname": "blurt", "description": "Input text from speech anywhere with the middle mouse button.\n\nHigh-quality offline (multilingual) speech recognition based on whisper.cpp\nSpeech is recorded on a key combination, then transcribed (almost instantly) and sent to the clipboard when (adjustable) silence is detected (indicated on top bar).\nThe back-end tool wsi and whisper.cpp must be both preinstalled. \nFull setup instructions at https://github.com/QuantiusBenignus/blurt", "link": "https://extensions.gnome.org/extension/6742/blurt/", "shell_version_map": {
+ "42": {"version": "2", "sha256": "0d844a9vj9hr7sv4ipylha3j2nnhjvw9gm9zyk371xa2sdjd3kph", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIklucHV0IHRleHQgYW55d2hlcmUgZnJvbSBzcGVlY2ggd2l0aCB0aGUgbWlkZGxlIG1vdXNlIGJ1dHRvbiIsCiAgIm5hbWUiOiAiQmx1cnQiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYmx1cnQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9RdWFudGl1c0JlbmlnbnVzL2JsdXJ0IiwKICAidXVpZCI6ICJibHVydEBxdWFudGl1c2JlbmlnbnVzLmxvY2FsIiwKICAidmVyc2lvbiI6IDIKfQ=="},
+ "43": {"version": "2", "sha256": "0d844a9vj9hr7sv4ipylha3j2nnhjvw9gm9zyk371xa2sdjd3kph", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIklucHV0IHRleHQgYW55d2hlcmUgZnJvbSBzcGVlY2ggd2l0aCB0aGUgbWlkZGxlIG1vdXNlIGJ1dHRvbiIsCiAgIm5hbWUiOiAiQmx1cnQiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYmx1cnQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9RdWFudGl1c0JlbmlnbnVzL2JsdXJ0IiwKICAidXVpZCI6ICJibHVydEBxdWFudGl1c2JlbmlnbnVzLmxvY2FsIiwKICAidmVyc2lvbiI6IDIKfQ=="},
+ "44": {"version": "2", "sha256": "0d844a9vj9hr7sv4ipylha3j2nnhjvw9gm9zyk371xa2sdjd3kph", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIklucHV0IHRleHQgYW55d2hlcmUgZnJvbSBzcGVlY2ggd2l0aCB0aGUgbWlkZGxlIG1vdXNlIGJ1dHRvbiIsCiAgIm5hbWUiOiAiQmx1cnQiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYmx1cnQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9RdWFudGl1c0JlbmlnbnVzL2JsdXJ0IiwKICAidXVpZCI6ICJibHVydEBxdWFudGl1c2JlbmlnbnVzLmxvY2FsIiwKICAidmVyc2lvbiI6IDIKfQ=="},
+ "45": {"version": "5", "sha256": "10ajsindzad9azjfipnq2hzm2s5xliivkvxc9xckxv4hwhi4haks", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIklucHV0IHRleHQgZnJvbSBzcGVlY2ggYW55d2hlcmUgd2l0aCB0aGUgbWlkZGxlIG1vdXNlIGJ1dHRvbiIsCiAgIm5hbWUiOiAiQmx1cnQiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuYmx1cnQiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vUXVhbnRpdXNCZW5pZ251cy9ibHVydCIsCiAgInV1aWQiOiAiYmx1cnRAcXVhbnRpdXNiZW5pZ251cy5sb2NhbCIsCiAgInZlcnNpb24iOiA1Cn0="}
+ }}
+, {"uuid": "luminus-shell@dikasp.gitlab", "name": "Luminus Shell", "pname": "luminus-shell", "description": "Brings full light style integration into default gnome-shell.", "link": "https://extensions.gnome.org/extension/6744/luminus-shell/", "shell_version_map": {
+ "45": {"version": "2", "sha256": "05qkb9ycrgbcf5iy71x6n3zf56wmx1iib101f5h307ig3a4mnlc1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkJyaW5ncyBmdWxsIGxpZ2h0IHN0eWxlIGludGVncmF0aW9uIGludG8gZGVmYXVsdCBnbm9tZS1zaGVsbC4iLAogICJkb25hdGlvbnMiOiB7CiAgICAiYnV5bWVhY29mZmVlIjogImRpa2FzcCIKICB9LAogICJuYW1lIjogIkx1bWludXMgU2hlbGwiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vZGlrYXNldHlhcHJheW9naS9sdW1pbnVzLXByb2plY3QiLAogICJ1dWlkIjogImx1bWludXMtc2hlbGxAZGlrYXNwLmdpdGxhYiIsCiAgInZlcnNpb24iOiAyLAogICJ2ZXJzaW9uLW5hbWUiOiAiNDUuMiIKfQ=="}
+ }}
+, {"uuid": "luminus-shell-y@dikasp.gitlab", "name": "Luminus Shell Y", "pname": "luminus-shell-y", "description": "Brings full light style integration into Blur my Shell extension.", "link": "https://extensions.gnome.org/extension/6747/luminus-shell-y/", "shell_version_map": {
+ "45": {"version": "2", "sha256": "16wgh92pn6i94hcxykyy09km75xk6mmnsvbr0c571mhad928a5b2", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkJyaW5ncyBmdWxsIGxpZ2h0IHN0eWxlIGludGVncmF0aW9uIGludG8gQmx1ciBteSBTaGVsbCBleHRlbnNpb24uIiwKICAiZG9uYXRpb25zIjogewogICAgImJ1eW1lYWNvZmZlZSI6ICJkaWthc3AiCiAgfSwKICAibmFtZSI6ICJMdW1pbnVzIFNoZWxsIFkiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGxhYi5jb20vZGlrYXNldHlhcHJheW9naS9sdW1pbnVzLXByb2plY3QiLAogICJ1dWlkIjogImx1bWludXMtc2hlbGwteUBkaWthc3AuZ2l0bGFiIiwKICAidmVyc2lvbiI6IDIsCiAgInZlcnNpb24tbmFtZSI6ICI0NS4yIgp9"}
+ }}
+, {"uuid": "luminus-desktop@dikasp.gitlab", "name": "Luminus Desktop", "pname": "luminus-desktop", "description": "Brings global light mode integration into gnome-shell and GTK apps.", "link": "https://extensions.gnome.org/extension/6750/luminus-desktop/", "shell_version_map": {
+ "45": {"version": "3", "sha256": "1ai9rzr0lx8myy6cqfsm4cck5l1v5yq8fwfil0rawpnzlbr6rmgk", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkJyaW5ncyBnbG9iYWwgZnVsbCBsaWdodCBzdHlsZSBpbnRlZ3JhdGlvbiBpbnRvIGdub21lLXNoZWxsIGFuZCBHVEsgYXBwcy4iLAogICJkb25hdGlvbnMiOiB7CiAgICAiYnV5bWVhY29mZmVlIjogImRpa2FzcCIKICB9LAogICJuYW1lIjogIkx1bWludXMgRGVza3RvcCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0bGFiLmNvbS9kaWthc2V0eWFwcmF5b2dpL2x1bWludXMtcHJvamVjdCIsCiAgInV1aWQiOiAibHVtaW51cy1kZXNrdG9wQGRpa2FzcC5naXRsYWIiLAogICJ2ZXJzaW9uIjogMywKICAidmVyc2lvbi1uYW1lIjogIjQ1LjIiCn0="}
+ }}
+, {"uuid": "slacker@hedgie.tech", "name": "Slacker", "pname": "slacker", "description": "Improve Slack web or pwa notifications", "link": "https://extensions.gnome.org/extension/6756/slacker/", "shell_version_map": {
+ "45": {"version": "1", "sha256": "1kyfdrxfiwnqjvjjsvyvpsd64dlcqvl685add8zawb46xmmbigz0", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkltcHJvdmUgU2xhY2sgd2ViIG9yIHB3YSBub3RpZmljYXRpb25zIiwKICAibmFtZSI6ICJTbGFja2VyIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnNsYWNrZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vaGVkZ2llaW5zb2Nrcy9nbm9tZS1leHRlbnNpb24tc2xhY2tlciIsCiAgInV1aWQiOiAic2xhY2tlckBoZWRnaWUudGVjaCIsCiAgInZlcnNpb24iOiAxCn0="}
+ }}
+, {"uuid": "mypubip@snirt", "name": "MyPubIP", "pname": "mypubip", "description": "A simple extension that let the user to view and copy the public IPv4 and IPv6 address, by using ipify.org services.", "link": "https://extensions.gnome.org/extension/6765/mypubip/", "shell_version_map": {
+ "45": {"version": "4", "sha256": "0rn93gvq94md34l36y11lrccxj7hpi8v9vidaw3d70hj00f7s3la", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgc2ltcGxlIGV4dGVuc2lvbiB0aGF0IGxldCB0aGUgdXNlciB0byB2aWV3IGFuZCBjb3B5IHRoZSBwdWJsaWMgSVB2NCBhbmQgSVB2NiBhZGRyZXNzLCBieSB1c2luZyBpcGlmeS5vcmcgc2VydmljZXMuIiwKICAibmFtZSI6ICJNeVB1YklQIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3NuaXJ0L2dub21lLXNoZWxsLWV4dGVuc2lvbi1teXB1YmlwIiwKICAidXVpZCI6ICJteXB1YmlwQHNuaXJ0IiwKICAidmVyc2lvbiI6IDQKfQ=="}
+ }}
+, {"uuid": "lomotion@lorens.com", "name": "Lomotion", "pname": "lomotion", "description": "Lomotion extension lets you switch and manage Gnome's workspaces seamlessly\n\nHow ?\n\t- Switch to the previous workspace with[CapsLock + A] and the next with [CapsLock + S]\n\t- Move the current window to the previous workspace with [CapsLock + D] or the next with [CapsLock + F]\n\t- Switch between windows in the current workspace [CapsLock + E]\n\t- Show workspaces overview hit [CapsLock] once\n\t- Show applications hit [CapsLock] twice\n\nWhy ?!\n\t- One-handed control, manage your workspaces with just one hand, boosting your efficiency and focus.\n\t- Enjoy immersive fullscreen applications without worrying about switching workspaces.\n\t- intutive, simple and fast.\n\nTip\n\t- For applications with tabs like Visual Studio Code, web browsers, and many others, you can use keyboard shortcuts to switch between tabs, you can set switch to previous tab [CapsLock + Q] and the next with [CapsLock + W]", "link": "https://extensions.gnome.org/extension/6768/lomotion/", "shell_version_map": {
+ "45": {"version": "1", "sha256": "0vgpfgl8rycc8wklaih53sl5fpc7ybic1jhfj7na2cnya5f9k1x8", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxvbW90aW9uIGV4dGVuc2lvbiBsZXRzIHlvdSBzd2l0Y2ggYW5kIG1hbmFnZSBHbm9tZSdzIHdvcmtzcGFjZXMgc2VhbWxlc3NseVxuXG5Ib3cgP1xuXHQtIFN3aXRjaCB0byB0aGUgcHJldmlvdXMgd29ya3NwYWNlIHdpdGhbQ2Fwc0xvY2sgKyBBXSBhbmQgdGhlIG5leHQgd2l0aCBbQ2Fwc0xvY2sgKyBTXVxuXHQtIE1vdmUgdGhlIGN1cnJlbnQgd2luZG93IHRvIHRoZSBwcmV2aW91cyB3b3Jrc3BhY2Ugd2l0aCBbQ2Fwc0xvY2sgKyBEXSBvciB0aGUgbmV4dCB3aXRoIFtDYXBzTG9jayArIEZdXG5cdC0gU3dpdGNoIGJldHdlZW4gd2luZG93cyBpbiB0aGUgY3VycmVudCB3b3Jrc3BhY2UgW0NhcHNMb2NrICsgRV1cblx0LSBTaG93IHdvcmtzcGFjZXMgb3ZlcnZpZXcgaGl0IFtDYXBzTG9ja10gb25jZVxuXHQtIFNob3cgYXBwbGljYXRpb25zIGhpdCBbQ2Fwc0xvY2tdIHR3aWNlXG5cbldoeSA/IVxuXHQtIE9uZS1oYW5kZWQgY29udHJvbCwgbWFuYWdlIHlvdXIgd29ya3NwYWNlcyB3aXRoIGp1c3Qgb25lIGhhbmQsIGJvb3N0aW5nIHlvdXIgZWZmaWNpZW5jeSBhbmQgZm9jdXMuXG5cdC0gRW5qb3kgaW1tZXJzaXZlIGZ1bGxzY3JlZW4gYXBwbGljYXRpb25zIHdpdGhvdXQgd29ycnlpbmcgYWJvdXQgc3dpdGNoaW5nIHdvcmtzcGFjZXMuXG5cdC0gaW50dXRpdmUsIHNpbXBsZSBhbmQgZmFzdC5cblxuVGlwXG5cdC0gRm9yIGFwcGxpY2F0aW9ucyB3aXRoIHRhYnMgbGlrZSBWaXN1YWwgU3R1ZGlvIENvZGUsIHdlYiBicm93c2VycywgYW5kIG1hbnkgb3RoZXJzLCB5b3UgY2FuIHVzZSBrZXlib2FyZCBzaG9ydGN1dHMgdG8gc3dpdGNoIGJldHdlZW4gdGFicywgeW91IGNhbiBzZXQgc3dpdGNoIHRvIHByZXZpb3VzIHRhYiBbQ2Fwc0xvY2sgKyBRXSBhbmQgdGhlIG5leHQgd2l0aCBbQ2Fwc0xvY2sgKyBXXSIsCiAgImRvbmF0aW9ucyI6IHsKICAgICJidXltZWFjb2ZmZWUiOiAibG9yZW5zIgogIH0sCiAgIm5hbWUiOiAiTG9tb3Rpb24iLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbG9yZW5zLW9zbWFuLWRldi9sb21vdGlvbiIsCiAgInV1aWQiOiAibG9tb3Rpb25AbG9yZW5zLmNvbSIsCiAgInZlcnNpb24iOiAxLAogICJ2ZXJzaW9uLW5hbWUiOiAiMSIKfQ=="}
+ }}
+, {"uuid": "HideItems@fablevi.github.io", "name": "Hide Items", "pname": "hide-items", "description": "Hide everything on the right box exept this button and Settings window", "link": "https://extensions.gnome.org/extension/6771/hide-items/", "shell_version_map": {
+ "45": {"version": "6", "sha256": "1ckl0g1w1f8fzqciifc91a474n1vncdvdbxjasam9621l1k6mz6w", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkhpZGUgZXZlcnl0aGluZyBvbiB0aGUgcmlnaHQgYm94IGV4ZXB0IHRoaXMgYnV0dG9uIGFuZCBTZXR0aW5ncyB3aW5kb3ciLAogICJleHRlbnNpb24taWQiOiAiSGlkZUl0ZW1zIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiSGlkZUl0ZW1zIiwKICAibmFtZSI6ICJIaWRlIEl0ZW1zIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLkhpZGVJdGVtcyIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiLAogICAgIjQ2IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vZmFibGV2aS9IaWRlSXRlbXMiLAogICJ1dWlkIjogIkhpZGVJdGVtc0BmYWJsZXZpLmdpdGh1Yi5pbyIsCiAgInZlcnNpb24iOiA2Cn0="}
+ }}
+, {"uuid": "signalshell@github.com.howbea", "name": "Signal Shell", "pname": "signal-shell", "description": "Load -dark, -light and -high-contrast stylesheets from ~/.config/gnome-shell", "link": "https://extensions.gnome.org/extension/6774/signal-shell/", "shell_version_map": {
+ "40": {"version": "4", "sha256": "1pf770s5zvkr2fzq4jc45rlixbxqnf6gmxfpnd0dfwlssckhlnag", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxvYWQgLWRhcmssIC1saWdodCBhbmQgLWhpZ2gtY29udHJhc3Qgc3R5bGVzaGVldHMgZnJvbSB+Ly5jb25maWcvZ25vbWUtc2hlbGwiLAogICJuYW1lIjogIlNpZ25hbCBTaGVsbCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zaWduYWxzaGVsbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vaG93YmVhL1NpZ25hbC1TaGVsbCIsCiAgInV1aWQiOiAic2lnbmFsc2hlbGxAZ2l0aHViLmNvbS5ob3diZWEiLAogICJ2ZXJzaW9uIjogNAp9"},
+ "41": {"version": "4", "sha256": "1pf770s5zvkr2fzq4jc45rlixbxqnf6gmxfpnd0dfwlssckhlnag", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxvYWQgLWRhcmssIC1saWdodCBhbmQgLWhpZ2gtY29udHJhc3Qgc3R5bGVzaGVldHMgZnJvbSB+Ly5jb25maWcvZ25vbWUtc2hlbGwiLAogICJuYW1lIjogIlNpZ25hbCBTaGVsbCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zaWduYWxzaGVsbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vaG93YmVhL1NpZ25hbC1TaGVsbCIsCiAgInV1aWQiOiAic2lnbmFsc2hlbGxAZ2l0aHViLmNvbS5ob3diZWEiLAogICJ2ZXJzaW9uIjogNAp9"},
+ "42": {"version": "4", "sha256": "1pf770s5zvkr2fzq4jc45rlixbxqnf6gmxfpnd0dfwlssckhlnag", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxvYWQgLWRhcmssIC1saWdodCBhbmQgLWhpZ2gtY29udHJhc3Qgc3R5bGVzaGVldHMgZnJvbSB+Ly5jb25maWcvZ25vbWUtc2hlbGwiLAogICJuYW1lIjogIlNpZ25hbCBTaGVsbCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zaWduYWxzaGVsbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vaG93YmVhL1NpZ25hbC1TaGVsbCIsCiAgInV1aWQiOiAic2lnbmFsc2hlbGxAZ2l0aHViLmNvbS5ob3diZWEiLAogICJ2ZXJzaW9uIjogNAp9"},
+ "43": {"version": "4", "sha256": "1pf770s5zvkr2fzq4jc45rlixbxqnf6gmxfpnd0dfwlssckhlnag", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxvYWQgLWRhcmssIC1saWdodCBhbmQgLWhpZ2gtY29udHJhc3Qgc3R5bGVzaGVldHMgZnJvbSB+Ly5jb25maWcvZ25vbWUtc2hlbGwiLAogICJuYW1lIjogIlNpZ25hbCBTaGVsbCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zaWduYWxzaGVsbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vaG93YmVhL1NpZ25hbC1TaGVsbCIsCiAgInV1aWQiOiAic2lnbmFsc2hlbGxAZ2l0aHViLmNvbS5ob3diZWEiLAogICJ2ZXJzaW9uIjogNAp9"},
+ "44": {"version": "4", "sha256": "1pf770s5zvkr2fzq4jc45rlixbxqnf6gmxfpnd0dfwlssckhlnag", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkxvYWQgLWRhcmssIC1saWdodCBhbmQgLWhpZ2gtY29udHJhc3Qgc3R5bGVzaGVldHMgZnJvbSB+Ly5jb25maWcvZ25vbWUtc2hlbGwiLAogICJuYW1lIjogIlNpZ25hbCBTaGVsbCIsCiAgInNldHRpbmdzLXNjaGVtYSI6ICJvcmcuZ25vbWUuc2hlbGwuZXh0ZW5zaW9ucy5zaWduYWxzaGVsbCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDAiLAogICAgIjQxIiwKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vaG93YmVhL1NpZ25hbC1TaGVsbCIsCiAgInV1aWQiOiAic2lnbmFsc2hlbGxAZ2l0aHViLmNvbS5ob3diZWEiLAogICJ2ZXJzaW9uIjogNAp9"}
+ }}
+, {"uuid": "crypto-tracker@gongorgojo.com", "name": "Simple Crypto Tracker", "pname": "crypto-trackergongorgojocom", "description": "This is a simple Gnome-Shell extension designed to track cryptocurrency prices.\n\nSupported pairs include:\n- BTC/USD\n- BTC/EUR\n- ETH/USD\n- ETH/EUR \n\nThe extension refreshes prices every 5 minutes using the CoinGecko API to retrieve data.", "link": "https://extensions.gnome.org/extension/6777/crypto-trackergongorgojocom/", "shell_version_map": {
+ "42": {"version": "3", "sha256": "1hbgfnflra98l31rm0vb6x6h69x6621nyyfdr653kp8f4gi4711z", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgaXMgYSBzaW1wbGUgR25vbWUtU2hlbGwgZXh0ZW5zaW9uIGRlc2lnbmVkIHRvIHRyYWNrIGNyeXB0b2N1cnJlbmN5IHByaWNlcy5cblxuU3VwcG9ydGVkIHBhaXJzIGluY2x1ZGU6XG4tIEJUQy9VU0Rcbi0gQlRDL0VVUlxuLSBFVEgvVVNEXG4tIEVUSC9FVVIgIFxuXG5UaGUgZXh0ZW5zaW9uIHJlZnJlc2hlcyBwcmljZXMgZXZlcnkgNSBtaW51dGVzIHVzaW5nIHRoZSBDb2luR2Vja28gQVBJIHRvIHJldHJpZXZlIGRhdGEuIiwKICAibmFtZSI6ICJTaW1wbGUgQ3J5cHRvIFRyYWNrZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9Hb256YWxvR29yZ29qby9Hbm9tZS1TaGVsbC1DcnlwdG8tVHJhY2tlciIsCiAgInV1aWQiOiAiY3J5cHRvLXRyYWNrZXJAZ29uZ29yZ29qby5jb20iLAogICJ2ZXJzaW9uIjogMwp9"},
+ "43": {"version": "3", "sha256": "1hbgfnflra98l31rm0vb6x6h69x6621nyyfdr653kp8f4gi4711z", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgaXMgYSBzaW1wbGUgR25vbWUtU2hlbGwgZXh0ZW5zaW9uIGRlc2lnbmVkIHRvIHRyYWNrIGNyeXB0b2N1cnJlbmN5IHByaWNlcy5cblxuU3VwcG9ydGVkIHBhaXJzIGluY2x1ZGU6XG4tIEJUQy9VU0Rcbi0gQlRDL0VVUlxuLSBFVEgvVVNEXG4tIEVUSC9FVVIgIFxuXG5UaGUgZXh0ZW5zaW9uIHJlZnJlc2hlcyBwcmljZXMgZXZlcnkgNSBtaW51dGVzIHVzaW5nIHRoZSBDb2luR2Vja28gQVBJIHRvIHJldHJpZXZlIGRhdGEuIiwKICAibmFtZSI6ICJTaW1wbGUgQ3J5cHRvIFRyYWNrZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9Hb256YWxvR29yZ29qby9Hbm9tZS1TaGVsbC1DcnlwdG8tVHJhY2tlciIsCiAgInV1aWQiOiAiY3J5cHRvLXRyYWNrZXJAZ29uZ29yZ29qby5jb20iLAogICJ2ZXJzaW9uIjogMwp9"},
+ "44": {"version": "3", "sha256": "1hbgfnflra98l31rm0vb6x6h69x6621nyyfdr653kp8f4gi4711z", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgaXMgYSBzaW1wbGUgR25vbWUtU2hlbGwgZXh0ZW5zaW9uIGRlc2lnbmVkIHRvIHRyYWNrIGNyeXB0b2N1cnJlbmN5IHByaWNlcy5cblxuU3VwcG9ydGVkIHBhaXJzIGluY2x1ZGU6XG4tIEJUQy9VU0Rcbi0gQlRDL0VVUlxuLSBFVEgvVVNEXG4tIEVUSC9FVVIgIFxuXG5UaGUgZXh0ZW5zaW9uIHJlZnJlc2hlcyBwcmljZXMgZXZlcnkgNSBtaW51dGVzIHVzaW5nIHRoZSBDb2luR2Vja28gQVBJIHRvIHJldHJpZXZlIGRhdGEuIiwKICAibmFtZSI6ICJTaW1wbGUgQ3J5cHRvIFRyYWNrZXIiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQyIiwKICAgICI0MyIsCiAgICAiNDQiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9Hb256YWxvR29yZ29qby9Hbm9tZS1TaGVsbC1DcnlwdG8tVHJhY2tlciIsCiAgInV1aWQiOiAiY3J5cHRvLXRyYWNrZXJAZ29uZ29yZ29qby5jb20iLAogICJ2ZXJzaW9uIjogMwp9"}
+ }}
+, {"uuid": "Airpod-Battery-Monitor@maniacx.github.com", "name": "Airpod Battery Monitor", "pname": "airpod-battery-monitor", "description": "A Gnome extension for monitoring battery level of AirPods and Beats Bluetooth headset\n\nNote: This extension is not compatible with Bluetooth configurations in BR/EDR-only mode. Dual mode is required. Please refer to the Readme documentation for more information.\n\nhttps://maniacx.github.io/Airpod-Battery-Monitor/", "link": "https://extensions.gnome.org/extension/6778/airpod-battery-monitor/", "shell_version_map": {
+ "43": {"version": "9", "sha256": "00ypq3n2hfx0qf5ggv1fmx19kijyla771jy0gwcdm77nzwhs5y3r", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR25vbWUgZXh0ZW5zaW9uIGZvciBtb25pdG9yaW5nIGJhdHRlcnkgbGV2ZWwgb2YgQWlyUG9kcyBhbmQgQmVhdHMgQmx1ZXRvb3RoIGhlYWRzZXRcblxuTm90ZTogVGhpcyBleHRlbnNpb24gaXMgbm90IGNvbXBhdGlibGUgd2l0aCBCbHVldG9vdGggY29uZmlndXJhdGlvbnMgaW4gQlIvRURSLW9ubHkgbW9kZS4gRHVhbCBtb2RlIGlzIHJlcXVpcmVkLiBQbGVhc2UgcmVmZXIgdG8gdGhlIFJlYWRtZSBkb2N1bWVudGF0aW9uIGZvciBtb3JlIGluZm9ybWF0aW9uLlxuXG5odHRwczovL21hbmlhY3guZ2l0aHViLmlvL0FpcnBvZC1CYXR0ZXJ5LU1vbml0b3IvIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiQWlycG9kLUJhdHRlcnktTW9uaXRvckBtYW5pYWN4LmdpdGh1Yi5jb20iLAogICJuYW1lIjogIkFpcnBvZCBCYXR0ZXJ5IE1vbml0b3IiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuQWlycG9kLUJhdHRlcnktTW9uaXRvciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWFuaWFjeC9BaXJwb2QtQmF0dGVyeS1Nb25pdG9yIiwKICAidXVpZCI6ICJBaXJwb2QtQmF0dGVyeS1Nb25pdG9yQG1hbmlhY3guZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA5Cn0="},
+ "44": {"version": "9", "sha256": "00ypq3n2hfx0qf5ggv1fmx19kijyla771jy0gwcdm77nzwhs5y3r", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR25vbWUgZXh0ZW5zaW9uIGZvciBtb25pdG9yaW5nIGJhdHRlcnkgbGV2ZWwgb2YgQWlyUG9kcyBhbmQgQmVhdHMgQmx1ZXRvb3RoIGhlYWRzZXRcblxuTm90ZTogVGhpcyBleHRlbnNpb24gaXMgbm90IGNvbXBhdGlibGUgd2l0aCBCbHVldG9vdGggY29uZmlndXJhdGlvbnMgaW4gQlIvRURSLW9ubHkgbW9kZS4gRHVhbCBtb2RlIGlzIHJlcXVpcmVkLiBQbGVhc2UgcmVmZXIgdG8gdGhlIFJlYWRtZSBkb2N1bWVudGF0aW9uIGZvciBtb3JlIGluZm9ybWF0aW9uLlxuXG5odHRwczovL21hbmlhY3guZ2l0aHViLmlvL0FpcnBvZC1CYXR0ZXJ5LU1vbml0b3IvIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiQWlycG9kLUJhdHRlcnktTW9uaXRvckBtYW5pYWN4LmdpdGh1Yi5jb20iLAogICJuYW1lIjogIkFpcnBvZCBCYXR0ZXJ5IE1vbml0b3IiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuQWlycG9kLUJhdHRlcnktTW9uaXRvciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWFuaWFjeC9BaXJwb2QtQmF0dGVyeS1Nb25pdG9yIiwKICAidXVpZCI6ICJBaXJwb2QtQmF0dGVyeS1Nb25pdG9yQG1hbmlhY3guZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiA5Cn0="},
+ "45": {"version": "10", "sha256": "04bfpwhvkz55yilaw96dbkppn4i0h08x7v3wa4wplmhdlpslrah1", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkEgR25vbWUgZXh0ZW5zaW9uIGZvciBtb25pdG9yaW5nIGJhdHRlcnkgbGV2ZWwgb2YgQWlyUG9kcyBhbmQgQmVhdHMgQmx1ZXRvb3RoIGhlYWRzZXRcblxuTm90ZTogVGhpcyBleHRlbnNpb24gaXMgbm90IGNvbXBhdGlibGUgd2l0aCBCbHVldG9vdGggY29uZmlndXJhdGlvbnMgaW4gQlIvRURSLW9ubHkgbW9kZS4gRHVhbCBtb2RlIGlzIHJlcXVpcmVkLiBQbGVhc2UgcmVmZXIgdG8gdGhlIFJlYWRtZSBkb2N1bWVudGF0aW9uIGZvciBtb3JlIGluZm9ybWF0aW9uLlxuXG5odHRwczovL21hbmlhY3guZ2l0aHViLmlvL0FpcnBvZC1CYXR0ZXJ5LU1vbml0b3IvIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiQWlycG9kLUJhdHRlcnktTW9uaXRvckBtYW5pYWN4LmdpdGh1Yi5jb20iLAogICJuYW1lIjogIkFpcnBvZCBCYXR0ZXJ5IE1vbml0b3IiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMuQWlycG9kLUJhdHRlcnktTW9uaXRvciIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiLAogICAgIjQ2IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vbWFuaWFjeC9BaXJwb2QtQmF0dGVyeS1Nb25pdG9yIiwKICAidXVpZCI6ICJBaXJwb2QtQmF0dGVyeS1Nb25pdG9yQG1hbmlhY3guZ2l0aHViLmNvbSIsCiAgInZlcnNpb24iOiAxMAp9"}
+ }}
+, {"uuid": "night-light-slider-updated@vilsbeg.codeberg.org", "name": "Night Light Slider Updated", "pname": "night-light-slider-updated", "description": "Kiyui's Night Light Slider updated for GNOME 45. A GNOME extension to manage the built-in night light temperature. Original implementation: https://codeberg.org/vilsbeg/night-light-slider-updated/", "link": "https://extensions.gnome.org/extension/6781/night-light-slider-updated/", "shell_version_map": {
+ "45": {"version": "9", "sha256": "1c88dzp9k5krd5b4569s9c4aas86djzqwahdif5kaymi7x8cp9rs", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIktpeXVpJ3MgTmlnaHQgTGlnaHQgU2xpZGVyIHVwZGF0ZWQgZm9yIEdOT01FIDQ1LiBBIEdOT01FIGV4dGVuc2lvbiB0byBtYW5hZ2UgdGhlIGJ1aWx0LWluIG5pZ2h0IGxpZ2h0IHRlbXBlcmF0dXJlLiBPcmlnaW5hbCBpbXBsZW1lbnRhdGlvbjogaHR0cHM6Ly9jb2RlYmVyZy5vcmcvdmlsc2JlZy9uaWdodC1saWdodC1zbGlkZXItdXBkYXRlZC8iLAogICJuYW1lIjogIk5pZ2h0IExpZ2h0IFNsaWRlciBVcGRhdGVkIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLm5pZ2h0bGlnaHRzbGlkZXJ1cGRhdGVkIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIsCiAgICAiNDYiCiAgXSwKICAidXJsIjogImh0dHBzOi8vY29kZWJlcmcub3JnL3ZpbHNiZWcvbmlnaHQtbGlnaHQtc2xpZGVyLXVwZGF0ZWQiLAogICJ1dWlkIjogIm5pZ2h0LWxpZ2h0LXNsaWRlci11cGRhdGVkQHZpbHNiZWcuY29kZWJlcmcub3JnIiwKICAidmVyc2lvbiI6IDkKfQ=="}
+ }}
+, {"uuid": "wiggle@mechtifs", "name": "Wiggle", "pname": "wiggle", "description": "Wiggle is a GNOME 45+ port/fix for Jiggle. Wiggle magnifies the cursor when the mouse is moved rapidly.", "link": "https://extensions.gnome.org/extension/6784/wiggle/", "shell_version_map": {
+ "45": {"version": "2", "sha256": "14vi9cd9qm6f6z1krhh6s4l8z0121aayf1jmkgaj6i52i7559cmw", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIldpZ2dsZSBpcyBhIEdOT01FIFNoZWxsIGV4dGVuc2lvbiB0aGF0IG1hZ25pZmllcyB0aGUgY3Vyc29yIHdoZW4gdGhlIG1vdXNlIGlzIG1vdmVkIHJhcGlkbHkuIiwKICAiZ2V0dGV4dC1kb21haW4iOiAiZ25vbWUtc2hlbGwtZXh0ZW5zaW9uLXdpZ2dsZSIsCiAgIm5hbWUiOiAiV2lnZ2xlIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLndpZ2dsZSIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZ2l0aHViLmNvbS9tZWNodGlmcy93aWdnbGUiLAogICJ1dWlkIjogIndpZ2dsZUBtZWNodGlmcyIsCiAgInZlcnNpb24iOiAyCn0="}
+ }}
+, {"uuid": "favorite-apps@venovar.tr", "name": "Favorite Apps Menu on Top Bar Panel", "pname": "show-favorite-apps", "description": "This extension adds a favorite applications menu panel to top bar", "link": "https://extensions.gnome.org/extension/6787/show-favorite-apps/", "shell_version_map": {
+ "45": {"version": "5", "sha256": "1cg40w8qg419dqividgp95gvl3z2szdpbnv7mzh3vbfknqxk8wvl", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlRoaXMgZXh0ZW5zaW9uIGFkZHMgYSBmYXZvcml0ZSBhcHBsaWNhdGlvbnMgcGFuZWwgdG8gdG9wIGJhciIsCiAgIm5hbWUiOiAiRmF2b3JpdGUgQXBwcyBvbiBUb3AgQmFyIFBhbmVsIiwKICAic2V0dGluZ3Mtc2NoZW1hIjogIm9yZy5nbm9tZS5zaGVsbC5leHRlbnNpb25zLnZlbmZhdmFwcCIsCiAgInNoZWxsLXZlcnNpb24iOiBbCiAgICAiNDUiCiAgXSwKICAidXJsIjogImh0dHBzOi8vZXh0ZW5zaW9ucy5nbm9tZS5vcmciLAogICJ1dWlkIjogImZhdm9yaXRlLWFwcHNAdmVub3Zhci50ciIsCiAgInZlcnNpb24iOiA1Cn0="}
+ }}
+, {"uuid": "better-end-session-dialog@popov895.ukr.net", "name": "Better End Session Dialog", "pname": "better-end-session-dialog", "description": "Show logout/reboot/shutdown together in the end session dialog", "link": "https://extensions.gnome.org/extension/6796/better-end-session-dialog/", "shell_version_map": {
+ "42": {"version": "5", "sha256": "0gik40cndh35hjjdifz4p04cj74p4k3g2lhvp7q27v19jlzjimxh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgbG9nb3V0L3JlYm9vdC9zaHV0ZG93biB0b2dldGhlciBpbiB0aGUgZW5kIHNlc3Npb24gZGlhbG9nIiwKICAiZG9uYXRpb25zIjogewogICAgImJ1eW1lYWNvZmZlZSI6ICJwb3Bvdjg5NWEiCiAgfSwKICAibmFtZSI6ICJCZXR0ZXIgRW5kIFNlc3Npb24gRGlhbG9nIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vcG9wb3Y4OTUvYmV0dGVyLWVuZC1zZXNzaW9uLWRpYWxvZyIsCiAgInV1aWQiOiAiYmV0dGVyLWVuZC1zZXNzaW9uLWRpYWxvZ0Bwb3Bvdjg5NS51a3IubmV0IiwKICAidmVyc2lvbiI6IDUKfQ=="},
+ "43": {"version": "5", "sha256": "0gik40cndh35hjjdifz4p04cj74p4k3g2lhvp7q27v19jlzjimxh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgbG9nb3V0L3JlYm9vdC9zaHV0ZG93biB0b2dldGhlciBpbiB0aGUgZW5kIHNlc3Npb24gZGlhbG9nIiwKICAiZG9uYXRpb25zIjogewogICAgImJ1eW1lYWNvZmZlZSI6ICJwb3Bvdjg5NWEiCiAgfSwKICAibmFtZSI6ICJCZXR0ZXIgRW5kIFNlc3Npb24gRGlhbG9nIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vcG9wb3Y4OTUvYmV0dGVyLWVuZC1zZXNzaW9uLWRpYWxvZyIsCiAgInV1aWQiOiAiYmV0dGVyLWVuZC1zZXNzaW9uLWRpYWxvZ0Bwb3Bvdjg5NS51a3IubmV0IiwKICAidmVyc2lvbiI6IDUKfQ=="},
+ "44": {"version": "5", "sha256": "0gik40cndh35hjjdifz4p04cj74p4k3g2lhvp7q27v19jlzjimxh", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgbG9nb3V0L3JlYm9vdC9zaHV0ZG93biB0b2dldGhlciBpbiB0aGUgZW5kIHNlc3Npb24gZGlhbG9nIiwKICAiZG9uYXRpb25zIjogewogICAgImJ1eW1lYWNvZmZlZSI6ICJwb3Bvdjg5NWEiCiAgfSwKICAibmFtZSI6ICJCZXR0ZXIgRW5kIFNlc3Npb24gRGlhbG9nIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0MiIsCiAgICAiNDMiLAogICAgIjQ0IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vcG9wb3Y4OTUvYmV0dGVyLWVuZC1zZXNzaW9uLWRpYWxvZyIsCiAgInV1aWQiOiAiYmV0dGVyLWVuZC1zZXNzaW9uLWRpYWxvZ0Bwb3Bvdjg5NS51a3IubmV0IiwKICAidmVyc2lvbiI6IDUKfQ=="},
+ "45": {"version": "6", "sha256": "03j73jipsf4sldkg98bns2hwydcrx3qvv1pa8d8jn8a5v6fm4vaf", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIlNob3cgbG9nb3V0L3JlYm9vdC9zaHV0ZG93biB0b2dldGhlciBpbiB0aGUgZW5kIHNlc3Npb24gZGlhbG9nIiwKICAiZG9uYXRpb25zIjogewogICAgImJ1eW1lYWNvZmZlZSI6ICJwb3Bvdjg5NWEiCiAgfSwKICAibmFtZSI6ICJCZXR0ZXIgRW5kIFNlc3Npb24gRGlhbG9nIiwKICAic2hlbGwtdmVyc2lvbiI6IFsKICAgICI0NSIKICBdLAogICJ1cmwiOiAiaHR0cHM6Ly9naXRodWIuY29tL3BvcG92ODk1L2JldHRlci1lbmQtc2Vzc2lvbi1kaWFsb2ciLAogICJ1dWlkIjogImJldHRlci1lbmQtc2Vzc2lvbi1kaWFsb2dAcG9wb3Y4OTUudWtyLm5ldCIsCiAgInZlcnNpb24iOiA2Cn0="}
+ }}
+, {"uuid": "layout-hotkeys@max.post.space.gmail.com", "name": "Layout Hotkeys", "pname": "layout-hotkeys", "description": "Add hotkeys to switch layouts directly via Shift + Alt + [1-9].", "link": "https://extensions.gnome.org/extension/6804/layout-hotkeys/", "shell_version_map": {
+ "45": {"version": "1", "sha256": "1w85nx17ggalvjd1lrj2dkhc1xkmvc1jv45vb2cvxvxpb7c4ji3k", "metadata": "ewogICJfZ2VuZXJhdGVkIjogIkdlbmVyYXRlZCBieSBTd2VldFRvb3RoLCBkbyBub3QgZWRpdCIsCiAgImRlc2NyaXB0aW9uIjogIkFkZCBob3RrZXlzIHRvIHN3aXRjaCBsYXlvdXRzIGRpcmVjdGx5IHZpYSBTaGlmdCArIEFsdCArIFsxLTldLiIsCiAgIm5hbWUiOiAiTGF5b3V0IEhvdGtleXMiLAogICJzZXR0aW5ncy1zY2hlbWEiOiAib3JnLmdub21lLnNoZWxsLmV4dGVuc2lvbnMubGF5b3V0LWhvdGtleXMiLAogICJzaGVsbC12ZXJzaW9uIjogWwogICAgIjQ1IgogIF0sCiAgInVybCI6ICJodHRwczovL2dpdGh1Yi5jb20vTXJEdm9yYWsvbGF5b3V0LWhvdGtleXMiLAogICJ1dWlkIjogImxheW91dC1ob3RrZXlzQG1heC5wb3N0LnNwYWNlLmdtYWlsLmNvbSIsCiAgInZlcnNpb24iOiAxCn0="}
}}
]
diff --git a/pkgs/desktops/gnome/extensions/manuallyPackaged.nix b/pkgs/desktops/gnome/extensions/manuallyPackaged.nix
index c0dc65bb3fa6..376a4a09bad8 100644
--- a/pkgs/desktops/gnome/extensions/manuallyPackaged.nix
+++ b/pkgs/desktops/gnome/extensions/manuallyPackaged.nix
@@ -6,7 +6,6 @@
"drop-down-terminal@gs-extensions.zzrough.org" = callPackage ./drop-down-terminal { };
"EasyScreenCast@iacopodeenosee.gmail.com" = callPackage ./EasyScreenCast { };
"gsconnect@andyholmes.github.io" = callPackage ./gsconnect { };
- "icon-hider@kalnitsky.org" = callPackage ./icon-hider { };
"impatience@gfxmonk.net" = callPackage ./impatience { };
"no-title-bar@jonaspoehler.de" = callPackage ./no-title-bar { };
"pidgin@muffinmad" = callPackage ./pidgin-im-integration { };
diff --git a/pkgs/desktops/gnome/extensions/unite/default.nix b/pkgs/desktops/gnome/extensions/unite/default.nix
index ef975ffddf45..36913e3d4e57 100644
--- a/pkgs/desktops/gnome/extensions/unite/default.nix
+++ b/pkgs/desktops/gnome/extensions/unite/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "gnome-shell-extension-unite";
- version = "77";
+ version = "78";
src = fetchFromGitHub {
owner = "hardpixel";
repo = "unite-shell";
rev = "v${version}";
- hash = "sha256-5PClGWOxqwTVaqBySu5I+qavaV1vcKHUvoYJ3Qgcq2o=";
+ hash = "sha256-4fOCgStMPzUg2QxYeX6tU/WUaGOn1YUyheZp6YNeODA=";
};
passthru = {
diff --git a/pkgs/desktops/gnome/extensions/valent/default.nix b/pkgs/desktops/gnome/extensions/valent/default.nix
index 7bb529b743bb..e9b5c14f14b2 100644
--- a/pkgs/desktops/gnome/extensions/valent/default.nix
+++ b/pkgs/desktops/gnome/extensions/valent/default.nix
@@ -26,12 +26,12 @@ stdenv.mkDerivation rec {
extensionPortalSlug = "valent";
};
- meta = with lib; {
+ meta = {
description = "GNOME Shell integration for Valent";
homepage = "https://valent.andyholmes.ca/";
changelog = "https://github.com/andyholmes/gnome-shell-extension-valent/blob/${src.rev}/CHANGELOG.md";
- license = licenses.gpl3Plus;
- maintainers = [ maintainers.federicoschonborn ];
- platforms = platforms.linux;
+ license = lib.licenses.gpl3Plus;
+ maintainers = with lib.maintainers; [ federicoschonborn ];
+ platforms = lib.platforms.linux;
};
}
diff --git a/pkgs/desktops/gnome/games/aisleriot/default.nix b/pkgs/desktops/gnome/games/aisleriot/default.nix
index a56d9e4569b1..e0a372272781 100644
--- a/pkgs/desktops/gnome/games/aisleriot/default.nix
+++ b/pkgs/desktops/gnome/games/aisleriot/default.nix
@@ -67,6 +67,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Aisleriot";
description = "A collection of patience games written in guile scheme";
+ mainProgram = "sol";
maintainers = teams.gnome.members;
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/gnome/games/atomix/default.nix b/pkgs/desktops/gnome/games/atomix/default.nix
index 0d43c8e2ee7d..2e72d4300970 100644
--- a/pkgs/desktops/gnome/games/atomix/default.nix
+++ b/pkgs/desktops/gnome/games/atomix/default.nix
@@ -53,6 +53,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "Puzzle game where you move atoms to build a molecule";
+ mainProgram = "atomix";
homepage = "https://wiki.gnome.org/Apps/Atomix";
license = licenses.gpl2Plus;
maintainers = teams.gnome.members;
diff --git a/pkgs/desktops/gnome/games/five-or-more/default.nix b/pkgs/desktops/gnome/games/five-or-more/default.nix
index 2b264442eab1..749f0de9ac36 100644
--- a/pkgs/desktops/gnome/games/five-or-more/default.nix
+++ b/pkgs/desktops/gnome/games/five-or-more/default.nix
@@ -58,6 +58,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Five_or_more";
description = "Remove colored balls from the board by forming lines";
+ mainProgram = "five-or-more";
maintainers = teams.gnome.members;
license = licenses.gpl2;
platforms = platforms.unix;
diff --git a/pkgs/desktops/gnome/games/four-in-a-row/default.nix b/pkgs/desktops/gnome/games/four-in-a-row/default.nix
index a85da0499215..cc866abde224 100644
--- a/pkgs/desktops/gnome/games/four-in-a-row/default.nix
+++ b/pkgs/desktops/gnome/games/four-in-a-row/default.nix
@@ -32,6 +32,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Four-in-a-row";
description = "Make lines of the same color to win";
+ mainProgram = "four-in-a-row";
maintainers = teams.gnome.members;
license = licenses.gpl2;
platforms = platforms.unix;
diff --git a/pkgs/desktops/gnome/games/gnome-2048/default.nix b/pkgs/desktops/gnome/games/gnome-2048/default.nix
index 137521c8bba3..563d298883ca 100644
--- a/pkgs/desktops/gnome/games/gnome-2048/default.nix
+++ b/pkgs/desktops/gnome/games/gnome-2048/default.nix
@@ -58,6 +58,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/2048";
description = "Obtain the 2048 tile";
+ mainProgram = "gnome-2048";
maintainers = teams.gnome.members;
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/gnome/games/gnome-chess/default.nix b/pkgs/desktops/gnome/games/gnome-chess/default.nix
index 43b4628d2bb6..41599e6cf0fe 100644
--- a/pkgs/desktops/gnome/games/gnome-chess/default.nix
+++ b/pkgs/desktops/gnome/games/gnome-chess/default.nix
@@ -59,6 +59,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Chess";
description = "Play the classic two-player boardgame of chess";
+ mainProgram = "gnome-chess";
maintainers = teams.gnome.members;
license = licenses.gpl3Plus;
platforms = platforms.unix;
diff --git a/pkgs/desktops/gnome/games/gnome-klotski/default.nix b/pkgs/desktops/gnome/games/gnome-klotski/default.nix
index bdfa9f8ed8f7..8545fefaa539 100644
--- a/pkgs/desktops/gnome/games/gnome-klotski/default.nix
+++ b/pkgs/desktops/gnome/games/gnome-klotski/default.nix
@@ -34,6 +34,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Klotski";
description = "Slide blocks to solve the puzzle";
+ mainProgram = "gnome-klotski";
maintainers = teams.gnome.members;
license = licenses.gpl2;
platforms = platforms.unix;
diff --git a/pkgs/desktops/gnome/games/gnome-mahjongg/default.nix b/pkgs/desktops/gnome/games/gnome-mahjongg/default.nix
index 4ca1a535f186..381af6c0d2a6 100644
--- a/pkgs/desktops/gnome/games/gnome-mahjongg/default.nix
+++ b/pkgs/desktops/gnome/games/gnome-mahjongg/default.nix
@@ -56,6 +56,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Mahjongg";
description = "Disassemble a pile of tiles by removing matching pairs";
+ mainProgram = "gnome-mahjongg";
maintainers = teams.gnome.members;
license = licenses.gpl3Plus;
platforms = platforms.unix;
diff --git a/pkgs/desktops/gnome/games/gnome-mines/default.nix b/pkgs/desktops/gnome/games/gnome-mines/default.nix
index 4640c5842493..7594b94c7aa7 100644
--- a/pkgs/desktops/gnome/games/gnome-mines/default.nix
+++ b/pkgs/desktops/gnome/games/gnome-mines/default.nix
@@ -31,6 +31,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Mines";
description = "Clear hidden mines from a minefield";
+ mainProgram = "gnome-mines";
maintainers = teams.gnome.members;
license = licenses.gpl3;
platforms = platforms.unix;
diff --git a/pkgs/desktops/gnome/games/gnome-nibbles/default.nix b/pkgs/desktops/gnome/games/gnome-nibbles/default.nix
index cf7a0038c145..c0a9e3d2692a 100644
--- a/pkgs/desktops/gnome/games/gnome-nibbles/default.nix
+++ b/pkgs/desktops/gnome/games/gnome-nibbles/default.nix
@@ -56,6 +56,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "Guide a worm around a maze";
+ mainProgram = "gnome-nibbles";
homepage = "https://wiki.gnome.org/Apps/Nibbles";
license = licenses.gpl2Plus;
maintainers = teams.gnome.members;
diff --git a/pkgs/desktops/gnome/games/gnome-robots/default.nix b/pkgs/desktops/gnome/games/gnome-robots/default.nix
index e8b5d48efca1..75f86f2c8842 100644
--- a/pkgs/desktops/gnome/games/gnome-robots/default.nix
+++ b/pkgs/desktops/gnome/games/gnome-robots/default.nix
@@ -66,6 +66,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Robots";
description = "Avoid the robots and make them crash into each other";
+ mainProgram = "gnome-robots";
maintainers = teams.gnome.members;
license = licenses.gpl3Plus;
platforms = platforms.unix;
diff --git a/pkgs/desktops/gnome/games/gnome-sudoku/default.nix b/pkgs/desktops/gnome/games/gnome-sudoku/default.nix
index 36378775c69d..8cd6201b16d7 100644
--- a/pkgs/desktops/gnome/games/gnome-sudoku/default.nix
+++ b/pkgs/desktops/gnome/games/gnome-sudoku/default.nix
@@ -59,6 +59,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Sudoku";
description = "Test your logic skills in this number grid puzzle";
+ mainProgram = "gnome-sudoku";
maintainers = teams.gnome.members;
license = licenses.gpl3Plus;
platforms = platforms.unix;
diff --git a/pkgs/desktops/gnome/games/gnome-taquin/default.nix b/pkgs/desktops/gnome/games/gnome-taquin/default.nix
index e982f83f3ff7..b2c65ecb6c2d 100644
--- a/pkgs/desktops/gnome/games/gnome-taquin/default.nix
+++ b/pkgs/desktops/gnome/games/gnome-taquin/default.nix
@@ -68,6 +68,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Taquin";
description = "Move tiles so that they reach their places";
+ mainProgram = "gnome-taquin";
maintainers = teams.gnome.members;
license = licenses.gpl3Plus;
platforms = platforms.unix;
diff --git a/pkgs/desktops/gnome/games/gnome-tetravex/default.nix b/pkgs/desktops/gnome/games/gnome-tetravex/default.nix
index 46e512ad316a..3527f8b54115 100644
--- a/pkgs/desktops/gnome/games/gnome-tetravex/default.nix
+++ b/pkgs/desktops/gnome/games/gnome-tetravex/default.nix
@@ -69,6 +69,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Tetravex";
description = "Complete the puzzle by matching numbered tiles";
+ mainProgram = "gnome-tetravex";
maintainers = teams.gnome.members;
license = licenses.gpl2;
platforms = platforms.unix;
diff --git a/pkgs/desktops/gnome/games/hitori/default.nix b/pkgs/desktops/gnome/games/hitori/default.nix
index e416a7f0343f..d22ded0e5bf4 100644
--- a/pkgs/desktops/gnome/games/hitori/default.nix
+++ b/pkgs/desktops/gnome/games/hitori/default.nix
@@ -58,6 +58,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Hitori";
description = "GTK application to generate and let you play games of Hitori";
+ mainProgram = "hitori";
maintainers = teams.gnome.members;
license = licenses.gpl3Plus;
platforms = platforms.unix;
diff --git a/pkgs/desktops/gnome/games/iagno/default.nix b/pkgs/desktops/gnome/games/iagno/default.nix
index eb27430be4e9..e409b04c0a9a 100644
--- a/pkgs/desktops/gnome/games/iagno/default.nix
+++ b/pkgs/desktops/gnome/games/iagno/default.nix
@@ -70,6 +70,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Iagno";
description = "Computer version of the game Reversi, more popularly called Othello";
+ mainProgram = "iagno";
maintainers = teams.gnome.members;
license = licenses.gpl3Plus;
platforms = platforms.unix;
diff --git a/pkgs/desktops/gnome/games/lightsoff/default.nix b/pkgs/desktops/gnome/games/lightsoff/default.nix
index fa9eb5d20812..52b2cec7e105 100644
--- a/pkgs/desktops/gnome/games/lightsoff/default.nix
+++ b/pkgs/desktops/gnome/games/lightsoff/default.nix
@@ -32,6 +32,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Lightsoff";
description = "Puzzle game, where the objective is to turn off all of the tiles on the board";
+ mainProgram = "lightsoff";
maintainers = teams.gnome.members;
license = licenses.gpl2;
platforms = platforms.unix;
diff --git a/pkgs/desktops/gnome/games/quadrapassel/default.nix b/pkgs/desktops/gnome/games/quadrapassel/default.nix
index 956f9f842d3d..c3a883306bd5 100644
--- a/pkgs/desktops/gnome/games/quadrapassel/default.nix
+++ b/pkgs/desktops/gnome/games/quadrapassel/default.nix
@@ -65,6 +65,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Classic falling-block game, Tetris";
+ mainProgram = "quadrapassel";
homepage = "https://wiki.gnome.org/Apps/Quadrapassel";
license = licenses.gpl2Plus;
maintainers = teams.gnome.members;
diff --git a/pkgs/desktops/gnome/games/swell-foop/default.nix b/pkgs/desktops/gnome/games/swell-foop/default.nix
index 61d3e2c0bb67..98a01140fd8e 100644
--- a/pkgs/desktops/gnome/games/swell-foop/default.nix
+++ b/pkgs/desktops/gnome/games/swell-foop/default.nix
@@ -64,6 +64,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Swell%20Foop";
description = "Puzzle game, previously known as Same GNOME";
+ mainProgram = "swell-foop";
maintainers = teams.gnome.members;
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/gnome/games/tali/default.nix b/pkgs/desktops/gnome/games/tali/default.nix
index f5c1e83ee4d0..baac54eab9c1 100644
--- a/pkgs/desktops/gnome/games/tali/default.nix
+++ b/pkgs/desktops/gnome/games/tali/default.nix
@@ -62,6 +62,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Tali";
description = "Sort of poker with dice and less money";
+ mainProgram = "tali";
maintainers = teams.gnome.members;
license = licenses.gpl2Plus;
platforms = platforms.unix;
diff --git a/pkgs/desktops/gnome/misc/gitg/default.nix b/pkgs/desktops/gnome/misc/gitg/default.nix
index e2d008a8697e..d454a2605893 100644
--- a/pkgs/desktops/gnome/misc/gitg/default.nix
+++ b/pkgs/desktops/gnome/misc/gitg/default.nix
@@ -98,6 +98,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Gitg";
description = "GNOME GUI client to view git repositories";
+ mainProgram = "gitg";
maintainers = with maintainers; [ domenkozar ];
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/gnome/misc/gnome-applets/default.nix b/pkgs/desktops/gnome/misc/gnome-applets/default.nix
index f59bce79728e..194ad991c5b3 100644
--- a/pkgs/desktops/gnome/misc/gnome-applets/default.nix
+++ b/pkgs/desktops/gnome/misc/gnome-applets/default.nix
@@ -72,6 +72,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Applets for use with the GNOME panel";
+ mainProgram = "cpufreq-selector";
homepage = "https://wiki.gnome.org/Projects/GnomeApplets";
license = licenses.gpl2Plus;
maintainers = teams.gnome.members;
diff --git a/pkgs/desktops/gnome/misc/gnome-extensions-cli/default.nix b/pkgs/desktops/gnome/misc/gnome-extensions-cli/default.nix
index 78ff97b1ae1f..03f5075a791f 100644
--- a/pkgs/desktops/gnome/misc/gnome-extensions-cli/default.nix
+++ b/pkgs/desktops/gnome/misc/gnome-extensions-cli/default.nix
@@ -1,41 +1,47 @@
{ lib
, fetchPypi
, buildPythonApplication
+, pythonRelaxDepsHook
, poetry-core
, colorama
-, more-itertools
, packaging
, pydantic
, requests
, pygobject3
+, tqdm
, gobject-introspection
, wrapGAppsNoGuiHook
}:
buildPythonApplication rec {
pname = "gnome-extensions-cli";
- version = "0.9.5";
+ version = "0.10.1";
format = "pyproject";
src = fetchPypi {
pname = "gnome_extensions_cli";
inherit version;
- hash = "sha256-4eRVmG5lqK8ql9WpvXsf18znOt7kDSnpQnLfy73doy4=";
+ hash = "sha256-yAoo3NjNtTZSHmbLKzW2X7Cy2smLNp8/9vo+OPGxlVY=";
};
nativeBuildInputs = [
gobject-introspection
poetry-core
wrapGAppsNoGuiHook
+ pythonRelaxDepsHook
+ ];
+
+ pythonRelaxDeps = [
+ "more-itertools"
];
propagatedBuildInputs = [
colorama
- more-itertools
packaging
pydantic
requests
pygobject3
+ tqdm
];
pythonImportsCheck = [
diff --git a/pkgs/desktops/gnome/misc/gnome-flashback/default.nix b/pkgs/desktops/gnome/misc/gnome-flashback/default.nix
index f1930830938c..baf25a27b948 100644
--- a/pkgs/desktops/gnome/misc/gnome-flashback/default.nix
+++ b/pkgs/desktops/gnome/misc/gnome-flashback/default.nix
@@ -184,6 +184,7 @@ let
meta = with lib; {
description = "GNOME 2.x-like session for GNOME 3";
+ mainProgram = "gnome-flashback";
homepage = "https://wiki.gnome.org/Projects/GnomeFlashback";
license = licenses.gpl2;
maintainers = teams.gnome.members;
diff --git a/pkgs/desktops/gnome/misc/gnome-panel/default.nix b/pkgs/desktops/gnome/misc/gnome-panel/default.nix
index 3e84f442d6a3..4d988de173a2 100644
--- a/pkgs/desktops/gnome/misc/gnome-panel/default.nix
+++ b/pkgs/desktops/gnome/misc/gnome-panel/default.nix
@@ -99,6 +99,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Component of Gnome Flashback that provides panels and default applets for the desktop";
+ mainProgram = "gnome-panel";
homepage = "https://wiki.gnome.org/Projects/GnomePanel";
license = licenses.gpl2Plus;
maintainers = teams.gnome.members;
diff --git a/pkgs/desktops/gnome/misc/gnome-tweaks/default.nix b/pkgs/desktops/gnome/misc/gnome-tweaks/default.nix
index 54c0a89d30a8..8489198b2315 100644
--- a/pkgs/desktops/gnome/misc/gnome-tweaks/default.nix
+++ b/pkgs/desktops/gnome/misc/gnome-tweaks/default.nix
@@ -82,6 +82,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
homepage = "https://wiki.gnome.org/Apps/Tweaks";
description = "A tool to customize advanced GNOME 3 options";
+ mainProgram = "gnome-tweaks";
maintainers = teams.gnome.members;
license = licenses.gpl3Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/gnome/misc/gpaste/default.nix b/pkgs/desktops/gnome/misc/gpaste/default.nix
index 2f66d742f969..e98c861f1267 100644
--- a/pkgs/desktops/gnome/misc/gpaste/default.nix
+++ b/pkgs/desktops/gnome/misc/gpaste/default.nix
@@ -82,6 +82,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/Keruspe/GPaste";
description = "Clipboard management system with GNOME 3 integration";
+ mainProgram = "gpaste-client";
license = licenses.gpl3;
platforms = platforms.linux;
maintainers = teams.gnome.members;
diff --git a/pkgs/desktops/gnome/misc/pomodoro/default.nix b/pkgs/desktops/gnome/misc/pomodoro/default.nix
index ab1513305197..9a1697c2332b 100644
--- a/pkgs/desktops/gnome/misc/pomodoro/default.nix
+++ b/pkgs/desktops/gnome/misc/pomodoro/default.nix
@@ -71,6 +71,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://gnomepomodoro.org/";
description = "Time management utility for GNOME based on the pomodoro technique";
+ mainProgram = "gnome-pomodoro";
longDescription = ''
This GNOME utility helps to manage time according to Pomodoro Technique.
It intends to improve productivity and focus by taking short breaks.
diff --git a/pkgs/desktops/gnustep/back/default.nix b/pkgs/desktops/gnustep/back/default.nix
index 867c82881c1a..fc3bc9bed928 100644
--- a/pkgs/desktops/gnustep/back/default.nix
+++ b/pkgs/desktops/gnustep/back/default.nix
@@ -1,7 +1,11 @@
-{ gsmakeDerivation
+{ lib
+, stdenv
+, make
+, wrapGNUstepAppsHook
, cairo
, fetchzip
-, base, gui
+, base
+, gui
, fontconfig
, freetype
, pkg-config
@@ -9,18 +13,24 @@
, libXmu
}:
-gsmakeDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "gnustep-back";
version = "0.30.0";
src = fetchzip {
- url = "ftp://ftp.gnustep.org/pub/gnustep/core/${pname}-${version}.tar.gz";
+ url = "ftp://ftp.gnustep.org/pub/gnustep/core/gnustep-back-${finalAttrs.version}.tar.gz";
sha256 = "sha256-HD4PLdkE573nPWqFwffUmcHw8VYIl5rLiPKWrbnwpCI=";
};
- nativeBuildInputs = [ pkg-config ];
+ nativeBuildInputs = [ make pkg-config wrapGNUstepAppsHook ];
buildInputs = [ cairo base gui fontconfig freetype libXft libXmu ];
+
meta = {
description = "A generic backend for GNUstep";
+ mainProgram = "gpbs";
+ homepage = "https://gnustep.github.io/";
+ license = lib.licenses.lgpl2Plus;
+ maintainers = with lib.maintainers; [ ashalkhakov matthewbauer dblsaiko ];
+ platforms = lib.platforms.linux;
};
-}
+})
diff --git a/pkgs/desktops/gnustep/base/default.nix b/pkgs/desktops/gnustep/base/default.nix
index 3803a88ce191..acda20c817d6 100644
--- a/pkgs/desktops/gnustep/base/default.nix
+++ b/pkgs/desktops/gnustep/base/default.nix
@@ -1,26 +1,40 @@
-{ aspell, audiofile
-, gsmakeDerivation
+{ lib
+, stdenv
+, aspell
+, audiofile
+, make
+, wrapGNUstepAppsHook
, cups
, fetchzip
, fetchpatch
-, gmp, gnutls
-, libffi, binutils-unwrapped
-, libjpeg, libtiff, libpng, giflib
-, libxml2, libxslt, libiconv
-, libobjc, libgcrypt
+, gmp
+, gnutls
+, libffi
+, binutils-unwrapped
+, libjpeg
+, libtiff
+, libpng
+, giflib
+, libxml2
+, libxslt
+, libiconv
+, libobjc
+, libgcrypt
, icu
-, pkg-config, portaudio
+, pkg-config
+, portaudio
, libiberty
}:
-gsmakeDerivation rec {
+
+stdenv.mkDerivation (finalAttrs: {
pname = "gnustep-base";
version = "1.29.0";
src = fetchzip {
- url = "ftp://ftp.gnustep.org/pub/gnustep/core/${pname}-${version}.tar.gz";
+ url = "ftp://ftp.gnustep.org/pub/gnustep/core/gnustep-base-${finalAttrs.version}.tar.gz";
hash = "sha256-4fjdsLBsYEDxLOFrq17dKii2sLKvOaFCu0cw3qQtM5U=";
};
outputs = [ "out" "dev" "lib" ];
- nativeBuildInputs = [ pkg-config ];
+ nativeBuildInputs = [ pkg-config make wrapGNUstepAppsHook ];
propagatedBuildInputs = [
aspell audiofile
cups
@@ -55,7 +69,11 @@ gsmakeDerivation rec {
];
meta = {
+ changelog = "https://github.com/gnustep/libs-base/releases/tag/base-${builtins.replaceStrings [ "." ] [ "_" ] finalAttrs.version}";
description = "An implementation of AppKit and Foundation libraries of OPENSTEP and Cocoa";
- changelog = "https://github.com/gnustep/libs-base/releases/tag/base-${builtins.replaceStrings [ "." ] [ "_" ] version}";
+ homepage = "https://gnustep.github.io/";
+ license = lib.licenses.lgpl2Plus;
+ maintainers = with lib.maintainers; [ ashalkhakov matthewbauer dblsaiko ];
+ platforms = lib.platforms.linux;
};
-}
+})
diff --git a/pkgs/desktops/gnustep/default.nix b/pkgs/desktops/gnustep/default.nix
index d337512dceca..53040ace672d 100644
--- a/pkgs/desktops/gnustep/default.nix
+++ b/pkgs/desktops/gnustep/default.nix
@@ -5,18 +5,21 @@
let
callPackage = newScope self;
- self = rec {
+ self = {
stdenv = llvmPackages.stdenv;
- gsmakeDerivation = callPackage ./make/gsmakeDerivation.nix {};
+ wrapGNUstepAppsHook = callPackage ./wrapGNUstepAppsHook.nix {};
+
+ make = callPackage ./make {};
+
+ libobjc = callPackage ./libobjc2 {};
+ base = callPackage ./base {};
+ back = callPackage ./back {};
+ gui = callPackage ./gui {};
+
gorm = callPackage ./gorm {};
projectcenter = callPackage ./projectcenter {};
system_preferences = callPackage ./systempreferences {};
- libobjc = callPackage ./libobjc2 {};
- make = callPackage ./make {};
- back = callPackage ./back {};
- base = callPackage ./base { };
- gui = callPackage ./gui {};
gworkspace = callPackage ./gworkspace {};
};
diff --git a/pkgs/desktops/gnustep/gorm/default.nix b/pkgs/desktops/gnustep/gorm/default.nix
index 0897ceefdba6..f48600263447 100644
--- a/pkgs/desktops/gnustep/gorm/default.nix
+++ b/pkgs/desktops/gnustep/gorm/default.nix
@@ -1,15 +1,31 @@
-{ fetchzip, base, back, gsmakeDerivation, gui }:
-gsmakeDerivation rec {
+{ lib
+, stdenv
+, fetchzip
+, base
+, back
+, make
+, wrapGNUstepAppsHook
+, gui
+}:
+
+stdenv.mkDerivation (finalAttrs: {
pname = "gorm";
version = "1.3.1";
src = fetchzip {
- url = "ftp://ftp.gnustep.org/pub/gnustep/dev-apps/gorm-${version}.tar.gz";
+ url = "ftp://ftp.gnustep.org/pub/gnustep/dev-apps/gorm-${finalAttrs.version}.tar.gz";
sha256 = "sha256-W+NgbvLjt1PpDiauhzWFaU1/CUhmDACQz+GoyRUyWB8=";
};
+
+ nativeBuildInputs = [ make wrapGNUstepAppsHook ];
buildInputs = [ base back gui ];
meta = {
description = "Graphical Object Relationship Modeller is an easy-to-use interface designer for GNUstep";
+ homepage = "https://gnustep.github.io/";
+ license = lib.licenses.lgpl2Plus;
+ mainProgram = "Gorm";
+ maintainers = with lib.maintainers; [ ashalkhakov matthewbauer dblsaiko ];
+ platforms = lib.platforms.linux;
};
-}
+})
diff --git a/pkgs/desktops/gnustep/gui/default.nix b/pkgs/desktops/gnustep/gui/default.nix
index 962d6eef7fde..448f4c60136a 100644
--- a/pkgs/desktops/gnustep/gui/default.nix
+++ b/pkgs/desktops/gnustep/gui/default.nix
@@ -1,19 +1,32 @@
-{ gsmakeDerivation, fetchzip, base }:
+{ lib
+, stdenv
+, make
+, wrapGNUstepAppsHook
+, fetchzip
+, base
+}:
-gsmakeDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
version = "0.30.0";
pname = "gnustep-gui";
src = fetchzip {
- url = "ftp://ftp.gnustep.org/pub/gnustep/core/${pname}-${version}.tar.gz";
+ url = "ftp://ftp.gnustep.org/pub/gnustep/core/gnustep-gui-${finalAttrs.version}.tar.gz";
sha256 = "sha256-24hL4TeIY6izlhQUcxKI0nXITysAPfRrncRqsDm2zNk=";
};
+
+ nativeBuildInputs = [ make wrapGNUstepAppsHook ];
buildInputs = [ base ];
+
patches = [
./fixup-all.patch
];
meta = {
+ changelog = "https://github.com/gnustep/libs-gui/releases/tag/gui-${builtins.replaceStrings [ "." ] [ "_" ] finalAttrs.version}";
description = "A GUI class library of GNUstep";
- changelog = "https://github.com/gnustep/libs-gui/releases/tag/gui-${builtins.replaceStrings [ "." ] [ "_" ] version}";
+ homepage = "https://gnustep.github.io/";
+ license = lib.licenses.lgpl2Plus;
+ maintainers = with lib.maintainers; [ ashalkhakov matthewbauer dblsaiko ];
+ platforms = lib.platforms.linux;
};
-}
+})
diff --git a/pkgs/desktops/gnustep/gworkspace/default.nix b/pkgs/desktops/gnustep/gworkspace/default.nix
index cdc6612d1c06..d2343dfb0113 100644
--- a/pkgs/desktops/gnustep/gworkspace/default.nix
+++ b/pkgs/desktops/gnustep/gworkspace/default.nix
@@ -1,22 +1,36 @@
-{ back, base, gui, gsmakeDerivation
+{ lib
+, stdenv
+, back
+, base
+, gui
+, make
+, wrapGNUstepAppsHook
, fetchurl
, system_preferences
}:
-let
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "gworkspace";
version = "1.0.0";
-in
-gsmakeDerivation {
- name = "gworkspace-${version}";
+
src = fetchurl {
- url = "ftp://ftp.gnustep.org/pub/gnustep/usr-apps/gworkspace-${version}.tar.gz";
+ url = "ftp://ftp.gnustep.org/pub/gnustep/usr-apps/gworkspace-${finalAttrs.version}.tar.gz";
sha256 = "sha256-M7dV7RVatw8gdYHQlRi5wNBd6MGT9GqW04R/DoKNu6I=";
};
+
# additional dependencies:
# - PDFKit framework from http://gap.nongnu.org/
# - TODO: to --enable-gwmetadata, need libDBKit as well as sqlite!
+ nativeBuildInputs = [ make wrapGNUstepAppsHook ];
buildInputs = [ back base gui system_preferences ];
configureFlags = [ "--with-inotify" ];
+
meta = {
description = "A workspace manager for GNUstep";
+ homepage = "https://gnustep.github.io/";
+ license = lib.licenses.lgpl2Plus;
+ mainProgram = "GWorkspace";
+ maintainers = with lib.maintainers; [ ashalkhakov matthewbauer dblsaiko ];
+ platforms = lib.platforms.linux;
};
-}
+})
diff --git a/pkgs/desktops/gnustep/libobjc2/default.nix b/pkgs/desktops/gnustep/libobjc2/default.nix
index b44e60ce233d..2e487fddf431 100644
--- a/pkgs/desktops/gnustep/libobjc2/default.nix
+++ b/pkgs/desktops/gnustep/libobjc2/default.nix
@@ -1,13 +1,17 @@
-{ stdenv, lib, fetchFromGitHub, cmake }:
+{ lib
+, stdenv
+, fetchFromGitHub
+, cmake
+}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "libobjc2";
version = "2.1";
src = fetchFromGitHub {
owner = "gnustep";
repo = "libobjc2";
- rev = "v${version}";
+ rev = "v${finalAttrs.version}";
hash = "sha256-iDOVEDnTAfg9r3/kdHp7hzX2oIjO1ovaqgrlIV7V68M=";
fetchSubmodules = true;
};
@@ -19,9 +23,9 @@ stdenv.mkDerivation rec {
meta = with lib; {
broken = stdenv.isDarwin;
description = "Objective-C runtime for use with GNUstep";
- homepage = "http://gnustep.org/";
+ homepage = "https://gnustep.github.io/";
license = licenses.mit;
- maintainers = with maintainers; [ ashalkhakov matthewbauer ];
+ maintainers = with lib.maintainers; [ ashalkhakov matthewbauer dblsaiko ];
platforms = platforms.unix;
};
-}
+})
diff --git a/pkgs/desktops/gnustep/make/builder.sh b/pkgs/desktops/gnustep/make/builder.sh
deleted file mode 100644
index 79ead3f7b729..000000000000
--- a/pkgs/desktops/gnustep/make/builder.sh
+++ /dev/null
@@ -1,127 +0,0 @@
-if [ -e "$NIX_ATTRS_SH_FILE" ]; then . "$NIX_ATTRS_SH_FILE"; elif [ -f .attrs.sh ]; then . .attrs.sh; fi
-source $stdenv/setup
-
-providedPreConfigure="$preConfigure";
-
-preConfigure() {
- eval "$providedPreConfigure"
-
- . $GNUSTEP_MAKEFILES/GNUstep.sh
-}
-
-wrapGSMake() {
- local program="$1"
- local config="$2"
- local wrapped="$(dirname $program)/.$(basename $program)-wrapped"
-
- mv "$program" "$wrapped"
-
- cat > "$program"<> $conf
- if [ -n "$NIX_GNUSTEP_SYSTEM_APPS" ]; then
- echo NIX_GNUSTEP_SYSTEM_APPS="$NIX_GNUSTEP_SYSTEM_APPS"
- fi
- if [ -n "$NIX_GNUSTEP_SYSTEM_ADMIN_APPS" ]; then
- echo NIX_GNUSTEP_SYSTEM_ADMIN_APPS="$NIX_GNUSTEP_SYSTEM_ADMIN_APPS" >> $conf
- fi
- if [ -n "$NIX_GNUSTEP_SYSTEM_WEB_APPS" ]; then
- echo NIX_GNUSTEP_SYSTEM_WEB_APPS="$NIX_GNUSTEP_SYSTEM_WEB_APPS" >> $conf
- fi
- if [ -n "$NIX_GNUSTEP_SYSTEM_TOOLS" ]; then
- echo NIX_GNUSTEP_SYSTEM_TOOLS="$NIX_GNUSTEP_SYSTEM_TOOLS" >> $conf
- fi
- if [ -n "$NIX_GNUSTEP_SYSTEM_ADMIN_TOOLS" ]; then
- echo NIX_GNUSTEP_SYSTEM_ADMIN_TOOLS="$NIX_GNUSTEP_SYSTEM_ADMIN_TOOLS" >> $conf
- fi
- if [ -n "$NIX_GNUSTEP_SYSTEM_LIBRARY" ]; then
- echo NIX_GNUSTEP_SYSTEM_LIBRARY="$NIX_GNUSTEP_SYSTEM_LIBRARY" >> $conf
- fi
- if [ -n "$NIX_GNUSTEP_SYSTEM_HEADERS" ]; then
- echo NIX_GNUSTEP_SYSTEM_HEADERS="$NIX_GNUSTEP_SYSTEM_HEADERS" >> $conf
- fi
- if [ -n "$NIX_GNUSTEP_SYSTEM_LIBRARIES" ]; then
- echo NIX_GNUSTEP_SYSTEM_LIBRARIES="$NIX_GNUSTEP_SYSTEM_LIBRARIES" >> $conf
- fi
- if [ -n "$NIX_GNUSTEP_SYSTEM_DOC" ]; then
- echo NIX_GNUSTEP_SYSTEM_DOC="$NIX_GNUSTEP_SYSTEM_DOC" >> $conf
- fi
- if [ -n "$NIX_GNUSTEP_SYSTEM_DOC_MAN" ]; then
- echo NIX_GNUSTEP_SYSTEM_DOC_MAN="$NIX_GNUSTEP_SYSTEM_DOC_MAN" >> $conf
- fi
- if [ -n "$NIX_GNUSTEP_SYSTEM_DOC_INFO" ]; then
- echo NIX_GNUSTEP_SYSTEM_DOC_INFO="$NIX_GNUSTEP_SYSTEM_DOC_INFO" >> $conf
- fi
-
- for i in $out/bin/*; do
- echo "wrapping $(basename $i)"
- wrapGSMake "$i" "$out/share/.GNUstep.conf"
- done
-}
-
-genericBuild
diff --git a/pkgs/desktops/gnustep/make/default.nix b/pkgs/desktops/gnustep/make/default.nix
index f9b4ae553c85..290e3004497f 100644
--- a/pkgs/desktops/gnustep/make/default.nix
+++ b/pkgs/desktops/gnustep/make/default.nix
@@ -1,11 +1,16 @@
-{ lib, stdenv, fetchurl, clang, which, libobjc }:
+{ lib
+, stdenv
+, fetchurl
+, which
+, libobjc
+}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "gnustep-make";
version = "2.9.1";
src = fetchurl {
- url = "ftp://ftp.gnustep.org/pub/gnustep/core/gnustep-make-${version}.tar.gz";
+ url = "ftp://ftp.gnustep.org/pub/gnustep/core/gnustep-make-${finalAttrs.version}.tar.gz";
sha256 = "sha256-w9bnDPFWsn59HtJQHFffP5bidIjOLzUbk+R5xYwB6uc=";
};
@@ -22,17 +27,19 @@ stdenv.mkDerivation rec {
"GNUSTEP_INSTALLATION_DOMAIN=SYSTEM"
];
- nativeBuildInputs = [ clang which ];
buildInputs = [ libobjc ];
+ propagatedBuildInputs = [ which ];
+
patches = [ ./fixup-paths.patch ];
setupHook = ./setup-hook.sh;
+
meta = {
+ changelog = "https://github.com/gnustep/tools-make/releases/tag/make-${builtins.replaceStrings [ "." ] [ "_" ] finalAttrs.version}";
description = "A build manager for GNUstep";
- homepage = "http://gnustep.org/";
- changelog = "https://github.com/gnustep/tools-make/releases/tag/make-${builtins.replaceStrings [ "." ] [ "_" ] version}";
+ homepage = "https://gnustep.github.io/";
license = lib.licenses.lgpl2Plus;
- maintainers = with lib.maintainers; [ ashalkhakov matthewbauer ];
+ maintainers = with lib.maintainers; [ ashalkhakov matthewbauer dblsaiko ];
platforms = lib.platforms.unix;
};
-}
+})
diff --git a/pkgs/desktops/gnustep/make/gsmakeDerivation.nix b/pkgs/desktops/gnustep/make/gsmakeDerivation.nix
deleted file mode 100644
index 04cfcc1ca343..000000000000
--- a/pkgs/desktops/gnustep/make/gsmakeDerivation.nix
+++ /dev/null
@@ -1,19 +0,0 @@
-{ lib, stdenv, make, makeWrapper, which }:
-{ nativeBuildInputs ? [], ...} @ args:
-stdenv.mkDerivation (args // {
- nativeBuildInputs = [ makeWrapper make which ] ++ nativeBuildInputs;
-
- builder = ./builder.sh;
- setupHook = ./setup-hook.sh;
-
- GNUSTEP_MAKEFILES = "${make}/share/GNUstep/Makefiles";
-
- meta = {
- homepage = "http://gnustep.org/";
-
- license = lib.licenses.lgpl2Plus;
-
- maintainers = with lib.maintainers; [ ashalkhakov matthewbauer ];
- platforms = lib.platforms.linux;
- } // (lib.optionalAttrs (builtins.hasAttr "meta" args) args.meta);
-})
diff --git a/pkgs/desktops/gnustep/make/setup-hook.sh b/pkgs/desktops/gnustep/make/setup-hook.sh
index 83adfefc10cd..0bfbd35f45f5 100644
--- a/pkgs/desktops/gnustep/make/setup-hook.sh
+++ b/pkgs/desktops/gnustep/make/setup-hook.sh
@@ -20,62 +20,52 @@ addGnustepInstallFlags() {
preInstallPhases+=" addGnustepInstallFlags"
-addEnvVars() {
+addGNUstepEnvVars() {
local filename
+ gsAddToSearchPath() {
+ if [[ -d "$2" && "${!1-}" != *"$2"* ]]; then
+ addToSearchPath "$1" "$2"
+ fi
+ }
+
+ gsAddToIncludeSearchPath() {
+ local -n ref="$1"
+
+ # NOTE: contrary to the one in wrapGNUstepAppsHook, use -e here instead of -d since it's also used for the makefiles
+ if [[ -e "$2" && "${ref-}" != *"$2"* ]]; then
+ if [[ "${ref-}" != "" ]]; then
+ ref+=" "
+ fi
+
+ ref+="$2"
+ fi
+ }
+
for filename in $1/share/GNUstep/Makefiles/Additional/*.make ; do
- if case "${NIX_GNUSTEP_MAKEFILES_ADDITIONAL-}" in *"{$filename}"*) false;; *) true;; esac; then
- export NIX_GNUSTEP_MAKEFILES_ADDITIONAL+=" $filename"
- fi
+ gsAddToIncludeSearchPath NIX_GNUSTEP_MAKEFILES_ADDITIONAL "$filename"
done
- local tmp="$1/lib/GNUstep/Applications"
- if [ -d "$tmp" ] && case "${NIX_GNUSTEP_SYSTEM_APPS-}" in *"${tmp}"*) false;; *) true;; esac; then
- addToSearchPath NIX_GNUSTEP_SYSTEM_APPS "$tmp"
- fi
- tmp="$1/lib/GNUstep/Applications"
- if [ -d "$tmp" ] && case "${NIX_GNUSTEP_SYSTEM_ADMIN_APPS-}" in *"${tmp}"*) false;; *) true;; esac; then
- addToSearchPath NIX_GNUSTEP_SYSTEM_ADMIN_APPS "$tmp"
- fi
- tmp="$1/lib/GNUstep/WebApplications"
- if [ -d "$tmp" ] && case "${NIX_GNUSTEP_SYSTEM_WEB_APPS-}" in *"${tmp}"*) false;; *) true;; esac; then
- addToSearchPath NIX_GNUSTEP_SYSTEM_WEB_APPS "$tmp"
- fi
- tmp="$1/bin"
- if [ -d "$tmp" ] && case "${NIX_GNUSTEP_SYSTEM_TOOLS-}" in *"${tmp}"*) false;; *) true;; esac; then
- addToSearchPath NIX_GNUSTEP_SYSTEM_TOOLS "$tmp"
- fi
- tmp="$1/sbin"
- if [ -d "$tmp" ] && case "${NIX_GNUSTEP_SYSTEM_ADMIN_TOOLS-}" in *"${tmp}"*) false;; *) true;; esac; then
- addToSearchPath NIX_GNUSTEP_SYSTEM_ADMIN_TOOLS "$tmp"
- fi
- tmp="$1/lib/GNUstep"
- if [ -d "$tmp" ] && case "${NIX_GNUSTEP_SYSTEM_LIBRARY-}" in *"${tmp}"*) false;; *) true;; esac; then
- addToSearchPath NIX_GNUSTEP_SYSTEM_LIBRARY "$tmp"
- fi
- tmp="$1/include"
- if [ -d "$tmp" ] && case "${NIX_GNUSTEP_SYSTEM_HEADERS-}" in *"${tmp}"*) false;; *) true;; esac; then
- if [ -z "${NIX_GNUSTEP_SYSTEM_HEADERS-}" ]; then
- export NIX_GNUSTEP_SYSTEM_HEADERS="$tmp"
- else
- export NIX_GNUSTEP_SYSTEM_HEADERS+=" $tmp"
- fi
- fi
- tmp="$1/lib"
- if [ -d "$tmp" ] && case "${NIX_GNUSTEP_SYSTEM_LIBRARIES-}" in *"${tmp}"*) false;; *) true;; esac; then
- addToSearchPath NIX_GNUSTEP_SYSTEM_LIBRARIES "$tmp"
- fi
- tmp="$1/share/GNUstep/Documentation"
- if [ -d "$tmp" ] && case "${NIX_GNUSTEP_SYSTEM_DOC-}" in *"${tmp}"*) false;; *) true;; esac; then
- addToSearchPath NIX_GNUSTEP_SYSTEM_DOC "$tmp"
- fi
- tmp="$1/share/man"
- if [ -d "$tmp" ] && case "${NIX_GNUSTEP_SYSTEM_DOC_MAN-}" in *"${tmp}"*) false;; *) true;; esac; then
- addToSearchPath NIX_GNUSTEP_SYSTEM_DOC_MAN "$tmp"
- fi
- tmp="$1/share/info"
- if [ -d "$tmp" ] && case "${NIX_GNUSTEP_SYSTEM_DOC_INFO-}" in *"${tmp}"*) false;; *) true;; esac; then
- addToSearchPath NIX_GNUSTEP_SYSTEM_DOC_INFO "$tmp"
- fi
+ export NIX_GNUSTEP_MAKEFILES_ADDITIONAL
+
+ gsAddToSearchPath NIX_GNUSTEP_SYSTEM_APPS "$1/lib/GNUstep/Applications"
+ gsAddToSearchPath NIX_GNUSTEP_SYSTEM_ADMIN_APPS "$1/lib/GNUstep/Applications"
+ gsAddToSearchPath NIX_GNUSTEP_SYSTEM_WEB_APPS "$1/lib/GNUstep/WebApplications"
+ gsAddToSearchPath NIX_GNUSTEP_SYSTEM_TOOLS "$1/bin"
+ gsAddToSearchPath NIX_GNUSTEP_SYSTEM_ADMIN_TOOLS "$1/sbin"
+ gsAddToSearchPath NIX_GNUSTEP_SYSTEM_LIBRARY "$1/lib/GNUstep"
+ gsAddToIncludeSearchPath NIX_GNUSTEP_SYSTEM_HEADERS "$1/include"
+ gsAddToSearchPath NIX_GNUSTEP_SYSTEM_LIBRARIES "$1/lib"
+ gsAddToSearchPath NIX_GNUSTEP_SYSTEM_DOC "$1/share/GNUstep/Documentation"
+ gsAddToSearchPath NIX_GNUSTEP_SYSTEM_DOC_MAN "$1/share/man"
+ gsAddToSearchPath NIX_GNUSTEP_SYSTEM_DOC_INFO "$1/share/info"
}
-addEnvHooks "$targetOffset" addEnvVars
+addEnvHooks "$targetOffset" addGNUstepEnvVars
+
+gsmakeSetup() {
+ export GNUSTEP_MAKEFILES="$(gnustep-config --variable=GNUSTEP_MAKEFILES)"
+
+ . $GNUSTEP_MAKEFILES/GNUstep.sh
+}
+
+preConfigureHooks+=(gsmakeSetup)
diff --git a/pkgs/desktops/gnustep/make/wrapper.sh b/pkgs/desktops/gnustep/make/wrapper.sh
deleted file mode 100644
index 1bc2e130d88d..000000000000
--- a/pkgs/desktops/gnustep/make/wrapper.sh
+++ /dev/null
@@ -1,4 +0,0 @@
-#!/bin/sh
-
-. $GNUSTEP_MAKEFILES/GNUstep.sh
-$1
diff --git a/pkgs/desktops/gnustep/projectcenter/default.nix b/pkgs/desktops/gnustep/projectcenter/default.nix
index b535365b25d9..89f2e769a066 100644
--- a/pkgs/desktops/gnustep/projectcenter/default.nix
+++ b/pkgs/desktops/gnustep/projectcenter/default.nix
@@ -1,21 +1,29 @@
-{ lib, fetchFromGitHub
-, base, back, gsmakeDerivation, gui, gorm
-, gnumake, gdb
+{ lib
+, stdenv
+, fetchFromGitHub
+, make
+, wrapGNUstepAppsHook
+, base
+, back
+, gui
+, gorm
+, gnumake
+, gdb
}:
-let
- version = "0.7.0";
-in
-gsmakeDerivation {
+
+stdenv.mkDerivation (finalAttrs: {
pname = "projectcenter";
- inherit version;
+ version = "0.7.0";
src = fetchFromGitHub {
owner = "gnustep";
repo = "apps-projectcenter";
- rev = "projectcenter-${lib.replaceStrings [ "." ] [ "_" ] version}";
+ rev = "projectcenter-${lib.replaceStrings [ "." ] [ "_" ] finalAttrs.version}";
hash = "sha256-uXT2UUvMZNc6Fqi2BUXQimbZk8b3IqXzB+A2btBOmms=";
};
+ nativeBuildInputs = [ make wrapGNUstepAppsHook ];
+
# NOTE: need a patch for ProjectCenter to help it locate some necessary tools:
# 1. Framework/PCProjectLauncher.m, locate gdb (say among NIX_GNUSTEP_SYSTEM_TOOLS)
# 2. Framework/PCProjectBuilder.m, locate gmake (similar)
@@ -23,5 +31,10 @@ gsmakeDerivation {
meta = {
description = "GNUstep's integrated development environment";
+ homepage = "https://gnustep.github.io/";
+ license = lib.licenses.lgpl2Plus;
+ mainProgram = "ProjectCenter";
+ maintainers = with lib.maintainers; [ ashalkhakov matthewbauer dblsaiko ];
+ platforms = lib.platforms.linux;
};
-}
+})
diff --git a/pkgs/desktops/gnustep/systempreferences/default.nix b/pkgs/desktops/gnustep/systempreferences/default.nix
index 096520f08f36..c08ad0fc8dbc 100644
--- a/pkgs/desktops/gnustep/systempreferences/default.nix
+++ b/pkgs/desktops/gnustep/systempreferences/default.nix
@@ -1,17 +1,31 @@
-{ back, base, gui, gsmakeDerivation, fetchurl }:
-let
+{ lib
+, stdenv
+, fetchurl
+, make
+, wrapGNUstepAppsHook
+, back
+, base
+, gui
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "system-preferences";
version = "1.2.0";
-in
-gsmakeDerivation {
- name = "system_preferences-${version}";
+
src = fetchurl {
- url = "ftp://ftp.gnustep.org/pub/gnustep/usr-apps/SystemPreferences-${version}.tar.gz";
+ url = "ftp://ftp.gnustep.org/pub/gnustep/usr-apps/SystemPreferences-${finalAttrs.version}.tar.gz";
sha256 = "1fg7c3ihfgvl6n21rd17fs9ivx3l8ps874m80vz86n1callgs339";
};
-# GNUSTEP_MAKEFILES = "${gnustep_make}/share/GNUstep/Makefiles";
+
+ nativeBuildInputs = [ make wrapGNUstepAppsHook ];
buildInputs = [ back base gui ];
-# propagatedBuildInputs = [ gnustep_back gnustep_base gnustep_gui ];
+
meta = {
description = "The settings manager for the GNUstep environment and its applications";
+ homepage = "https://gnustep.github.io/";
+ license = lib.licenses.lgpl2Plus;
+ mainProgram = "SystemPreferences";
+ maintainers = with lib.maintainers; [ ashalkhakov matthewbauer dblsaiko ];
+ platforms = lib.platforms.linux;
};
-}
+})
diff --git a/pkgs/desktops/gnustep/wrapGNUstepAppsHook.nix b/pkgs/desktops/gnustep/wrapGNUstepAppsHook.nix
new file mode 100644
index 000000000000..8a2339ddeb52
--- /dev/null
+++ b/pkgs/desktops/gnustep/wrapGNUstepAppsHook.nix
@@ -0,0 +1,8 @@
+{makeBinaryWrapper, makeSetupHook}:
+
+makeSetupHook
+ {
+ name = "wrapGNUstepAppsHook";
+ propagatedBuildInputs = [makeBinaryWrapper];
+ }
+ ./wrapGNUstepAppsHook.sh
diff --git a/pkgs/desktops/gnustep/wrapGNUstepAppsHook.sh b/pkgs/desktops/gnustep/wrapGNUstepAppsHook.sh
new file mode 100644
index 000000000000..8218ff1e7746
--- /dev/null
+++ b/pkgs/desktops/gnustep/wrapGNUstepAppsHook.sh
@@ -0,0 +1,96 @@
+if [[ -z "${__nix_wrapGNUstepAppsHook-}" ]]; then
+ __nix_wrapGNUstepAppsHook=1 # Don't run this hook more than once.
+
+ # Inherit arguments given in mkDerivation
+ gnustepWrapperArgs=(${gnustepWrapperArgs-})
+
+ gnustepConfigVars+=(
+ GNUSTEP_MAKEFILES
+ NIX_GNUSTEP_SYSTEM_APPS
+ NIX_GNUSTEP_SYSTEM_ADMIN_APPS
+ NIX_GNUSTEP_SYSTEM_WEB_APPS
+ NIX_GNUSTEP_SYSTEM_TOOLS
+ NIX_GNUSTEP_SYSTEM_ADMIN_TOOLS
+ NIX_GNUSTEP_SYSTEM_LIBRARY
+ NIX_GNUSTEP_SYSTEM_HEADERS
+ NIX_GNUSTEP_SYSTEM_LIBRARIES
+ NIX_GNUSTEP_SYSTEM_DOC
+ NIX_GNUSTEP_SYSTEM_DOC_MAN
+ NIX_GNUSTEP_SYSTEM_DOC_INFO
+ )
+
+ wrapGNUstepApp() {
+ wrapProgram "$1" \
+ --set GNUSTEP_CONFIG_FILE "$out/GNUstep.conf" \
+ "${gnustepWrapperArgs[@]}"
+ }
+
+ ensureGNUstepConfig() (
+ if [[ -f "$out/GNUstep.conf" ]]; then
+ return
+ fi
+
+ echo "writing GNUstep config file"
+
+ gsAddToSearchPath() {
+ if [[ -d "$2" && "${!1-}" != *"$2"* ]]; then
+ addToSearchPath "$1" "$2"
+ fi
+ }
+
+ gsAddToIncludeSearchPath() {
+ local -n ref="$1"
+
+ if [[ -d "$2" && "${ref-}" != *"$2"* ]]; then
+ if [[ "${ref-}" != "" ]]; then
+ ref+=" "
+ fi
+
+ ref+="$2"
+ fi
+ }
+
+ gsAddToSearchPath NIX_GNUSTEP_SYSTEM_APPS "$out/lib/GNUstep/Applications"
+ gsAddToSearchPath NIX_GNUSTEP_SYSTEM_ADMIN_APPS "$out/lib/GNUstep/Applications"
+ gsAddToSearchPath NIX_GNUSTEP_SYSTEM_WEB_APPS "$out/lib/GNUstep/WebApplications"
+ gsAddToSearchPath NIX_GNUSTEP_SYSTEM_TOOLS "$out/bin"
+ gsAddToSearchPath NIX_GNUSTEP_SYSTEM_ADMIN_TOOLS "$out/sbin"
+ gsAddToSearchPath NIX_GNUSTEP_SYSTEM_LIBRARY "$out/lib/GNUstep"
+ gsAddToIncludeSearchPath NIX_GNUSTEP_SYSTEM_HEADERS "$out/include"
+ gsAddToSearchPath NIX_GNUSTEP_SYSTEM_LIBRARIES "$out/lib"
+ gsAddToSearchPath NIX_GNUSTEP_SYSTEM_DOC "$out/share/GNUstep/Documentation"
+ gsAddToSearchPath NIX_GNUSTEP_SYSTEM_DOC_MAN "$out/share/man"
+ gsAddToSearchPath NIX_GNUSTEP_SYSTEM_DOC_INFO "$out/share/info"
+
+ for var in "${gnustepConfigVars[@]}"; do
+ if [[ -n "${!var-}" ]]; then
+ printf '%s="%s"\n' "$var" "${!var}"
+ fi
+ done > "$out/GNUstep.conf"
+ )
+
+ # Note: $gnustepWrapperArgs still gets defined even if ${dontWrapGNUstepApps-} is set.
+ wrapGNUstepAppsHook() {
+ # skip this hook when requested
+ [[ -z "${dontWrapGNUstepApps-}" ]] || return 0
+
+ # guard against running multiple times (e.g. due to propagation)
+ [[ -z "$wrapGNUstepAppsHookHasRun" ]] || return 0
+ wrapGNUstepAppsHookHasRun=1
+
+ local targetDirs=("$prefix/bin")
+ echo "wrapping GNUstep applications in ${targetDirs[@]}"
+
+ for targetDir in "${targetDirs[@]}"; do
+ [[ -d "$targetDir" ]] || continue
+
+ while IFS= read -r -d '' file; do
+ ensureGNUstepConfig
+ echo "wrapping $file"
+ wrapGNUstepApp "$file"
+ done < <(find "$targetDir" ! -type d -executable -print0)
+ done
+ }
+
+ fixupOutputHooks+=(wrapGNUstepAppsHook)
+fi
diff --git a/pkgs/desktops/lomiri/applications/lomiri-system-settings/2000-Support-wrapping-for-Nixpkgs.patch b/pkgs/desktops/lomiri/applications/lomiri-system-settings/2000-Support-wrapping-for-Nixpkgs.patch
new file mode 100644
index 000000000000..8e39ea5fdfc0
--- /dev/null
+++ b/pkgs/desktops/lomiri/applications/lomiri-system-settings/2000-Support-wrapping-for-Nixpkgs.patch
@@ -0,0 +1,160 @@
+From 8e21cf46551091c884014985d3e0dd9704d6dc04 Mon Sep 17 00:00:00 2001
+From: OPNA2608
+Date: Wed, 14 Feb 2024 16:00:24 +0100
+Subject: [PATCH] Support wrapping for Nixpkgs
+
+---
+ src/CMakeLists.txt | 24 +++++++++++++++++++-----
+ src/main.cpp | 8 +++++---
+ src/plugin.cpp | 19 +++++++++++++++++--
+ tests/CMakeLists.txt | 18 ++++++++++++++----
+ 4 files changed, 55 insertions(+), 14 deletions(-)
+
+diff --git a/src/CMakeLists.txt b/src/CMakeLists.txt
+index cd3131d0..fcd78bdf 100644
+--- a/src/CMakeLists.txt
++++ b/src/CMakeLists.txt
+@@ -1,13 +1,27 @@
+ include_directories(${GLIB_INCLUDE_DIRS})
+
+-add_definitions(-DI18N_DIRECTORY="${CMAKE_INSTALL_FULL_LOCALEDIR}")
+ add_definitions(-DI18N_DOMAIN="lomiri-system-settings")
+-add_definitions(-DPLUGIN_PRIVATE_MODULE_DIR="${PLUGIN_PRIVATE_MODULE_DIR}")
++
++add_definitions(-DNIX_FALLBACK_PREFIX="${CMAKE_INSTALL_PREFIX}")
++
++set(I18N_DIRECTORY "${CMAKE_INSTALL_FULL_LOCALEDIR}")
++
++list(APPEND NIX_LOCATION_VARIABLES
++ I18N_DIRECTORY
++ PLUGIN_PRIVATE_MODULE_DIR
++ PLUGIN_MANIFEST_DIR
++ PLUGIN_QML_DIR
++ PLUGIN_MODULE_DIR
++)
++
++foreach(locvar IN LISTS NIX_LOCATION_VARIABLES)
++ string(REPLACE "${CMAKE_INSTALL_PREFIX}" "" NIX_${locvar}_RELATIVE "${${locvar}}")
++ add_definitions(-D${locvar}=do_not_use_me)
++ add_definitions(-DNIX_${locvar}_RELATIVE="${NIX_${locvar}_RELATIVE}")
++endforeach()
++
+ add_definitions(-DMANIFEST_DIR="${MANIFEST_DIR}")
+-add_definitions(-DPLUGIN_MANIFEST_DIR="${PLUGIN_MANIFEST_DIR}")
+ add_definitions(-DQML_DIR="${QML_DIR}")
+-add_definitions(-DPLUGIN_QML_DIR="${PLUGIN_QML_DIR}")
+-add_definitions(-DPLUGIN_MODULE_DIR="${PLUGIN_MODULE_DIR}")
+
+ add_subdirectory(SystemSettings)
+
+diff --git a/src/main.cpp b/src/main.cpp
+index 64441da3..cfcabe42 100644
+--- a/src/main.cpp
++++ b/src/main.cpp
+@@ -42,6 +42,8 @@ int main(int argc, char **argv)
+ QByteArray mountPoint = qEnvironmentVariableIsSet("SNAP") ? qgetenv("SNAP") : "";
+ bool isSnap = !mountPoint.isEmpty();
+
++ QByteArray dataPrefix = qEnvironmentVariableIsSet("NIX_LSS_PREFIX") ? qgetenv("NIX_LSS_PREFIX") : NIX_FALLBACK_PREFIX;
++
+ // Ensure printing environment is correct.
+ qputenv("QT_PRINTER_MODULE", "cupsprintersupport");
+
+@@ -78,12 +80,12 @@ int main(int argc, char **argv)
+ qmlRegisterType("SystemSettings", 1, 0, "PluginManager");
+ view.engine()->rootContext()->setContextProperty("Utilities", &utils);
+ view.setResizeMode(QQuickView::SizeRootObjectToView);
+- view.engine()->addImportPath(mountPoint + PLUGIN_PRIVATE_MODULE_DIR);
+- view.engine()->addImportPath(mountPoint + PLUGIN_QML_DIR);
++ view.engine()->addImportPath(mountPoint + dataPrefix + "/" + NIX_PLUGIN_PRIVATE_MODULE_DIR_RELATIVE);
++ view.engine()->addImportPath(mountPoint + dataPrefix + "/" + NIX_PLUGIN_QML_DIR_RELATIVE);
+ view.rootContext()->setContextProperty("defaultPlugin", defaultPlugin);
+ view.rootContext()->setContextProperty("mountPoint", mountPoint);
+ view.rootContext()->setContextProperty("isSnap", isSnap);
+- view.rootContext()->setContextProperty("i18nDirectory", mountPoint + I18N_DIRECTORY);
++ view.rootContext()->setContextProperty("i18nDirectory", mountPoint + dataPrefix + "/" + NIX_I18N_DIRECTORY_RELATIVE);
+ view.rootContext()->setContextProperty("pluginOptions", pluginOptions);
+ view.rootContext()->setContextProperty("view", &view);
+ view.setSource(QUrl("qrc:/qml/MainWindow.qml"));
+diff --git a/src/plugin.cpp b/src/plugin.cpp
+index 133821af..6a1a152c 100644
+--- a/src/plugin.cpp
++++ b/src/plugin.cpp
+@@ -36,9 +36,16 @@
+ #include
+ #include
+
++#include
++
+ using namespace LomiriSystemSettings;
+
+-static const QLatin1String pluginModuleDir{PLUGIN_MODULE_DIR};
++const QLatin1String getWrapperPrefix()
++{
++ const QLatin1String pluginWrapperPrefix {qEnvironmentVariableIsSet("NIX_LSS_PREFIX") ? qgetenv("NIX_LSS_PREFIX") : NIX_FALLBACK_PREFIX};
++ return pluginWrapperPrefix;
++}
++static const QLatin1String pluginModuleDirRelative{NIX_PLUGIN_MODULE_DIR_RELATIVE};
+ static const QLatin1String pluginQmlDir{QML_DIR};
+
+ namespace LomiriSystemSettings {
+@@ -89,6 +96,11 @@ PluginPrivate::PluginPrivate(Plugin *q, const QFileInfo &manifest):
+
+ m_data = json.toVariant().toMap();
+ m_dataPath = manifest.absolutePath();
++
++ QString textDomain = m_data.value(keyTranslations).toString();
++ QString textDomainDir = QString("%1/%2")
++ .arg(getWrapperPrefix()).arg(NIX_I18N_DIRECTORY_RELATIVE);
++ bindtextdomain(qPrintable(textDomain), qPrintable(textDomainDir));
+ }
+
+ bool PluginPrivate::ensureLoaded() const
+@@ -110,8 +122,11 @@ bool PluginPrivate::ensureLoaded() const
+ ctx->contextProperty("mountPoint").value() :
+ "";
+
++ QString wrapperModuleDir = QString("%1/%2")
++ .arg(getWrapperPrefix()).arg(pluginModuleDirRelative);
++
+ QString name = QString("%1%2/lib%3.so")
+- .arg(mountPoint).arg(pluginModuleDir).arg(plugin);
++ .arg(mountPoint).arg(wrapperModuleDir).arg(plugin);
+
+ m_loader.setFileName(name);
+ if (Q_UNLIKELY(!m_loader.load())) {
+diff --git a/tests/CMakeLists.txt b/tests/CMakeLists.txt
+index c10b2e2d..a998b641 100644
+--- a/tests/CMakeLists.txt
++++ b/tests/CMakeLists.txt
+@@ -9,13 +9,23 @@ include_directories(
+ set(XVFB_CMD xvfb-run -a -s "-screen 0 640x480x24")
+
+ add_definitions(-DI18N_DOMAIN="lomiri-system-settings")
+-add_definitions(-DPLUGIN_PRIVATE_MODULE_DIR="${PLUGIN_PRIVATE_MODULE_DIR}")
+-add_definitions(-DPLUGIN_MODULE_DIR="${CMAKE_CURRENT_BINARY_DIR}")
++
++add_definitions(-DNIX_FALLBACK_PREFIX="${CMAKE_CURRENT_BINARY_DIR}")
++
++add_definitions(-DI18N_DIRECTORY=do_not_use_me)
++add_definitions(-DNIX_I18N_DIRECTORY_RELATIVE="")
++add_definitions(-DPLUGIN_PRIVATE_MODULE_DIR=do_not_use_me)
++add_definitions(-DNIX_PLUGIN_PRIVATE_MODULE_DIR_RELATIVE="")
++add_definitions(-DPLUGIN_MODULE_DIR=do_not_use_me)
++add_definitions(-DNIX_PLUGIN_MODULE_DIR_RELATIVE="")
++add_definitions(-DPLUGIN_MANIFEST_DIR=do_not_use_me)
++add_definitions(-DNIX_PLUGIN_MANIFEST_DIR_RELATIVE="../../tests/data")
++add_definitions(-DPLUGIN_QML_DIR=do_not_use_me)
++add_definitions(-DNIX_PLUGIN_QML_DIR_RELATIVE="")
++
+ add_definitions(-DMANIFEST_DIR="data")
+-add_definitions(-DPLUGIN_MANIFEST_DIR="${CMAKE_CURRENT_SOURCE_DIR}/data")
+ add_definitions(-DQML_TEST_DIR="${CMAKE_CURRENT_SOURCE_DIR}")
+ add_definitions(-DQML_DIR="${CMAKE_CURRENT_BINARY_DIR}")
+-add_definitions(-DPLUGIN_QML_DIR="${CMAKE_CURRENT_BINARY_DIR}")
+ add_definitions(-DSYSTEM_IMAGE_DBUS_TEMPLATE="${CMAKE_SOURCE_DIR}/tests/autopilot/lomiri_system_settings/tests/systemimage.py")
+
+ add_library(test-plugin SHARED test-plugin.cpp test-plugin.h)
+--
+2.42.0
+
diff --git a/pkgs/desktops/lomiri/applications/lomiri-system-settings/default.nix b/pkgs/desktops/lomiri/applications/lomiri-system-settings/default.nix
new file mode 100644
index 000000000000..82ffce3fd247
--- /dev/null
+++ b/pkgs/desktops/lomiri/applications/lomiri-system-settings/default.nix
@@ -0,0 +1,253 @@
+{ stdenv
+, lib
+, fetchFromGitLab
+, fetchpatch
+, gitUpdater
+, testers
+, accountsservice
+, ayatana-indicator-datetime
+, cmake
+, cmake-extras
+, content-hub
+, dbus
+, deviceinfo
+, geonames
+, gettext
+, glib
+, gnome-desktop
+, gsettings-qt
+, gtk3
+, icu
+, intltool
+, json-glib
+, libqofono
+, libqtdbustest
+, libqtdbusmock
+, lomiri-indicator-network
+, lomiri-schemas
+, lomiri-settings-components
+, lomiri-ui-toolkit
+, maliit-keyboard
+, pkg-config
+, python3
+, qmenumodel
+, qtbase
+, qtdeclarative
+, qtmultimedia
+, ubports-click
+, upower
+, validatePkgConfig
+, wrapGAppsHook
+, wrapQtAppsHook
+, xvfb-run
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "lomiri-system-settings-unwrapped";
+ version = "1.0.2";
+
+ src = fetchFromGitLab {
+ owner = "ubports";
+ repo = "development/core/lomiri-system-settings";
+ rev = finalAttrs.version;
+ hash = "sha256-gi6ZujIs0AEDLsqcTNlRNSS8SyqEU6q0+xaDf55XwuM=";
+ };
+
+ outputs = [
+ "out"
+ "dev"
+ ];
+
+ patches = [
+ # Remove when https://gitlab.com/ubports/development/core/lomiri-system-settings/-/merge_requests/433 merged & in release
+ (fetchpatch {
+ name = "0001-lomiri-system-settings-plugins-language-Fix-linking-against-accountsservice.patch";
+ url = "https://gitlab.com/ubports/development/core/lomiri-system-settings/-/commit/75763ae2f9669f5f7f29aec3566606e6f6cb7478.patch";
+ hash = "sha256-2CE0yizkaz93kK82DhaaFjKmGnMoaikrwFj4k7RN534=";
+ })
+
+ # Remove when https://gitlab.com/ubports/development/core/lomiri-system-settings/-/merge_requests/434 merged & in release
+ (fetchpatch {
+ name = "0002-lomiri-system-settings-GNUInstallDirs-and-fix-absolute-path-handling.patch";
+ url = "https://gitlab.com/ubports/development/core/lomiri-system-settings/-/commit/93ee84423f3677a608ef73addcd3ddcbe7dc1d32.patch";
+ hash = "sha256-lSKAhtE3oSSv7USvDbbcfBZWAtWMmuKneWawKQABIiM=";
+ })
+
+ # Remove when version > 1.0.2
+ (fetchpatch {
+ name = "0003-lomiri-system-settings-Use-GSettings-for-DT2W-value.patch";
+ url = "https://gitlab.com/ubports/development/core/lomiri-system-settings/-/commit/29e2533efcac23e41b083b11c540c9221b71de7e.patch";
+ hash = "sha256-d52d/b1ZdafaqhOljCg5E3I12XWtFAfG4rmn8CYngB4=";
+ })
+ ] ++ lib.optionals (lib.strings.versionOlder python3.pkgs.python-dbusmock.version "0.30.1") [
+ # Makes tests work with newer dbusmock, but breaks with much-newer dbusmock
+ # See for details:
+ # - https://gitlab.com/ubports/development/core/lomiri-system-settings/-/merge_requests/354
+ # - https://gitlab.com/ubports/development/core/lomiri-system-settings/-/merge_requests/426
+ # Remove/adjust based on merges & next LSS release, and packaged version of dbusmock
+ (fetchpatch {
+ name = "0101-lomiri-system-settings-Pass-missing-parameters-to-dbusmock.patch";
+ url = "https://gitlab.com/ubports/development/core/lomiri-system-settings/-/commit/b9aacd88e3789dbb7578f32b31ad5b239db227a2.patch";
+ hash = "sha256-jf+jMc+6QxONavlX5C9UZyX23jb6fZnYV8mWFyQGGbU=";
+ })
+ (fetchpatch {
+ name = "0102-lomiri-system-settings-Fix-BT-plugin-testIsPaired.patch";
+ url = "https://gitlab.com/ubports/development/core/lomiri-system-settings/-/commit/e39b9728e18635413f07f9c9f6ddc73208260b2a.patch";
+ hash = "sha256-YUtdlQ2XcanXzsxD40SbML7fSxG75yMKz/XnaQN9YP8=";
+ })
+ (fetchpatch {
+ name = "0103-lomiri-system-settings-Fix-BT-plugin-testGet-IconName-Type.patch";
+ url = "https://gitlab.com/ubports/development/core/lomiri-system-settings/-/commit/9ad5d9324945f06f764d3a963dbfc7bccefe574b.patch";
+ # Merge conflict, relevant change handled further down
+ excludes = [ "CMakeLists.txt" ];
+ hash = "sha256-QCgkVos9Q9/8jd25rqzdEKdnBw0Re47X7B9nLH8QOQU=";
+ })
+ ] ++ [
+
+ ./2000-Support-wrapping-for-Nixpkgs.patch
+
+ # Make it work with regular accountsservice
+ # https://gitlab.com/ubports/development/core/lomiri-system-settings/-/issues/341
+ (fetchpatch {
+ name = "2001-lomiri-system-settings-disable-current-language-switching.patch";
+ url = "https://sources.debian.org/data/main/l/lomiri-system-settings/1.0.1-2/debian/patches/2001_disable-current-language-switching.patch";
+ hash = "sha256-ZOFYwxS8s6+qMFw8xDCBv3nLBOBm86m9d/VhbpOjamY=";
+ })
+ ];
+
+ postPatch = ''
+ substituteInPlace CMakeLists.txt \
+ --replace-fail "\''${CMAKE_INSTALL_LIBDIR}/qt5/qml" "\''${CMAKE_INSTALL_PREFIX}/${qtbase.qtQmlPrefix}" \
+
+ # Port from lomiri-keyboard to maliit-keyboard
+ substituteInPlace plugins/language/CMakeLists.txt \
+ --replace-fail 'LOMIRI_KEYBOARD_PLUGIN_PATH=\"''${CMAKE_INSTALL_FULL_LIBDIR}/lomiri-keyboard/plugins\"' 'LOMIRI_KEYBOARD_PLUGIN_PATH=\"${lib.getLib maliit-keyboard}/lib/maliit/keyboard2/languages\"'
+ substituteInPlace plugins/language/{PageComponent,SpellChecking,ThemeValues}.qml plugins/language/onscreenkeyboard-plugin.cpp plugins/sound/PageComponent.qml \
+ --replace-fail 'com.lomiri.keyboard.maliit' 'org.maliit.keyboard.maliit'
+
+ # Decide which entries should be visible based on the current system
+ substituteInPlace plugins/*/*.settings \
+ --replace-warn '/etc' '/run/current-system/sw/etc'
+
+ # Don't use absolute paths in desktop file
+ substituteInPlace lomiri-system-settings.desktop.in.in \
+ --replace-fail 'Icon=@SETTINGS_SHARE_DIR@/system-settings.svg' 'Icon=lomiri-system-settings' \
+ --replace-fail 'X-Lomiri-Splash-Image=@SETTINGS_SHARE_DIR@/system-settings-app-splash.svg' 'X-Lomiri-Splash-Image=lomiri-app-launch/splash/lomiri-system-settings.svg' \
+ --replace-fail 'X-Screenshot=@SETTINGS_SHARE_DIR@/screenshot.png' 'X-Screenshot=lomiri-app-launch/screenshot/lomiri-system-settings.png'
+ '';
+
+ strictDeps = true;
+
+ nativeBuildInputs = [
+ cmake
+ gettext
+ glib # glib-compile-schemas
+ intltool
+ pkg-config
+ validatePkgConfig
+ ];
+
+ buildInputs = [
+ accountsservice
+ cmake-extras
+ deviceinfo
+ geonames
+ gnome-desktop
+ gsettings-qt
+ gtk3
+ icu
+ json-glib
+ qtbase
+ ubports-click
+ upower
+ ];
+
+ # QML components and schemas the wrapper needs
+ propagatedBuildInputs = [
+ ayatana-indicator-datetime
+ content-hub
+ libqofono
+ lomiri-indicator-network
+ lomiri-schemas
+ lomiri-settings-components
+ lomiri-ui-toolkit
+ maliit-keyboard
+ qmenumodel
+ qtdeclarative
+ qtmultimedia
+ ];
+
+ nativeCheckInputs = [
+ dbus
+ (python3.withPackages (ps: with ps; [
+ python-dbusmock
+ ]))
+ xvfb-run
+ ];
+
+ checkInputs = [
+ libqtdbustest
+ libqtdbusmock
+ ];
+
+ # Not wrapping in this derivation
+ dontWrapQtApps = true;
+
+ cmakeFlags = [
+ (lib.cmakeBool "ENABLE_LIBDEVICEINFO" true)
+ (lib.cmakeBool "ENABLE_TESTS" finalAttrs.finalPackage.doCheck)
+ (lib.cmakeFeature "CMAKE_CTEST_ARGUMENTS" (lib.concatStringsSep ";" [
+ # Exclude tests
+ "-E" (lib.strings.escapeShellArg "(${lib.concatStringsSep "|" [
+ # Hits OpenGL context issue inside lomiri-ui-toolkit, see derivation of that on details
+ "^testmouse"
+ "^tst_notifications"
+ ]})")
+ ]))
+ ];
+
+ # CMake option had to be excluded from earlier patchset
+ env.NIX_CFLAGS_COMPILE = lib.optionalString (lib.strings.versionOlder python3.pkgs.python-dbusmock.version "0.30.1") "-DMODERN_PYTHON_DBUSMOCK";
+
+ # The linking for this normally ignores missing symbols, which is inconvenient for figuring out why subpages may be
+ # failing to load their library modules. Force it to report them at linktime instead of runtime.
+ env.NIX_LDFLAGS = "--unresolved-symbols=report-all";
+
+ doCheck = stdenv.buildPlatform.canExecute stdenv.hostPlatform;
+
+ # Parallelism breaks D-Bus tests
+ enableParallelChecking = false;
+
+ preCheck = ''
+ export QT_PLUGIN_PATH=${lib.getBin qtbase}/${qtbase.qtPluginPrefix}
+ export QML2_IMPORT_PATH=${lib.makeSearchPathOutput "bin" qtbase.qtQmlPrefix ([ qtdeclarative lomiri-ui-toolkit lomiri-settings-components ] ++ lomiri-ui-toolkit.propagatedBuildInputs)}
+ '';
+
+ postInstall = ''
+ glib-compile-schemas $out/share/glib-2.0/schemas
+
+ mkdir -p $out/share/{icons/hicolor/scalable/apps,lomiri-app-launch/{splash,screenshot}}
+
+ ln -s $out/share/lomiri-system-settings/system-settings.svg $out/share/icons/hicolor/scalable/apps/lomiri-system-settings.svg
+ ln -s $out/share/lomiri-system-settings/system-settings-app-splash.svg $out/share/lomiri-app-launch/splash/lomiri-system-settings.svg
+ ln -s $out/share/lomiri-system-settings/screenshot.png $out/share/lomiri-app-launch/screenshot/lomiri-system-settings.png
+ '';
+
+ passthru = {
+ tests.pkg-config = testers.testMetaPkgConfig finalAttrs.finalPackage;
+ updateScript = gitUpdater { };
+ };
+
+ meta = with lib; {
+ description = "System Settings application for Lomiri";
+ homepage = "https://gitlab.com/ubports/development/core/lomiri-system-settings";
+ changelog = "https://gitlab.com/ubports/development/core/lomiri-system-settings/-/blob/${finalAttrs.version}/ChangeLog";
+ license = licenses.gpl3Only;
+ mainProgram = "lomiri-system-settings";
+ maintainers = teams.lomiri.members;
+ platforms = platforms.linux;
+ pkgConfigModules = [
+ "LomiriSystemSettings"
+ ];
+ };
+})
diff --git a/pkgs/desktops/lomiri/applications/lomiri-system-settings/plugins/lomiri-system-settings-security-privacy.nix b/pkgs/desktops/lomiri/applications/lomiri-system-settings/plugins/lomiri-system-settings-security-privacy.nix
new file mode 100644
index 000000000000..29a10f12a829
--- /dev/null
+++ b/pkgs/desktops/lomiri/applications/lomiri-system-settings/plugins/lomiri-system-settings-security-privacy.nix
@@ -0,0 +1,89 @@
+{ stdenv
+, lib
+, fetchFromGitLab
+, biometryd
+, cmake
+, libqtdbusmock
+, libqtdbustest
+, lomiri-system-settings-unwrapped
+, pkg-config
+, polkit
+, python3
+, qtbase
+, qtdeclarative
+, trust-store
+, xvfb-run
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "lomiri-system-settings-security-privacy";
+ version = "1.0.2";
+
+ src = fetchFromGitLab {
+ owner = "ubports";
+ repo = "development/core/lomiri-system-settings-security-privacy";
+ rev = finalAttrs.version;
+ hash = "sha256-d7OgxV362gJ3t5N+DEFgwyK+m6Ij6juRPuxfmbCg68Y=";
+ };
+
+ postPatch = ''
+ # CMake pkg_get_variable cannot replace prefix variable yet
+ for pcvar in plugin_manifest_dir plugin_private_module_dir plugin_qml_dir; do
+ pcvarname=$(echo $pcvar | tr '[:lower:]' '[:upper:]')
+ substituteInPlace CMakeLists.txt \
+ --replace-fail "pkg_get_variable($pcvarname LomiriSystemSettings $pcvar)" "set($pcvarname $(pkg-config LomiriSystemSettings --define-variable=prefix=$out --define-variable=libdir=$out/lib --variable=$pcvar))"
+ done
+ '';
+
+ strictDeps = true;
+
+ nativeBuildInputs = [
+ cmake
+ pkg-config
+ python3
+ ];
+
+ buildInputs = [
+ lomiri-system-settings-unwrapped
+ polkit
+ qtbase
+ qtdeclarative
+ trust-store
+ ];
+
+ # QML components and schemas the wrapper needs
+ propagatedBuildInputs = [
+ biometryd
+ ];
+
+ nativeCheckInputs = [
+ xvfb-run
+ ];
+
+ checkInputs = [
+ libqtdbusmock
+ libqtdbustest
+ ];
+
+ # Plugin library & modules for LSS
+ dontWrapQtApps = true;
+
+ cmakeFlags = [
+ (lib.cmakeBool "ENABLE_TESTS" finalAttrs.finalPackage.doCheck)
+ ];
+
+ doCheck = stdenv.buildPlatform.canExecute stdenv.hostPlatform;
+
+ preCheck = ''
+ export QT_PLUGIN_PATH=${lib.getBin qtbase}/${qtbase.qtPluginPrefix}
+ '';
+
+ meta = with lib; {
+ description = "Security and privacy settings plugin for Lomiri system settings";
+ homepage = "https://gitlab.com/ubports/development/core/lomiri-system-settings-security-privacy";
+ changelog = "https://gitlab.com/ubports/development/core/lomiri-system-settings-security-privacy/-/blob/${finalAttrs.version}/ChangeLog";
+ license = licenses.gpl3Only;
+ maintainers = teams.lomiri.members;
+ platforms = platforms.linux;
+ };
+})
diff --git a/pkgs/desktops/lomiri/applications/lomiri-system-settings/wrapper.nix b/pkgs/desktops/lomiri/applications/lomiri-system-settings/wrapper.nix
new file mode 100644
index 000000000000..74767f41d9ec
--- /dev/null
+++ b/pkgs/desktops/lomiri/applications/lomiri-system-settings/wrapper.nix
@@ -0,0 +1,70 @@
+{ stdenvNoCC
+, lib
+, nixosTests
+, glib
+, lndir
+, lomiri-system-settings-unwrapped
+, lomiri-system-settings-security-privacy
+, wrapGAppsHook
+, wrapQtAppsHook
+, plugins ? [ lomiri-system-settings-security-privacy ]
+}:
+
+stdenvNoCC.mkDerivation (finalAttrs: {
+ pname = "lomiri-system-settings";
+ inherit (lomiri-system-settings-unwrapped) version;
+
+ dontUnpack = true;
+ dontConfigure = true;
+ dontBuild = true;
+
+ strictDeps = true;
+
+ nativeBuildInputs = [
+ lndir
+ wrapGAppsHook
+ wrapQtAppsHook
+ ];
+
+ buildInputs = [
+ glib # schema hook
+ lomiri-system-settings-unwrapped
+ ] ++ plugins;
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p $out/bin
+ ln -s ${lib.getExe lomiri-system-settings-unwrapped} $out/bin/${finalAttrs.meta.mainProgram}
+
+ for inheritedPath in share/lomiri-app-launch share/lomiri-url-dispatcher share/applications share/icons; do
+ mkdir -p $out/$inheritedPath
+ lndir ${lomiri-system-settings-unwrapped}/$inheritedPath $out/$inheritedPath
+ done
+
+ for mergedPath in lib/lomiri-system-settings share/lomiri-system-settings share/locale; do
+ mkdir -p $out/$mergedPath
+ for lssPart in ${lomiri-system-settings-unwrapped} ${lib.strings.concatStringsSep " " plugins}; do
+ lndir $lssPart/$mergedPath $out/$mergedPath
+ done
+ done
+
+ runHook postInstall
+ '';
+
+ dontWrapGApps = true;
+
+ preFixup = ''
+ qtWrapperArgs+=(
+ "''${gappsWrapperArgs[@]}"
+ --set NIX_LSS_PREFIX "$out"
+ )
+ '';
+
+ passthru.tests.standalone = nixosTests.lomiri-system-settings;
+
+ meta = lomiri-system-settings-unwrapped.meta // {
+ description = "System Settings application for Lomiri (wrapped)";
+ priority = (lomiri-system-settings-unwrapped.meta.priority or 0) - 1;
+ };
+})
diff --git a/pkgs/desktops/lomiri/applications/morph-browser/default.nix b/pkgs/desktops/lomiri/applications/morph-browser/default.nix
new file mode 100644
index 000000000000..910008136d7e
--- /dev/null
+++ b/pkgs/desktops/lomiri/applications/morph-browser/default.nix
@@ -0,0 +1,139 @@
+{ stdenv
+, lib
+, fetchFromGitLab
+, fetchpatch
+, gitUpdater
+, nixosTests
+, cmake
+, content-hub
+, gettext
+, libapparmor
+, lomiri-action-api
+, lomiri-ui-extras
+, lomiri-ui-toolkit
+, pkg-config
+, qqc2-suru-style
+, qtbase
+, qtdeclarative
+, qtquickcontrols2
+, qtsystems
+, qtwebengine
+, wrapQtAppsHook
+, xvfb-run
+}:
+
+let
+ listToQtVar = suffix: lib.makeSearchPathOutput "bin" suffix;
+in
+stdenv.mkDerivation (finalAttrs: {
+ pname = "morph-browser";
+ version = "1.1.0";
+
+ src = fetchFromGitLab {
+ owner = "ubports";
+ repo = "development/core/morph-browser";
+ rev = finalAttrs.version;
+ hash = "sha256-C5iXv8VS8Mm1ryxK7Vi5tVmiM01OSIFiTyH0vP9B/xA=";
+ };
+
+ patches = [
+ # Remove when https://gitlab.com/ubports/development/core/morph-browser/-/merge_requests/575 merged & in release
+ (fetchpatch {
+ name = "0001-morph-browser-tst_SessionUtilsTests-Set-permissions-on-temporary-xdg-runtime-directory.patch";
+ url = "https://gitlab.com/ubports/development/core/morph-browser/-/commit/e90206105b8b287fbd6e45ac37ca1cd259981928.patch";
+ hash = "sha256-5htFn+OGVVBn3mJQaZcF5yt0mT+2QRlKyKFesEhklfA=";
+ })
+
+ # Remove when https://gitlab.com/ubports/development/core/morph-browser/-/merge_requests/576 merged & in release
+ (fetchpatch {
+ name = "0002-morph-browser-Call-i18n-bindtextdomain-with-buildtime-determined-locale-path.patch";
+ url = "https://gitlab.com/ubports/development/core/morph-browser/-/commit/0527a1e01fb27c62f5e0011274f73bad400e9691.patch";
+ hash = "sha256-zx/pP72uNqAi8TZR4bKeONuqcJyK/vGtPglTA+5R5no=";
+ })
+ ];
+
+ postPatch = ''
+ substituteInPlace src/{Morph,Ubuntu}/CMakeLists.txt \
+ --replace '/usr/lib/''${CMAKE_LIBRARY_ARCHITECTURE}/qt5/qml' "\''${CMAKE_INSTALL_PREFIX}/${qtbase.qtQmlPrefix}"
+
+ # Don't use absolute paths in desktop file
+ substituteInPlace src/app/webbrowser/morph-browser.desktop.in.in \
+ --replace 'Icon=@CMAKE_INSTALL_FULL_DATADIR@/morph-browser/morph-browser.svg' 'Icon=morph-browser' \
+ --replace 'X-Lomiri-Splash-Image=@CMAKE_INSTALL_FULL_DATADIR@/morph-browser/morph-browser-splash.svg' 'X-Lomiri-Splash-Image=lomiri-app-launch/splash/morph-browser.svg'
+ '' + lib.optionalString (!finalAttrs.doCheck) ''
+ substituteInPlace CMakeLists.txt \
+ --replace 'add_subdirectory(tests)' ""
+ '';
+
+ strictDeps = true;
+
+ nativeBuildInputs = [
+ cmake
+ gettext
+ pkg-config
+ wrapQtAppsHook
+ ];
+
+ buildInputs = [
+ libapparmor
+ qtbase
+ qtdeclarative
+ qtwebengine
+
+ # QML
+ content-hub
+ lomiri-action-api
+ lomiri-ui-extras
+ lomiri-ui-toolkit
+ qqc2-suru-style
+ qtquickcontrols2
+ qtsystems
+ ];
+
+ nativeCheckInputs = [
+ xvfb-run
+ ];
+
+ cmakeFlags = [
+ (lib.cmakeFeature "CMAKE_CTEST_ARGUMENTS" (lib.concatStringsSep ";" [
+ # Exclude tests
+ "-E" (lib.strings.escapeShellArg "(${lib.concatStringsSep "|" [
+ # Don't care about linter failures
+ "^flake8"
+
+ # Runs into ShapeMaterial codepath in lomiri-ui-toolkit which needs OpenGL, see LUITK for details
+ "^tst_QmlTests"
+ ]})")
+ ]))
+ ];
+
+ doCheck = stdenv.buildPlatform.canExecute stdenv.hostPlatform;
+
+ preCheck = ''
+ export HOME=$TMPDIR
+ export QT_PLUGIN_PATH=${listToQtVar qtbase.qtPluginPrefix [ qtbase ]}
+ export QML2_IMPORT_PATH=${listToQtVar qtbase.qtQmlPrefix ([ lomiri-ui-toolkit qtwebengine qtdeclarative qtquickcontrols2 qtsystems ] ++ lomiri-ui-toolkit.propagatedBuildInputs)}
+ '';
+
+ postInstall = ''
+ mkdir -p $out/share/{icons/hicolor/scalable/apps,lomiri-app-launch/splash}
+
+ ln -s $out/share/{morph-browser,icons/hicolor/scalable/apps}/morph-browser.svg
+ ln -s $out/share/{morph-browser/morph-browser-splash.svg,lomiri-app-launch/splash/morph-browser.svg}
+ '';
+
+ passthru = {
+ updateScript = gitUpdater { };
+ tests.standalone = nixosTests.morph-browser;
+ };
+
+ meta = with lib; {
+ description = "Lightweight web browser tailored for Ubuntu Touch";
+ homepage = "https://gitlab.com/ubports/development/core/morph-browser";
+ changelog = "https://gitlab.com/ubports/development/core/morph-browser/-/blob/${finalAttrs.version}/ChangeLog";
+ license = with licenses; [ gpl3Only cc-by-sa-30 ];
+ mainProgram = "morph-browser";
+ maintainers = teams.lomiri.members;
+ platforms = platforms.linux;
+ };
+})
diff --git a/pkgs/desktops/lomiri/data/suru-icon-theme/default.nix b/pkgs/desktops/lomiri/data/suru-icon-theme/default.nix
index 796dc05d819a..32b2cef1f021 100644
--- a/pkgs/desktops/lomiri/data/suru-icon-theme/default.nix
+++ b/pkgs/desktops/lomiri/data/suru-icon-theme/default.nix
@@ -9,13 +9,13 @@
stdenvNoCC.mkDerivation (finalAttrs: {
pname = "suru-icon-theme";
- version = "20.05.1";
+ version = "2024.02.1";
src = fetchFromGitLab {
owner = "ubports";
repo = "development/core/suru-icon-theme";
rev = finalAttrs.version;
- hash = "sha256-jJ6J+SjSABZCgnCF9cIFBpeSXX2LMnV+nPLPpoXQv30=";
+ hash = "sha256-7T9FILhZrs5bbdBEV/FszCOwUd/C1Rl9tbDt77SIzRk=";
};
strictDeps = true;
@@ -50,6 +50,7 @@ stdenvNoCC.mkDerivation (finalAttrs: {
meta = with lib; {
description = "Suru Icon Theme for Lomiri Operating Environment";
homepage = "https://gitlab.com/ubports/development/core/suru-icon-theme";
+ changelog = "https://gitlab.com/ubports/development/core/suru-icon-theme/-/blob/${finalAttrs.version}/ChangeLog";
license = licenses.cc-by-sa-30;
maintainers = teams.lomiri.members;
platforms = platforms.all;
diff --git a/pkgs/desktops/lomiri/default.nix b/pkgs/desktops/lomiri/default.nix
index d0d9c777431e..4ac9a72eb7fb 100644
--- a/pkgs/desktops/lomiri/default.nix
+++ b/pkgs/desktops/lomiri/default.nix
@@ -8,7 +8,11 @@ let
inherit (self) callPackage;
in {
#### Core Apps
+ lomiri-system-settings-unwrapped = callPackage ./applications/lomiri-system-settings { };
+ lomiri-system-settings-security-privacy = callPackage ./applications/lomiri-system-settings/plugins/lomiri-system-settings-security-privacy.nix { };
+ lomiri-system-settings = callPackage ./applications/lomiri-system-settings/wrapper.nix { };
lomiri-terminal-app = callPackage ./applications/lomiri-terminal-app { };
+ morph-browser = callPackage ./applications/morph-browser { };
#### Data
lomiri-schemas = callPackage ./data/lomiri-schemas { };
@@ -31,6 +35,7 @@ let
#### QML / QML-related
lomiri-action-api = callPackage ./qml/lomiri-action-api { };
lomiri-notifications = callPackage ./qml/lomiri-notifications { };
+ lomiri-push-qml = callPackage ./qml/lomiri-push-qml { };
lomiri-settings-components = callPackage ./qml/lomiri-settings-components { };
lomiri-ui-extras = callPackage ./qml/lomiri-ui-extras { };
lomiri-ui-toolkit = callPackage ./qml/lomiri-ui-toolkit { };
diff --git a/pkgs/desktops/lomiri/development/geonames/default.nix b/pkgs/desktops/lomiri/development/geonames/default.nix
index 035837b1c47c..ce77bca6bc41 100644
--- a/pkgs/desktops/lomiri/development/geonames/default.nix
+++ b/pkgs/desktops/lomiri/development/geonames/default.nix
@@ -99,6 +99,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "Parse and query the geonames database dump";
+ mainProgram = "geonames-demo";
homepage = "https://gitlab.com/ubports/development/core/geonames";
changelog = "https://gitlab.com/ubports/development/core/geonames/-/blob/${finalAttrs.version}/ChangeLog";
license = licenses.gpl3Only;
diff --git a/pkgs/desktops/lomiri/qml/lomiri-push-qml/default.nix b/pkgs/desktops/lomiri/qml/lomiri-push-qml/default.nix
new file mode 100644
index 000000000000..5fba08098879
--- /dev/null
+++ b/pkgs/desktops/lomiri/qml/lomiri-push-qml/default.nix
@@ -0,0 +1,74 @@
+{ stdenv
+, lib
+, fetchFromGitLab
+, fetchpatch
+, cmake
+, lomiri-api
+, lomiri-indicator-network
+, pkg-config
+, qtbase
+, qtdeclarative
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "lomiri-push-qml";
+ version = "0-unstable-2022-09-15";
+
+ src = fetchFromGitLab {
+ owner = "ubports";
+ repo = "development/core/lomiri-push-qml";
+ rev = "6f87ee5cf92e2af0e0ce672835e71704e236b8c0";
+ hash = "sha256-ezLcQRJ7Sq/TVbeGJL3Vq2lzBe7StRRCrWXZs2CCUX8=";
+ };
+
+ patches = [
+ # Remove when https://gitlab.com/ubports/development/core/lomiri-push-qml/-/merge_requests/6 merged
+ (fetchpatch {
+ name = "0001-lomiri-push-qml-Stop-using-qt5_use_modules.patch";
+ url = "https://gitlab.com/ubports/development/core/lomiri-push-qml/-/commit/a4268c98b9f50fdd52da69c173d377f78ea93104.patch";
+ hash = "sha256-OijTB5+I9/wabT7dX+DkvoEROKzAUIKhBZkkhqq5Oig=";
+ })
+ ];
+
+ postPatch = ''
+ # Queries QMake for QML install location, returns QtBase build path
+ substituteInPlace src/*/PushNotifications/CMakeLists.txt \
+ --replace-fail 'qmake -query QT_INSTALL_QML' 'echo ''${CMAKE_INSTALL_PREFIX}/${qtbase.qtQmlPrefix}' \
+ '';
+
+ strictDeps = true;
+
+ nativeBuildInputs = [
+ cmake
+ pkg-config
+ qtdeclarative # qmlplugindump
+ ];
+
+ buildInputs = [
+ lomiri-api
+ lomiri-indicator-network
+ qtbase
+ qtdeclarative
+ ];
+
+ dontWrapQtApps = true;
+
+ cmakeFlags = [
+ # In case anything still depends on deprecated hints
+ (lib.cmakeBool "ENABLE_UBUNTU_COMPAT" true)
+ ];
+
+ preBuild = ''
+ # For qmlplugindump
+ export QT_PLUGIN_PATH=${lib.getBin qtbase}/${qtbase.qtPluginPrefix}
+ '';
+
+ meta = with lib; {
+ description = "Lomiri Push Notifications QML plugin";
+ homepage = "https://gitlab.com/ubports/development/core/lomiri-push-qml";
+ # License file indicates gpl3Only, but de87869c2cdb9819c2ca7c9eca9c5fb8b500a01f says it should be lgpl3Only
+ license = licenses.lgpl3Only;
+ maintainers = teams.lomiri.members;
+ platforms = platforms.linux;
+ };
+})
diff --git a/pkgs/desktops/lomiri/services/lomiri-thumbnailer/default.nix b/pkgs/desktops/lomiri/services/lomiri-thumbnailer/default.nix
index 7f2a5d256cb0..e400b73a25ab 100644
--- a/pkgs/desktops/lomiri/services/lomiri-thumbnailer/default.nix
+++ b/pkgs/desktops/lomiri/services/lomiri-thumbnailer/default.nix
@@ -87,6 +87,11 @@ stdenv.mkDerivation (finalAttrs: {
url = "https://gitlab.com/ubports/development/core/lomiri-thumbnailer/-/commit/657be3bd1aeb227edc04e26b597b2fe97b2dc51a.patch";
hash = "sha256-XEvdWV3JJujG16+87iewYor0jFK7NTeE5459iT96SkU=";
})
+ (fetchpatch {
+ name = "0008-fix-googletest-1-13.patch";
+ url = "https://salsa.debian.org/ubports-team/lomiri-thumbnailer/-/raw/debian/3.0.3-1/debian/patches/0001_fix_googletest_1_13.patch";
+ hash = "sha256-oBcdspQMhCxh4L/XotG9NRp/Ij2YzIjpC8xg/jdiptw=";
+ })
];
postPatch = ''
@@ -200,6 +205,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "D-Bus service for out of process thumbnailing";
+ mainProgram = "lomiri-thumbnailer-admin";
homepage = "https://gitlab.com/ubports/development/core/lomiri-thumbnailer";
changelog = "https://gitlab.com/ubports/development/core/lomiri-thumbnailer/-/blob/${finalAttrs.version}/ChangeLog";
license = with licenses; [ gpl3Only lgpl3Only ];
diff --git a/pkgs/desktops/lumina/lumina-calculator/default.nix b/pkgs/desktops/lumina/lumina-calculator/default.nix
index a8769e3d26ee..758bbf70d851 100644
--- a/pkgs/desktops/lumina/lumina-calculator/default.nix
+++ b/pkgs/desktops/lumina/lumina-calculator/default.nix
@@ -24,6 +24,7 @@ mkDerivation rec {
meta = with lib; {
description = "Scientific calculator for the Lumina Desktop";
+ mainProgram = "lumina-calculator";
homepage = "https://github.com/lumina-desktop/lumina-calculator";
license = licenses.bsd3;
platforms = platforms.unix;
diff --git a/pkgs/desktops/lumina/lumina-pdf/default.nix b/pkgs/desktops/lumina/lumina-pdf/default.nix
index 0464287b9674..cc9bd48c9de1 100644
--- a/pkgs/desktops/lumina/lumina-pdf/default.nix
+++ b/pkgs/desktops/lumina/lumina-pdf/default.nix
@@ -30,6 +30,7 @@ mkDerivation rec {
meta = with lib; {
description = "PDF viewer for the Lumina Desktop";
+ mainProgram = "lumina-pdf";
homepage = "https://github.com/lumina-desktop/lumina-pdf";
license = licenses.bsd3;
platforms = platforms.unix;
diff --git a/pkgs/desktops/lxde/core/lxappearance/default.nix b/pkgs/desktops/lxde/core/lxappearance/default.nix
index 6e341681cba3..3dc93a0f3386 100644
--- a/pkgs/desktops/lxde/core/lxappearance/default.nix
+++ b/pkgs/desktops/lxde/core/lxappearance/default.nix
@@ -37,6 +37,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Lightweight program for configuring the theme and fonts of gtk applications";
+ mainProgram = "lxappearance";
homepage = "https://lxde.org/";
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/lxde/core/lxrandr/default.nix b/pkgs/desktops/lxde/core/lxrandr/default.nix
index b6358cadeadd..791540468f3a 100644
--- a/pkgs/desktops/lxde/core/lxrandr/default.nix
+++ b/pkgs/desktops/lxde/core/lxrandr/default.nix
@@ -29,6 +29,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Standard screen manager of LXDE";
+ mainProgram = "lxrandr";
homepage = "https://lxde.org/";
license = licenses.gpl2Plus;
maintainers = with maintainers; [ rawkode ];
diff --git a/pkgs/desktops/lxde/core/lxtask/default.nix b/pkgs/desktops/lxde/core/lxtask/default.nix
index d2a560afd065..2daece75cbd4 100644
--- a/pkgs/desktops/lxde/core/lxtask/default.nix
+++ b/pkgs/desktops/lxde/core/lxtask/default.nix
@@ -25,6 +25,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://wiki.lxde.org/en/LXTask";
description = "Lightweight and desktop independent task manager";
+ mainProgram = "lxtask";
longDescription = ''
LXTask is a lightweight task manager derived from xfce4 task manager
with all xfce4 dependencies removed, some bugs fixed, and some
diff --git a/pkgs/desktops/lxqt/compton-conf/default.nix b/pkgs/desktops/lxqt/compton-conf/default.nix
index 4a9a878d31c7..f54fbd92bd35 100644
--- a/pkgs/desktops/lxqt/compton-conf/default.nix
+++ b/pkgs/desktops/lxqt/compton-conf/default.nix
@@ -45,6 +45,7 @@ mkDerivation rec {
broken = stdenv.isDarwin;
homepage = "https://github.com/lxqt/compton-conf";
description = "GUI configuration tool for compton X composite manager";
+ mainProgram = "compton-conf";
license = licenses.lgpl21Plus;
platforms = with platforms; unix;
maintainers = teams.lxqt.members;
diff --git a/pkgs/desktops/lxqt/default.nix b/pkgs/desktops/lxqt/default.nix
index eacdac554136..dec4cabffe7e 100644
--- a/pkgs/desktops/lxqt/default.nix
+++ b/pkgs/desktops/lxqt/default.nix
@@ -61,6 +61,7 @@ let
libqtxdg
libsysstat
liblxqt
+ qtxdg-tools
### CORE 1
libfm-qt
diff --git a/pkgs/desktops/lxqt/liblxqt/default.nix b/pkgs/desktops/lxqt/liblxqt/default.nix
index f7fba687bb86..8a5316d73f53 100644
--- a/pkgs/desktops/lxqt/liblxqt/default.nix
+++ b/pkgs/desktops/lxqt/liblxqt/default.nix
@@ -54,6 +54,7 @@ mkDerivation rec {
meta = with lib; {
description = "Core utility library for all LXQt components";
+ mainProgram = "lxqt-backlight_backend";
homepage = "https://github.com/lxqt/liblxqt";
license = licenses.lgpl21Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/lxqt/lximage-qt/default.nix b/pkgs/desktops/lxqt/lximage-qt/default.nix
index ec95c19b0c5a..2e49678f80f9 100644
--- a/pkgs/desktops/lxqt/lximage-qt/default.nix
+++ b/pkgs/desktops/lxqt/lximage-qt/default.nix
@@ -51,6 +51,7 @@ mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/lxqt/lximage-qt";
description = "The image viewer and screenshot tool for lxqt";
+ mainProgram = "lximage-qt";
license = licenses.gpl2Plus;
platforms = with platforms; unix;
maintainers = teams.lxqt.members;
diff --git a/pkgs/desktops/lxqt/lxqt-about/default.nix b/pkgs/desktops/lxqt/lxqt-about/default.nix
index fd20c68ba863..e78f0ba4e233 100644
--- a/pkgs/desktops/lxqt/lxqt-about/default.nix
+++ b/pkgs/desktops/lxqt/lxqt-about/default.nix
@@ -42,6 +42,7 @@ mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/lxqt/lxqt-about";
description = "Dialogue window providing information about LXQt and the system it's running on";
+ mainProgram = "lxqt-about";
license = licenses.lgpl21Plus;
platforms = platforms.linux;
maintainers = teams.lxqt.members;
diff --git a/pkgs/desktops/lxqt/lxqt-archiver/default.nix b/pkgs/desktops/lxqt/lxqt-archiver/default.nix
index 40cad3cb6082..0adf8a085965 100644
--- a/pkgs/desktops/lxqt/lxqt-archiver/default.nix
+++ b/pkgs/desktops/lxqt/lxqt-archiver/default.nix
@@ -48,6 +48,7 @@ mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/lxqt/lxqt-archiver/";
description = "Archive tool for the LXQt desktop environment";
+ mainProgram = "lxqt-archiver";
license = licenses.gpl2Plus;
platforms = with platforms; unix;
maintainers = with maintainers; [ jchw ] ++ teams.lxqt.members;
diff --git a/pkgs/desktops/lxqt/lxqt-build-tools/default.nix b/pkgs/desktops/lxqt/lxqt-build-tools/default.nix
index b3874abfa576..4398dd342774 100644
--- a/pkgs/desktops/lxqt/lxqt-build-tools/default.nix
+++ b/pkgs/desktops/lxqt/lxqt-build-tools/default.nix
@@ -63,6 +63,7 @@ mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/lxqt/lxqt-build-tools";
description = "Various packaging tools and scripts for LXQt applications";
+ mainProgram = "lxqt-transupdate";
license = licenses.lgpl21Plus;
platforms = with platforms; unix;
maintainers = teams.lxqt.members;
diff --git a/pkgs/desktops/lxqt/lxqt-panel/default.nix b/pkgs/desktops/lxqt/lxqt-panel/default.nix
index 16895a1aa956..5b141e7962d5 100644
--- a/pkgs/desktops/lxqt/lxqt-panel/default.nix
+++ b/pkgs/desktops/lxqt/lxqt-panel/default.nix
@@ -76,6 +76,7 @@ mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/lxqt/lxqt-panel";
description = "The LXQt desktop panel";
+ mainProgram = "lxqt-panel";
license = licenses.lgpl21Plus;
platforms = platforms.linux;
maintainers = teams.lxqt.members;
diff --git a/pkgs/desktops/lxqt/lxqt-runner/default.nix b/pkgs/desktops/lxqt/lxqt-runner/default.nix
index 3d5bdf0a01b8..465a844c451f 100644
--- a/pkgs/desktops/lxqt/lxqt-runner/default.nix
+++ b/pkgs/desktops/lxqt/lxqt-runner/default.nix
@@ -54,6 +54,7 @@ mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/lxqt/lxqt-runner";
description = "Tool used to launch programs quickly by typing their names";
+ mainProgram = "lxqt-runner";
license = licenses.lgpl21Plus;
platforms = platforms.linux;
maintainers = teams.lxqt.members;
diff --git a/pkgs/desktops/lxqt/obconf-qt/default.nix b/pkgs/desktops/lxqt/obconf-qt/default.nix
index d44077db4fcf..fc0a0ddd076d 100644
--- a/pkgs/desktops/lxqt/obconf-qt/default.nix
+++ b/pkgs/desktops/lxqt/obconf-qt/default.nix
@@ -46,6 +46,7 @@ mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/lxqt/obconf-qt";
description = "The Qt port of obconf, the Openbox configuration tool";
+ mainProgram = "obconf-qt";
license = licenses.gpl2Plus;
platforms = with platforms; unix;
maintainers = teams.lxqt.members;
diff --git a/pkgs/desktops/lxqt/pavucontrol-qt/default.nix b/pkgs/desktops/lxqt/pavucontrol-qt/default.nix
index f5b69b2e85fa..6703ed1154fc 100644
--- a/pkgs/desktops/lxqt/pavucontrol-qt/default.nix
+++ b/pkgs/desktops/lxqt/pavucontrol-qt/default.nix
@@ -38,6 +38,7 @@ mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/lxqt/pavucontrol-qt";
description = "A Pulseaudio mixer in Qt (port of pavucontrol)";
+ mainProgram = "pavucontrol-qt";
license = licenses.gpl2Plus;
platforms = with platforms; linux;
maintainers = teams.lxqt.members;
diff --git a/pkgs/desktops/lxqt/pcmanfm-qt/default.nix b/pkgs/desktops/lxqt/pcmanfm-qt/default.nix
index 82868794e7d2..3d8efb1e9f0a 100644
--- a/pkgs/desktops/lxqt/pcmanfm-qt/default.nix
+++ b/pkgs/desktops/lxqt/pcmanfm-qt/default.nix
@@ -52,6 +52,7 @@ mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/lxqt/pcmanfm-qt";
description = "File manager and desktop icon manager (Qt port of PCManFM and libfm)";
+ mainProgram = "pcmanfm-qt";
license = licenses.gpl2Plus;
platforms = with platforms; unix;
maintainers = teams.lxqt.members;
diff --git a/pkgs/desktops/lxqt/qlipper/default.nix b/pkgs/desktops/lxqt/qlipper/default.nix
index 91f11e8d1374..5ebb69a861c0 100644
--- a/pkgs/desktops/lxqt/qlipper/default.nix
+++ b/pkgs/desktops/lxqt/qlipper/default.nix
@@ -31,6 +31,7 @@ mkDerivation rec {
meta = with lib; {
description = "Cross-platform clipboard history applet";
+ mainProgram = "qlipper";
homepage = "https://github.com/pvanek/qlipper";
license = licenses.gpl2Plus;
platforms = with platforms; unix;
diff --git a/pkgs/desktops/lxqt/qps/default.nix b/pkgs/desktops/lxqt/qps/default.nix
index 0b6b81a4e39f..f04b2e595862 100644
--- a/pkgs/desktops/lxqt/qps/default.nix
+++ b/pkgs/desktops/lxqt/qps/default.nix
@@ -42,6 +42,7 @@ mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/lxqt/qps";
description = "Qt based process manager";
+ mainProgram = "qps";
license = licenses.gpl2Plus;
platforms = with platforms; linux; # does not build on darwin
maintainers = teams.lxqt.members;
diff --git a/pkgs/desktops/lxqt/qterminal/default.nix b/pkgs/desktops/lxqt/qterminal/default.nix
index 0a1bf1f82d12..8a47980d67b7 100644
--- a/pkgs/desktops/lxqt/qterminal/default.nix
+++ b/pkgs/desktops/lxqt/qterminal/default.nix
@@ -41,6 +41,7 @@ mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/lxqt/qterminal";
description = "A lightweight Qt-based terminal emulator";
+ mainProgram = "qterminal";
license = licenses.gpl2Plus;
platforms = with platforms; unix;
maintainers = with maintainers; teams.lxqt.members;
diff --git a/pkgs/desktops/lxqt/qtxdg-tools/default.nix b/pkgs/desktops/lxqt/qtxdg-tools/default.nix
index 10476a00cf73..06374affdaac 100644
--- a/pkgs/desktops/lxqt/qtxdg-tools/default.nix
+++ b/pkgs/desktops/lxqt/qtxdg-tools/default.nix
@@ -34,6 +34,7 @@ mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/lxqt/qtxdg-tools";
description = "libqtxdg user tools";
+ mainProgram = "qtxdg-mat";
license = licenses.lgpl21Plus;
platforms = platforms.linux;
maintainers = teams.lxqt.members;
diff --git a/pkgs/desktops/lxqt/screengrab/default.nix b/pkgs/desktops/lxqt/screengrab/default.nix
index 59513dfebfda..d2c00bebef01 100644
--- a/pkgs/desktops/lxqt/screengrab/default.nix
+++ b/pkgs/desktops/lxqt/screengrab/default.nix
@@ -49,6 +49,7 @@ mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/lxqt/screengrab";
description = "Crossplatform tool for fast making screenshots";
+ mainProgram = "screengrab";
license = licenses.gpl2Plus;
platforms = platforms.linux;
maintainers = teams.lxqt.members;
diff --git a/pkgs/desktops/mate/atril/default.nix b/pkgs/desktops/mate/atril/default.nix
index 29c0e1b824e9..611721da3642 100644
--- a/pkgs/desktops/mate/atril/default.nix
+++ b/pkgs/desktops/mate/atril/default.nix
@@ -3,16 +3,17 @@
, fetchurl
, pkg-config
, gettext
+, caja
, gtk3
, glib
, libxml2
, libarchive
, libsecret
, poppler
+, mate-desktop
, itstool
, hicolor-icon-theme
, texlive
-, mate
, wrapGAppsHook
, enableEpub ? true
, webkitgtk_4_1
@@ -28,11 +29,11 @@
stdenv.mkDerivation rec {
pname = "atril";
- version = "1.26.2";
+ version = "1.28.0";
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "wwW51fVxP0Jiau4DggkTA0IrPXGlbd1lkyzNsjx86SY=";
+ sha256 = "ztRyX26bccTqY2dr/DzDvgnSnboIqnp6uXlk4LQ1UWI=";
};
nativeBuildInputs = [
@@ -42,6 +43,7 @@ stdenv.mkDerivation rec {
];
buildInputs = [
+ caja
gtk3
glib
itstool
@@ -49,8 +51,7 @@ stdenv.mkDerivation rec {
libsecret
libxml2
poppler
- mate.caja
- mate.mate-desktop
+ mate-desktop
hicolor-icon-theme
texlive.bin.core # for synctex, used by the pdf back-end
]
diff --git a/pkgs/desktops/mate/caja-dropbox/default.nix b/pkgs/desktops/mate/caja-dropbox/default.nix
index bb19521e835c..7841d0769a36 100644
--- a/pkgs/desktops/mate/caja-dropbox/default.nix
+++ b/pkgs/desktops/mate/caja-dropbox/default.nix
@@ -5,8 +5,8 @@
, pkg-config
, gobject-introspection
, gdk-pixbuf
+, caja
, gtk3
-, mate
, python3
, dropbox
, mateUpdateScript
@@ -17,11 +17,11 @@ let
in
stdenv.mkDerivation rec {
pname = "caja-dropbox";
- version = "1.26.0";
+ version = "1.28.0";
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "16w4r0zjps12lmzwiwpb9qnmbvd0p391q97296sxa8k88b1x14wn";
+ sha256 = "t0w4qZQlS9PPfLxxK8LsdRagypQqpleFJs29aqYgGWM=";
};
patches = [
@@ -44,8 +44,8 @@ stdenv.mkDerivation rec {
];
buildInputs = [
+ caja
gtk3
- mate.caja
python3
];
diff --git a/pkgs/desktops/mate/caja-extensions/default.nix b/pkgs/desktops/mate/caja-extensions/default.nix
index 1cbbd97cc484..d3bc563f0729 100644
--- a/pkgs/desktops/mate/caja-extensions/default.nix
+++ b/pkgs/desktops/mate/caja-extensions/default.nix
@@ -3,23 +3,24 @@
, fetchurl
, pkg-config
, gettext
+, caja
+, glib
+, gst_all_1
, gtk3
, gupnp
-, mate
, imagemagick
+, mate-desktop
, wrapGAppsHook
, mateUpdateScript
-, glib
-, substituteAll
}:
stdenv.mkDerivation rec {
pname = "caja-extensions";
- version = "1.26.1";
+ version = "1.28.0";
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "WJwZ4/oQJC1iOaXMuVhVmENqVuvpTS6ypQtZUMzh1SA=";
+ sha256 = "0phsXgdAg1/icc+9WCPu6vAyka8XYyA/RwCruBCeMXU=";
};
nativeBuildInputs = [
@@ -29,31 +30,20 @@ stdenv.mkDerivation rec {
];
buildInputs = [
+ caja
+ glib
+ gst_all_1.gstreamer
+ gst_all_1.gst-plugins-base
+ gst_all_1.gst-plugins-good
gtk3
gupnp
- mate.caja
- mate.mate-desktop
imagemagick
- ];
-
- patches = [
- (substituteAll {
- src = ./hardcode-gsettings.patch;
- caja_gsetttings_path = glib.getSchemaPath mate.caja;
- desktop_gsetttings_path = glib.getSchemaPath mate.mate-desktop;
- })
+ mate-desktop
];
postPatch = ''
- substituteInPlace open-terminal/caja-open-terminal.c --subst-var-by \
- GSETTINGS_PATH ${glib.makeSchemaPath "$out" "${pname}-${version}"}
- substituteInPlace sendto/caja-sendto-command.c --subst-var-by \
- GSETTINGS_PATH ${glib.makeSchemaPath "$out" "${pname}-${version}"}
- substituteInPlace wallpaper/caja-wallpaper-extension.c --subst-var-by \
- GSETTINGS_PATH ${glib.makeSchemaPath "$out" "${pname}-${version}"}
-
for f in image-converter/caja-image-{resizer,rotator}.c; do
- substituteInPlace $f --replace "/usr/bin/convert" "${imagemagick}/bin/convert"
+ substituteInPlace $f --replace-fail 'argv[0] = "convert"' 'argv[0] = "${imagemagick}/bin/convert"'
done
'';
@@ -65,6 +55,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Set of extensions for Caja file manager";
+ mainProgram = "caja-sendto";
homepage = "https://mate-desktop.org";
license = licenses.gpl2Plus;
platforms = platforms.unix;
diff --git a/pkgs/desktops/mate/caja-extensions/hardcode-gsettings.patch b/pkgs/desktops/mate/caja-extensions/hardcode-gsettings.patch
deleted file mode 100644
index f37124963d0d..000000000000
--- a/pkgs/desktops/mate/caja-extensions/hardcode-gsettings.patch
+++ /dev/null
@@ -1,169 +0,0 @@
-diff --git a/open-terminal/caja-open-terminal.c b/open-terminal/caja-open-terminal.c
-index e14a9bf..691afab 100644
---- a/open-terminal/caja-open-terminal.c
-+++ b/open-terminal/caja-open-terminal.c
-@@ -135,8 +135,18 @@ desktop_opens_home_dir (void)
- {
- gboolean result;
- GSettings* settings;
--
-- settings = g_settings_new (COT_SCHEMA);
-+ GSettingsSchemaSource* schema_source;
-+ GSettingsSchema* schema;
-+
-+ schema_source = g_settings_schema_source_new_from_directory("@GSETTINGS_PATH@",
-+ g_settings_schema_source_get_default(),
-+ TRUE, NULL);
-+ schema = g_settings_schema_source_lookup(schema_source,
-+ COT_SCHEMA,
-+ FALSE);
-+ settings = g_settings_new_full(schema, NULL, NULL);
-+ g_settings_schema_source_unref(schema_source);
-+ g_settings_schema_unref(schema);
- result = g_settings_get_boolean (settings, COT_DESKTOP_KEY);
- g_object_unref (settings);
- return result;
-@@ -147,8 +157,18 @@ set_desktop_opens_home_dir (gboolean val)
- {
- gboolean result;
- GSettings* settings;
--
-- settings = g_settings_new (COT_SCHEMA);
-+ GSettingsSchemaSource* schema_source;
-+ GSettingsSchema* schema;
-+
-+ schema_source = g_settings_schema_source_new_from_directory("@GSETTINGS_PATH@",
-+ g_settings_schema_source_get_default(),
-+ TRUE, NULL);
-+ schema = g_settings_schema_source_lookup(schema_source,
-+ COT_SCHEMA,
-+ FALSE);
-+ settings = g_settings_new_full(schema, NULL, NULL);
-+ g_settings_schema_source_unref(schema_source);
-+ g_settings_schema_unref(schema);
- result = g_settings_set_boolean (settings, COT_DESKTOP_KEY, val);
- g_object_unref (settings);
- return result;
-@@ -159,8 +179,18 @@ desktop_is_home_dir (void)
- {
- gboolean result;
- GSettings* settings;
--
-- settings = g_settings_new (CAJA_SCHEMA);
-+ GSettingsSchemaSource* schema_source;
-+ GSettingsSchema* schema;
-+
-+ schema_source = g_settings_schema_source_new_from_directory("@caja_gsetttings_path@",
-+ g_settings_schema_source_get_default(),
-+ TRUE, NULL);
-+ schema = g_settings_schema_source_lookup(schema_source,
-+ CAJA_SCHEMA,
-+ FALSE);
-+ settings = g_settings_new_full(schema, NULL, NULL);
-+ g_settings_schema_source_unref(schema_source);
-+ g_settings_schema_unref(schema);
- result = g_settings_get_boolean (settings, CAJA_DESKTOP_KEY);
- g_object_unref (settings);
- return result;
-@@ -171,8 +201,18 @@ default_terminal_application (void)
- {
- gchar *result;
- GSettings* settings;
--
-- settings = g_settings_new (TERM_SCHEMA);
-+ GSettingsSchemaSource* schema_source;
-+ GSettingsSchema* schema;
-+
-+ schema_source = g_settings_schema_source_new_from_directory("@desktop_gsetttings_path@",
-+ g_settings_schema_source_get_default(),
-+ TRUE, NULL);
-+ schema = g_settings_schema_source_lookup(schema_source,
-+ TERM_SCHEMA,
-+ FALSE);
-+ settings = g_settings_new_full(schema, NULL, NULL);
-+ g_settings_schema_source_unref(schema_source);
-+ g_settings_schema_unref(schema);
- result = g_settings_get_string (settings, TERM_EXEC_KEY);
- g_object_unref (settings);
-
-@@ -189,8 +229,18 @@ set_default_terminal_application (const gchar* exec)
- {
- gboolean result;
- GSettings* settings;
--
-- settings = g_settings_new (TERM_SCHEMA);
-+ GSettingsSchemaSource* schema_source;
-+ GSettingsSchema* schema;
-+
-+ schema_source = g_settings_schema_source_new_from_directory("@desktop_gsetttings_path@",
-+ g_settings_schema_source_get_default(),
-+ TRUE, NULL);
-+ schema = g_settings_schema_source_lookup(schema_source,
-+ TERM_SCHEMA,
-+ FALSE);
-+ settings = g_settings_new_full(schema, NULL, NULL);
-+ g_settings_schema_source_unref(schema_source);
-+ g_settings_schema_unref(schema);
- result = g_settings_set_string (settings, TERM_EXEC_KEY, exec);
- g_object_unref (settings);
- return result;
-diff --git a/sendto/caja-sendto-command.c b/sendto/caja-sendto-command.c
-index 8181db6..579dc81 100644
---- a/sendto/caja-sendto-command.c
-+++ b/sendto/caja-sendto-command.c
-@@ -801,6 +801,8 @@ caja_sendto_init (void)
- int main (int argc, char **argv)
- {
- GOptionContext *context;
-+ GSettingsSchemaSource* schema_source;
-+ GSettingsSchema* schema;
- GError *error = NULL;
-
- #ifdef ENABLE_NLS
-@@ -818,7 +820,15 @@ int main (int argc, char **argv)
- return 1;
- }
-
-- settings = g_settings_new ("org.mate.Caja.Sendto");
-+ schema_source = g_settings_schema_source_new_from_directory("@GSETTINGS_PATH@",
-+ g_settings_schema_source_get_default(),
-+ TRUE, NULL);
-+ schema = g_settings_schema_source_lookup(schema_source,
-+ "org.mate.Caja.Sendto",
-+ FALSE);
-+ settings = g_settings_new_full(schema, NULL, NULL);
-+ g_settings_schema_source_unref(schema_source);
-+ g_settings_schema_unref(schema);
- caja_sendto_init ();
- if (caja_sendto_plugin_init () == FALSE) {
- GtkWidget *error_dialog;
-diff --git a/wallpaper/caja-wallpaper-extension.c b/wallpaper/caja-wallpaper-extension.c
-index 3119e9f..4f80c88 100644
---- a/wallpaper/caja-wallpaper-extension.c
-+++ b/wallpaper/caja-wallpaper-extension.c
-@@ -47,6 +47,8 @@ set_wallpaper_callback (CajaMenuItem *item,
- {
- GList *files;
- GSettings *settings;
-+ GSettingsSchemaSource* schema_source;
-+ GSettingsSchema* schema;
- CajaFileInfo *file;
- gchar *uri;
- gchar *filename;
-@@ -57,7 +59,15 @@ set_wallpaper_callback (CajaMenuItem *item,
- uri = caja_file_info_get_uri (file);
- filename = g_filename_from_uri(uri, NULL, NULL);
-
-- settings = g_settings_new (WP_SCHEMA);
-+ schema_source = g_settings_schema_source_new_from_directory("@desktop_gsetttings_path@",
-+ g_settings_schema_source_get_default(),
-+ TRUE, NULL);
-+ schema = g_settings_schema_source_lookup(schema_source,
-+ WP_SCHEMA,
-+ FALSE);
-+ settings = g_settings_new_full(schema, NULL, NULL);
-+ g_settings_schema_source_unref(schema_source);
-+ g_settings_schema_unref(schema);
-
- g_settings_set_string (settings, WP_FILE_KEY, filename);
-
diff --git a/pkgs/desktops/mate/caja-with-extensions/default.nix b/pkgs/desktops/mate/caja-with-extensions/default.nix
deleted file mode 100644
index 4de6d5d6d760..000000000000
--- a/pkgs/desktops/mate/caja-with-extensions/default.nix
+++ /dev/null
@@ -1,29 +0,0 @@
-{ stdenv
-, lib
-, makeWrapper
-, caja-extensions
-, caja
-, extensions ? [ caja-extensions ]
-, mateUpdateScript
-}:
-
-stdenv.mkDerivation {
- pname = "${caja.pname}-with-extensions";
- version = caja.version;
-
- dontUnpack = true;
-
- nativeBuildInputs = [
- makeWrapper
- ];
-
- inherit caja;
-
- installPhase = ''
- mkdir -p $out/bin
- makeWrapper $caja/bin/caja $out/bin/caja \
- --set CAJA_EXTENSION_DIRS ${lib.concatMapStringsSep ":" (x: "${x.outPath}/lib/caja/extensions-2.0") extensions}
- '';
-
- inherit (caja.meta);
-}
diff --git a/pkgs/desktops/mate/caja/default.nix b/pkgs/desktops/mate/caja/default.nix
index fc5a8e93d9b9..a09cef4621a8 100644
--- a/pkgs/desktops/mate/caja/default.nix
+++ b/pkgs/desktops/mate/caja/default.nix
@@ -3,24 +3,26 @@
, fetchurl
, pkg-config
, gettext
+, gtk-layer-shell
, gtk3
, libnotify
, libxml2
, libexif
, exempi
-, mate
+, mate-desktop
, hicolor-icon-theme
+, wayland
, wrapGAppsHook
, mateUpdateScript
}:
stdenv.mkDerivation rec {
pname = "caja";
- version = "1.26.3";
+ version = "1.28.0";
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "gT7fCKNvmV7DwVBBMf+K+70CH24VhmQ/5dztXnPleQ0=";
+ sha256 = "HjAUzhRVgX7C73TQnv37aDXYo3LtmhbvtZGe97ghlXo=";
};
nativeBuildInputs = [
@@ -30,13 +32,15 @@ stdenv.mkDerivation rec {
];
buildInputs = [
+ gtk-layer-shell
gtk3
libnotify
libxml2
libexif
exempi
- mate.mate-desktop
+ mate-desktop
hicolor-icon-theme
+ wayland
];
configureFlags = [ "--disable-update-mimedb" ];
diff --git a/pkgs/desktops/mate/caja/with-extensions.nix b/pkgs/desktops/mate/caja/with-extensions.nix
new file mode 100644
index 000000000000..b9a55b4b9209
--- /dev/null
+++ b/pkgs/desktops/mate/caja/with-extensions.nix
@@ -0,0 +1,61 @@
+{ stdenv
+, lib
+, glib
+, wrapGAppsHook
+, xorg
+, caja
+, cajaExtensions
+, extensions ? [ ]
+, useDefaultExtensions ? true
+}:
+
+let
+ selectedExtensions = extensions ++ (lib.optionals useDefaultExtensions cajaExtensions);
+in
+stdenv.mkDerivation {
+ pname = "${caja.pname}-with-extensions";
+ version = caja.version;
+
+ src = null;
+
+ nativeBuildInputs = [
+ glib
+ wrapGAppsHook
+ ];
+
+ buildInputs = lib.forEach selectedExtensions (x: x.buildInputs) ++ selectedExtensions
+ ++ [ caja ] ++ caja.buildInputs;
+
+ dontUnpack = true;
+ dontConfigure = true;
+ dontBuild = true;
+
+ preferLocalBuild = true;
+ allowSubstitutes = false;
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p $out
+ ${xorg.lndir}/bin/lndir -silent ${caja} $out
+
+ dbus_service_path="share/dbus-1/services/org.mate.freedesktop.FileManager1.service"
+ rm -f $out/share/applications/* "$out/$dbus_service_path"
+ for file in ${caja}/share/applications/*; do
+ substitute "$file" "$out/share/applications/$(basename $file)" \
+ --replace-fail "${caja}" "$out"
+ done
+ substitute "${caja}/$dbus_service_path" "$out/$dbus_service_path" \
+ --replace-fail "${caja}" "$out"
+
+ runHook postInstall
+ '';
+
+ preFixup = lib.optionalString (selectedExtensions != [ ]) ''
+ gappsWrapperArgs+=(
+ --set CAJA_EXTENSION_DIRS ${lib.concatMapStringsSep ":" (x: "${x.outPath}/lib/caja/extensions-2.0") selectedExtensions}
+ )
+ '';
+
+ inherit (caja.meta);
+}
diff --git a/pkgs/desktops/mate/default.nix b/pkgs/desktops/mate/default.nix
index 8604c0f5ee90..da8c8e065789 100644
--- a/pkgs/desktops/mate/default.nix
+++ b/pkgs/desktops/mate/default.nix
@@ -14,7 +14,7 @@ let
caja = callPackage ./caja { };
caja-dropbox = callPackage ./caja-dropbox { };
caja-extensions = callPackage ./caja-extensions { };
- caja-with-extensions = callPackage ./caja-with-extensions { };
+ caja-with-extensions = callPackage ./caja/with-extensions.nix { };
engrampa = callPackage ./engrampa { };
eom = callPackage ./eom { };
libmatekbd = callPackage ./libmatekbd { };
@@ -27,6 +27,7 @@ let
mate-common = callPackage ./mate-common { };
mate-control-center = callPackage ./mate-control-center { };
mate-desktop = callPackage ./mate-desktop { };
+ mate-gsettings-overrides = callPackage ./mate-gsettings-overrides { };
mate-icon-theme = callPackage ./mate-icon-theme { };
mate-icon-theme-faenza = callPackage ./mate-icon-theme-faenza { };
mate-indicator-applet = callPackage ./mate-indicator-applet { };
@@ -35,6 +36,7 @@ let
mate-netbook = callPackage ./mate-netbook { };
mate-notification-daemon = callPackage ./mate-notification-daemon { };
mate-panel = callPackage ./mate-panel { };
+ mate-panel-with-applets = callPackage ./mate-panel/with-applets.nix { };
mate-polkit = callPackage ./mate-polkit { };
mate-power-manager = callPackage ./mate-power-manager { };
mate-sensors-applet = callPackage ./mate-sensors-applet { };
@@ -49,12 +51,13 @@ let
mate-user-guide = callPackage ./mate-user-guide { };
mate-user-share = callPackage ./mate-user-share { };
mate-utils = callPackage ./mate-utils { };
+ mate-wayland-session = callPackage ./mate-wayland-session { };
mozo = callPackage ./mozo { };
- pluma = callPackage ./pluma { inherit (pkgs.gnome) adwaita-icon-theme; };
+ pluma = callPackage ./pluma { };
python-caja = callPackage ./python-caja { };
+ # Caja and mate-panel are managed in NixOS module.
basePackages = [
- caja
libmatekbd
libmatemixer
libmateweather
@@ -65,7 +68,6 @@ let
mate-icon-theme
mate-menus
mate-notification-daemon
- mate-panel
mate-polkit
mate-session-manager
mate-settings-daemon
@@ -75,7 +77,7 @@ let
extraPackages = [
atril
- caja-extensions
+ caja-extensions # for caja-sendto
engrampa
eom
mate-applets
@@ -86,7 +88,6 @@ let
mate-netbook
mate-power-manager
mate-screensaver
- mate-sensors-applet
mate-system-monitor
mate-terminal
mate-user-guide
@@ -96,6 +97,20 @@ let
pluma
];
+ cajaExtensions = [
+ caja-extensions
+ ];
+
+ panelApplets = [
+ mate-applets
+ mate-indicator-applet
+ mate-netbook
+ mate-notification-daemon
+ mate-media
+ mate-power-manager
+ mate-sensors-applet
+ mate-utils
+ ];
};
in lib.makeScope pkgs.newScope packages
diff --git a/pkgs/desktops/mate/engrampa/default.nix b/pkgs/desktops/mate/engrampa/default.nix
index 8ed6f37fc4d6..d5fb1aea2bbe 100644
--- a/pkgs/desktops/mate/engrampa/default.nix
+++ b/pkgs/desktops/mate/engrampa/default.nix
@@ -5,22 +5,24 @@
, gettext
, itstool
, libxml2
+, caja
, gtk3
-, mate
, hicolor-icon-theme
+, json-glib
+, mate-desktop
, wrapGAppsHook
, mateUpdateScript
-# can be defaulted to true once engrampa builds with meson (version > 1.27.0)
+# can be defaulted to true once switch to meson
, withMagic ? stdenv.buildPlatform.canExecute stdenv.hostPlatform, file
}:
stdenv.mkDerivation rec {
pname = "engrampa";
- version = "1.26.2";
+ version = "1.28.1";
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "cx9cR7UfNvyMiWUrbnfbT7K0Zjid6ZkMmFUpo9T/iEw=";
+ sha256 = "nFxMm8+LCO6qjydVONJLTJVQidWK7AMx6JwCuE2FOGo=";
};
nativeBuildInputs = [
@@ -32,10 +34,11 @@ stdenv.mkDerivation rec {
];
buildInputs = [
+ caja
gtk3
- mate.caja
hicolor-icon-theme
- mate.mate-desktop
+ json-glib
+ mate-desktop
] ++ lib.optionals withMagic [
file
];
@@ -52,6 +55,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Archive Manager for MATE";
+ mainProgram = "engrampa";
homepage = "https://mate-desktop.org";
license = with licenses; [ gpl2Plus lgpl2Plus fdl11Plus ];
platforms = platforms.unix;
diff --git a/pkgs/desktops/mate/eom/default.nix b/pkgs/desktops/mate/eom/default.nix
index 765d10dd9d68..98475acb3cd0 100644
--- a/pkgs/desktops/mate/eom/default.nix
+++ b/pkgs/desktops/mate/eom/default.nix
@@ -13,7 +13,7 @@
, libpeas
, shared-mime-info
, gtk3
-, mate
+, mate-desktop
, hicolor-icon-theme
, wrapGAppsHook
, mateUpdateScript
@@ -21,11 +21,11 @@
stdenv.mkDerivation rec {
pname = "eom";
- version = "1.26.1";
+ version = "1.28.0";
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "tSUSKUlPfmxi4J+yEeQzCN9PB0xVG6CiM9ws1oZLmWA=";
+ sha256 = "mgHKsplaGoxyWMhl6uXxgu1HMMRGcq/cOgfkI+3VOrw=";
};
nativeBuildInputs = [
@@ -45,7 +45,7 @@ stdenv.mkDerivation rec {
shared-mime-info
gtk3
libpeas
- mate.mate-desktop
+ mate-desktop
hicolor-icon-theme
];
@@ -55,6 +55,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "An image viewing and cataloging program for the MATE desktop";
+ mainProgram = "eom";
homepage = "https://mate-desktop.org";
license = licenses.gpl2Plus;
platforms = platforms.unix;
diff --git a/pkgs/desktops/mate/libmatekbd/default.nix b/pkgs/desktops/mate/libmatekbd/default.nix
index 901d1c6bfffa..918e28f255aa 100644
--- a/pkgs/desktops/mate/libmatekbd/default.nix
+++ b/pkgs/desktops/mate/libmatekbd/default.nix
@@ -10,11 +10,11 @@
stdenv.mkDerivation rec {
pname = "libmatekbd";
- version = "1.26.1";
+ version = "1.28.0";
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "Y5ONkSUpRe7qiP2DdNEjG9g9As2WXGu6F8GF7bOXvO0=";
+ sha256 = "XS5YSDwrI9M1A9JMiPi5CijMAYnX5AAbPic6YE9v6A4=";
};
nativeBuildInputs = [
diff --git a/pkgs/desktops/mate/libmatemixer/default.nix b/pkgs/desktops/mate/libmatemixer/default.nix
index 310bfb96a7d9..ebdd3eb07dda 100644
--- a/pkgs/desktops/mate/libmatemixer/default.nix
+++ b/pkgs/desktops/mate/libmatemixer/default.nix
@@ -7,6 +7,7 @@
, glib
, alsaSupport ? stdenv.isLinux
, alsa-lib
+, udev
, pulseaudioSupport ? config.pulseaudio or true
, libpulseaudio
, ossSupport ? false
@@ -15,11 +16,11 @@
stdenv.mkDerivation rec {
pname = "libmatemixer";
- version = "1.26.1";
+ version = "1.28.0";
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "SWD1mmufr4KgHUpLjMJgtIaN2ZHv1Kmxe10KFaHToa4=";
+ sha256 = "XXO5Ijl/YGiOPJUw61MrzkbDDiYtsbU1L6MsQNhwoMc=";
};
nativeBuildInputs = [
@@ -29,9 +30,12 @@ stdenv.mkDerivation rec {
buildInputs = [
glib
- ]
- ++ lib.optional alsaSupport alsa-lib
- ++ lib.optional pulseaudioSupport libpulseaudio;
+ ] ++ lib.optionals alsaSupport [
+ alsa-lib
+ udev
+ ] ++ lib.optionals pulseaudioSupport [
+ libpulseaudio
+ ];
configureFlags = lib.optional ossSupport "--enable-oss";
diff --git a/pkgs/desktops/mate/libmateweather/default.nix b/pkgs/desktops/mate/libmateweather/default.nix
index 4c7e6eb6c023..4c003c4a9873 100644
--- a/pkgs/desktops/mate/libmateweather/default.nix
+++ b/pkgs/desktops/mate/libmateweather/default.nix
@@ -14,11 +14,11 @@
stdenv.mkDerivation rec {
pname = "libmateweather";
- version = "1.26.3";
+ version = "1.28.0";
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "XmzSRBiEfLRazxfaW0NacTHLTsKs/2joKPNCob8T70o=";
+ sha256 = "VUNz3rWzk7nYSydd0spmyaSi0ObskgRPq4qlPjAy0rU=";
};
strictDeps = true;
diff --git a/pkgs/desktops/mate/marco/default.nix b/pkgs/desktops/mate/marco/default.nix
index 0e71a9a86fd6..598cc51eca46 100644
--- a/pkgs/desktops/mate/marco/default.nix
+++ b/pkgs/desktops/mate/marco/default.nix
@@ -14,6 +14,7 @@
, gnome
, glib
, gtk3
+, mate-desktop
, mate-settings-daemon
, wrapGAppsHook
, mateUpdateScript
@@ -21,11 +22,11 @@
stdenv.mkDerivation rec {
pname = "marco";
- version = "1.26.2";
+ version = "1.28.1";
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "EvGiVP4QcvAwSIRxHgiaVoJ4CgEVk0Au043muUgOB6M=";
+ sha256 = "JJbl5A7pgM1oSUk6w+D4/Q3si4HGdNqNm6GaV38KwuE=";
};
nativeBuildInputs = [
@@ -45,9 +46,15 @@ stdenv.mkDerivation rec {
libstartup_notification
gtk3
gnome.zenity
+ mate-desktop
mate-settings-daemon
];
+ postPatch = ''
+ substituteInPlace src/core/util.c \
+ --replace-fail 'argvl[i++] = "zenity"' 'argvl[i++] = "${gnome.zenity}/bin/zenity"'
+ '';
+
env.NIX_CFLAGS_COMPILE = "-I${glib.dev}/include/gio-unix-2.0";
enableParallelBuilding = true;
diff --git a/pkgs/desktops/mate/mate-applets/default.nix b/pkgs/desktops/mate/mate-applets/default.nix
index dfb4e4620c51..8e358fe29ae0 100644
--- a/pkgs/desktops/mate/mate-applets/default.nix
+++ b/pkgs/desktops/mate/mate-applets/default.nix
@@ -7,7 +7,7 @@
, dbus-glib
, glib
, gtk3
-, gtksourceview3
+, gtksourceview4
, gucharmap
, libmateweather
, libnl
@@ -20,7 +20,6 @@
, polkit
, upower
, wirelesstools
-, mate
, hicolor-icon-theme
, wrapGAppsHook
, mateUpdateScript
@@ -28,11 +27,11 @@
stdenv.mkDerivation rec {
pname = "mate-applets";
- version = "1.26.1";
+ version = "1.28.0";
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "Orj2HbN23DM85MGHIsY6B/qz6OEnK34OCXrUWXsXwsI=";
+ sha256 = "G2vva9XTJvudyCj/kQ5LG5KUtGYMMR3ByQMQ/Zw1ZoY=";
};
nativeBuildInputs = [
@@ -45,7 +44,7 @@ stdenv.mkDerivation rec {
buildInputs = [
dbus-glib
gtk3
- gtksourceview3
+ gtksourceview4
gucharmap
hicolor-icon-theme
libgtop
@@ -61,7 +60,7 @@ stdenv.mkDerivation rec {
wirelesstools
];
- configureFlags = [ "--enable-suid=no" ];
+ configureFlags = [ "--enable-suid=no" "--enable-in-process" ];
env.NIX_CFLAGS_COMPILE = "-I${glib.dev}/include/gio-unix-2.0";
@@ -71,6 +70,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Applets for use with the MATE panel";
+ mainProgram = "mate-cpufreq-selector";
homepage = "https://mate-desktop.org";
license = with licenses; [ gpl2Plus lgpl2Plus ];
platforms = platforms.linux;
diff --git a/pkgs/desktops/mate/mate-backgrounds/default.nix b/pkgs/desktops/mate/mate-backgrounds/default.nix
index 639f156c8ac7..0d512260d303 100644
--- a/pkgs/desktops/mate/mate-backgrounds/default.nix
+++ b/pkgs/desktops/mate/mate-backgrounds/default.nix
@@ -1,31 +1,21 @@
{ lib
-, stdenv
+, stdenvNoCC
, fetchurl
-, fetchpatch
, meson
, ninja
, gettext
, mateUpdateScript
}:
-stdenv.mkDerivation rec {
+stdenvNoCC.mkDerivation rec {
pname = "mate-backgrounds";
- version = "1.26.0";
+ version = "1.28.0";
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "0379hngy3ap1r5kmqvmzs9r710k2c9nal2ps3hq765df4ir15j8d";
+ sha256 = "UNGv0CSGvQesIqWmtu+jAxFI8NSKguSI2QmtVwA6aUM=";
};
- patches = [
- # Fix build with meson 0.61, can be removed on next update.
- # https://github.com/mate-desktop/mate-backgrounds/pull/39
- (fetchpatch {
- url = "https://github.com/mate-desktop/mate-backgrounds/commit/0096e237d420e6247a75a1c6940a818e309ac2a7.patch";
- sha256 = "HEF8VWunFO+NCG18fZA7lbE2l8pc6Z3jcD+rSZ1Jsqg=";
- })
- ];
-
nativeBuildInputs = [
gettext
meson
diff --git a/pkgs/desktops/mate/mate-calc/default.nix b/pkgs/desktops/mate/mate-calc/default.nix
index cdb743cac665..4a4d6db2a3b3 100644
--- a/pkgs/desktops/mate/mate-calc/default.nix
+++ b/pkgs/desktops/mate/mate-calc/default.nix
@@ -14,11 +14,11 @@
stdenv.mkDerivation rec {
pname = "mate-calc";
- version = "1.26.0";
+ version = "1.28.0";
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "0mddfh9ixhh60nfgx5kcprcl9liavwqyina11q3pnpfs3n02df3y";
+ sha256 = "gEsSXR4oZLHnSvgW2psquLGUcrmvl0Q37nNVraXmKPU=";
};
nativeBuildInputs = [
diff --git a/pkgs/desktops/mate/mate-common/default.nix b/pkgs/desktops/mate/mate-common/default.nix
index 0f4a1901932b..fac27474e32a 100644
--- a/pkgs/desktops/mate/mate-common/default.nix
+++ b/pkgs/desktops/mate/mate-common/default.nix
@@ -1,16 +1,16 @@
{ lib
-, stdenv
+, stdenvNoCC
, fetchurl
, mateUpdateScript
}:
-stdenv.mkDerivation rec {
+stdenvNoCC.mkDerivation rec {
pname = "mate-common";
- version = "1.26.0";
+ version = "1.28.0";
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "014wpfqpqmfkzv81paap4fz15mj1gsyvaxlrfqsp9a3yxw4f7jaf";
+ sha256 = "QrfCzuJo9x1+HBrU9pvNoOzWVXipZyIYfGt2N40mugo=";
};
enableParallelBuilding = true;
diff --git a/pkgs/desktops/mate/mate-control-center/default.nix b/pkgs/desktops/mate/mate-control-center/default.nix
index 8e2e3ea621c2..8305601204e2 100644
--- a/pkgs/desktops/mate/mate-control-center/default.nix
+++ b/pkgs/desktops/mate/mate-control-center/default.nix
@@ -5,17 +5,27 @@
, gettext
, itstool
, libxml2
+, accountsservice
+, caja
, dbus-glib
, libxklavier
, libcanberra-gtk3
+, libgtop
+, libmatekbd
, librsvg
-, libappindicator-gtk3
+, libayatana-appindicator
, glib
, desktop-file-utils
, dconf
, gtk3
, polkit
-, mate
+, marco
+, mate-desktop
+, mate-menus
+, mate-panel
+, mate-settings-daemon
+, udisks2
+, systemd
, hicolor-icon-theme
, wrapGAppsHook
, mateUpdateScript
@@ -23,11 +33,11 @@
stdenv.mkDerivation rec {
pname = "mate-control-center";
- version = "1.26.1";
+ version = "1.28.0";
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "4F9JKjtleqVvxY989xvIyA344lNR/eTbT1I6uNtbVgg=";
+ sha256 = "6/LHBP1SSNwvmDb/KQKIae8p1QVJB8xhVzS2ODp5FLw=";
};
nativeBuildInputs = [
@@ -39,32 +49,41 @@ stdenv.mkDerivation rec {
];
buildInputs = [
+ accountsservice
libxml2
dbus-glib
libxklavier
libcanberra-gtk3
+ libgtop
+ libmatekbd
librsvg
- libappindicator-gtk3
+ libayatana-appindicator
gtk3
dconf
polkit
hicolor-icon-theme
- mate.mate-desktop
- mate.libmatekbd
- mate.mate-menus
- mate.mate-panel # for org.mate.panel schema, see m-c-c#678
- mate.marco
- mate.mate-settings-daemon
+ marco
+ mate-desktop
+ mate-menus
+ mate-panel # for org.mate.panel schema, see m-c-c#678
+ mate-settings-daemon
+ udisks2
+ systemd
];
+ postPatch = ''
+ substituteInPlace capplets/system-info/mate-system-info.c \
+ --replace-fail "/usr/bin/mate-about" "${mate-desktop}/bin/mate-about"
+ '';
+
configureFlags = [ "--disable-update-mimedb" ];
preFixup = ''
gappsWrapperArgs+=(
# WM keyboard shortcuts
- --prefix XDG_DATA_DIRS : "${mate.marco}/share"
+ --prefix XDG_DATA_DIRS : "${marco}/share"
# Desktop font, works only when passed after gtk3 schemas in the wrapper for some reason
- --prefix XDG_DATA_DIRS : "${glib.getSchemaDataDirPath mate.caja}"
+ --prefix XDG_DATA_DIRS : "${glib.getSchemaDataDirPath caja}"
)
'';
diff --git a/pkgs/desktops/mate/mate-desktop/default.nix b/pkgs/desktops/mate/mate-desktop/default.nix
index d7c052ae3c24..4f917028bd80 100644
--- a/pkgs/desktops/mate/mate-desktop/default.nix
+++ b/pkgs/desktops/mate/mate-desktop/default.nix
@@ -4,7 +4,7 @@
, pkg-config
, gettext
, isocodes
-, gnome
+, libstartup_notification
, gtk3
, dconf
, wrapGAppsHook
@@ -13,11 +13,13 @@
stdenv.mkDerivation rec {
pname = "mate-desktop";
- version = "1.26.2";
+ version = "1.28.2";
+
+ outputs = [ "out" "dev" ];
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "XtA/fFLXt+zknKHnNqp2au/rXGOeqz5oxwkMUw0CN2o=";
+ sha256 = "MrtLeSAUs5HB4biunBioK01EdlCYS0y6fSjpVWSWSqI=";
};
nativeBuildInputs = [
@@ -28,10 +30,14 @@ stdenv.mkDerivation rec {
buildInputs = [
dconf
- gtk3
isocodes
];
+ propagatedBuildInputs = [
+ gtk3
+ libstartup_notification
+ ];
+
enableParallelBuilding = true;
passthru.updateScript = mateUpdateScript { inherit pname; };
diff --git a/pkgs/desktops/mate/mate-gsettings-overrides/default.nix b/pkgs/desktops/mate/mate-gsettings-overrides/default.nix
new file mode 100644
index 000000000000..e9e2c3c3b0b9
--- /dev/null
+++ b/pkgs/desktops/mate/mate-gsettings-overrides/default.nix
@@ -0,0 +1,24 @@
+{ lib
+, runCommand
+, gsettings-desktop-schemas
+, mate-wayland-session
+, glib
+}:
+
+let
+ gsettingsOverridePackages = [
+ gsettings-desktop-schemas
+ mate-wayland-session
+ ];
+in
+runCommand "mate-gsettings-overrides" { preferLocalBuild = true; } ''
+ data_dir="$out/share/gsettings-schemas/nixos-gsettings-overrides"
+ schema_dir="$data_dir/glib-2.0/schemas"
+ mkdir -p "$schema_dir"
+
+ ${lib.concatMapStringsSep "\n" (pkg: "cp -rf \"${glib.getSchemaPath pkg}\"/*.xml \"${glib.getSchemaPath pkg}\"/*.gschema.override \"$schema_dir\"") gsettingsOverridePackages}
+
+ chmod -R a+w "$data_dir"
+
+ ${glib.dev}/bin/glib-compile-schemas --strict "$schema_dir"
+''
diff --git a/pkgs/desktops/mate/mate-icon-theme/default.nix b/pkgs/desktops/mate/mate-icon-theme/default.nix
index 1f874fa6f16e..b951d929bb06 100644
--- a/pkgs/desktops/mate/mate-icon-theme/default.nix
+++ b/pkgs/desktops/mate/mate-icon-theme/default.nix
@@ -12,11 +12,11 @@
stdenv.mkDerivation rec {
pname = "mate-icon-theme";
- version = "1.26.0";
+ version = "1.28.0";
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "0nha555fhhn0j5wmzmdc7bh93ckzwwdm8mwmzma5whkzslv09xa1";
+ sha256 = "lNYHkGDKXfdFQpId5O6ji30C0HVhyRk1bZXeh2+abTo=";
};
nativeBuildInputs = [
diff --git a/pkgs/desktops/mate/mate-indicator-applet/default.nix b/pkgs/desktops/mate/mate-indicator-applet/default.nix
index b6445151f594..0a915625958b 100644
--- a/pkgs/desktops/mate/mate-indicator-applet/default.nix
+++ b/pkgs/desktops/mate/mate-indicator-applet/default.nix
@@ -5,7 +5,7 @@
, gettext
, gtk3
, libayatana-indicator
-, mate
+, mate-panel
, hicolor-icon-theme
, wrapGAppsHook
, mateUpdateScript
@@ -13,17 +13,17 @@
stdenv.mkDerivation rec {
pname = "mate-indicator-applet";
- version = "1.26.0";
+ version = "1.28.0";
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "144fh9f3lag2cqnmb6zxlh8k83ya8kha6rmd7r8gg3z5w3nzpyz4";
+ sha256 = "zrPXA5cKPlWNfNffCxwhceOvdSolSVrO0uIiwemtSc0=";
};
postPatch = ''
# Find installed Unity & Ayatana (new-style) indicators
substituteInPlace src/applet-main.c \
- --replace '/usr/share' '/run/current-system/sw/share'
+ --replace-fail '/usr/share' '/run/current-system/sw/share'
'';
nativeBuildInputs = [
@@ -35,7 +35,7 @@ stdenv.mkDerivation rec {
buildInputs = [
gtk3
libayatana-indicator
- mate.mate-panel
+ mate-panel
hicolor-icon-theme
];
diff --git a/pkgs/desktops/mate/mate-media/default.nix b/pkgs/desktops/mate/mate-media/default.nix
index 0b5eae0172e0..4b2590088e1d 100644
--- a/pkgs/desktops/mate/mate-media/default.nix
+++ b/pkgs/desktops/mate/mate-media/default.nix
@@ -4,32 +4,27 @@
, pkg-config
, gettext
, libtool
-, libxml2
-, libcanberra-gtk3
+, gtk-layer-shell
, gtk3
-, mate
+, libcanberra-gtk3
+, libmatemixer
+, libxml2
+, mate-desktop
+, mate-panel
+, wayland
, wrapGAppsHook
, mateUpdateScript
}:
stdenv.mkDerivation rec {
pname = "mate-media";
- version = "1.26.2";
+ version = "1.28.1";
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "r0ZjlXTMOIUTCJyhC7FB/8Pm0awz5zDkII21dZZChQ8=";
+ sha256 = "vNwQLiL2P1XmMWbVxwjpHBE1cOajCodDRaiGCeg6mRI=";
};
- buildInputs = [
- libxml2
- libcanberra-gtk3
- gtk3
- mate.libmatemixer
- mate.mate-panel
- mate.mate-desktop
- ];
-
nativeBuildInputs = [
pkg-config
gettext
@@ -37,6 +32,19 @@ stdenv.mkDerivation rec {
wrapGAppsHook
];
+ buildInputs = [
+ gtk-layer-shell
+ gtk3
+ libcanberra-gtk3
+ libmatemixer
+ libxml2
+ mate-desktop
+ mate-panel
+ wayland
+ ];
+
+ configureFlags = [ "--enable-in-process" ];
+
enableParallelBuilding = true;
passthru.updateScript = mateUpdateScript { inherit pname; };
diff --git a/pkgs/desktops/mate/mate-menus/default.nix b/pkgs/desktops/mate/mate-menus/default.nix
index 9d879340ce1e..9328147e642c 100644
--- a/pkgs/desktops/mate/mate-menus/default.nix
+++ b/pkgs/desktops/mate/mate-menus/default.nix
@@ -11,11 +11,11 @@
stdenv.mkDerivation rec {
pname = "mate-menus";
- version = "1.26.1";
+ version = "1.28.0";
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "RY1ZmuW2UMfSF0D5/pVMSoOL5F7WKrQOIOMG+vXdHYw=";
+ sha256 = "z0DHXH1vCq0dSWmCj8YgJcYiK8aoTwu51urX5FlwUI0=";
};
nativeBuildInputs = [
diff --git a/pkgs/desktops/mate/mate-netbook/default.nix b/pkgs/desktops/mate/mate-netbook/default.nix
index 6d7af4148b4f..af4e7bebf9b3 100644
--- a/pkgs/desktops/mate/mate-netbook/default.nix
+++ b/pkgs/desktops/mate/mate-netbook/default.nix
@@ -41,6 +41,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "MATE utilities for netbooks";
+ mainProgram = "mate-maximus";
longDescription = ''
MATE utilities for netbooks are an applet and a daemon to maximize
windows and move their titles on the panel.
diff --git a/pkgs/desktops/mate/mate-notification-daemon/default.nix b/pkgs/desktops/mate/mate-notification-daemon/default.nix
index 7569a676db11..4299f98d4ccc 100644
--- a/pkgs/desktops/mate/mate-notification-daemon/default.nix
+++ b/pkgs/desktops/mate/mate-notification-daemon/default.nix
@@ -7,6 +7,7 @@
, libcanberra-gtk3
, libnotify
, libwnck
+, gtk-layer-shell
, gtk3
, libxml2
, mate-desktop
@@ -17,11 +18,11 @@
stdenv.mkDerivation rec {
pname = "mate-notification-daemon";
- version = "1.26.1";
+ version = "1.28.0";
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "Dq6SlsSKPHH9VvGTGWH5LSnkWgRf5fGgX4PHQAwxmSQ=";
+ sha256 = "pDEDSOrYZsvLm0xGP00mXMapahp4KpQRoIsjvWXbsuA=";
};
nativeBuildInputs = [
@@ -35,11 +36,14 @@ stdenv.mkDerivation rec {
libcanberra-gtk3
libnotify
libwnck
+ gtk-layer-shell
gtk3
mate-desktop
mate-panel
];
+ configureFlags = [ "--enable-in-process" ];
+
env.NIX_CFLAGS_COMPILE = "-I${glib.dev}/include/gio-unix-2.0";
enableParallelBuilding = true;
@@ -48,6 +52,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Notification daemon for MATE Desktop";
+ mainProgram = "mate-notification-properties";
homepage = "https://github.com/mate-desktop/mate-notification-daemon";
license = with licenses; [ gpl2Plus gpl3Plus ];
platforms = platforms.unix;
diff --git a/pkgs/desktops/mate/mate-panel/default.nix b/pkgs/desktops/mate/mate-panel/default.nix
index 214e1767f25b..75340bf4dc96 100644
--- a/pkgs/desktops/mate/mate-panel/default.nix
+++ b/pkgs/desktops/mate/mate-panel/default.nix
@@ -5,25 +5,31 @@
, gettext
, itstool
, glib
+, gnome
+, gtk-layer-shell
+, gtk3
+, libmateweather
, libwnck
, librsvg
, libxml2
, dconf
-, gtk3
-, mate
+, mate-desktop
+, mate-menus
, hicolor-icon-theme
+, wayland
, gobject-introspection
, wrapGAppsHook
+, marco
, mateUpdateScript
}:
stdenv.mkDerivation rec {
pname = "mate-panel";
- version = "1.26.4";
+ version = "1.28.0";
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "IHD51RVlfl3c2g2H73KXE9upy3sq0GIjvWdKIcxrPa8=";
+ sha256 = "s70EoJTQ61vX3DOA728MSdmp1SCXM9fM17RtBmogjLo=";
};
nativeBuildInputs = [
@@ -35,18 +41,29 @@ stdenv.mkDerivation rec {
];
buildInputs = [
- glib
+ gtk-layer-shell
+ libmateweather
libwnck
librsvg
libxml2
- gtk3
dconf
- mate.libmateweather
- mate.mate-desktop
- mate.mate-menus
+ mate-desktop
+ mate-menus
hicolor-icon-theme
+ wayland
];
+ propagatedBuildInputs = [
+ glib
+ gtk3
+ # See https://github.com/mate-desktop/mate-panel/issues/1402
+ # This is propagated for mate_panel_applet_settings_new and applet's wrapGAppsHook
+ gnome.dconf-editor
+ ];
+
+ # Needed for Wayland support.
+ configureFlags = [ "--with-in-process-applets=all" ];
+
env.NIX_CFLAGS_COMPILE = "-I${glib.dev}/include/gio-unix-2.0";
makeFlags = [
@@ -57,7 +74,7 @@ stdenv.mkDerivation rec {
preFixup = ''
gappsWrapperArgs+=(
# Workspace switcher settings, works only when passed after gtk3 schemas in the wrapper for some reason
- --prefix XDG_DATA_DIRS : "${glib.getSchemaDataDirPath mate.marco}"
+ --prefix XDG_DATA_DIRS : "${glib.getSchemaDataDirPath marco}"
)
'';
diff --git a/pkgs/desktops/mate/mate-panel/with-applets.nix b/pkgs/desktops/mate/mate-panel/with-applets.nix
new file mode 100644
index 000000000000..e3f76645aa7c
--- /dev/null
+++ b/pkgs/desktops/mate/mate-panel/with-applets.nix
@@ -0,0 +1,61 @@
+{ stdenv
+, lib
+, glib
+, wrapGAppsHook
+, xorg
+, marco
+, mate-panel
+, panelApplets
+, applets ? [ ]
+, useDefaultApplets ? true
+}:
+
+let
+ selectedApplets = applets ++ (lib.optionals useDefaultApplets panelApplets);
+in
+stdenv.mkDerivation {
+ pname = "${mate-panel.pname}-with-applets";
+ version = mate-panel.version;
+
+ src = null;
+
+ paths = [ mate-panel ] ++ selectedApplets;
+ passAsFile = [ "paths" ];
+
+ nativeBuildInputs = [
+ glib
+ wrapGAppsHook
+ ];
+
+ buildInputs = lib.forEach selectedApplets (x: x.buildInputs) ++ selectedApplets
+ ++ [ mate-panel ] ++ mate-panel.buildInputs ++ mate-panel.propagatedBuildInputs;
+
+ dontUnpack = true;
+ dontConfigure = true;
+ dontBuild = true;
+
+ preferLocalBuild = true;
+ allowSubstitutes = false;
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p $out
+ for i in $(cat $pathsPath); do
+ ${xorg.lndir}/bin/lndir -silent $i $out
+ done
+
+ runHook postInstall
+ '';
+
+ preFixup = ''
+ gappsWrapperArgs+=(
+ --set MATE_PANEL_APPLETS_DIR "$out/share/mate-panel/applets"
+ --set MATE_PANEL_EXTRA_MODULES "$out/lib/mate-panel/applets"
+ # Workspace switcher settings
+ --prefix XDG_DATA_DIRS : "${glib.getSchemaDataDirPath marco}"
+ )
+ '';
+
+ inherit (mate-panel.meta);
+}
diff --git a/pkgs/desktops/mate/mate-polkit/default.nix b/pkgs/desktops/mate/mate-polkit/default.nix
index 1adee4c01b0f..827a641d3967 100644
--- a/pkgs/desktops/mate/mate-polkit/default.nix
+++ b/pkgs/desktops/mate/mate-polkit/default.nix
@@ -5,19 +5,18 @@
, gettext
, gtk3
, gobject-introspection
-, libappindicator-gtk3
-, libindicator-gtk3
+, libayatana-appindicator
, polkit
, mateUpdateScript
}:
stdenv.mkDerivation rec {
pname = "mate-polkit";
- version = "1.26.1";
+ version = "1.28.1";
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "9bewtd/FMwLEBAMkWZjrkSGvP1DnFmagmrc7slRSA1c=";
+ sha256 = "NQod0KjtaiycGDz/KiHzlCmelo/MauLoyTxWXa5gSug=";
};
nativeBuildInputs = [
@@ -28,8 +27,7 @@ stdenv.mkDerivation rec {
buildInputs = [
gtk3
- libappindicator-gtk3
- libindicator-gtk3
+ libayatana-appindicator
polkit
];
diff --git a/pkgs/desktops/mate/mate-power-manager/default.nix b/pkgs/desktops/mate/mate-power-manager/default.nix
index 1bf511d5073f..1eaff61fc1b2 100644
--- a/pkgs/desktops/mate/mate-power-manager/default.nix
+++ b/pkgs/desktops/mate/mate-power-manager/default.nix
@@ -6,6 +6,7 @@
, glib
, itstool
, libxml2
+, mate-desktop
, mate-panel
, libnotify
, libcanberra-gtk3
@@ -21,11 +22,11 @@
stdenv.mkDerivation rec {
pname = "mate-power-manager";
- version = "1.26.1";
+ version = "1.28.1";
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "IM2dIu0Eur+Yu1DnGg7F14qKR2KHcjJ4+H2nbKv7EEI=";
+ sha256 = "jr3LdLYH6Ggza6moFGze+Pl7zlNcKwyzv2UMWPce7iE=";
};
nativeBuildInputs = [
@@ -46,6 +47,7 @@ stdenv.mkDerivation rec {
dbus-glib
upower
polkit
+ mate-desktop
mate-panel
];
diff --git a/pkgs/desktops/mate/mate-screensaver/default.nix b/pkgs/desktops/mate/mate-screensaver/default.nix
index 37cf461b78a5..2d2c9bfaf952 100644
--- a/pkgs/desktops/mate/mate-screensaver/default.nix
+++ b/pkgs/desktops/mate/mate-screensaver/default.nix
@@ -8,20 +8,22 @@
, libXScrnSaver
, libnotify
, libxml2
+, mate-desktop
+, mate-menus
+, mate-panel
, pam
, systemd
-, mate
, wrapGAppsHook
, mateUpdateScript
}:
stdenv.mkDerivation rec {
pname = "mate-screensaver";
- version = "1.26.2";
+ version = "1.28.0";
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "2pcAziQUW9VdJJJ+7P5tMdClLq6G5WOyxlBUs1al/34=";
+ sha256 = "ag8kqPhKL5XhARSrU+Y/1KymiKVf3FA+1lDgpBDj6nA=";
};
nativeBuildInputs = [
@@ -36,11 +38,11 @@ stdenv.mkDerivation rec {
dbus-glib
libXScrnSaver
libnotify
+ mate-desktop
+ mate-menus
+ mate-panel
pam
systemd
- mate.mate-desktop
- mate.mate-menus
- mate.mate-panel
];
configureFlags = [ "--without-console-kit" ];
diff --git a/pkgs/desktops/mate/mate-sensors-applet/default.nix b/pkgs/desktops/mate/mate-sensors-applet/default.nix
index 7c5988e34503..88fc966edb56 100644
--- a/pkgs/desktops/mate/mate-sensors-applet/default.nix
+++ b/pkgs/desktops/mate/mate-sensors-applet/default.nix
@@ -10,29 +10,26 @@
, libatasmart
, libnotify
, lm_sensors
-, mate
+, mate-panel
, hicolor-icon-theme
-, wrapGAppsHook
, mateUpdateScript
}:
stdenv.mkDerivation rec {
pname = "mate-sensors-applet";
- version = "1.26.0";
+ version = "1.28.0";
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "0s19r30fsicqvvcnz57lv158pi35w9zn5i7h5hz59224y0zpqhsc";
+ sha256 = "1GU2ZoKvj+uGGCg8l4notw22/RfKj6lQrG9xAQIxWoE=";
};
nativeBuildInputs = [
pkg-config
gettext
itstool
- wrapGAppsHook
];
- # maybe add nvidia-settings later on
buildInputs = [
gtk3
libxml2
@@ -40,10 +37,12 @@ stdenv.mkDerivation rec {
libatasmart
libnotify
lm_sensors
- mate.mate-panel
+ mate-panel
hicolor-icon-theme
];
+ configureFlags = [ "--enable-in-process" ];
+
enableParallelBuilding = true;
passthru.updateScript = mateUpdateScript { inherit pname; };
diff --git a/pkgs/desktops/mate/mate-session-manager/default.nix b/pkgs/desktops/mate/mate-session-manager/default.nix
index 443339388f17..c8b0c94f888e 100644
--- a/pkgs/desktops/mate/mate-session-manager/default.nix
+++ b/pkgs/desktops/mate/mate-session-manager/default.nix
@@ -8,11 +8,13 @@
, systemd
, libSM
, libXtst
+, glib
, gtk3
, libepoxy
, polkit
, hicolor-icon-theme
-, mate
+, mate-desktop
+, mate-screensaver
, wrapGAppsHook
, fetchpatch
, mateUpdateScript
@@ -20,11 +22,11 @@
stdenv.mkDerivation rec {
pname = "mate-session-manager";
- version = "1.26.1";
+ version = "1.28.0";
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "W4x9ZEH9nCk8hjiCq2enSTxTzfZOqyfAlFdfQj69Qng=";
+ sha256 = "0yzkWVuh2mUpB3cgPyvIK9lzshSjoECAoe9caJkKLXs=";
};
patches = [
@@ -48,7 +50,8 @@ stdenv.mkDerivation rec {
libSM
libXtst
gtk3
- mate.mate-desktop
+ mate-desktop
+ mate-screensaver # for gsm_manager_init
hicolor-icon-theme
libepoxy
polkit
@@ -56,9 +59,11 @@ stdenv.mkDerivation rec {
enableParallelBuilding = true;
+ env.NIX_CFLAGS_COMPILE = "-I${glib.dev}/include/gio-unix-2.0";
+
postFixup = ''
substituteInPlace $out/share/xsessions/mate.desktop \
- --replace "Exec=mate-session" "Exec=$out/bin/mate-session"
+ --replace-fail "Exec=mate-session" "Exec=$out/bin/mate-session"
'';
passthru.providedSessions = [ "mate" ];
diff --git a/pkgs/desktops/mate/mate-settings-daemon/default.nix b/pkgs/desktops/mate/mate-settings-daemon/default.nix
index 6d245add0df3..09085ed04869 100644
--- a/pkgs/desktops/mate/mate-settings-daemon/default.nix
+++ b/pkgs/desktops/mate/mate-settings-daemon/default.nix
@@ -4,15 +4,16 @@
, pkg-config
, gettext
, glib
-, dbus-glib
, libxklavier
, libcanberra-gtk3
, libnotify
+, libmatekbd
+, libmatemixer
, nss
, polkit
, dconf
, gtk3
-, mate
+, mate-desktop
, pulseaudioSupport ? stdenv.config.pulseaudio or true
, libpulseaudio
, wrapGAppsHook
@@ -21,11 +22,11 @@
stdenv.mkDerivation rec {
pname = "mate-settings-daemon";
- version = "1.26.1";
+ version = "1.28.0";
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "aX6mW1QpIcK3ZhRSktJo0wCcwtqDFtKnhphpBV5LGFk=";
+ sha256 = "TtfNraqkyZ7//AKCuEEXA7t24HLEHEtXmJ+MW0BhGjo=";
};
nativeBuildInputs = [
@@ -35,17 +36,16 @@ stdenv.mkDerivation rec {
];
buildInputs = [
- dbus-glib
libxklavier
libcanberra-gtk3
libnotify
+ libmatekbd
+ libmatemixer
nss
polkit
gtk3
dconf
- mate.mate-desktop
- mate.libmatekbd
- mate.libmatemixer
+ mate-desktop
] ++ lib.optional pulseaudioSupport libpulseaudio;
configureFlags = lib.optional pulseaudioSupport "--enable-pulse";
diff --git a/pkgs/desktops/mate/mate-system-monitor/default.nix b/pkgs/desktops/mate/mate-system-monitor/default.nix
index a29cc7c18ec7..665d062dc246 100644
--- a/pkgs/desktops/mate/mate-system-monitor/default.nix
+++ b/pkgs/desktops/mate/mate-system-monitor/default.nix
@@ -7,21 +7,21 @@
, gtkmm3
, libxml2
, libgtop
-, libwnck
, librsvg
, polkit
, systemd
, wrapGAppsHook
+, mate-desktop
, mateUpdateScript
}:
stdenv.mkDerivation rec {
pname = "mate-system-monitor";
- version = "1.26.3";
+ version = "1.28.1";
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "WFthNBX3bucDt7XlK1WWKUKVB+A8kwVKSq3Pb5xlIkk=";
+ sha256 = "QtZj1rkPtTYevBP2VHmD1vHirHXcKuTxysbqYymWWiU=";
};
nativeBuildInputs = [
@@ -35,13 +35,16 @@ stdenv.mkDerivation rec {
gtkmm3
libxml2
libgtop
- libwnck
librsvg
polkit
systemd
];
- configureFlags = [ "--enable-systemd" ];
+ postPatch = ''
+ # This package does not provide mate-version.xml.
+ substituteInPlace src/sysinfo.cpp \
+ --replace-fail 'DATADIR "/mate-about/mate-version.xml"' '"${mate-desktop}/share/mate-about/mate-version.xml"'
+ '';
enableParallelBuilding = true;
@@ -49,6 +52,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "System monitor for the MATE desktop";
+ mainProgram = "mate-system-monitor";
homepage = "https://mate-desktop.org";
license = [ licenses.gpl2Plus ];
platforms = platforms.unix;
diff --git a/pkgs/desktops/mate/mate-terminal/default.nix b/pkgs/desktops/mate/mate-terminal/default.nix
index e2406789df43..76c002c9299d 100644
--- a/pkgs/desktops/mate/mate-terminal/default.nix
+++ b/pkgs/desktops/mate/mate-terminal/default.nix
@@ -16,11 +16,11 @@
stdenv.mkDerivation rec {
pname = "mate-terminal";
- version = "1.26.1";
+ version = "1.28.1";
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "fBMCBvC0eIfoySdOc/jBn65RETRXKGmnwjERt4nh4dA=";
+ sha256 = "8TXrGp4q4ieY7LLcGRT9tM/XdOa7ZcAVK+N8xslGnpI=";
};
nativeBuildInputs = [
diff --git a/pkgs/desktops/mate/mate-user-guide/default.nix b/pkgs/desktops/mate/mate-user-guide/default.nix
index 5b894c40340c..7dc2e9ad4221 100644
--- a/pkgs/desktops/mate/mate-user-guide/default.nix
+++ b/pkgs/desktops/mate/mate-user-guide/default.nix
@@ -10,11 +10,11 @@
stdenv.mkDerivation rec {
pname = "mate-user-guide";
- version = "1.26.2";
+ version = "1.28.0";
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "TTK241ZKyPTqqysVSC33+XaXUN+IEavtg30KLn7jgIs=";
+ sha256 = "U+8IFPUGVEYU7WGre+UiHMjTqfFPfvlpjJD+fkYBS54=";
};
nativeBuildInputs = [
@@ -29,7 +29,7 @@ stdenv.mkDerivation rec {
postPatch = ''
substituteInPlace mate-user-guide.desktop.in.in \
- --replace "Exec=yelp" "Exec=${yelp}/bin/yelp"
+ --replace-fail "Exec=yelp" "Exec=${yelp}/bin/yelp"
'';
enableParallelBuilding = true;
diff --git a/pkgs/desktops/mate/mate-user-share/default.nix b/pkgs/desktops/mate/mate-user-share/default.nix
index 84204e3e34be..6156a7450fa1 100644
--- a/pkgs/desktops/mate/mate-user-share/default.nix
+++ b/pkgs/desktops/mate/mate-user-share/default.nix
@@ -5,6 +5,7 @@
, gettext
, itstool
, gtk3
+, caja
, dbus-glib
, libnotify
, libxml2
@@ -21,11 +22,11 @@ let
in
stdenv.mkDerivation rec {
pname = "mate-user-share";
- version = "1.26.0";
+ version = "1.28.0";
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "1wh0b4qw5wzpl7sg44lpwjb9r6xllch3xfz8c2cchl8rcgbh2kph";
+ sha256 = "iYVgmZkXllE0jkl+8I81C4YIG5expKcwQHfurlc5rjg=";
};
nativeBuildInputs = [
@@ -38,10 +39,10 @@ stdenv.mkDerivation rec {
buildInputs = [
gtk3
+ caja
dbus-glib
libnotify
libcanberra-gtk3
- mate.caja
hicolor-icon-theme
# Should mod_dnssd and apacheHttpd be runtime dependencies?
# In gnome-user-share they are not.
@@ -67,6 +68,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "User level public file sharing for the MATE desktop";
+ mainProgram = "mate-file-share-properties";
homepage = "https://github.com/mate-desktop/mate-user-share";
license = with licenses; [ gpl2Plus ];
platforms = platforms.unix;
diff --git a/pkgs/desktops/mate/mate-utils/default.nix b/pkgs/desktops/mate/mate-utils/default.nix
index cfe24a4888a0..35ce76d7c0fa 100644
--- a/pkgs/desktops/mate/mate-utils/default.nix
+++ b/pkgs/desktops/mate/mate-utils/default.nix
@@ -1,43 +1,34 @@
{ lib
, stdenv
, fetchurl
-, fetchpatch
, pkg-config
, gettext
, itstool
, glib
+, gtk-layer-shell
, gtk3
, libxml2
, libgtop
, libcanberra-gtk3
, inkscape
, udisks2
-, mate
+, mate-desktop
+, mate-panel
, hicolor-icon-theme
+, wayland
, wrapGAppsHook
, mateUpdateScript
}:
stdenv.mkDerivation rec {
pname = "mate-utils";
- version = "1.26.1";
+ version = "1.28.0";
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "L1NHWxoJkd1ak9ndpY/KTkFvJZJTWG2UpbEQjxI3BiA=";
+ sha256 = "WESdeg0dkA/wO3jKn36Ywh6X9H/Ca+5/8cYYNPIviNM=";
};
- patches = [
- # Hopefully helps "libxml2.treeError: xmlSetProp() failed"
- # This patch is not part of upstream yet.
- # https://bugs.debian.org/cgi-bin/bugreport.cgi?bug=919058
- # https://github.com/mate-desktop/mate-utils/issues/210
- (fetchpatch {
- url = "https://salsa.debian.org/debian-mate-team/mate-utils/-/raw/2b43d78f3fdbf0aa50716b62bcada2ef015957c6/debian/patches/1001_fix-gsearchtool-pt-help-translation.patch";
- sha256 = "SZVpdup/bNv+3hEGQ0L13mgXyNm+wRcL53t9/Oi24wA=";
- })
- ];
-
nativeBuildInputs = [
pkg-config
gettext
@@ -47,15 +38,28 @@ stdenv.mkDerivation rec {
];
buildInputs = [
+ gtk-layer-shell
gtk3
libgtop
libcanberra-gtk3
libxml2
udisks2
- mate.mate-panel
+ mate-desktop
+ mate-panel
hicolor-icon-theme
+ wayland
];
+ postPatch = ''
+ # Workaround undefined version requirements
+ # https://github.com/mate-desktop/mate-utils/issues/361
+ substituteInPlace configure \
+ --replace-fail '>= $GTK_LAYER_SHELL_REQUIRED_VERSION' "" \
+ --replace-fail '>= $GDK_WAYLAND_REQUIRED_VERSION' ""
+ '';
+
+ configureFlags = [ "--enable-wayland" ];
+
env.NIX_CFLAGS_COMPILE = "-I${glib.dev}/include/gio-unix-2.0";
enableParallelBuilding = true;
diff --git a/pkgs/desktops/mate/mate-wayland-session/default.nix b/pkgs/desktops/mate/mate-wayland-session/default.nix
new file mode 100644
index 000000000000..b803caa7048f
--- /dev/null
+++ b/pkgs/desktops/mate/mate-wayland-session/default.nix
@@ -0,0 +1,58 @@
+{ stdenvNoCC
+, lib
+, fetchFromGitHub
+, meson
+, ninja
+, glib
+, mate-polkit
+, mate-notification-daemon
+, wayfire
+, wayfirePlugins
+, gitUpdater
+}:
+
+stdenvNoCC.mkDerivation rec {
+ pname = "mate-wayland-session";
+ version = "1.28.3";
+
+ src = fetchFromGitHub {
+ owner = "mate-desktop";
+ repo = "mate-wayland-session";
+ rev = "v${version}";
+ hash = "sha256-sUAq2BylsvjYn8Lt+nm4yIfVhzIEefxKZYnhJnuxjs0=";
+ };
+
+ nativeBuildInputs = [
+ meson
+ ninja
+ glib
+ ];
+
+ postPatch = ''
+ substituteInPlace session/mate-wayland-components.sh \
+ --replace-fail "polkit-mate-authentication-agent-1" "${mate-polkit}/libexec/polkit-mate-authentication-agent-1" \
+ --replace-fail "mate-notification-daemon" "${mate-notification-daemon}/libexec/mate-notification-daemon" \
+ --replace-fail "cat /usr/bin/blueman-applet" "command -v blueman-applet" \
+ --replace-fail "cat /usr/bin/gnome-keyring-daemon" "command -v gnome-keyring-daemon"
+
+ # Ensure wayfire.ini is writable, as this script tries to modify it later.
+ substituteInPlace session/mate-wayland.sh \
+ --replace-fail "cp /usr/share/doc/wayfire/examples/wayfire.ini" "cp --no-preserve=mode,ownership ${wayfire.src}/wayfire.ini" \
+ --replace-fail "/usr/share/doc/wayfire/examples/wayfire.ini" "${wayfire.src}/wayfire.ini" \
+ --replace-fail "/usr/lib/x86_64-linux-gnu/wayfire/libfiredecor.so" "${wayfirePlugins.firedecor}/lib/wayfire/libfiredecor.so" \
+ --replace-fail "/usr/share/doc/firedecor/firedecor.config" "$out/share/doc/firedecor/firedecor.config"
+ '';
+
+ passthru = {
+ providedSessions = [ "MATE" ];
+ updateScript = gitUpdater { rev-prefix = "v"; };
+ };
+
+ meta = with lib; {
+ description = "Wayland session using Wayfire for the MATE desktop";
+ homepage = "https://mate-desktop.org";
+ license = licenses.gpl2Plus;
+ platforms = platforms.unix;
+ maintainers = teams.mate.members;
+ };
+}
diff --git a/pkgs/desktops/mate/mozo/default.nix b/pkgs/desktops/mate/mozo/default.nix
index 3b0618bc41ac..66b7048ffecf 100644
--- a/pkgs/desktops/mate/mozo/default.nix
+++ b/pkgs/desktops/mate/mozo/default.nix
@@ -3,7 +3,7 @@
, fetchurl
, pkg-config
, gettext
-, mate
+, mate-menus
, gtk3
, glib
, wrapGAppsHook
@@ -13,14 +13,14 @@
python3.pkgs.buildPythonApplication rec {
pname = "mozo";
- version = "1.26.2";
+ version = "1.28.0";
format = "other";
doCheck = false;
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "RyxILg7y+xYp5h4X2qoaSH9kOSsCmEncmkCCr7OLye4=";
+ sha256 = "/piYT/1qqMNtBZS879ugPeObQtQeAHJRaAOE8870SSQ=";
};
nativeBuildInputs = [
@@ -31,7 +31,7 @@ python3.pkgs.buildPythonApplication rec {
];
propagatedBuildInputs = [
- mate.mate-menus
+ mate-menus
python3.pkgs.pygobject3
];
@@ -46,6 +46,7 @@ python3.pkgs.buildPythonApplication rec {
meta = with lib; {
description = "MATE Desktop menu editor";
+ mainProgram = "mozo";
homepage = "https://github.com/mate-desktop/mozo";
license = with licenses; [ lgpl2Plus ];
platforms = platforms.unix;
diff --git a/pkgs/desktops/mate/pluma/default.nix b/pkgs/desktops/mate/pluma/default.nix
index ed7d54e9469b..f6bfe6eb2ba1 100644
--- a/pkgs/desktops/mate/pluma/default.nix
+++ b/pkgs/desktops/mate/pluma/default.nix
@@ -9,7 +9,6 @@
, enchant
, libxml2
, python3
-, adwaita-icon-theme
, gtksourceview4
, libpeas
, mate-desktop
@@ -19,11 +18,11 @@
stdenv.mkDerivation rec {
pname = "pluma";
- version = "1.26.1";
+ version = "1.28.0";
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "WVns49cRjhBmWfZNIC0O0XY60Qu7ul0qzYy/ui45lPE=";
+ sha256 = "qorflYk0UJOlDjCyft5KeKJCHRcnwn9GX8h8Q1llodQ=";
};
nativeBuildInputs = [
@@ -37,7 +36,6 @@ stdenv.mkDerivation rec {
];
buildInputs = [
- adwaita-icon-theme
enchant
gtksourceview4
libpeas
@@ -62,6 +60,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Powerful text editor for the MATE desktop";
+ mainProgram = "pluma";
homepage = "https://mate-desktop.org";
license = with licenses; [ gpl2Plus lgpl2Plus fdl11Plus ];
platforms = platforms.unix;
diff --git a/pkgs/desktops/mate/python-caja/default.nix b/pkgs/desktops/mate/python-caja/default.nix
index b9c58d8d9268..7f668cdde996 100644
--- a/pkgs/desktops/mate/python-caja/default.nix
+++ b/pkgs/desktops/mate/python-caja/default.nix
@@ -3,19 +3,19 @@
, fetchurl
, pkg-config
, gettext
+, caja
, gtk3
-, mate
, python3Packages
, mateUpdateScript
}:
stdenv.mkDerivation rec {
pname = "python-caja";
- version = "1.26.0";
+ version = "1.28.0";
src = fetchurl {
url = "https://pub.mate-desktop.org/releases/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "181zcs1pi3762chm4xraqs8048jm7jzwnvgwla1v3z2nqzpp3xr1";
+ sha256 = "sFbCOdvf4z7QzIQx+zUAqTj3h7Weh19f+TV4umb2gNY=";
};
nativeBuildInputs = [
@@ -25,8 +25,8 @@ stdenv.mkDerivation rec {
];
buildInputs = [
+ caja
gtk3
- mate.caja
python3Packages.python
python3Packages.pygobject3
];
diff --git a/pkgs/desktops/pantheon/apps/appcenter/default.nix b/pkgs/desktops/pantheon/apps/appcenter/default.nix
index e1e0db005d65..7ee81df4b462 100644
--- a/pkgs/desktops/pantheon/apps/appcenter/default.nix
+++ b/pkgs/desktops/pantheon/apps/appcenter/default.nix
@@ -24,15 +24,15 @@
stdenv.mkDerivation rec {
pname = "appcenter";
- version = "7.4.0-unstable-2023-12-04";
+ version = "7.4.0-unstable-2024-02-07";
src = fetchFromGitHub {
owner = "elementary";
repo = pname;
# Add support for AppStream 1.0.
# https://github.com/elementary/appcenter/pull/2099
- rev = "d93e135a0b0c9a6e0fbad18fe90d46425823a42c";
- hash = "sha256-b7xux6MuvYZFxufQ5T7DoDNBlsJ/fDR0aUY2Hk/xJoY=";
+ rev = "fce55d9373bfb82953191b32e276a2129ffcb8c1";
+ hash = "sha256-7VYiE1RkaqN1Yg4pFUBs6k8QjoljYFDgQ9jCTLG3uyk=";
};
nativeBuildInputs = [
diff --git a/pkgs/desktops/pantheon/desktop/elementary-session-settings/default.nix b/pkgs/desktops/pantheon/desktop/elementary-session-settings/default.nix
index 620c64f11d80..d1d2b6533e93 100644
--- a/pkgs/desktops/pantheon/desktop/elementary-session-settings/default.nix
+++ b/pkgs/desktops/pantheon/desktop/elementary-session-settings/default.nix
@@ -13,6 +13,7 @@
, elementary-default-settings
, gnome-settings-daemon
, runtimeShell
+, systemd
, writeText
, meson
, ninja
@@ -90,13 +91,13 @@ in
stdenv.mkDerivation rec {
pname = "elementary-session-settings";
- version = "6.0.0";
+ version = "6.0.0-unstable-2023-09-05";
src = fetchFromGitHub {
owner = "elementary";
repo = "session-settings";
- rev = version;
- sha256 = "1faglpa7q3a4335gnd074a3lnsdspyjdnskgy4bfnf6xmwjx7kjx";
+ rev = "3476c89bbb66564a72c6495ac0c61f8f9ed7a3ec";
+ sha256 = "sha256-Z1qW6m0XDkB92ZZVKx98JOMXiBDbGpQ0cAXgWdqK27c=";
};
nativeBuildInputs = [
@@ -111,6 +112,7 @@ stdenv.mkDerivation rec {
gnome-settings-daemon
onboard
orca
+ systemd
];
mesonFlags = [
diff --git a/pkgs/desktops/pantheon/desktop/wingpanel-indicators/bluetooth/default.nix b/pkgs/desktops/pantheon/desktop/wingpanel-indicators/bluetooth/default.nix
index 81604c472446..a1422ec18354 100644
--- a/pkgs/desktops/pantheon/desktop/wingpanel-indicators/bluetooth/default.nix
+++ b/pkgs/desktops/pantheon/desktop/wingpanel-indicators/bluetooth/default.nix
@@ -57,6 +57,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Bluetooth Indicator for Wingpanel";
+ mainProgram = "io.elementary.bluetooth";
homepage = "https://github.com/elementary/wingpanel-indicator-bluetooth";
license = licenses.lgpl21Plus;
platforms = platforms.linux;
diff --git a/pkgs/desktops/plasma-5/3rdparty/addons/polonium.nix b/pkgs/desktops/plasma-5/3rdparty/addons/polonium.nix
index 7bfe963e0e8b..10d4c7c1d98a 100644
--- a/pkgs/desktops/plasma-5/3rdparty/addons/polonium.nix
+++ b/pkgs/desktops/plasma-5/3rdparty/addons/polonium.nix
@@ -11,16 +11,16 @@
buildNpmPackage rec {
pname = "polonium";
- version = "0.6.0";
+ version = "1.0b1";
src = fetchFromGitHub {
owner = "zeroxoneafour";
repo = pname;
rev = "v" + version;
- hash = "sha256-fZgNOcOq+owmqtplwnxeOIQpWmrga/WitCNCj89O5XA=";
+ hash = "sha256-2uthjNhQm+hkRCPXGQm2LZunTj+J0SUuUfZL0PeRd4s=";
};
- npmDepsHash = "sha256-25AtM1FweWIbFot+HUMSPYTu47/0eKNpRWSlBEL0yKk=";
+ npmDepsHash = "sha256-kaT3Uyq+/JkmebakG9xQuR4Kjo7vk6BzI1/LffOj/eo=";
dontConfigure = true;
@@ -43,7 +43,7 @@ buildNpmPackage rec {
'';
meta = with lib; {
- description = "Auto-tiler that uses KWin 5.27+ tiling functionality";
+ description = "Auto-tiler that uses KWin 6.0+ tiling functionality";
license = licenses.mit;
maintainers = with maintainers; [ peterhoeg ];
inherit (plasma-framework.meta) platforms;
diff --git a/pkgs/desktops/plasma-5/plasma-browser-integration.nix b/pkgs/desktops/plasma-5/plasma-browser-integration.nix
index 06325911a9df..c951f359c548 100644
--- a/pkgs/desktops/plasma-5/plasma-browser-integration.nix
+++ b/pkgs/desktops/plasma-5/plasma-browser-integration.nix
@@ -36,6 +36,7 @@ mkDerivation {
meta = {
description = "Components necessary to integrate browsers into the Plasma Desktop";
+ mainProgram = "plasma-browser-integration-host";
homepage = "https://community.kde.org/Plasma/Browser_Integration";
};
}
diff --git a/pkgs/desktops/rox/rox-filer/default.nix b/pkgs/desktops/rox/rox-filer/default.nix
index 676e99b1a903..64c658d04a94 100644
--- a/pkgs/desktops/rox/rox-filer/default.nix
+++ b/pkgs/desktops/rox/rox-filer/default.nix
@@ -89,6 +89,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Fast, lightweight, gtk2 file manager";
+ mainProgram = "rox";
homepage = "http://rox.sourceforge.net/desktop";
license = with licenses; [ gpl2 lgpl2 ];
platforms = platforms.linux;
diff --git a/pkgs/desktops/surf-display/default.nix b/pkgs/desktops/surf-display/default.nix
index 918f715341a1..8e06e36db464 100644
--- a/pkgs/desktops/surf-display/default.nix
+++ b/pkgs/desktops/surf-display/default.nix
@@ -49,6 +49,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Kiosk browser session manager based on the surf browser";
+ mainProgram = "surf-display";
homepage = "https://code.it-zukunft-schule.de/cgit/surf-display/";
maintainers = with maintainers; [ ];
license = licenses.gpl2;
diff --git a/pkgs/desktops/xfce/applications/catfish/default.nix b/pkgs/desktops/xfce/applications/catfish/default.nix
index 0cec370e1acc..24148731291a 100644
--- a/pkgs/desktops/xfce/applications/catfish/default.nix
+++ b/pkgs/desktops/xfce/applications/catfish/default.nix
@@ -75,6 +75,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
homepage = "https://docs.xfce.org/apps/catfish/start";
description = "Handy file search tool";
+ mainProgram = "catfish";
longDescription = ''
Catfish is a handy file searching tool. The interface is
intentionally lightweight and simple, using only GTK 3.
diff --git a/pkgs/desktops/xfce/applications/gigolo/default.nix b/pkgs/desktops/xfce/applications/gigolo/default.nix
index 393e6a8c5b24..e63053deedf6 100644
--- a/pkgs/desktops/xfce/applications/gigolo/default.nix
+++ b/pkgs/desktops/xfce/applications/gigolo/default.nix
@@ -12,6 +12,7 @@ mkXfceDerivation {
meta = with lib; {
description = "A frontend to easily manage connections to remote filesystems";
+ mainProgram = "gigolo";
license = with licenses; [ gpl2Only ];
maintainers = with maintainers; [ ] ++ teams.xfce.members;
};
diff --git a/pkgs/desktops/xfce/applications/mousepad/default.nix b/pkgs/desktops/xfce/applications/mousepad/default.nix
index 0247ed3b2dc3..f72a08729bc9 100644
--- a/pkgs/desktops/xfce/applications/mousepad/default.nix
+++ b/pkgs/desktops/xfce/applications/mousepad/default.nix
@@ -33,6 +33,7 @@ mkXfceDerivation {
meta = with lib; {
description = "Simple text editor for Xfce";
+ mainProgram = "mousepad";
maintainers = with maintainers; [ ] ++ teams.xfce.members;
};
}
diff --git a/pkgs/desktops/xfce/applications/orage/default.nix b/pkgs/desktops/xfce/applications/orage/default.nix
index 00c2d5f85d91..130eb8464aeb 100644
--- a/pkgs/desktops/xfce/applications/orage/default.nix
+++ b/pkgs/desktops/xfce/applications/orage/default.nix
@@ -30,6 +30,7 @@ mkXfceDerivation {
meta = with lib; {
description = "Simple calendar application for Xfce";
+ mainProgram = "orage";
maintainers = with maintainers; [ ] ++ teams.xfce.members;
};
}
diff --git a/pkgs/desktops/xfce/applications/parole/default.nix b/pkgs/desktops/xfce/applications/parole/default.nix
index eeadfe793a7c..41286052db41 100644
--- a/pkgs/desktops/xfce/applications/parole/default.nix
+++ b/pkgs/desktops/xfce/applications/parole/default.nix
@@ -44,6 +44,7 @@ mkXfceDerivation {
meta = with lib; {
description = "Modern simple media player";
+ mainProgram = "parole";
maintainers = with maintainers; [ ] ++ teams.xfce.members;
};
}
diff --git a/pkgs/desktops/xfce/applications/ristretto/default.nix b/pkgs/desktops/xfce/applications/ristretto/default.nix
index ff12d00e4a5c..e5ee18d85da0 100644
--- a/pkgs/desktops/xfce/applications/ristretto/default.nix
+++ b/pkgs/desktops/xfce/applications/ristretto/default.nix
@@ -29,6 +29,7 @@ mkXfceDerivation {
meta = with lib; {
description = "A fast and lightweight picture-viewer for the Xfce desktop environment";
+ mainProgram = "ristretto";
maintainers = with maintainers; [ ] ++ teams.xfce.members;
};
}
diff --git a/pkgs/desktops/xfce/applications/xfburn/default.nix b/pkgs/desktops/xfce/applications/xfburn/default.nix
index 3bd58caee9c0..d2ba14d27dff 100644
--- a/pkgs/desktops/xfce/applications/xfburn/default.nix
+++ b/pkgs/desktops/xfce/applications/xfburn/default.nix
@@ -13,6 +13,7 @@ mkXfceDerivation {
meta = with lib; {
description = "Disc burner and project creator for Xfce";
+ mainProgram = "xfburn";
maintainers = with maintainers; [ ] ++ teams.xfce.members;
};
}
diff --git a/pkgs/desktops/xfce/applications/xfce4-dict/default.nix b/pkgs/desktops/xfce/applications/xfce4-dict/default.nix
index 5b79911e0b6e..1ed27991c443 100644
--- a/pkgs/desktops/xfce/applications/xfce4-dict/default.nix
+++ b/pkgs/desktops/xfce/applications/xfce4-dict/default.nix
@@ -33,6 +33,7 @@ mkXfceDerivation {
meta = with lib; {
description = "A Dictionary Client for the Xfce desktop environment";
+ mainProgram = "xfce4-dict";
maintainers = with maintainers; [ ] ++ teams.xfce.members;
};
}
diff --git a/pkgs/desktops/xfce/applications/xfce4-notifyd/default.nix b/pkgs/desktops/xfce/applications/xfce4-notifyd/default.nix
index 0c1e255cdb2d..c7a1f94177e8 100644
--- a/pkgs/desktops/xfce/applications/xfce4-notifyd/default.nix
+++ b/pkgs/desktops/xfce/applications/xfce4-notifyd/default.nix
@@ -46,6 +46,7 @@ mkXfceDerivation {
meta = with lib; {
description = "Simple notification daemon for Xfce";
+ mainProgram = "xfce4-notifyd-config";
maintainers = with maintainers; [ ] ++ teams.xfce.members;
};
}
diff --git a/pkgs/desktops/xfce/applications/xfce4-panel-profiles/default.nix b/pkgs/desktops/xfce/applications/xfce4-panel-profiles/default.nix
index f4a2a4ef0d44..e223f8bc6663 100644
--- a/pkgs/desktops/xfce/applications/xfce4-panel-profiles/default.nix
+++ b/pkgs/desktops/xfce/applications/xfce4-panel-profiles/default.nix
@@ -25,6 +25,7 @@ in mkXfceDerivation {
meta = with lib; {
description = "Simple application to manage Xfce panel layouts";
+ mainProgram = "xfce4-panel-profiles";
maintainers = with maintainers; [ ] ++ teams.xfce.members;
};
}
diff --git a/pkgs/desktops/xfce/applications/xfce4-screensaver/default.nix b/pkgs/desktops/xfce/applications/xfce4-screensaver/default.nix
index 791c8ecbde52..8422f6661e02 100644
--- a/pkgs/desktops/xfce/applications/xfce4-screensaver/default.nix
+++ b/pkgs/desktops/xfce/applications/xfce4-screensaver/default.nix
@@ -25,9 +25,9 @@ in
mkXfceDerivation {
category = "apps";
pname = "xfce4-screensaver";
- version = "4.18.2";
+ version = "4.18.3";
- sha256 = "sha256-j5K5i+hl/miyHste73akZL62K6YTxXmN8mmFK9BCecs=";
+ sha256 = "sha256-hOhWJoiKoeRgkhXaR8rnDpcJpStMD4BBdll4nwSA+EQ=";
nativeBuildInputs = [
gobject-introspection
diff --git a/pkgs/desktops/xfce/applications/xfce4-screenshooter/default.nix b/pkgs/desktops/xfce/applications/xfce4-screenshooter/default.nix
index 50601451a8d4..4a3f85ea0ce3 100644
--- a/pkgs/desktops/xfce/applications/xfce4-screenshooter/default.nix
+++ b/pkgs/desktops/xfce/applications/xfce4-screenshooter/default.nix
@@ -41,6 +41,7 @@ mkXfceDerivation {
meta = with lib; {
description = "Screenshot utility for the Xfce desktop";
+ mainProgram = "xfce4-screenshooter";
maintainers = with maintainers; [ ] ++ teams.xfce.members;
};
}
diff --git a/pkgs/desktops/xfce/applications/xfce4-taskmanager/default.nix b/pkgs/desktops/xfce/applications/xfce4-taskmanager/default.nix
index dc2412b329c8..f6dfcec8be7f 100644
--- a/pkgs/desktops/xfce/applications/xfce4-taskmanager/default.nix
+++ b/pkgs/desktops/xfce/applications/xfce4-taskmanager/default.nix
@@ -13,10 +13,10 @@
mkXfceDerivation {
category = "apps";
pname = "xfce4-taskmanager";
- version = "1.5.6";
+ version = "1.5.7";
odd-unstable = false;
- sha256 = "sha256-2NkjaK6xXsrMimriO2/gTOZowt9KTX4MrWJpPXM0w68=";
+ sha256 = "sha256-znadP7rrP/IxH22U1D9p6IHZ1J1JfXoCVk8iKUgrkJw=";
nativeBuildInputs = [
exo
@@ -34,6 +34,7 @@ mkXfceDerivation {
meta = with lib; {
description = "Easy to use task manager for Xfce";
+ mainProgram = "xfce4-taskmanager";
maintainers = with maintainers; [ ] ++ teams.xfce.members;
};
}
diff --git a/pkgs/desktops/xfce/applications/xfce4-terminal/default.nix b/pkgs/desktops/xfce/applications/xfce4-terminal/default.nix
index 58abe3229e8b..a9d87d4f6847 100644
--- a/pkgs/desktops/xfce/applications/xfce4-terminal/default.nix
+++ b/pkgs/desktops/xfce/applications/xfce4-terminal/default.nix
@@ -17,10 +17,10 @@
mkXfceDerivation {
category = "apps";
pname = "xfce4-terminal";
- version = "1.1.2";
+ version = "1.1.3";
odd-unstable = false;
- sha256 = "sha256-9RJmHYT9yYhtyzyTcg3nnD2hlCgENyi/3TNOGUto494=";
+ sha256 = "sha256-CUIQf22Lmb6MNPd2wk8LlHFNUhdIoC1gzVV6RDP2PfY=";
nativeBuildInputs = [
libxslt
@@ -44,5 +44,6 @@ mkXfceDerivation {
meta = with lib; {
description = "A modern terminal emulator";
maintainers = with maintainers; [ ] ++ teams.xfce.members;
+ mainProgram = "xfce4-terminal";
};
}
diff --git a/pkgs/desktops/xfce/applications/xfce4-volumed-pulse/default.nix b/pkgs/desktops/xfce/applications/xfce4-volumed-pulse/default.nix
index 9f1373d7d926..878975cebb1c 100644
--- a/pkgs/desktops/xfce/applications/xfce4-volumed-pulse/default.nix
+++ b/pkgs/desktops/xfce/applications/xfce4-volumed-pulse/default.nix
@@ -11,6 +11,7 @@ mkXfceDerivation {
meta = with lib; {
description = "A volume keys control daemon for Xfce using pulseaudio";
+ mainProgram = "xfce4-volumed-pulse";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ abbradar ] ++ teams.xfce.members;
};
diff --git a/pkgs/desktops/xfce/core/libxfce4ui/default.nix b/pkgs/desktops/xfce/core/libxfce4ui/default.nix
index f5da4b604a51..862d4f8cbc94 100644
--- a/pkgs/desktops/xfce/core/libxfce4ui/default.nix
+++ b/pkgs/desktops/xfce/core/libxfce4ui/default.nix
@@ -4,9 +4,9 @@
mkXfceDerivation {
category = "xfce";
pname = "libxfce4ui";
- version = "4.18.5";
+ version = "4.18.6";
- sha256 = "sha256-Jf+oxdUWXJJmMoJ9kIx9F+ndb2c6bNpf+JOzxpi2Lwo=";
+ sha256 = "sha256-ojmI745tKLHv26uL1qS/v6hAcLmAV/WF2NAtAhQRUkg=";
nativeBuildInputs = [ gobject-introspection vala ];
buildInputs = [ gtk3 libstartup_notification libgtop libepoxy xfconf ];
@@ -18,6 +18,7 @@ mkXfceDerivation {
meta = with lib; {
description = "Widgets library for Xfce";
+ mainProgram = "xfce4-about";
license = with licenses; [ lgpl2Plus lgpl21Plus ];
maintainers = with maintainers; [ ] ++ teams.xfce.members;
};
diff --git a/pkgs/desktops/xfce/core/libxfce4util/default.nix b/pkgs/desktops/xfce/core/libxfce4util/default.nix
index d3cbc9f323d8..02a4b98309a3 100644
--- a/pkgs/desktops/xfce/core/libxfce4util/default.nix
+++ b/pkgs/desktops/xfce/core/libxfce4util/default.nix
@@ -11,6 +11,7 @@ mkXfceDerivation {
meta = with lib; {
description = "Extension library for Xfce";
+ mainProgram = "xfce4-kiosk-query";
license = licenses.lgpl2Plus;
maintainers = with maintainers; [ ] ++ teams.xfce.members;
};
diff --git a/pkgs/desktops/xfce/core/libxfce4windowing/default.nix b/pkgs/desktops/xfce/core/libxfce4windowing/default.nix
index 6395497fccda..621115616e5c 100644
--- a/pkgs/desktops/xfce/core/libxfce4windowing/default.nix
+++ b/pkgs/desktops/xfce/core/libxfce4windowing/default.nix
@@ -13,6 +13,6 @@ mkXfceDerivation {
meta = {
description = "Windowing concept abstraction library for X11 and Wayland";
license = lib.licenses.lgpl21Plus;
- maintainers = with lib; [ maintainers.federicoschonborn ] ++ teams.xfce.members;
+ maintainers = lib.teams.xfce.members ++ [ lib.maintainers.federicoschonborn ];
};
}
diff --git a/pkgs/desktops/xfce/core/xfce4-panel/default.nix b/pkgs/desktops/xfce/core/xfce4-panel/default.nix
index fe7306deb483..3d96f682dbca 100644
--- a/pkgs/desktops/xfce/core/xfce4-panel/default.nix
+++ b/pkgs/desktops/xfce/core/xfce4-panel/default.nix
@@ -16,9 +16,9 @@
mkXfceDerivation {
category = "xfce";
pname = "xfce4-panel";
- version = "4.18.5";
+ version = "4.18.6";
- sha256 = "sha256-1oh9C2ZlpcUulqhxUEPLhX22R7tko0rMmDixgkgaU9o=";
+ sha256 = "sha256-eQLz/LJIx2WkzcSLytRdJdhtGv0woT48mdqG7eHB0U4=";
nativeBuildInputs = [
gobject-introspection
@@ -44,7 +44,7 @@ mkXfceDerivation {
postPatch = ''
substituteInPlace plugins/clock/clock.c \
- --replace "/usr/share/zoneinfo" "${tzdata}/share/zoneinfo"
+ --replace-fail "/usr/share/zoneinfo" "${tzdata}/share/zoneinfo"
'';
meta = with lib; {
diff --git a/pkgs/desktops/xfce/core/xfconf/default.nix b/pkgs/desktops/xfce/core/xfconf/default.nix
index 459044eb2a5d..45873f7ef248 100644
--- a/pkgs/desktops/xfce/core/xfconf/default.nix
+++ b/pkgs/desktops/xfce/core/xfconf/default.nix
@@ -18,6 +18,7 @@ mkXfceDerivation {
meta = with lib; {
description = "Simple client-server configuration storage and query system for Xfce";
+ mainProgram = "xfconf-query";
maintainers = with maintainers; [ ] ++ teams.xfce.members;
};
}
diff --git a/pkgs/desktops/xfce/panel-plugins/xfce4-clipman-plugin/default.nix b/pkgs/desktops/xfce/panel-plugins/xfce4-clipman-plugin/default.nix
index 16f08da23deb..74ff2d171044 100644
--- a/pkgs/desktops/xfce/panel-plugins/xfce4-clipman-plugin/default.nix
+++ b/pkgs/desktops/xfce/panel-plugins/xfce4-clipman-plugin/default.nix
@@ -1,5 +1,6 @@
{ lib
, mkXfceDerivation
+, wayland-scanner
, glib
, gtk3
, libX11
@@ -10,13 +11,18 @@
, xfce4-panel
, xfconf
, wayland
+, wlr-protocols
}:
mkXfceDerivation {
category = "panel-plugins";
pname = "xfce4-clipman-plugin";
- version = "1.6.5";
- sha256 = "sha256-aKcIwlNlaJEHgIq0S7+VG/os49+zRqkZXsQVse4B9oE=";
+ version = "1.6.6";
+ sha256 = "sha256-wdEoM4etco+s0+dULkBvWJZ3WBCW3Ph2bdY0E/l5VRc=";
+
+ nativeBuildInputs = [
+ wayland-scanner
+ ];
buildInputs = [
glib
@@ -29,6 +35,7 @@ mkXfceDerivation {
xfce4-panel
xfconf
wayland
+ wlr-protocols
];
meta = with lib; {
diff --git a/pkgs/desktops/xfce/panel-plugins/xfce4-sensors-plugin/default.nix b/pkgs/desktops/xfce/panel-plugins/xfce4-sensors-plugin/default.nix
index fbc40f190255..4a3148962efd 100644
--- a/pkgs/desktops/xfce/panel-plugins/xfce4-sensors-plugin/default.nix
+++ b/pkgs/desktops/xfce/panel-plugins/xfce4-sensors-plugin/default.nix
@@ -46,6 +46,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://docs.xfce.org/panel-plugins/xfce4-sensors-plugin";
description = "A panel plug-in for different sensors using acpi, lm_sensors and hddtemp";
+ mainProgram = "xfce4-sensors";
license = licenses.gpl2Plus;
platforms = platforms.unix;
maintainers = with maintainers; [ ] ++ teams.xfce.members;
diff --git a/pkgs/desktops/xfce/panel-plugins/xfce4-weather-plugin/default.nix b/pkgs/desktops/xfce/panel-plugins/xfce4-weather-plugin/default.nix
index 162160e4e813..c76800fea4fc 100644
--- a/pkgs/desktops/xfce/panel-plugins/xfce4-weather-plugin/default.nix
+++ b/pkgs/desktops/xfce/panel-plugins/xfce4-weather-plugin/default.nix
@@ -5,6 +5,7 @@
, intltool
, glib
, gtk3
+, json_c
, libxml2
, libsoup
, upower
@@ -22,11 +23,11 @@ in
stdenv.mkDerivation rec {
pname = "xfce4-weather-plugin";
- version = "0.11.1";
+ version = "0.11.2";
src = fetchurl {
url = "mirror://xfce/src/${category}/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.bz2";
- sha256 = "sha256-pFFG+aDc3JXRkcCcZK0nmuKJz4+BHEQz4I4xplaEUjk=";
+ sha256 = "sha256-ZdQK/3hjVQhYqfnStgVPJ8aaPn5xKZF4WYf5pzu6h2s=";
};
nativeBuildInputs = [
@@ -37,6 +38,7 @@ stdenv.mkDerivation rec {
buildInputs = [
glib
gtk3
+ json_c
libxml2
libsoup
upower
diff --git a/pkgs/desktops/xfce/panel-plugins/xfce4-whiskermenu-plugin/default.nix b/pkgs/desktops/xfce/panel-plugins/xfce4-whiskermenu-plugin/default.nix
index 7ef0fb79ebbe..b1b068eaa55f 100644
--- a/pkgs/desktops/xfce/panel-plugins/xfce4-whiskermenu-plugin/default.nix
+++ b/pkgs/desktops/xfce/panel-plugins/xfce4-whiskermenu-plugin/default.nix
@@ -42,6 +42,7 @@ mkXfceDerivation {
meta = with lib; {
description = "Alternate application launcher for Xfce";
+ mainProgram = "xfce4-popup-whiskermenu";
maintainers = with maintainers; [ ] ++ teams.xfce.members;
};
}
diff --git a/pkgs/development/libraries/ada/gnatcoll/bindings.nix b/pkgs/development/ada-modules/gnatcoll/bindings.nix
similarity index 100%
rename from pkgs/development/libraries/ada/gnatcoll/bindings.nix
rename to pkgs/development/ada-modules/gnatcoll/bindings.nix
diff --git a/pkgs/development/libraries/ada/gnatcoll/core.nix b/pkgs/development/ada-modules/gnatcoll/core.nix
similarity index 100%
rename from pkgs/development/libraries/ada/gnatcoll/core.nix
rename to pkgs/development/ada-modules/gnatcoll/core.nix
diff --git a/pkgs/development/libraries/ada/gnatcoll/db.nix b/pkgs/development/ada-modules/gnatcoll/db.nix
similarity index 100%
rename from pkgs/development/libraries/ada/gnatcoll/db.nix
rename to pkgs/development/ada-modules/gnatcoll/db.nix
diff --git a/pkgs/development/ada-modules/gnatprove/default.nix b/pkgs/development/ada-modules/gnatprove/default.nix
new file mode 100644
index 000000000000..884406d3342e
--- /dev/null
+++ b/pkgs/development/ada-modules/gnatprove/default.nix
@@ -0,0 +1,96 @@
+{ stdenv
+, lib
+, fetchFromGitHub
+, gnat
+, gnatcoll-core
+, gprbuild
+, python3
+, ocamlPackages
+, makeWrapper
+}:
+let
+ gnat_version = lib.versions.major gnat.version;
+
+ fetchSpark2014 = { rev, sha256 } : fetchFromGitHub {
+ owner = "AdaCore";
+ repo = "spark2014";
+ fetchSubmodules = true;
+ inherit rev sha256;
+ };
+
+ spark2014 = {
+ "12" = {
+ src = fetchSpark2014 {
+ rev = "ab34e07080a769b63beacc141707b5885c49d375"; # branch fsf-12
+ sha256 = "sha256-7pe3eWitpxmqzjW6qEIEuN0qr2IR+kJ7Ssc9pTBcCD8=";
+ };
+ commit_date = "2022-05-25";
+ };
+ "13" = {
+ src = fetchSpark2014 {
+ rev = "12db22e854defa9d1c993ef904af1e72330a68ca"; # branch fsf-13
+ sha256 = "sha256-mZWP9yF1O4knCiXx8CqolnS+93bM+hTQy40cd0HZmwI=";
+ };
+ commit_date = "2023-01-05";
+ };
+ };
+
+ thisSpark = spark2014.${gnat_version} or
+ (builtins.throw "GNATprove depend on a specific GNAT version and can't be built using GNAT ${gnat_version}.");
+
+in
+stdenv.mkDerivation rec {
+ pname = "gnatprove";
+ version = "fsf-${gnat_version}_${thisSpark.commit_date}";
+
+ src = thisSpark.src;
+
+ nativeBuildInputs = [
+ gnat
+ gprbuild
+ python3
+ ocamlPackages.ocaml
+ makeWrapper
+ ];
+
+ buildInputs = [
+ gnatcoll-core
+ ocamlPackages.camlzip
+ ocamlPackages.findlib
+ ocamlPackages.menhir
+ ocamlPackages.menhirLib
+ ocamlPackages.num
+ ocamlPackages.yojson
+ ocamlPackages.zarith
+ ];
+
+ propagatedBuildInputs = [
+ gprbuild
+ ];
+
+ postPatch = ''
+ # gnat2why/gnat_src points to the GNAT sources
+ tar xf ${gnat.cc.src} gcc-${gnat.cc.version}/gcc/ada
+ mv gcc-${gnat.cc.version}/gcc/ada gnat2why/gnat_src
+ '';
+
+ configurePhase = ''
+ make setup
+ '';
+
+ installPhase = ''
+ make install-all
+ cp -a ./install/. $out
+ mkdir $out/share/gpr
+ ln -s $out/lib/gnat/* $out/share/gpr/
+ '';
+
+ meta = with lib; {
+ description = "a software development technology specifically designed for engineering high-reliability applications";
+ homepage = "https://github.com/AdaCore/spark2014";
+ maintainers = [ maintainers.jiegec ];
+ license = licenses.gpl3;
+ platforms = platforms.all;
+ };
+}
+
diff --git a/pkgs/development/tools/build-managers/gprbuild/boot.nix b/pkgs/development/ada-modules/gprbuild/boot.nix
similarity index 100%
rename from pkgs/development/tools/build-managers/gprbuild/boot.nix
rename to pkgs/development/ada-modules/gprbuild/boot.nix
diff --git a/pkgs/development/tools/build-managers/gprbuild/default.nix b/pkgs/development/ada-modules/gprbuild/default.nix
similarity index 84%
rename from pkgs/development/tools/build-managers/gprbuild/default.nix
rename to pkgs/development/ada-modules/gprbuild/default.nix
index aad115701263..fcb358d5fb5f 100644
--- a/pkgs/development/tools/build-managers/gprbuild/default.nix
+++ b/pkgs/development/ada-modules/gprbuild/default.nix
@@ -54,7 +54,10 @@ stdenv.mkDerivation {
# link gprconfig_kb db from gprbuild-boot into build dir,
# the install process copies its contents to $out
preInstall = ''
- ln -sf ${gprbuild-boot}/share/gprconfig share/gprconfig
+ # Use PATH to discover spliced gprbuild-boot from buildPackages,
+ # since path interpolation would give us gprbuild-boot from pkgsHostTarget
+ gprbuild_boot="$(dirname "$(type -p gprbuild)")/.."
+ ln -sf "$gprbuild_boot/share/gprconfig" share/gprconfig
'';
# no need for the install script
diff --git a/pkgs/development/tools/build-managers/gprbuild/gpr-project-darwin-rpath-hook.sh b/pkgs/development/ada-modules/gprbuild/gpr-project-darwin-rpath-hook.sh
similarity index 100%
rename from pkgs/development/tools/build-managers/gprbuild/gpr-project-darwin-rpath-hook.sh
rename to pkgs/development/ada-modules/gprbuild/gpr-project-darwin-rpath-hook.sh
diff --git a/pkgs/development/tools/build-managers/gprbuild/gpr-project-path-hook.sh b/pkgs/development/ada-modules/gprbuild/gpr-project-path-hook.sh
similarity index 100%
rename from pkgs/development/tools/build-managers/gprbuild/gpr-project-path-hook.sh
rename to pkgs/development/ada-modules/gprbuild/gpr-project-path-hook.sh
diff --git a/pkgs/development/tools/build-managers/gprbuild/gprbuild-relocatable-build.patch b/pkgs/development/ada-modules/gprbuild/gprbuild-relocatable-build.patch
similarity index 100%
rename from pkgs/development/tools/build-managers/gprbuild/gprbuild-relocatable-build.patch
rename to pkgs/development/ada-modules/gprbuild/gprbuild-relocatable-build.patch
diff --git a/pkgs/development/tools/build-managers/gprbuild/nixpkgs-gnat.xml b/pkgs/development/ada-modules/gprbuild/nixpkgs-gnat.xml
similarity index 100%
rename from pkgs/development/tools/build-managers/gprbuild/nixpkgs-gnat.xml
rename to pkgs/development/ada-modules/gprbuild/nixpkgs-gnat.xml
diff --git a/pkgs/development/libraries/ada/xmlada/default.nix b/pkgs/development/ada-modules/xmlada/default.nix
similarity index 100%
rename from pkgs/development/libraries/ada/xmlada/default.nix
rename to pkgs/development/ada-modules/xmlada/default.nix
diff --git a/pkgs/development/beam-modules/erlfmt/default.nix b/pkgs/development/beam-modules/erlfmt/default.nix
index e7c6f21b20ff..4cf139845a20 100644
--- a/pkgs/development/beam-modules/erlfmt/default.nix
+++ b/pkgs/development/beam-modules/erlfmt/default.nix
@@ -13,6 +13,7 @@ rebar3Relx rec {
meta = with lib; {
homepage = "https://github.com/WhatsApp/erlfmt";
description = "An automated code formatter for Erlang";
+ mainProgram = "erlfmt";
platforms = platforms.unix;
license = licenses.asl20;
maintainers = with lib.maintainers; [ dlesl ];
diff --git a/pkgs/development/chez-modules/chez-mit/default.nix b/pkgs/development/chez-modules/chez-mit/default.nix
index 10407598fb96..677e7fc99194 100644
--- a/pkgs/development/chez-modules/chez-mit/default.nix
+++ b/pkgs/development/chez-modules/chez-mit/default.nix
@@ -27,7 +27,7 @@ stdenv.mkDerivation rec {
description = "This is a MIT/GNU Scheme compatibility library for Chez Scheme";
homepage = "https://github.com/fedeinthemix/chez-mit/";
maintainers = [ maintainers.jitwit ];
- license = licenses.free;
+ license = licenses.gpl3Plus;
};
}
diff --git a/pkgs/development/chez-modules/chez-srfi/default.nix b/pkgs/development/chez-modules/chez-srfi/default.nix
index 1780a1356c5c..d821e6d01556 100644
--- a/pkgs/development/chez-modules/chez-srfi/default.nix
+++ b/pkgs/development/chez-modules/chez-srfi/default.nix
@@ -27,7 +27,7 @@ stdenv.mkDerivation {
description = "This package provides a collection of SRFI libraries for Chez Scheme";
homepage = "https://github.com/fedeinthemix/chez-srfi/";
maintainers = [ maintainers.jitwit ];
- license = licenses.free;
+ license = licenses.x11;
};
}
diff --git a/pkgs/development/compilers/abcl/default.nix b/pkgs/development/compilers/abcl/default.nix
index e36d8975e26b..317d0d92266d 100644
--- a/pkgs/development/compilers/abcl/default.nix
+++ b/pkgs/development/compilers/abcl/default.nix
@@ -6,7 +6,7 @@
, jdk
, jre
, makeWrapper
-, canonicalize-jars-hook
+, stripJavaArchivesHook
}:
let
@@ -30,7 +30,7 @@ stdenv.mkDerivation (finalAttrs: {
jdk
fakeHostname
makeWrapper
- canonicalize-jars-hook
+ stripJavaArchivesHook
];
buildPhase = ''
diff --git a/pkgs/development/compilers/acme/default.nix b/pkgs/development/compilers/acme/default.nix
index 3f8110b5b5a4..cc6426a309a5 100644
--- a/pkgs/development/compilers/acme/default.nix
+++ b/pkgs/development/compilers/acme/default.nix
@@ -23,6 +23,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A multi-platform cross assembler for 6502/6510/65816 CPUs";
+ mainProgram = "acme";
homepage = "https://sourceforge.net/projects/acme-crossass/";
license = licenses.gpl2Plus;
platforms = platforms.all;
diff --git a/pkgs/development/compilers/ante/default.nix b/pkgs/development/compilers/ante/default.nix
index ebd70475d0fa..906ee0f16c2a 100644
--- a/pkgs/development/compilers/ante/default.nix
+++ b/pkgs/development/compilers/ante/default.nix
@@ -52,6 +52,7 @@ rustPlatform.buildRustPackage {
meta = with lib; {
homepage = "https://antelang.org/";
description = "A low-level functional language for exploring refinement types, lifetime inference, and algebraic effects";
+ mainProgram = "ante";
license = with licenses; [ mit ];
maintainers = with maintainers; [ ehllie ];
};
diff --git a/pkgs/development/compilers/arachne-pnr/default.nix b/pkgs/development/compilers/arachne-pnr/default.nix
index a08ad91c4a1c..37b7158c6284 100644
--- a/pkgs/development/compilers/arachne-pnr/default.nix
+++ b/pkgs/development/compilers/arachne-pnr/default.nix
@@ -24,6 +24,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Place and route tool for FPGAs";
+ mainProgram = "arachne-pnr";
longDescription = ''
Arachne-pnr implements the place and route step of
the hardware compilation process for FPGAs. It
diff --git a/pkgs/development/compilers/armips/default.nix b/pkgs/development/compilers/armips/default.nix
index b6fbb5ef34c8..6e3a3ef4d964 100644
--- a/pkgs/development/compilers/armips/default.nix
+++ b/pkgs/development/compilers/armips/default.nix
@@ -25,6 +25,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/Kingcom/armips";
description = "Assembler for various ARM and MIPS platforms.";
+ mainProgram = "armips";
license = licenses.mit;
maintainers = with maintainers; [ marius851000 ];
};
diff --git a/pkgs/development/compilers/as31/default.nix b/pkgs/development/compilers/as31/default.nix
index c76b8a03312e..9cff12dd306d 100644
--- a/pkgs/development/compilers/as31/default.nix
+++ b/pkgs/development/compilers/as31/default.nix
@@ -36,6 +36,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "http://wiki.erazor-zone.de/wiki:projects:linux:as31";
description = "An 8031/8051 assembler";
+ mainProgram = "as31";
license = licenses.gpl2Plus;
maintainers = with maintainers; [ AndersonTorres ];
platforms = platforms.unix;
diff --git a/pkgs/development/compilers/avra/default.nix b/pkgs/development/compilers/avra/default.nix
index f3bc33516a3f..8e2d2558c571 100644
--- a/pkgs/development/compilers/avra/default.nix
+++ b/pkgs/development/compilers/avra/default.nix
@@ -17,6 +17,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Assembler for the Atmel AVR microcontroller family";
+ mainProgram = "avra";
homepage = "https://github.com/Ro5bert/avra";
license = licenses.gpl2Plus;
platforms = platforms.all;
diff --git a/pkgs/development/compilers/ballerina/default.nix b/pkgs/development/compilers/ballerina/default.nix
index c979b0225298..5a58d9afd9a8 100644
--- a/pkgs/development/compilers/ballerina/default.nix
+++ b/pkgs/development/compilers/ballerina/default.nix
@@ -1,6 +1,6 @@
{ ballerina, lib, writeText, runCommand, makeWrapper, fetchzip, stdenv, openjdk }:
let
- version = "2201.8.4";
+ version = "2201.8.6";
codeName = "swan-lake";
in stdenv.mkDerivation {
pname = "ballerina";
@@ -8,7 +8,7 @@ in stdenv.mkDerivation {
src = fetchzip {
url = "https://dist.ballerina.io/downloads/${version}/ballerina-${version}-${codeName}.zip";
- hash = "sha256-9+h5tK77ebbob1fOIB98mi9t6QJFB230yJMba6o+yEI=";
+ hash = "sha256-/oYyYziUTt4OqQfYJdDuRVy9xmMDfhpj24lbisQFfAU=";
};
nativeBuildInputs = [ makeWrapper ];
@@ -37,6 +37,7 @@ in stdenv.mkDerivation {
meta = with lib; {
description = "An open-source programming language for the cloud";
+ mainProgram = "bal";
license = licenses.asl20;
platforms = openjdk.meta.platforms;
maintainers = with maintainers; [ eigengrau ];
diff --git a/pkgs/development/compilers/bfc/default.nix b/pkgs/development/compilers/bfc/default.nix
index 2ebf02b18138..c6d351e4c191 100644
--- a/pkgs/development/compilers/bfc/default.nix
+++ b/pkgs/development/compilers/bfc/default.nix
@@ -27,18 +27,14 @@ rustPlatform.buildRustPackage rec {
zlib
];
- env = {
- LLVM_SYS_130_PREFIX = llvmPackages_13.llvm.dev;
- } // lib.optionalAttrs stdenv.cc.isClang {
- # Work around https://github.com/NixOS/nixpkgs/issues/166205.
- NIX_LDFLAGS = "-l${stdenv.cc.libcxx.cxxabi.libName}";
- };
+ env.LLVM_SYS_130_PREFIX = llvmPackages_13.llvm.dev;
# process didn't exit successfully: <...> SIGSEGV
doCheck = false;
meta = with lib; {
description = "An industrial-grade brainfuck compiler";
+ mainProgram = "bfc";
homepage = "https://bfc.wilfred.me.uk";
license = licenses.gpl2Plus;
maintainers = with maintainers; [ figsoda ];
diff --git a/pkgs/development/compilers/blueprint/default.nix b/pkgs/development/compilers/blueprint/default.nix
index 856fcaa5d5ab..14a901d785fe 100644
--- a/pkgs/development/compilers/blueprint/default.nix
+++ b/pkgs/development/compilers/blueprint/default.nix
@@ -64,6 +64,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "A markup language for GTK user interface files";
+ mainProgram = "blueprint-compiler";
homepage = "https://gitlab.gnome.org/jwestman/blueprint-compiler";
license = licenses.lgpl3Plus;
maintainers = with maintainers; [ benediktbroich ranfdev ];
diff --git a/pkgs/development/compilers/cairo/default.nix b/pkgs/development/compilers/cairo/default.nix
index 0e7283e52caa..51b6c641da94 100644
--- a/pkgs/development/compilers/cairo/default.nix
+++ b/pkgs/development/compilers/cairo/default.nix
@@ -6,21 +6,16 @@
rustPlatform.buildRustPackage rec {
pname = "cairo";
- version = "2.4.0";
+ version = "2.5.4";
src = fetchFromGitHub {
owner = "starkware-libs";
repo = "cairo";
rev = "v${version}";
- hash = "sha256-5bCPklk9u21/9cZYisszK0Lo7is9+iFrQxve41Fy5hg=";
+ hash = "sha256-ctb5VingMczzHLyyEjKgFKNAZI3/fqzjFW/RQGDSsyQ=";
};
- cargoPatches = [
- # Upstream Cargo.lock is not up-to-date.
- # https://github.com/starkware-libs/cairo/issues/4530
- ./ensure-consistency-of-cargo-lock.patch
- ];
- cargoHash = "sha256-YCW6nwmUXMiP65QHCH6k29672gIkuz+MCmTqI+qaOyA=";
+ cargoHash = "sha256-T21GuGQaX/VD907MEGp68bQPXrRK0it4o1nLEdHwTsE=";
nativeCheckInputs = [
rustfmt
diff --git a/pkgs/development/compilers/cakelisp/default.nix b/pkgs/development/compilers/cakelisp/default.nix
index 52e486c4ee60..9f8939708cec 100644
--- a/pkgs/development/compilers/cakelisp/default.nix
+++ b/pkgs/development/compilers/cakelisp/default.nix
@@ -1,13 +1,14 @@
-{ lib, stdenv, fetchgit, gcc }:
+{ lib, stdenv, fetchgit, gcc, unstableGitUpdater }:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation {
pname = "cakelisp";
- version = "0.1.0";
+ # using unstable as it's the only version that builds against gcc-13
+ version = "0.3.0-unstable-2024-02-21";
src = fetchgit {
url = "https://macoy.me/code/macoy/cakelisp";
- rev = "v${version}";
- sha256 = "sha256-r7Yg8+2U8qQTYRP3KFET7oBRCZHIZS6Y8TsfL1NR24g=";
+ rev = "75ce620b265bf83c6952c0093df2b9d4f7f32a54";
+ hash = "sha256-X+tWq2QQogy4d042pcVuldc80jcClYtV09Jr91rHJl4=";
};
buildInputs = [ gcc ];
@@ -29,14 +30,21 @@ stdenv.mkDerivation rec {
runHook postBuild
'';
+ env.NIX_CFLAGS_COMPILE = "-Wno-error=format";
+
installPhase = ''
runHook preInstall
install -Dm755 bin/cakelisp -t $out/bin
runHook postInstall
'';
+ passthru.updateScript = unstableGitUpdater {
+ url = "https://macoy.me/code/macoy/cakelisp";
+ };
+
meta = with lib; {
description = "A performance-oriented Lisp-like language";
+ mainProgram = "cakelisp";
homepage = "https://macoy.me/code/macoy/cakelisp";
license = licenses.gpl3Plus;
platforms = platforms.darwin ++ platforms.linux;
diff --git a/pkgs/development/compilers/chez/default.nix b/pkgs/development/compilers/chez/default.nix
index fc7dfa78cefe..ee137a1dfb26 100644
--- a/pkgs/development/compilers/chez/default.nix
+++ b/pkgs/development/compilers/chez/default.nix
@@ -1,18 +1,23 @@
{ lib, stdenv, fetchurl
, coreutils, cctools
-, ncurses, libiconv, libX11, libuuid
+, darwin
+, ncurses, libiconv, libX11, libuuid, testers
}:
stdenv.mkDerivation (finalAttrs: {
pname = "chez-scheme";
- version = "9.6.4";
+ version = "10.0.0";
src = fetchurl {
url = "https://github.com/cisco/ChezScheme/releases/download/v${finalAttrs.version}/csv${finalAttrs.version}.tar.gz";
- hash = "sha256-9YJ2gvolnEeXX/4Hh4X7Vh5KXFT3ZDMe9mwyEyhDaF0=";
+ hash = "sha256-03GZASte0ZhcQGnWqH/xjl4fWi3yfkApkfr0XcTyIyw=";
};
- nativeBuildInputs = lib.optional stdenv.isDarwin cctools;
+ nativeBuildInputs = lib.optionals stdenv.isDarwin [
+ cctools
+ ] ++ lib.optionals (stdenv.isDarwin && stdenv.isAarch64) [
+ darwin.autoSignDarwinBinariesHook
+ ];
buildInputs = [ ncurses libiconv libX11 libuuid ];
enableParallelBuilding = true;
@@ -20,26 +25,16 @@ stdenv.mkDerivation (finalAttrs: {
env.NIX_CFLAGS_COMPILE = lib.optionalString stdenv.cc.isGNU "-Wno-error=format-truncation";
/*
- ** We patch out a very annoying 'feature' in ./configure, which
- ** tries to use 'git' to update submodules.
- **
- ** We have to also fix a few occurrences to tools with absolute
+ ** We have to fix a few occurrences to tools with absolute
** paths in some helper scripts, otherwise the build will fail on
** NixOS or in any chroot build.
*/
patchPhase = ''
- substituteInPlace ./configure \
- --replace "git submodule init && git submodule update || exit 1" "true"
-
- substituteInPlace ./workarea \
- --replace "/bin/ln" ln \
- --replace "/bin/cp" cp
-
substituteInPlace ./makefiles/installsh \
- --replace "/usr/bin/true" "${coreutils}/bin/true"
+ --replace-warn "/usr/bin/true" "${coreutils}/bin/true"
substituteInPlace zlib/configure \
- --replace "/usr/bin/libtool" libtool
+ --replace-warn "/usr/bin/libtool" libtool
'';
/*
@@ -52,7 +47,7 @@ stdenv.mkDerivation (finalAttrs: {
** for.
*/
configurePhase = ''
- ./configure --threads --installprefix=$out --installman=$out/share/man
+ ./configure --as-is --threads --installprefix=$out --installman=$out/share/man
'';
/*
@@ -64,12 +59,18 @@ stdenv.mkDerivation (finalAttrs: {
setupHook = ./setup-hook.sh;
+ passthru.tests = {
+ version = testers.testVersion {
+ package = finalAttrs.finalPackage;
+ };
+ };
+
meta = {
description = "A powerful and incredibly fast R6RS Scheme compiler";
homepage = "https://cisco.github.io/ChezScheme/";
license = lib.licenses.asl20;
maintainers = with lib.maintainers; [ thoughtpolice ];
platforms = lib.platforms.unix;
- badPlatforms = [ "aarch64-linux" "aarch64-darwin" ];
+ mainProgram = "scheme";
};
})
diff --git a/pkgs/development/compilers/chicken/4/egg2nix.nix b/pkgs/development/compilers/chicken/4/egg2nix.nix
index dfbec7442ed4..e175f17e1ab7 100644
--- a/pkgs/development/compilers/chicken/4/egg2nix.nix
+++ b/pkgs/development/compilers/chicken/4/egg2nix.nix
@@ -20,6 +20,7 @@ eggDerivation rec {
meta = {
description = "Generate nix-expression from CHICKEN scheme eggs";
+ mainProgram = "egg2nix";
homepage = "https://github.com/the-kenny/egg2nix";
license = lib.licenses.bsd3;
platforms = lib.platforms.unix;
diff --git a/pkgs/development/compilers/chicken/5/egg2nix.nix b/pkgs/development/compilers/chicken/5/egg2nix.nix
index 62b634eb6370..3e2cc49a2669 100644
--- a/pkgs/development/compilers/chicken/5/egg2nix.nix
+++ b/pkgs/development/compilers/chicken/5/egg2nix.nix
@@ -21,6 +21,7 @@ eggDerivation {
meta = {
description = "Generate nix-expression from CHICKEN scheme eggs";
+ mainProgram = "egg2nix";
homepage = "https://github.com/the-kenny/egg2nix";
license = lib.licenses.bsd3;
platforms = lib.platforms.unix;
diff --git a/pkgs/development/compilers/ciao/default.nix b/pkgs/development/compilers/ciao/default.nix
index bc85f6e1009f..98c26b3e9a08 100644
--- a/pkgs/development/compilers/ciao/default.nix
+++ b/pkgs/development/compilers/ciao/default.nix
@@ -2,12 +2,12 @@
stdenv.mkDerivation rec {
pname = "ciao";
- version = "1.22.0-m7";
+ version = "1.23.0-m1";
src = fetchFromGitHub {
owner = "ciao-lang";
repo = "ciao";
rev = "v${version}";
- sha256 = "sha256-5LX+NVDAtdffQeLTD4Camp5aNm0K3Cwmavh7OF5XcZU=";
+ sha256 = "sha256-JMHln0nYveEEfEr4AMyrEL9KlCDiqV53BWRNMUL8nN0=";
};
configurePhase = ''
diff --git a/pkgs/development/compilers/circt/default.nix b/pkgs/development/compilers/circt/default.nix
index bef2c568de13..4d1814c4a3a9 100644
--- a/pkgs/development/compilers/circt/default.nix
+++ b/pkgs/development/compilers/circt/default.nix
@@ -17,12 +17,12 @@ let
in
stdenv.mkDerivation rec {
pname = "circt";
- version = "1.65.0";
+ version = "1.70.0";
src = fetchFromGitHub {
owner = "llvm";
repo = "circt";
rev = "firtool-${version}";
- sha256 = "sha256-RYQAnvU+yoHGrU9zVvrD1/O80ioHEq2Cvo/MIjI6uTo=";
+ hash = "sha256-OELkfyN0fxnQIGQxfwuRM/+DYdb+8m5wlT/H+eQNjq0=";
fetchSubmodules = true;
};
diff --git a/pkgs/development/compilers/closure/default.nix b/pkgs/development/compilers/closure/default.nix
index 39dfa67d23e4..ee560d876301 100644
--- a/pkgs/development/compilers/closure/default.nix
+++ b/pkgs/development/compilers/closure/default.nix
@@ -23,6 +23,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A tool for making JavaScript download and run faster";
+ mainProgram = "closure-compiler";
homepage = "https://developers.google.com/closure/compiler/";
sourceProvenance = with sourceTypes; [ binaryBytecode ];
license = licenses.asl20;
diff --git a/pkgs/development/compilers/colm/default.nix b/pkgs/development/compilers/colm/default.nix
index d7a0953a8035..b1540ff63072 100644
--- a/pkgs/development/compilers/colm/default.nix
+++ b/pkgs/development/compilers/colm/default.nix
@@ -22,6 +22,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A programming language for the analysis and transformation of computer languages";
+ mainProgram = "colm";
homepage = "http://www.colm.net/open-source/colm";
license = licenses.gpl2;
platforms = platforms.unix;
diff --git a/pkgs/development/compilers/cone/default.nix b/pkgs/development/compilers/cone/default.nix
index d94432be12d3..b13ac8de868e 100644
--- a/pkgs/development/compilers/cone/default.nix
+++ b/pkgs/development/compilers/cone/default.nix
@@ -34,6 +34,7 @@ llvmPackages.stdenv.mkDerivation rec {
meta = with lib; {
description = "Cone Programming Language";
+ mainProgram = "conec";
homepage = "https://cone.jondgoodwin.com";
license = licenses.mit;
maintainers = with maintainers; [ luc65r ];
diff --git a/pkgs/development/compilers/crystal/default.nix b/pkgs/development/compilers/crystal/default.nix
index c9ffed829b2d..fb031eba18cb 100644
--- a/pkgs/development/compilers/crystal/default.nix
+++ b/pkgs/development/compilers/crystal/default.nix
@@ -152,7 +152,7 @@ let
# Darwin links against libc++ not libstdc++. Newer versions of clang (12+) require
# libc++abi to be linked explicitly (see https://github.com/NixOS/nixpkgs/issues/166205).
substituteInPlace src/llvm/lib_llvm.cr \
- --replace '@[Link("stdc++")]' '@[Link("c++", "-l${stdenv.cc.libcxx.cxxabi.libName}")]'
+ --replace '@[Link("stdc++")]' '@[Link("c++")]'
'';
# Defaults are 4
@@ -250,6 +250,7 @@ let
meta = with lib; {
inherit (binary.meta) platforms;
description = "A compiled language with Ruby like syntax and type inference";
+ mainProgram = "crystal";
homepage = "https://crystal-lang.org/";
license = licenses.asl20;
maintainers = with maintainers; [ david50407 manveru peterhoeg donovanglover ];
diff --git a/pkgs/development/compilers/crystal2nix/default.nix b/pkgs/development/compilers/crystal2nix/default.nix
index 3a53525b4771..2ba0fefd6aba 100644
--- a/pkgs/development/compilers/crystal2nix/default.nix
+++ b/pkgs/development/compilers/crystal2nix/default.nix
@@ -29,6 +29,7 @@ crystal.buildCrystalPackage rec {
meta = with lib; {
description = "Utility to convert Crystal's shard.lock files to a Nix file";
+ mainProgram = "crystal2nix";
license = licenses.mit;
maintainers = with maintainers; [ manveru peterhoeg ];
};
diff --git a/pkgs/development/compilers/dart/package-source-builders/default.nix b/pkgs/development/compilers/dart/package-source-builders/default.nix
index e015d423a1ca..38d07c40ec2a 100644
--- a/pkgs/development/compilers/dart/package-source-builders/default.nix
+++ b/pkgs/development/compilers/dart/package-source-builders/default.nix
@@ -4,6 +4,7 @@
flutter_secure_storage_linux = callPackage ./flutter-secure-storage-linux { };
handy_window = callPackage ./handy-window { };
matrix = callPackage ./matrix { };
+ media_kit_libs_linux = callPackage ./media_kit_libs_linux { };
olm = callPackage ./olm { };
system_tray = callPackage ./system-tray { };
}
diff --git a/pkgs/development/compilers/dart/package-source-builders/media_kit_libs_linux/default.nix b/pkgs/development/compilers/dart/package-source-builders/media_kit_libs_linux/default.nix
new file mode 100644
index 000000000000..92bfc7e7fa60
--- /dev/null
+++ b/pkgs/development/compilers/dart/package-source-builders/media_kit_libs_linux/default.nix
@@ -0,0 +1,33 @@
+{ stdenv
+}:
+
+# Implementation notes
+
+# The patch exploits the fact that the download part is enclosed with "# ---"
+# To use this module you will need to pass the CMake variable MIMALLOC_LIB
+# example: -DMIMALLOC_LIB=${pkgs.mimalloc}/lib/mimalloc.o
+
+# Direct link for the original CMakeLists.txt: https://raw.githubusercontent.com/media-kit/media-kit/main/libs/linux/media_kit_libs_linux/linux/CMakeLists.txt
+
+{version, src, ...}:
+
+stdenv.mkDerivation {
+ pname = "media_kit_libs_linux";
+ inherit version src;
+ inherit (src) passthru;
+
+ doBuild = false;
+
+ postPatch = ''
+ awk -i inplace 'BEGIN {opened = 0}; /# --*[^$]*/ { print (opened ? "]===]" : "#[===["); opened = !opened }; {print $0}' linux/CMakeLists.txt
+ '';
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir -p "$out"
+ cp -r ./* "$out"
+
+ runHook postInstall
+ '';
+}
diff --git a/pkgs/development/compilers/dart/sources.nix b/pkgs/development/compilers/dart/sources.nix
index 865a75bbff5f..0c8167ca790a 100644
--- a/pkgs/development/compilers/dart/sources.nix
+++ b/pkgs/development/compilers/dart/sources.nix
@@ -1,24 +1,24 @@
-let version = "3.2.6"; in
+let version = "3.3.1"; in
{ fetchurl }: {
versionUsed = version;
"${version}-x86_64-darwin" = fetchurl {
url = "https://storage.googleapis.com/dart-archive/channels/stable/release/${version}/sdk/dartsdk-macos-x64-release.zip";
- sha256 = "05w5v6f302gwwpa3my8baz4spmdmqrimmc659wgki1h64ch1yrlp";
+ sha256 = "1jihiryf8lm4mc5wrnhjwlyazpmhk3n40f8z7r25xnz7glafwvg5";
};
"${version}-aarch64-darwin" = fetchurl {
url = "https://storage.googleapis.com/dart-archive/channels/stable/release/${version}/sdk/dartsdk-macos-arm64-release.zip";
- sha256 = "1dmd70jjpfi19rmlvj2hbggw92z03jm8irrwx6r0bk7r748cj11f";
+ sha256 = "1d6404r9vhp8q5r4nf3hlcgyvxlyxv63jzd4zlmdxghvm68kkv01";
};
"${version}-aarch64-linux" = fetchurl {
url = "https://storage.googleapis.com/dart-archive/channels/stable/release/${version}/sdk/dartsdk-linux-arm64-release.zip";
- sha256 = "1hs1mvk90qb0nijm8wcvv6xkd79z44i2bpcv2nh933lysdys664q";
+ sha256 = "08amw2mw2zfpd7savydxsv8ncy8yk76ak1aixgb1csyh8pn4pagc";
};
"${version}-x86_64-linux" = fetchurl {
url = "https://storage.googleapis.com/dart-archive/channels/stable/release/${version}/sdk/dartsdk-linux-x64-release.zip";
- sha256 = "0j0xmyxdmzn4ii24j27yw6l3074ay4n2qjyzh967cpbg9yhr0cr5";
+ sha256 = "0mnplv2vzzfvg7a7xj8vrc75lvsj9xksbwzd3cc7s0xjxvyic40v";
};
"${version}-i686-linux" = fetchurl {
url = "https://storage.googleapis.com/dart-archive/channels/stable/release/${version}/sdk/dartsdk-linux-ia32-release.zip";
- sha256 = "0ml9dvnd7f0rld3wfnnmv7arfs821zg8rqaq1c7zvqhkj3i0dwci";
+ sha256 = "1ndj3nlw6qd94w3h4kw7jyihm71jlp3y0kc0ybgwh2r22dd2r2yd";
};
}
diff --git a/pkgs/development/compilers/dictu/default.nix b/pkgs/development/compilers/dictu/default.nix
index 113f9291df2c..5bce969edb8b 100644
--- a/pkgs/development/compilers/dictu/default.nix
+++ b/pkgs/development/compilers/dictu/default.nix
@@ -76,6 +76,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "High-level dynamically typed, multi-paradigm, interpreted programming language";
+ mainProgram = "dictu";
homepage = "https://dictu-lang.com";
license = licenses.mit;
maintainers = with maintainers; [ luc65r ];
diff --git a/pkgs/development/compilers/dmd/generic.nix b/pkgs/development/compilers/dmd/generic.nix
index 5cf07454141b..88fabd9c83fb 100644
--- a/pkgs/development/compilers/dmd/generic.nix
+++ b/pkgs/development/compilers/dmd/generic.nix
@@ -216,11 +216,12 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Official reference compiler for the D language";
+ mainProgram = "dmd";
homepage = "https://dlang.org/";
# Everything is now Boost licensed, even the backend.
# https://github.com/dlang/dmd/pull/6680
license = licenses.boost;
- maintainers = with maintainers; [ ThomasMader lionello dukc jtbx ];
+ maintainers = with maintainers; [ lionello dukc jtbx ];
platforms = [ "x86_64-linux" "i686-linux" "x86_64-darwin" ];
};
}
diff --git a/pkgs/development/compilers/dotnet/8/default.nix b/pkgs/development/compilers/dotnet/8/default.nix
new file mode 100644
index 000000000000..8b98aa962dc9
--- /dev/null
+++ b/pkgs/development/compilers/dotnet/8/default.nix
@@ -0,0 +1,9 @@
+{ callPackage
+, dotnetCorePackages
+, bootstrapSdk
+}: callPackage ../dotnet.nix {
+ releaseManifestFile = ./release.json;
+ releaseInfoFile = ./release-info.json;
+ depsFile = ./deps.nix;
+ inherit bootstrapSdk;
+}
diff --git a/pkgs/development/compilers/dotnet/8/deps.nix b/pkgs/development/compilers/dotnet/8/deps.nix
new file mode 100644
index 000000000000..ce7ee48bb102
--- /dev/null
+++ b/pkgs/development/compilers/dotnet/8/deps.nix
@@ -0,0 +1,10 @@
+{ fetchNuGet }: [
+ (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.NETCore.ILAsm"; sha256 = "8985f0b9855daaf8b4a38f32a91902bdbb99a2f1801a98c68a5013d94842524e"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/a65e5cb4-26c0-410f-9457-06db3c5254be/nuget/v3/flat2/runtime.linux-arm64.microsoft.netcore.ilasm/8.0.1-servicing.23580.1/runtime.linux-arm64.microsoft.netcore.ilasm.8.0.1-servicing.23580.1.nupkg"; version = "8.0.1-servicing.23580.1"; })
+ (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.NETCore.ILDAsm"; sha256 = "0496a403691e50662c5aef598248d8cd92ad1da1e93a859aedee5bb91bb9c821"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/a65e5cb4-26c0-410f-9457-06db3c5254be/nuget/v3/flat2/runtime.linux-arm64.microsoft.netcore.ildasm/8.0.1-servicing.23580.1/runtime.linux-arm64.microsoft.netcore.ildasm.8.0.1-servicing.23580.1.nupkg"; version = "8.0.1-servicing.23580.1"; })
+ (fetchNuGet { pname = "runtime.linux-x64.Microsoft.NETCore.ILAsm"; sha256 = "0c5k9ckp7zjspyqqzz817jr8pglnn7wxhmv2hfk700swb96qhg0w"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/a65e5cb4-26c0-410f-9457-06db3c5254be/nuget/v3/flat2/runtime.linux-x64.microsoft.netcore.ilasm/8.0.1-servicing.23580.1/runtime.linux-x64.microsoft.netcore.ilasm.8.0.1-servicing.23580.1.nupkg"; version = "8.0.1-servicing.23580.1"; })
+ (fetchNuGet { pname = "runtime.linux-x64.Microsoft.NETCore.ILDAsm"; sha256 = "1pyydnypv9x25p7y35j85f8pxnyxq3w2vc8i84klq90kzgzig5a8"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/a65e5cb4-26c0-410f-9457-06db3c5254be/nuget/v3/flat2/runtime.linux-x64.microsoft.netcore.ildasm/8.0.1-servicing.23580.1/runtime.linux-x64.microsoft.netcore.ildasm.8.0.1-servicing.23580.1.nupkg"; version = "8.0.1-servicing.23580.1"; })
+ (fetchNuGet { pname = "runtime.osx-arm64.Microsoft.NETCore.ILAsm"; sha256 = "7609cfc7fd617a580caba18d458ed644ab799346139b3ead9df9502abe8d0541"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/a65e5cb4-26c0-410f-9457-06db3c5254be/nuget/v3/flat2/runtime.osx-arm64.microsoft.netcore.ilasm/8.0.1-servicing.23580.1/runtime.osx-arm64.microsoft.netcore.ilasm.8.0.1-servicing.23580.1.nupkg"; version = "8.0.1-servicing.23580.1"; })
+ (fetchNuGet { pname = "runtime.osx-arm64.Microsoft.NETCore.ILDAsm"; sha256 = "6a969c2f6261834ab8ec9829cffed5a1a1f35667bf382b7c902d1b26db192e27"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/a65e5cb4-26c0-410f-9457-06db3c5254be/nuget/v3/flat2/runtime.osx-arm64.microsoft.netcore.ildasm/8.0.1-servicing.23580.1/runtime.osx-arm64.microsoft.netcore.ildasm.8.0.1-servicing.23580.1.nupkg"; version = "8.0.1-servicing.23580.1"; })
+ (fetchNuGet { pname = "runtime.osx-x64.Microsoft.NETCore.ILAsm"; sha256 = "a8b90caa9ead7defdf8b9570dcb3e0cec146dff892a88fb825fedb7ee0fe620f"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/a65e5cb4-26c0-410f-9457-06db3c5254be/nuget/v3/flat2/runtime.osx-x64.microsoft.netcore.ilasm/8.0.1-servicing.23580.1/runtime.osx-x64.microsoft.netcore.ilasm.8.0.1-servicing.23580.1.nupkg"; version = "8.0.1-servicing.23580.1"; })
+ (fetchNuGet { pname = "runtime.osx-x64.Microsoft.NETCore.ILDAsm"; sha256 = "eade428d642bdbb2271610c5d781a61ab367dbd3e776477a7b5948bda62252b5"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/a65e5cb4-26c0-410f-9457-06db3c5254be/nuget/v3/flat2/runtime.osx-x64.microsoft.netcore.ildasm/8.0.1-servicing.23580.1/runtime.osx-x64.microsoft.netcore.ildasm.8.0.1-servicing.23580.1.nupkg"; version = "8.0.1-servicing.23580.1"; })
+]
diff --git a/pkgs/development/compilers/dotnet/8/release-info.json b/pkgs/development/compilers/dotnet/8/release-info.json
new file mode 100644
index 000000000000..2a316ed2de94
--- /dev/null
+++ b/pkgs/development/compilers/dotnet/8/release-info.json
@@ -0,0 +1,5 @@
+{
+ "tarballHash": "sha256-OTCFPhQ9PHnQ0f7UzgHryEsBIaKCOm/L6pkURw/RY2s=",
+ "artifactsUrl": "https://dotnetcli.azureedge.net/source-built-artifacts/assets/Private.SourceBuilt.Artifacts.8.0.101-servicing.23601.1.centos.8-x64.tar.gz",
+ "artifactsHash": "sha256-RLrEPFkB9NvnzJFJ0zSFbGNpMKR4EsyBu3T/JwAxgzc="
+}
diff --git a/pkgs/development/compilers/dotnet/8/release.json b/pkgs/development/compilers/dotnet/8/release.json
new file mode 100644
index 000000000000..de0aaf95f3eb
--- /dev/null
+++ b/pkgs/development/compilers/dotnet/8/release.json
@@ -0,0 +1,9 @@
+{
+ "release": "8.0.2",
+ "channel": "8.0",
+ "tag": "v8.0.2",
+ "sdkVersion": "8.0.102",
+ "runtimeVersion": "8.0.2",
+ "sourceRepository": "https://github.com/dotnet/dotnet",
+ "sourceVersion": "d396b0c4d3e51c2d8d679b2f7233912bc5bfc2fa"
+}
diff --git a/pkgs/development/compilers/dotnet/9/default.nix b/pkgs/development/compilers/dotnet/9/default.nix
new file mode 100644
index 000000000000..2c7c83aaa6be
--- /dev/null
+++ b/pkgs/development/compilers/dotnet/9/default.nix
@@ -0,0 +1,9 @@
+{ callPackage
+, dotnetCorePackages
+}: callPackage ../dotnet.nix {
+ releaseManifestFile = ./release.json;
+ releaseInfoFile = ./release-info.json;
+ allowPrerelease = true;
+ depsFile = ./deps.nix;
+ bootstrapSdk = dotnetCorePackages.sdk_9_0;
+}
diff --git a/pkgs/development/compilers/dotnet/9/deps.nix b/pkgs/development/compilers/dotnet/9/deps.nix
new file mode 100644
index 000000000000..4361b1cbd89e
--- /dev/null
+++ b/pkgs/development/compilers/dotnet/9/deps.nix
@@ -0,0 +1,11 @@
+{ fetchNuGet }: [
+ (fetchNuGet { pname = "System.CommandLine"; sha256 = "0i343zwgcnila8pxxgsk12a284mw0jkg3m82607shdbxjrwadz8n"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/516521bf-6417-457e-9a9c-0a4bdfde03e7/nuget/v3/flat2/system.commandline/2.0.0-beta4.23307.1/system.commandline.2.0.0-beta4.23307.1.nupkg"; version = "2.0.0-beta4.23307.1"; })
+ (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.NETCore.ILAsm"; sha256 = "4edcd01d72a239df9506a124544aba8e8f18757b2b5398a658a68a920f58b1c8"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/a54510f9-4b2c-4e69-b96a-6096683aaa1f/nuget/v3/flat2/runtime.linux-arm64.microsoft.netcore.ilasm/9.0.0-alpha.1.24061.26/runtime.linux-arm64.microsoft.netcore.ilasm.9.0.0-alpha.1.24061.26.nupkg"; version = "9.0.0-alpha.1.24061.26"; })
+ (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.NETCore.ILDAsm"; sha256 = "55c2b7eab77aee7c22fcd3c44c0602fb767f800337b9740c2d29be31ac92b66f"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/a54510f9-4b2c-4e69-b96a-6096683aaa1f/nuget/v3/flat2/runtime.linux-arm64.microsoft.netcore.ildasm/9.0.0-alpha.1.24061.26/runtime.linux-arm64.microsoft.netcore.ildasm.9.0.0-alpha.1.24061.26.nupkg"; version = "9.0.0-alpha.1.24061.26"; })
+ (fetchNuGet { pname = "runtime.linux-x64.Microsoft.NETCore.ILAsm"; sha256 = "1vbxs72aq6k6ibcjqibswm51zdj6k3vxd1gxpw1aqbk8b6vaqqwf"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/a54510f9-4b2c-4e69-b96a-6096683aaa1f/nuget/v3/flat2/runtime.linux-x64.microsoft.netcore.ilasm/9.0.0-alpha.1.24061.26/runtime.linux-x64.microsoft.netcore.ilasm.9.0.0-alpha.1.24061.26.nupkg"; version = "9.0.0-alpha.1.24061.26"; })
+ (fetchNuGet { pname = "runtime.linux-x64.Microsoft.NETCore.ILDAsm"; sha256 = "0qwbjv0di5rnxaik7bxlfbr14y7w24fqp9vn6x5z2v464zdz02q5"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/a54510f9-4b2c-4e69-b96a-6096683aaa1f/nuget/v3/flat2/runtime.linux-x64.microsoft.netcore.ildasm/9.0.0-alpha.1.24061.26/runtime.linux-x64.microsoft.netcore.ildasm.9.0.0-alpha.1.24061.26.nupkg"; version = "9.0.0-alpha.1.24061.26"; })
+ (fetchNuGet { pname = "runtime.osx-arm64.Microsoft.NETCore.ILAsm"; sha256 = "8af8a1cbbe485ce344612b2482197a9ced5de567e384aea7cc0307741131990e"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/a54510f9-4b2c-4e69-b96a-6096683aaa1f/nuget/v3/flat2/runtime.osx-arm64.microsoft.netcore.ilasm/9.0.0-alpha.1.24061.26/runtime.osx-arm64.microsoft.netcore.ilasm.9.0.0-alpha.1.24061.26.nupkg"; version = "9.0.0-alpha.1.24061.26"; })
+ (fetchNuGet { pname = "runtime.osx-arm64.Microsoft.NETCore.ILDAsm"; sha256 = "ccf1cc88a8b555e0f514d71c8d5adc60fd06ec3a7e4c7a0b0acd6d4b1b81e0f3"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/a54510f9-4b2c-4e69-b96a-6096683aaa1f/nuget/v3/flat2/runtime.osx-arm64.microsoft.netcore.ildasm/9.0.0-alpha.1.24061.26/runtime.osx-arm64.microsoft.netcore.ildasm.9.0.0-alpha.1.24061.26.nupkg"; version = "9.0.0-alpha.1.24061.26"; })
+ (fetchNuGet { pname = "runtime.osx-x64.Microsoft.NETCore.ILAsm"; sha256 = "8d9346877885235b4267363d323a3f15122fb3667d7fbceb7c685f68163102e0"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/a54510f9-4b2c-4e69-b96a-6096683aaa1f/nuget/v3/flat2/runtime.osx-x64.microsoft.netcore.ilasm/9.0.0-alpha.1.24061.26/runtime.osx-x64.microsoft.netcore.ilasm.9.0.0-alpha.1.24061.26.nupkg"; version = "9.0.0-alpha.1.24061.26"; })
+ (fetchNuGet { pname = "runtime.osx-x64.Microsoft.NETCore.ILDAsm"; sha256 = "562eb0ea029d008798e50d423c3beaa5b509886751d446ce53f676b1a0281365"; url = "https://pkgs.dev.azure.com/dnceng/9ee6d478-d288-47f7-aacc-f6e6d082ae6d/_packaging/a54510f9-4b2c-4e69-b96a-6096683aaa1f/nuget/v3/flat2/runtime.osx-x64.microsoft.netcore.ildasm/9.0.0-alpha.1.24061.26/runtime.osx-x64.microsoft.netcore.ildasm.9.0.0-alpha.1.24061.26.nupkg"; version = "9.0.0-alpha.1.24061.26"; })
+]
diff --git a/pkgs/development/compilers/dotnet/9/release-info.json b/pkgs/development/compilers/dotnet/9/release-info.json
new file mode 100644
index 000000000000..8e87703ce864
--- /dev/null
+++ b/pkgs/development/compilers/dotnet/9/release-info.json
@@ -0,0 +1,5 @@
+{
+ "tarballHash": "sha256-eLvH9Voeey9NvGy515CMGuvQIBgQoP3Abvbv0sZNkkY=",
+ "artifactsUrl": "https://dotnetcli.azureedge.net/source-built-artifacts/assets/Private.SourceBuilt.Artifacts.9.0.100-alpha.1.24067.1.centos.8-x64.tar.gz",
+ "artifactsHash": "sha256-qH8MYzgEk0h9GwvZLUbmIM5Fe6uIAZrwHT/p8DIdy8E="
+}
diff --git a/pkgs/development/compilers/dotnet/9/release.json b/pkgs/development/compilers/dotnet/9/release.json
new file mode 100644
index 000000000000..1b1042c88b88
--- /dev/null
+++ b/pkgs/development/compilers/dotnet/9/release.json
@@ -0,0 +1,10 @@
+{
+ "release": "9.0.0-preview.1",
+ "channel": "9.0",
+ "tag": "v9.0.0-preview.1.24080.9",
+ "sdkVersion": "9.0.100-preview.1.24101.1",
+ "runtimeVersion": "9.0.0-preview.1.24080.9",
+ "aspnetcoreRuntimeVersion": "9.0.0-preview.1.24081.5",
+ "sourceRepository": "https://github.com/dotnet/dotnet",
+ "sourceVersion": "1337fabdb46697adfbfe4a6f681fc1c2cb5d5b66"
+}
diff --git a/pkgs/development/compilers/dotnet/build-dotnet.nix b/pkgs/development/compilers/dotnet/build-dotnet.nix
index bcdb16c63350..8ee0bd9e7b3a 100644
--- a/pkgs/development/compilers/dotnet/build-dotnet.nix
+++ b/pkgs/development/compilers/dotnet/build-dotnet.nix
@@ -24,6 +24,7 @@ assert if type == "sdk" then packages != null else true;
, runCommand
, writeShellScript
, mkNugetDeps
+, callPackage
}:
let
@@ -41,13 +42,10 @@ let
sdk = ".NET SDK ${version}";
};
- packageDeps = if type == "sdk" then mkNugetDeps {
- name = "${pname}-${version}-deps";
- nugetDeps = packages;
- } else null;
+ mkCommon = callPackage ./common.nix {};
in
-stdenv.mkDerivation (finalAttrs: rec {
+mkCommon type rec {
inherit pname version;
# Some of these dependencies are `dlopen()`ed.
@@ -88,11 +86,6 @@ stdenv.mkDerivation (finalAttrs: rec {
runHook postInstall
'';
- doInstallCheck = true;
- installCheckPhase = ''
- $out/bin/dotnet --info
- '';
-
# Tell autoPatchelf about runtime dependencies.
# (postFixup phase is run before autoPatchelfHook.)
postFixup = lib.optionalString stdenv.isLinux ''
@@ -112,23 +105,15 @@ stdenv.mkDerivation (finalAttrs: rec {
$out/packs/Microsoft.NETCore.App.Host.linux-x64/*/runtimes/linux-x64/native/singlefilehost
'';
- setupHook = writeText "dotnet-setup-hook" ''
- if [ ! -w "$HOME" ]; then
- export HOME=$(mktemp -d) # Dotnet expects a writable home directory for its configuration files
- fi
-
- export DOTNET_SKIP_FIRST_TIME_EXPERIENCE=1 # Dont try to expand NuGetFallbackFolder to disk
- export DOTNET_NOLOGO=1 # Disables the welcome message
- export DOTNET_CLI_TELEMETRY_OPTOUT=1
- export DOTNET_SKIP_WORKLOAD_INTEGRITY_CHECK=1 # Skip integrity check on first run, which fails due to read-only directory
- '';
-
passthru = {
inherit icu;
- packages = packageDeps;
+ } // lib.optionalAttrs (type == "sdk") {
+ packages = mkNugetDeps {
+ name = "${pname}-${version}-deps";
+ nugetDeps = packages;
+ };
updateScript =
- if type == "sdk" then
let
majorVersion =
with lib;
@@ -137,25 +122,7 @@ stdenv.mkDerivation (finalAttrs: rec {
writeShellScript "update-dotnet-${majorVersion}" ''
pushd pkgs/development/compilers/dotnet
exec ${./update.sh} "${majorVersion}"
- '' else null;
-
- tests = {
- version = testers.testVersion {
- package = finalAttrs.finalPackage;
- };
-
- smoke-test = runCommand "dotnet-sdk-smoke-test" {
- nativeBuildInputs = [ finalAttrs.finalPackage ];
- } ''
- HOME=$(pwd)/fake-home
- dotnet new console --no-restore
- dotnet restore --source "$(mktemp -d)"
- dotnet build --no-restore
- output="$(dotnet run --no-build)"
- # yes, older SDKs omit the comma
- [[ "$output" =~ Hello,?\ World! ]] && touch "$out"
'';
- };
};
meta = with lib; {
@@ -166,4 +133,4 @@ stdenv.mkDerivation (finalAttrs: rec {
mainProgram = "dotnet";
platforms = attrNames srcs;
};
-})
+}
diff --git a/pkgs/development/compilers/dotnet/combine-deps.nix b/pkgs/development/compilers/dotnet/combine-deps.nix
new file mode 100644
index 000000000000..a7c4356b34b0
--- /dev/null
+++ b/pkgs/development/compilers/dotnet/combine-deps.nix
@@ -0,0 +1,40 @@
+{
+ list,
+ baseRid,
+ otherRids,
+ pkgs ? import ../../../.. {}
+}:
+with pkgs.lib;
+let
+ inherit (pkgs) writeText;
+
+ fns = map (file: import file) list;
+ packages = unique
+ (concatMap (fn: fn { fetchNuGet = package: package; }) fns);
+
+ changePackageRid = package: rid:
+ let replace = replaceStrings [".${baseRid}"] [".${rid}"];
+ in rec {
+ pname = replace package.pname;
+ inherit (package) version;
+ url = replace package.url;
+ sha256 = builtins.hashFile "sha256" (builtins.fetchurl url);
+ };
+
+ expandPackage = package:
+ [ package ] ++
+ optionals (strings.match ".*\\.${baseRid}(\\..*|$)" package.pname != null)
+ (map (changePackageRid package) otherRids);
+
+ allPackages =
+ sortOn (package: [ package.pname package.version package ])
+ (concatMap expandPackage packages);
+
+ fetchExpr = package:
+ " (fetchNuGet ${generators.toPretty { multiline = false; } package})";
+
+in writeText "deps.nix" ''
+ { fetchNuGet }: [
+ ${concatMapStringsSep "\n" fetchExpr allPackages}
+ ]
+''
diff --git a/pkgs/development/compilers/dotnet/common.nix b/pkgs/development/compilers/dotnet/common.nix
new file mode 100644
index 000000000000..0d8890e61da2
--- /dev/null
+++ b/pkgs/development/compilers/dotnet/common.nix
@@ -0,0 +1,63 @@
+# TODO: switch to stdenvNoCC
+{ stdenv
+, lib
+, writeText
+, testers
+, runCommand
+}: type: args: stdenv.mkDerivation (finalAttrs: args // {
+ doInstallCheck = true;
+
+ # TODO: this should probably be postInstallCheck
+ # TODO: send output to /dev/null
+ installCheckPhase = args.installCheckPhase or "" + ''
+ $out/bin/dotnet --info
+ '';
+
+ # TODO: move this to sdk section?
+ setupHook = writeText "dotnet-setup-hook" (''
+ if [ ! -w "$HOME" ]; then
+ export HOME=$(mktemp -d) # Dotnet expects a writable home directory for its configuration files
+ fi
+
+ export DOTNET_SKIP_FIRST_TIME_EXPERIENCE=1 # Dont try to expand NuGetFallbackFolder to disk
+ export DOTNET_NOLOGO=1 # Disables the welcome message
+ export DOTNET_CLI_TELEMETRY_OPTOUT=1
+ export DOTNET_SKIP_WORKLOAD_INTEGRITY_CHECK=1 # Skip integrity check on first run, which fails due to read-only directory
+ '' + args.setupHook or "");
+
+} // lib.optionalAttrs (type == "sdk") {
+ passthru = {
+ tests = {
+ version = testers.testVersion {
+ package = finalAttrs.finalPackage;
+ };
+
+ console = runCommand "dotnet-test-console" {
+ nativeBuildInputs = [ finalAttrs.finalPackage ];
+ } ''
+ HOME=$(pwd)/fake-home
+ dotnet new nugetconfig
+ dotnet nuget disable source nuget
+ dotnet new console -n test -o .
+ output="$(dotnet run)"
+ # yes, older SDKs omit the comma
+ [[ "$output" =~ Hello,?\ World! ]] && touch "$out"
+ '';
+
+ single-file = let build = runCommand "dotnet-test-build-single-file" {
+ nativeBuildInputs = [ finalAttrs.finalPackage ];
+ } ''
+ HOME=$(pwd)/fake-home
+ dotnet new nugetconfig
+ dotnet nuget disable source nuget
+ dotnet nuget add source ${finalAttrs.finalPackage.packages}
+ dotnet new console -n test -o .
+ dotnet publish --use-current-runtime -p:PublishSingleFile=true -o $out
+ ''; in runCommand "dotnet-test-run-single-file" {} ''
+ output="$(${build}/test)"
+ # yes, older SDKs omit the comma
+ [[ "$output" =~ Hello,?\ World! ]] && touch "$out"
+ '';
+ } // args.passthru.tests or {};
+ } // args.passthru or {};
+})
diff --git a/pkgs/development/compilers/dotnet/default.nix b/pkgs/development/compilers/dotnet/default.nix
index 814560e49bee..47c3e21e51a4 100644
--- a/pkgs/development/compilers/dotnet/default.nix
+++ b/pkgs/development/compilers/dotnet/default.nix
@@ -5,7 +5,7 @@ dotnetCombined = with dotnetCorePackages; combinePackages [ sdk_6_0 aspnetcore_7
Hashes and urls are retrieved from:
https://dotnet.microsoft.com/download/dotnet
*/
-{ lib, config, callPackage }:
+{ lib, config, callPackage, recurseIntoAttrs }:
let
buildDotnet = attrs: callPackage (import ./build-dotnet.nix attrs) {};
buildAttrs = {
@@ -18,6 +18,8 @@ let
dotnet_6_0 = import ./versions/6.0.nix buildAttrs;
dotnet_7_0 = import ./versions/7.0.nix buildAttrs;
dotnet_8_0 = import ./versions/8.0.nix buildAttrs;
+ dotnet_8_0_102 = import ./versions/8.0.102.nix buildAttrs;
+ dotnet_9_0 = import ./versions/9.0.nix buildAttrs;
runtimeIdentifierMap = {
"x86_64-linux" = "linux-x64";
@@ -35,6 +37,9 @@ in
inherit systemToDotnetRid;
combinePackages = attrs: callPackage (import ./combine-packages.nix attrs) {};
+
+ dotnet_8 = recurseIntoAttrs (callPackage ./8 { bootstrapSdk = dotnet_8_0_102.sdk_8_0; });
+ dotnet_9 = recurseIntoAttrs (callPackage ./9 {});
} // lib.optionalAttrs config.allowAliases {
# EOL
sdk_2_1 = throw "Dotnet SDK 2.1 is EOL, please use 6.0 (LTS) or 7.0 (Current)";
@@ -42,4 +47,4 @@ in
sdk_3_0 = throw "Dotnet SDK 3.0 is EOL, please use 6.0 (LTS) or 7.0 (Current)";
sdk_3_1 = throw "Dotnet SDK 3.1 is EOL, please use 6.0 (LTS) or 7.0 (Current)";
sdk_5_0 = throw "Dotnet SDK 5.0 is EOL, please use 6.0 (LTS) or 7.0 (Current)";
-} // dotnet_6_0 // dotnet_7_0 // dotnet_8_0
+} // dotnet_6_0 // dotnet_7_0 // dotnet_8_0 // dotnet_9_0
diff --git a/pkgs/development/compilers/dotnet/dotnet.nix b/pkgs/development/compilers/dotnet/dotnet.nix
new file mode 100644
index 000000000000..90541215f949
--- /dev/null
+++ b/pkgs/development/compilers/dotnet/dotnet.nix
@@ -0,0 +1,50 @@
+{ callPackage
+, lib
+, releaseManifestFile
+, releaseInfoFile
+, allowPrerelease ? false
+, depsFile
+, bootstrapSdk
+, pkgsBuildHost
+}:
+
+let
+ inherit (lib.importJSON releaseInfoFile) tarballHash artifactsUrl artifactsHash;
+
+ pkgs = callPackage ./stage1.nix {
+ inherit releaseManifestFile tarballHash depsFile;
+ bootstrapSdk =
+ { stdenvNoCC
+ , dotnetCorePackages
+ , fetchurl
+ }: bootstrapSdk.overrideAttrs (old: {
+ passthru = old.passthru or {} // {
+ artifacts = stdenvNoCC.mkDerivation rec {
+ name = lib.nameFromURL artifactsUrl ".tar.gz";
+
+ src = fetchurl {
+ url = artifactsUrl;
+ hash = artifactsHash;
+ };
+
+ sourceRoot = ".";
+
+ installPhase = ''
+ mkdir -p $out
+ cp -r * $out/
+ ln -fs ${old.passthru.packages}/* $out/
+ '';
+ };
+ };
+ });
+ };
+
+in pkgs // {
+ vmr = pkgs.vmr.overrideAttrs(old: {
+ passthru = old.passthru // {
+ updateScript = pkgsBuildHost.callPackage ./update.nix {
+ inherit releaseManifestFile releaseInfoFile allowPrerelease;
+ };
+ };
+ });
+}
diff --git a/pkgs/development/compilers/dotnet/fix-aspnetcore-portable-build.patch b/pkgs/development/compilers/dotnet/fix-aspnetcore-portable-build.patch
new file mode 100644
index 000000000000..47c6f997a811
--- /dev/null
+++ b/pkgs/development/compilers/dotnet/fix-aspnetcore-portable-build.patch
@@ -0,0 +1,25 @@
+From ebc2540f8d0aba2e5ec2f0d5f5889100475ad93e Mon Sep 17 00:00:00 2001
+From: David McFarland
+Date: Mon, 1 Jan 2024 12:45:41 -0400
+Subject: [PATCH] fix aspnetcore portable build
+
+https://github.com/dotnet/installer/pull/15163#issuecomment-1873396096
+---
+ repo-projects/aspnetcore.proj | 1 +
+ 1 file changed, 1 insertion(+)
+
+diff --git a/repo-projects/aspnetcore.proj b/repo-projects/aspnetcore.proj
+index e3f4b1664a..947532add9 100644
+--- a/repo-projects/aspnetcore.proj
++++ b/repo-projects/aspnetcore.proj
+@@ -8,6 +8,7 @@
+ win-$(Platform)
+ <_portableRidOverridden Condition="'$(TargetRid)' != '$(OverrideTargetRid)'">true
+ <_portableRidOverridden Condition="'$(TargetRid)' == '$(OverrideTargetRid)'">false
++ <_portableRidOverridden Condition="'$(PortableBuild)' != ''">$(PortableBuild)
+
+
+ $(StandardSourceBuildArgs.Replace('--publish', ''))
+--
+2.40.1
+
diff --git a/pkgs/development/compilers/dotnet/fix-tmp-path.patch b/pkgs/development/compilers/dotnet/fix-tmp-path.patch
new file mode 100644
index 000000000000..54d7cf2c81df
--- /dev/null
+++ b/pkgs/development/compilers/dotnet/fix-tmp-path.patch
@@ -0,0 +1,27 @@
+From e0bd79c04c3647dd5abec5e60c031b1f2762a84c Mon Sep 17 00:00:00 2001
+From: David McFarland
+Date: Wed, 10 Jan 2024 02:25:46 -0400
+Subject: [PATCH] fix-tmp-path
+
+---
+ build.sh | 4 ++--
+ 1 file changed, 2 insertions(+), 2 deletions(-)
+
+diff --git a/build.sh b/build.sh
+index a1224e4369..555a88fc95 100755
+--- a/build.sh
++++ b/build.sh
+@@ -211,8 +211,8 @@ elif [ -d "$packagesArchiveDir" ]; then
+ if [ -f "${packagesPreviouslySourceBuiltDir}}PackageVersions.props" ]; then
+ packageVersionsPath=${packagesPreviouslySourceBuiltDir}PackageVersions.props
+ elif [ -f "$sourceBuiltArchive" ]; then
+- tar -xzf "$sourceBuiltArchive" -C /tmp PackageVersions.props
+- packageVersionsPath=/tmp/PackageVersions.props
++ tar -xzf "$sourceBuiltArchive" PackageVersions.props
++ packageVersionsPath=$PWD/PackageVersions.props
+ fi
+ fi
+
+--
+2.40.1
+
diff --git a/pkgs/development/compilers/dotnet/packages.nix b/pkgs/development/compilers/dotnet/packages.nix
new file mode 100644
index 000000000000..c7a8e048121e
--- /dev/null
+++ b/pkgs/development/compilers/dotnet/packages.nix
@@ -0,0 +1,99 @@
+{ stdenv
+, callPackage
+, vmr
+}:
+
+let
+ mkCommon = callPackage ./common.nix {};
+ inherit (vmr) targetRid releaseManifest;
+
+in {
+ inherit vmr;
+ sdk = mkCommon "sdk" rec {
+ pname = "dotnet-sdk";
+ version = releaseManifest.sdkVersion;
+
+ src = vmr;
+ dontUnpack = true;
+
+ outputs = [ "out" "packages" "artifacts" ];
+
+ installPhase = ''
+ runHook preInstall
+
+ cp -r "$src"/dotnet-sdk-${version}-${targetRid} "$out"
+ chmod +w "$out"
+ mkdir "$out"/bin
+ ln -s "$out"/dotnet "$out"/bin/dotnet
+
+ mkdir "$packages"
+ # this roughly corresponds to the {sdk,aspnetcore}_packages in ../update.sh
+ cp -r "$src"/Private.SourceBuilt.Artifacts.*.${targetRid}/*Microsoft.{NET.ILLink.Tasks,NETCore,DotNet,AspNetCore}.*.nupkg "$packages"
+
+ cp -r "$src"/Private.SourceBuilt.Artifacts.*.${targetRid} "$artifacts"
+
+ runHook postInstall
+ '';
+
+ passthru = {
+ inherit (vmr) icu targetRid;
+ };
+
+ meta = vmr.meta // {
+ mainProgram = "dotnet";
+ };
+ };
+
+ runtime = mkCommon "runtime" rec {
+ pname = "dotnet-runtime";
+ version = releaseManifest.runtimeVersion;
+
+ src = vmr;
+ dontUnpack = true;
+
+ outputs = [ "out" ];
+
+ installPhase = ''
+ runHook preInstall
+
+ cp -r "$src/dotnet-runtime-${version}-${targetRid}" "$out"
+ chmod +w "$out"
+ mkdir "$out"/bin
+ ln -s "$out"/dotnet "$out"/bin/dotnet
+
+ runHook postInstall
+ '';
+
+ meta = vmr.meta // {
+ mainProgram = "dotnet";
+ };
+ };
+
+ aspnetcore = mkCommon "aspnetcore" rec {
+ pname = "dotnet-aspnetcore-runtime";
+ version = releaseManifest.aspnetcoreRuntimeVersion or releaseManifest.runtimeVersion;
+
+ src = vmr;
+ dontUnpack = true;
+
+ outputs = [ "out" ];
+
+ installPhase = ''
+ runHook preInstall
+
+ cp -r "$src/dotnet-runtime-${releaseManifest.runtimeVersion}-${targetRid}" "$out"
+ chmod +w "$out"
+ mkdir "$out"/bin
+ ln -s "$out"/dotnet "$out"/bin/dotnet
+
+ chmod +w "$out"/shared
+ cp -Tr "$src/aspnetcore-runtime-${version}-${targetRid}" "$out"
+
+ runHook postInstall
+ '';
+
+ meta = vmr.meta // {
+ mainProgram = "dotnet";
+ };
+ };
+}
diff --git a/pkgs/development/compilers/dotnet/patch-nupkgs.nix b/pkgs/development/compilers/dotnet/patch-nupkgs.nix
new file mode 100644
index 000000000000..0f1173056f04
--- /dev/null
+++ b/pkgs/development/compilers/dotnet/patch-nupkgs.nix
@@ -0,0 +1,62 @@
+{ stdenv
+, lib
+, dotnetCorePackages
+, zlib
+, curl
+, icu
+, libunwind
+, libuuid
+, openssl
+, lttng-ust_2_12
+, writeShellScriptBin
+}:
+
+let
+ buildRid = dotnetCorePackages.systemToDotnetRid stdenv.buildPlatform.system;
+
+ binaryRPath = lib.makeLibraryPath ([
+ stdenv.cc.cc
+ zlib
+ curl
+ icu
+ libunwind
+ libuuid
+ openssl
+ ] ++ lib.optional stdenv.isLinux lttng-ust_2_12);
+
+in writeShellScriptBin "patch-nupkgs" ''
+ set -euo pipefail
+ shopt -s nullglob
+ isELF() {
+ local fn="$1"
+ local fd
+ local magic
+ exec {fd}< "$fn"
+ read -r -n 4 -u "$fd" magic
+ exec {fd}<&-
+ if [ "$magic" = $'\177ELF' ]; then return 0; else return 1; fi
+ }
+ cd "$1"
+ for x in *.${buildRid}/* *.${buildRid}.*/*; do
+ [[ -d "$x" ]] && [[ ! -f "$x"/.nix-patched ]] || continue
+ echo "Patching package $x"
+ pushd "$x"
+ for p in $(find -type f); do
+ if [[ "$p" != *.nix-patched ]] && isELF "$p"; then
+ tmp="$p".$$.nix-patched
+ # if this fails to copy then another process must have patched it
+ cp --reflink=auto "$p" "$tmp" || continue
+ echo "Patchelfing $p as $tmp"
+ patchelf \
+ --set-interpreter "${stdenv.cc.bintools.dynamicLinker}" \
+ "$tmp" ||:
+ patchelf \
+ --set-rpath "${binaryRPath}" \
+ "$tmp" ||:
+ mv "$tmp" "$p"
+ fi
+ done
+ touch .nix-patched
+ popd
+ done
+''
diff --git a/pkgs/development/compilers/dotnet/patch-restored-packages.proj b/pkgs/development/compilers/dotnet/patch-restored-packages.proj
new file mode 100644
index 000000000000..bef12d6308f9
--- /dev/null
+++ b/pkgs/development/compilers/dotnet/patch-restored-packages.proj
@@ -0,0 +1,8 @@
+
+
+
+
+
+
+
+
diff --git a/pkgs/development/compilers/dotnet/record-downloaded-packages.patch b/pkgs/development/compilers/dotnet/record-downloaded-packages.patch
new file mode 100644
index 000000000000..4c5b45939d76
--- /dev/null
+++ b/pkgs/development/compilers/dotnet/record-downloaded-packages.patch
@@ -0,0 +1,42 @@
+From a5a4a77dd77ed5c997bec6519adf7b6be3108af2 Mon Sep 17 00:00:00 2001
+From: David McFarland
+Date: Sun, 31 Dec 2023 01:48:31 -0400
+Subject: [PATCH 2/2] record downloaded packages
+
+---
+ .../buildBootstrapPreviouslySB.csproj | 6 +++++
+ repo-projects/Directory.Build.targets | 27 +++++++++++++++++++
+ 2 files changed, 33 insertions(+)
+
+diff --git a/eng/bootstrap/buildBootstrapPreviouslySB.csproj b/eng/bootstrap/buildBootstrapPreviouslySB.csproj
+index d85e32ca76..280c9eaf89 100644
+--- a/eng/bootstrap/buildBootstrapPreviouslySB.csproj
++++ b/eng/bootstrap/buildBootstrapPreviouslySB.csproj
+@@ -102,6 +102,12 @@
+
+
+
++
++
++
++
+
+diff --git a/repo-projects/Directory.Build.targets b/repo-projects/Directory.Build.targets
+index 3fa15da862..afd7b87088 100644
+--- a/repo-projects/Directory.Build.targets
++++ b/repo-projects/Directory.Build.targets
+@@ -471,6 +497,7 @@
+
+
+
++
+
+
+
+--
+2.40.1
+
diff --git a/pkgs/development/compilers/dotnet/record-downloaded-packages.proj b/pkgs/development/compilers/dotnet/record-downloaded-packages.proj
new file mode 100644
index 000000000000..f85da42ec2be
--- /dev/null
+++ b/pkgs/development/compilers/dotnet/record-downloaded-packages.proj
@@ -0,0 +1,13 @@
+
+
+
+ <_NuGetToNixPackageCache Include="$(ProjectDirectory)artifacts/sb/package-cache/"/>
+ <_NuGetToNixPackageCache Include="$(ProjectDirectory)artifacts/source-build/self/package-cache/"/>
+
+
+
+
diff --git a/pkgs/development/compilers/dotnet/sign-apphost.nix b/pkgs/development/compilers/dotnet/sign-apphost.nix
new file mode 100644
index 000000000000..f804ab79d332
--- /dev/null
+++ b/pkgs/development/compilers/dotnet/sign-apphost.nix
@@ -0,0 +1,10 @@
+{ substituteAll
+, callPackage
+}:
+let
+ sigtool = callPackage ./sigtool.nix {};
+
+in substituteAll {
+ src = ./sign-apphost.proj;
+ codesign = "${sigtool}/bin/codesign";
+}
diff --git a/pkgs/development/compilers/dotnet/sign-apphost.proj b/pkgs/development/compilers/dotnet/sign-apphost.proj
new file mode 100644
index 000000000000..e401739bdd70
--- /dev/null
+++ b/pkgs/development/compilers/dotnet/sign-apphost.proj
@@ -0,0 +1,11 @@
+
+
+
+
+
+
+
+
+
+
+
diff --git a/pkgs/development/compilers/dotnet/sigtool.nix b/pkgs/development/compilers/dotnet/sigtool.nix
new file mode 100644
index 000000000000..658ee578ae98
--- /dev/null
+++ b/pkgs/development/compilers/dotnet/sigtool.nix
@@ -0,0 +1,27 @@
+{ darwin
+, fetchFromGitHub
+, makeWrapper
+}:
+let
+ cctools = darwin.cctools;
+
+in darwin.sigtool.overrideAttrs (old: {
+ # this is a fork of sigtool that supports -v and --remove-signature, which are
+ # used by the dotnet sdk
+ src = fetchFromGitHub {
+ owner = "corngood";
+ repo = "sigtool";
+ rev = "new-commands";
+ sha256 = "sha256-EVM5ZG3sAHrIXuWrnqA9/4pDkJOpWCeBUl5fh0mkK4k=";
+ };
+
+ nativeBuildInputs = old.nativeBuildInputs or [] ++ [
+ makeWrapper
+ ];
+
+ postInstall = old.postInstall or "" + ''
+ wrapProgram $out/bin/codesign \
+ --set-default CODESIGN_ALLOCATE \
+ "${cctools}/bin/${cctools.targetPrefix}codesign_allocate"
+ '';
+})
diff --git a/pkgs/development/compilers/dotnet/stage0.nix b/pkgs/development/compilers/dotnet/stage0.nix
new file mode 100644
index 000000000000..d12d1a6c3d13
--- /dev/null
+++ b/pkgs/development/compilers/dotnet/stage0.nix
@@ -0,0 +1,126 @@
+{ stdenv
+, stdenvNoCC
+, callPackage
+, lib
+, writeShellScript
+, pkgsBuildHost
+, mkNugetDeps
+, nix
+, cacert
+, nuget-to-nix
+, dotnetCorePackages
+, xmlstarlet
+
+, releaseManifestFile
+, tarballHash
+, depsFile
+, bootstrapSdk
+}:
+
+let
+ mkPackages = callPackage ./packages.nix;
+ mkVMR = callPackage ./vmr.nix;
+
+ dotnetSdk = pkgsBuildHost.callPackage bootstrapSdk {};
+
+ patchNupkgs = pkgsBuildHost.callPackage ./patch-nupkgs.nix {};
+
+ signAppHost = callPackage ./sign-apphost.nix {};
+
+ deps = mkNugetDeps {
+ name = "dotnet-vmr-deps";
+ sourceFile = depsFile;
+ };
+
+ vmr = (mkVMR {
+ inherit releaseManifestFile tarballHash dotnetSdk;
+ }).overrideAttrs (old: rec {
+ prebuiltPackages = mkNugetDeps {
+ name = "dotnet-vmr-deps";
+ sourceFile = depsFile;
+ };
+
+ nativeBuildInputs =
+ old.nativeBuildInputs or []
+ ++ [ xmlstarlet ]
+ ++ lib.optional stdenv.isLinux patchNupkgs;
+
+ postPatch = old.postPatch or "" + lib.optionalString stdenv.isLinux ''
+ xmlstarlet ed \
+ --inplace \
+ -s //Project -t elem -n Import \
+ -i \$prev -t attr -n Project -v "${./patch-restored-packages.proj}" \
+ src/*/Directory.Build.targets
+ '' + lib.optionalString stdenv.isDarwin ''
+ xmlstarlet ed \
+ --inplace \
+ -s //Project -t elem -n Import \
+ -i \$prev -t attr -n Project -v "${signAppHost}" \
+ src/runtime/Directory.Build.targets
+ '';
+
+ postConfigure = old.postConfigure or "" + ''
+ [[ ! -v prebuiltPackages ]] || ln -sf "$prebuiltPackages"/* prereqs/packages/prebuilt/
+ '';
+
+ passthru = old.passthru or {} // { fetch-deps =
+ let
+ inherit (vmr) targetRid updateScript;
+ otherRids =
+ lib.remove targetRid (
+ map (system: dotnetCorePackages.systemToDotnetRid system)
+ vmr.meta.platforms);
+
+ pkg = vmr.overrideAttrs (old: {
+ nativeBuildInputs = old.nativeBuildInputs ++ [
+ nix
+ cacert
+ (nuget-to-nix.override { dotnet-sdk = dotnetSdk; })
+ ];
+ postPatch = old.postPatch or "" + ''
+ xmlstarlet ed \
+ --inplace \
+ -s //Project -t elem -n Import \
+ -i \$prev -t attr -n Project -v "${./record-downloaded-packages.proj}" \
+ repo-projects/Directory.Build.targets
+ # make nuget-client use the standard arcade package-cache dir, which
+ # is where we scan for dependencies
+ xmlstarlet ed \
+ --inplace \
+ -s //Project -t elem -n ItemGroup \
+ -s \$prev -t elem -n EnvironmentVariables \
+ -i \$prev -t attr -n Include -v 'NUGET_PACKAGES=$(ProjectDirectory)artifacts/sb/package-cache/' \
+ repo-projects/nuget-client.proj
+ '';
+ buildFlags = [ "--online" ] ++ old.buildFlags;
+ prebuiltPackages = null;
+ });
+
+ drv = builtins.unsafeDiscardOutputDependency pkg.drvPath;
+ in
+ writeShellScript "fetch-dotnet-sdk-deps" ''
+ ${nix}/bin/nix-shell --pure --run 'source /dev/stdin' "${drv}" << 'EOF'
+ set -e
+
+ tmp=$(mktemp -d)
+ trap 'rm -fr "$tmp"' EXIT
+
+ HOME=$tmp/.home
+ cd "$tmp"
+
+ phases="''${prePhases[*]:-} unpackPhase patchPhase ''${preConfigurePhases[*]:-} \
+ configurePhase ''${preBuildPhases[*]:-} buildPhase checkPhase" \
+ genericBuild
+
+ depsFiles=(./src/*/deps.nix)
+
+ cat $(nix-build ${toString ./combine-deps.nix} \
+ --arg list "[ ''${depsFiles[*]} ]" \
+ --argstr baseRid ${targetRid} \
+ --arg otherRids '${lib.generators.toPretty { multiline = false; } otherRids}' \
+ ) > "${toString prebuiltPackages.sourceFile}"
+ EOF
+ '';
+ };
+ });
+in mkPackages { inherit vmr; }
diff --git a/pkgs/development/compilers/dotnet/stage1.nix b/pkgs/development/compilers/dotnet/stage1.nix
new file mode 100644
index 000000000000..4212aaaab024
--- /dev/null
+++ b/pkgs/development/compilers/dotnet/stage1.nix
@@ -0,0 +1,27 @@
+{ stdenv
+, lib
+, callPackage
+, pkgsBuildHost
+
+, releaseManifestFile
+, tarballHash
+, depsFile
+, bootstrapSdk
+}@args:
+
+let
+ mkPackages = callPackage ./packages.nix;
+ mkVMR = callPackage ./vmr.nix;
+
+ stage0 = pkgsBuildHost.callPackage ./stage0.nix args;
+
+ vmr = (mkVMR {
+ inherit releaseManifestFile tarballHash;
+ dotnetSdk = stage0.sdk;
+ }).overrideAttrs (old: {
+ passthru = old.passthru or {} // {
+ inherit (stage0.vmr) fetch-deps;
+ };
+ });
+
+in mkPackages { inherit vmr; }
diff --git a/pkgs/development/compilers/dotnet/stop-passing-bare-sdk-arg-to-swiftc.patch b/pkgs/development/compilers/dotnet/stop-passing-bare-sdk-arg-to-swiftc.patch
new file mode 100644
index 000000000000..fa2606c0c6cd
--- /dev/null
+++ b/pkgs/development/compilers/dotnet/stop-passing-bare-sdk-arg-to-swiftc.patch
@@ -0,0 +1,31 @@
+From 85a940f3f039704da73ee177c1848cd4b6ed029f Mon Sep 17 00:00:00 2001
+From: David McFarland
+Date: Tue, 9 Jan 2024 15:10:00 -0400
+Subject: [PATCH] stop passing bare sdk arg to swiftc
+
+---
+ .../CMakeLists.txt | 6 +++++-
+ 1 file changed, 5 insertions(+), 1 deletion(-)
+
+diff --git a/src/runtime/src/native/libs/System.Security.Cryptography.Native.Apple/CMakeLists.txt b/src/runtime/src/native/libs/System.Security.Cryptography.Native.Apple/CMakeLists.txt
+index b847f5c3cd..cf8344ead0 100644
+--- a/src/runtime/src/native/libs/System.Security.Cryptography.Native.Apple/CMakeLists.txt
++++ b/src/runtime/src/native/libs/System.Security.Cryptography.Native.Apple/CMakeLists.txt
+@@ -49,9 +49,13 @@ if (NOT SWIFT_COMPILER_TARGET AND CLR_CMAKE_TARGET_OSX)
+ set(SWIFT_COMPILER_TARGET "${CMAKE_OSX_ARCHITECTURES}-apple-${SWIFT_PLATFORM}${SWIFT_DEPLOYMENT_TARGET}${SWIFT_PLATFORM_SUFFIX}")
+ endif()
+
++if (CMAKE_OSX_SYSROOT)
++ set(SWIFT_ARGS -sdk ${CMAKE_OSX_SYSROOT})
++endif()
++
+ add_custom_command(
+ OUTPUT pal_swiftbindings.o
+- COMMAND xcrun swiftc -emit-object -static -parse-as-library -runtime-compatibility-version none -sdk ${CMAKE_OSX_SYSROOT} -target ${SWIFT_COMPILER_TARGET} ${CMAKE_CURRENT_SOURCE_DIR}/pal_swiftbindings.swift -o pal_swiftbindings.o
++ COMMAND xcrun swiftc -emit-object -static -parse-as-library -runtime-compatibility-version none ${SWIFT_ARGS} -target ${SWIFT_COMPILER_TARGET} ${CMAKE_CURRENT_SOURCE_DIR}/pal_swiftbindings.swift -o pal_swiftbindings.o
+ MAIN_DEPENDENCY ${CMAKE_CURRENT_SOURCE_DIR}/pal_swiftbindings.swift
+ COMMENT "Compiling Swift file pal_swiftbindings.swift"
+ )
+--
+2.42.0
+
diff --git a/pkgs/development/compilers/dotnet/update.nix b/pkgs/development/compilers/dotnet/update.nix
new file mode 100644
index 000000000000..29206ecbe768
--- /dev/null
+++ b/pkgs/development/compilers/dotnet/update.nix
@@ -0,0 +1,125 @@
+{ stdenvNoCC
+, lib
+, fetchurl
+, writeScript
+, nix
+, runtimeShell
+, curl
+, cacert
+, jq
+, yq
+, gnupg
+
+, releaseManifestFile
+, releaseInfoFile
+, allowPrerelease
+}:
+
+let
+ inherit (lib.importJSON releaseManifestFile) channel release;
+
+ pkg = stdenvNoCC.mkDerivation {
+ name = "update-dotnet-vmr-env";
+
+ nativeBuildInputs = [
+ nix
+ curl
+ cacert
+ jq
+ yq
+ gnupg
+ ];
+ };
+
+ releaseKey = fetchurl {
+ url = "https://dotnet.microsoft.com/download/dotnet/release-key-2023.asc";
+ hash = "sha256-F668QB55md0GQvoG0jeA66Fb2RbrsRhFTzTbXIX3GUo=";
+ };
+
+ drv = builtins.unsafeDiscardOutputDependency pkg.drvPath;
+
+in writeScript "update-dotnet-vmr.sh" ''
+ #! ${nix}/bin/nix-shell
+ #! nix-shell -i ${runtimeShell} --pure ${drv}
+ set -euo pipefail
+
+ query=$(cat <&2 echo "release is already $release"
+ exit
+ fi
+
+ tarballUrl=https://github.com/dotnet/dotnet/archive/refs/tags/$tagName.tar.gz
+
+ mapfile -t prefetch < <(nix-prefetch-url --print-path "$tarballUrl")
+ tarballHash=$(nix-hash --to-sri --type sha256 "''${prefetch[0]}")
+ tarball=''${prefetch[1]}
+
+ curl -L "$sigUrl" -o release.sig
+
+ export GNUPGHOME=$PWD/.gnupg
+ gpg --batch --import ${releaseKey}
+ gpg --batch --verify release.sig "$tarball"
+
+ tar --strip-components=1 --no-wildcards-match-slash --wildcards -xzf "$tarball" \*/eng/Versions.props
+ artifactsVersion=$(xq -r '.Project.PropertyGroup |
+ map(select(.PrivateSourceBuiltArtifactsVersion))
+ | .[] | .PrivateSourceBuiltArtifactsVersion' eng/Versions.props)
+
+ if [[ "$artifactsVersion" != "" ]]; then
+ artifactsUrl=https://dotnetcli.azureedge.net/source-built-artifacts/assets/Private.SourceBuilt.Artifacts.$artifactsVersion.centos.8-x64.tar.gz
+ else
+ artifactsUrl=$(xq -r '.Project.PropertyGroup |
+ map(select(.PrivateSourceBuiltArtifactsUrl))
+ | .[] | .PrivateSourceBuiltArtifactsUrl' eng/Versions.props)
+ fi
+
+ artifactsHash=$(nix-hash --to-sri --type sha256 "$(nix-prefetch-url "$artifactsUrl")")
+
+ jq --null-input \
+ --arg _0 "$tarballHash" \
+ --arg _1 "$artifactsUrl" \
+ --arg _2 "$artifactsHash" \
+ '{
+ "tarballHash": $_0,
+ "artifactsUrl": $_1,
+ "artifactsHash": $_2,
+ }' > "${toString releaseInfoFile}"
+
+ cp release.json "${toString releaseManifestFile}"
+ )
+''
diff --git a/pkgs/development/compilers/dotnet/update.sh b/pkgs/development/compilers/dotnet/update.sh
index cdbbe482d4e4..39ccfdf33b40 100755
--- a/pkgs/development/compilers/dotnet/update.sh
+++ b/pkgs/development/compilers/dotnet/update.sh
@@ -8,7 +8,7 @@ release () {
local content="$1"
local version="$2"
- jq -r '.releases[] | select(."release-version" == "'"$version"'")' <<< "$content"
+ jq -r '.releases[] | select(.sdks[] | ."version" == "'"$version"'")' <<< "$content"
}
release_files () {
@@ -18,6 +18,14 @@ release_files () {
jq -r '[."'"$type"'".files[] | select(.name | test("^.*.tar.gz$"))]' <<< "$release"
}
+sdk_files () {
+ local release="$1"
+ local version="$2"
+
+ jq -r '[.sdks[] | select(.version == "'"$version"'") | .files[] | select(.name | test("^.*.tar.gz$"))]' <<< "$release"
+}
+
+
release_platform_attr () {
local release_files="$1"
local platform="$2"
@@ -28,11 +36,11 @@ release_platform_attr () {
platform_sources () {
local release_files="$1"
- local platforms=( \
- "x86_64-linux linux-x64" \
- "aarch64-linux linux-arm64" \
- "x86_64-darwin osx-x64" \
- "aarch64-darwin osx-arm64" \
+ local platforms=(
+ "x86_64-linux linux-x64"
+ "aarch64-linux linux-arm64"
+ "x86_64-darwin osx-x64"
+ "aarch64-darwin osx-arm64"
)
echo "srcs = {"
@@ -77,7 +85,7 @@ version_older () {
cur_version=$1
max_version=$2
result=$(nix-instantiate -I ../../../../. \
- --eval -E "(import {}).lib.versionOlder \"$cur_version\" \"$max_version\"")
+ --eval -E "(import ../../../../. {}).lib.versionOlder \"$cur_version\" \"$max_version\"")
if [[ "$result" == "true" ]]; then
return 0
else
@@ -109,31 +117,31 @@ aspnetcore_packages () {
# Due to this, make sure to check if new SDK versions introduce any new packages.
# This should not happend in minor or bugfix updates, but probably happens
# with every new major .NET release.
- local pkgs=( \
- "Microsoft.AspNetCore.App.Runtime.linux-arm" \
- "Microsoft.AspNetCore.App.Runtime.linux-arm64" \
- "Microsoft.AspNetCore.App.Runtime.linux-musl-arm64" \
- "Microsoft.AspNetCore.App.Runtime.linux-musl-x64" \
- "Microsoft.AspNetCore.App.Runtime.linux-x64" \
- "Microsoft.AspNetCore.App.Runtime.osx-x64" \
- "Microsoft.AspNetCore.App.Runtime.win-arm64" \
- "Microsoft.AspNetCore.App.Runtime.win-x64" \
- "Microsoft.AspNetCore.App.Runtime.win-x86" \
+ local pkgs=(
+ "Microsoft.AspNetCore.App.Runtime.linux-arm"
+ "Microsoft.AspNetCore.App.Runtime.linux-arm64"
+ "Microsoft.AspNetCore.App.Runtime.linux-musl-arm64"
+ "Microsoft.AspNetCore.App.Runtime.linux-musl-x64"
+ "Microsoft.AspNetCore.App.Runtime.linux-x64"
+ "Microsoft.AspNetCore.App.Runtime.osx-x64"
+ "Microsoft.AspNetCore.App.Runtime.win-arm64"
+ "Microsoft.AspNetCore.App.Runtime.win-x64"
+ "Microsoft.AspNetCore.App.Runtime.win-x86"
)
# These packages are currently broken on .NET 8
if version_older "$version" "8"; then
- pkgs+=( \
- "Microsoft.AspNetCore.App.Runtime.win-arm" \
+ pkgs+=(
+ "Microsoft.AspNetCore.App.Runtime.win-arm"
)
fi
# Packages that only apply to .NET 6 and up
if ! version_older "$version" "6"; then
- pkgs+=( \
- "Microsoft.AspNetCore.App.Ref" \
- "Microsoft.AspNetCore.App.Runtime.linux-musl-arm" \
- "Microsoft.AspNetCore.App.Runtime.osx-arm64" \
+ pkgs+=(
+ "Microsoft.AspNetCore.App.Ref"
+ "Microsoft.AspNetCore.App.Runtime.linux-musl-arm"
+ "Microsoft.AspNetCore.App.Runtime.osx-arm64"
)
fi
@@ -165,119 +173,135 @@ sdk_packages () {
# Due to this, make sure to check if new SDK versions introduce any new packages.
# This should not happend in minor or bugfix updates, but probably happens
# with every new major .NET release.
- local pkgs=( \
- "Microsoft.NETCore.App.Host.linux-arm" \
- "Microsoft.NETCore.App.Host.linux-arm64" \
- "Microsoft.NETCore.App.Host.linux-musl-arm64" \
- "Microsoft.NETCore.App.Host.linux-musl-x64" \
- "Microsoft.NETCore.App.Host.linux-x64" \
- "Microsoft.NETCore.App.Host.osx-x64" \
- "Microsoft.NETCore.App.Host.win-arm64" \
- "Microsoft.NETCore.App.Host.win-x64" \
- "Microsoft.NETCore.App.Host.win-x86" \
- "Microsoft.NETCore.App.Runtime.linux-arm" \
- "Microsoft.NETCore.App.Runtime.linux-arm64" \
- "Microsoft.NETCore.App.Runtime.linux-musl-arm64" \
- "Microsoft.NETCore.App.Runtime.linux-musl-x64" \
- "Microsoft.NETCore.App.Runtime.linux-x64" \
- "Microsoft.NETCore.App.Runtime.osx-x64" \
- "Microsoft.NETCore.App.Runtime.win-arm64" \
- "Microsoft.NETCore.App.Runtime.win-x64" \
- "Microsoft.NETCore.App.Runtime.win-x86" \
- "Microsoft.NETCore.DotNetAppHost" \
- "Microsoft.NETCore.DotNetHost" \
- "Microsoft.NETCore.DotNetHostPolicy" \
- "Microsoft.NETCore.DotNetHostResolver" \
- "runtime.linux-arm64.Microsoft.NETCore.DotNetAppHost" \
- "runtime.linux-arm64.Microsoft.NETCore.DotNetHost" \
- "runtime.linux-arm64.Microsoft.NETCore.DotNetHostPolicy" \
- "runtime.linux-arm64.Microsoft.NETCore.DotNetHostResolver" \
- "runtime.linux-arm.Microsoft.NETCore.DotNetAppHost" \
- "runtime.linux-arm.Microsoft.NETCore.DotNetHost" \
- "runtime.linux-arm.Microsoft.NETCore.DotNetHostPolicy" \
- "runtime.linux-arm.Microsoft.NETCore.DotNetHostResolver" \
- "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetAppHost" \
- "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetHost" \
- "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetHostPolicy" \
- "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetHostResolver" \
- "runtime.linux-musl-x64.Microsoft.NETCore.DotNetAppHost" \
- "runtime.linux-musl-x64.Microsoft.NETCore.DotNetHost" \
- "runtime.linux-musl-x64.Microsoft.NETCore.DotNetHostPolicy" \
- "runtime.linux-musl-x64.Microsoft.NETCore.DotNetHostResolver" \
- "runtime.linux-x64.Microsoft.NETCore.DotNetAppHost" \
- "runtime.linux-x64.Microsoft.NETCore.DotNetHost" \
- "runtime.linux-x64.Microsoft.NETCore.DotNetHostPolicy" \
- "runtime.linux-x64.Microsoft.NETCore.DotNetHostResolver" \
- "runtime.osx-x64.Microsoft.NETCore.DotNetAppHost" \
- "runtime.osx-x64.Microsoft.NETCore.DotNetHost" \
- "runtime.osx-x64.Microsoft.NETCore.DotNetHostPolicy" \
- "runtime.osx-x64.Microsoft.NETCore.DotNetHostResolver" \
- "runtime.win-arm64.Microsoft.NETCore.DotNetAppHost" \
- "runtime.win-arm64.Microsoft.NETCore.DotNetHost" \
- "runtime.win-arm64.Microsoft.NETCore.DotNetHostPolicy" \
- "runtime.win-arm64.Microsoft.NETCore.DotNetHostResolver" \
- "runtime.win-x64.Microsoft.NETCore.DotNetAppHost" \
- "runtime.win-x64.Microsoft.NETCore.DotNetHost" \
- "runtime.win-x64.Microsoft.NETCore.DotNetHostPolicy" \
- "runtime.win-x64.Microsoft.NETCore.DotNetHostResolver" \
- "runtime.win-x86.Microsoft.NETCore.DotNetAppHost" \
- "runtime.win-x86.Microsoft.NETCore.DotNetHost" \
- "runtime.win-x86.Microsoft.NETCore.DotNetHostPolicy" \
- "runtime.win-x86.Microsoft.NETCore.DotNetHostResolver" \
- "Microsoft.NETCore.App.Host.linux-musl-arm" \
- "Microsoft.NETCore.App.Host.osx-arm64" \
- "Microsoft.NETCore.App.Runtime.linux-musl-arm" \
- "Microsoft.NETCore.App.Runtime.osx-arm64" \
- "Microsoft.NETCore.App.Ref" \
- "Microsoft.NETCore.App.Runtime.Mono.linux-arm" \
- "Microsoft.NETCore.App.Runtime.Mono.linux-arm64" \
- "Microsoft.NETCore.App.Runtime.Mono.linux-musl-x64" \
- "Microsoft.NETCore.App.Runtime.Mono.linux-x64" \
- "Microsoft.NETCore.App.Runtime.Mono.osx-arm64" \
- "Microsoft.NETCore.App.Runtime.Mono.osx-x64" \
- "Microsoft.NETCore.App.Runtime.Mono.win-x64" \
- "Microsoft.NETCore.App.Runtime.Mono.win-x86" \
- "runtime.linux-musl-arm.Microsoft.NETCore.DotNetAppHost" \
- "runtime.linux-musl-arm.Microsoft.NETCore.DotNetHost" \
- "runtime.linux-musl-arm.Microsoft.NETCore.DotNetHostPolicy" \
- "runtime.linux-musl-arm.Microsoft.NETCore.DotNetHostResolver" \
- "runtime.osx-arm64.Microsoft.NETCore.DotNetAppHost" \
- "runtime.osx-arm64.Microsoft.NETCore.DotNetHost" \
- "runtime.osx-arm64.Microsoft.NETCore.DotNetHostPolicy" \
- "runtime.osx-arm64.Microsoft.NETCore.DotNetHostResolver" \
- "Microsoft.NETCore.App.Crossgen2.linux-musl-arm" \
- "Microsoft.NETCore.App.Crossgen2.linux-musl-arm64" \
- "Microsoft.NETCore.App.Crossgen2.linux-musl-x64" \
- "Microsoft.NETCore.App.Crossgen2.linux-arm" \
- "Microsoft.NETCore.App.Crossgen2.linux-arm64" \
- "Microsoft.NETCore.App.Crossgen2.linux-x64" \
- "Microsoft.NETCore.App.Crossgen2.osx-x64" \
+ local pkgs=(
+ "Microsoft.NETCore.App.Host.linux-arm"
+ "Microsoft.NETCore.App.Host.linux-arm64"
+ "Microsoft.NETCore.App.Host.linux-musl-arm64"
+ "Microsoft.NETCore.App.Host.linux-musl-x64"
+ "Microsoft.NETCore.App.Host.linux-x64"
+ "Microsoft.NETCore.App.Host.osx-x64"
+ "Microsoft.NETCore.App.Host.win-arm64"
+ "Microsoft.NETCore.App.Host.win-x64"
+ "Microsoft.NETCore.App.Host.win-x86"
+ "Microsoft.NETCore.App.Runtime.linux-arm"
+ "Microsoft.NETCore.App.Runtime.linux-arm64"
+ "Microsoft.NETCore.App.Runtime.linux-musl-arm64"
+ "Microsoft.NETCore.App.Runtime.linux-musl-x64"
+ "Microsoft.NETCore.App.Runtime.linux-x64"
+ "Microsoft.NETCore.App.Runtime.osx-x64"
+ "Microsoft.NETCore.App.Runtime.win-arm64"
+ "Microsoft.NETCore.App.Runtime.win-x64"
+ "Microsoft.NETCore.App.Runtime.win-x86"
+ "Microsoft.NETCore.DotNetAppHost"
+ "Microsoft.NETCore.DotNetHost"
+ "Microsoft.NETCore.DotNetHostPolicy"
+ "Microsoft.NETCore.DotNetHostResolver"
+ "runtime.linux-arm64.Microsoft.NETCore.DotNetAppHost"
+ "runtime.linux-arm64.Microsoft.NETCore.DotNetHost"
+ "runtime.linux-arm64.Microsoft.NETCore.DotNetHostPolicy"
+ "runtime.linux-arm64.Microsoft.NETCore.DotNetHostResolver"
+ "runtime.linux-arm.Microsoft.NETCore.DotNetAppHost"
+ "runtime.linux-arm.Microsoft.NETCore.DotNetHost"
+ "runtime.linux-arm.Microsoft.NETCore.DotNetHostPolicy"
+ "runtime.linux-arm.Microsoft.NETCore.DotNetHostResolver"
+ "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetAppHost"
+ "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetHost"
+ "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetHostPolicy"
+ "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetHostResolver"
+ "runtime.linux-musl-x64.Microsoft.NETCore.DotNetAppHost"
+ "runtime.linux-musl-x64.Microsoft.NETCore.DotNetHost"
+ "runtime.linux-musl-x64.Microsoft.NETCore.DotNetHostPolicy"
+ "runtime.linux-musl-x64.Microsoft.NETCore.DotNetHostResolver"
+ "runtime.linux-x64.Microsoft.NETCore.DotNetAppHost"
+ "runtime.linux-x64.Microsoft.NETCore.DotNetHost"
+ "runtime.linux-x64.Microsoft.NETCore.DotNetHostPolicy"
+ "runtime.linux-x64.Microsoft.NETCore.DotNetHostResolver"
+ "runtime.osx-x64.Microsoft.NETCore.DotNetAppHost"
+ "runtime.osx-x64.Microsoft.NETCore.DotNetHost"
+ "runtime.osx-x64.Microsoft.NETCore.DotNetHostPolicy"
+ "runtime.osx-x64.Microsoft.NETCore.DotNetHostResolver"
+ "runtime.win-arm64.Microsoft.NETCore.DotNetAppHost"
+ "runtime.win-arm64.Microsoft.NETCore.DotNetHost"
+ "runtime.win-arm64.Microsoft.NETCore.DotNetHostPolicy"
+ "runtime.win-arm64.Microsoft.NETCore.DotNetHostResolver"
+ "runtime.win-x64.Microsoft.NETCore.DotNetAppHost"
+ "runtime.win-x64.Microsoft.NETCore.DotNetHost"
+ "runtime.win-x64.Microsoft.NETCore.DotNetHostPolicy"
+ "runtime.win-x64.Microsoft.NETCore.DotNetHostResolver"
+ "runtime.win-x86.Microsoft.NETCore.DotNetAppHost"
+ "runtime.win-x86.Microsoft.NETCore.DotNetHost"
+ "runtime.win-x86.Microsoft.NETCore.DotNetHostPolicy"
+ "runtime.win-x86.Microsoft.NETCore.DotNetHostResolver"
+ "Microsoft.NETCore.App.Host.linux-musl-arm"
+ "Microsoft.NETCore.App.Host.osx-arm64"
+ "Microsoft.NETCore.App.Runtime.linux-musl-arm"
+ "Microsoft.NETCore.App.Runtime.osx-arm64"
+ "Microsoft.NETCore.App.Ref"
+ "Microsoft.NETCore.App.Runtime.Mono.linux-arm"
+ "Microsoft.NETCore.App.Runtime.Mono.linux-arm64"
+ "Microsoft.NETCore.App.Runtime.Mono.linux-musl-x64"
+ "Microsoft.NETCore.App.Runtime.Mono.linux-x64"
+ "Microsoft.NETCore.App.Runtime.Mono.osx-arm64"
+ "Microsoft.NETCore.App.Runtime.Mono.osx-x64"
+ "Microsoft.NETCore.App.Runtime.Mono.win-x64"
+ "Microsoft.NETCore.App.Runtime.Mono.win-x86"
+ "runtime.linux-musl-arm.Microsoft.NETCore.DotNetAppHost"
+ "runtime.linux-musl-arm.Microsoft.NETCore.DotNetHost"
+ "runtime.linux-musl-arm.Microsoft.NETCore.DotNetHostPolicy"
+ "runtime.linux-musl-arm.Microsoft.NETCore.DotNetHostResolver"
+ "runtime.osx-arm64.Microsoft.NETCore.DotNetAppHost"
+ "runtime.osx-arm64.Microsoft.NETCore.DotNetHost"
+ "runtime.osx-arm64.Microsoft.NETCore.DotNetHostPolicy"
+ "runtime.osx-arm64.Microsoft.NETCore.DotNetHostResolver"
+ "Microsoft.NETCore.App.Crossgen2.linux-musl-arm"
+ "Microsoft.NETCore.App.Crossgen2.linux-musl-arm64"
+ "Microsoft.NETCore.App.Crossgen2.linux-musl-x64"
+ "Microsoft.NETCore.App.Crossgen2.linux-arm"
+ "Microsoft.NETCore.App.Crossgen2.linux-arm64"
+ "Microsoft.NETCore.App.Crossgen2.linux-x64"
+ "Microsoft.NETCore.App.Crossgen2.osx-x64"
"Microsoft.NETCore.App.Crossgen2.osx-arm64"
)
+ # These packages were removed on .NET 9
+ if ! version_older "$version" "9"; then
+ local newpkgs=()
+ for pkg in "${pkgs[@]}"; do
+ [[ "$pkg" = *Microsoft.NETCore.DotNetHost* ]] || newpkgs+=("$pkg")
+ done
+ pkgs=("${newpkgs[@]}")
+ fi
+
# These packages were removed on .NET 8
if version_older "$version" "8"; then
- pkgs+=( \
- "Microsoft.NETCore.App.Host.win-arm" \
- "Microsoft.NETCore.App.Runtime.win-arm" \
- "runtime.win-arm.Microsoft.NETCore.DotNetAppHost" \
- "runtime.win-arm.Microsoft.NETCore.DotNetHost" \
- "runtime.win-arm.Microsoft.NETCore.DotNetHostPolicy" \
- "runtime.win-arm.Microsoft.NETCore.DotNetHostResolver" \
- "Microsoft.NETCore.App.Composite" \
+ pkgs+=(
+ "Microsoft.NETCore.App.Host.win-arm"
+ "Microsoft.NETCore.App.Runtime.win-arm"
+ "runtime.win-arm.Microsoft.NETCore.DotNetAppHost"
+ "runtime.win-arm.Microsoft.NETCore.DotNetHost"
+ "runtime.win-arm.Microsoft.NETCore.DotNetHostPolicy"
+ "runtime.win-arm.Microsoft.NETCore.DotNetHostResolver"
+ "Microsoft.NETCore.App.Composite"
)
fi
# Packages that only apply to .NET 7 and up
if ! version_older "$version" "7"; then
- pkgs+=( \
- "runtime.linux-arm64.Microsoft.DotNet.ILCompiler" \
- "runtime.linux-musl-arm64.Microsoft.DotNet.ILCompiler" \
- "runtime.linux-musl-x64.Microsoft.DotNet.ILCompiler" \
- "runtime.linux-x64.Microsoft.DotNet.ILCompiler" \
- "runtime.osx-x64.Microsoft.DotNet.ILCompiler" \
- "runtime.win-arm64.Microsoft.DotNet.ILCompiler" \
- "runtime.win-x64.Microsoft.DotNet.ILCompiler" \
+ pkgs+=(
+ "runtime.linux-arm64.Microsoft.DotNet.ILCompiler"
+ "runtime.linux-musl-arm64.Microsoft.DotNet.ILCompiler"
+ "runtime.linux-musl-x64.Microsoft.DotNet.ILCompiler"
+ "runtime.linux-x64.Microsoft.DotNet.ILCompiler"
+ "runtime.osx-x64.Microsoft.DotNet.ILCompiler"
+ "runtime.win-arm64.Microsoft.DotNet.ILCompiler"
+ "runtime.win-x64.Microsoft.DotNet.ILCompiler"
+ )
+ fi
+
+ # These packges were added on .NET 8
+ if ! version_older "$version" "8"; then
+ pkgs+=(
+ "Microsoft.NET.ILLink.Tasks"
)
fi
@@ -314,13 +338,13 @@ Examples:
# Then get the json file and parse it to find the latest patch release.
major_minor=$(sed 's/^\([0-9]*\.[0-9]*\).*$/\1/' <<< "$sem_version")
content=$(curl -sL https://dotnetcli.blob.core.windows.net/dotnet/release-metadata/"$major_minor"/releases.json)
- major_minor_patch=$([ "$patch_specified" == true ] && echo "$sem_version" || jq -r '."latest-release"' <<< "$content")
+ major_minor_patch=$([ "$patch_specified" == true ] && echo "$sem_version" || jq -r '."latest-sdk"' <<< "$content")
major_minor_underscore=${major_minor/./_}
- release_content=$(release "$content" "$major_minor_patch")
+ sdk_version=$major_minor_patch
+ release_content=$(release "$content" "$sdk_version")
aspnetcore_version=$(jq -r '."aspnetcore-runtime".version' <<< "$release_content")
runtime_version=$(jq -r '.runtime.version' <<< "$release_content")
- sdk_version=$(jq -r '.sdk.version' <<< "$release_content")
# If patch was not specified, check if the package is already the latest version
# If it is, exit early
@@ -339,11 +363,18 @@ Examples:
aspnetcore_files="$(release_files "$release_content" "aspnetcore-runtime")"
runtime_files="$(release_files "$release_content" "runtime")"
- sdk_files="$(release_files "$release_content" "sdk")"
+ sdk_files="$(sdk_files "$release_content" "$sdk_version")"
channel_version=$(jq -r '."channel-version"' <<< "$content")
support_phase=$(jq -r '."support-phase"' <<< "$content")
+ aspnetcore_sources="$(platform_sources "$aspnetcore_files")"
+ runtime_sources="$(platform_sources "$runtime_files")"
+ sdk_sources="$(platform_sources "$sdk_files")"
+
+ aspnetcore_packages="$(aspnetcore_packages "${aspnetcore_version}")"
+ sdk_packages="$(sdk_packages "${runtime_version}")"
+
result=$(mktemp)
trap "rm -f $result" TERM INT EXIT
@@ -353,20 +384,20 @@ Examples:
{
aspnetcore_$major_minor_underscore = buildAspNetCore {
version = \"${aspnetcore_version}\";
- $(platform_sources "$aspnetcore_files")
+ $aspnetcore_sources
};
runtime_$major_minor_underscore = buildNetRuntime {
version = \"${runtime_version}\";
- $(platform_sources "$runtime_files")
+ $runtime_sources
};
sdk_$major_minor_underscore = buildNetSdk {
version = \"${sdk_version}\";
- $(platform_sources "$sdk_files")
+ $sdk_sources
packages = { fetchNuGet }: [
-$(aspnetcore_packages "${aspnetcore_version}")
-$(sdk_packages "${runtime_version}")
+$aspnetcore_packages
+$sdk_packages
];
};
}" > "${result}"
diff --git a/pkgs/development/compilers/dotnet/versions/6.0.nix b/pkgs/development/compilers/dotnet/versions/6.0.nix
index 91340bbf0d18..ec7fd8d7f997 100644
--- a/pkgs/development/compilers/dotnet/versions/6.0.nix
+++ b/pkgs/development/compilers/dotnet/versions/6.0.nix
@@ -3,177 +3,177 @@
# v6.0 (active)
{
aspnetcore_6_0 = buildAspNetCore {
- version = "6.0.26";
+ version = "6.0.27";
srcs = {
x86_64-linux = {
- url = "https://download.visualstudio.microsoft.com/download/pr/b63daa46-51f4-480e-ad03-ef2c5a6a2885/ae059763456991305109bf98b3a67640/aspnetcore-runtime-6.0.26-linux-x64.tar.gz";
- sha512 = "51a0091ffa5abb2a6f2f968f76848e475310fbb33126238bc1358ee86e24bfd3f046d32af2f39dc7a30b14becdd637d1314ca4f4b771fe5fa0954474a605e4fd";
+ url = "https://download.visualstudio.microsoft.com/download/pr/d3e6b8a2-f7de-441e-a3af-c18b7584034b/9f15be4d095b7bbb751222b4d68a17e3/aspnetcore-runtime-6.0.27-linux-x64.tar.gz";
+ sha512 = "47495e387c63b10f3b52065f40738d58b5b60d260d23cff96fe6beeb290f2f329a538c8065443fa3b10ecbd3456bdae58e443118870e7b5774210caf07c3f688";
};
aarch64-linux = {
- url = "https://download.visualstudio.microsoft.com/download/pr/cfc40e77-a6de-481f-812d-6867289e2d8b/eeedeebccc412fd01110d8b59050754d/aspnetcore-runtime-6.0.26-linux-arm64.tar.gz";
- sha512 = "48330ea4d98fc565c9553ea119f56e3e485ca30a0986f43e78335e263d9cc82d17b7ced8115480d1adb33298cbc5cb2b0759bc89d516659c4c59eab9520a2254";
+ url = "https://download.visualstudio.microsoft.com/download/pr/6be3e44e-1306-422b-845c-9313589bbeb0/d76f133799f6b2c8e3ea7dc9d92b7a03/aspnetcore-runtime-6.0.27-linux-arm64.tar.gz";
+ sha512 = "cafb52efb2bb646459c3d133a6968105867bbe0ef580318def47ff83770e1f180431f53c5a7899563b5c8d7fe44a58d423c8c7a4b3f29054010230fb47b1fa89";
};
x86_64-darwin = {
- url = "https://download.visualstudio.microsoft.com/download/pr/19e27b0b-cd99-4b84-bc7a-05eda52bd77f/a85cbbb13b629b75b2026bb8c6238e6e/aspnetcore-runtime-6.0.26-osx-x64.tar.gz";
- sha512 = "9ffb209f2f07392935b9627e22b44260803cc5e21ab8d09152d5499ebae51d6f488992664bc44a23334332a1183c444b47cada319cf4d461dd95a6b78f1cd825";
+ url = "https://download.visualstudio.microsoft.com/download/pr/9c3628c1-8221-48e4-aff1-a3eb23bc42f0/4c6717fec81aa31dbc290af683087304/aspnetcore-runtime-6.0.27-osx-x64.tar.gz";
+ sha512 = "4cf70618e2f01401a26b05fd287867ba9b23498629d87bd61d3418a0d5191c0e07a16090e149e759072b00ee9860a4cf7260e6bf36e2d10ee19d0d4c2a39a5e2";
};
aarch64-darwin = {
- url = "https://download.visualstudio.microsoft.com/download/pr/e5181497-c33a-4341-a5a6-57eb21f39d33/85b574ef2b884f084b604d1869d72c02/aspnetcore-runtime-6.0.26-osx-arm64.tar.gz";
- sha512 = "641cb5542c5d4b0103a2ac0154e2a99d755a4987fcdad854cda1fc75bdde08432eab73db69c444628e7d68496ed6e36fa52eda5033e118ed4b5140b8d5c47d96";
+ url = "https://download.visualstudio.microsoft.com/download/pr/7127ff28-48c8-4f40-bd34-be86a2098a67/afad61df9e45650c995b92dd10d2167c/aspnetcore-runtime-6.0.27-osx-arm64.tar.gz";
+ sha512 = "1cc3d27ca26edbc30f24ea918a44414f0098481c6ad5ed5f19a5db1c1ea1ec3c412804233cc3e7aea481aee351be4512b40c554fd5b1807204a9dc22a479b9ba";
};
};
};
runtime_6_0 = buildNetRuntime {
- version = "6.0.26";
+ version = "6.0.27";
srcs = {
x86_64-linux = {
- url = "https://download.visualstudio.microsoft.com/download/pr/1873e7f3-aa12-4189-8d6d-db0fb859211e/b36e8f8a6ceb63bc3cfac875c7bb63d0/dotnet-runtime-6.0.26-linux-x64.tar.gz";
- sha512 = "7336f71f7f99ffc3a44c7d730c6a1e08c5c0b6e05d2076a1963776f174f8588d31c9b783d1c4f645f7e7cc6a54077b798c6bde35ed4a812ffd9b2427d29b0b34";
+ url = "https://download.visualstudio.microsoft.com/download/pr/b4f214ee-a287-4640-991c-de80de4111d9/2672dee679fc3627949e8efdfff71e6d/dotnet-runtime-6.0.27-linux-x64.tar.gz";
+ sha512 = "448c4419e6c5b52e82eebaaf8601bbe668a0c8bb3293a6004125c7305b38072f7d2236ebffcaf4a71901b61b22ce66ae8b077af6321ba14729be385f228be04c";
};
aarch64-linux = {
- url = "https://download.visualstudio.microsoft.com/download/pr/226d8ab0-8daf-47b1-80cb-a9b68badb28b/a4425bebee91775ce41a50aca80e09c1/dotnet-runtime-6.0.26-linux-arm64.tar.gz";
- sha512 = "775d96bb3dfa6f5e7f81829e7eedf0744aeb75d5e1a613622debd1f285f9eda694ae79effe531558dd8367dc4fad5d682039aa24fb2bbb39fb561c67aeeb4a18";
+ url = "https://download.visualstudio.microsoft.com/download/pr/559c4240-f5e3-4d3a-a361-99c07c7cad11/a00adbf8edb12c3646ebf57bce84d1c6/dotnet-runtime-6.0.27-linux-arm64.tar.gz";
+ sha512 = "2e9772089ca8d548201d02542ba2013506530183ea6f52e8907afa608662ae967579add6d9704b75c22f2639667ef87682a7ce92aff05d51670e9354e52df1ee";
};
x86_64-darwin = {
- url = "https://download.visualstudio.microsoft.com/download/pr/51703c07-2cf0-415f-abbd-5d4bf2ffd56a/fc952db2ecb119fa2d58828d1046f974/dotnet-runtime-6.0.26-osx-x64.tar.gz";
- sha512 = "e2d51f955c841299fe6dabe1abce15ab2ffb2b9c624f5c2ad12685a14451fa62ed9452ae7d7d579f1beca784e4d4e3b532cf686e58490d44bbd0e022ddabd667";
+ url = "https://download.visualstudio.microsoft.com/download/pr/54b2f9c4-2c1a-4fdf-9054-f295d3ae24f2/bafc4747c493d32bbeab6a5dc3cef4a5/dotnet-runtime-6.0.27-osx-x64.tar.gz";
+ sha512 = "c15275726882d2cbbfe8e76b05a9dd6e6764a5889c54b2e40eefd057e39f4c44c2da0909b890e27f463b47b08755a8b83657b6f67c77a460e3009554e85b4942";
};
aarch64-darwin = {
- url = "https://download.visualstudio.microsoft.com/download/pr/d76c6416-5a6d-42c0-99b0-a4bb0021426b/84ef0457a893dbf0d565a532fa9dd805/dotnet-runtime-6.0.26-osx-arm64.tar.gz";
- sha512 = "fba964511efd71b87aad92ac8a31d7a86cde605fc0eb9d57ea270067b22cd540a67451d8ff3a079fcec8fffcdcfcbd74cfcc89123c2b11096dca78cbfc891be3";
+ url = "https://download.visualstudio.microsoft.com/download/pr/ac022bcb-1ccc-4e7d-8b96-6d0379bec761/3ac011081768ec18387dee520e42c540/dotnet-runtime-6.0.27-osx-arm64.tar.gz";
+ sha512 = "5394fb21a6c4748ccf12c47c3774ad3a193ab3dec263161bc90522bf3b2de3dd65c0102a33a9c946c2b88588fc1d6083ee4c9c683d173d7f371a98ad78591705";
};
};
};
sdk_6_0 = buildNetSdk {
- version = "6.0.418";
+ version = "6.0.419";
srcs = {
x86_64-linux = {
- url = "https://download.visualstudio.microsoft.com/download/pr/01292c7c-a1ec-4957-90fc-3f6a2a1e5edc/025e84c4d9bd4aeb003d4f07b42e9159/dotnet-sdk-6.0.418-linux-x64.tar.gz";
- sha512 = "24d705157ae51ed5ec5ff267c76474d2ff71b0e56693f700de456321f15212a7791291b95770522a976434f5220e5c03b042f41755a0b6e9854abf73cd51e299";
+ url = "https://download.visualstudio.microsoft.com/download/pr/8828b97b-7bfd-4b1b-a646-e55bddc0d7ad/e2f7d168ad273e78fbae72ffb6d215d3/dotnet-sdk-6.0.419-linux-x64.tar.gz";
+ sha512 = "155a9ab33dc11a76502c24b94dbcd188b06e51f56814082f6570fd923cd74b0266baefbcb6becdd34e41c3979f5b21ca333a7fa57f0e41e5435d28e8815d1641";
};
aarch64-linux = {
- url = "https://download.visualstudio.microsoft.com/download/pr/487a62cc-de86-4648-bcf4-18a02b0f4ccc/669d92e679215646badef80782d32bff/dotnet-sdk-6.0.418-linux-arm64.tar.gz";
- sha512 = "2848db109c65dc284320f680c13b498789f944f3474788548c0bf15d333020cf9b8286348bacda9af56e1dea6b56590ff24669de7ed5eaa31906f4710cabc6e1";
+ url = "https://download.visualstudio.microsoft.com/download/pr/3b18aefa-0e14-4193-a167-35e1de4cfe46/acf2b230ae3ecfbc4d5f4c20cbf97b2d/dotnet-sdk-6.0.419-linux-arm64.tar.gz";
+ sha512 = "c249e5c1d15f040e2e4ce444328ec30dd1097984b1b0c4d48d1beb61c7e35d06f133509500ee63ded86a420e569920809b587ff2abe073da3d8f10d4a03a9d15";
};
x86_64-darwin = {
- url = "https://download.visualstudio.microsoft.com/download/pr/0cce8cdf-fcdf-453e-9346-96abbe76ba6e/79047571e41085ddbef25c25540b40a8/dotnet-sdk-6.0.418-osx-x64.tar.gz";
- sha512 = "62eb6c792e90750510395a4e5796bd72b0b6806633b220ead6f54505edbabcc9216c52a4346247b20fe2c6b5b31de23432afd2687a0a6aa38727c4cad2c96e93";
+ url = "https://download.visualstudio.microsoft.com/download/pr/f9e10850-734b-4267-8fd4-27a8e0f83cb9/1ac81544781feb8717c584d991f831c3/dotnet-sdk-6.0.419-osx-x64.tar.gz";
+ sha512 = "43d9ee7f63131138b9a8aed10ca6797256c029168a07c340ff7a5b2fb43ebf62efcb62a4bcfe669de2b57749223d89028e68bb45e9dfbc0d5341ad5f1bd0516d";
};
aarch64-darwin = {
- url = "https://download.visualstudio.microsoft.com/download/pr/20977215-ff63-458a-ba19-43e93456b76e/bd58619144624cc66ac451d9c3bd5f5a/dotnet-sdk-6.0.418-osx-arm64.tar.gz";
- sha512 = "4328aa334e5ddc2dc53c2602e5cd7718e7bc7750a3a44993ee1e6b052251d570882592f24a89821bd261c42d235e3f0213f060d36c7365bd6d2d5eca60231524";
+ url = "https://download.visualstudio.microsoft.com/download/pr/c4bdba21-86ac-4c0b-8d65-c26459e115ee/8fcb7e012eda317486ad00d095cddba4/dotnet-sdk-6.0.419-osx-arm64.tar.gz";
+ sha512 = "9db6455c2bad80f8c8b312630c77700fd845203ba20cb7022671cf6a22b1663a1742e47eed7a384142a1d58388d8d736b4868efc5ce80b205c949e4ed5d71fe9";
};
};
packages = { fetchNuGet }: [
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-arm"; version = "6.0.26"; sha256 = "1dghq8zl0ad9wspixf6pg1ryqx5g5b2d6vavz00arkr29hbb6pr1"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-arm64"; version = "6.0.26"; sha256 = "1za8lc52m4z54d68wd64c2nhzy05g3gx171k5cdlx73fbymiys9z"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-musl-arm64"; version = "6.0.26"; sha256 = "0yni79dvg87lx4ysy9l61hz120w43g8g2bl0l5d3l3c835vk4fsk"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-musl-x64"; version = "6.0.26"; sha256 = "1sb4jy90xwjpysham5a09960zll1p9q696dajcz3hayfd3d72nc6"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-x64"; version = "6.0.26"; sha256 = "1zpbmz6z8758gwywzg0bac8kx9x39sxxc9j4a4r2jl74l9ssw4vm"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.osx-x64"; version = "6.0.26"; sha256 = "02src68hd3213sd1a2ms1my7i92knfmdxclvv90il9cky2zsq8kw"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.win-arm64"; version = "6.0.26"; sha256 = "1b2p4a0vngdq77kqdv3fprqg0r9nzsn1idnxkqclvpbkal8ackhc"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.win-x64"; version = "6.0.26"; sha256 = "1gxlmfdkfzmhw9pac5jiv674nn6i1zymcp2hj81irjwhhjk01mf5"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.win-x86"; version = "6.0.26"; sha256 = "1kmx5kmsb0xqhjpig9zmwp1zcyxlx7q42npcywfjvj8rhn3k8f6z"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.win-arm"; version = "6.0.26"; sha256 = "0amqvl3jimfr3hpsm43pc30rfijsi5j08q07whyv136s3wj2fp9m"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Ref"; version = "6.0.26"; sha256 = "1d8nkz24vsm0iy2xm8y5ak2q1w1p99dxyz0y26acs6sfk2na0vm6"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-musl-arm"; version = "6.0.26"; sha256 = "0gb5b57pq3kfgk5kzwzf6124l0rfa197945ynpr3h8jcamab2bc9"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.osx-arm64"; version = "6.0.26"; sha256 = "1i8ydlwjzk7j0mzvn0rpljxfp1h50zwaqalnyvfxai1fwgigzgw5"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-arm"; version = "6.0.26"; sha256 = "00zknjp1bscjfprzjipjmwvq4i0i95mxvd5r61c077pfwkr2rrap"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-arm64"; version = "6.0.26"; sha256 = "19y6c6v20bgf7x7rrh4rx9y7s5fy8vp5m4j9b6gi1wp4rpb5mza4"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-musl-arm64"; version = "6.0.26"; sha256 = "0jlxi1y51rpsppz02gybqcsja746ns7mjfrg7f6y8x5xqvp4p84i"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-musl-x64"; version = "6.0.26"; sha256 = "0sgcg406w9a9s0ipvp1csrb80ddmakifzy8ngsqkxl2phvkxrak3"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-x64"; version = "6.0.26"; sha256 = "0p7hhidaa3mnyiwnsijwy8578v843x8hh99255s69qwwyld6falv"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.osx-x64"; version = "6.0.26"; sha256 = "1chac9b4424ihrrnlzvc7qz6j4ymfjyv4kzyazzzw19yhymdkh2s"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.win-arm64"; version = "6.0.26"; sha256 = "1k78541brbw29n6nblls4msj604jznj4s74973c899svv2qw5ayf"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.win-x64"; version = "6.0.26"; sha256 = "0i7g9fsqjnbh9rc6807m57r2idg5pkcw6xjfwhnxkcpgqm96258v"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.win-x86"; version = "6.0.26"; sha256 = "0p7ar2xl9p8qzxvkrx59vry09dyjgy6hky1a8x8alvi5aay725r7"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-arm"; version = "6.0.26"; sha256 = "0w797ab42nncr17f5imgwi47bw8im851v4l52jlkpfcr7sak6f7q"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-arm64"; version = "6.0.26"; sha256 = "164hfrwqz5dxcbb441lridk4mzcqmarb0b7ckgvqhsvpawyjw88v"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-musl-arm64"; version = "6.0.26"; sha256 = "02nymbmv9wvbx1jsxp1iz3009finzabg9p3ibrsqmrg9cxfs1y5c"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-musl-x64"; version = "6.0.26"; sha256 = "0l30qp4gwcazh8rmw4i4j0k996jnlpkr5nzf0r14zm4j0h1zq6i3"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-x64"; version = "6.0.26"; sha256 = "0islayddpnflviqpbq4djc4f3v9nhsa2y76k5x6il3csq5vdw2hq"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.osx-x64"; version = "6.0.26"; sha256 = "00f9l9dkdz0zv5csaw8fkm6s8ckrj5n9k3ygz12daa22l3bcn6ii"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.win-arm64"; version = "6.0.26"; sha256 = "0cls6ac8795iwmiqnbwfsg28gw9ac1smdp2fnvqfcjng7fnpq3ny"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.win-x64"; version = "6.0.26"; sha256 = "0i2p356phfc5y6qnr3vyrzjfi1mrbwfb6g85k4q37bbyxjfp7zl9"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.win-x86"; version = "6.0.26"; sha256 = "0qqlnm9p060ff0fs3gl9lkx908zmpbsdnj2inf7h3gxxncf8d7ba"; })
- (fetchNuGet { pname = "Microsoft.NETCore.DotNetAppHost"; version = "6.0.26"; sha256 = "19dlfl7z5lxh9kdi6h9a00npmhcbn6j8hpf7lmmx8lqzxx43v92n"; })
- (fetchNuGet { pname = "Microsoft.NETCore.DotNetHost"; version = "6.0.26"; sha256 = "1nzdrswailhr8q2lqcnx5x73fnlacrh91q2zhwsiwkzhnj2g10ad"; })
- (fetchNuGet { pname = "Microsoft.NETCore.DotNetHostPolicy"; version = "6.0.26"; sha256 = "1x9sizw7m2ibff4x0iwwfhwacqmm07h7calp65xyzjbsbd3pvwwl"; })
- (fetchNuGet { pname = "Microsoft.NETCore.DotNetHostResolver"; version = "6.0.26"; sha256 = "1inp20x12nmxydrklcflm2gjq9hhg4w2ak37ssdqs4lp0ljrclyl"; })
- (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.NETCore.DotNetAppHost"; version = "6.0.26"; sha256 = "07w9n5wxj3rb2i50dlq4wx5fpkchlg1zm3mwkq49aw1xspplhmb3"; })
- (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.NETCore.DotNetHost"; version = "6.0.26"; sha256 = "0bp1951qsb67a9qkfl144ijbpf7wrayj2zfnsyrlnacyfjr15nh0"; })
- (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.NETCore.DotNetHostPolicy"; version = "6.0.26"; sha256 = "0pcn8gsw9cyhbry1wlxqh6khmkvji7w01z8mv9m6pxl1f58nnk5g"; })
- (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.NETCore.DotNetHostResolver"; version = "6.0.26"; sha256 = "17znyv8ql0iigk3ipnf79jzqfhjb1gr7zmci7plr5gdsm4xwqvhj"; })
- (fetchNuGet { pname = "runtime.linux-arm.Microsoft.NETCore.DotNetAppHost"; version = "6.0.26"; sha256 = "1mbabip288dypj2vqifs3gc5pxn77m58dsawmhaf1rp046fv66zw"; })
- (fetchNuGet { pname = "runtime.linux-arm.Microsoft.NETCore.DotNetHost"; version = "6.0.26"; sha256 = "1x93q16gfl7j4445vyjp9md4ypfrp1q2562kcxfga7zgfw8jrig2"; })
- (fetchNuGet { pname = "runtime.linux-arm.Microsoft.NETCore.DotNetHostPolicy"; version = "6.0.26"; sha256 = "1j2a8svxyphsfry7dj9w2a0dnbpxsm8jp4h0752zs8vwr7566dbd"; })
- (fetchNuGet { pname = "runtime.linux-arm.Microsoft.NETCore.DotNetHostResolver"; version = "6.0.26"; sha256 = "1ia3aysigp73c5iyrk901wygaw5ivlca6pgpq8cv8haf5a0hb8p4"; })
- (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetAppHost"; version = "6.0.26"; sha256 = "0akginmvpjm6pd3854j9p5hcb7iq1qgsmy02w7ih3fsrpc6k1xvj"; })
- (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetHost"; version = "6.0.26"; sha256 = "1r7zwd9livxs9gf1a3xnx2rjf0azgp8xxha46axigfip62zqib8z"; })
- (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetHostPolicy"; version = "6.0.26"; sha256 = "093rjmlk3q74q5bzzxrs5587ymfhikzhfhymii7b86nvnbzm62fp"; })
- (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetHostResolver"; version = "6.0.26"; sha256 = "1wjkz1icqlv0f2nkr9mpdiqp8aiqbbgbpb4j1zdms0rqwf3y3ngd"; })
- (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.NETCore.DotNetAppHost"; version = "6.0.26"; sha256 = "0ay8i7vbf0dbfwh3riggm8y0dwn1wxkw98c6isdk2yp30pc6y1gf"; })
- (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.NETCore.DotNetHost"; version = "6.0.26"; sha256 = "049gk7f50n1mni8a31dmrih6pxn0dl9iyfb7malhj4x2va54676m"; })
- (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.NETCore.DotNetHostPolicy"; version = "6.0.26"; sha256 = "0q0xzszp5jxqcdr5n8p0glq79zrlcqkj05zmd31admqxl9hlv4qd"; })
- (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.NETCore.DotNetHostResolver"; version = "6.0.26"; sha256 = "0qkivqmgli8id2c6da9nckggs4dl0sg3rafhmgs7g9s1izlwjkgz"; })
- (fetchNuGet { pname = "runtime.linux-x64.Microsoft.NETCore.DotNetAppHost"; version = "6.0.26"; sha256 = "1ladvxwyh7yp32k27lzw2zyl0wsn74lylpxb0bvcq8fspsq8kqbp"; })
- (fetchNuGet { pname = "runtime.linux-x64.Microsoft.NETCore.DotNetHost"; version = "6.0.26"; sha256 = "0yb06wsqjljnrni0y0dfrfxk8r80w9zzicdj18dx5l85n0apk2zp"; })
- (fetchNuGet { pname = "runtime.linux-x64.Microsoft.NETCore.DotNetHostPolicy"; version = "6.0.26"; sha256 = "18l9bpiv03xsawkvy0kzwcmqrfil0m42n5zb2c4na3hdalw6k230"; })
- (fetchNuGet { pname = "runtime.linux-x64.Microsoft.NETCore.DotNetHostResolver"; version = "6.0.26"; sha256 = "17nr1hpb5lkv8f72y0jljn9sb6zgi80z63s0r6pci77dknbqw0m7"; })
- (fetchNuGet { pname = "runtime.osx-x64.Microsoft.NETCore.DotNetAppHost"; version = "6.0.26"; sha256 = "1vak4v0q9p99yrm24ld3jw3hpy2myk1sr7fm47cb84q4hh6ppdad"; })
- (fetchNuGet { pname = "runtime.osx-x64.Microsoft.NETCore.DotNetHost"; version = "6.0.26"; sha256 = "1693h15h9na4n2bgmrcrcr0rsqfc8dr7s4wwirnn2fki1gk33vxj"; })
- (fetchNuGet { pname = "runtime.osx-x64.Microsoft.NETCore.DotNetHostPolicy"; version = "6.0.26"; sha256 = "0s2694rz7ykzg1x1yn8y3vdj6nipmh1h136p8584m4hqyyszg7h5"; })
- (fetchNuGet { pname = "runtime.osx-x64.Microsoft.NETCore.DotNetHostResolver"; version = "6.0.26"; sha256 = "06i6pavanzbl9maclzsvkq482knjsz2s0sk5b36a8wr08lvy83ih"; })
- (fetchNuGet { pname = "runtime.win-arm64.Microsoft.NETCore.DotNetAppHost"; version = "6.0.26"; sha256 = "0qm079rbljawwj8lm83kd8dfn3rhasn981gyfkrapk92y2ndjjj5"; })
- (fetchNuGet { pname = "runtime.win-arm64.Microsoft.NETCore.DotNetHost"; version = "6.0.26"; sha256 = "1a886rw2xb053cybh9vfjwyg4a0w9d3141dsd08jxqrmbbsirb1d"; })
- (fetchNuGet { pname = "runtime.win-arm64.Microsoft.NETCore.DotNetHostPolicy"; version = "6.0.26"; sha256 = "0i9k3fcl54rnqk3gxi6dm17cmxwygclgjhjvqrjc6cshwsxm8g6c"; })
- (fetchNuGet { pname = "runtime.win-arm64.Microsoft.NETCore.DotNetHostResolver"; version = "6.0.26"; sha256 = "06kdqs9x2mwsiv74n5dpilmvy00qzjaxljcsvqdlgmdi2z1jpshl"; })
- (fetchNuGet { pname = "runtime.win-x64.Microsoft.NETCore.DotNetAppHost"; version = "6.0.26"; sha256 = "10543k5zyg60icanbn1y7dnxlpf4mcg1nlfx78lf9i9x61q542cw"; })
- (fetchNuGet { pname = "runtime.win-x64.Microsoft.NETCore.DotNetHost"; version = "6.0.26"; sha256 = "0cfn3r2781rjmmjmk8xxrd8jn88941lkmb8j2g52jp1425w0q0qg"; })
- (fetchNuGet { pname = "runtime.win-x64.Microsoft.NETCore.DotNetHostPolicy"; version = "6.0.26"; sha256 = "0yilpqh378zpy3likp9nkp84jrcnxnhg8gygr8qs3c6xfapy9c65"; })
- (fetchNuGet { pname = "runtime.win-x64.Microsoft.NETCore.DotNetHostResolver"; version = "6.0.26"; sha256 = "1vxvlf6dwjjfcjahljcv7yfyxlcj1vnf66wbzp7k6bk27lndwc4j"; })
- (fetchNuGet { pname = "runtime.win-x86.Microsoft.NETCore.DotNetAppHost"; version = "6.0.26"; sha256 = "1d9q0xcbj50fpbls0hvkk6n7aabg42a3kwszwnknfdabwzgmiyqh"; })
- (fetchNuGet { pname = "runtime.win-x86.Microsoft.NETCore.DotNetHost"; version = "6.0.26"; sha256 = "077lvw62nbs1xhn4xysml1vyzbqpq3h5x3x28cppjamcnaf00k56"; })
- (fetchNuGet { pname = "runtime.win-x86.Microsoft.NETCore.DotNetHostPolicy"; version = "6.0.26"; sha256 = "1fsz9x2qjavmvl3g7phxqj5cxm0z212dw5cz82f9njyi9m4q5bh9"; })
- (fetchNuGet { pname = "runtime.win-x86.Microsoft.NETCore.DotNetHostResolver"; version = "6.0.26"; sha256 = "1bxs8cnqny1rdh3q0glr1f2a669697rlr2zmcimhy84y1y46x3s3"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-musl-arm"; version = "6.0.26"; sha256 = "02mdbziad0k3b6za8bp2n7qcqjigyawxkxzphlbqag5gcn7qacsz"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.osx-arm64"; version = "6.0.26"; sha256 = "1mq11xsv9g1vsasp6k80y7xlvwi9hrpk5dgm773fvy8538s01gfv"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-musl-arm"; version = "6.0.26"; sha256 = "1731r332y4jxm7f64i1qg4dwb8fbq4q6p85azrrbvbrh08551rgq"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.osx-arm64"; version = "6.0.26"; sha256 = "1acn5zw1pxzmcg3c0pbf9hal36fbdh9mvbsiwra7simrk7hzqpdc"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Ref"; version = "6.0.26"; sha256 = "12gb52dhg5h9hgnyqh1zgj2w46paxv2pfh33pphl9ajhrdr7hlsb"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.linux-arm"; version = "6.0.26"; sha256 = "1fj70xx2hp4nly340pcvnxxaari7mixqwmwqrp3fkjnzv0s523f7"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.linux-arm64"; version = "6.0.26"; sha256 = "05hzps86qkx0jl8zn89732vw9a2wdxsszhzp6ld665hsjfs7xwr7"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.linux-musl-x64"; version = "6.0.26"; sha256 = "0s9b3ca10wapa0xhqk6yk2hh1rqi38l766fk62yp4d4rvj2sa0qq"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.linux-x64"; version = "6.0.26"; sha256 = "1f8axm5dz0bgm6hsdv2li2iw4y70ki9ac0xvk7q3cqmvrq0100c6"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.osx-arm64"; version = "6.0.26"; sha256 = "04iivbqh899d0ddnnicxzckiiv12iy8cfavmkv9w9zh8bw01isy1"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.osx-x64"; version = "6.0.26"; sha256 = "1sxj6sv0p3cbn030j9ivgww5pk4ffrh4wg3n1g50rxd3dvfml87v"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.win-x64"; version = "6.0.26"; sha256 = "09iynj920zr5c4jlrpim9qajyabxapmkzllvhhx47d88bngqqddx"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.win-x86"; version = "6.0.26"; sha256 = "1nnbr5v0asl7lrz484572wzhsrzdd0lq31dnxx05c3c1lplxzpgh"; })
- (fetchNuGet { pname = "runtime.linux-musl-arm.Microsoft.NETCore.DotNetAppHost"; version = "6.0.26"; sha256 = "1vqx13dbw932jx24h7qh49bmh755f28xlwiz6lpzvgz06fr1qb5x"; })
- (fetchNuGet { pname = "runtime.linux-musl-arm.Microsoft.NETCore.DotNetHost"; version = "6.0.26"; sha256 = "0qmbs7509p83l3gfdnckw5hpxkr50awvh3112r469f3zmn2s0wvb"; })
- (fetchNuGet { pname = "runtime.linux-musl-arm.Microsoft.NETCore.DotNetHostPolicy"; version = "6.0.26"; sha256 = "0yyxmbdqdzxw3fd36ngpy9j2c7qlmzjxrhqi4lyfz3icnzzb9cpi"; })
- (fetchNuGet { pname = "runtime.linux-musl-arm.Microsoft.NETCore.DotNetHostResolver"; version = "6.0.26"; sha256 = "1p3xq75bhv8nsrym88yij3wlwawvxca4lcfi8hn72qf1gqrsrfby"; })
- (fetchNuGet { pname = "runtime.osx-arm64.Microsoft.NETCore.DotNetAppHost"; version = "6.0.26"; sha256 = "1aznr59g2npja74qa7argkqlyca2sgzn55hy41pd5l5fv275bac3"; })
- (fetchNuGet { pname = "runtime.osx-arm64.Microsoft.NETCore.DotNetHost"; version = "6.0.26"; sha256 = "0xbkd0g7qd9jssbnknwqpmvbp4mnkj85bhh0pf489j5nr3cmbhjc"; })
- (fetchNuGet { pname = "runtime.osx-arm64.Microsoft.NETCore.DotNetHostPolicy"; version = "6.0.26"; sha256 = "1riw5l45wm4f51rfsxhs4r7k06q487zvxssfwc5xg8z0wzhf82gv"; })
- (fetchNuGet { pname = "runtime.osx-arm64.Microsoft.NETCore.DotNetHostResolver"; version = "6.0.26"; sha256 = "0207y7jgizr16hq7ml04s2xl8rrp5q87631wcj6sg53bm47nzls3"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-musl-arm"; version = "6.0.26"; sha256 = "1cs3hij0lidd6wszbwp2kx46mqx5zyvin97sqy8dr1ywl1fb0zw4"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-musl-arm64"; version = "6.0.26"; sha256 = "1brvsvbi55ph9gbx6i4vf2bpvaqk820vjik5x2zvz5kjc5wy9nxj"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-musl-x64"; version = "6.0.26"; sha256 = "12m6619yqjs81xna3mw7xxwnddxxhffcihxqa5dhz04dca9grmvi"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-arm"; version = "6.0.26"; sha256 = "0hv0zv2qw78z285bzckcznr2kqmfpl7ghxv1cb1d90lvhfyhhpz0"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-arm64"; version = "6.0.26"; sha256 = "0prdggyp2cqdkxkm4rrk3rgvrj73s89rgkfznqsbd79j9sms5zmr"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-x64"; version = "6.0.26"; sha256 = "1vwji98xhrmxcqr43f1ijq8bd94dq9cfp3h7g09rc5h327n92i6z"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.osx-x64"; version = "6.0.26"; sha256 = "0g81sv3jnmwmggsfqniv89fvwk4592bmy09m9fqggv88rfzfcl36"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.osx-arm64"; version = "6.0.26"; sha256 = "05lhb550f385lprzxdnsama7rac7bfml5p40r7rx3mqg3bs8waxv"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.win-arm"; version = "6.0.26"; sha256 = "19s0q3j5w31dnd9j4vnlxyak8cr8bgpwqy0i393ixa9148nfmk23"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.win-arm"; version = "6.0.26"; sha256 = "12whmsh15mb6ny0vazymsxpdp9aa6rjja4nifamm2ss8gzvcbqik"; })
- (fetchNuGet { pname = "runtime.win-arm.Microsoft.NETCore.DotNetAppHost"; version = "6.0.26"; sha256 = "0jw2zm5a590mai2wggbhz2h62596076c6p4ndb8z84mkjrm9aal2"; })
- (fetchNuGet { pname = "runtime.win-arm.Microsoft.NETCore.DotNetHost"; version = "6.0.26"; sha256 = "0wwwp4bsqdkkikwzfchb3d61i9dlpi80y7nlm8l6lyfxpjirszsp"; })
- (fetchNuGet { pname = "runtime.win-arm.Microsoft.NETCore.DotNetHostPolicy"; version = "6.0.26"; sha256 = "1cgmlkg5fnxf5al68m3218zdj5i186ijfly0w2c81fq2yyci6fb7"; })
- (fetchNuGet { pname = "runtime.win-arm.Microsoft.NETCore.DotNetHostResolver"; version = "6.0.26"; sha256 = "1sqqf6i98y1i7k0dfw51glvin8apf4nknqcjhq3sf1pxsa6c77m5"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Composite"; version = "6.0.26"; sha256 = "0qzca5yxxzjgzkvvp8ysvgbwmda5mw085zrk1ikm2094mswz4mvl"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-arm"; version = "6.0.27"; sha256 = "0i70y4jznmwx4yak570mcpy8sg3myrxk32p0183d06614q8vr9bf"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-arm64"; version = "6.0.27"; sha256 = "078vz7l3sw25jxkhxf646hwc1csasna4n04rjq6vcv30c9kx3lp9"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-musl-arm64"; version = "6.0.27"; sha256 = "13jaasca9yn89wn1pm007dmlfjvxf1h9m7wqi1ngjggbxd2cahlg"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-musl-x64"; version = "6.0.27"; sha256 = "0zqsh0kcnch9n9sg59iqwm5ws1bjg2vh3swlwjppw7fi6xw2w753"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-x64"; version = "6.0.27"; sha256 = "0cdvdbvvbky0y60732j2n2jjycgpm2ngx38hl6zq198xm1d4g43x"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.osx-x64"; version = "6.0.27"; sha256 = "0r7qqwkqm9lraqwc25aadbg856v006h17yj8cxmp800iz7288k07"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.win-arm64"; version = "6.0.27"; sha256 = "15f1p32gkb05xlkv4vl2vnbj6q81r0x65cbyzinxacx736xr1wqm"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.win-x64"; version = "6.0.27"; sha256 = "01ghqfpcpf54vw5rj81zjmhl4mrnq1lcwhdzr78wys0pjzdmic8b"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.win-x86"; version = "6.0.27"; sha256 = "014m4kz2fx8xqjhyqj01x59y14wb2q6v6n723in3vrvj1a32a69f"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.win-arm"; version = "6.0.27"; sha256 = "1pxpndm99k8cbaxfxwnw0qhsaz84hwkla1q8fd02ym98iqijanm2"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Ref"; version = "6.0.27"; sha256 = "11sfm0vb8grybwdfzl9y3y1v9jg94rn3fpsf0995xm1qgk57piiv"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-musl-arm"; version = "6.0.27"; sha256 = "1b6jyx86dv6p2iwc6n2cyjfp11z6nw8x2vm03rdgy2lq7h9jyg7i"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.osx-arm64"; version = "6.0.27"; sha256 = "11l2a80xxinf08m9i6jdy0nkjpdjs9llqb8gs7x0762cnyhds7la"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-arm"; version = "6.0.27"; sha256 = "1qcbvhg6r7j407q9y5i0srprccpfwww153xrajipk6v3fwykk9rw"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-arm64"; version = "6.0.27"; sha256 = "0p0apwm7xpwwvjl453livb8ngvc0izjp5yfpgv116vhig2mxszsa"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-musl-arm64"; version = "6.0.27"; sha256 = "02fl061bfdxcb7md4v1xra0c6pfvsy9x6s05pz28km71p96qyykg"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-musl-x64"; version = "6.0.27"; sha256 = "1ifbyf6rd834k5dzcfxdds2gacg3w3qb1gh1df5xb4262g68ryl6"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-x64"; version = "6.0.27"; sha256 = "1vglh2l7brp6qbdngiiwsjwsp3cyzbzjcjw7dwqhfk0whc7n96kg"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.osx-x64"; version = "6.0.27"; sha256 = "1kf3sm7hkqz5a4y5rb49yna10041f1h3lcqx885xlbhyb4q67gi9"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.win-arm64"; version = "6.0.27"; sha256 = "100557k9hhbldm670fs3p0wx51r0i57i1p3m1jxrhpp07n5rnmax"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.win-x64"; version = "6.0.27"; sha256 = "1d217mgqcxkfq3kyxi46rqbfcwswxi1rhm512av99cp4g4i5w2lg"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.win-x86"; version = "6.0.27"; sha256 = "1pml11hgqmfy21hscracmmvi8y031jdwv89zs4hpiicxangvss9l"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-arm"; version = "6.0.27"; sha256 = "00jl8jxhn9dxii2xf5lmssb03b2kwjsadxw1jwiniwv7l5lkma40"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-arm64"; version = "6.0.27"; sha256 = "1dxvssf7rx05bipj03g8jm36j2mmdm13sg8rdwn6aa6whbwpip0r"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-musl-arm64"; version = "6.0.27"; sha256 = "11sy3bp7xzimicns0l8i0ivhvvxdvxbh5virglfhwckpfhz7iydr"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-musl-x64"; version = "6.0.27"; sha256 = "0b4ydsyi5a85vg5awgblblzhk49z8y64n7wqdkgidjq3g97qlvpg"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-x64"; version = "6.0.27"; sha256 = "1j913pm78h35kvcm70276cnfvjn1r7r6jsc3jm1y0vb395qy6nfd"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.osx-x64"; version = "6.0.27"; sha256 = "0dr1y76wgkqnkjxk5m8ps2g086sn4kp3a04v0ynarw5j0cipg994"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.win-arm64"; version = "6.0.27"; sha256 = "1ig2q3galhs866572nqa2b0vfw91lshaj502w3p5pqy28hvl74m9"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.win-x64"; version = "6.0.27"; sha256 = "1mwzhgfi98hy65j8f0qwr9f134nfc33ddnj36b050222q4iv66na"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.win-x86"; version = "6.0.27"; sha256 = "04dz9a8ddb7cm1mvl9plhsgznvzbq4wgy8dyg8jxvw1zgqa1gikw"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.DotNetAppHost"; version = "6.0.27"; sha256 = "0nhmrbrvll5vqnk6gl8s0kgyg9zfdsmdbxphj0jd9lf096xwahrp"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.DotNetHost"; version = "6.0.27"; sha256 = "0xlkc54w9xr3a9xsmkyhk2qjfy9lrirq1xxhl1599ql1yaiph9rk"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.DotNetHostPolicy"; version = "6.0.27"; sha256 = "1mj57llnfhasnzm9mmgsz8j76bqrkvqw28dzxp007c5qv9dd9jvq"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.DotNetHostResolver"; version = "6.0.27"; sha256 = "01x6zj8q3h1ddbsr2idj6acmnxh59bzb1f393fxplknm3naf1da6"; })
+ (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.NETCore.DotNetAppHost"; version = "6.0.27"; sha256 = "04si4x3jc24p4nx3bgy7d246k6hyfcp2pzvbwy40p2v4cdcd7x06"; })
+ (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.NETCore.DotNetHost"; version = "6.0.27"; sha256 = "0k3df5b2aqkhq59apygcji4i9d4k0wjnppsn0hlkqm9pxidgca4r"; })
+ (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.NETCore.DotNetHostPolicy"; version = "6.0.27"; sha256 = "15i0j8rx6n80bpix8x5vxcqpxdhbciib3n22klj0nb5gfhqnd7wj"; })
+ (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.NETCore.DotNetHostResolver"; version = "6.0.27"; sha256 = "0l9hx0yjw1s7ngcwg9ad18lyd00hhnf12f3sk0mddhixzxljn6f5"; })
+ (fetchNuGet { pname = "runtime.linux-arm.Microsoft.NETCore.DotNetAppHost"; version = "6.0.27"; sha256 = "0y793pg5y7n1gc7w95yd7slakfb8yplzjx186r2ifrad4p7qpd47"; })
+ (fetchNuGet { pname = "runtime.linux-arm.Microsoft.NETCore.DotNetHost"; version = "6.0.27"; sha256 = "12xabxnpw1c24psqdd6gi8w7vqvid7p43pc7l2wcjnzggjsqz3wb"; })
+ (fetchNuGet { pname = "runtime.linux-arm.Microsoft.NETCore.DotNetHostPolicy"; version = "6.0.27"; sha256 = "1xv0kcp6ym5xsw3vkwaz457z0id3k6pyi39z6c20yr6g0cvc9rbc"; })
+ (fetchNuGet { pname = "runtime.linux-arm.Microsoft.NETCore.DotNetHostResolver"; version = "6.0.27"; sha256 = "0a80hs753mzzyfab6xl11jdb5kajszrhhxxpi1c6v3ppgi7vggyn"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetAppHost"; version = "6.0.27"; sha256 = "0h60p0nz2zpw1r4dcw41v8qh14r48gb0i77ggjqsspnw2xni18x3"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetHost"; version = "6.0.27"; sha256 = "16kp9g1y4y4zgz9ddcjik27cgsb7ywivyynlkzbnmzgn24hwgyk1"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetHostPolicy"; version = "6.0.27"; sha256 = "1d855rhk8qv4fpzm683ri888s66v43kg6sqxflz4bz405s2a5ysx"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetHostResolver"; version = "6.0.27"; sha256 = "153rxl7i7grl46mskfsnhhr7v7b5j7m42awr7v0d0c19qx6f5vaw"; })
+ (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.NETCore.DotNetAppHost"; version = "6.0.27"; sha256 = "1pn50973cjm1qravx0sqb88k7rc7rgzar0gjlm6cwwgy93ds5bdl"; })
+ (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.NETCore.DotNetHost"; version = "6.0.27"; sha256 = "04az07rqpiffwdgn90ifxka09wgabgh99bayl8kil595akaqxigz"; })
+ (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.NETCore.DotNetHostPolicy"; version = "6.0.27"; sha256 = "1nsg2pqz51ahgb75gfrqwbvxi49q9q742k40pqslwbwraspjl2nf"; })
+ (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.NETCore.DotNetHostResolver"; version = "6.0.27"; sha256 = "0z9217d2sfh8984haf064bqa81w4bcia0c3gdf39rjv8ilq664ag"; })
+ (fetchNuGet { pname = "runtime.linux-x64.Microsoft.NETCore.DotNetAppHost"; version = "6.0.27"; sha256 = "1hma20dbppvzqwpfb50lkrdx8g007ix29h6hrimi6382y8v4vg6j"; })
+ (fetchNuGet { pname = "runtime.linux-x64.Microsoft.NETCore.DotNetHost"; version = "6.0.27"; sha256 = "14l9dckj8q8rsbpcw6gjl905wrpr1w6rk76gxnxccvxjc28pb66a"; })
+ (fetchNuGet { pname = "runtime.linux-x64.Microsoft.NETCore.DotNetHostPolicy"; version = "6.0.27"; sha256 = "04131710bvi5qg5qabbw54q34dsr9mg0xfxv6r15nlri31mi8rl5"; })
+ (fetchNuGet { pname = "runtime.linux-x64.Microsoft.NETCore.DotNetHostResolver"; version = "6.0.27"; sha256 = "0kh1ri99gndvrbnxqzpd3xlwjdjxdydamgjd5zbplbjsj16z4zlz"; })
+ (fetchNuGet { pname = "runtime.osx-x64.Microsoft.NETCore.DotNetAppHost"; version = "6.0.27"; sha256 = "0sns0j30ppcj9ivz8nlxalxiak28gabb9p98gfnnyhp7izk4yzkr"; })
+ (fetchNuGet { pname = "runtime.osx-x64.Microsoft.NETCore.DotNetHost"; version = "6.0.27"; sha256 = "1c7r1fjzkc76ls0qm3kilrq0wgygvyzynlx02r19295f3r601c8k"; })
+ (fetchNuGet { pname = "runtime.osx-x64.Microsoft.NETCore.DotNetHostPolicy"; version = "6.0.27"; sha256 = "0bicffp7ijvdjiqci924jadf5jka5ddrbzrci46pjxnhrcpgb7vl"; })
+ (fetchNuGet { pname = "runtime.osx-x64.Microsoft.NETCore.DotNetHostResolver"; version = "6.0.27"; sha256 = "11dbh0yv0bxnvl713c9awf6hvz8z7xgll7xhawad4a4gv9vz9y87"; })
+ (fetchNuGet { pname = "runtime.win-arm64.Microsoft.NETCore.DotNetAppHost"; version = "6.0.27"; sha256 = "1qdfyyqgr7bfh2bsz27qx4dm1m0cr12bb0x94gvr6wgjyk22hy8k"; })
+ (fetchNuGet { pname = "runtime.win-arm64.Microsoft.NETCore.DotNetHost"; version = "6.0.27"; sha256 = "0c7chsjv4rwb1xyk8m0mf9z7csv1j4bpj10v3z5dqzzb7kk90zii"; })
+ (fetchNuGet { pname = "runtime.win-arm64.Microsoft.NETCore.DotNetHostPolicy"; version = "6.0.27"; sha256 = "1kvlhhwzklbwqz3vvhyi4xkapsl2xw14iincxb050vqzrrwwynrv"; })
+ (fetchNuGet { pname = "runtime.win-arm64.Microsoft.NETCore.DotNetHostResolver"; version = "6.0.27"; sha256 = "0f6qyjnnvql2qgqqwf7nm616klhgbnb1w5145nrayzs1z142q6d6"; })
+ (fetchNuGet { pname = "runtime.win-x64.Microsoft.NETCore.DotNetAppHost"; version = "6.0.27"; sha256 = "0dy3is2dzpwkybqinaa6mm7y9vblqf4bn090pbssshcq6yvqxjph"; })
+ (fetchNuGet { pname = "runtime.win-x64.Microsoft.NETCore.DotNetHost"; version = "6.0.27"; sha256 = "08yx368a44qjr2npjqs66pn3h79chzxmhy10yxjb6szk497mhqsj"; })
+ (fetchNuGet { pname = "runtime.win-x64.Microsoft.NETCore.DotNetHostPolicy"; version = "6.0.27"; sha256 = "0j6hpcprib6y6nga3bm2nkq3w6n8y58vzzgxwd3kaaj25b73wqa9"; })
+ (fetchNuGet { pname = "runtime.win-x64.Microsoft.NETCore.DotNetHostResolver"; version = "6.0.27"; sha256 = "1xkaq7bknnjahn3ds3n9iqs8ibzd3k2274sk4366zkprlz5q5n1z"; })
+ (fetchNuGet { pname = "runtime.win-x86.Microsoft.NETCore.DotNetAppHost"; version = "6.0.27"; sha256 = "1ggc83318n3l5x4vi9p7vqzi9qvvyp8z3lrah9ynw7rp2kiz4094"; })
+ (fetchNuGet { pname = "runtime.win-x86.Microsoft.NETCore.DotNetHost"; version = "6.0.27"; sha256 = "0ih4wdq31g12zxx8799rgzi2wbrsprfsy65042k7vm605rz3f5y8"; })
+ (fetchNuGet { pname = "runtime.win-x86.Microsoft.NETCore.DotNetHostPolicy"; version = "6.0.27"; sha256 = "1mpi59an3nifc52dnfgi20fsbc4dbps11l22an59g0gnr3dzd0j8"; })
+ (fetchNuGet { pname = "runtime.win-x86.Microsoft.NETCore.DotNetHostResolver"; version = "6.0.27"; sha256 = "1jv1kaq4r3bqg51r4gvf2d9d079w2c5yq4np0qrib73v824gxp8z"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-musl-arm"; version = "6.0.27"; sha256 = "1i60hm288jaynx9cpgcvhb5spjbvspn8yr583qwyrrfm7hnfybr4"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.osx-arm64"; version = "6.0.27"; sha256 = "14zd7mgl866bhqqrcb6q3xbwrllks1nmfzhnbm8rf7h5cqqrqwbn"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-musl-arm"; version = "6.0.27"; sha256 = "0cysxz3ak4wgdb8ba3ggpbdqm4nn0c0wm37gx6cxnqrrz359289h"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.osx-arm64"; version = "6.0.27"; sha256 = "1mbjbj9c7sxw0hfq25ypv56hi9kik3vrrvr8ika3wcwv1ilpvczj"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Ref"; version = "6.0.27"; sha256 = "15fwbjq2r406fq175j2lsh4f91iiipmvaq96nsba3q2fh0c433zm"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.linux-arm"; version = "6.0.27"; sha256 = "18hdnp0r5z177fap9qwwwm4lmnv1vcg35k079j11g9d0935916la"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.linux-arm64"; version = "6.0.27"; sha256 = "139hpnq1calf7hgpilkdpzzmzdrbx4fz8svn3y4q1ivgajqzpzj7"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.linux-musl-x64"; version = "6.0.27"; sha256 = "1dmdzmjncjnga8r606g2pcszh3sqlhfgjz8dp62v5i07327l65yl"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.linux-x64"; version = "6.0.27"; sha256 = "0dyjkrvqald4dyabw5a4zvqdn7hz4x37ifjlc16h146vwqmlxrcm"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.osx-arm64"; version = "6.0.27"; sha256 = "0bswzvdy8nfd3wraja226j200a7qkpk3shs70hc3kwpr98bf92xv"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.osx-x64"; version = "6.0.27"; sha256 = "072yfx28hynv3hx0jrd47js77k7xlxx55x4yw1cjdzk24x3a2kln"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.win-x64"; version = "6.0.27"; sha256 = "0xks0gvjlz4c0ys75yc1a0aivrb49yzvwq2ks06adwp1763h0lnc"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.win-x86"; version = "6.0.27"; sha256 = "0fw86pzq30iblbqfm2y45r920fhp59ars3sdcn4f2kz9p2xkgd57"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm.Microsoft.NETCore.DotNetAppHost"; version = "6.0.27"; sha256 = "16fdjdy1lb82llxpsxf98zm2w3r0my46ddgdri7f93q7wwdhiqpn"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm.Microsoft.NETCore.DotNetHost"; version = "6.0.27"; sha256 = "1h82yr6mxjdgsl32mx0pzxlicxknf1sahzbbhmx7xl8fvlxw4f5n"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm.Microsoft.NETCore.DotNetHostPolicy"; version = "6.0.27"; sha256 = "0rn1l75ry5k1ph6ykwp3jh5nffvfyd3wv0hnrzrrhp86fpppf45f"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm.Microsoft.NETCore.DotNetHostResolver"; version = "6.0.27"; sha256 = "076g4fwfxfa5b57b9zfwfriw761in9lzjasgk252f9gzx01za6x3"; })
+ (fetchNuGet { pname = "runtime.osx-arm64.Microsoft.NETCore.DotNetAppHost"; version = "6.0.27"; sha256 = "0pg2p7499b378gv41c85vkb86kfb4vrhhbsgzcc1w3726f58qsfk"; })
+ (fetchNuGet { pname = "runtime.osx-arm64.Microsoft.NETCore.DotNetHost"; version = "6.0.27"; sha256 = "0azr1zxg4wl6hz8pyrsrgjjhvrlvc3lzs9ww08vdcvqcjccd8p46"; })
+ (fetchNuGet { pname = "runtime.osx-arm64.Microsoft.NETCore.DotNetHostPolicy"; version = "6.0.27"; sha256 = "1z1hhlqdaikdsh8a20xdpkwivdl3qca656xxx2ldzsg5zfrspyf5"; })
+ (fetchNuGet { pname = "runtime.osx-arm64.Microsoft.NETCore.DotNetHostResolver"; version = "6.0.27"; sha256 = "0hh92l077fzgwjyy9b1v8vphcqrh0prlrjhvx7siq75ibpqyvk2l"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-musl-arm"; version = "6.0.27"; sha256 = "0wa03db8292s91sffzwscb07kvkg8zfy645mbflcvi3mi6pk3w66"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-musl-arm64"; version = "6.0.27"; sha256 = "0hbq38dldjdwny12qy0cs3f68af2d2r5g1niwn8rjw1c4hdjaci6"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-musl-x64"; version = "6.0.27"; sha256 = "082pf381ayhrwy805w995x55jls3wpgmgqxzsnd63ij8q9l7j6bq"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-arm"; version = "6.0.27"; sha256 = "14lv78j411q5496lv6nw29ivlnf88882v7264zr2pfvrasms3i0j"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-arm64"; version = "6.0.27"; sha256 = "1r8g35f2x25nyimd3yrhrm2cbdqcdljmw59jy3mqsjz9h7kcj68h"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-x64"; version = "6.0.27"; sha256 = "1a2rzh4005lpwv9sdzrvjdr89q0jjh5vg3h6zd008db5hj637hbn"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.osx-x64"; version = "6.0.27"; sha256 = "14b4nz7qf1rkbr2jrrhhay10qqv8jiz71rq5iymabik16m92664p"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.osx-arm64"; version = "6.0.27"; sha256 = "0v397sn3z136y8dh3q5g1361sxmq15acsv3x86dxhn9rkxj50md8"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.win-arm"; version = "6.0.27"; sha256 = "0ra8kr1xkk89lvy9ggkp25dc6l9z0zqi23hfwwqlyy9sc1fhwmwn"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.win-arm"; version = "6.0.27"; sha256 = "04q8c0z44iqg3nx2nxc104al1hnmszk2hdirmjnhkm3ilqm8fhx7"; })
+ (fetchNuGet { pname = "runtime.win-arm.Microsoft.NETCore.DotNetAppHost"; version = "6.0.27"; sha256 = "0ly1m46dm5zfxnjcbd8fv99mnlnzfw8mnlzw59ii7izixixm5a97"; })
+ (fetchNuGet { pname = "runtime.win-arm.Microsoft.NETCore.DotNetHost"; version = "6.0.27"; sha256 = "1f55c522angyng8rd31hwjjyj1vkmxbzvl6nkfqsr8wwzlv7hgpv"; })
+ (fetchNuGet { pname = "runtime.win-arm.Microsoft.NETCore.DotNetHostPolicy"; version = "6.0.27"; sha256 = "1s070v08j0vylcdpl6l59p0g5rrkqpbc10c4y16id1g6qfnpgq7w"; })
+ (fetchNuGet { pname = "runtime.win-arm.Microsoft.NETCore.DotNetHostResolver"; version = "6.0.27"; sha256 = "09d8c6mp1d9g3fgdbccvh3z75qc8mnrl1767p2ym2p0c6vin4893"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Composite"; version = "6.0.27"; sha256 = "1zkmnz2a70ki50j7apgxk7q9b7x9qqcgn04pkdcaya1ig64h53cc"; })
];
};
}
diff --git a/pkgs/development/compilers/dotnet/versions/7.0.nix b/pkgs/development/compilers/dotnet/versions/7.0.nix
index 0f64389b261e..c16de5c33d9d 100644
--- a/pkgs/development/compilers/dotnet/versions/7.0.nix
+++ b/pkgs/development/compilers/dotnet/versions/7.0.nix
@@ -3,184 +3,184 @@
# v7.0 (maintenance)
{
aspnetcore_7_0 = buildAspNetCore {
- version = "7.0.15";
+ version = "7.0.16";
srcs = {
x86_64-linux = {
- url = "https://download.visualstudio.microsoft.com/download/pr/30cb8b2d-ee36-421b-90d0-6650bf5180ad/9e2dff64d0134c46b74eafcad1bb658d/aspnetcore-runtime-7.0.15-linux-x64.tar.gz";
- sha512 = "8aae979c0e9c90e781b8747aba5d7e09c9a81845b936c9185dc16d519db3a4ad9e219da4bffe13476baa81c7ff3e1637e8ef031be1f9f305f7d1681568ae3aed";
+ url = "https://download.visualstudio.microsoft.com/download/pr/cd076f88-c72a-411d-8d7c-a5ed352dce9c/fd020c0de8e056bb5c4a7ef6d1d983d4/aspnetcore-runtime-7.0.16-linux-x64.tar.gz";
+ sha512 = "1482c7c946c1b1a0a39f2bef4eaceed0a9b9eae44d3e8a103e6574b64391749d163ad4d65198573571885906215078ff41f53ebfc7884aa8a437c527532521f4";
};
aarch64-linux = {
- url = "https://download.visualstudio.microsoft.com/download/pr/fed5ac78-3c8f-4eb9-bc13-2df4e97d01e1/3125fd43ab4eaf0e3304839295bf4bfd/aspnetcore-runtime-7.0.15-linux-arm64.tar.gz";
- sha512 = "4139d28b0c67497854794d34ec3eb3d7f4a49f34be4ed43cb634be88e7315af81090dd851fe2cdd429bf0050345f14000d2f939c020aeb809a1696483afdebe6";
+ url = "https://download.visualstudio.microsoft.com/download/pr/ce5326f4-7aa5-4463-b7aa-5be3a85387a7/940a239d2a0401a1c5745905f22d750b/aspnetcore-runtime-7.0.16-linux-arm64.tar.gz";
+ sha512 = "9acc4c8e99d9ff50f3f5e5615e25e30561a8475ca66332bcb93d3305aa68f1bfb142d21c3eb7cd07627c15d2e3abcfd4d504db617e7c662b83e2b76e4019b3d4";
};
x86_64-darwin = {
- url = "https://download.visualstudio.microsoft.com/download/pr/1d44c976-5d7e-441c-aaf2-1b43b95131cc/b9f0c5a91fceb7fd47c76a7097c75c78/aspnetcore-runtime-7.0.15-osx-x64.tar.gz";
- sha512 = "75d01e3e123abbb5851c709a13d186a11db425bf18c82f615b445c2ff6629264a4d41e8011d43a225816ac807c7c8793cb35bbaf506455a3169a741d637230d0";
+ url = "https://download.visualstudio.microsoft.com/download/pr/7b668555-cb1a-4ec9-a095-a2f04c20a0c5/477ca3d9b92b53f4a2cf6fe3ad6859fc/aspnetcore-runtime-7.0.16-osx-x64.tar.gz";
+ sha512 = "f28ef3bf07682d6a85bf70c69159f66583fdab5de0b8f693de2b7477b55376ebf797e504f9d0026bfb24bf6f884d843363d3f42921c89b164d084c05288ec2df";
};
aarch64-darwin = {
- url = "https://download.visualstudio.microsoft.com/download/pr/478d989b-d381-448d-be80-f81c5ec93014/9a7b49e5a2c0720e290d19a9447984cc/aspnetcore-runtime-7.0.15-osx-arm64.tar.gz";
- sha512 = "7d090dfbc862d6329fefcb93ec74c5b82100fa1949ec92602b69871b48f467654fa6c18ec0553d1edd8908a87cc3682e809270c5fcfb3c93111be8adae86dd6a";
+ url = "https://download.visualstudio.microsoft.com/download/pr/d549c9a5-79cc-40fb-a71d-e3a5e80dddf0/9f3abc8afdc5a110776f0b727e13d1cb/aspnetcore-runtime-7.0.16-osx-arm64.tar.gz";
+ sha512 = "ae2e61279788227908ca2308cc22db56c3bc9497f8544a009c33c669469d22909882c91758f28ea45ea0670211417300a448b431ea6b6079c55cdf55651af816";
};
};
};
runtime_7_0 = buildNetRuntime {
- version = "7.0.15";
+ version = "7.0.16";
srcs = {
x86_64-linux = {
- url = "https://download.visualstudio.microsoft.com/download/pr/921a24a1-0a7c-4100-b72e-f948ff3b4614/1cf0fe858e6d42bf1ef88d775fd8d865/dotnet-runtime-7.0.15-linux-x64.tar.gz";
- sha512 = "3cec6eabe448ccf5105c2203928a6fe00e343f1f0d97c79614d41c198548a20659113b9507da95b63dedbd3caa6a66bf5f3750f4443744186e35e47de5c30555";
+ url = "https://download.visualstudio.microsoft.com/download/pr/a1b18f18-1bd5-4c11-a9ed-b38ff8e5276d/c357409f720369b1eb5b6f183521ac95/dotnet-runtime-7.0.16-linux-x64.tar.gz";
+ sha512 = "e1eae1aae9088e8131317e217f4cd3059628cce847103775c61c2d641d19486168bede5fe3123668f81f35bdc5a41100cbb49126d55445e7f5c5c617e2ca3b49";
};
aarch64-linux = {
- url = "https://download.visualstudio.microsoft.com/download/pr/854685e1-521f-4784-8a6a-b49307a3a4a7/6079b3613f0a297df59ab563e31074bc/dotnet-runtime-7.0.15-linux-arm64.tar.gz";
- sha512 = "e5b71578142f81809dd3e2bd5a9d673459c3f311ee095429b8e59929bd3ea17169c880113b7c86b8940c2db4bb1138f4770883456102da6b4b42ab7f0da8f8ed";
+ url = "https://download.visualstudio.microsoft.com/download/pr/2d4227ba-2a3c-4313-a22e-216898a2ba28/6de108672de382cacb507d12874abacd/dotnet-runtime-7.0.16-linux-arm64.tar.gz";
+ sha512 = "4a38d656e22129605a5f156b61098f6eb598a88e1d6248577d064481e7f4632fecf9bb60580c266347b4ee60133a617a5528aa6fc789faee83e5cca5fba884c2";
};
x86_64-darwin = {
- url = "https://download.visualstudio.microsoft.com/download/pr/f10c8029-961d-4c91-922e-d81eceda9434/004a62489c01ae2a41ac7ec1aba2eec7/dotnet-runtime-7.0.15-osx-x64.tar.gz";
- sha512 = "bab7013467ae933e18f0c963319f19a54816fb3b2bda35316d45affb683c4e74cc1f6f7cd289c3ca475a2a0eebbd2809831f5ef908039c200407341f2bebb5eb";
+ url = "https://download.visualstudio.microsoft.com/download/pr/1f9ceba6-cf74-43d1-b595-79f77648c9cb/00af259fec984c4a51b2f34bbf86402f/dotnet-runtime-7.0.16-osx-x64.tar.gz";
+ sha512 = "0261d0f93dcb56a0dd7e506be16405c533964254924bbc8412465c6d12c45f07ca7cb61b1025f6d222fb881cdd7f19224a58699e19e21ebcd7f6df92e832a829";
};
aarch64-darwin = {
- url = "https://download.visualstudio.microsoft.com/download/pr/44fec9e2-bcd6-460f-b1a9-0e8dfddc98fa/06bcccde9a49279d460d2862f54af404/dotnet-runtime-7.0.15-osx-arm64.tar.gz";
- sha512 = "0910ae01475c5df70b846aa80b30fb85cd91349b43c682e25692d470cadd877d7965b7ecf06ce93a71f92a4a32c534a876e6733dbf45684628476a1c6bb6d112";
+ url = "https://download.visualstudio.microsoft.com/download/pr/ddbf1eea-34b3-4b30-98c4-73ecc0493e43/8d15c0d6dada8fe863549d4ccbf04e82/dotnet-runtime-7.0.16-osx-arm64.tar.gz";
+ sha512 = "69e42aca2fcaf4f5f8787aed3e1db00c6c8a3b5ad83ce8425842222db6453d13cc623778d80fd39219ba6e553c8fe0326b3bfa3802de5ef19247cf1f07ee4a56";
};
};
};
sdk_7_0 = buildNetSdk {
- version = "7.0.405";
+ version = "7.0.406";
srcs = {
x86_64-linux = {
- url = "https://download.visualstudio.microsoft.com/download/pr/5202b091-2406-445c-b40a-68a5b97c882b/b509f2a7a0eb61aea145b990b40b6d5b/dotnet-sdk-7.0.405-linux-x64.tar.gz";
- sha512 = "6cdf82af56f920c87315209f5b5166126e97b13b6d715b6507ddbc9a2eb618f812e43686b79de810ae6a21e0fb5a8e04d68a004f00a07533c8b664f9c889b5b0";
+ url = "https://download.visualstudio.microsoft.com/download/pr/57150757-56af-450b-ba30-8532fac51e0f/507247327723f24970f66f51554c18bc/dotnet-sdk-7.0.406-linux-x64.tar.gz";
+ sha512 = "5455ac21b1d8a37da326b99128a7d10983673259f4ccf89b7cdc6f67bb5f6d4f123caadb9532d523b2d9025315e3de684a63a09712e2dc6de1702f5ad1e9c410";
};
aarch64-linux = {
- url = "https://download.visualstudio.microsoft.com/download/pr/bdfe4e91-3a24-421f-881a-47b6d91404cb/cfe786933a6a7fd358b799af23542827/dotnet-sdk-7.0.405-linux-arm64.tar.gz";
- sha512 = "35c3b0036324f0d5a1711859f318863a2f24dd43d61518b38acffe9e278ee203007bf620d783ac706a615175b9c15d348cb9386c800aac219fb23537c03b919b";
+ url = "https://download.visualstudio.microsoft.com/download/pr/67aad17a-4584-40ff-8ac3-0093c63105a4/472183e47222f475198a4b4a394036f8/dotnet-sdk-7.0.406-linux-arm64.tar.gz";
+ sha512 = "7543ab3197653864aa72c2f711e0661a881d7c74ef861fb1e952b15b7f8efd909866e99ea0e43430768db223b79d4e0285f3114087b6e009b5d382b4adad13fc";
};
x86_64-darwin = {
- url = "https://download.visualstudio.microsoft.com/download/pr/77e1c2df-aaa1-44aa-abc5-ffca44b1dfc9/1fea5228f09398a885ca956d1b537f02/dotnet-sdk-7.0.405-osx-x64.tar.gz";
- sha512 = "8525c009fad7fd0873ad72654a88f90d86b13ac58b17846fcf3d7cb696bc0d3c2c45bfb8d85e17a99f42421c5d6081aa4973d81211e42265efdc58443d075f5c";
+ url = "https://download.visualstudio.microsoft.com/download/pr/3a380401-9a77-40a2-a5bd-16c537f7e0a8/ad443240d2182d363d3b5ef7e22d2e46/dotnet-sdk-7.0.406-osx-x64.tar.gz";
+ sha512 = "c21e57b566364607ed17bd8032a48fd7f6319646a07265333147fd0de0f7cf9c862958537d08c0c5837d22fae144b4295363c689daffb538ee956587d2f65461";
};
aarch64-darwin = {
- url = "https://download.visualstudio.microsoft.com/download/pr/5bb0e0e4-2a8d-4aba-88ad-232e1f65c281/ee6d35f762d81965b4cf336edde1b318/dotnet-sdk-7.0.405-osx-arm64.tar.gz";
- sha512 = "0ad6700475827ffc8f3dd16609f64368b736dc8b6dc07213738480c237a0d8896323959e05acb7f9510d1027746cfecaf4458f620faa757ee4f0779ecca24201";
+ url = "https://download.visualstudio.microsoft.com/download/pr/188a79a4-50f2-4a36-b56b-6a764d5458c4/033b59ec3d8e0ab8d76ca6763be7ae5c/dotnet-sdk-7.0.406-osx-arm64.tar.gz";
+ sha512 = "a186477633215784f7dd50f4f82f4a08323ee0929dc5ac99c9c76a23c74b31b4de7ea81eb4f0b6223f02ae40f5a74fe6533c7989a4a0de6669882ae0065c71e7";
};
};
packages = { fetchNuGet }: [
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-arm"; version = "7.0.15"; sha256 = "092zx30wi1gsqqxdz9sxw901vzr4vr912qgfy27v2h9c66xwkawc"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-arm64"; version = "7.0.15"; sha256 = "1mzzijri3ysscnpdpf130i3if4c524hxkqbrgspk2xjnb4qgzgl9"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-musl-arm64"; version = "7.0.15"; sha256 = "1qjsnv6cj1i55dj67nii4wsnw2yf2vk89r9nrfh385dd5azfr7cn"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-musl-x64"; version = "7.0.15"; sha256 = "17jww47v2yzj828wcvwwizbizfn5ygygfab8nzckr6cmfy24wqrh"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-x64"; version = "7.0.15"; sha256 = "04qcxbqsabk89q4rk2wcrsry41ykhw0nm27garfhc67j1fy4xz6g"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.osx-x64"; version = "7.0.15"; sha256 = "04fa3r282nlxfhfgvkml9f1pmls94kcaxqq1kb7qjp1hliss9ld1"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.win-arm64"; version = "7.0.15"; sha256 = "10qm75z6ril2rhzyysb24bniw9hzb3m8ipxq7pyw0pw80ppknx17"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.win-x64"; version = "7.0.15"; sha256 = "0j6g9bal618di3arb9sjci2pnx1g33jxv73c3k6pggs6p46kkbfx"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.win-x86"; version = "7.0.15"; sha256 = "19hjclrwwsv7810qhz6p3sac5535qz80y3rknx4r079082zyqmnp"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.win-arm"; version = "7.0.15"; sha256 = "0mfipgk0szhc4p3pysya5gf02vlccz1s2k49swywj4qkarkxc4h3"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Ref"; version = "7.0.15"; sha256 = "1wxp1w2wyx81glnngpzyhl6x3px9mg5glljnvxpd0rj7f2a2jv2r"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-musl-arm"; version = "7.0.15"; sha256 = "148fxdy6j0iq65g102dhq3wcplpldlflnfzb485qb557pyfs174k"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.osx-arm64"; version = "7.0.15"; sha256 = "0jklf1dlbvymh18ijq20wnd6v2k3mjafr5k9yya84xl1srad22ww"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-arm"; version = "7.0.15"; sha256 = "0mlvc3c0sb0qx33fxamnp1a00y28zz2g519mrhsr9qv6na4hihh7"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-arm64"; version = "7.0.15"; sha256 = "1mys96zqvf2i8nv1j36797p3ha0z2c9xh7zjd5ixd614kgwix0ns"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-musl-arm64"; version = "7.0.15"; sha256 = "08hvr3dwz0b78v3n7zn7q6qfa1yhy43m6z5rcbs4fd80gzkj45cq"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-musl-x64"; version = "7.0.15"; sha256 = "0nwl2jivi0dfbkqkmfi5y4zzmvqc2z9sa7bl8mwxx4n3vyyias46"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-x64"; version = "7.0.15"; sha256 = "1rrijrvaan4q9qkj0lfd0x9kqana49aiflkn2p2z8w1c0z781g5r"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.osx-x64"; version = "7.0.15"; sha256 = "1nfah8by8mlzkwpglnf3x9r84gg3dskhhh502z01i60r1khrqli9"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.win-arm64"; version = "7.0.15"; sha256 = "1gnzl52h65dczh6722w6hkwx8kp32zkqmhnvyd1xksspfi8ldzyh"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.win-x64"; version = "7.0.15"; sha256 = "1zn9w3avcl5ssj502dby07f37y0gdcird02v7qqnsxmsd426m8ha"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.win-x86"; version = "7.0.15"; sha256 = "0lxzl2cjcz7kbbcy7m2gwyxisl2v4nw8z84pdlccklx3g7mdjr4n"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-arm"; version = "7.0.15"; sha256 = "0jf860xprgw4ly4iqs6mf4593h0gjwd7z1cvqgmljdviw1zaxpcy"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-arm64"; version = "7.0.15"; sha256 = "16yak4qmv50yny9amanwsyi29v1c8331w3svz1fhvj02qxh7022z"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-musl-arm64"; version = "7.0.15"; sha256 = "0glwfimi5pzsz9j8ss39xmwwzd42bnagysxdmbwlk6cj8fdxkr46"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-musl-x64"; version = "7.0.15"; sha256 = "09c4ax99m8zibpv30id2jd90j6ixi2dam3c527p4047klnwgpjzh"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-x64"; version = "7.0.15"; sha256 = "0s7idg74b5qbrp6nr1v36s0rfrnz1rbqxikdvbf6whlvfqzjwy8d"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.osx-x64"; version = "7.0.15"; sha256 = "0r86ab0iihb2qwh6n6ffmmzwsrgva0v2nvvv5gh9vyq00xfjw0wh"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.win-arm64"; version = "7.0.15"; sha256 = "0vamqkhjamhfgd2a0rlfjjxlj3jfwqxw937599jr4bkx033galn8"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.win-x64"; version = "7.0.15"; sha256 = "1vmhysinify9yn3ad8894203y3p279471z2pna52fhdiay89si8r"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.win-x86"; version = "7.0.15"; sha256 = "1ir30gp1kv1arhhs435cjkl88yjz1az4wq5ylidrc4r5ny7p91yd"; })
- (fetchNuGet { pname = "Microsoft.NETCore.DotNetAppHost"; version = "7.0.15"; sha256 = "12hyxbzpn28a54x2370zvdd7kd14g06dzk6hlj7rwshla35m47a3"; })
- (fetchNuGet { pname = "Microsoft.NETCore.DotNetHost"; version = "7.0.15"; sha256 = "1hqbnvi10lyr5id92cf7q4k83rvk7qx2hprhanrdf5psvyqjqkk7"; })
- (fetchNuGet { pname = "Microsoft.NETCore.DotNetHostPolicy"; version = "7.0.15"; sha256 = "1dy7khybv18q8a7aarlnwps16j32frf0hhvzszx4vx4gpm2y10i0"; })
- (fetchNuGet { pname = "Microsoft.NETCore.DotNetHostResolver"; version = "7.0.15"; sha256 = "1pd0429sm69jj6kd3a8cs0r6v6n6w849y8y3zlpdf8gzsrkdwbw0"; })
- (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.NETCore.DotNetAppHost"; version = "7.0.15"; sha256 = "1aiizg0za2bahs1myl3fqjy7zpb4knnninylvcdcw71hl4lwnisi"; })
- (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.NETCore.DotNetHost"; version = "7.0.15"; sha256 = "0ij656h7nxk2wlr2g05nxiridjncx6mm3limahhjy6pp89v1853v"; })
- (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.NETCore.DotNetHostPolicy"; version = "7.0.15"; sha256 = "1djd5dj07bwr4rm95vpabaf6piqsdsz30bjimalyz9cams01air4"; })
- (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.NETCore.DotNetHostResolver"; version = "7.0.15"; sha256 = "05hqnh1ix7bixldcsp7a5j5fvyc19lfmfqyhvzwz507xl784704i"; })
- (fetchNuGet { pname = "runtime.linux-arm.Microsoft.NETCore.DotNetAppHost"; version = "7.0.15"; sha256 = "1729n6l2yv6zxp71z8gakxnwcn0p6gfw29djpppkhyby0a5bqnfd"; })
- (fetchNuGet { pname = "runtime.linux-arm.Microsoft.NETCore.DotNetHost"; version = "7.0.15"; sha256 = "027kppzv27ja2fvlxcx22s1vg8kk94rn0lm3b6hji9vhld05170z"; })
- (fetchNuGet { pname = "runtime.linux-arm.Microsoft.NETCore.DotNetHostPolicy"; version = "7.0.15"; sha256 = "0dvh2shaw4dkq4ly2xgdw1agjzkzlifnal7s0fbhcsn2da59dqpg"; })
- (fetchNuGet { pname = "runtime.linux-arm.Microsoft.NETCore.DotNetHostResolver"; version = "7.0.15"; sha256 = "1zs1in0v5l0g59rjkhs3iikr9i0zdsnikf7dqr127dy181i558rj"; })
- (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetAppHost"; version = "7.0.15"; sha256 = "0dxh835m4v8hsv3jdw5j7rxk5ap5p8jsqbl86slc72x2jhfm93g9"; })
- (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetHost"; version = "7.0.15"; sha256 = "1h15sx8afa17c5jswmdr73kmw0anvvsgi48hzcc20hwn5fyj5cai"; })
- (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetHostPolicy"; version = "7.0.15"; sha256 = "0b6xrsdfvadnf0a6dgnwy80yggik4a8fvg41ladxfzdl3dzbam1y"; })
- (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetHostResolver"; version = "7.0.15"; sha256 = "0xm0yd20p2f9crnj927ikh350n30y3qi0zlwqniv4q53jcs2nlgh"; })
- (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.NETCore.DotNetAppHost"; version = "7.0.15"; sha256 = "12yq0ldk4snn6av6qk19vpsga20r6c71i2k7lhjq2v1cz29q92in"; })
- (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.NETCore.DotNetHost"; version = "7.0.15"; sha256 = "0lwi12sy2ygbzj33r50myfjgpz06rccxpzhaa1mdv6qxr7c1izhs"; })
- (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.NETCore.DotNetHostPolicy"; version = "7.0.15"; sha256 = "1454qcs72846p1hlvmhcvbdgacykr94bgcghimpj97xsgm2h743f"; })
- (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.NETCore.DotNetHostResolver"; version = "7.0.15"; sha256 = "0p0a1hgcrbasi58x43zi68q71fd200zvr1g5h4gr1ank0czgqb50"; })
- (fetchNuGet { pname = "runtime.linux-x64.Microsoft.NETCore.DotNetAppHost"; version = "7.0.15"; sha256 = "03hxh8vc97h5ci8yzapamncgs4pw991w4a58vyd9a657ira8949m"; })
- (fetchNuGet { pname = "runtime.linux-x64.Microsoft.NETCore.DotNetHost"; version = "7.0.15"; sha256 = "07klrbvw87q0fpx965r17d17wa7irw394nnnn8bcypwdibc0ib21"; })
- (fetchNuGet { pname = "runtime.linux-x64.Microsoft.NETCore.DotNetHostPolicy"; version = "7.0.15"; sha256 = "1qz2gqjxi9h31lvvx9flzwrc9aikw1mrkd50rrc0i66hl0bv9wrz"; })
- (fetchNuGet { pname = "runtime.linux-x64.Microsoft.NETCore.DotNetHostResolver"; version = "7.0.15"; sha256 = "13bysjg14n6gykcd3a316ik73f7nfb2h0bbxil78dfcsfabzkk9k"; })
- (fetchNuGet { pname = "runtime.osx-x64.Microsoft.NETCore.DotNetAppHost"; version = "7.0.15"; sha256 = "0icj4qwmhdpp09qypbccpyw64dcjg3ykb76iaz8a1lwys2gqq9cc"; })
- (fetchNuGet { pname = "runtime.osx-x64.Microsoft.NETCore.DotNetHost"; version = "7.0.15"; sha256 = "0xzbl5939ap2s2jzncp8wchvwj5c56fbg67fr6422bbgh0i85fiq"; })
- (fetchNuGet { pname = "runtime.osx-x64.Microsoft.NETCore.DotNetHostPolicy"; version = "7.0.15"; sha256 = "07kyjc3957zg8lg73xaxhvx25i1a3mb7zwvvp2icl93fl47pybam"; })
- (fetchNuGet { pname = "runtime.osx-x64.Microsoft.NETCore.DotNetHostResolver"; version = "7.0.15"; sha256 = "1adm4skcxhw3agpxgrg8wldzbzm77kn0nl3n1l1flq0ysf4j7x22"; })
- (fetchNuGet { pname = "runtime.win-arm64.Microsoft.NETCore.DotNetAppHost"; version = "7.0.15"; sha256 = "03cdg58mhsqvm85jdsks29jv8v5bx8mym9ly9pn6i65v6q211brg"; })
- (fetchNuGet { pname = "runtime.win-arm64.Microsoft.NETCore.DotNetHost"; version = "7.0.15"; sha256 = "1gb0d9dylq250ayydbcraa25fjyzlgx9ich4yh81cf6dw7zxk3cg"; })
- (fetchNuGet { pname = "runtime.win-arm64.Microsoft.NETCore.DotNetHostPolicy"; version = "7.0.15"; sha256 = "14vbbqwixyz95skqg5lw9z634d5lw4660zzba036mlg8csq3m9b1"; })
- (fetchNuGet { pname = "runtime.win-arm64.Microsoft.NETCore.DotNetHostResolver"; version = "7.0.15"; sha256 = "16g06phagwnnry3fwh6b3c3iwhxmhw5ppnqynj4gcl2ir7bsdrb8"; })
- (fetchNuGet { pname = "runtime.win-x64.Microsoft.NETCore.DotNetAppHost"; version = "7.0.15"; sha256 = "178j0p4c5mxkaza5p2r3fxy613mzhs93a1jvkm8v9rq5qv8pwld3"; })
- (fetchNuGet { pname = "runtime.win-x64.Microsoft.NETCore.DotNetHost"; version = "7.0.15"; sha256 = "0l37908yz40pmqa2k8ssmj3ypgfa0cqmg9sg6c5b7liczdjnqyyr"; })
- (fetchNuGet { pname = "runtime.win-x64.Microsoft.NETCore.DotNetHostPolicy"; version = "7.0.15"; sha256 = "053ymy8khfq07p0r1kk35z1aykq68inck40j9l2lc4rixk2k9jhg"; })
- (fetchNuGet { pname = "runtime.win-x64.Microsoft.NETCore.DotNetHostResolver"; version = "7.0.15"; sha256 = "10cnsrxk97f3wxhjax8plbaj2vhcznz9mdybpj2az45rb2abh5vf"; })
- (fetchNuGet { pname = "runtime.win-x86.Microsoft.NETCore.DotNetAppHost"; version = "7.0.15"; sha256 = "1nm7n49jkkvp8j0qfq1dvn48vq9d872chaaly14awql6ypfym5pb"; })
- (fetchNuGet { pname = "runtime.win-x86.Microsoft.NETCore.DotNetHost"; version = "7.0.15"; sha256 = "1509qr4cb469hb4dgnmsgm21dyxzwhhyq2iag2z1cxbk44mjz2ma"; })
- (fetchNuGet { pname = "runtime.win-x86.Microsoft.NETCore.DotNetHostPolicy"; version = "7.0.15"; sha256 = "1738bri3bs89hig3149kb9m6ia8dc1vp5ivkiz265qkw6gvhncb9"; })
- (fetchNuGet { pname = "runtime.win-x86.Microsoft.NETCore.DotNetHostResolver"; version = "7.0.15"; sha256 = "0gq17d6jczj52lgc5c8i5k5gj9g37zp5gcsnvqdc5vaidq1g2ggr"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-musl-arm"; version = "7.0.15"; sha256 = "099yrwc5f9lx0bczfq8dhq8v0l3hg0pspv3wr6yl2pqz2ziyk9ap"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.osx-arm64"; version = "7.0.15"; sha256 = "1lljcbkzs1l25ndwzcyhwdh4q4rildwz7vza921r0kcg8zdb7izz"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-musl-arm"; version = "7.0.15"; sha256 = "0hi97qsr1w0r660rv3h1rqy7cn84pnkgx49l4ckll88klwyvpq9p"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.osx-arm64"; version = "7.0.15"; sha256 = "1wjfm26b0dq2lsa1zrc16xjxnpi1z3n2myd7myjvmlklbzl59x9c"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Ref"; version = "7.0.15"; sha256 = "0zg8lyik3sdg24vy6w8974iiccy8mzln8gw8rs356wswk7irrpk2"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.linux-arm"; version = "7.0.15"; sha256 = "03wsv6msyfg6fnbfy2hzkc67sxlhskcr6h3lndl5pyb5fvpqhj4h"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.linux-arm64"; version = "7.0.15"; sha256 = "02w4gk7zvdmpf774d2i2zqkz7nb98gbrp0rkv3klr53dklayqwhn"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.linux-musl-x64"; version = "7.0.15"; sha256 = "1bwam1av4rkx0pmf9x79lrgsnsimficm33jgm608f3csp8d69a9l"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.linux-x64"; version = "7.0.15"; sha256 = "08g46m9ksvi1bjbxfjd59npycwmmbdgkdw0162g97wbzz5bi2gdx"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.osx-arm64"; version = "7.0.15"; sha256 = "0dcpi92xgrqqqmg5ka7wjbibzkvfcfgzis5jpkkfxmw6m3srswxp"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.osx-x64"; version = "7.0.15"; sha256 = "1hw0djf8svihjl6mwwyj3zcx0rczf159v95w660rzh30xn737ykl"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.win-x64"; version = "7.0.15"; sha256 = "05pmq79i085r6g7l2gj96vxr0qr2m1775v2381ng6flmylyyjidq"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.win-x86"; version = "7.0.15"; sha256 = "1z2hj01n9r5s9x5vrvlhk7gbk8qp6381cmkksj45yqgn9ghkcid7"; })
- (fetchNuGet { pname = "runtime.linux-musl-arm.Microsoft.NETCore.DotNetAppHost"; version = "7.0.15"; sha256 = "00pbi47dnqns2xbkl72abfidssphi46vqa4qf8rwij7dvz46ddn2"; })
- (fetchNuGet { pname = "runtime.linux-musl-arm.Microsoft.NETCore.DotNetHost"; version = "7.0.15"; sha256 = "0if8hwxz2ibfmdzkpd8n6ga3zkvnrnd10vwxmj1wbld1c7ngfc0a"; })
- (fetchNuGet { pname = "runtime.linux-musl-arm.Microsoft.NETCore.DotNetHostPolicy"; version = "7.0.15"; sha256 = "1pka9lqwb9kg9k72i83p53f87md7mgb8qrcwwcjalk8g36dqygwc"; })
- (fetchNuGet { pname = "runtime.linux-musl-arm.Microsoft.NETCore.DotNetHostResolver"; version = "7.0.15"; sha256 = "084pybg40sp61wirjgw7abrnj5xs7519pd9rjmz5y79gnwmspcas"; })
- (fetchNuGet { pname = "runtime.osx-arm64.Microsoft.NETCore.DotNetAppHost"; version = "7.0.15"; sha256 = "1kwsxqcdyc0ijhzsq1j79qqzb8p9irdjdjvrbghmf5mnfnnxhglr"; })
- (fetchNuGet { pname = "runtime.osx-arm64.Microsoft.NETCore.DotNetHost"; version = "7.0.15"; sha256 = "0d529y2ih0jjbw89wpyr32ljmdbpvx5v2cwpv8hxqqwwfm133fxx"; })
- (fetchNuGet { pname = "runtime.osx-arm64.Microsoft.NETCore.DotNetHostPolicy"; version = "7.0.15"; sha256 = "10sxjj9qfr3m0wjdms1h2bx5hxk9dkdy3fgv1fbp5b21gsk9vh2r"; })
- (fetchNuGet { pname = "runtime.osx-arm64.Microsoft.NETCore.DotNetHostResolver"; version = "7.0.15"; sha256 = "19l103ajspna7fdkqnwpprm1q3vdgy0j1v2vqz0kxa3sd1vjs5ag"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-musl-arm"; version = "7.0.15"; sha256 = "0ndpv3xw74azx9kk9nk0fah97ysn7y006223mczk40cq32vwga3c"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-musl-arm64"; version = "7.0.15"; sha256 = "00ji50aazc4wzwdbvm9yhxw681xpar5nk7f7lbbipxv3mip9lhwc"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-musl-x64"; version = "7.0.15"; sha256 = "15wgv5x0z2kc1pnkwkflvmj7y6iwds5hb2pjz5gq9z24a5v68310"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-arm"; version = "7.0.15"; sha256 = "0g3djzyhlnx2qmwlyjvc2raq5r2ysqim0wlkdsxw87gi7lxy5xdi"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-arm64"; version = "7.0.15"; sha256 = "0acrs1msmxdwq9nrmw22660yj39vi4ywlfjdki8i5s3h2h3ah9dz"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-x64"; version = "7.0.15"; sha256 = "047ydlw1348y36xz16sxvbvpc8ifypg9vbak43krshdxqkxdkbba"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.osx-x64"; version = "7.0.15"; sha256 = "1g5pmhknp9a3xai0p40idb2jds2s1kdpcfimcw0n8rc7w55vanqy"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.osx-arm64"; version = "7.0.15"; sha256 = "0dg2z3qph6ldapz6kz94pv9rrfkiqz0ydyy9rzcj93534iv72i4r"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.win-arm"; version = "7.0.15"; sha256 = "1zqqcmhbxyxi683l1qm3caj9d41agz564gsqg5ckb8zkpkwipilb"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.win-arm"; version = "7.0.15"; sha256 = "14ibzk7snns5d38ky1g5mwbxmf84x4kapyxfmnrz05y7584qxxai"; })
- (fetchNuGet { pname = "runtime.win-arm.Microsoft.NETCore.DotNetAppHost"; version = "7.0.15"; sha256 = "0cyw8m44i1yp85k651hwaqnfsc1hxla9wiin8159kjildzf618rg"; })
- (fetchNuGet { pname = "runtime.win-arm.Microsoft.NETCore.DotNetHost"; version = "7.0.15"; sha256 = "0xbbwrgzs8az1nkzipv50rr3iffs8pcnjcvxwmlmz1nrk3a3jhxi"; })
- (fetchNuGet { pname = "runtime.win-arm.Microsoft.NETCore.DotNetHostPolicy"; version = "7.0.15"; sha256 = "1r5vwnvkqv0xr2l8ypapps4b9qjgb1cw2fy66wrys62affhm06kg"; })
- (fetchNuGet { pname = "runtime.win-arm.Microsoft.NETCore.DotNetHostResolver"; version = "7.0.15"; sha256 = "1ayhr32dym33dqxs8lcg2v2vgx2ka8gc3h734wxzj3rrf4ngjswv"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Composite"; version = "7.0.15"; sha256 = "0jjjnva0qb81x8nldq6v2d1166xm5yhvjs19gbvww36y6zlmm5bc"; })
- (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.DotNet.ILCompiler"; version = "7.0.15"; sha256 = "0wjlxvpp0pdmfzpn1c7izadwfjqh60s4cdg4fvbdjq70cgxwf83j"; })
- (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.DotNet.ILCompiler"; version = "7.0.15"; sha256 = "0mfwfr6fwp5hg33vmrdy0vk80a7xwg65zsqisgw9b8z07wsyj5k5"; })
- (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.DotNet.ILCompiler"; version = "7.0.15"; sha256 = "0a56nn3d0vx4vanw0020sifayqrd3jqiyk7s3qdppkf7gxvd1wnm"; })
- (fetchNuGet { pname = "runtime.linux-x64.Microsoft.DotNet.ILCompiler"; version = "7.0.15"; sha256 = "027hn6c8qzr9jxz3q61fqcnhwj7k2mbcr3s20igmawhmjfrfcxvl"; })
- (fetchNuGet { pname = "runtime.osx-x64.Microsoft.DotNet.ILCompiler"; version = "7.0.15"; sha256 = "1swkh2ra7jqkghx5ka43s1s2nli21saypcnzjk9qfwh71np44ayc"; })
- (fetchNuGet { pname = "runtime.win-arm64.Microsoft.DotNet.ILCompiler"; version = "7.0.15"; sha256 = "12skw2zb5v6wfs9za0s1hhcgdfm490zmm032l9wsrwj3flfx50ak"; })
- (fetchNuGet { pname = "runtime.win-x64.Microsoft.DotNet.ILCompiler"; version = "7.0.15"; sha256 = "04dq9pljyqnd312y071z0bi3gspzrymyiq9paqvaa3xyprzdyxld"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-arm"; version = "7.0.16"; sha256 = "1jx0lrh2lj8bq9smcz3j04iirpnias10a0i7w8649lcg3xf0s50c"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-arm64"; version = "7.0.16"; sha256 = "0g4zxka97q3bdc72yql296hx2laim5b4rfb8vxmknzdpzj0ydiks"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-musl-arm64"; version = "7.0.16"; sha256 = "12dbai7f9rvb3aidyc58sibkyz3wjjgfn94pzia8jrgysx43xnqn"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-musl-x64"; version = "7.0.16"; sha256 = "0nlfblnfwm8b9dxk1v6vvhx1bjsbxx0xdr8rv7lwnzzjy1jwiif7"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-x64"; version = "7.0.16"; sha256 = "0zy1zarikg4d1g06ax3zdjvfysw2393b9fgg7xnracqi17hr38ah"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.osx-x64"; version = "7.0.16"; sha256 = "1ggcy57k31bxqk6k1hsbmzxkyly9bzch7dw7fgl2yx4a439nkh54"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.win-arm64"; version = "7.0.16"; sha256 = "0hxy9mc5g5504d0x1wx41dacw3sixifxxqlvz5ifrrxrfxv9aswp"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.win-x64"; version = "7.0.16"; sha256 = "0j2fwc3pbxl1k2biwz76hv0vxkvnziw2hj2hnc7rdmyw219l9ad3"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.win-x86"; version = "7.0.16"; sha256 = "18276lifx22vzz13r9l038cc9s3knqyy45jvmzjl2y6j4a1kb70c"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.win-arm"; version = "7.0.16"; sha256 = "1q3xkgsx57k6q9rq0jz6jrxffyxm62lh61n3ammz6cdpbdwdx2gz"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Ref"; version = "7.0.16"; sha256 = "1nrk00w3jkb1r3m8zn7c05snan02b6s7n5s93aq2dl9kz0bm530c"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-musl-arm"; version = "7.0.16"; sha256 = "02zbv3ilzvv5169v0ihvss6dlls9vl792d7cip9qd2x2b3vv3axp"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.osx-arm64"; version = "7.0.16"; sha256 = "1wgmbbn81rbqlx44hg9zqrrcmiinss1qhgfsq37vzy2i8ycyn59w"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-arm"; version = "7.0.16"; sha256 = "1w6r98zlksyls5cxp7dqf0l22lqbypwzhic6zcvynkjrfxv914x4"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-arm64"; version = "7.0.16"; sha256 = "0wxa2mm34l32324rywns3bphxrkxm265wxck93z030klwvxdalri"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-musl-arm64"; version = "7.0.16"; sha256 = "1vgfzzgn3vxs0lpj46bymix0ynzqxnkxgl56qsrqhr8myp5r09pj"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-musl-x64"; version = "7.0.16"; sha256 = "07mi30j37418a27ibrq1pk2f8gm9bmbcl94z3hawhp2d4wvm0aya"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-x64"; version = "7.0.16"; sha256 = "1xiq43br5lk1xa4d4wzhdpn9lz3mixmyxggzbsf4i4q5692rv35f"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.osx-x64"; version = "7.0.16"; sha256 = "1b8w278n8hcxysjs56ghx4pdbfall66nnmk1kx5a0my7lp7yp6xf"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.win-arm64"; version = "7.0.16"; sha256 = "0qqfjjka29fi986yjhins55h5zb4xdgdjbjdlv5dm725kp1fllm4"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.win-x64"; version = "7.0.16"; sha256 = "1g7cpyfcmf9q3qnz6isqng3y96b40z4n7gyjbxg557rcjni1776f"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.win-x86"; version = "7.0.16"; sha256 = "1wqby5cfkhz55dgksfzydrs4li4s9xpmpan9ckjb5bp9n2gnl4fa"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-arm"; version = "7.0.16"; sha256 = "1qs0h8pn0lhwriqrz19mpr17k4wz1baaysydlszqnnbprcx9yilj"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-arm64"; version = "7.0.16"; sha256 = "1qb3pk1kgvwjc2n4kqfx4bqjmaihjf8cd9cfkqkkwmnnw9jd7f83"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-musl-arm64"; version = "7.0.16"; sha256 = "05jy2w5sdkzlmad919fb8f7pj9jxx5br77jfxfasy407iqsb3hk9"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-musl-x64"; version = "7.0.16"; sha256 = "1g5cgagfkgwyfd07h4a4c9pgijvnw7w3cx7nlxr3m8zd902s20wy"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-x64"; version = "7.0.16"; sha256 = "1w41xwdikkyplxr1kqfn1fwjvbglgsaripgdglhdx9qdxgr3nfi3"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.osx-x64"; version = "7.0.16"; sha256 = "0mghc3ihk2j60yyrb57k200ddmhj5impl81lldpxxx9821pb0qha"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.win-arm64"; version = "7.0.16"; sha256 = "0rrlnlv549008akl81wb0x22sbhrr8qrpag3w6pwa92fkyi4f20v"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.win-x64"; version = "7.0.16"; sha256 = "0wmx9axb48ackmd51dyi066dxgkjp0zqnx2pa53gl49xdrs0msrd"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.win-x86"; version = "7.0.16"; sha256 = "09s99ni88kh4znq2mljvbnrnmg987i66aibgpvsb3xzwnncmb6hq"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.DotNetAppHost"; version = "7.0.16"; sha256 = "0fsaw2pfzsl3jd8z58s3xs9jrcssnbj0m67fbjcdmircgf8vk8dz"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.DotNetHost"; version = "7.0.16"; sha256 = "0pa22gkjjniw5q4sq7i5jg4p73c2gn439nmac1zncsarbxvjyypr"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.DotNetHostPolicy"; version = "7.0.16"; sha256 = "0wv11navikqipxnd2dj500n28jai4gqkqzmkmcci0m886k05pkzg"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.DotNetHostResolver"; version = "7.0.16"; sha256 = "1b08nqx5fjlxnsibzqhlah5df03rxq0mwzwplaiq3pga2mj914zi"; })
+ (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.NETCore.DotNetAppHost"; version = "7.0.16"; sha256 = "03qdqykh4sx9imimwy8p1g76dyvqp72174mm8498x0707h2g1srz"; })
+ (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.NETCore.DotNetHost"; version = "7.0.16"; sha256 = "0588ax90h2hsivnx2jpspb8g67n36626rq429scggzcx8xlmsp2p"; })
+ (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.NETCore.DotNetHostPolicy"; version = "7.0.16"; sha256 = "0qdz5nrhvyx7sjnx6mggzm0m72lqy5v33y1l8hgnk2639pcvpr1c"; })
+ (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.NETCore.DotNetHostResolver"; version = "7.0.16"; sha256 = "1lnw4d54g344aivyz347db01vg7r4cv3cw7nam9jbm8q6pva5wp7"; })
+ (fetchNuGet { pname = "runtime.linux-arm.Microsoft.NETCore.DotNetAppHost"; version = "7.0.16"; sha256 = "19jw2aii4s52qp60ka5p1z7hfwn9qyz53gw1g0bc3cd02q74spg2"; })
+ (fetchNuGet { pname = "runtime.linux-arm.Microsoft.NETCore.DotNetHost"; version = "7.0.16"; sha256 = "03nf68xr3cpadvgiyvdwkjmmhfsb527gdccviam4xwizh9ins8i0"; })
+ (fetchNuGet { pname = "runtime.linux-arm.Microsoft.NETCore.DotNetHostPolicy"; version = "7.0.16"; sha256 = "0ib8hdlz9zfhn0ra2vp3r5kv2n2vrr80krx1qi1wjjd9sn84fnm5"; })
+ (fetchNuGet { pname = "runtime.linux-arm.Microsoft.NETCore.DotNetHostResolver"; version = "7.0.16"; sha256 = "06byb9vzpvfb32waspx8b7n4mbz04fsj808y1waxva4wxk31fkcs"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetAppHost"; version = "7.0.16"; sha256 = "0yj24v6vp38y74pwzdkyx4j2ib5md7d47h4ic5294sq073c9j8xa"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetHost"; version = "7.0.16"; sha256 = "1xkgk8yb3zigqgpnr28wwr4pjwxxnfnrf98q6jj7hpxwc8xai8j7"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetHostPolicy"; version = "7.0.16"; sha256 = "1d65bii8g3jd03xjgb5w6dxfxbk8mpqa4gcykpnp3v05sq9g25bn"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetHostResolver"; version = "7.0.16"; sha256 = "0qxsrcw4781lc4hlqqd09hrnv2f5yhpzv3hg6cym6l1736ka5y7p"; })
+ (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.NETCore.DotNetAppHost"; version = "7.0.16"; sha256 = "13aycnw2s32gw3i2nq0a9g1p7gly02516svzz8qmbp6f3cj36x4m"; })
+ (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.NETCore.DotNetHost"; version = "7.0.16"; sha256 = "021p4xzb6l1b8dsvfwzqpshq82hgmqpccxlpkrs6dkkiwdx977xh"; })
+ (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.NETCore.DotNetHostPolicy"; version = "7.0.16"; sha256 = "1qzkpdq5f206vxh21lm283mbrqr0kkpjz2b0c8hd8f1lvvbwa7qb"; })
+ (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.NETCore.DotNetHostResolver"; version = "7.0.16"; sha256 = "08djiaa81rakjb4hjl364ia8is76mbhp8lcqw4d4mz7n3d9hh693"; })
+ (fetchNuGet { pname = "runtime.linux-x64.Microsoft.NETCore.DotNetAppHost"; version = "7.0.16"; sha256 = "18nhlvnck66vsn479f36jn1rxhc29r4xxkai6ff0h1advill3a87"; })
+ (fetchNuGet { pname = "runtime.linux-x64.Microsoft.NETCore.DotNetHost"; version = "7.0.16"; sha256 = "0d61y424dz9zl2h4irimcvxwv7r2bvdxlnzx6zl44aa18flsi8ci"; })
+ (fetchNuGet { pname = "runtime.linux-x64.Microsoft.NETCore.DotNetHostPolicy"; version = "7.0.16"; sha256 = "1psm2x071v3i2125dlljh1r3wyznvjlfkwzxv1h3vwl5195fgsr4"; })
+ (fetchNuGet { pname = "runtime.linux-x64.Microsoft.NETCore.DotNetHostResolver"; version = "7.0.16"; sha256 = "0bvhikschpxcp9jxiwd7n6shbfadwvp9ndrjd1hxylds5fbar1ca"; })
+ (fetchNuGet { pname = "runtime.osx-x64.Microsoft.NETCore.DotNetAppHost"; version = "7.0.16"; sha256 = "1qpxb4d96j3ch9mwh77d50ifla0j83g2r01g69ad967ghzwyl872"; })
+ (fetchNuGet { pname = "runtime.osx-x64.Microsoft.NETCore.DotNetHost"; version = "7.0.16"; sha256 = "07pil5vdaywhxc7qb7dzhmab02bi1ihvzb8dj9qn4a9009skgsgk"; })
+ (fetchNuGet { pname = "runtime.osx-x64.Microsoft.NETCore.DotNetHostPolicy"; version = "7.0.16"; sha256 = "0jpkh1nssgiq6kwbzcx5mq2wpa146lj3x1fbix5dq9xzq6f8kdyz"; })
+ (fetchNuGet { pname = "runtime.osx-x64.Microsoft.NETCore.DotNetHostResolver"; version = "7.0.16"; sha256 = "1cq2qxds3fjcrk5km9zb95362f54cgvmhvnxxx7b5zh0jgi2aqzz"; })
+ (fetchNuGet { pname = "runtime.win-arm64.Microsoft.NETCore.DotNetAppHost"; version = "7.0.16"; sha256 = "1dbqhpgm6137j2i20pmlzyk1ail6r29cfkshnci4hqij0lxcjsf7"; })
+ (fetchNuGet { pname = "runtime.win-arm64.Microsoft.NETCore.DotNetHost"; version = "7.0.16"; sha256 = "1gws1vrlr7aj2317sd8vi7867ph9m806cszkxd59qhpvlsa61rk2"; })
+ (fetchNuGet { pname = "runtime.win-arm64.Microsoft.NETCore.DotNetHostPolicy"; version = "7.0.16"; sha256 = "19gd4x7b21mk8zllr5scrh8d0p6cw1lak2njp7ywskvcafbj1bcw"; })
+ (fetchNuGet { pname = "runtime.win-arm64.Microsoft.NETCore.DotNetHostResolver"; version = "7.0.16"; sha256 = "1x82m3ja5jdimldc3nssxb14yz70pkc2sj9zr8vbab51j3lvmfcg"; })
+ (fetchNuGet { pname = "runtime.win-x64.Microsoft.NETCore.DotNetAppHost"; version = "7.0.16"; sha256 = "091v9nr2mpphnp4cmv1w9mcb86fhz8qg9nki6qh6y6q1rhasz3wl"; })
+ (fetchNuGet { pname = "runtime.win-x64.Microsoft.NETCore.DotNetHost"; version = "7.0.16"; sha256 = "0br0vl3a7fs6fg4897w3w43cw8ck21lch3vxf6jqz7qdjhry5a96"; })
+ (fetchNuGet { pname = "runtime.win-x64.Microsoft.NETCore.DotNetHostPolicy"; version = "7.0.16"; sha256 = "0jmzzwyp61s1dkk3apirvg4b7a2dvhfx3vqy1q2azs5hi734q7kr"; })
+ (fetchNuGet { pname = "runtime.win-x64.Microsoft.NETCore.DotNetHostResolver"; version = "7.0.16"; sha256 = "1884ns4iyv7wa0syzxmp0jcmgr7m9x3z2c7bp3pdxxga9hy6gd3a"; })
+ (fetchNuGet { pname = "runtime.win-x86.Microsoft.NETCore.DotNetAppHost"; version = "7.0.16"; sha256 = "093znlbha6bqimv1v6wxm4s1nbgkzbw2iwx4av0f7sy4ipbhvg8f"; })
+ (fetchNuGet { pname = "runtime.win-x86.Microsoft.NETCore.DotNetHost"; version = "7.0.16"; sha256 = "0234x44hfrxlm3w8j4pbfk83jxpz4b02zfxqy2jvwyiq61qd77l9"; })
+ (fetchNuGet { pname = "runtime.win-x86.Microsoft.NETCore.DotNetHostPolicy"; version = "7.0.16"; sha256 = "0mn6wp6gdxgd76wxf07hd4j54iwqfl4mzdijw39aia0ihcaivf8k"; })
+ (fetchNuGet { pname = "runtime.win-x86.Microsoft.NETCore.DotNetHostResolver"; version = "7.0.16"; sha256 = "1bd3k9q7zmw90wrwcza4sm14h1xa4lnlwm66myc2bk5a772i74r3"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-musl-arm"; version = "7.0.16"; sha256 = "02yfpqaznjkjd4xriiav9d8jxa71bw0wnhxik2522mzahfykrpj5"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.osx-arm64"; version = "7.0.16"; sha256 = "0ln013191h2rkhm8xss4aqbb52ywp44rjfnn7pip99wdx66bkazs"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-musl-arm"; version = "7.0.16"; sha256 = "1xbr1pc937gbvrz92ijwbpxbpjifp3dyf5fq30yrhlw9rqy73b4d"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.osx-arm64"; version = "7.0.16"; sha256 = "0xgd5nj5nx3w3m9cbh3b6r0c2w5svxjkslwqq35w445vjhq435fz"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Ref"; version = "7.0.16"; sha256 = "19lnkab2p8nkfc4jag0whkv51v3qabwdyzjk6xgj61i6s8lnnz1f"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.linux-arm"; version = "7.0.16"; sha256 = "1yccrsv4il1rzfnz1chf1irzyjz7mny95j0dp8r5macw6qyvr55r"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.linux-arm64"; version = "7.0.16"; sha256 = "167kcrzmbhg974smd4ph4pcn9plhpgb4n8rc65i01ap2jyzllgz9"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.linux-musl-x64"; version = "7.0.16"; sha256 = "1hmwj4d0axhs9nn5anvnmgpa9pj03b13mcfcskn3izcwdz8wp1nz"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.linux-x64"; version = "7.0.16"; sha256 = "1mxag31556vd31wardb7dj28vy5cabblvhlvwq1jhy6ipcvzwkvl"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.osx-arm64"; version = "7.0.16"; sha256 = "0ba54vjlzmvzwmifw150phidz06vijzxnph50jjv5rs2vzjd2vfz"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.osx-x64"; version = "7.0.16"; sha256 = "1p5kk30w9rdds765ya6fl4b785maf21xks8favjshkaki5n5rwm7"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.win-x64"; version = "7.0.16"; sha256 = "0s4bmbb8rpk20x54iyyzc45fzmmi0j0fw5m8s06vrl756lqwn0av"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.win-x86"; version = "7.0.16"; sha256 = "0x7pbzlk2rhnww0ja5x5xz9fqxxx6rdv83cvhnkw5gfvjy3y4gr7"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm.Microsoft.NETCore.DotNetAppHost"; version = "7.0.16"; sha256 = "0hww2wdvqpjhznl2m8a1hqmhv2gagym63b56ffwkmjqmg6d77n2h"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm.Microsoft.NETCore.DotNetHost"; version = "7.0.16"; sha256 = "1wly691zlazcj5978wv44z6m371fim9ahjgkjkr1ap0bfks5j8py"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm.Microsoft.NETCore.DotNetHostPolicy"; version = "7.0.16"; sha256 = "0xdpgibmkcicga80xb7s8msa2l4jhskvg8q7455pj90dlmx7w0k6"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm.Microsoft.NETCore.DotNetHostResolver"; version = "7.0.16"; sha256 = "1n0cp4wykirh9rw66qjdqp06m9qdp76b130ra8rr9ylhiidhwhx6"; })
+ (fetchNuGet { pname = "runtime.osx-arm64.Microsoft.NETCore.DotNetAppHost"; version = "7.0.16"; sha256 = "1pjwzk3a7d8i0p1jl190vq7iyyy8wi5zdm76zxxmn032q77ywsgr"; })
+ (fetchNuGet { pname = "runtime.osx-arm64.Microsoft.NETCore.DotNetHost"; version = "7.0.16"; sha256 = "08h23dfkfmq572lckbqzlxnx1ahy80n8kf0s6s80sm9sv4vcp0ys"; })
+ (fetchNuGet { pname = "runtime.osx-arm64.Microsoft.NETCore.DotNetHostPolicy"; version = "7.0.16"; sha256 = "09snx65nfb865b1nv167lvqjw678zqbhgxgdbfrv78kisg93xn74"; })
+ (fetchNuGet { pname = "runtime.osx-arm64.Microsoft.NETCore.DotNetHostResolver"; version = "7.0.16"; sha256 = "0qdpmnnpfpnjgfdpbjh4fwzcciqn04d4wbwrz02fh1bcqj4p7q7v"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-musl-arm"; version = "7.0.16"; sha256 = "0i0cnv001kzx8rw83kaa5lzqv1irm1m41bzkx7i81ng0mkswvh5s"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-musl-arm64"; version = "7.0.16"; sha256 = "1n1mwx3bc0fxb722sc2gkhpcixsgii2c1081vdzcr84vkz048bv5"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-musl-x64"; version = "7.0.16"; sha256 = "09mkamk7y6nxc7z5pb3c24jyhgnc7mbdbp089hvggr940sgwnwma"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-arm"; version = "7.0.16"; sha256 = "0kgccsa34pzxalagkj7lxf1d01ikacpbdjwkj3g4qj3nmcjjcv5s"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-arm64"; version = "7.0.16"; sha256 = "0brd887irlf2f8nnpi3crsbxnh3jy7dpljpakv6sjqsfmh21vzvf"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-x64"; version = "7.0.16"; sha256 = "08xbf1r3yxi5p26zbqk67ib7x2fiag82rhsd9bw27y28r0yrgjnz"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.osx-x64"; version = "7.0.16"; sha256 = "0hb8gabxpzggzi9b1yswbcjvd2cp8bln59yrzjb88xv1n7rb7zg8"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.osx-arm64"; version = "7.0.16"; sha256 = "04j5ngl1dy1l70zswl3ph9mwwij5yj99zlbxvnij59pa6vqhnd9w"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.win-arm"; version = "7.0.16"; sha256 = "0yc9dfnmvqb5grwv75c5ixz42l4lkqb326pi362k910rhqdg6rgd"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.win-arm"; version = "7.0.16"; sha256 = "0zzsfzd5chf6fygsj9mfzaiyxi4ymg6ndr6mgpr5qb1dvkxyzgj9"; })
+ (fetchNuGet { pname = "runtime.win-arm.Microsoft.NETCore.DotNetAppHost"; version = "7.0.16"; sha256 = "1njsks3g2nc83inpj0jywscy6lv3rbs3zksnrg8pcj3qkx4dydph"; })
+ (fetchNuGet { pname = "runtime.win-arm.Microsoft.NETCore.DotNetHost"; version = "7.0.16"; sha256 = "0kl9wrnf8d8k72wfyk6kg247s41h3ad64a2zw6b3crzyp8g0kyy4"; })
+ (fetchNuGet { pname = "runtime.win-arm.Microsoft.NETCore.DotNetHostPolicy"; version = "7.0.16"; sha256 = "1phmdcih3a456v8l03hr8mw58vb8zza7f94i2zwf8833azqp64hl"; })
+ (fetchNuGet { pname = "runtime.win-arm.Microsoft.NETCore.DotNetHostResolver"; version = "7.0.16"; sha256 = "14s0099y3ilr75p80zzvawdcvs2isadavhgi4im88nswnljc40qw"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Composite"; version = "7.0.16"; sha256 = "16fzqj99z9fj8x3vjmwa1iwx5bvnyk6wkkznyax0222v9cfbcim1"; })
+ (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.DotNet.ILCompiler"; version = "7.0.16"; sha256 = "1hsx2mxpwxwp6r1akh8dxqnzqqzlr5xc0w4i36m5ngacjficw3a7"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.DotNet.ILCompiler"; version = "7.0.16"; sha256 = "10gympyxph3dfdjf0aznmjxw8jiyj17ffs0bxrriyyvys6h386aj"; })
+ (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.DotNet.ILCompiler"; version = "7.0.16"; sha256 = "0311aapybm1qbqxqgdx27a2lmic8ngqp9m2m616jirj2c1qipdq8"; })
+ (fetchNuGet { pname = "runtime.linux-x64.Microsoft.DotNet.ILCompiler"; version = "7.0.16"; sha256 = "0r2n2vkxv8cfcczi900k7wdcg0jaxsw789mla192zw1d80xx5k35"; })
+ (fetchNuGet { pname = "runtime.osx-x64.Microsoft.DotNet.ILCompiler"; version = "7.0.16"; sha256 = "07ad9kd1p0wwfa2cr7zs0bzqzcbrzspxmrflg06vyzzcagckz3lx"; })
+ (fetchNuGet { pname = "runtime.win-arm64.Microsoft.DotNet.ILCompiler"; version = "7.0.16"; sha256 = "1b0canksc2q34kvngshcqjxvb9lm76vg9z8fj3k40vjbchybs297"; })
+ (fetchNuGet { pname = "runtime.win-x64.Microsoft.DotNet.ILCompiler"; version = "7.0.16"; sha256 = "1pj35db9gwg37ip66rhvnv3jvxhq1v3sz3ilrlhfa3s1bmws3awk"; })
];
};
}
diff --git a/pkgs/development/compilers/dotnet/versions/8.0.102.nix b/pkgs/development/compilers/dotnet/versions/8.0.102.nix
new file mode 100644
index 000000000000..2cbba9f84f37
--- /dev/null
+++ b/pkgs/development/compilers/dotnet/versions/8.0.102.nix
@@ -0,0 +1,179 @@
+{ buildAspNetCore, buildNetRuntime, buildNetSdk }:
+
+# v8.0 (active)
+{
+ aspnetcore_8_0 = buildAspNetCore {
+ version = "8.0.2";
+ srcs = {
+ x86_64-linux = {
+ url = "https://download.visualstudio.microsoft.com/download/pr/d6d79cc3-df2f-4680-96ff-a7198f461139/df025000eaf5beb85d9137274a8c53ea/aspnetcore-runtime-8.0.2-linux-x64.tar.gz";
+ sha512 = "c8d4f9ad45cc97570ac607c0d14064da6c1215ef864afd73688ec7470af774f80504a937cbb5aadbb0083250122aae361770d2bca68f30ac7b62b4717bee6fca";
+ };
+ aarch64-linux = {
+ url = "https://download.visualstudio.microsoft.com/download/pr/bdfd0216-539e-4dfd-81ea-1b7a77dda929/59a62884bdb8684ef0e4f434eaea0ca3/aspnetcore-runtime-8.0.2-linux-arm64.tar.gz";
+ sha512 = "9e5733a0d40705df17a1c96025783fd2544ad344ac98525f9d11947ea6ef632a23b0d2bf536314e4aeda8ae9c0f65b8f8feee184e1a1aabfda30059f59b1b9a6";
+ };
+ x86_64-darwin = {
+ url = "https://download.visualstudio.microsoft.com/download/pr/a44da2c3-cb74-4ffe-af5a-34286598a885/263f113228e88df3f654510c9092f68b/aspnetcore-runtime-8.0.2-osx-x64.tar.gz";
+ sha512 = "a7edf091509305d27275d5d7911c3c61a2546e0d3b5b0fe9fcb9e704daf3c550ea0a5ae659272a29b5e218d02f28b7d331ab0905e9459711624692f1589d7285";
+ };
+ aarch64-darwin = {
+ url = "https://download.visualstudio.microsoft.com/download/pr/a5692569-6092-4db1-9d5c-4862265a7b5b/7173de926da466e21ab9c7666a31dee3/aspnetcore-runtime-8.0.2-osx-arm64.tar.gz";
+ sha512 = "9e79556cf58f9d0b0f302a50ef9724122a9b18daba70e715b7334f9ed97a4983be0386e4132f5273d120f00d18f8af8a8ad7ea1ef0a82c610e268a33e76a30e4";
+ };
+ };
+ };
+
+ runtime_8_0 = buildNetRuntime {
+ version = "8.0.2";
+ srcs = {
+ x86_64-linux = {
+ url = "https://download.visualstudio.microsoft.com/download/pr/307e4bf7-53c1-4b03-a2e5-379151ab3a04/140e7502609d45dfd83e4750b4bb5178/dotnet-runtime-8.0.2-linux-x64.tar.gz";
+ sha512 = "f30f72f55b9e97e36107f920e932477183867726a963ea0d4d151f291981877ba253a7175614c60b386b6a37f9192d97d7402dafdad2529369f512698cb9d1dd";
+ };
+ aarch64-linux = {
+ url = "https://download.visualstudio.microsoft.com/download/pr/9de452db-acbe-48eb-b3f0-305a4e48e32a/515bbe7e3e1deef5ab9a4b8123b901ca/dotnet-runtime-8.0.2-linux-arm64.tar.gz";
+ sha512 = "12c5f49b7bd63d73cae57949e1520eaebc47732f559f68199ecd3bcca597f2da702352313a20aa100c667ede1d701dc6822f7a4eee9063d1c73d1f451ed832ac";
+ };
+ x86_64-darwin = {
+ url = "https://download.visualstudio.microsoft.com/download/pr/414af43f-fdc6-4e8e-bbff-8b544a6627a8/0719a2eafa1d0d5f73ee0a7aae4ce670/dotnet-runtime-8.0.2-osx-x64.tar.gz";
+ sha512 = "e8945057f5fdf55994675caeff07ff53ba96324edbfe148ea60f58c883548be59cd1d891552b55ed5a594c1cfa549bd783ce9e25b5467ae48ab3f97590f36003";
+ };
+ aarch64-darwin = {
+ url = "https://download.visualstudio.microsoft.com/download/pr/c7b73f69-39ca-4d2a-bd02-a72abb3a4fc5/6d68aa25f4576b70fff4925fb4e69c4b/dotnet-runtime-8.0.2-osx-arm64.tar.gz";
+ sha512 = "c410f56283f0d51484d26755349a7b62364e2c54650c87dcee6fea0a370fa84b14b4ebc8c5e121e2b3ea4f0ac2880ebe40a43bcb02aa30ce360fd0dbc12fbfbb";
+ };
+ };
+ };
+
+ sdk_8_0 = buildNetSdk {
+ version = "8.0.102";
+ srcs = {
+ x86_64-linux = {
+ url = "https://download.visualstudio.microsoft.com/download/pr/672cfd95-c7fe-42e3-8b68-30c74f7af88e/ecdaa65fe42b6572ed37d407c26de8a2/dotnet-sdk-8.0.102-linux-x64.tar.gz";
+ sha512 = "f5928f5b947441065f2f34b25ae8de1fbf7dbae2c0ba918bfb4224d2d08849c79cbdc1825c0d42a5822f12757f78efa58e295a8ee0f0e6fce39cc7c6ed977b8f";
+ };
+ aarch64-linux = {
+ url = "https://download.visualstudio.microsoft.com/download/pr/23568042-614a-41d3-a6b9-51e178e42977/cb1e1f4f5fb5d46080a60cd14d631660/dotnet-sdk-8.0.102-linux-arm64.tar.gz";
+ sha512 = "5e0b5762ab2f038de50859a2e18a3964ea6b754faa01d72f9824100546a271148908e84d666bb63d25e5d9a92038bc8a2f944d0342bbf8834cb5d5e936878c76";
+ };
+ x86_64-darwin = {
+ url = "https://download.visualstudio.microsoft.com/download/pr/e60574bc-0bb6-45c6-ad3f-5c5fa29c75b7/1d903893164d767b98e9998153ed4c88/dotnet-sdk-8.0.102-osx-x64.tar.gz";
+ sha512 = "963432c5c7d7d0b204a92248c61d1be227369c6bc1d47f977c913c416c61584451fd05d0e95a6fbe51f0e1958e1c1a71f2530f478dd036ed2b0e123944b3ce00";
+ };
+ aarch64-darwin = {
+ url = "https://download.visualstudio.microsoft.com/download/pr/e89e4d12-89c6-419c-a2be-9b2ec96b209f/0f393a6b611b26d7e4599694dff857e2/dotnet-sdk-8.0.102-osx-arm64.tar.gz";
+ sha512 = "69d702b561ae7ddf4c47fe228c16472fd8d7065de1a4a206fc07c6906db49e7da25b21c06f0ef080f41658aeddc0f3c0a23ce1de7e65b830c308bfe13cf95fe8";
+ };
+ };
+ packages = { fetchNuGet }: [
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-arm"; version = "8.0.2"; sha256 = "06s21b9k4niwb2qlrz4faccfmqyxfv08vzd85izla3zjxmqv3jxb"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-arm64"; version = "8.0.2"; sha256 = "1bxsrlsyvia4v3fswxl9pnf9107zwf1n1hlwffyxs0kd5iq7jabr"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-musl-arm64"; version = "8.0.2"; sha256 = "14yysn896flzsisnc3bhfc98slj2xg3f5jr39m62w2p54km0jcrj"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-musl-x64"; version = "8.0.2"; sha256 = "1486lnpn9al764f4q9p2xry38qrk1127m62j5n8ikcx8iazrbkqm"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-x64"; version = "8.0.2"; sha256 = "0fh2lvjrl41r1r4q3v9mylr16arb190x4xs0m5nsg6qak93y6pip"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.osx-x64"; version = "8.0.2"; sha256 = "0ihhhsypb0f8lffl5lbm4nw0l9cwcv6dgylxbgvs10yfpvpix8av"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.win-arm64"; version = "8.0.2"; sha256 = "1pfwb7j3gg62z10k799w2hr8yqmiv9gjvqzw6g72navzk322901s"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.win-x64"; version = "8.0.2"; sha256 = "0anifybcb7yipazd0qsiz6g1kj7liw6qz3lmqhkw3ipbr0zip0vv"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.win-x86"; version = "8.0.2"; sha256 = "0ag84bb4p9w41njyf7yh5h2wgz49qgx1xzhb6q4ls0m03mknp2g6"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Ref"; version = "8.0.2"; sha256 = "1iv12b2pdngn9pzd9cx0n7v3q6dsw8c38vx1ypd6fb27qqwrdrr6"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-musl-arm"; version = "8.0.2"; sha256 = "1a0zy0sfd4k7pwwk7fkgyd4vph91nfbxhjzvha96ravdh8isxngx"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.osx-arm64"; version = "8.0.2"; sha256 = "0xfwnqbbzg1xb6zxlms5v1dj3jh46lh6vzfjbqxj55fj87qr73yi"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-arm"; version = "8.0.2"; sha256 = "1217mw4mw978f2d84h0vf0bbzl55kp8z1n4620rphqh6l4r1gr52"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-arm64"; version = "8.0.2"; sha256 = "1pi4s9sn64cyvarba1vgb17k92ank7q95xmn7dz9zb1z9n6v19hm"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-musl-arm64"; version = "8.0.2"; sha256 = "13ckd4w7ysa5ay5wmklsnws7hhzw6nnlblhcda7r11m0fjfly6lr"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-musl-x64"; version = "8.0.2"; sha256 = "0vy2r79sgr6p665943rb44d1m5xv8m6h96rqlr03g6ipk1gzz6xw"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-x64"; version = "8.0.2"; sha256 = "1kbdpqfq64h3dy2mj90sfi2pjks77fmp74fqkvps35fh3lacb3dq"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.osx-x64"; version = "8.0.2"; sha256 = "1xlnlp4ckqn0myl5pzsqhmpall1pnbmqhb62rr7m61dy83xhvm6l"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.win-arm64"; version = "8.0.2"; sha256 = "131kgy0787a38zmb3y002yr1lrnkfc4mk2xmh8jx5pqkl7bp5p67"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.win-x64"; version = "8.0.2"; sha256 = "1p7152v1wyhrxh1mqq29bm06xcfilzngr89cl8kxv5lcars3yc00"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.win-x86"; version = "8.0.2"; sha256 = "0yyix9cypm53b0q6zfw5bqbm18x2s54ns7a1w7apxfzs8cckjfp7"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-arm"; version = "8.0.2"; sha256 = "0j31y9qwcm76zsxbid52zn4350sbq489pa7znmkzdrxgbcn19dmq"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-arm64"; version = "8.0.2"; sha256 = "1g2n69s8sa9ik9jhkc6xcdjcvghwr5m9glbxr1f22dbj6nw433c4"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-musl-arm64"; version = "8.0.2"; sha256 = "0h148hmzrplhw2cx9yd2jmrw6ilpc9ys98w6jcaphzb7n184y374"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-musl-x64"; version = "8.0.2"; sha256 = "1xcfs5yxsxis9hx1dkp5bkhgl0n95ja2ibwwnxmg2agc8134y935"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-x64"; version = "8.0.2"; sha256 = "0zvivfiz8lja1k6vcmwswh4lz6ch8x0nlap3x35psfw3p7j51163"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.osx-x64"; version = "8.0.2"; sha256 = "0x3fsfkv2gcilhsj31pjgg2vfibq2xvqhprw3hpm4gig4c2qi4fg"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.win-arm64"; version = "8.0.2"; sha256 = "1w6bads6vyiikbfds95zpw91qmb87a20my67c5pri3q6qqwcny6d"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.win-x64"; version = "8.0.2"; sha256 = "1cfd2bq41y3m86528hxlh3cj975rvhj8gigalfxaw5jsv8hw6cdm"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.win-x86"; version = "8.0.2"; sha256 = "0s92zdr0midkjk5xip0l3s8md7gcfh4dz81pqz2p7wwhcm29k1hq"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.DotNetAppHost"; version = "8.0.2"; sha256 = "0c99m8sh056wkk7h3f9bj8l67dxwzwnmz0ix398ff1w1pdpiabcm"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.DotNetHost"; version = "8.0.2"; sha256 = "13l2xa4fxnm6i6kpjwr173hyd61s2ks7sjzp2ah3l1n71wds3vag"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.2"; sha256 = "16qhn61di7gz5a68sc2rg5y2y4293rsbks4rvplyjr68scnba4hb"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.DotNetHostResolver"; version = "8.0.2"; sha256 = "0mz7h7silzjgf6p4f0qk8izvjf0dlppvxjf44f381kkamm6viiqd"; })
+ (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.NETCore.DotNetAppHost"; version = "8.0.2"; sha256 = "0bvivl9ffgpsq4rbv8n8ivw9jr8yykbsp8r77n23xjm5vz8fcaks"; })
+ (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.NETCore.DotNetHost"; version = "8.0.2"; sha256 = "1k6vv7mpa81pjx1v8wd8d7ns3wr3ydql1ihx59s6cfg8fx18j5w9"; })
+ (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.2"; sha256 = "05480dq2mzzfvk9whlz16lq0rs2kzy55d905cl832df6j36yzy9w"; })
+ (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.NETCore.DotNetHostResolver"; version = "8.0.2"; sha256 = "1gm5yrbyh6h09lsr7izbg7izqiq3nwf7cx4y12hwk63544hprh2j"; })
+ (fetchNuGet { pname = "runtime.linux-arm.Microsoft.NETCore.DotNetAppHost"; version = "8.0.2"; sha256 = "0wqdx4h3isn1la8wbm8mvip0ai3fspvr8q2g2hx04lylpilcwnfy"; })
+ (fetchNuGet { pname = "runtime.linux-arm.Microsoft.NETCore.DotNetHost"; version = "8.0.2"; sha256 = "16l4dzmqsjnppl8ra3dz3062na1324zqpibcb9kk6aliayzkwjmp"; })
+ (fetchNuGet { pname = "runtime.linux-arm.Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.2"; sha256 = "0qzqbpwa79qizj7yzmmk2kr1ibwdg0m104rp2ava2qp8c9mxx1lq"; })
+ (fetchNuGet { pname = "runtime.linux-arm.Microsoft.NETCore.DotNetHostResolver"; version = "8.0.2"; sha256 = "10k85lqnczpdnzw43ylkma0iv1wxzqv9x4pfr31zwfb5z5p3m7ja"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetAppHost"; version = "8.0.2"; sha256 = "0yd9vf8z1p264411p4y2aka4dnzhjvi7zhxc9dy6yfjwndlqfz03"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetHost"; version = "8.0.2"; sha256 = "1vhi86iwln4pv2k0v6xfx5rp2vk5l6l4p399rj63wmm928n3v2la"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.2"; sha256 = "0i7l7zw99nfq1s43d4cyhs9p5bx719x0q1fmlkp8am4mwga554kf"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetHostResolver"; version = "8.0.2"; sha256 = "1ny0hjyip2n9mv0iiv2rpikb3apk4cjhvcdi17xn6vf3m79xxbwi"; })
+ (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.NETCore.DotNetAppHost"; version = "8.0.2"; sha256 = "0cllix46qh7lxihkaaxhb3islwn8vqn5lkr4c8c3bynvyblskjvw"; })
+ (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.NETCore.DotNetHost"; version = "8.0.2"; sha256 = "1f20gw4sq0s8idysdbpgrdh5l8ik3lry0i3nq60km9z9n183svxd"; })
+ (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.2"; sha256 = "0c31vfab355bi27wlz18azpyir9y89nn8dcg43j074whc469q0vx"; })
+ (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.NETCore.DotNetHostResolver"; version = "8.0.2"; sha256 = "1xmy68m6vslqbl4njllgqscdslqj7xgkgjzpx4pq344mxh6r9agc"; })
+ (fetchNuGet { pname = "runtime.linux-x64.Microsoft.NETCore.DotNetAppHost"; version = "8.0.2"; sha256 = "0s93dmisai8wgjid697rgdx3lw2a0s0krr1gcnaav8jz9dg9i8lc"; })
+ (fetchNuGet { pname = "runtime.linux-x64.Microsoft.NETCore.DotNetHost"; version = "8.0.2"; sha256 = "0ikwfn1q8jkvzyx77b8ycm7k7004j2w8zgjzkf8kgyw55gy8xfjm"; })
+ (fetchNuGet { pname = "runtime.linux-x64.Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.2"; sha256 = "0gcwjjaw1lajqmwaji0x03w24721dczgnqrzqjw5ayjh8ib3dir2"; })
+ (fetchNuGet { pname = "runtime.linux-x64.Microsoft.NETCore.DotNetHostResolver"; version = "8.0.2"; sha256 = "1i6wijgpksz81hg01c2pwi06k413x6vni4x8v3y38jyazg7qkfp0"; })
+ (fetchNuGet { pname = "runtime.osx-x64.Microsoft.NETCore.DotNetAppHost"; version = "8.0.2"; sha256 = "0hsby9ssa974cqkcc29xrjrrqmxyhfkkssmmhrrimh46n7sxzqab"; })
+ (fetchNuGet { pname = "runtime.osx-x64.Microsoft.NETCore.DotNetHost"; version = "8.0.2"; sha256 = "0vwlfcpvbjhw0qmqnscnin75a5lb5llhzjizcp3nh5mjnkdghd8q"; })
+ (fetchNuGet { pname = "runtime.osx-x64.Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.2"; sha256 = "00kv6ijg6yway8km36yj7jq9y1p87iw8b8ysga66qv05y4fvjch1"; })
+ (fetchNuGet { pname = "runtime.osx-x64.Microsoft.NETCore.DotNetHostResolver"; version = "8.0.2"; sha256 = "05dz9mxc94y59y6ja05zamdp63qfdss831816y28kjjw4v4crz1q"; })
+ (fetchNuGet { pname = "runtime.win-arm64.Microsoft.NETCore.DotNetAppHost"; version = "8.0.2"; sha256 = "0qbm5zgvcwmmqlcj4jaixbw4a1zzyrf8ap81nlqjfdxp03bv9zqa"; })
+ (fetchNuGet { pname = "runtime.win-arm64.Microsoft.NETCore.DotNetHost"; version = "8.0.2"; sha256 = "1jsnxh1hgy7jrjhbz4kf6gq2x3smfx071cb2w1fa3a740h3i0f4m"; })
+ (fetchNuGet { pname = "runtime.win-arm64.Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.2"; sha256 = "1738mc91wy3yn2bf4srs2wxksd864hm565nmll396q6gw97a4df4"; })
+ (fetchNuGet { pname = "runtime.win-arm64.Microsoft.NETCore.DotNetHostResolver"; version = "8.0.2"; sha256 = "152jc4v2zxcax55vmd9xrsxq76q4cqpjlgrd1mfszipnngrlrc71"; })
+ (fetchNuGet { pname = "runtime.win-x64.Microsoft.NETCore.DotNetAppHost"; version = "8.0.2"; sha256 = "1z9fa5ryi23sn163j7jry45f64rxqkgv7v91r04b9cpb4hc1qgym"; })
+ (fetchNuGet { pname = "runtime.win-x64.Microsoft.NETCore.DotNetHost"; version = "8.0.2"; sha256 = "14qz0ypylcwldyjn1ins8syjzbqpmfsy4nfkzri12mfn0626qmn2"; })
+ (fetchNuGet { pname = "runtime.win-x64.Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.2"; sha256 = "136ss58j9wpxp6sj81mijlk32l2f6h81rvaq4l7x0s8wb9fzzbb5"; })
+ (fetchNuGet { pname = "runtime.win-x64.Microsoft.NETCore.DotNetHostResolver"; version = "8.0.2"; sha256 = "02562zc9nrkfwikzff7km6mixxb1qf632r60jpzykizgx6w0nrck"; })
+ (fetchNuGet { pname = "runtime.win-x86.Microsoft.NETCore.DotNetAppHost"; version = "8.0.2"; sha256 = "1sylbjvrr1jnlgd1215czr3xql2gdqy5h5sz7rnfq31hb1j5nc20"; })
+ (fetchNuGet { pname = "runtime.win-x86.Microsoft.NETCore.DotNetHost"; version = "8.0.2"; sha256 = "0ia1igli2r5gnli0r0yzqm012l56zrjf1jk42viahlil2ic3i144"; })
+ (fetchNuGet { pname = "runtime.win-x86.Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.2"; sha256 = "0h1kydv3dxnd9s32fd68x44jhc2pm79gv44mb7jf4227lr1dcxss"; })
+ (fetchNuGet { pname = "runtime.win-x86.Microsoft.NETCore.DotNetHostResolver"; version = "8.0.2"; sha256 = "1njywfwlq2785yk4b0114nzdb33zsgsmqj5fhpr6ii1crym649hl"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-musl-arm"; version = "8.0.2"; sha256 = "16lp15z1msadrhiqlwwp0ni9k0slp3am05gqs5bagzwk35mcn27q"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.osx-arm64"; version = "8.0.2"; sha256 = "1v8nngksh0cp51g221bizz52jjpc4rzm1avcy5psl81ywmkwmj93"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-musl-arm"; version = "8.0.2"; sha256 = "142s1ricyk351nqg298w5qlzd4scz8pc66x5mw9qh75vcyxsr83f"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.osx-arm64"; version = "8.0.2"; sha256 = "116rkq5ri5dbhp5g7zyc71ml2v92vb5bw5f3nx96llb1pqk74grh"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Ref"; version = "8.0.2"; sha256 = "1c2n7cfc7b6sjgk84hxppv57sh1n4dy49cmdd16ki1l6yl2f3j9d"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.linux-arm"; version = "8.0.2"; sha256 = "0c6v2mdfshy5966fl2pfkfhgfs8y1sd0r47lfx7d4igy933dqfga"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.linux-arm64"; version = "8.0.2"; sha256 = "1g8asdz9f3i0mjyh1mkxzfc6x8x77z0d88fa6irpyhh0w45qfccw"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.linux-musl-x64"; version = "8.0.2"; sha256 = "14djb55i8nwsr3170b82lr89dqxjghnkkghxxy2sl4d2bxw0bsfa"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.linux-x64"; version = "8.0.2"; sha256 = "0h0cc31c1izakpx554kivjqw3s5030a9zy3q4a2apwyj16znv2cw"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.osx-arm64"; version = "8.0.2"; sha256 = "18599d4y8n4y0w489pg7zm4nd4a23iz4zwx317pr5z57b4wrk61k"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.osx-x64"; version = "8.0.2"; sha256 = "04wvf035rr5kw6bj46ici8353lx5k95slydpm42kv1fcy3slqb4p"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.win-x64"; version = "8.0.2"; sha256 = "1adxkh9y3y9cxisrn52c75dmzgfkbnz9aqs2p97ln9qdxxvhzhc2"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.win-x86"; version = "8.0.2"; sha256 = "0721kp5l7k25ivi2sdxx12kjpddas5l6y5qjmfw8pjcyximhqn0b"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm.Microsoft.NETCore.DotNetAppHost"; version = "8.0.2"; sha256 = "1kkjmyhrnghihhfvm3qjrkrjbml2nqv8vyslj0g79pjanaqv3prs"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm.Microsoft.NETCore.DotNetHost"; version = "8.0.2"; sha256 = "1j5qhbgy9d1d89xcgdyjcnww0ziad846nd6x5l8fa109z8wvsnki"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm.Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.2"; sha256 = "12n0m0rbxp05ggrkxa9yr6kn46pnn3pc4c22p6kkv5ijyg8nhd74"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm.Microsoft.NETCore.DotNetHostResolver"; version = "8.0.2"; sha256 = "1mhwggjfpwssyzxl2mj3j9017xc8qwnw4xlm2rn96yfgsd1pxfpv"; })
+ (fetchNuGet { pname = "runtime.osx-arm64.Microsoft.NETCore.DotNetAppHost"; version = "8.0.2"; sha256 = "1nvis5p0gvymv6sdrmgpgg94sr2w3maskm0c3d8p861wfiwwh0hv"; })
+ (fetchNuGet { pname = "runtime.osx-arm64.Microsoft.NETCore.DotNetHost"; version = "8.0.2"; sha256 = "1vjrnga6inham84hggkx1kkpx4yn7v7z1xnwxas9lisxd0ych7k1"; })
+ (fetchNuGet { pname = "runtime.osx-arm64.Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.2"; sha256 = "0rrblgydpz3yf5gj9kpjc8b17x739nzr1956pwwyarhvh9y0vqrd"; })
+ (fetchNuGet { pname = "runtime.osx-arm64.Microsoft.NETCore.DotNetHostResolver"; version = "8.0.2"; sha256 = "0xpsaxi54g0xac80gy5nv7qk5b513ak1s397b36vwg7mivwc4yhh"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-musl-arm"; version = "8.0.2"; sha256 = "1nm6ibys303xlawqibqygpg1gqc8wm1nxb6pl6vgwmp5w4q02r5h"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-musl-arm64"; version = "8.0.2"; sha256 = "0h6wwlz3mqb8758laczcaq7a0wmnmjf797dh5xwyiq50j1ss1mhw"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-musl-x64"; version = "8.0.2"; sha256 = "09id8hnx0s4x5qvmvifb6jhkfaxzj53yvhl84pvrr4wv4p6ns7cm"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-arm"; version = "8.0.2"; sha256 = "0cg7b57fysgw809m77nb9dqr56g48ya6bjlh7x880ih5b76bnlak"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-arm64"; version = "8.0.2"; sha256 = "1rqr95ix3khc7mbaji520l2vv8vjbrg8zzpv6h1i3p3rdbzjm3l2"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-x64"; version = "8.0.2"; sha256 = "0kzvyghyj95p2qxidp1g8nx5d9qd7wlchpg1a5dqbpv9skljdn7m"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.osx-x64"; version = "8.0.2"; sha256 = "0hmk25bvlpn3sfx4vlvysj2myx4dd8fc2pv3gmhfgb2y01dnswjh"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.osx-arm64"; version = "8.0.2"; sha256 = "1z76l5mpvik3517lcl3qygsfsws4yp37j37sslb4sq7gls4aa0w2"; })
+ (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.DotNet.ILCompiler"; version = "8.0.2"; sha256 = "1kjlc67bqz7d04ga42l7jm9d3jm773a9i77zc5w7cd591wa8vbbv"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.DotNet.ILCompiler"; version = "8.0.2"; sha256 = "0bx7jv4q8dapx6fb6dbk1im057qmk43isvzygp5ci6nd07p419qf"; })
+ (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.DotNet.ILCompiler"; version = "8.0.2"; sha256 = "1nf6m85f10j5qcyk0w18qxd06n79w0jvnifis08shdsq1isz403z"; })
+ (fetchNuGet { pname = "runtime.linux-x64.Microsoft.DotNet.ILCompiler"; version = "8.0.2"; sha256 = "0pl0w114qrlb8bv6d4jw1gv29dz2cs86y3r0nj5z2fxd1r30khym"; })
+ (fetchNuGet { pname = "runtime.osx-x64.Microsoft.DotNet.ILCompiler"; version = "8.0.2"; sha256 = "05bs32vhcvpd1dbvmk1rgqm2swp4gn5yv4mwfsisa4q5qi2xlaza"; })
+ (fetchNuGet { pname = "runtime.win-arm64.Microsoft.DotNet.ILCompiler"; version = "8.0.2"; sha256 = "12q0adp0hakl9qrf4bqzkvfsy4az55im6sm1nv7g3k5q4vwkqh30"; })
+ (fetchNuGet { pname = "runtime.win-x64.Microsoft.DotNet.ILCompiler"; version = "8.0.2"; sha256 = "1k1iwpsranma2mrljfz9yr63pxbv5l9j4n0zmancbsxlhx31m30s"; })
+ (fetchNuGet { pname = "Microsoft.NET.ILLink.Tasks"; version = "8.0.2"; sha256 = "1fd7ws4qf0354np3lvd735p5r1mdj3zy6gbmv5fzz5cx2bdlplwy"; })
+ ];
+ };
+}
diff --git a/pkgs/development/compilers/dotnet/versions/8.0.nix b/pkgs/development/compilers/dotnet/versions/8.0.nix
index 478a596e0be5..b0b4433cf7bc 100644
--- a/pkgs/development/compilers/dotnet/versions/8.0.nix
+++ b/pkgs/development/compilers/dotnet/versions/8.0.nix
@@ -3,176 +3,177 @@
# v8.0 (active)
{
aspnetcore_8_0 = buildAspNetCore {
- version = "8.0.1";
+ version = "8.0.2";
srcs = {
x86_64-linux = {
- url = "https://download.visualstudio.microsoft.com/download/pr/8e19b03a-93be-43ae-8cd6-95b89a849572/facbb896d726a2496dd23bcecb28c9e9/aspnetcore-runtime-8.0.1-linux-x64.tar.gz";
- sha512 = "64eecc0fc50f8c68205123355c43eae5ee29b7f6061a260315818960153fdf25f2bb25a51dd3f051e2362e228c032f2d0b9e7b6b476ac52141c17cfd8de0bfd2";
+ url = "https://download.visualstudio.microsoft.com/download/pr/d6d79cc3-df2f-4680-96ff-a7198f461139/df025000eaf5beb85d9137274a8c53ea/aspnetcore-runtime-8.0.2-linux-x64.tar.gz";
+ sha512 = "c8d4f9ad45cc97570ac607c0d14064da6c1215ef864afd73688ec7470af774f80504a937cbb5aadbb0083250122aae361770d2bca68f30ac7b62b4717bee6fca";
};
aarch64-linux = {
- url = "https://download.visualstudio.microsoft.com/download/pr/0688a08e-fdaf-489b-90e4-033cc19cfffc/c9a9c648862b0b18c9aca77d3be0ef9f/aspnetcore-runtime-8.0.1-linux-arm64.tar.gz";
- sha512 = "7d34b6986363e54dca53828ca7a4d658aae1b24f8f33c6a82f811e12ce6d56698462db746d9f19e4ad245cc8d130a19930be28e0a0c2da2c96fd74b1cb2d8192";
+ url = "https://download.visualstudio.microsoft.com/download/pr/bdfd0216-539e-4dfd-81ea-1b7a77dda929/59a62884bdb8684ef0e4f434eaea0ca3/aspnetcore-runtime-8.0.2-linux-arm64.tar.gz";
+ sha512 = "9e5733a0d40705df17a1c96025783fd2544ad344ac98525f9d11947ea6ef632a23b0d2bf536314e4aeda8ae9c0f65b8f8feee184e1a1aabfda30059f59b1b9a6";
};
x86_64-darwin = {
- url = "https://download.visualstudio.microsoft.com/download/pr/6032140f-ed94-431d-94b3-afa360230225/eabd66a040f8a926694f78bf0f4a417e/aspnetcore-runtime-8.0.1-osx-x64.tar.gz";
- sha512 = "1a573a57d7eae9162976f915b065fcba8f4069e42f8aff4bb93b131fff16d9f54ce17d7a9392aeea27fd693c5d5932a94db8a8220ca34f481429824639a4819f";
+ url = "https://download.visualstudio.microsoft.com/download/pr/a44da2c3-cb74-4ffe-af5a-34286598a885/263f113228e88df3f654510c9092f68b/aspnetcore-runtime-8.0.2-osx-x64.tar.gz";
+ sha512 = "a7edf091509305d27275d5d7911c3c61a2546e0d3b5b0fe9fcb9e704daf3c550ea0a5ae659272a29b5e218d02f28b7d331ab0905e9459711624692f1589d7285";
};
aarch64-darwin = {
- url = "https://download.visualstudio.microsoft.com/download/pr/73548990-4198-4c80-ac97-29ff5064cb11/da52a05fbc9a0cc6b997c14284753589/aspnetcore-runtime-8.0.1-osx-arm64.tar.gz";
- sha512 = "ac12b846bd8c65035087b9a77cc44edbbbdcc5f8b8b1b9cf47bc282b3505d3f8670188e1dbffebdc26233f7a5c35ae6b2c1dc61b26d7ffc3233117436399e46d";
+ url = "https://download.visualstudio.microsoft.com/download/pr/a5692569-6092-4db1-9d5c-4862265a7b5b/7173de926da466e21ab9c7666a31dee3/aspnetcore-runtime-8.0.2-osx-arm64.tar.gz";
+ sha512 = "9e79556cf58f9d0b0f302a50ef9724122a9b18daba70e715b7334f9ed97a4983be0386e4132f5273d120f00d18f8af8a8ad7ea1ef0a82c610e268a33e76a30e4";
};
};
};
runtime_8_0 = buildNetRuntime {
- version = "8.0.1";
+ version = "8.0.2";
srcs = {
x86_64-linux = {
- url = "https://download.visualstudio.microsoft.com/download/pr/4d5166de-c1ac-45c5-bb8a-d47f8ee93ad9/ffab59440a3eb74359dd3009e4da5a81/dotnet-runtime-8.0.1-linux-x64.tar.gz";
- sha512 = "cbd03325280ff93cd0edab71c5564a50bb2423980f63d04602914db917c9c811a0068d848cab07d82e3260bff6684ad7cffacc2f449c06fc0b0aa8f845c399b6";
+ url = "https://download.visualstudio.microsoft.com/download/pr/307e4bf7-53c1-4b03-a2e5-379151ab3a04/140e7502609d45dfd83e4750b4bb5178/dotnet-runtime-8.0.2-linux-x64.tar.gz";
+ sha512 = "f30f72f55b9e97e36107f920e932477183867726a963ea0d4d151f291981877ba253a7175614c60b386b6a37f9192d97d7402dafdad2529369f512698cb9d1dd";
};
aarch64-linux = {
- url = "https://download.visualstudio.microsoft.com/download/pr/39e79317-94d1-4e57-bb90-d5e004f4f3d4/cdcf3c0d8dc2560dcfcb160acb193785/dotnet-runtime-8.0.1-linux-arm64.tar.gz";
- sha512 = "29707882d4fce61eb4b20763473d548570f89f9d028bafb76b646911a5e7bf793dc75e33a6903622d7ba46e9eea0eac000d931cd2f45da118ef05fede6d4079b";
+ url = "https://download.visualstudio.microsoft.com/download/pr/9de452db-acbe-48eb-b3f0-305a4e48e32a/515bbe7e3e1deef5ab9a4b8123b901ca/dotnet-runtime-8.0.2-linux-arm64.tar.gz";
+ sha512 = "12c5f49b7bd63d73cae57949e1520eaebc47732f559f68199ecd3bcca597f2da702352313a20aa100c667ede1d701dc6822f7a4eee9063d1c73d1f451ed832ac";
};
x86_64-darwin = {
- url = "https://download.visualstudio.microsoft.com/download/pr/220d43f7-eb7f-470d-a80b-b30210adbbf2/dbfa691328557ee9888a1f38a29f72bd/dotnet-runtime-8.0.1-osx-x64.tar.gz";
- sha512 = "8c88db692cd889d8f4d6a1f0a82a3eb0b3f49a4771318127c294822f20ee83a87668c6a54012ad87242936d4412b3f8adc0448b8d5ff623f0a6faa3cfc544309";
+ url = "https://download.visualstudio.microsoft.com/download/pr/414af43f-fdc6-4e8e-bbff-8b544a6627a8/0719a2eafa1d0d5f73ee0a7aae4ce670/dotnet-runtime-8.0.2-osx-x64.tar.gz";
+ sha512 = "e8945057f5fdf55994675caeff07ff53ba96324edbfe148ea60f58c883548be59cd1d891552b55ed5a594c1cfa549bd783ce9e25b5467ae48ab3f97590f36003";
};
aarch64-darwin = {
- url = "https://download.visualstudio.microsoft.com/download/pr/43ed6ef8-5265-462e-bbc4-2055a0f473e8/28d78788aeca160f615dcbd63c79b621/dotnet-runtime-8.0.1-osx-arm64.tar.gz";
- sha512 = "9d716e324c041ecd210ae65bcdd9bbf8c884d8fb92cda72d5bd13429581d47d7837d51f63c2994dfe17c5cda77de1c727308b590367d3181c91fa1f173c66b04";
+ url = "https://download.visualstudio.microsoft.com/download/pr/c7b73f69-39ca-4d2a-bd02-a72abb3a4fc5/6d68aa25f4576b70fff4925fb4e69c4b/dotnet-runtime-8.0.2-osx-arm64.tar.gz";
+ sha512 = "c410f56283f0d51484d26755349a7b62364e2c54650c87dcee6fea0a370fa84b14b4ebc8c5e121e2b3ea4f0ac2880ebe40a43bcb02aa30ce360fd0dbc12fbfbb";
};
};
};
sdk_8_0 = buildNetSdk {
- version = "8.0.101";
+ version = "8.0.201";
srcs = {
x86_64-linux = {
- url = "https://download.visualstudio.microsoft.com/download/pr/9454f7dc-b98e-4a64-a96d-4eb08c7b6e66/da76f9c6bc4276332b587b771243ae34/dotnet-sdk-8.0.101-linux-x64.tar.gz";
- sha512 = "26df0151a3a59c4403b52ba0f0df61eaa904110d897be604f19dcaa27d50860c82296733329cb4a3cf20a2c2e518e8f5d5f36dfb7931bf714a45e46b11487c9a";
+ url = "https://download.visualstudio.microsoft.com/download/pr/85bcc525-4e9c-471e-9c1d-96259aa1a315/930833ef34f66fe9ee2643b0ba21621a/dotnet-sdk-8.0.201-linux-x64.tar.gz";
+ sha512 = "310cf54f595698435b533931b12f86d49f89d27243cf7c87a5b926e0c676b80e869aa58aaff17b5095536c432f377c67d92bf0ca8941b9d891d4b3879637d488";
};
aarch64-linux = {
- url = "https://download.visualstudio.microsoft.com/download/pr/092bec24-9cad-421d-9b43-458b3a7549aa/84280dbd1eef750f9ed1625339235c22/dotnet-sdk-8.0.101-linux-arm64.tar.gz";
- sha512 = "56beedb8181b63efd319b028190a8a98842efd96da27c5e48e18c4d15ba1a5805610e8838f1904a19263abd51ff68df369973ed59dab879edc52f6e7f93517c6";
+ url = "https://download.visualstudio.microsoft.com/download/pr/3bebb4ec-8bb7-4854-b0a2-064bf50805eb/38e6972473f83f11963245ffd940b396/dotnet-sdk-8.0.201-linux-arm64.tar.gz";
+ sha512 = "37e230970cfeffdc3873e42595b79ecdf6bfe266a01ace6953725e69a2b64313ce144bf4d4f861130f61f680ead9b4d8a819dd5543c5470c37bbc13d88a78c80";
};
x86_64-darwin = {
- url = "https://download.visualstudio.microsoft.com/download/pr/c7f806d2-1483-4a52-893a-4de1054b0bff/a6f52ed50876c45f859192b6576a14d5/dotnet-sdk-8.0.101-osx-x64.tar.gz";
- sha512 = "5c18dd1c0bb8199660dea5793eb2a568c63adbde492ca5080a8130e723a6260c6b9c6a055c299a3b8ba2497d6875959f86da8b9c9bf85e093bca2e08724d46a1";
+ url = "https://download.visualstudio.microsoft.com/download/pr/b61aa134-3109-4aea-915b-f4ad9fddac27/63f2187933dbefad3ae2df55f3a032d0/dotnet-sdk-8.0.201-osx-x64.tar.gz";
+ sha512 = "254e578bae6150f194ec9e7d5cfe8a8cbaf048dedafd78afdb421cb0cae22364d21742eb2d11619a8330974739256d45a5d477483a1f82129acc3d12c6805767";
};
aarch64-darwin = {
- url = "https://download.visualstudio.microsoft.com/download/pr/ef083c06-7aee-4a4f-b18b-50c9a8990753/e206864e7910e81bbd9cb7e674ff1b4c/dotnet-sdk-8.0.101-osx-arm64.tar.gz";
- sha512 = "a6048ca248aef3c430c8bdb65b534d5f58463a9d3c314fd70f5c7c4a9ac7eaabfba7786c40c76c73e5abc1a95ba957a682e73fb228e15bc9808adb47b4a1b291";
+ url = "https://download.visualstudio.microsoft.com/download/pr/d89ef89a-8e7e-4e04-b32a-8eb6d32a4409/ff889260b90ff66ec8818dd5619de64c/dotnet-sdk-8.0.201-osx-arm64.tar.gz";
+ sha512 = "7457d5413dfee375d9e418707ebd726ff8ca9dbb7c34476e9fe33fd77962fbed5827bcbcc6d7978e918faf58a4e2470456b7383df6c0e47ed3b49d00b563611e";
};
};
packages = { fetchNuGet }: [
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-arm"; version = "8.0.1"; sha256 = "1pqjaj3faxmyhiikrb7gh8zcp9ybqacb91qzz0xxc0snc9k1gc42"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-arm64"; version = "8.0.1"; sha256 = "0dsdgqg7566qximmjfza4x9if3icy4kskq698ddj5apdia88h2mw"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-musl-arm64"; version = "8.0.1"; sha256 = "0cx4gz9qjsaxd41aasrk0bq68pwdmy8bjh4wxwgbnlwjr34h29rv"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-musl-x64"; version = "8.0.1"; sha256 = "124vppxwj56bs0j7bgl3baj91wi6c8h5cgxz40d1sih9gz5bm9qd"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-x64"; version = "8.0.1"; sha256 = "1gjz379y61ag9whi78qxx09bwkwcznkx2mzypgycibxk61g11da1"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.osx-x64"; version = "8.0.1"; sha256 = "0a9aljr4fy4haq6ndz2y723liv5hbfpss1rn45s88nmgcp27m15m"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.win-arm64"; version = "8.0.1"; sha256 = "0xy4pb3imq5ij7k22i3dbbvgchiqbnyblfz988zq6pg140hr84jp"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.win-x64"; version = "8.0.1"; sha256 = "01kzndyqmsvcq49i2jrv7ymfp0l71yxfylv1cy3nhkdbprqz8ipx"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.win-x86"; version = "8.0.1"; sha256 = "1cvf0fdsfv4di3wp83gm5nw1a1qnfn6mdvg49a3afi83lpbjxix4"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Ref"; version = "8.0.1"; sha256 = "0yaaiqq7mi6sclyrb1v0fyncanbx0ifmnnhv9whynqj8439jsdwh"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-musl-arm"; version = "8.0.1"; sha256 = "1j8cdmxv8k1y9vxmdnka0lxk2ykwr1xb2qz8l5s1vca8fns1l7fs"; })
- (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.osx-arm64"; version = "8.0.1"; sha256 = "0w3mrs4zdl9mfanl1j81759xwwrzmicsjxn6yfxv5yrxbxzq695n"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-arm"; version = "8.0.1"; sha256 = "1lai8b9pmvid4sfzgl4br6x426cp9v7m5bhif3ll5ndai46xg8im"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-arm64"; version = "8.0.1"; sha256 = "0dhpdlcdz7adcfh9w01fc867051m35fqaxnvj3fqvqhgcm2n3143"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-musl-arm64"; version = "8.0.1"; sha256 = "13psnlgpq89ifn7w56wpvzabxy432wkqqs0g01kpy8y1ni2vb9l8"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-musl-x64"; version = "8.0.1"; sha256 = "1lhbr8dxwrn4d1ijhdbx1xfhmkjz09phac5130n7cm86ix0vk48w"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-x64"; version = "8.0.1"; sha256 = "1aw6mc7zcmzs1grxz2wa9cw9kfj8pz7zpj417xnp1a9n4ix1bxgr"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.osx-x64"; version = "8.0.1"; sha256 = "010f8wn15s2kv7yyzgys3pv9i1mxw20hpv1ig2zhybjxs8lpj8jj"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.win-arm64"; version = "8.0.1"; sha256 = "1sw3vfg9wc5zwml63h179z385cakj09s217j3nxzf7klhl3fbhm5"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.win-x64"; version = "8.0.1"; sha256 = "1ssj1cyam3nfidm8q82kvh4i3fzm2lzb3bxw6ck09hwhvwh909z4"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.win-x86"; version = "8.0.1"; sha256 = "0gdv64dzjd656843714kr99rbips9l7q0divc3rfrmdflc7bxji0"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-arm"; version = "8.0.1"; sha256 = "0krzds3jxxy8kcm0zpi7q9jvm3ryjcl0ldr4pcbi9g4nfr8z6n7f"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-arm64"; version = "8.0.1"; sha256 = "0353whnjgz3sqhzsfrviad3a3db4pk7hl7m4wwppv5mqdg9i9ri5"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-musl-arm64"; version = "8.0.1"; sha256 = "15bix3grr9mjczgc55jk1zb6fpcy3gdp1mafscsdy7zai6yy73lv"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-musl-x64"; version = "8.0.1"; sha256 = "08qz9ii78rm8plvx3j93v3yckly58hc6s8mjn7sjf7lk0dfvls7j"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-x64"; version = "8.0.1"; sha256 = "1g5b30f4l8a1zjjr3b8pk9mcqxkxqwa86362f84646xaj4iw3a4d"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.osx-x64"; version = "8.0.1"; sha256 = "1fk1flqp6ji0l4c2gvh83ykndpx7a2nkkgrgkgql3c75j1k2v1s9"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.win-arm64"; version = "8.0.1"; sha256 = "1nv24b7zm1j5kkn02nk308jbkciybvxw8mjj6qsqgmmlg6dyxlv8"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.win-x64"; version = "8.0.1"; sha256 = "198576cdkl72xs29zznff9ls763p8pfr0zji7b74dqxd5ga0s3bd"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.win-x86"; version = "8.0.1"; sha256 = "1g7l5da8v1s8xhyij2y0mr2q8xkw80cr0bmqzkmkk0425ikbkc8s"; })
- (fetchNuGet { pname = "Microsoft.NETCore.DotNetAppHost"; version = "8.0.1"; sha256 = "1rg8b8437sys3pdxzg5m3vi6nn53k5hkg901lwzbp41bch6pjl1b"; })
- (fetchNuGet { pname = "Microsoft.NETCore.DotNetHost"; version = "8.0.1"; sha256 = "1iagkshd7cy6ni2fb6750a0hvbsqms91lb39jd1dx8mlnspzxyld"; })
- (fetchNuGet { pname = "Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.1"; sha256 = "1n3b044h3c9qbyj41r2rwrld4smfg165fvwqgi56xg16agwz4d2h"; })
- (fetchNuGet { pname = "Microsoft.NETCore.DotNetHostResolver"; version = "8.0.1"; sha256 = "05jadsr25g30fxqg48sdf1k3fjgs0bipxcwy2rdxf9v5r3g6sqn5"; })
- (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.NETCore.DotNetAppHost"; version = "8.0.1"; sha256 = "085k52x87d2bfw2mwri6s3i6aqn1yfnhb1mp57scck8jb53wnmcm"; })
- (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.NETCore.DotNetHost"; version = "8.0.1"; sha256 = "04cdb8ya03419r9v3kbdlawb2bgvxsa284rbfcdbbyhwa49589ja"; })
- (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.1"; sha256 = "0biyilsm78mlg51f20zkdxcby2qr0vas324jaicpvw9212b99x9j"; })
- (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.NETCore.DotNetHostResolver"; version = "8.0.1"; sha256 = "15v9wyj9fagxpr62r3i3wvzmqjb0padrk9249ndrm7xbcghfzkl6"; })
- (fetchNuGet { pname = "runtime.linux-arm.Microsoft.NETCore.DotNetAppHost"; version = "8.0.1"; sha256 = "1zg5grsl3i368hpqqdhprb46pz5ymfjbyyv07r99jg881i95pp49"; })
- (fetchNuGet { pname = "runtime.linux-arm.Microsoft.NETCore.DotNetHost"; version = "8.0.1"; sha256 = "106gjs082kixijjijs6pmph60zlgmiz26jrzc0c87b22daqc6nc8"; })
- (fetchNuGet { pname = "runtime.linux-arm.Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.1"; sha256 = "0s14f344f9xmxhzb8zv0rg6kc361pyrd46bwzd01qxcwa2g1yh95"; })
- (fetchNuGet { pname = "runtime.linux-arm.Microsoft.NETCore.DotNetHostResolver"; version = "8.0.1"; sha256 = "1crszc67z13qwrhin06a6i9m60qlsk730h381jy9s5wbn80cgxgv"; })
- (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetAppHost"; version = "8.0.1"; sha256 = "0girwd7dail3zlvsvj1ypmrw24vbc6jly1fj41j4w87l0v893ccd"; })
- (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetHost"; version = "8.0.1"; sha256 = "0mf905knky5k69nyx82jvijsi71fwl1001dj0zsx5rwa6i8g4kpx"; })
- (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.1"; sha256 = "08z5bxzyhvrx3k7i89n0dpnncjxfyr0ikva7jq25qmqp2xj55wwh"; })
- (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetHostResolver"; version = "8.0.1"; sha256 = "0gif52wgg1h7zvd85yl44wfn2gxskwxb679p26xrfdn5npv1vzs7"; })
- (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.NETCore.DotNetAppHost"; version = "8.0.1"; sha256 = "0cmgln0aqrrm57q0zm3sl600j1hjhf46rimc2hvfqk6vv6gmql82"; })
- (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.NETCore.DotNetHost"; version = "8.0.1"; sha256 = "1m05vz3y2h028wr785833bfpw18vq1j6b12bpm7fsfib28isgy8a"; })
- (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.1"; sha256 = "1d7yyc07siamz3h7qilpdkq41j22hm43ds58vrxnpgcn4alr17a4"; })
- (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.NETCore.DotNetHostResolver"; version = "8.0.1"; sha256 = "02r7gxrch89viid1zyqa50ag5zbmdjyysx9p54xcxrq2fz874b04"; })
- (fetchNuGet { pname = "runtime.linux-x64.Microsoft.NETCore.DotNetAppHost"; version = "8.0.1"; sha256 = "1q8z929yf31yhcr0yga2sycgxjkdamddrh0kxfvzpsxa9iq92n41"; })
- (fetchNuGet { pname = "runtime.linux-x64.Microsoft.NETCore.DotNetHost"; version = "8.0.1"; sha256 = "1g7i2mj777avqpnzl8sf4npcwiry712jfarvnk5k39l339xs7qxy"; })
- (fetchNuGet { pname = "runtime.linux-x64.Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.1"; sha256 = "0i64v0wf5fcm8fcvj32k0s2h8cmn64zipnm0v54nx010143fsjzm"; })
- (fetchNuGet { pname = "runtime.linux-x64.Microsoft.NETCore.DotNetHostResolver"; version = "8.0.1"; sha256 = "0g7xzzpgcl0zfm4zpyy6r3xh99m5994bkcc7mkhwl2iqyxm121fh"; })
- (fetchNuGet { pname = "runtime.osx-x64.Microsoft.NETCore.DotNetAppHost"; version = "8.0.1"; sha256 = "0dy2z56ypbkyaif1sqm88np0zd1h7rzsvcwphhw4fghm6z7v31f9"; })
- (fetchNuGet { pname = "runtime.osx-x64.Microsoft.NETCore.DotNetHost"; version = "8.0.1"; sha256 = "06vrwf8n12n8y59x38fdjfm737r9r0ginw3bq1wi8sdsarfxyqpm"; })
- (fetchNuGet { pname = "runtime.osx-x64.Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.1"; sha256 = "1fwk41k82q6zbnvd95wn6cibplmmfp281sfj03nmfhcfdqdsfq60"; })
- (fetchNuGet { pname = "runtime.osx-x64.Microsoft.NETCore.DotNetHostResolver"; version = "8.0.1"; sha256 = "1zm5k3xan886sl90ypm90qp02wv9b7wi42am7mk6x016rq4l40cm"; })
- (fetchNuGet { pname = "runtime.win-arm64.Microsoft.NETCore.DotNetAppHost"; version = "8.0.1"; sha256 = "12ziik1aclv3jyqqpw4bhhvwwzxxllghn5ci9rmfhcanhv2krxbp"; })
- (fetchNuGet { pname = "runtime.win-arm64.Microsoft.NETCore.DotNetHost"; version = "8.0.1"; sha256 = "1isn4n8m9bh0fwd7rqx7z1msrxhn24fwk23kngkwnvl5q17k89if"; })
- (fetchNuGet { pname = "runtime.win-arm64.Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.1"; sha256 = "09b2swf93ia4g8yb7j0hzd3jjg41blvx10qz83xsqsvkhci3z1v0"; })
- (fetchNuGet { pname = "runtime.win-arm64.Microsoft.NETCore.DotNetHostResolver"; version = "8.0.1"; sha256 = "07ppksnq35rvqj6zh6b7cr8jda3crlbmy5yq2cz267123x272dm2"; })
- (fetchNuGet { pname = "runtime.win-x64.Microsoft.NETCore.DotNetAppHost"; version = "8.0.1"; sha256 = "0fkdc2apgbhhxjnxyplfc3rcvqfmpqx8h7q3lr1bpnhhyvmx5jf1"; })
- (fetchNuGet { pname = "runtime.win-x64.Microsoft.NETCore.DotNetHost"; version = "8.0.1"; sha256 = "0vq6br7qnwbh62amqfnyc5x9aldgd0sjqwywyh9swfdk30lyp9b3"; })
- (fetchNuGet { pname = "runtime.win-x64.Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.1"; sha256 = "1asyqkk2ilgf7qh4s6cj95hsxsgzqc44wvbsl3z9jm4dh4jrmm7n"; })
- (fetchNuGet { pname = "runtime.win-x64.Microsoft.NETCore.DotNetHostResolver"; version = "8.0.1"; sha256 = "0lhxhbi9g8dh5si6a63n377p3jwiz1j7khgv15gpqdpz3amsf0r0"; })
- (fetchNuGet { pname = "runtime.win-x86.Microsoft.NETCore.DotNetAppHost"; version = "8.0.1"; sha256 = "06zs2wrgx7sw4rizwphpppgw32i822kjg2qgg7ki7pc0kj7dz6w2"; })
- (fetchNuGet { pname = "runtime.win-x86.Microsoft.NETCore.DotNetHost"; version = "8.0.1"; sha256 = "0kwb138r4i3ci7hzkai00wmj3hq7r3838fdsxx8lhavhk3hyzqmk"; })
- (fetchNuGet { pname = "runtime.win-x86.Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.1"; sha256 = "0qg2z4ljj66wg2mfq3pljgzhhs16b42xh51xbhirq3fxrpm0g936"; })
- (fetchNuGet { pname = "runtime.win-x86.Microsoft.NETCore.DotNetHostResolver"; version = "8.0.1"; sha256 = "0jfqj1cjq05qr04mywy5fz40g8w2ss5f5dzxjy3pk23rg5cg436l"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-musl-arm"; version = "8.0.1"; sha256 = "02q9kzn4pmsvccm3rl58mx1yaddaca5yks7bsd04z2s7zv70bwz8"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Host.osx-arm64"; version = "8.0.1"; sha256 = "1dzg3prng9zfdzz7gcgywjdbwzhwm85j89z0jahynxx4q2dra4b9"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-musl-arm"; version = "8.0.1"; sha256 = "18cj1nganlqfpxq7f3f4mg0pmh76azfgyclny5mcyjyshyznxxnj"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.osx-arm64"; version = "8.0.1"; sha256 = "0cdrpdaq5sl3602anfx1p0z0ncx2sjjvl6mgsd6y38g47n7f95jc"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Ref"; version = "8.0.1"; sha256 = "02r4jg4ha0qksix9v6s3cpmvavmz54gkawkxy9bvknw5ynxhhl1l"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.linux-arm"; version = "8.0.1"; sha256 = "139f7rh3lkkwidz8klxrpd47lr5d1bkc7aqsgy5sbsqf6f8nn5qb"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.linux-arm64"; version = "8.0.1"; sha256 = "1h3yxvmaiv2cj5v9a84v1kmp81raas273v8m5wd20s8y51q7fkl3"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.linux-musl-x64"; version = "8.0.1"; sha256 = "09xavj7hhnw1lmh95v1py9ng3rgp5cksg1lz031qv3vqsxs59lnn"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.linux-x64"; version = "8.0.1"; sha256 = "02670yxz97b0f2l4jh59xyh4yyg9ijw1c6h5yv78g8zkdd29zdd1"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.osx-arm64"; version = "8.0.1"; sha256 = "1pji3rkhna967ibs58xix0ymgbzpwp7yd8vmjbx6gchxgib5g64q"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.osx-x64"; version = "8.0.1"; sha256 = "1zvdw094kh02ivzjwzq1izvvhkgkd920y2w2ag73zmfwivaqpya2"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.win-x64"; version = "8.0.1"; sha256 = "0g7kv0dy20lcl82yz71w39l9i8j05w57jx8g4smkx3hmk17qxrlz"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.win-x86"; version = "8.0.1"; sha256 = "04fjp83zl4fydrp4qmcjapcz8yijag1r3jmb4jn5x9s77w95i6p6"; })
- (fetchNuGet { pname = "runtime.linux-musl-arm.Microsoft.NETCore.DotNetAppHost"; version = "8.0.1"; sha256 = "1ax8k7zk8p55id1jzsp2xz3cxgyrh0l3za1qpyqgkp9yp8x43qc9"; })
- (fetchNuGet { pname = "runtime.linux-musl-arm.Microsoft.NETCore.DotNetHost"; version = "8.0.1"; sha256 = "0bzrxy28gbl1zmn84b698rf4xic0faywy8dibachh7mh0pxh1bxv"; })
- (fetchNuGet { pname = "runtime.linux-musl-arm.Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.1"; sha256 = "01yjcdyj6w9x9f2nvnj46qgcd8z7g3k2n5l0rcvxnwzflyf16c9p"; })
- (fetchNuGet { pname = "runtime.linux-musl-arm.Microsoft.NETCore.DotNetHostResolver"; version = "8.0.1"; sha256 = "058xj78mlq44svhgb4z19npsivw7q9rqjz5x4859gz9fgm4bkajq"; })
- (fetchNuGet { pname = "runtime.osx-arm64.Microsoft.NETCore.DotNetAppHost"; version = "8.0.1"; sha256 = "16x1ivz7ycz04wf4w1a2q9ifqb2knc1ngvpm5m39djh2dwphn4ny"; })
- (fetchNuGet { pname = "runtime.osx-arm64.Microsoft.NETCore.DotNetHost"; version = "8.0.1"; sha256 = "0zi02kl8dwc75iwgkpznd6jkd2jmd62m6vix7s55a95jqqgc1lpx"; })
- (fetchNuGet { pname = "runtime.osx-arm64.Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.1"; sha256 = "0llmj8pj98mgfcazazz1arrq5ccpy35ycp955bl4f1di5422rkyv"; })
- (fetchNuGet { pname = "runtime.osx-arm64.Microsoft.NETCore.DotNetHostResolver"; version = "8.0.1"; sha256 = "1xnwgdn8vxxq73krbzd495i2cznyg01jss1495l39z0s79f78ybl"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-musl-arm"; version = "8.0.1"; sha256 = "1g55w5xa1z8x4cqgn5dvxm3c40lczdsp4xnlwm6czx7iyy7i81mn"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-musl-arm64"; version = "8.0.1"; sha256 = "04rbyl6qxidw2nc3bfmzny7988f2x9ngsxprn7vsi7xlsf8wkqc5"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-musl-x64"; version = "8.0.1"; sha256 = "1w0w9da4q14icj815c7divmwrslbh7hxmksvyfjck4hyy4q4c6d0"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-arm"; version = "8.0.1"; sha256 = "0f2zndr6rdb3v443hd5i9dgn0r6668595gvpff6lm1pnyhsv41rg"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-arm64"; version = "8.0.1"; sha256 = "0j4grr62s5gm98rxw77qxkjiy7281sfixw4n8f0r43152ml57qab"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-x64"; version = "8.0.1"; sha256 = "0zpsfwygc8azdm1qyh6ry9rfwxlzgd0s2g31zi28xfwzkliwyykv"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.osx-x64"; version = "8.0.1"; sha256 = "0swxakdpwc4d5fjlxpl0awlkjijldcyvp9hwx0a8kvvjrbydm357"; })
- (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.osx-arm64"; version = "8.0.1"; sha256 = "0jd2ndgci5i745n0h70l6kyh5ayd4kvmankmxqxshcfyfqijh8yd"; })
- (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.DotNet.ILCompiler"; version = "8.0.1"; sha256 = "05n7p13vc49v4gvrgfkswi7ycnfvcwaww4y28xz9dnd9qj2y2b50"; })
- (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.DotNet.ILCompiler"; version = "8.0.1"; sha256 = "1nl4bpr1ba2iqf2y1w3da2cynrwxdb1yghwgm8ix3sd72vmpwfxr"; })
- (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.DotNet.ILCompiler"; version = "8.0.1"; sha256 = "08n4pnasxsd2qgq5izib8simzspcwsldcsbjgxjb9291ipzwsd3z"; })
- (fetchNuGet { pname = "runtime.linux-x64.Microsoft.DotNet.ILCompiler"; version = "8.0.1"; sha256 = "0mky32k6kv2iy14c89xbznyrr33ni616s85z40ny43j5w9scxh04"; })
- (fetchNuGet { pname = "runtime.osx-x64.Microsoft.DotNet.ILCompiler"; version = "8.0.1"; sha256 = "08x0vcpnhakaqifhg556dslr3s33mgplnxphhnm90dnfvyb6mqjq"; })
- (fetchNuGet { pname = "runtime.win-arm64.Microsoft.DotNet.ILCompiler"; version = "8.0.1"; sha256 = "1qf7ws0a5ny805pxmpzrp751p5p18h46r6lfi4p7h2rlw9p32ys0"; })
- (fetchNuGet { pname = "runtime.win-x64.Microsoft.DotNet.ILCompiler"; version = "8.0.1"; sha256 = "01h77hc9b5q8dhr19r3dxs1m76zhk9x4wz0wzkqz4j98p1g5bffa"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-arm"; version = "8.0.2"; sha256 = "06s21b9k4niwb2qlrz4faccfmqyxfv08vzd85izla3zjxmqv3jxb"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-arm64"; version = "8.0.2"; sha256 = "1bxsrlsyvia4v3fswxl9pnf9107zwf1n1hlwffyxs0kd5iq7jabr"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-musl-arm64"; version = "8.0.2"; sha256 = "14yysn896flzsisnc3bhfc98slj2xg3f5jr39m62w2p54km0jcrj"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-musl-x64"; version = "8.0.2"; sha256 = "1486lnpn9al764f4q9p2xry38qrk1127m62j5n8ikcx8iazrbkqm"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-x64"; version = "8.0.2"; sha256 = "0fh2lvjrl41r1r4q3v9mylr16arb190x4xs0m5nsg6qak93y6pip"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.osx-x64"; version = "8.0.2"; sha256 = "0ihhhsypb0f8lffl5lbm4nw0l9cwcv6dgylxbgvs10yfpvpix8av"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.win-arm64"; version = "8.0.2"; sha256 = "1pfwb7j3gg62z10k799w2hr8yqmiv9gjvqzw6g72navzk322901s"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.win-x64"; version = "8.0.2"; sha256 = "0anifybcb7yipazd0qsiz6g1kj7liw6qz3lmqhkw3ipbr0zip0vv"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.win-x86"; version = "8.0.2"; sha256 = "0ag84bb4p9w41njyf7yh5h2wgz49qgx1xzhb6q4ls0m03mknp2g6"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Ref"; version = "8.0.2"; sha256 = "1iv12b2pdngn9pzd9cx0n7v3q6dsw8c38vx1ypd6fb27qqwrdrr6"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-musl-arm"; version = "8.0.2"; sha256 = "1a0zy0sfd4k7pwwk7fkgyd4vph91nfbxhjzvha96ravdh8isxngx"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.osx-arm64"; version = "8.0.2"; sha256 = "0xfwnqbbzg1xb6zxlms5v1dj3jh46lh6vzfjbqxj55fj87qr73yi"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-arm"; version = "8.0.2"; sha256 = "1217mw4mw978f2d84h0vf0bbzl55kp8z1n4620rphqh6l4r1gr52"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-arm64"; version = "8.0.2"; sha256 = "1pi4s9sn64cyvarba1vgb17k92ank7q95xmn7dz9zb1z9n6v19hm"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-musl-arm64"; version = "8.0.2"; sha256 = "13ckd4w7ysa5ay5wmklsnws7hhzw6nnlblhcda7r11m0fjfly6lr"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-musl-x64"; version = "8.0.2"; sha256 = "0vy2r79sgr6p665943rb44d1m5xv8m6h96rqlr03g6ipk1gzz6xw"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-x64"; version = "8.0.2"; sha256 = "1kbdpqfq64h3dy2mj90sfi2pjks77fmp74fqkvps35fh3lacb3dq"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.osx-x64"; version = "8.0.2"; sha256 = "1xlnlp4ckqn0myl5pzsqhmpall1pnbmqhb62rr7m61dy83xhvm6l"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.win-arm64"; version = "8.0.2"; sha256 = "131kgy0787a38zmb3y002yr1lrnkfc4mk2xmh8jx5pqkl7bp5p67"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.win-x64"; version = "8.0.2"; sha256 = "1p7152v1wyhrxh1mqq29bm06xcfilzngr89cl8kxv5lcars3yc00"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.win-x86"; version = "8.0.2"; sha256 = "0yyix9cypm53b0q6zfw5bqbm18x2s54ns7a1w7apxfzs8cckjfp7"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-arm"; version = "8.0.2"; sha256 = "0j31y9qwcm76zsxbid52zn4350sbq489pa7znmkzdrxgbcn19dmq"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-arm64"; version = "8.0.2"; sha256 = "1g2n69s8sa9ik9jhkc6xcdjcvghwr5m9glbxr1f22dbj6nw433c4"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-musl-arm64"; version = "8.0.2"; sha256 = "0h148hmzrplhw2cx9yd2jmrw6ilpc9ys98w6jcaphzb7n184y374"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-musl-x64"; version = "8.0.2"; sha256 = "1xcfs5yxsxis9hx1dkp5bkhgl0n95ja2ibwwnxmg2agc8134y935"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-x64"; version = "8.0.2"; sha256 = "0zvivfiz8lja1k6vcmwswh4lz6ch8x0nlap3x35psfw3p7j51163"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.osx-x64"; version = "8.0.2"; sha256 = "0x3fsfkv2gcilhsj31pjgg2vfibq2xvqhprw3hpm4gig4c2qi4fg"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.win-arm64"; version = "8.0.2"; sha256 = "1w6bads6vyiikbfds95zpw91qmb87a20my67c5pri3q6qqwcny6d"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.win-x64"; version = "8.0.2"; sha256 = "1cfd2bq41y3m86528hxlh3cj975rvhj8gigalfxaw5jsv8hw6cdm"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.win-x86"; version = "8.0.2"; sha256 = "0s92zdr0midkjk5xip0l3s8md7gcfh4dz81pqz2p7wwhcm29k1hq"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.DotNetAppHost"; version = "8.0.2"; sha256 = "0c99m8sh056wkk7h3f9bj8l67dxwzwnmz0ix398ff1w1pdpiabcm"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.DotNetHost"; version = "8.0.2"; sha256 = "13l2xa4fxnm6i6kpjwr173hyd61s2ks7sjzp2ah3l1n71wds3vag"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.2"; sha256 = "16qhn61di7gz5a68sc2rg5y2y4293rsbks4rvplyjr68scnba4hb"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.DotNetHostResolver"; version = "8.0.2"; sha256 = "0mz7h7silzjgf6p4f0qk8izvjf0dlppvxjf44f381kkamm6viiqd"; })
+ (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.NETCore.DotNetAppHost"; version = "8.0.2"; sha256 = "0bvivl9ffgpsq4rbv8n8ivw9jr8yykbsp8r77n23xjm5vz8fcaks"; })
+ (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.NETCore.DotNetHost"; version = "8.0.2"; sha256 = "1k6vv7mpa81pjx1v8wd8d7ns3wr3ydql1ihx59s6cfg8fx18j5w9"; })
+ (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.2"; sha256 = "05480dq2mzzfvk9whlz16lq0rs2kzy55d905cl832df6j36yzy9w"; })
+ (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.NETCore.DotNetHostResolver"; version = "8.0.2"; sha256 = "1gm5yrbyh6h09lsr7izbg7izqiq3nwf7cx4y12hwk63544hprh2j"; })
+ (fetchNuGet { pname = "runtime.linux-arm.Microsoft.NETCore.DotNetAppHost"; version = "8.0.2"; sha256 = "0wqdx4h3isn1la8wbm8mvip0ai3fspvr8q2g2hx04lylpilcwnfy"; })
+ (fetchNuGet { pname = "runtime.linux-arm.Microsoft.NETCore.DotNetHost"; version = "8.0.2"; sha256 = "16l4dzmqsjnppl8ra3dz3062na1324zqpibcb9kk6aliayzkwjmp"; })
+ (fetchNuGet { pname = "runtime.linux-arm.Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.2"; sha256 = "0qzqbpwa79qizj7yzmmk2kr1ibwdg0m104rp2ava2qp8c9mxx1lq"; })
+ (fetchNuGet { pname = "runtime.linux-arm.Microsoft.NETCore.DotNetHostResolver"; version = "8.0.2"; sha256 = "10k85lqnczpdnzw43ylkma0iv1wxzqv9x4pfr31zwfb5z5p3m7ja"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetAppHost"; version = "8.0.2"; sha256 = "0yd9vf8z1p264411p4y2aka4dnzhjvi7zhxc9dy6yfjwndlqfz03"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetHost"; version = "8.0.2"; sha256 = "1vhi86iwln4pv2k0v6xfx5rp2vk5l6l4p399rj63wmm928n3v2la"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.2"; sha256 = "0i7l7zw99nfq1s43d4cyhs9p5bx719x0q1fmlkp8am4mwga554kf"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetHostResolver"; version = "8.0.2"; sha256 = "1ny0hjyip2n9mv0iiv2rpikb3apk4cjhvcdi17xn6vf3m79xxbwi"; })
+ (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.NETCore.DotNetAppHost"; version = "8.0.2"; sha256 = "0cllix46qh7lxihkaaxhb3islwn8vqn5lkr4c8c3bynvyblskjvw"; })
+ (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.NETCore.DotNetHost"; version = "8.0.2"; sha256 = "1f20gw4sq0s8idysdbpgrdh5l8ik3lry0i3nq60km9z9n183svxd"; })
+ (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.2"; sha256 = "0c31vfab355bi27wlz18azpyir9y89nn8dcg43j074whc469q0vx"; })
+ (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.NETCore.DotNetHostResolver"; version = "8.0.2"; sha256 = "1xmy68m6vslqbl4njllgqscdslqj7xgkgjzpx4pq344mxh6r9agc"; })
+ (fetchNuGet { pname = "runtime.linux-x64.Microsoft.NETCore.DotNetAppHost"; version = "8.0.2"; sha256 = "0s93dmisai8wgjid697rgdx3lw2a0s0krr1gcnaav8jz9dg9i8lc"; })
+ (fetchNuGet { pname = "runtime.linux-x64.Microsoft.NETCore.DotNetHost"; version = "8.0.2"; sha256 = "0ikwfn1q8jkvzyx77b8ycm7k7004j2w8zgjzkf8kgyw55gy8xfjm"; })
+ (fetchNuGet { pname = "runtime.linux-x64.Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.2"; sha256 = "0gcwjjaw1lajqmwaji0x03w24721dczgnqrzqjw5ayjh8ib3dir2"; })
+ (fetchNuGet { pname = "runtime.linux-x64.Microsoft.NETCore.DotNetHostResolver"; version = "8.0.2"; sha256 = "1i6wijgpksz81hg01c2pwi06k413x6vni4x8v3y38jyazg7qkfp0"; })
+ (fetchNuGet { pname = "runtime.osx-x64.Microsoft.NETCore.DotNetAppHost"; version = "8.0.2"; sha256 = "0hsby9ssa974cqkcc29xrjrrqmxyhfkkssmmhrrimh46n7sxzqab"; })
+ (fetchNuGet { pname = "runtime.osx-x64.Microsoft.NETCore.DotNetHost"; version = "8.0.2"; sha256 = "0vwlfcpvbjhw0qmqnscnin75a5lb5llhzjizcp3nh5mjnkdghd8q"; })
+ (fetchNuGet { pname = "runtime.osx-x64.Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.2"; sha256 = "00kv6ijg6yway8km36yj7jq9y1p87iw8b8ysga66qv05y4fvjch1"; })
+ (fetchNuGet { pname = "runtime.osx-x64.Microsoft.NETCore.DotNetHostResolver"; version = "8.0.2"; sha256 = "05dz9mxc94y59y6ja05zamdp63qfdss831816y28kjjw4v4crz1q"; })
+ (fetchNuGet { pname = "runtime.win-arm64.Microsoft.NETCore.DotNetAppHost"; version = "8.0.2"; sha256 = "0qbm5zgvcwmmqlcj4jaixbw4a1zzyrf8ap81nlqjfdxp03bv9zqa"; })
+ (fetchNuGet { pname = "runtime.win-arm64.Microsoft.NETCore.DotNetHost"; version = "8.0.2"; sha256 = "1jsnxh1hgy7jrjhbz4kf6gq2x3smfx071cb2w1fa3a740h3i0f4m"; })
+ (fetchNuGet { pname = "runtime.win-arm64.Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.2"; sha256 = "1738mc91wy3yn2bf4srs2wxksd864hm565nmll396q6gw97a4df4"; })
+ (fetchNuGet { pname = "runtime.win-arm64.Microsoft.NETCore.DotNetHostResolver"; version = "8.0.2"; sha256 = "152jc4v2zxcax55vmd9xrsxq76q4cqpjlgrd1mfszipnngrlrc71"; })
+ (fetchNuGet { pname = "runtime.win-x64.Microsoft.NETCore.DotNetAppHost"; version = "8.0.2"; sha256 = "1z9fa5ryi23sn163j7jry45f64rxqkgv7v91r04b9cpb4hc1qgym"; })
+ (fetchNuGet { pname = "runtime.win-x64.Microsoft.NETCore.DotNetHost"; version = "8.0.2"; sha256 = "14qz0ypylcwldyjn1ins8syjzbqpmfsy4nfkzri12mfn0626qmn2"; })
+ (fetchNuGet { pname = "runtime.win-x64.Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.2"; sha256 = "136ss58j9wpxp6sj81mijlk32l2f6h81rvaq4l7x0s8wb9fzzbb5"; })
+ (fetchNuGet { pname = "runtime.win-x64.Microsoft.NETCore.DotNetHostResolver"; version = "8.0.2"; sha256 = "02562zc9nrkfwikzff7km6mixxb1qf632r60jpzykizgx6w0nrck"; })
+ (fetchNuGet { pname = "runtime.win-x86.Microsoft.NETCore.DotNetAppHost"; version = "8.0.2"; sha256 = "1sylbjvrr1jnlgd1215czr3xql2gdqy5h5sz7rnfq31hb1j5nc20"; })
+ (fetchNuGet { pname = "runtime.win-x86.Microsoft.NETCore.DotNetHost"; version = "8.0.2"; sha256 = "0ia1igli2r5gnli0r0yzqm012l56zrjf1jk42viahlil2ic3i144"; })
+ (fetchNuGet { pname = "runtime.win-x86.Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.2"; sha256 = "0h1kydv3dxnd9s32fd68x44jhc2pm79gv44mb7jf4227lr1dcxss"; })
+ (fetchNuGet { pname = "runtime.win-x86.Microsoft.NETCore.DotNetHostResolver"; version = "8.0.2"; sha256 = "1njywfwlq2785yk4b0114nzdb33zsgsmqj5fhpr6ii1crym649hl"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-musl-arm"; version = "8.0.2"; sha256 = "16lp15z1msadrhiqlwwp0ni9k0slp3am05gqs5bagzwk35mcn27q"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.osx-arm64"; version = "8.0.2"; sha256 = "1v8nngksh0cp51g221bizz52jjpc4rzm1avcy5psl81ywmkwmj93"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-musl-arm"; version = "8.0.2"; sha256 = "142s1ricyk351nqg298w5qlzd4scz8pc66x5mw9qh75vcyxsr83f"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.osx-arm64"; version = "8.0.2"; sha256 = "116rkq5ri5dbhp5g7zyc71ml2v92vb5bw5f3nx96llb1pqk74grh"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Ref"; version = "8.0.2"; sha256 = "1c2n7cfc7b6sjgk84hxppv57sh1n4dy49cmdd16ki1l6yl2f3j9d"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.linux-arm"; version = "8.0.2"; sha256 = "0c6v2mdfshy5966fl2pfkfhgfs8y1sd0r47lfx7d4igy933dqfga"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.linux-arm64"; version = "8.0.2"; sha256 = "1g8asdz9f3i0mjyh1mkxzfc6x8x77z0d88fa6irpyhh0w45qfccw"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.linux-musl-x64"; version = "8.0.2"; sha256 = "14djb55i8nwsr3170b82lr89dqxjghnkkghxxy2sl4d2bxw0bsfa"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.linux-x64"; version = "8.0.2"; sha256 = "0h0cc31c1izakpx554kivjqw3s5030a9zy3q4a2apwyj16znv2cw"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.osx-arm64"; version = "8.0.2"; sha256 = "18599d4y8n4y0w489pg7zm4nd4a23iz4zwx317pr5z57b4wrk61k"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.osx-x64"; version = "8.0.2"; sha256 = "04wvf035rr5kw6bj46ici8353lx5k95slydpm42kv1fcy3slqb4p"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.win-x64"; version = "8.0.2"; sha256 = "1adxkh9y3y9cxisrn52c75dmzgfkbnz9aqs2p97ln9qdxxvhzhc2"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.win-x86"; version = "8.0.2"; sha256 = "0721kp5l7k25ivi2sdxx12kjpddas5l6y5qjmfw8pjcyximhqn0b"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm.Microsoft.NETCore.DotNetAppHost"; version = "8.0.2"; sha256 = "1kkjmyhrnghihhfvm3qjrkrjbml2nqv8vyslj0g79pjanaqv3prs"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm.Microsoft.NETCore.DotNetHost"; version = "8.0.2"; sha256 = "1j5qhbgy9d1d89xcgdyjcnww0ziad846nd6x5l8fa109z8wvsnki"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm.Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.2"; sha256 = "12n0m0rbxp05ggrkxa9yr6kn46pnn3pc4c22p6kkv5ijyg8nhd74"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm.Microsoft.NETCore.DotNetHostResolver"; version = "8.0.2"; sha256 = "1mhwggjfpwssyzxl2mj3j9017xc8qwnw4xlm2rn96yfgsd1pxfpv"; })
+ (fetchNuGet { pname = "runtime.osx-arm64.Microsoft.NETCore.DotNetAppHost"; version = "8.0.2"; sha256 = "1nvis5p0gvymv6sdrmgpgg94sr2w3maskm0c3d8p861wfiwwh0hv"; })
+ (fetchNuGet { pname = "runtime.osx-arm64.Microsoft.NETCore.DotNetHost"; version = "8.0.2"; sha256 = "1vjrnga6inham84hggkx1kkpx4yn7v7z1xnwxas9lisxd0ych7k1"; })
+ (fetchNuGet { pname = "runtime.osx-arm64.Microsoft.NETCore.DotNetHostPolicy"; version = "8.0.2"; sha256 = "0rrblgydpz3yf5gj9kpjc8b17x739nzr1956pwwyarhvh9y0vqrd"; })
+ (fetchNuGet { pname = "runtime.osx-arm64.Microsoft.NETCore.DotNetHostResolver"; version = "8.0.2"; sha256 = "0xpsaxi54g0xac80gy5nv7qk5b513ak1s397b36vwg7mivwc4yhh"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-musl-arm"; version = "8.0.2"; sha256 = "1nm6ibys303xlawqibqygpg1gqc8wm1nxb6pl6vgwmp5w4q02r5h"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-musl-arm64"; version = "8.0.2"; sha256 = "0h6wwlz3mqb8758laczcaq7a0wmnmjf797dh5xwyiq50j1ss1mhw"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-musl-x64"; version = "8.0.2"; sha256 = "09id8hnx0s4x5qvmvifb6jhkfaxzj53yvhl84pvrr4wv4p6ns7cm"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-arm"; version = "8.0.2"; sha256 = "0cg7b57fysgw809m77nb9dqr56g48ya6bjlh7x880ih5b76bnlak"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-arm64"; version = "8.0.2"; sha256 = "1rqr95ix3khc7mbaji520l2vv8vjbrg8zzpv6h1i3p3rdbzjm3l2"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-x64"; version = "8.0.2"; sha256 = "0kzvyghyj95p2qxidp1g8nx5d9qd7wlchpg1a5dqbpv9skljdn7m"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.osx-x64"; version = "8.0.2"; sha256 = "0hmk25bvlpn3sfx4vlvysj2myx4dd8fc2pv3gmhfgb2y01dnswjh"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.osx-arm64"; version = "8.0.2"; sha256 = "1z76l5mpvik3517lcl3qygsfsws4yp37j37sslb4sq7gls4aa0w2"; })
+ (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.DotNet.ILCompiler"; version = "8.0.2"; sha256 = "1kjlc67bqz7d04ga42l7jm9d3jm773a9i77zc5w7cd591wa8vbbv"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.DotNet.ILCompiler"; version = "8.0.2"; sha256 = "0bx7jv4q8dapx6fb6dbk1im057qmk43isvzygp5ci6nd07p419qf"; })
+ (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.DotNet.ILCompiler"; version = "8.0.2"; sha256 = "1nf6m85f10j5qcyk0w18qxd06n79w0jvnifis08shdsq1isz403z"; })
+ (fetchNuGet { pname = "runtime.linux-x64.Microsoft.DotNet.ILCompiler"; version = "8.0.2"; sha256 = "0pl0w114qrlb8bv6d4jw1gv29dz2cs86y3r0nj5z2fxd1r30khym"; })
+ (fetchNuGet { pname = "runtime.osx-x64.Microsoft.DotNet.ILCompiler"; version = "8.0.2"; sha256 = "05bs32vhcvpd1dbvmk1rgqm2swp4gn5yv4mwfsisa4q5qi2xlaza"; })
+ (fetchNuGet { pname = "runtime.win-arm64.Microsoft.DotNet.ILCompiler"; version = "8.0.2"; sha256 = "12q0adp0hakl9qrf4bqzkvfsy4az55im6sm1nv7g3k5q4vwkqh30"; })
+ (fetchNuGet { pname = "runtime.win-x64.Microsoft.DotNet.ILCompiler"; version = "8.0.2"; sha256 = "1k1iwpsranma2mrljfz9yr63pxbv5l9j4n0zmancbsxlhx31m30s"; })
+ (fetchNuGet { pname = "Microsoft.NET.ILLink.Tasks"; version = "8.0.2"; sha256 = "1fd7ws4qf0354np3lvd735p5r1mdj3zy6gbmv5fzz5cx2bdlplwy"; })
];
};
}
diff --git a/pkgs/development/compilers/dotnet/versions/9.0.nix b/pkgs/development/compilers/dotnet/versions/9.0.nix
new file mode 100644
index 000000000000..530a7f65075c
--- /dev/null
+++ b/pkgs/development/compilers/dotnet/versions/9.0.nix
@@ -0,0 +1,143 @@
+{ buildAspNetCore, buildNetRuntime, buildNetSdk }:
+
+# v9.0 (preview)
+{
+ aspnetcore_9_0 = buildAspNetCore {
+ version = "9.0.0-preview.1.24081.5";
+ srcs = {
+ x86_64-linux = {
+ url = "https://download.visualstudio.microsoft.com/download/pr/14b2b268-4d58-4f7b-9708-46c5a0a5b868/3cfbd27c7e2aabc0ca70f474709a4767/aspnetcore-runtime-9.0.0-preview.1.24081.5-linux-x64.tar.gz";
+ sha512 = "29bfe0b5b72608eba97151909308a67a47dc299902a46bf1a22d67bb5f8a0c87c6f4533c0c2d4679f9440f9ccccf549c434a4280c101f7633bdbdcf049c95817";
+ };
+ aarch64-linux = {
+ url = "https://download.visualstudio.microsoft.com/download/pr/3f2586f3-89fd-44ad-aae2-4c241f72996f/f973c7140305733792dd25b466e37606/aspnetcore-runtime-9.0.0-preview.1.24081.5-linux-arm64.tar.gz";
+ sha512 = "118967e64995d7c242738bf806928ecc52cfae3b0e0429a6951047eaf37d27bdde0adc0c6dc74e32d61b69565f7666cbfd4658396c37988e5d343debcc15bdf6";
+ };
+ x86_64-darwin = {
+ url = "https://download.visualstudio.microsoft.com/download/pr/2e9a9af2-f1dd-467a-85f3-430f5142bf0b/6ce0853ee69a127bb767270a737f6467/aspnetcore-runtime-9.0.0-preview.1.24081.5-osx-x64.tar.gz";
+ sha512 = "3ed80631a3ca0a4684a70fc0f17d46257a63cc71c7497c958accb4d329eff4a7c832a29c028b608798fbed0b82e2c5b7d5533c57dff2188d4142559b57341192";
+ };
+ aarch64-darwin = {
+ url = "https://download.visualstudio.microsoft.com/download/pr/a407f4d8-183b-45c9-8153-c889c10630b9/2388fbcc5171e20d05abeb301027df2e/aspnetcore-runtime-9.0.0-preview.1.24081.5-osx-arm64.tar.gz";
+ sha512 = "09746054c291b10bacf3fba8ad147443fd41f42b6b04d9559281bc7d919ddc56ebe7402021997f6f24b745b3292368719cc2142d0eebba76226c5603545b6743";
+ };
+ };
+ };
+
+ runtime_9_0 = buildNetRuntime {
+ version = "9.0.0-preview.1.24080.9";
+ srcs = {
+ x86_64-linux = {
+ url = "https://download.visualstudio.microsoft.com/download/pr/5bcb417b-0de3-461c-9ce2-a9ddd5df1aff/73e36aaa7c2e381724a2adac149eb376/dotnet-runtime-9.0.0-preview.1.24080.9-linux-x64.tar.gz";
+ sha512 = "68f0b89227c8e0b3239477409708c1b0c5cc7d80afd6661dc2150946c66e2130cf560c2471609f0fd063f01ca1d8e72f74beec45ecb519cf58f1cdc434615054";
+ };
+ aarch64-linux = {
+ url = "https://download.visualstudio.microsoft.com/download/pr/7d911f96-acdc-4f5f-b283-cae6d6439bfd/f9e1c8d283ffd1d2e40346926a9c37bc/dotnet-runtime-9.0.0-preview.1.24080.9-linux-arm64.tar.gz";
+ sha512 = "265b7bf094730be765bdaadec3215c1a7c51bff6fb18bb51cff383473e32d1ba821b6d046e0f7fa864400dc5cb68e35943057f5b6ae6e8c411375fc15fdbaf3c";
+ };
+ x86_64-darwin = {
+ url = "https://download.visualstudio.microsoft.com/download/pr/0307fdd7-b398-4e90-a88b-574d853b769b/ab8938a35b03d8308a7a16331fa65cfa/dotnet-runtime-9.0.0-preview.1.24080.9-osx-x64.tar.gz";
+ sha512 = "f644ce6ee158bd86a4aba21bdd955a3aebb0367b5af618b6e77dc85922bc790b9c33b572606a15f566b2729a90923f66a933159124e803494105a695c890b775";
+ };
+ aarch64-darwin = {
+ url = "https://download.visualstudio.microsoft.com/download/pr/079214b6-0ce6-4d6f-a0ac-9bd9072dad0f/14b558eb20224c345f78ea80f7029e11/dotnet-runtime-9.0.0-preview.1.24080.9-osx-arm64.tar.gz";
+ sha512 = "63bf6a57f61c4dcf4e0cdcedb8ff6c76cb702a95d4e0033f17b4cd2a3e800e73ab16c401fb098416404ea5716c725c175f9422250b2a8816c08eed2702cd38e5";
+ };
+ };
+ };
+
+ sdk_9_0 = buildNetSdk {
+ version = "9.0.100-preview.1.24101.2";
+ srcs = {
+ x86_64-linux = {
+ url = "https://download.visualstudio.microsoft.com/download/pr/f51b05d4-bc43-4290-9b33-aaa212edbba6/e10559d91242409faf5c37cb529de8f3/dotnet-sdk-9.0.100-preview.1.24101.2-linux-x64.tar.gz";
+ sha512 = "e176126d9a12075d91a0ad2b4dd50021a564258742d86560bd216ac36482c763087bd8affc68fe9a8d3c46f61f864bc2c7c2e455739d21614516c4f73fd281fd";
+ };
+ aarch64-linux = {
+ url = "https://download.visualstudio.microsoft.com/download/pr/e8743929-2c7b-4410-88f5-5f247040b498/ff454c589dc8d5dd9cb42e0950f34a69/dotnet-sdk-9.0.100-preview.1.24101.2-linux-arm64.tar.gz";
+ sha512 = "b7c29e4e4baf2d2ba7b29fc5a080df708c5a915e6fb1ce2ff93ffc8f18e7725fae5d569ab1349ef4b067d05d00886a17c8d1a95e211602db1ee5da820b5edefd";
+ };
+ x86_64-darwin = {
+ url = "https://download.visualstudio.microsoft.com/download/pr/9956af63-be37-43be-a854-01f3a95e12fe/60d97a3f4f53b33376b8df055a14cf39/dotnet-sdk-9.0.100-preview.1.24101.2-osx-x64.tar.gz";
+ sha512 = "90c6709c54c0f9f4d7100bbf9c3b8136b6468617034c23f6a60dc17092e311539d54b741e149b70f1b6a6e2c6be0aacc948d4c72abac724f47d5ea05e02a2939";
+ };
+ aarch64-darwin = {
+ url = "https://download.visualstudio.microsoft.com/download/pr/cd991bbf-8952-4bd1-83d4-33eb1a810939/3662095e14f91f43c2b3a7e6c55666fa/dotnet-sdk-9.0.100-preview.1.24101.2-osx-arm64.tar.gz";
+ sha512 = "901835cfc277c626d38c7a2bc1a6704115d240812631cd32f4b51833b41ddcd3a4a169a1bbda42a9446eb33b2337f6a8c6410bc3d1bae557c8898d427e2fc8c1";
+ };
+ };
+ packages = { fetchNuGet }: [
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-arm"; version = "9.0.0-preview.1.24081.5"; sha256 = "1li21dfibwmzrcnf1jlaybhrxjk3cb9vqyy700s5bqwcw69px7dh"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-arm64"; version = "9.0.0-preview.1.24081.5"; sha256 = "1gnilvb9ys76snhlv2vnv3h6xp9a6hb6pjjvlaawvl71y2cpjy4q"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-musl-arm64"; version = "9.0.0-preview.1.24081.5"; sha256 = "05gvwi0i1s3rsi43cb3v8cd2pzr0qzccq8vhf4wm9rj63j0flz9y"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-musl-x64"; version = "9.0.0-preview.1.24081.5"; sha256 = "0ivnyyjd38fwma2426bxxnbm033ai9f857n04gs73vybm3fs0f4v"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-x64"; version = "9.0.0-preview.1.24081.5"; sha256 = "1bc5bh3qfsx4xdsmr6a6lxc58kqb7y68w12r872zfq5isz5hpq75"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.osx-x64"; version = "9.0.0-preview.1.24081.5"; sha256 = "1lqwczkgi972clvplj8clcdprlh5ydjsdv7343k3f1i32g8s57cq"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.win-arm64"; version = "9.0.0-preview.1.24081.5"; sha256 = "1z5fplrqr4k2lddjk2yzjxd398v21w2lxwb5q3hc2ll65f6grmhz"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.win-x64"; version = "9.0.0-preview.1.24081.5"; sha256 = "15zl1ah878wdd4xxywj1kj110vjizmyvbnyq3fgb87cq9rl4b7fi"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.win-x86"; version = "9.0.0-preview.1.24081.5"; sha256 = "0cqx20qpy35nc6hyzi8j2l96bliia5ks9dkvbjx5cykhar2bnqrl"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Ref"; version = "9.0.0-preview.1.24081.5"; sha256 = "0nfzz7ibpmrsmzivs8ja7w8qw103bv34d69jw1704m5ha4ybca8v"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.linux-musl-arm"; version = "9.0.0-preview.1.24081.5"; sha256 = "0a7sj4f6b9337xxdr1ckrnmar189bg8j6zx82qi6vppwsiwsgqz0"; })
+ (fetchNuGet { pname = "Microsoft.AspNetCore.App.Runtime.osx-arm64"; version = "9.0.0-preview.1.24081.5"; sha256 = "06s1zpp5l3x7fxbrm78bnq26p1wfqn2282py7f26d3fn6hx692gg"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-arm"; version = "9.0.0-preview.1.24080.9"; sha256 = "1qh7988cdbvmr7zqfwidpw8fx7hhjym1cfsyxf2hvzv7srbkhmr4"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-arm64"; version = "9.0.0-preview.1.24080.9"; sha256 = "13x14l513qhgs2dspkqkmxy6f0jr8ajsvkpm0apcs3xp2yz4p761"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-musl-arm64"; version = "9.0.0-preview.1.24080.9"; sha256 = "14azy981h13ngwipn2k2nf0p09sqmv0j79xpg2nv1hrznwxvfckk"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-musl-x64"; version = "9.0.0-preview.1.24080.9"; sha256 = "1qssmhwlpp0hcbml2vh2flxhmmmvnllvkgczbrv7pmq0h38r3ydl"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-x64"; version = "9.0.0-preview.1.24080.9"; sha256 = "1ql0prr6md6dm3dgxxyfvlw773klnlhd2hk6r73fd0s68xkd1qr2"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.osx-x64"; version = "9.0.0-preview.1.24080.9"; sha256 = "0a6dl6m93xx9c0yx574zx4cfyvvxaj41yw43d2g3sclsrrn4b9pp"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.win-arm64"; version = "9.0.0-preview.1.24080.9"; sha256 = "0962lnkfdp6bv7rv4ji5wsrcs0hjjp4yzlnf4hmz90mqd3i05w4p"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.win-x64"; version = "9.0.0-preview.1.24080.9"; sha256 = "1dxdax2z2bdwlwjm6nxiwk252d0fi9rdx0rhx7d8vam5gm336mhr"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.win-x86"; version = "9.0.0-preview.1.24080.9"; sha256 = "1d9pfs06hip5d80zsmgbsfffbzmdmc29h7bl92cqf3p5hkj53zf7"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-arm"; version = "9.0.0-preview.1.24080.9"; sha256 = "0nsdhf5pr2id2i3l7fhqn24d234isfd0kv3dr89pgfh3zprs67zd"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-arm64"; version = "9.0.0-preview.1.24080.9"; sha256 = "0nzzf2m4wy66cyp0by0594xdq090b2rzhz6f9yadf3mj3427v9lr"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-musl-arm64"; version = "9.0.0-preview.1.24080.9"; sha256 = "1sk76h47fdbp2dlh9gqd89fa9gdhycsq1im6l2va30x9yj4909qa"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-musl-x64"; version = "9.0.0-preview.1.24080.9"; sha256 = "0dchamrhqb4bml9p1dhq92wykm6b3swqnqax8ficnxpy0i2qd01q"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-x64"; version = "9.0.0-preview.1.24080.9"; sha256 = "0k235sbrrx3hyl13qvri779l20l9bl2534g8rxl5gvxicfjz25kp"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.osx-x64"; version = "9.0.0-preview.1.24080.9"; sha256 = "1sn8k2isahx2vkiwb94ry4cs96f71y2q8x5p6k8zql9j1v7vqaij"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.win-arm64"; version = "9.0.0-preview.1.24080.9"; sha256 = "05448mdb2sqvxlfipfaj3zjc4pkn2q55jxjyynhg94v0rxssm8fx"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.win-x64"; version = "9.0.0-preview.1.24080.9"; sha256 = "1xj7hs7v76fjyr4lldipf8wslxf36a9l8g2czpsz3bjfcaydj1nb"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.win-x86"; version = "9.0.0-preview.1.24080.9"; sha256 = "0g9zgql716iwh76jp9bj5h0jp935chnxv5ah0i7fa4g4k84y45ww"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.DotNetAppHost"; version = "9.0.0-preview.1.24080.9"; sha256 = "122nia5gmq0riqdpgrz3aqfm7yp02xn0lxpljmah682h06mynvl7"; })
+ (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.NETCore.DotNetAppHost"; version = "9.0.0-preview.1.24080.9"; sha256 = "0clh5mphnai7xqb4f9r0dn23i6n4h73xf1hxi3hmxw6zjnplngpj"; })
+ (fetchNuGet { pname = "runtime.linux-arm.Microsoft.NETCore.DotNetAppHost"; version = "9.0.0-preview.1.24080.9"; sha256 = "141ffygbqny0x6k4lkndfi2z545c2r41rlc5hjw8b2sy99rfpjny"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.NETCore.DotNetAppHost"; version = "9.0.0-preview.1.24080.9"; sha256 = "0ddl3kgff8b3np6axnl7gyhhzwic6vhy0b5bz4a0dvapwlpjb0a6"; })
+ (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.NETCore.DotNetAppHost"; version = "9.0.0-preview.1.24080.9"; sha256 = "1vmpl25sp4hrkx6hrrqnp0ifqr9w9vdim4sb5igflwggdmdyqlif"; })
+ (fetchNuGet { pname = "runtime.linux-x64.Microsoft.NETCore.DotNetAppHost"; version = "9.0.0-preview.1.24080.9"; sha256 = "0id7j171maci60wnvy41gibl4x9fn8gl6cg787dy4a9rnn2452m6"; })
+ (fetchNuGet { pname = "runtime.osx-x64.Microsoft.NETCore.DotNetAppHost"; version = "9.0.0-preview.1.24080.9"; sha256 = "0d0ynkczks7birpj5n3bka1fh998syci3h30wdqh68b00svqfvd2"; })
+ (fetchNuGet { pname = "runtime.win-arm64.Microsoft.NETCore.DotNetAppHost"; version = "9.0.0-preview.1.24080.9"; sha256 = "1hbm9ng1j2gia5rpynmhyk404041bbkdc6y483n4wzyyl538b6wq"; })
+ (fetchNuGet { pname = "runtime.win-x64.Microsoft.NETCore.DotNetAppHost"; version = "9.0.0-preview.1.24080.9"; sha256 = "0k24rw258glp3mihxwb0r2pnp6a4bcsjb21asa9jsa1w2dsvlisf"; })
+ (fetchNuGet { pname = "runtime.win-x86.Microsoft.NETCore.DotNetAppHost"; version = "9.0.0-preview.1.24080.9"; sha256 = "1plgpd6q1q8xifr40hv67amvmq4sl9hirh1r2i7rd2bybga301fs"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.linux-musl-arm"; version = "9.0.0-preview.1.24080.9"; sha256 = "122wyzf8ky7h6qhaj4nhffgb51xnix9fhh1niqcli0j7n8qcx6p1"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Host.osx-arm64"; version = "9.0.0-preview.1.24080.9"; sha256 = "0af0fpwv3vk0v8448kl3z13xs3063qm7901wx919qmb4a9gkw6x2"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.linux-musl-arm"; version = "9.0.0-preview.1.24080.9"; sha256 = "06zh10z4ij5rsnnvsbixp99y5ss6jsb5yy78il5axby9kny75r0p"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.osx-arm64"; version = "9.0.0-preview.1.24080.9"; sha256 = "0p9rnp355q9hbl88wjkx4cjkagwz87ggxnrc823qkcxxkf56wscj"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Ref"; version = "9.0.0-preview.1.24080.9"; sha256 = "1gbb7h757ilffgg35smqa890rzz0667nml2ybdrii2a35prh537b"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.linux-arm"; version = "9.0.0-preview.1.24080.9"; sha256 = "1n8q387aqj7vr7frlmwj8ikm67kamlk5fdj788cpspg4pr473g5y"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.linux-arm64"; version = "9.0.0-preview.1.24080.9"; sha256 = "19sc62mm0abmzr55nmk32ygxfqbc2jm0xydsap714b2hgl3b4lq3"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.linux-musl-x64"; version = "9.0.0-preview.1.24080.9"; sha256 = "0602a6qybccsyif6qd6k8xyrkwd4vw8yj12jl910vj2ba0anzhjp"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.linux-x64"; version = "9.0.0-preview.1.24080.9"; sha256 = "0fgn04vzb1821ii7d2k4zzhf0k4x4zkz01c90z0dsazjna80nyav"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.osx-arm64"; version = "9.0.0-preview.1.24080.9"; sha256 = "0868zrfwa4lhqrq5nxc97n0i36w97ydl8k97w25d6iqlbs43pq4r"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.osx-x64"; version = "9.0.0-preview.1.24080.9"; sha256 = "0a1c9f9nwwlai7lzg331as5rnzk2wrnks9wx0azh4z4l6sag5r9v"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.win-x64"; version = "9.0.0-preview.1.24080.9"; sha256 = "1xlfqpnkkxbsw3wylvxcy406lkb3qlfn6kisa6l50zxklxw11xsv"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Runtime.Mono.win-x86"; version = "9.0.0-preview.1.24080.9"; sha256 = "1shnmvbmf0rl7ch92sf561hrwvahgiicral5m6wrhifnnzadjajv"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm.Microsoft.NETCore.DotNetAppHost"; version = "9.0.0-preview.1.24080.9"; sha256 = "02dq65n6kcpbkk38ic4g1rq38qfhxj7rx5aj2174qs9kq477rmsm"; })
+ (fetchNuGet { pname = "runtime.osx-arm64.Microsoft.NETCore.DotNetAppHost"; version = "9.0.0-preview.1.24080.9"; sha256 = "1xqpfdgsdzafyfds021cl1sc5bcg146gg1hn7xln68j965im02yr"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-musl-arm"; version = "9.0.0-preview.1.24080.9"; sha256 = "17yp1b13l3b3arivms1b2ydg8mlysyjqnk50b2qfhp5l3i0y1sqr"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-musl-arm64"; version = "9.0.0-preview.1.24080.9"; sha256 = "191qbl3zjwghwjlv958cdv0cslz4xkhb1k3cq7bi071zlmvs6yph"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-musl-x64"; version = "9.0.0-preview.1.24080.9"; sha256 = "05ay8n84f1f7vrhv71z6zjn7qm5vjgqn6flk9h6k4c1ld9w2nx8l"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-arm"; version = "9.0.0-preview.1.24080.9"; sha256 = "1a01xb92qgg0304z9z7xllnawi73b3pp2aczvchjsyj94ifx07zb"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-arm64"; version = "9.0.0-preview.1.24080.9"; sha256 = "0mjl5l56a2kdcdnjnmaaw4rw0ijfcffq7qjcva1n6fzfzw45pdpl"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.linux-x64"; version = "9.0.0-preview.1.24080.9"; sha256 = "06hdjvnmqnxi621s2fg4n60sipa5660g2hik0pnmyppcp9218n5z"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.osx-x64"; version = "9.0.0-preview.1.24080.9"; sha256 = "0y9506n271qaqvkasmfqnk83bxgi53hzcwdn6dqlkbdh2drsq7f4"; })
+ (fetchNuGet { pname = "Microsoft.NETCore.App.Crossgen2.osx-arm64"; version = "9.0.0-preview.1.24080.9"; sha256 = "133rjr7cd18srl4d7rp3kadbwczwb8kj2z46hhim5v4zz3w3hk15"; })
+ (fetchNuGet { pname = "runtime.linux-arm64.Microsoft.DotNet.ILCompiler"; version = "9.0.0-preview.1.24080.9"; sha256 = "1cv4prjb7qij28j8qv5psgxjkfwhgcpkgwq56c8c1lajzabyblgw"; })
+ (fetchNuGet { pname = "runtime.linux-musl-arm64.Microsoft.DotNet.ILCompiler"; version = "9.0.0-preview.1.24080.9"; sha256 = "09qs0xzv91lscwarjgr089mx8sgrqhafi5r38gvr1q2brpgz13qi"; })
+ (fetchNuGet { pname = "runtime.linux-musl-x64.Microsoft.DotNet.ILCompiler"; version = "9.0.0-preview.1.24080.9"; sha256 = "1yby7m761232izvi60m13b2w4ar0ww4r8g9q61glgac36lqgbw0b"; })
+ (fetchNuGet { pname = "runtime.linux-x64.Microsoft.DotNet.ILCompiler"; version = "9.0.0-preview.1.24080.9"; sha256 = "1ms7rv2ww8cdrzr6vq5rr7ysac4znfbyp8c6sd887vy2a6g5kc9p"; })
+ (fetchNuGet { pname = "runtime.osx-x64.Microsoft.DotNet.ILCompiler"; version = "9.0.0-preview.1.24080.9"; sha256 = "13ra7s6hhfi8yszcf45c3wml1jhjjrh6r49linpwaz8p71rpr748"; })
+ (fetchNuGet { pname = "runtime.win-arm64.Microsoft.DotNet.ILCompiler"; version = "9.0.0-preview.1.24080.9"; sha256 = "13411aghpjxkip2ddq0pkg7b4gmy1qr9migfbpd2nc7287gngd72"; })
+ (fetchNuGet { pname = "runtime.win-x64.Microsoft.DotNet.ILCompiler"; version = "9.0.0-preview.1.24080.9"; sha256 = "16dw2qixs6bn16inplwc57x4kbwkd0amaqzhsrf1wsaajal4fh5q"; })
+ (fetchNuGet { pname = "Microsoft.NET.ILLink.Tasks"; version = "9.0.0-preview.1.24080.9"; sha256 = "0sxas6zxpfwrz6bvkw9jyraprksvrgm92hccispxp7yh8mjkhcr0"; })
+ ];
+ };
+}
diff --git a/pkgs/development/compilers/dotnet/vmr.nix b/pkgs/development/compilers/dotnet/vmr.nix
new file mode 100644
index 000000000000..962c0c0844a5
--- /dev/null
+++ b/pkgs/development/compilers/dotnet/vmr.nix
@@ -0,0 +1,347 @@
+{ clangStdenv
+, stdenvNoCC
+, lib
+, fetchurl
+, fetchFromGitHub
+, dotnetCorePackages
+, jq
+, curl
+, git
+, cmake
+, pkg-config
+, llvm
+, zlib
+, icu
+, lttng-ust_2_12
+, libkrb5
+, glibcLocales
+, ensureNewerSourcesForZipFilesHook
+, darwin
+, xcbuild
+, swiftPackages
+, openssl
+, getconf
+, makeWrapper
+, python3
+, xmlstarlet
+, callPackage
+
+, dotnetSdk
+, releaseManifestFile
+, tarballHash
+}:
+
+let
+ stdenv = if clangStdenv.isDarwin
+ then swiftPackages.stdenv
+ else clangStdenv;
+
+ inherit (stdenv)
+ isLinux
+ isDarwin
+ buildPlatform
+ targetPlatform;
+ inherit (darwin) cctools;
+ inherit (swiftPackages) apple_sdk swift;
+
+ releaseManifest = lib.importJSON releaseManifestFile;
+ inherit (releaseManifest) release sourceRepository tag;
+
+ buildRid = dotnetCorePackages.systemToDotnetRid buildPlatform.system;
+ targetRid = dotnetCorePackages.systemToDotnetRid targetPlatform.system;
+ targetArch = lib.elemAt (lib.splitString "-" targetRid) 1;
+
+ sigtool = callPackage ./sigtool.nix {};
+
+ # we need dwarfdump from cctools, but can't have e.g. 'ar' overriding stdenv
+ dwarfdump = stdenvNoCC.mkDerivation {
+ name = "dwarfdump-wrapper";
+ dontUnpack = true;
+ installPhase = ''
+ mkdir -p "$out/bin"
+ ln -s "${cctools}/bin/dwarfdump" "$out/bin"
+ '';
+ };
+
+ _icu = if isDarwin then darwin.ICU else icu;
+
+in stdenv.mkDerivation rec {
+ pname = "dotnet-vmr";
+ version = release;
+
+ # TODO: fix this in the binary sdk packages
+ preHook = lib.optionalString stdenv.isDarwin ''
+ addToSearchPath DYLD_LIBRARY_PATH "${_icu}/lib"
+ export DYLD_LIBRARY_PATH
+ '';
+
+ src = fetchurl {
+ url = "${sourceRepository}/archive/refs/tags/${tag}.tar.gz";
+ hash = tarballHash;
+ };
+
+ nativeBuildInputs = [
+ ensureNewerSourcesForZipFilesHook
+ jq
+ curl.bin
+ git
+ cmake
+ pkg-config
+ python3
+ xmlstarlet
+ ]
+ ++ lib.optionals isDarwin [
+ getconf
+ ];
+
+ buildInputs = [
+ # this gets copied into the tree, but we still want the hooks to run
+ dotnetSdk
+ # the propagated build inputs in llvm.dev break swift compilation
+ llvm.out
+ zlib
+ _icu
+ openssl
+ ]
+ ++ lib.optionals isLinux [
+ libkrb5
+ lttng-ust_2_12
+ ]
+ ++ lib.optionals isDarwin (with apple_sdk.frameworks; [
+ xcbuild.xcrun
+ swift
+ (libkrb5.overrideAttrs (old: {
+ # the propagated build inputs break swift compilation
+ buildInputs = old.buildInputs ++ old.propagatedBuildInputs;
+ propagatedBuildInputs = [];
+ }))
+ dwarfdump
+ sigtool
+ Foundation
+ CoreFoundation
+ CryptoKit
+ System
+ ]);
+
+ # This is required to fix the error:
+ # > CSSM_ModuleLoad(): One or more parameters passed to a function were not valid.
+ # The error occurs during
+ # AppleCryptoNative_X509ImportCollection -> ReadX509 -> SecItemImport
+ # while importing trustedroots/codesignctl.pem. This happens during any dotnet
+ # restore operation.
+ # Enabling com.apple.system.opendirectoryd.membership causes swiftc to use
+ # /var/folders for its default cache path, so the swiftc -module-cache-path
+ # patch below is required.
+ sandboxProfile = ''
+ (allow file-read* (subpath "/private/var/db/mds/system"))
+ (allow mach-lookup (global-name "com.apple.SecurityServer")
+ (global-name "com.apple.system.opendirectoryd.membership"))
+ '';
+
+ patches = [
+ ./fix-aspnetcore-portable-build.patch
+ ./fix-tmp-path.patch
+ ]
+ ++ lib.optionals isDarwin [
+ ./stop-passing-bare-sdk-arg-to-swiftc.patch
+ ];
+
+ postPatch = ''
+ # set the sdk version in global.json to match the bootstrap sdk
+ jq '(.tools.dotnet=$dotnet)' global.json --arg dotnet "$(${dotnetSdk}/bin/dotnet --version)" > global.json~
+ mv global.json{~,}
+
+ patchShebangs $(find -name \*.sh -type f -executable)
+
+ # I'm not sure why this is required, but these files seem to use the wrong
+ # property name.
+ # TODO: not needed in 9.0?
+ [[ ! -f src/xliff-tasks/eng/Versions.props ]] || \
+ sed -i 's:\bVersionBase\b:VersionPrefix:g' \
+ src/xliff-tasks/eng/Versions.props
+
+ # at least in 9.0 preview 1, this package depends on a specific beta build
+ # of System.CommandLine
+ xmlstarlet ed \
+ --inplace \
+ -s //Project -t elem -n PropertyGroup \
+ -s \$prev -t elem -n NoWarn -v '$(NoWarn);NU1603' \
+ src/nuget-client/src/NuGet.Core/NuGet.CommandLine.XPlat/NuGet.CommandLine.XPlat.csproj
+
+ # AD0001 crashes intermittently in source-build-reference-packages with
+ # CSC : error AD0001: Analyzer 'Microsoft.NetCore.CSharp.Analyzers.Runtime.CSharpDetectPreviewFeatureAnalyzer' threw an exception of type 'System.NullReferenceException' with message 'Object reference not set to an instance of an object.'.
+ # possibly related to https://github.com/dotnet/runtime/issues/90356
+ xmlstarlet ed \
+ --inplace \
+ -s //Project -t elem -n PropertyGroup \
+ -s \$prev -t elem -n NoWarn -v '$(NoWarn);AD0001' \
+ src/source-build-reference-packages/src/referencePackages/Directory.Build.props
+
+ # https://github.com/microsoft/ApplicationInsights-dotnet/issues/2848
+ xmlstarlet ed \
+ --inplace \
+ -u //_:Project/_:PropertyGroup/_:BuildNumber -v 0 \
+ src/source-build-externals/src/application-insights/.props/_GlobalStaticVersion.props
+
+ # this fixes compile errors with clang 15 (e.g. darwin)
+ substituteInPlace \
+ src/runtime/src/native/libs/CMakeLists.txt \
+ --replace-fail 'add_compile_options(-Weverything)' 'add_compile_options(-Wall)'
+
+ # strip native symbols in runtime
+ # see: https://github.com/dotnet/source-build/issues/2543
+ xmlstarlet ed \
+ --inplace \
+ -s //Project -t elem -n PropertyGroup \
+ -s \$prev -t elem -n KeepNativeSymbols -v false \
+ src/runtime/Directory.Build.props
+ ''
+ + lib.optionalString isLinux ''
+ substituteInPlace \
+ src/runtime/src/native/libs/System.Security.Cryptography.Native/opensslshim.c \
+ --replace-fail '"libssl.so"' '"${openssl.out}/lib/libssl.so"'
+
+ substituteInPlace \
+ src/runtime/src/native/libs/System.Net.Security.Native/pal_gssapi.c \
+ --replace-fail '"libgssapi_krb5.so.2"' '"${libkrb5}/lib/libgssapi_krb5.so.2"'
+
+ substituteInPlace \
+ src/runtime/src/native/libs/System.Globalization.Native/pal_icushim.c \
+ --replace-fail '"libicui18n.so"' '"${icu}/lib/libicui18n.so"' \
+ --replace-fail '"libicuuc.so"' '"${icu}/lib/libicuuc.so"'
+
+ # TODO: we should really make sure the first one (9.0) or the rest (8.0)
+ # works, but --replace-fail results in an empty file
+ substituteInPlace \
+ src/runtime/src/native/libs/System.Globalization.Native/pal_icushim.c \
+ --replace-warn '#define VERSIONED_LIB_NAME_LEN 64' '#define VERSIONED_LIB_NAME_LEN 256' \
+ --replace-warn 'libicuucName[64]' 'libicuucName[256]' \
+ --replace-warn 'libicui18nName[64]' 'libicui18nName[256]'
+ ''
+ + lib.optionalString isDarwin ''
+ substituteInPlace \
+ src/runtime/src/mono/CMakeLists.txt \
+ src/runtime/src/native/libs/System.Globalization.Native/CMakeLists.txt \
+ --replace-fail '/usr/lib/libicucore.dylib' '${darwin.ICU}/lib/libicucore.dylib'
+
+ substituteInPlace \
+ src/runtime/src/installer/managed/Microsoft.NET.HostModel/HostModelUtils.cs \
+ src/sdk/src/Tasks/Microsoft.NET.Build.Tasks/targets/Microsoft.NET.Sdk.targets \
+ --replace-fail '/usr/bin/codesign' '${sigtool}/bin/codesign'
+
+ # [...]/build.proj(123,5): error : Did not find PDBs for the following SDK files:
+ # [...]/build.proj(123,5): error : sdk/8.0.102/System.Resources.Extensions.dll
+ # [...]/build.proj(123,5): error : sdk/8.0.102/System.CodeDom.dll
+ # [...]/build.proj(123,5): error : sdk/8.0.102/FSharp/System.Resources.Extensions.dll
+ # [...]/build.proj(123,5): error : sdk/8.0.102/FSharp/System.CodeDom.dll
+ substituteInPlace \
+ build.proj \
+ --replace-warn 'FailOnMissingPDBs="true"' 'FailOnMissingPDBs="false"'
+
+ # [...]/installer.singlerid.targets(434,5): error MSB3073: The command "pkgbuild [...]" exited with code 127
+ xmlstarlet ed \
+ --inplace \
+ -s //Project -t elem -n PropertyGroup \
+ -s \$prev -t elem -n InnerBuildArgs -v '$(InnerBuildArgs) /p:SkipInstallerBuild=true' \
+ src/runtime/eng/SourceBuild.props
+
+ # fixes swift errors, see sandboxProfile
+ # :0: error: unable to open output file '/var/folders/[...]/C/clang/ModuleCache/[...]/SwiftShims-[...].pcm': 'Operation not permitted'
+ # :0: error: could not build Objective-C module 'SwiftShims'
+ substituteInPlace \
+ src/runtime/src/native/libs/System.Security.Cryptography.Native.Apple/CMakeLists.txt \
+ --replace-fail 'xcrun swiftc' 'xcrun swiftc -module-cache-path "$ENV{HOME}/.cache/module-cache"'
+ '';
+
+ prepFlags = [
+ "--no-artifacts"
+ "--no-prebuilts"
+ ];
+
+ configurePhase = ''
+ runHook preConfigure
+
+ # The build process tries to overwrite some things in the sdk (e.g.
+ # SourceBuild.MSBuildSdkResolver.dll), so it needs to be mutable.
+ cp -Tr ${dotnetSdk} .dotnet
+ chmod -R +w .dotnet
+
+ ./prep.sh $prepFlags
+
+ runHook postConfigure
+ '';
+
+ dontUseCmakeConfigure = true;
+
+ # https://github.com/NixOS/nixpkgs/issues/38991
+ # bash: warning: setlocale: LC_ALL: cannot change locale (en_US.UTF-8)
+ LOCALE_ARCHIVE = lib.optionalString isLinux
+ "${glibcLocales}/lib/locale/locale-archive";
+
+ buildFlags = [
+ "--with-packages" dotnetSdk.artifacts
+ "--clean-while-building"
+ "--release-manifest" releaseManifestFile
+ "--"
+ "-p:PortableBuild=true"
+ ] ++ lib.optional (targetRid != buildRid) "-p:TargetRid=${targetRid}";
+
+ buildPhase = ''
+ runHook preBuild
+
+ # on darwin, in a sandbox, this causes:
+ # CSSM_ModuleLoad(): One or more parameters passed to a function were not valid.
+ export DOTNET_GENERATE_ASPNET_CERTIFICATE=0
+
+ # CLR_CC/CXX need to be set to stop the build system from using clang-11,
+ # which is unwrapped
+ version= \
+ CLR_CC=$(command -v clang) \
+ CLR_CXX=$(command -v clang++) \
+ ./build.sh $buildFlags
+
+ runHook postBuild
+ '';
+
+ installPhase = ''
+ runHook preInstall
+
+ mkdir "$out"
+
+ pushd "artifacts/${targetArch}/Release"
+ for archive in *.tar.gz; do
+ target=$out/''${archive%.tar.gz}
+ mkdir "$target"
+ tar -C "$target" -xzf "$PWD/$archive"
+ done
+ popd
+
+ runHook postInstall
+ '';
+
+ # dotnet cli is in the root, so we need to strip from there
+ # TODO: should we install in $out/share/dotnet?
+ stripDebugList = [ "." ];
+ # stripping dlls results in:
+ # Failed to load System.Private.CoreLib.dll (error code 0x8007000B)
+ stripExclude = [ "*.dll" ];
+
+ passthru = {
+ inherit releaseManifest buildRid targetRid;
+ icu = _icu;
+ };
+
+ meta = with lib; {
+ description = "Core functionality needed to create .NET Core projects, that is shared between Visual Studio and CLI";
+ homepage = "https://dotnet.github.io/";
+ license = licenses.mit;
+ maintainers = with maintainers; [ corngood ];
+ mainProgram = "dotnet";
+ platforms = [
+ "x86_64-linux"
+ "aarch64-linux"
+ "x86_64-darwin"
+ "aarch64-darwin"
+ ];
+ };
+}
diff --git a/pkgs/development/compilers/dtc/default.nix b/pkgs/development/compilers/dtc/default.nix
index adc3ed7aaac8..60bce2f6e458 100644
--- a/pkgs/development/compilers/dtc/default.nix
+++ b/pkgs/development/compilers/dtc/default.nix
@@ -101,5 +101,6 @@ stdenv.mkDerivation (finalAttrs: {
license = licenses.gpl2Plus; # dtc itself is GPLv2, libfdt is dual GPL/BSD
maintainers = [ maintainers.dezgeg ];
platforms = platforms.unix;
+ mainProgram = "dtc";
};
})
diff --git a/pkgs/development/compilers/ecl/default.nix b/pkgs/development/compilers/ecl/default.nix
index a9a7471275e5..26f1ec471a59 100644
--- a/pkgs/development/compilers/ecl/default.nix
+++ b/pkgs/development/compilers/ecl/default.nix
@@ -65,6 +65,11 @@ stdenv.mkDerivation rec {
hardeningDisable = [ "format" ];
+ # ECL’s ‘make check’ only works after install, making it a de-facto
+ # installCheck.
+ doInstallCheck = true;
+ installCheckTarget = "check";
+
postInstall = ''
sed -e 's/@[-a-zA-Z_]*@//g' -i $out/bin/ecl-config
wrapProgram "$out/bin/ecl" --prefix PATH ':' "${
@@ -79,6 +84,7 @@ stdenv.mkDerivation rec {
description = "Lisp implementation aiming to be small, fast and easy to embed";
homepage = "https://common-lisp.net/project/ecl/";
license = licenses.mit;
+ mainProgram = "ecl";
maintainers = lib.teams.lisp.members;
platforms = platforms.unix;
changelog = "https://gitlab.com/embeddable-common-lisp/ecl/-/raw/${version}/CHANGELOG";
diff --git a/pkgs/development/compilers/edk2/default.nix b/pkgs/development/compilers/edk2/default.nix
index 4cfe823e59d9..17151cf9f947 100644
--- a/pkgs/development/compilers/edk2/default.nix
+++ b/pkgs/development/compilers/edk2/default.nix
@@ -33,7 +33,7 @@ buildType = if stdenv.isDarwin then
edk2 = stdenv.mkDerivation rec {
pname = "edk2";
- version = "202311";
+ version = "202402";
patches = [
# pass targetPrefix as an env var
@@ -48,7 +48,7 @@ edk2 = stdenv.mkDerivation rec {
repo = "edk2";
rev = "edk2-stable${edk2.version}";
fetchSubmodules = true;
- hash = "sha256-gC/If8U9qo70rGvNl3ld/mmZszwY0w/5Ge/K21mhzYw=";
+ hash = "sha256-Nurm6QNKCyV6wvbj0ELdYAL7mbZ0yg/tTwnEJ+N18ng=";
};
# We don't want EDK2 to keep track of OpenSSL,
diff --git a/pkgs/development/compilers/elm/default.nix b/pkgs/development/compilers/elm/default.nix
index 9ae361ddf442..44e1459af84d 100644
--- a/pkgs/development/compilers/elm/default.nix
+++ b/pkgs/development/compilers/elm/default.nix
@@ -36,6 +36,10 @@ let
};
in elmPkgs // {
inherit elmPkgs;
+
+ ansi-wl-pprint = overrideCabal (drv: {
+ jailbreak = true;
+ }) (self.callPackage ./packages/ansi-wl-pprint.nix {});
};
};
diff --git a/pkgs/development/compilers/elm/packages/ansi-wl-pprint.nix b/pkgs/development/compilers/elm/packages/ansi-wl-pprint.nix
new file mode 100644
index 000000000000..392ca5ab31b1
--- /dev/null
+++ b/pkgs/development/compilers/elm/packages/ansi-wl-pprint.nix
@@ -0,0 +1,17 @@
+{ mkDerivation, ansi-terminal, base, fetchgit, lib }:
+mkDerivation {
+ pname = "ansi-wl-pprint";
+ version = "0.6.8.1";
+ src = fetchgit {
+ url = "https://github.com/ekmett/ansi-wl-pprint";
+ sha256 = "00pgxgkramz6y1bgdlm00rsh6gd6mdaqllh6riax2rc2sa35kip4";
+ rev = "d16e2f6896d76b87b72af7220c2e93ba15c53280";
+ fetchSubmodules = true;
+ };
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [ ansi-terminal base ];
+ homepage = "http://github.com/ekmett/ansi-wl-pprint";
+ description = "The Wadler/Leijen Pretty Printer for colored ANSI terminal output";
+ license = lib.licenses.bsd3;
+}
diff --git a/pkgs/development/compilers/elm/packages/elm-instrument.nix b/pkgs/development/compilers/elm/packages/elm-instrument.nix
index 18f4d3aff196..02593b855077 100644
--- a/pkgs/development/compilers/elm/packages/elm-instrument.nix
+++ b/pkgs/development/compilers/elm/packages/elm-instrument.nix
@@ -40,4 +40,5 @@ mkDerivation {
homepage = "https://elm-lang.org";
description = "Instrumentation library for Elm";
license = lib.licenses.bsd3;
+ mainProgram = "elm-instrument";
}
diff --git a/pkgs/development/compilers/elm/packages/elm-json.nix b/pkgs/development/compilers/elm/packages/elm-json.nix
index 6eb5d10cd471..5b988244cc97 100644
--- a/pkgs/development/compilers/elm/packages/elm-json.nix
+++ b/pkgs/development/compilers/elm/packages/elm-json.nix
@@ -32,6 +32,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "Install, upgrade and uninstall Elm dependencies";
+ mainProgram = "elm-json";
homepage = "https://github.com/zwilias/elm-json";
license = licenses.mit;
maintainers = [ maintainers.turbomack ];
diff --git a/pkgs/development/compilers/elm/packages/elm-test-rs.nix b/pkgs/development/compilers/elm/packages/elm-test-rs.nix
index 5e2a5502b211..02f69c2d2f03 100644
--- a/pkgs/development/compilers/elm/packages/elm-test-rs.nix
+++ b/pkgs/development/compilers/elm/packages/elm-test-rs.nix
@@ -23,6 +23,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "Fast and portable executable to run your Elm tests";
+ mainProgram = "elm-test-rs";
homepage = "https://github.com/mpizenberg/elm-test-rs";
license = licenses.bsd3;
maintainers = [ maintainers.jpagex ];
diff --git a/pkgs/development/compilers/elm/packages/elm-test.nix b/pkgs/development/compilers/elm/packages/elm-test.nix
index fc943a80a3fa..eca45395c3df 100644
--- a/pkgs/development/compilers/elm/packages/elm-test.nix
+++ b/pkgs/development/compilers/elm/packages/elm-test.nix
@@ -25,6 +25,7 @@ buildNpmPackage rec {
meta = {
changelog = "https://github.com/rtfeldman/node-test-runner/blob/${src.rev}/CHANGELOG.md";
description = "Runs elm-test suites from Node.js";
+ mainProgram = "elm-test";
homepage = "https://github.com/rtfeldman/node-test-runner";
license = lib.licenses.bsd3;
maintainers = with lib.maintainers; [ turbomack ];
diff --git a/pkgs/development/compilers/elm/update.sh b/pkgs/development/compilers/elm/update.sh
index ecd24de46f67..4de11bd8ff5d 100755
--- a/pkgs/development/compilers/elm/update.sh
+++ b/pkgs/development/compilers/elm/update.sh
@@ -1,6 +1,8 @@
#!/usr/bin/env nix-shell
#!nix-shell -p cabal2nix elm2nix -i bash ../../..
+cabal2nix https://github.com/ekmett/ansi-wl-pprint --revision d16e2f6896d76b87b72af7220c2e93ba15c53280 > packages/ansi-wl-pprint.nix
+
# We're building binaries from commit that npm installer is using since
# November 1st release called 0.19.1-6 in npm registry.
# These binaries are built with newer ghc version and also support Aarch64 for Linux and Darwin.
diff --git a/pkgs/development/compilers/erg/default.nix b/pkgs/development/compilers/erg/default.nix
index ab5458855423..0ad4320c046a 100644
--- a/pkgs/development/compilers/erg/default.nix
+++ b/pkgs/development/compilers/erg/default.nix
@@ -9,16 +9,16 @@
rustPlatform.buildRustPackage rec {
pname = "erg";
- version = "0.6.30";
+ version = "0.6.32";
src = fetchFromGitHub {
owner = "erg-lang";
repo = "erg";
rev = "v${version}";
- hash = "sha256-lStTLDXgdaaqyzdzU1V2JnKX8jt27Z1A23fkuZU8dt0=";
+ hash = "sha256-l+I6ue824dvZ1AmSS/y+Sh43OstJ5c+8xIXvoVpMFws=";
};
- cargoHash = "sha256-MsDan3wL9RhH0uhAuq0Lg8IRBXR8a3ooEBx6n2CMAVk=";
+ cargoHash = "sha256-SRltpqTviC+Dq9pPBuLjctOXOKTYw+zVlvA9wi0iFWg=";
nativeBuildInputs = [
makeWrapper
@@ -57,6 +57,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "A statically typed language that can deeply improve the Python ecosystem";
+ mainProgram = "erg";
homepage = "https://github.com/erg-lang/erg";
changelog = "https://github.com/erg-lang/erg/releases/tag/${src.rev}";
license = with licenses; [ asl20 mit ];
diff --git a/pkgs/development/compilers/factor-lang/factor99.nix b/pkgs/development/compilers/factor-lang/factor99.nix
index 85ff98a28ba1..59595210dd1a 100644
--- a/pkgs/development/compilers/factor-lang/factor99.nix
+++ b/pkgs/development/compilers/factor-lang/factor99.nix
@@ -57,7 +57,7 @@ let
# Defined in gdk-pixbuf setup hook
findGdkPixbufLoaders "${librsvg}"
- ${if to then "makeWrapper ${from} ${to}" else "wrapProgram ${from}"} \
+ ${if (builtins.isString to) then "makeWrapper ${from} ${to}" else "wrapProgram ${from}"} \
--set GDK_PIXBUF_MODULE_FILE "$GDK_PIXBUF_MODULE_FILE" \
--argv0 factor \
--prefix LD_LIBRARY_PATH : /run/opengl-driver/lib:${lib.makeLibraryPath runtimeLibs} \
@@ -72,7 +72,7 @@ let
passthru.runtimeLibs = runtimeLibs ++ interpreter.runtimeLibs;
}
(wrapFactorScript {
- from = "${interpreter}/lib/factor/.factor.wrapped";
+ from = "${interpreter}/lib/factor/.factor-wrapped";
to = "$out/bin/factor";
runtimeLibs = (runtimeLibs ++ interpreter.runtimeLibs);
});
diff --git a/pkgs/development/compilers/fasmg/default.nix b/pkgs/development/compilers/fasmg/default.nix
index ec915bcb04bc..b62c114c77e4 100644
--- a/pkgs/development/compilers/fasmg/default.nix
+++ b/pkgs/development/compilers/fasmg/default.nix
@@ -50,6 +50,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "x86(-64) macro assembler to binary, MZ, PE, COFF, and ELF";
+ mainProgram = "fasmg";
homepage = "https://flatassembler.net";
license = licenses.bsd3;
maintainers = with maintainers; [ orivej luc65r ];
diff --git a/pkgs/development/compilers/fbc/default.nix b/pkgs/development/compilers/fbc/default.nix
index 631bf9c7bfe0..f2a223fb6b8a 100644
--- a/pkgs/development/compilers/fbc/default.nix
+++ b/pkgs/development/compilers/fbc/default.nix
@@ -123,6 +123,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://www.freebasic.net/";
description = "A multi-platform BASIC Compiler";
+ mainProgram = "fbc";
longDescription = ''
FreeBASIC is a completely free, open-source, multi-platform BASIC compiler (fbc),
with syntax similar to (and support for) MS-QuickBASIC, that adds new features
diff --git a/pkgs/development/compilers/firrtl/default.nix b/pkgs/development/compilers/firrtl/default.nix
index 5a59060b6f21..54138a6d3de8 100644
--- a/pkgs/development/compilers/firrtl/default.nix
+++ b/pkgs/development/compilers/firrtl/default.nix
@@ -49,6 +49,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Flexible Intermediate Representation for RTL";
+ mainProgram = "firrtl";
longDescription = ''
Firrtl is an intermediate representation (IR) for digital circuits
designed as a platform for writing circuit-level transformations.
diff --git a/pkgs/development/compilers/flasm/default.nix b/pkgs/development/compilers/flasm/default.nix
index c1d2cfedc984..e1da977b0039 100644
--- a/pkgs/development/compilers/flasm/default.nix
+++ b/pkgs/development/compilers/flasm/default.nix
@@ -32,6 +32,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Assembler and disassembler for Flash (SWF) bytecode";
+ mainProgram = "flasm";
homepage = "https://flasm.sourceforge.net/";
license = licenses.bsd2;
maintainers = with maintainers; [ siraben ];
diff --git a/pkgs/development/compilers/flix/default.nix b/pkgs/development/compilers/flix/default.nix
index 92216dccbf89..c0f32438db14 100644
--- a/pkgs/development/compilers/flix/default.nix
+++ b/pkgs/development/compilers/flix/default.nix
@@ -2,11 +2,11 @@
stdenvNoCC.mkDerivation rec {
pname = "flix";
- version = "0.44.0";
+ version = "0.45.0";
src = fetchurl {
url = "https://github.com/flix/flix/releases/download/v${version}/flix.jar";
- sha256 = "sha256-osoUV05e1MCKs41j0dNIJi+0e7X+gHizgZSWicIJ678=";
+ sha256 = "sha256-h7smcfV7heJtTVXCGSlUmk1RNZ4AWFe2fPPOWLcJz/M=";
};
dontUnpack = true;
@@ -26,6 +26,7 @@ stdenvNoCC.mkDerivation rec {
meta = with lib; {
description = "The Flix Programming Language";
+ mainProgram = "flix";
homepage = "https://github.com/flix/flix";
sourceProvenance = with sourceTypes; [ binaryBytecode ];
license = licenses.asl20;
diff --git a/pkgs/development/compilers/flutter/artifacts/overrides/darwin.nix b/pkgs/development/compilers/flutter/artifacts/overrides/darwin.nix
index b03b14f68c8b..83257d4e34d5 100644
--- a/pkgs/development/compilers/flutter/artifacts/overrides/darwin.nix
+++ b/pkgs/development/compilers/flutter/artifacts/overrides/darwin.nix
@@ -1,14 +1,12 @@
-{ darwin }:
-{
- buildInputs ? [ ],
- ...
+{}:
+{ buildInputs ? [ ]
+, ...
}:
{
postPatch = ''
if [ "$pname" == "flutter-tools" ]; then
- # Remove impure references to `arch` and use arm64 instead of arm64e.
+ # Use arm64 instead of arm64e.
substituteInPlace lib/src/ios/xcodeproj.dart \
- --replace-fail /usr/bin/arch '${darwin.adv_cmds}/bin/arch' \
--replace-fail arm64e arm64
fi
'';
diff --git a/pkgs/development/compilers/flutter/flutter-tools.nix b/pkgs/development/compilers/flutter/flutter-tools.nix
index 6f8d1b3c1a8f..cf35ed8cbc45 100644
--- a/pkgs/development/compilers/flutter/flutter-tools.nix
+++ b/pkgs/development/compilers/flutter/flutter-tools.nix
@@ -9,7 +9,6 @@
, flutterSrc
, patches ? [ ]
, pubspecLock
-, darwin
}:
buildDartApplication.override { inherit dart; } rec {
@@ -18,7 +17,7 @@ buildDartApplication.override { inherit dart; } rec {
dartOutputType = "jit-snapshot";
src = flutterSrc;
- sourceRoot = "source/packages/flutter_tools";
+ sourceRoot = "${src.name}/packages/flutter_tools";
postUnpack = ''chmod -R u+w "$NIX_BUILD_TOP/source"'';
inherit patches;
@@ -27,10 +26,9 @@ buildDartApplication.override { inherit dart; } rec {
postPatch = ''
popd
''
- # Remove impure references to `arch` and use arm64 instead of arm64e.
+ # Use arm64 instead of arm64e.
+ lib.optionalString stdenv.isDarwin ''
substituteInPlace lib/src/ios/xcodeproj.dart \
- --replace-fail /usr/bin/arch '${darwin.adv_cmds}/bin/arch' \
--replace-fail arm64e arm64
'';
diff --git a/pkgs/development/compilers/flutter/patches/git-dir.patch b/pkgs/development/compilers/flutter/patches/git-dir.patch
deleted file mode 100644
index 6e6ae4e6fb98..000000000000
--- a/pkgs/development/compilers/flutter/patches/git-dir.patch
+++ /dev/null
@@ -1,84 +0,0 @@
-diff --git a/dev/bots/prepare_package.dart b/dev/bots/prepare_package.dart
-index 9f33a22cc3..c46255742c 100644
---- a/dev/bots/prepare_package.dart
-+++ b/dev/bots/prepare_package.dart
-@@ -602,7 +602,7 @@ class ArchiveCreator {
-
- Future _runGit(List args, {Directory? workingDirectory}) {
- return _processRunner.runProcess(
-- ['git', ...args],
-+ ['git', '--git-dir', '.git', ...args],
- workingDirectory: workingDirectory ?? flutterRoot,
- );
- }
-diff --git a/packages/flutter_tools/lib/src/commands/downgrade.dart b/packages/flutter_tools/lib/src/commands/downgrade.dart
-index a58b75c009..02da0daeb7 100644
---- a/packages/flutter_tools/lib/src/commands/downgrade.dart
-+++ b/packages/flutter_tools/lib/src/commands/downgrade.dart
-@@ -120,7 +120,7 @@ class DowngradeCommand extends FlutterCommand {
- // Detect unknown versions.
- final ProcessUtils processUtils = _processUtils!;
- final RunResult parseResult = await processUtils.run([
-- 'git', 'describe', '--tags', lastFlutterVersion,
-+ 'git', '--git-dir', '.git', 'describe', '--tags', lastFlutterVersion,
- ], workingDirectory: workingDirectory);
- if (parseResult.exitCode != 0) {
- throwToolExit('Failed to parse version for downgrade:\n${parseResult.stderr}');
-@@ -192,7 +192,7 @@ class DowngradeCommand extends FlutterCommand {
- continue;
- }
- final RunResult parseResult = await _processUtils!.run([
-- 'git', 'describe', '--tags', sha,
-+ 'git', '--git-dir', '.git', 'describe', '--tags', sha,
- ], workingDirectory: workingDirectory);
- if (parseResult.exitCode == 0) {
- buffer.writeln('Channel "${getNameForChannel(channel)}" was previously on: ${parseResult.stdout}.');
-diff --git a/packages/flutter_tools/lib/src/version.dart b/packages/flutter_tools/lib/src/version.dart
-index 0702b35e7e..36b2a95b65 100644
---- a/packages/flutter_tools/lib/src/version.dart
-+++ b/packages/flutter_tools/lib/src/version.dart
-@@ -407,7 +407,7 @@ abstract class FlutterVersion {
- /// wrapper that does that.
- @visibleForTesting
- static List gitLog(List args) {
-- return ['git', '-c', 'log.showSignature=false', 'log'] + args;
-+ return ['git', '--git-dir','.git', '-c', 'log.showSignature=false', 'log'] + args;
- }
- }
-
-@@ -559,7 +559,7 @@ class _FlutterVersionGit extends FlutterVersion {
- String? get repositoryUrl {
- if (_repositoryUrl == null) {
- final String gitChannel = _runGit(
-- 'git rev-parse --abbrev-ref --symbolic $kGitTrackingUpstream',
-+ 'git --git-dir .git rev-parse --abbrev-ref --symbolic $kGitTrackingUpstream',
- globals.processUtils,
- flutterRoot,
- );
-@@ -567,7 +567,7 @@ class _FlutterVersionGit extends FlutterVersion {
- if (slash != -1) {
- final String remote = gitChannel.substring(0, slash);
- _repositoryUrl = _runGit(
-- 'git ls-remote --get-url $remote',
-+ 'git --git-dir .git ls-remote --get-url $remote',
- globals.processUtils,
- flutterRoot,
- );
-@@ -952,7 +952,7 @@ class GitTagVersion {
- }
- // find all tags attached to the given [gitRef]
- final List tags = _runGit(
-- 'git tag --points-at $gitRef', processUtils, workingDirectory).trim().split('\n');
-+ 'git --git-dir .git tag --points-at $gitRef', processUtils, workingDirectory).trim().split('\n');
-
- // Check first for a stable tag
- final RegExp stableTagPattern = RegExp(r'^\d+\.\d+\.\d+$');
-@@ -973,7 +973,7 @@ class GitTagVersion {
- // recent tag and number of commits past.
- return parse(
- _runGit(
-- 'git describe --match *.*.* --long --tags $gitRef',
-+ 'git --git-dir .git describe --match *.*.* --long --tags $gitRef',
- processUtils,
- workingDirectory,
- )
diff --git a/pkgs/development/compilers/flutter/versions/3_19/data.json b/pkgs/development/compilers/flutter/versions/3_19/data.json
new file mode 100644
index 000000000000..988bafb05c2d
--- /dev/null
+++ b/pkgs/development/compilers/flutter/versions/3_19/data.json
@@ -0,0 +1,989 @@
+{
+ "version": "3.19.0",
+ "engineVersion": "04817c99c9fd4956f27505204f7e344335810aed",
+ "dartVersion": "3.3.0",
+ "dartHash": {
+ "x86_64-linux": "sha256-wUg8GpieBD84LkrqfbZ6goHKgq+ZNJFzN8DMMmHJTns=",
+ "aarch64-linux": "sha256-s/RiVtOLtTtA1CAcYi/okothRO/0Ph+s9eogL84V6zc=",
+ "x86_64-darwin": "sha256-aseeiQkv8/9yuAVMn2nxL7tNjfK2H9zM+GtXBvV6R3E=",
+ "aarch64-darwin": "sha256-A6Ru36rYKf+IyUTB6LZkzl+hj1fJmuMJedltiSSxtF0="
+ },
+ "flutterHash": "sha256-rIPveNuzNEvWhO/1aY0hFfmJbsV3hTm6fTfLH6pWZ7c=",
+ "artifactHashes": {
+ "android": {
+ "aarch64-darwin": "sha256-U1DFJZDf7m7WL3cOHeAWa0D01nO5Trsd/EUZFbU2iY0=",
+ "aarch64-linux": "sha256-ACQdmNgU52jWmp9BWOzSdPEkEigXts16/pYVgbBM11k=",
+ "x86_64-darwin": "sha256-U1DFJZDf7m7WL3cOHeAWa0D01nO5Trsd/EUZFbU2iY0=",
+ "x86_64-linux": "sha256-ACQdmNgU52jWmp9BWOzSdPEkEigXts16/pYVgbBM11k="
+ },
+ "fuchsia": {
+ "aarch64-darwin": "sha256-eu0BERdz53CkSexbpu3KA7O6Q4g0s9SGD3t1Snsk3Fk=",
+ "aarch64-linux": "sha256-eu0BERdz53CkSexbpu3KA7O6Q4g0s9SGD3t1Snsk3Fk=",
+ "x86_64-darwin": "sha256-eu0BERdz53CkSexbpu3KA7O6Q4g0s9SGD3t1Snsk3Fk=",
+ "x86_64-linux": "sha256-eu0BERdz53CkSexbpu3KA7O6Q4g0s9SGD3t1Snsk3Fk="
+ },
+ "ios": {
+ "aarch64-darwin": "sha256-nMtIjyLeDNLERmjU8CCtmGrCckG5uXnu53zvKPEii9g=",
+ "aarch64-linux": "sha256-nMtIjyLeDNLERmjU8CCtmGrCckG5uXnu53zvKPEii9g=",
+ "x86_64-darwin": "sha256-nMtIjyLeDNLERmjU8CCtmGrCckG5uXnu53zvKPEii9g=",
+ "x86_64-linux": "sha256-nMtIjyLeDNLERmjU8CCtmGrCckG5uXnu53zvKPEii9g="
+ },
+ "linux": {
+ "aarch64-darwin": "sha256-XqrkmbUjNvcVSoHQlGK75JsxHEcsSrzBplRtx8xNrIU=",
+ "aarch64-linux": "sha256-XqrkmbUjNvcVSoHQlGK75JsxHEcsSrzBplRtx8xNrIU=",
+ "x86_64-darwin": "sha256-J3J+gE0nSOnhMEo7mjVLCxdZtaBrWsQHr6xfBdvHamU=",
+ "x86_64-linux": "sha256-J3J+gE0nSOnhMEo7mjVLCxdZtaBrWsQHr6xfBdvHamU="
+ },
+ "macos": {
+ "aarch64-darwin": "sha256-PJ1y+yZEHgB74rJAtnTZKgn6R9m4p5eiwVap6QkLx/Q=",
+ "aarch64-linux": "sha256-PJ1y+yZEHgB74rJAtnTZKgn6R9m4p5eiwVap6QkLx/Q=",
+ "x86_64-darwin": "sha256-PJ1y+yZEHgB74rJAtnTZKgn6R9m4p5eiwVap6QkLx/Q=",
+ "x86_64-linux": "sha256-PJ1y+yZEHgB74rJAtnTZKgn6R9m4p5eiwVap6QkLx/Q="
+ },
+ "universal": {
+ "aarch64-darwin": "sha256-GgvIuqvGPjxx6V2Mz1/TK8c6p8Frc3XKbWCgsduFhWU=",
+ "aarch64-linux": "sha256-SwgsbQECd1uqU11V6jKZ0hf1NZRBiC3xZuIf3cthFz0=",
+ "x86_64-darwin": "sha256-q8Kn9F1w1zavq/LFvPITaWSRdCkAOKi3olDVoHpeu5g=",
+ "x86_64-linux": "sha256-iDV57cKmDL0eUqtJ28RO+Xwomzwnaet4g30gVUXv8jY="
+ },
+ "web": {
+ "aarch64-darwin": "sha256-mttYf65rooXs3ctkaXrJsz4mGY2t4zqXZZ/R16EoCYw=",
+ "aarch64-linux": "sha256-mttYf65rooXs3ctkaXrJsz4mGY2t4zqXZZ/R16EoCYw=",
+ "x86_64-darwin": "sha256-mttYf65rooXs3ctkaXrJsz4mGY2t4zqXZZ/R16EoCYw=",
+ "x86_64-linux": "sha256-mttYf65rooXs3ctkaXrJsz4mGY2t4zqXZZ/R16EoCYw="
+ },
+ "windows": {
+ "aarch64-darwin": "sha256-/ZQwetr5gqhrvLF7/Sl/9mmi9oAg9k3s7poqVk57GIA=",
+ "aarch64-linux": "sha256-/ZQwetr5gqhrvLF7/Sl/9mmi9oAg9k3s7poqVk57GIA=",
+ "x86_64-darwin": "sha256-/ZQwetr5gqhrvLF7/Sl/9mmi9oAg9k3s7poqVk57GIA=",
+ "x86_64-linux": "sha256-/ZQwetr5gqhrvLF7/Sl/9mmi9oAg9k3s7poqVk57GIA="
+ }
+ },
+ "pubspecLock": {
+ "packages": {
+ "_fe_analyzer_shared": {
+ "dependency": "direct main",
+ "description": {
+ "name": "_fe_analyzer_shared",
+ "sha256": "36a321c3d2cbe01cbcb3540a87b8843846e0206df3e691fa7b23e19e78de6d49",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "65.0.0"
+ },
+ "analyzer": {
+ "dependency": "direct main",
+ "description": {
+ "name": "analyzer",
+ "sha256": "dfe03b90ec022450e22513b5e5ca1f01c0c01de9c3fba2f7fd233cb57a6b9a07",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "6.3.0"
+ },
+ "archive": {
+ "dependency": "direct main",
+ "description": {
+ "name": "archive",
+ "sha256": "80e5141fafcb3361653ce308776cfd7d45e6e9fbb429e14eec571382c0c5fecb",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.3.2"
+ },
+ "args": {
+ "dependency": "direct main",
+ "description": {
+ "name": "args",
+ "sha256": "eef6c46b622e0494a36c5a12d10d77fb4e855501a91c1b9ef9339326e58f0596",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.4.2"
+ },
+ "async": {
+ "dependency": "direct main",
+ "description": {
+ "name": "async",
+ "sha256": "947bfcf187f74dbc5e146c9eb9c0f10c9f8b30743e341481c1e2ed3ecc18c20c",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.11.0"
+ },
+ "boolean_selector": {
+ "dependency": "direct main",
+ "description": {
+ "name": "boolean_selector",
+ "sha256": "6cfb5af12253eaf2b368f07bacc5a80d1301a071c73360d746b7f2e32d762c66",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.1.1"
+ },
+ "browser_launcher": {
+ "dependency": "direct main",
+ "description": {
+ "name": "browser_launcher",
+ "sha256": "6ee4c6b1f68a42e769ef6e663c4f56708522f7bce9d2ab6e308a37b612ffa4ec",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.1.1"
+ },
+ "built_collection": {
+ "dependency": "direct main",
+ "description": {
+ "name": "built_collection",
+ "sha256": "376e3dd27b51ea877c28d525560790aee2e6fbb5f20e2f85d5081027d94e2100",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "5.1.1"
+ },
+ "built_value": {
+ "dependency": "direct main",
+ "description": {
+ "name": "built_value",
+ "sha256": "c9aabae0718ec394e5bc3c7272e6bb0dc0b32201a08fe185ec1d8401d3e39309",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "8.8.1"
+ },
+ "checked_yaml": {
+ "dependency": "direct dev",
+ "description": {
+ "name": "checked_yaml",
+ "sha256": "feb6bed21949061731a7a75fc5d2aa727cf160b91af9a3e464c5e3a32e28b5ff",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.0.3"
+ },
+ "cli_config": {
+ "dependency": "direct main",
+ "description": {
+ "name": "cli_config",
+ "sha256": "65c7830649e1f8247660f1b783effb460255d6e2c1ac94eb823cf1f84e59b288",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.1.2"
+ },
+ "clock": {
+ "dependency": "direct main",
+ "description": {
+ "name": "clock",
+ "sha256": "cb6d7f03e1de671e34607e909a7213e31d7752be4fb66a86d29fe1eb14bfb5cf",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.1.1"
+ },
+ "collection": {
+ "dependency": "direct dev",
+ "description": {
+ "name": "collection",
+ "sha256": "ee67cb0715911d28db6bf4af1026078bd6f0128b07a5f66fb2ed94ec6783c09a",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.18.0"
+ },
+ "completion": {
+ "dependency": "direct main",
+ "description": {
+ "name": "completion",
+ "sha256": "f11b7a628e6c42b9edc9b0bc3aa490e2d930397546d2f794e8e1325909d11c60",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.0.1"
+ },
+ "convert": {
+ "dependency": "direct main",
+ "description": {
+ "name": "convert",
+ "sha256": "0f08b14755d163f6e2134cb58222dd25ea2a2ee8a195e53983d57c075324d592",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.1.1"
+ },
+ "coverage": {
+ "dependency": "direct main",
+ "description": {
+ "name": "coverage",
+ "sha256": "8acabb8306b57a409bf4c83522065672ee13179297a6bb0cb9ead73948df7c76",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.7.2"
+ },
+ "crypto": {
+ "dependency": "direct main",
+ "description": {
+ "name": "crypto",
+ "sha256": "ff625774173754681d66daaf4a448684fb04b78f902da9cb3d308c19cc5e8bab",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.0.3"
+ },
+ "csslib": {
+ "dependency": "direct main",
+ "description": {
+ "name": "csslib",
+ "sha256": "706b5707578e0c1b4b7550f64078f0a0f19dec3f50a178ffae7006b0a9ca58fb",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.0.0"
+ },
+ "dap": {
+ "dependency": "direct main",
+ "description": {
+ "name": "dap",
+ "sha256": "1dc9a11bc60836b151672d3edb6a56a18383ecf122e56eaf5837b32c81641aeb",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.1.0"
+ },
+ "dds": {
+ "dependency": "direct main",
+ "description": {
+ "name": "dds",
+ "sha256": "436bf46d0bf15ec750098fbf4d43e90210873ea615aee14611bfd593ae52ddd8",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.1.0+1"
+ },
+ "dds_service_extensions": {
+ "dependency": "direct main",
+ "description": {
+ "name": "dds_service_extensions",
+ "sha256": "c41b86e0c7c496b39d10448f1e4bcd2dbabc29c4cce2bd6d864d57a837ab94b2",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.6.2"
+ },
+ "devtools_shared": {
+ "dependency": "direct main",
+ "description": {
+ "name": "devtools_shared",
+ "sha256": "7f173edabb97ac7c7815ae6b08dc18733504e62651eb0ab4216559e173164df1",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "6.0.3"
+ },
+ "dwds": {
+ "dependency": "direct main",
+ "description": {
+ "name": "dwds",
+ "sha256": "7ae2b39e73f959e572fa5efabf3606b0c9863a39067a869ac3ea593ace901280",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "23.0.0+1"
+ },
+ "extension_discovery": {
+ "dependency": "direct main",
+ "description": {
+ "name": "extension_discovery",
+ "sha256": "20735622d0763865f9d94c3ecdce4441174530870760253e9d364fb4f3da8688",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.0.0"
+ },
+ "fake_async": {
+ "dependency": "direct main",
+ "description": {
+ "name": "fake_async",
+ "sha256": "511392330127add0b769b75a987850d136345d9227c6b94c96a04cf4a391bf78",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.3.1"
+ },
+ "file": {
+ "dependency": "direct main",
+ "description": {
+ "name": "file",
+ "sha256": "5fc22d7c25582e38ad9a8515372cd9a93834027aacf1801cf01164dac0ffa08c",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "7.0.0"
+ },
+ "file_testing": {
+ "dependency": "direct dev",
+ "description": {
+ "name": "file_testing",
+ "sha256": "0aaadb4025bd350403f4308ad6c4cea953278d9407814b8342558e4946840fb5",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.0.0"
+ },
+ "fixnum": {
+ "dependency": "direct main",
+ "description": {
+ "name": "fixnum",
+ "sha256": "25517a4deb0c03aa0f32fd12db525856438902d9c16536311e76cdc57b31d7d1",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.1.0"
+ },
+ "flutter_template_images": {
+ "dependency": "direct main",
+ "description": {
+ "name": "flutter_template_images",
+ "sha256": "fd3e55af73c577b9e3f88d4080d3e366cb5c8ef3fbd50b94dfeca56bb0235df6",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "4.2.0"
+ },
+ "frontend_server_client": {
+ "dependency": "direct main",
+ "description": {
+ "name": "frontend_server_client",
+ "sha256": "408e3ca148b31c20282ad6f37ebfa6f4bdc8fede5b74bc2f08d9d92b55db3612",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.2.0"
+ },
+ "glob": {
+ "dependency": "direct main",
+ "description": {
+ "name": "glob",
+ "sha256": "0e7014b3b7d4dac1ca4d6114f82bf1782ee86745b9b42a92c9289c23d8a0ab63",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.1.2"
+ },
+ "graphs": {
+ "dependency": "direct main",
+ "description": {
+ "name": "graphs",
+ "sha256": "aedc5a15e78fc65a6e23bcd927f24c64dd995062bcd1ca6eda65a3cff92a4d19",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.3.1"
+ },
+ "html": {
+ "dependency": "direct main",
+ "description": {
+ "name": "html",
+ "sha256": "3a7812d5bcd2894edf53dfaf8cd640876cf6cef50a8f238745c8b8120ea74d3a",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.15.4"
+ },
+ "http": {
+ "dependency": "direct main",
+ "description": {
+ "name": "http",
+ "sha256": "5895291c13fa8a3bd82e76d5627f69e0d85ca6a30dcac95c4ea19a5d555879c2",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.13.6"
+ },
+ "http_multi_server": {
+ "dependency": "direct main",
+ "description": {
+ "name": "http_multi_server",
+ "sha256": "97486f20f9c2f7be8f514851703d0119c3596d14ea63227af6f7a481ef2b2f8b",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.2.1"
+ },
+ "http_parser": {
+ "dependency": "direct main",
+ "description": {
+ "name": "http_parser",
+ "sha256": "2aa08ce0341cc9b354a498388e30986515406668dbcc4f7c950c3e715496693b",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "4.0.2"
+ },
+ "intl": {
+ "dependency": "direct main",
+ "description": {
+ "name": "intl",
+ "sha256": "3bc132a9dbce73a7e4a21a17d06e1878839ffbf975568bc875c60537824b0c4d",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.18.1"
+ },
+ "io": {
+ "dependency": "direct main",
+ "description": {
+ "name": "io",
+ "sha256": "2ec25704aba361659e10e3e5f5d672068d332fc8ac516421d483a11e5cbd061e",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.0.4"
+ },
+ "js": {
+ "dependency": "direct main",
+ "description": {
+ "name": "js",
+ "sha256": "f2c445dce49627136094980615a031419f7f3eb393237e4ecd97ac15dea343f3",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.6.7"
+ },
+ "json_annotation": {
+ "dependency": "direct dev",
+ "description": {
+ "name": "json_annotation",
+ "sha256": "b10a7b2ff83d83c777edba3c6a0f97045ddadd56c944e1a23a3fdf43a1bf4467",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "4.8.1"
+ },
+ "json_rpc_2": {
+ "dependency": "direct main",
+ "description": {
+ "name": "json_rpc_2",
+ "sha256": "5e469bffa23899edacb7b22787780068d650b106a21c76db3c49218ab7ca447e",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.0.2"
+ },
+ "logging": {
+ "dependency": "direct main",
+ "description": {
+ "name": "logging",
+ "sha256": "623a88c9594aa774443aa3eb2d41807a48486b5613e67599fb4c41c0ad47c340",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.2.0"
+ },
+ "matcher": {
+ "dependency": "direct main",
+ "description": {
+ "name": "matcher",
+ "sha256": "d2323aa2060500f906aa31a895b4030b6da3ebdcc5619d14ce1aada65cd161cb",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.12.16+1"
+ },
+ "meta": {
+ "dependency": "direct main",
+ "description": {
+ "name": "meta",
+ "sha256": "d584fa6707a52763a52446f02cc621b077888fb63b93bbcb1143a7be5a0c0c04",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.11.0"
+ },
+ "mime": {
+ "dependency": "direct main",
+ "description": {
+ "name": "mime",
+ "sha256": "e4ff8e8564c03f255408decd16e7899da1733852a9110a58fe6d1b817684a63e",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.0.4"
+ },
+ "multicast_dns": {
+ "dependency": "direct main",
+ "description": {
+ "name": "multicast_dns",
+ "sha256": "316cc47a958d4bd3c67bd238fe8b44fdfb6133bad89cb191c0c3bd3edb14e296",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.3.2+6"
+ },
+ "mustache_template": {
+ "dependency": "direct main",
+ "description": {
+ "name": "mustache_template",
+ "sha256": "a46e26f91445bfb0b60519be280555b06792460b27b19e2b19ad5b9740df5d1c",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.0.0"
+ },
+ "native_assets_builder": {
+ "dependency": "direct main",
+ "description": {
+ "name": "native_assets_builder",
+ "sha256": "15076b8010eb1ab2a01c1b4bee6abd0174f40f2151406466119b69b398071df4",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.3.0"
+ },
+ "native_assets_cli": {
+ "dependency": "direct main",
+ "description": {
+ "name": "native_assets_cli",
+ "sha256": "3119600043214157fb54f4ef05717a82a7858f35625fe767799c60f3039361c8",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.3.2"
+ },
+ "native_stack_traces": {
+ "dependency": "direct main",
+ "description": {
+ "name": "native_stack_traces",
+ "sha256": "c797830b9910d13b0f4e70ddef15cde034214fe3bdb8092c4ea5ffad2f74013f",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.5.6"
+ },
+ "node_preamble": {
+ "dependency": "direct dev",
+ "description": {
+ "name": "node_preamble",
+ "sha256": "6e7eac89047ab8a8d26cf16127b5ed26de65209847630400f9aefd7cd5c730db",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.0.2"
+ },
+ "package_config": {
+ "dependency": "direct main",
+ "description": {
+ "name": "package_config",
+ "sha256": "1c5b77ccc91e4823a5af61ee74e6b972db1ef98c2ff5a18d3161c982a55448bd",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.1.0"
+ },
+ "path": {
+ "dependency": "direct main",
+ "description": {
+ "name": "path",
+ "sha256": "087ce49c3f0dc39180befefc60fdb4acd8f8620e5682fe2476afd0b3688bb4af",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.9.0"
+ },
+ "petitparser": {
+ "dependency": "direct main",
+ "description": {
+ "name": "petitparser",
+ "sha256": "c15605cd28af66339f8eb6fbe0e541bfe2d1b72d5825efc6598f3e0a31b9ad27",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "6.0.2"
+ },
+ "platform": {
+ "dependency": "direct main",
+ "description": {
+ "name": "platform",
+ "sha256": "12220bb4b65720483f8fa9450b4332347737cf8213dd2840d8b2c823e47243ec",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.1.4"
+ },
+ "pool": {
+ "dependency": "direct main",
+ "description": {
+ "name": "pool",
+ "sha256": "20fe868b6314b322ea036ba325e6fc0711a22948856475e2c2b6306e8ab39c2a",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.5.1"
+ },
+ "process": {
+ "dependency": "direct main",
+ "description": {
+ "name": "process",
+ "sha256": "21e54fd2faf1b5bdd5102afd25012184a6793927648ea81eea80552ac9405b32",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "5.0.2"
+ },
+ "pub_semver": {
+ "dependency": "direct main",
+ "description": {
+ "name": "pub_semver",
+ "sha256": "40d3ab1bbd474c4c2328c91e3a7df8c6dd629b79ece4c4bd04bee496a224fb0c",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.1.4"
+ },
+ "pubspec_parse": {
+ "dependency": "direct dev",
+ "description": {
+ "name": "pubspec_parse",
+ "sha256": "c63b2876e58e194e4b0828fcb080ad0e06d051cb607a6be51a9e084f47cb9367",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.2.3"
+ },
+ "shelf": {
+ "dependency": "direct main",
+ "description": {
+ "name": "shelf",
+ "sha256": "ad29c505aee705f41a4d8963641f91ac4cee3c8fad5947e033390a7bd8180fa4",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.4.1"
+ },
+ "shelf_packages_handler": {
+ "dependency": "direct main",
+ "description": {
+ "name": "shelf_packages_handler",
+ "sha256": "89f967eca29607c933ba9571d838be31d67f53f6e4ee15147d5dc2934fee1b1e",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.0.2"
+ },
+ "shelf_proxy": {
+ "dependency": "direct main",
+ "description": {
+ "name": "shelf_proxy",
+ "sha256": "a71d2307f4393211930c590c3d2c00630f6c5a7a77edc1ef6436dfd85a6a7ee3",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.0.4"
+ },
+ "shelf_static": {
+ "dependency": "direct main",
+ "description": {
+ "name": "shelf_static",
+ "sha256": "a41d3f53c4adf0f57480578c1d61d90342cd617de7fc8077b1304643c2d85c1e",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.1.2"
+ },
+ "shelf_web_socket": {
+ "dependency": "direct main",
+ "description": {
+ "name": "shelf_web_socket",
+ "sha256": "9ca081be41c60190ebcb4766b2486a7d50261db7bd0f5d9615f2d653637a84c1",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.0.4"
+ },
+ "source_map_stack_trace": {
+ "dependency": "direct main",
+ "description": {
+ "name": "source_map_stack_trace",
+ "sha256": "84cf769ad83aa6bb61e0aa5a18e53aea683395f196a6f39c4c881fb90ed4f7ae",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.1.1"
+ },
+ "source_maps": {
+ "dependency": "direct main",
+ "description": {
+ "name": "source_maps",
+ "sha256": "708b3f6b97248e5781f493b765c3337db11c5d2c81c3094f10904bfa8004c703",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.10.12"
+ },
+ "source_span": {
+ "dependency": "direct main",
+ "description": {
+ "name": "source_span",
+ "sha256": "53e943d4206a5e30df338fd4c6e7a077e02254531b138a15aec3bd143c1a8b3c",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.10.0"
+ },
+ "sse": {
+ "dependency": "direct main",
+ "description": {
+ "name": "sse",
+ "sha256": "8168874cdbd42c36ea118ba9f88a656ad97f604f28c976c61cb6d5b281c5319c",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "4.1.4"
+ },
+ "stack_trace": {
+ "dependency": "direct main",
+ "description": {
+ "name": "stack_trace",
+ "sha256": "73713990125a6d93122541237550ee3352a2d84baad52d375a4cad2eb9b7ce0b",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.11.1"
+ },
+ "standard_message_codec": {
+ "dependency": "direct main",
+ "description": {
+ "name": "standard_message_codec",
+ "sha256": "fc7dd712d191b7e33196a0ecf354c4573492bb95995e7166cb6f73b047f9cae0",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.0.1+4"
+ },
+ "stream_channel": {
+ "dependency": "direct main",
+ "description": {
+ "name": "stream_channel",
+ "sha256": "ba2aa5d8cc609d96bbb2899c28934f9e1af5cddbd60a827822ea467161eb54e7",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.1.2"
+ },
+ "string_scanner": {
+ "dependency": "direct main",
+ "description": {
+ "name": "string_scanner",
+ "sha256": "556692adab6cfa87322a115640c11f13cb77b3f076ddcc5d6ae3c20242bedcde",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.2.0"
+ },
+ "sync_http": {
+ "dependency": "direct main",
+ "description": {
+ "name": "sync_http",
+ "sha256": "7f0cd72eca000d2e026bcd6f990b81d0ca06022ef4e32fb257b30d3d1014a961",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.3.1"
+ },
+ "term_glyph": {
+ "dependency": "direct main",
+ "description": {
+ "name": "term_glyph",
+ "sha256": "a29248a84fbb7c79282b40b8c72a1209db169a2e0542bce341da992fe1bc7e84",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.2.1"
+ },
+ "test": {
+ "dependency": "direct dev",
+ "description": {
+ "name": "test",
+ "sha256": "a1f7595805820fcc05e5c52e3a231aedd0b72972cb333e8c738a8b1239448b6f",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.24.9"
+ },
+ "test_api": {
+ "dependency": "direct main",
+ "description": {
+ "name": "test_api",
+ "sha256": "5c2f730018264d276c20e4f1503fd1308dfbbae39ec8ee63c5236311ac06954b",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.6.1"
+ },
+ "test_core": {
+ "dependency": "direct main",
+ "description": {
+ "name": "test_core",
+ "sha256": "a757b14fc47507060a162cc2530d9a4a2f92f5100a952c7443b5cad5ef5b106a",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.5.9"
+ },
+ "typed_data": {
+ "dependency": "direct main",
+ "description": {
+ "name": "typed_data",
+ "sha256": "facc8d6582f16042dd49f2463ff1bd6e2c9ef9f3d5da3d9b087e244a7b564b3c",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.3.2"
+ },
+ "unified_analytics": {
+ "dependency": "direct main",
+ "description": {
+ "name": "unified_analytics",
+ "sha256": "fbcb0ad896a15c1ddea7ec45e8bfc92a894490e5792e07b74b2e6e992f4c77f8",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "5.8.0"
+ },
+ "usage": {
+ "dependency": "direct main",
+ "description": {
+ "name": "usage",
+ "sha256": "0bdbde65a6e710343d02a56552eeaefd20b735e04bfb6b3ee025b6b22e8d0e15",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "4.1.1"
+ },
+ "uuid": {
+ "dependency": "direct main",
+ "description": {
+ "name": "uuid",
+ "sha256": "648e103079f7c64a36dc7d39369cabb358d377078a051d6ae2ad3aa539519313",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.0.7"
+ },
+ "vm_service": {
+ "dependency": "direct main",
+ "description": {
+ "name": "vm_service",
+ "sha256": "b3d56ff4341b8f182b96aceb2fa20e3dcb336b9f867bc0eafc0de10f1048e957",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "13.0.0"
+ },
+ "vm_service_interface": {
+ "dependency": "direct main",
+ "description": {
+ "name": "vm_service_interface",
+ "sha256": "a1897b14842d58ca75de00ccaec6d0bdc9806b4c3560d781ef61dc6851a66f76",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.0.0"
+ },
+ "vm_snapshot_analysis": {
+ "dependency": "direct main",
+ "description": {
+ "name": "vm_snapshot_analysis",
+ "sha256": "5a79b9fbb6be2555090f55b03b23907e75d44c3fd7bdd88da09848aa5a1914c8",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.7.6"
+ },
+ "watcher": {
+ "dependency": "direct main",
+ "description": {
+ "name": "watcher",
+ "sha256": "3d2ad6751b3c16cf07c7fca317a1413b3f26530319181b37e3b9039b84fc01d8",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.1.0"
+ },
+ "web": {
+ "dependency": "direct main",
+ "description": {
+ "name": "web",
+ "sha256": "edc8a9573dd8c5a83a183dae1af2b6fd4131377404706ca4e5420474784906fa",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "0.4.0"
+ },
+ "web_socket_channel": {
+ "dependency": "direct main",
+ "description": {
+ "name": "web_socket_channel",
+ "sha256": "045ec2137c27bf1a32e6ffa0e734d532a6677bf9016a0d1a406c54e499ff945b",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.4.1"
+ },
+ "webdriver": {
+ "dependency": "direct main",
+ "description": {
+ "name": "webdriver",
+ "sha256": "003d7da9519e1e5f329422b36c4dcdf18d7d2978d1ba099ea4e45ba490ed845e",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.0.3"
+ },
+ "webkit_inspection_protocol": {
+ "dependency": "direct main",
+ "description": {
+ "name": "webkit_inspection_protocol",
+ "sha256": "87d3f2333bb240704cd3f1c6b5b7acd8a10e7f0bc28c28dcf14e782014f4a572",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "1.2.1"
+ },
+ "xml": {
+ "dependency": "direct main",
+ "description": {
+ "name": "xml",
+ "sha256": "b015a8ad1c488f66851d762d3090a21c600e479dc75e68328c52774040cf9226",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "6.5.0"
+ },
+ "yaml": {
+ "dependency": "direct main",
+ "description": {
+ "name": "yaml",
+ "sha256": "75769501ea3489fca56601ff33454fe45507ea3bfb014161abc3b43ae25989d5",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "3.1.2"
+ },
+ "yaml_edit": {
+ "dependency": "direct main",
+ "description": {
+ "name": "yaml_edit",
+ "sha256": "1579d4a0340a83cf9e4d580ea51a16329c916973bffd5bd4b45e911b25d46bfd",
+ "url": "https://pub.dev"
+ },
+ "source": "hosted",
+ "version": "2.1.1"
+ }
+ },
+ "sdks": {
+ "dart": ">=3.3.0-91.0.dev <4.0.0"
+ }
+ }
+}
diff --git a/pkgs/development/compilers/flutter/versions/3_19/patches/disable-auto-update-shared.patch b/pkgs/development/compilers/flutter/versions/3_19/patches/disable-auto-update-shared.patch
new file mode 100644
index 000000000000..961b41f7327c
--- /dev/null
+++ b/pkgs/development/compilers/flutter/versions/3_19/patches/disable-auto-update-shared.patch
@@ -0,0 +1,13 @@
+diff --git a/bin/internal/shared.sh b/bin/internal/shared.sh
+index 75d9d3013e..657ad3cb78 100644
+--- a/bin/internal/shared.sh
++++ b/bin/internal/shared.sh
+@@ -245,7 +245,7 @@ function shared::execute() {
+ # and will corrupt each others' downloads.
+ #
+ # SHARED_NAME itself is prepared by the caller script.
+- upgrade_flutter 7< "$SHARED_NAME"
++ # upgrade_flutter 7< "$SHARED_NAME"
+
+ BIN_NAME="$(basename "$PROG_NAME")"
+ case "$BIN_NAME" in
diff --git a/pkgs/development/compilers/flux/default.nix b/pkgs/development/compilers/flux/default.nix
index 75386f21f743..bb3c0da52c61 100644
--- a/pkgs/development/compilers/flux/default.nix
+++ b/pkgs/development/compilers/flux/default.nix
@@ -15,6 +15,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "An interface description language used by DirectFB";
+ mainProgram = "fluxcomp";
homepage = "https://github.com/deniskropp/flux";
license = licenses.mit;
};
diff --git a/pkgs/development/compilers/fpc/lazarus.nix b/pkgs/development/compilers/fpc/lazarus.nix
index 54b81b80e994..ba2eeada5e46 100644
--- a/pkgs/development/compilers/fpc/lazarus.nix
+++ b/pkgs/development/compilers/fpc/lazarus.nix
@@ -9,12 +9,12 @@
# 1. the build date is embedded in the binary through `$I %DATE%` - we should dump that
let
- version = "2.2.2-0";
+ version = "3.2-0";
# as of 2.0.10 a suffix is being added. That may or may not disappear and then
# come back, so just leave this here.
majorMinorPatch = v:
- builtins.concatStringsSep "." (lib.take 3 (lib.splitVersion v));
+ builtins.concatStringsSep "." (lib.take 2 (lib.splitVersion v));
overrides = writeText "revision.inc" (lib.concatStringsSep "\n" (lib.mapAttrsToList (k: v:
"const ${k} = '${v}';") {
@@ -30,7 +30,7 @@ stdenv.mkDerivation rec {
src = fetchurl {
url = "mirror://sourceforge/lazarus/Lazarus%20Zip%20_%20GZip/Lazarus%20${majorMinorPatch version}/lazarus-${version}.tar.gz";
- sha256 = "a9832004cffec8aca69de87290441d54772bf95d5d04372249d5a5491fb674c4";
+ sha256 = "69f43f0a10b9e09deea5f35094c73b84464b82d3f40d8a2fcfcb5a5ab03c6edf";
};
postPatch = ''
@@ -87,7 +87,7 @@ stdenv.mkDerivation rec {
preBuild = ''
mkdir -p $out/share "$out/lazarus"
tar xf ${fpc.src} --strip-components=1 -C $out/share -m
- substituteInPlace ide/include/unix/lazbaseconf.inc \
+ substituteInPlace ide/packages/ideconfig/include/unix/lazbaseconf.inc \
--replace '/usr/fpcsrc' "$out/share/fpcsrc"
'';
diff --git a/pkgs/development/compilers/gbforth/default.nix b/pkgs/development/compilers/gbforth/default.nix
index e85e621cff16..882a25cdae07 100644
--- a/pkgs/development/compilers/gbforth/default.nix
+++ b/pkgs/development/compilers/gbforth/default.nix
@@ -42,6 +42,7 @@ stdenv.mkDerivation {
meta = with lib; {
homepage = "https://gbforth.org/";
description = "A Forth-based Game Boy development kit";
+ mainProgram = "gbforth";
longDescription = ''
A Forth-based Game Boy development kit.
It features a Forth-based assembler, a cross-compiler with support for
diff --git a/pkgs/development/compilers/gcc-arm-embedded/11/default.nix b/pkgs/development/compilers/gcc-arm-embedded/11/default.nix
index ad132f5bbf6c..4ed1ac9b99b0 100644
--- a/pkgs/development/compilers/gcc-arm-embedded/11/default.nix
+++ b/pkgs/development/compilers/gcc-arm-embedded/11/default.nix
@@ -2,7 +2,7 @@
, stdenv
, fetchurl
, ncurses5
-, python38
+, python39
, libxcrypt-legacy
, runtimeShell
}:
@@ -40,7 +40,7 @@ stdenv.mkDerivation rec {
find $out -type f | while read f; do
patchelf "$f" > /dev/null 2>&1 || continue
patchelf --set-interpreter $(cat ${stdenv.cc}/nix-support/dynamic-linker) "$f" || true
- patchelf --set-rpath ${lib.makeLibraryPath [ "$out" stdenv.cc.cc ncurses5 python38 libxcrypt-legacy ]} "$f" || true
+ patchelf --set-rpath ${lib.makeLibraryPath [ "$out" stdenv.cc.cc ncurses5 python39 libxcrypt-legacy ]} "$f" || true
done
'';
@@ -48,8 +48,8 @@ stdenv.mkDerivation rec {
mv $out/bin/arm-none-eabi-gdb $out/bin/arm-none-eabi-gdb-unwrapped
cat < $out/bin/arm-none-eabi-gdb
#!${runtimeShell}
- export PYTHONPATH=${python38}/lib/python3.8
- export PYTHONHOME=${python38}/bin/python3.8
+ export PYTHONPATH=${python39}/lib/python3.9
+ export PYTHONHOME=${python39.interpreter}
exec $out/bin/arm-none-eabi-gdb-unwrapped "\$@"
EOF
chmod +x $out/bin/arm-none-eabi-gdb
diff --git a/pkgs/development/compilers/gcc-arm-embedded/12/default.nix b/pkgs/development/compilers/gcc-arm-embedded/12/default.nix
index 8f18579f93f6..0407cfa71e09 100644
--- a/pkgs/development/compilers/gcc-arm-embedded/12/default.nix
+++ b/pkgs/development/compilers/gcc-arm-embedded/12/default.nix
@@ -2,7 +2,7 @@
, stdenv
, fetchurl
, ncurses5
-, python38
+, python39
, libxcrypt-legacy
, runtimeShell
}:
@@ -42,7 +42,7 @@ stdenv.mkDerivation rec {
find $out -type f | while read f; do
patchelf "$f" > /dev/null 2>&1 || continue
patchelf --set-interpreter $(cat ${stdenv.cc}/nix-support/dynamic-linker) "$f" || true
- patchelf --set-rpath ${lib.makeLibraryPath [ "$out" stdenv.cc.cc ncurses5 python38 libxcrypt-legacy ]} "$f" || true
+ patchelf --set-rpath ${lib.makeLibraryPath [ "$out" stdenv.cc.cc ncurses5 python39 libxcrypt-legacy ]} "$f" || true
done
'';
@@ -50,8 +50,8 @@ stdenv.mkDerivation rec {
mv $out/bin/arm-none-eabi-gdb $out/bin/arm-none-eabi-gdb-unwrapped
cat < $out/bin/arm-none-eabi-gdb
#!${runtimeShell}
- export PYTHONPATH=${python38}/lib/python3.8
- export PYTHONHOME=${python38}/bin/python3.8
+ export PYTHONPATH=${python39}/lib/python3.9
+ export PYTHONHOME=${python39.interpreter}
exec $out/bin/arm-none-eabi-gdb-unwrapped "\$@"
EOF
chmod +x $out/bin/arm-none-eabi-gdb
diff --git a/pkgs/development/compilers/gcc-arm-embedded/13/default.nix b/pkgs/development/compilers/gcc-arm-embedded/13/default.nix
index 14d7d215a00d..a16348dad02c 100644
--- a/pkgs/development/compilers/gcc-arm-embedded/13/default.nix
+++ b/pkgs/development/compilers/gcc-arm-embedded/13/default.nix
@@ -2,7 +2,7 @@
, stdenv
, fetchurl
, ncurses5
-, python38
+, python39
, libxcrypt-legacy
, runtimeShell
}:
@@ -42,7 +42,7 @@ stdenv.mkDerivation rec {
find $out -type f | while read f; do
patchelf "$f" > /dev/null 2>&1 || continue
patchelf --set-interpreter $(cat ${stdenv.cc}/nix-support/dynamic-linker) "$f" || true
- patchelf --set-rpath ${lib.makeLibraryPath [ "$out" stdenv.cc.cc ncurses5 python38 libxcrypt-legacy ]} "$f" || true
+ patchelf --set-rpath ${lib.makeLibraryPath [ "$out" stdenv.cc.cc ncurses5 python39 libxcrypt-legacy ]} "$f" || true
done
'';
@@ -50,8 +50,8 @@ stdenv.mkDerivation rec {
mv $out/bin/arm-none-eabi-gdb $out/bin/arm-none-eabi-gdb-unwrapped
cat < $out/bin/arm-none-eabi-gdb
#!${runtimeShell}
- export PYTHONPATH=${python38}/lib/python3.8
- export PYTHONHOME=${python38}/bin/python3.8
+ export PYTHONPATH=${python39}/lib/python3.9
+ export PYTHONHOME=${python39.interpreter}
exec $out/bin/arm-none-eabi-gdb-unwrapped "\$@"
EOF
chmod +x $out/bin/arm-none-eabi-gdb
diff --git a/pkgs/development/compilers/gcc/default.nix b/pkgs/development/compilers/gcc/default.nix
index 53bc057a5b25..cc3546bed22c 100644
--- a/pkgs/development/compilers/gcc/default.nix
+++ b/pkgs/development/compilers/gcc/default.nix
@@ -408,7 +408,7 @@ lib.pipe ((callFile ./common/builder.nix {}) ({
isGNU = true;
hardeningUnsupportedFlags = lib.optional is48 "stackprotector"
++ lib.optional (!atLeast11) "zerocallusedregs"
- ++ lib.optional (!atLeast12) "fortify3"
+ ++ lib.optionals (!atLeast12) [ "fortify3" "trivialautovarinit" ]
++ lib.optionals (langFortran) [ "fortify" "format" ];
};
diff --git a/pkgs/development/compilers/gcc/patches/12/mangle-NIX_STORE-in-__FILE__.patch b/pkgs/development/compilers/gcc/patches/12/mangle-NIX_STORE-in-__FILE__.patch
index 51078925eeb8..8a09af2183f1 100644
--- a/pkgs/development/compilers/gcc/patches/12/mangle-NIX_STORE-in-__FILE__.patch
+++ b/pkgs/development/compilers/gcc/patches/12/mangle-NIX_STORE-in-__FILE__.patch
@@ -1,4 +1,4 @@
-From b10785c1be469319a09b10bc69db21159b0599ee Mon Sep 17 00:00:00 2001
+From 30908556fece379ffd7c0da96c774d8bd297e459 Mon Sep 17 00:00:00 2001
From: Sergei Trofimovich
Date: Fri, 22 Sep 2023 22:41:49 +0100
Subject: [PATCH] gcc/file-prefix-map.cc: always mangle __FILE__ into invalid
@@ -14,9 +14,8 @@ Typical examples are `nix` -> `nlohmann_json` and `pipewire` ->
For this reason we want to remove the occurrences of hashes in the
expansion of `__FILE__`. `nuke-references` does it by replacing hashes
-by `eeeeee...` but those paths are also used for debug symbols. It is
-handy to be able to invert the transformation to go back to the original
-store path for debuginfod servers. The chosen solution is to make the
+by `eeeeee...`. It is handy to be able to invert the transformation to
+go back to the original store path. The chosen solution is to make the
hash uppercase:
- it does not trigger runtime references (except for all digit hashes,
which are unlikely enough)
@@ -42,25 +41,27 @@ Tested as:
...
Mangled successfully.
+
+To reverse the effect of the mangle use new `NIX_GCC_DONT_MANGLE_PREFIX_MAP`
+environment variable. It should not normally be needed.
--- a/gcc/file-prefix-map.cc
+++ b/gcc/file-prefix-map.cc
-@@ -60,6 +60,9 @@ add_prefix_map (file_prefix_map *&maps, const char *arg, const char *opt)
- maps = map;
- }
-
-+/* Forward declaration for a $NIX_STORE remap hack below. */
-+static file_prefix_map *macro_prefix_maps; /* -fmacro-prefix-map */
-+
- /* Perform user-specified mapping of filename prefixes. Return the
- GC-allocated new name corresponding to FILENAME or FILENAME if no
+@@ -65,7 +65,7 @@ add_prefix_map (file_prefix_map *&maps, const char *arg, const char *opt)
remapping was performed. */
-@@ -76,7 +79,31 @@ remap_filename (file_prefix_map *maps, const char *filename)
+
+ static const char *
+-remap_filename (file_prefix_map *maps, const char *filename)
++remap_filename (file_prefix_map *maps, const char *filename, bool mangle_nix_store = false)
+ {
+ file_prefix_map *map;
+ char *s;
+@@ -76,7 +76,31 @@ remap_filename (file_prefix_map *maps, const char *filename)
if (filename_ncmp (filename, map->old_prefix, map->old_len) == 0)
break;
if (!map)
- return filename;
+ {
-+ if (maps == macro_prefix_maps)
++ if (mangle_nix_store && getenv("NIX_GCC_DONT_MANGLE_PREFIX_MAP") == NULL)
+ {
+ /* Remap the 32 characters after $NIX_STORE/ to uppercase
+ *
@@ -76,7 +77,7 @@ Mangled successfully.
+ {
+ s = (char *) ggc_alloc_atomic (name_len + 1);
+ memcpy(s, name, name_len + 1);
-+ for (int i = nix_store_len + 1; i < nix_store_len + 1 + 32; i++) {
++ for (size_t i = nix_store_len + 1; i < nix_store_len + 1 + 32; i++) {
+ s[i] = TOUPPER(s[i]);
+ }
+ return s;
@@ -87,11 +88,12 @@ Mangled successfully.
name = filename + map->old_len;
name_len = strlen (name) + 1;
-@@ -90,7 +117,6 @@ remap_filename (file_prefix_map *maps, const char *filename)
- ignore it in DW_AT_producer (dwarf2out.cc). */
-
- /* Linked lists of file_prefix_map structures. */
--static file_prefix_map *macro_prefix_maps; /* -fmacro-prefix-map */
- static file_prefix_map *debug_prefix_maps; /* -fdebug-prefix-map */
- static file_prefix_map *profile_prefix_maps; /* -fprofile-prefix-map */
+@@ -129,7 +153,7 @@ add_profile_prefix_map (const char *arg)
+ const char *
+ remap_macro_filename (const char *filename)
+ {
+- return remap_filename (macro_prefix_maps, filename);
++ return remap_filename (macro_prefix_maps, filename, true);
+ }
+ /* Remap using -fdebug-prefix-map. Return the GC-allocated new name
diff --git a/pkgs/development/compilers/gcc/patches/13/mangle-NIX_STORE-in-__FILE__.patch b/pkgs/development/compilers/gcc/patches/13/mangle-NIX_STORE-in-__FILE__.patch
index 351d6d0f764b..87613c28eb08 100644
--- a/pkgs/development/compilers/gcc/patches/13/mangle-NIX_STORE-in-__FILE__.patch
+++ b/pkgs/development/compilers/gcc/patches/13/mangle-NIX_STORE-in-__FILE__.patch
@@ -1,4 +1,4 @@
-From b10785c1be469319a09b10bc69db21159b0599ee Mon Sep 17 00:00:00 2001
+From e160a8cd4a704f4b7724df02b62394f677cc4198 Mon Sep 17 00:00:00 2001
From: Sergei Trofimovich
Date: Fri, 22 Sep 2023 22:41:49 +0100
Subject: [PATCH] gcc/file-prefix-map.cc: always mangle __FILE__ into invalid
@@ -14,9 +14,8 @@ Typical examples are `nix` -> `nlohmann_json` and `pipewire` ->
For this reason we want to remove the occurrences of hashes in the
expansion of `__FILE__`. `nuke-references` does it by replacing hashes
-by `eeeeee...` but those paths are also used for debug symbols. It is
-handy to be able to invert the transformation to go back to the original
-store path for debuginfod servers. The chosen solution is to make the
+by `eeeeee...`. It is handy to be able to invert the transformation to
+go back to the original store path. The chosen solution is to make the
hash uppercase:
- it does not trigger runtime references (except for all digit hashes,
which are unlikely enough)
@@ -42,23 +41,25 @@ Tested as:
...
Mangled successfully.
+
+To reverse the effect of the mangle use new `NIX_GCC_DONT_MANGLE_PREFIX_MAP`
+environment variable. It should not normally be needed.
--- a/gcc/file-prefix-map.cc
+++ b/gcc/file-prefix-map.cc
-@@ -69,6 +69,9 @@ add_prefix_map (file_prefix_map *&maps, const char *arg, const char *opt)
- maps = map;
- }
-
-+/* Forward declaration for a $NIX_STORE remap hack below. */
-+static file_prefix_map *macro_prefix_maps; /* -fmacro-prefix-map */
-+
- /* Perform user-specified mapping of filename prefixes. Return the
- GC-allocated new name corresponding to FILENAME or FILENAME if no
+@@ -74,7 +74,7 @@ add_prefix_map (file_prefix_map *&maps, const char *arg, const char *opt)
remapping was performed. */
-@@ -102,6 +105,30 @@ remap_filename (file_prefix_map *maps, const char *filename)
+
+ static const char *
+-remap_filename (file_prefix_map *maps, const char *filename)
++remap_filename (file_prefix_map *maps, const char *filename, bool mangle_nix_store = false)
+ {
+ file_prefix_map *map;
+ char *s;
+@@ -102,6 +102,30 @@ remap_filename (file_prefix_map *maps, const char *filename)
break;
if (!map)
{
-+ if (maps == macro_prefix_maps)
++ if (mangle_nix_store && getenv("NIX_GCC_DONT_MANGLE_PREFIX_MAP") == NULL)
+ {
+ /* Remap all fo $NIX_STORE/.{32} paths to uppercase
+ *
@@ -74,7 +75,7 @@ Mangled successfully.
+ {
+ s = (char *) ggc_alloc_atomic (name_len + 1);
+ memcpy(s, name, name_len + 1);
-+ for (int i = nix_store_len + 1; i < nix_store_len + 1 + 32; i++) {
++ for (size_t i = nix_store_len + 1; i < nix_store_len + 1 + 32; i++) {
+ s[i] = TOUPPER(s[i]);
+ }
+ if (realname != filename)
@@ -85,11 +86,12 @@ Mangled successfully.
if (realname != filename)
free (const_cast (realname));
return filename;
-@@ -124,7 +151,6 @@ remap_filename (file_prefix_map *maps, const char *filename)
- ignore it in DW_AT_producer (gen_command_line_string in opts.cc). */
-
- /* Linked lists of file_prefix_map structures. */
--static file_prefix_map *macro_prefix_maps; /* -fmacro-prefix-map */
- static file_prefix_map *debug_prefix_maps; /* -fdebug-prefix-map */
- static file_prefix_map *profile_prefix_maps; /* -fprofile-prefix-map */
+@@ -163,7 +187,7 @@ add_profile_prefix_map (const char *arg)
+ const char *
+ remap_macro_filename (const char *filename)
+ {
+- return remap_filename (macro_prefix_maps, filename);
++ return remap_filename (macro_prefix_maps, filename, true);
+ }
+ /* Remap using -fdebug-prefix-map. Return the GC-allocated new name
diff --git a/pkgs/development/compilers/gcl/default.nix b/pkgs/development/compilers/gcl/default.nix
index 8ef2cedbf076..64d36ea67a73 100644
--- a/pkgs/development/compilers/gcl/default.nix
+++ b/pkgs/development/compilers/gcl/default.nix
@@ -29,6 +29,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "GNU Common Lisp compiler working via GCC";
+ mainProgram = "gcl";
maintainers = lib.teams.lisp.members;
license = licenses.gpl2;
platforms = platforms.linux;
diff --git a/pkgs/development/compilers/ghc/8.10.7.nix b/pkgs/development/compilers/ghc/8.10.7.nix
index c49c274c67d4..4a770d993a67 100644
--- a/pkgs/development/compilers/ghc/8.10.7.nix
+++ b/pkgs/development/compilers/ghc/8.10.7.nix
@@ -103,7 +103,7 @@ let
Stage1Only = ${if targetPlatform.system == hostPlatform.system then "NO" else "YES"}
CrossCompilePrefix = ${targetPrefix}
'' + lib.optionalString (!enableProfiledLibs) ''
- GhcLibWays = "v dyn"
+ BUILD_PROF_LIBS = NO
'' + lib.optionalString enableRelocatedStaticLibs ''
GhcLibHcOpts += -fPIC
GhcRtsHcOpts += -fPIC
diff --git a/pkgs/development/compilers/ghc/9.0.2.nix b/pkgs/development/compilers/ghc/9.0.2.nix
index bdfff2b795a4..175d1fe2450b 100644
--- a/pkgs/development/compilers/ghc/9.0.2.nix
+++ b/pkgs/development/compilers/ghc/9.0.2.nix
@@ -105,7 +105,7 @@ let
Stage1Only = ${if targetPlatform.system == hostPlatform.system then "NO" else "YES"}
CrossCompilePrefix = ${targetPrefix}
'' + lib.optionalString (!enableProfiledLibs) ''
- GhcLibWays = "v dyn"
+ BUILD_PROF_LIBS = NO
'' +
# -fexternal-dynamic-refs apparently (because it's not clear from the documentation)
# makes the GHC RTS able to load static libraries, which may be needed for TemplateHaskell.
diff --git a/pkgs/development/compilers/ghc/9.2.5.nix b/pkgs/development/compilers/ghc/9.2.5.nix
index 034a09511b2b..6c86e05ebbd4 100644
--- a/pkgs/development/compilers/ghc/9.2.5.nix
+++ b/pkgs/development/compilers/ghc/9.2.5.nix
@@ -105,7 +105,7 @@ let
Stage1Only = ${if targetPlatform.system == hostPlatform.system then "NO" else "YES"}
CrossCompilePrefix = ${targetPrefix}
'' + lib.optionalString (!enableProfiledLibs) ''
- GhcLibWays = "v dyn"
+ BUILD_PROF_LIBS = NO
'' +
# -fexternal-dynamic-refs apparently (because it's not clear from the documentation)
# makes the GHC RTS able to load static libraries, which may be needed for TemplateHaskell.
diff --git a/pkgs/development/compilers/ghc/9.2.6.nix b/pkgs/development/compilers/ghc/9.2.6.nix
index 83cd1e051c6a..6ff58f087f8a 100644
--- a/pkgs/development/compilers/ghc/9.2.6.nix
+++ b/pkgs/development/compilers/ghc/9.2.6.nix
@@ -105,7 +105,7 @@ let
Stage1Only = ${if targetPlatform.system == hostPlatform.system then "NO" else "YES"}
CrossCompilePrefix = ${targetPrefix}
'' + lib.optionalString (!enableProfiledLibs) ''
- GhcLibWays = "v dyn"
+ BUILD_PROF_LIBS = NO
'' +
# -fexternal-dynamic-refs apparently (because it's not clear from the documentation)
# makes the GHC RTS able to load static libraries, which may be needed for TemplateHaskell.
diff --git a/pkgs/development/compilers/ghc/9.2.7.nix b/pkgs/development/compilers/ghc/9.2.7.nix
index 4bf7252643de..f605bfda1981 100644
--- a/pkgs/development/compilers/ghc/9.2.7.nix
+++ b/pkgs/development/compilers/ghc/9.2.7.nix
@@ -105,7 +105,7 @@ let
Stage1Only = ${if targetPlatform.system == hostPlatform.system then "NO" else "YES"}
CrossCompilePrefix = ${targetPrefix}
'' + lib.optionalString (!enableProfiledLibs) ''
- GhcLibWays = "v dyn"
+ BUILD_PROF_LIBS = NO
'' +
# -fexternal-dynamic-refs apparently (because it's not clear from the documentation)
# makes the GHC RTS able to load static libraries, which may be needed for TemplateHaskell.
diff --git a/pkgs/development/compilers/ghc/9.2.8.nix b/pkgs/development/compilers/ghc/9.2.8.nix
index 0d469f733525..72cfdd242f42 100644
--- a/pkgs/development/compilers/ghc/9.2.8.nix
+++ b/pkgs/development/compilers/ghc/9.2.8.nix
@@ -105,7 +105,7 @@ let
Stage1Only = ${if targetPlatform.system == hostPlatform.system then "NO" else "YES"}
CrossCompilePrefix = ${targetPrefix}
'' + lib.optionalString (!enableProfiledLibs) ''
- GhcLibWays = "v dyn"
+ BUILD_PROF_LIBS = NO
'' +
# -fexternal-dynamic-refs apparently (because it's not clear from the documentation)
# makes the GHC RTS able to load static libraries, which may be needed for TemplateHaskell.
diff --git a/pkgs/development/compilers/ghc/9.4.5.nix b/pkgs/development/compilers/ghc/9.4.5.nix
index 9670d4a4fd57..298af6596e71 100644
--- a/pkgs/development/compilers/ghc/9.4.5.nix
+++ b/pkgs/development/compilers/ghc/9.4.5.nix
@@ -107,7 +107,7 @@ let
Stage1Only = ${if targetPlatform.system == hostPlatform.system then "NO" else "YES"}
CrossCompilePrefix = ${targetPrefix}
'' + lib.optionalString (!enableProfiledLibs) ''
- GhcLibWays = "v dyn"
+ BUILD_PROF_LIBS = NO
'' +
# -fexternal-dynamic-refs apparently (because it's not clear from the documentation)
# makes the GHC RTS able to load static libraries, which may be needed for TemplateHaskell.
diff --git a/pkgs/development/compilers/ghc/9.4.6.nix b/pkgs/development/compilers/ghc/9.4.6.nix
index f971f4e5a309..310787e477b2 100644
--- a/pkgs/development/compilers/ghc/9.4.6.nix
+++ b/pkgs/development/compilers/ghc/9.4.6.nix
@@ -107,7 +107,7 @@ let
Stage1Only = ${if targetPlatform.system == hostPlatform.system then "NO" else "YES"}
CrossCompilePrefix = ${targetPrefix}
'' + lib.optionalString (!enableProfiledLibs) ''
- GhcLibWays = "v dyn"
+ BUILD_PROF_LIBS = NO
'' +
# -fexternal-dynamic-refs apparently (because it's not clear from the documentation)
# makes the GHC RTS able to load static libraries, which may be needed for TemplateHaskell.
diff --git a/pkgs/development/compilers/ghc/9.4.7.nix b/pkgs/development/compilers/ghc/9.4.7.nix
index ac060dce91d0..e87f8fc3a907 100644
--- a/pkgs/development/compilers/ghc/9.4.7.nix
+++ b/pkgs/development/compilers/ghc/9.4.7.nix
@@ -107,7 +107,7 @@ let
Stage1Only = ${if targetPlatform.system == hostPlatform.system then "NO" else "YES"}
CrossCompilePrefix = ${targetPrefix}
'' + lib.optionalString (!enableProfiledLibs) ''
- GhcLibWays = "v dyn"
+ BUILD_PROF_LIBS = NO
'' +
# -fexternal-dynamic-refs apparently (because it's not clear from the documentation)
# makes the GHC RTS able to load static libraries, which may be needed for TemplateHaskell.
diff --git a/pkgs/development/compilers/ghc/9.4.8.nix b/pkgs/development/compilers/ghc/9.4.8.nix
index db79b72830d5..5ac2b60b1cd7 100644
--- a/pkgs/development/compilers/ghc/9.4.8.nix
+++ b/pkgs/development/compilers/ghc/9.4.8.nix
@@ -107,7 +107,7 @@ let
Stage1Only = ${if targetPlatform.system == hostPlatform.system then "NO" else "YES"}
CrossCompilePrefix = ${targetPrefix}
'' + lib.optionalString (!enableProfiledLibs) ''
- GhcLibWays = "v dyn"
+ BUILD_PROF_LIBS = NO
'' +
# -fexternal-dynamic-refs apparently (because it's not clear from the documentation)
# makes the GHC RTS able to load static libraries, which may be needed for TemplateHaskell.
diff --git a/pkgs/development/compilers/ghc/9.6.3-binary.nix b/pkgs/development/compilers/ghc/9.6.3-binary.nix
new file mode 100644
index 000000000000..b8ad3c1192eb
--- /dev/null
+++ b/pkgs/development/compilers/ghc/9.6.3-binary.nix
@@ -0,0 +1,410 @@
+{ lib, stdenv
+, fetchurl, perl, gcc
+, ncurses5
+, ncurses6, gmp, libiconv, numactl, libffi
+, llvmPackages
+, coreutils
+, targetPackages
+
+ # minimal = true; will remove files that aren't strictly necessary for
+ # regular builds and GHC bootstrapping.
+ # This is "useful" for staying within hydra's output limits for at least the
+ # aarch64-linux architecture.
+, minimal ? false
+}:
+
+# Prebuilt only does native
+assert stdenv.targetPlatform == stdenv.hostPlatform;
+
+let
+ downloadsUrl = "https://downloads.haskell.org/ghc";
+
+ # Copy sha256 from https://downloads.haskell.org/~ghc/9.6.3/SHA256SUMS
+ version = "9.6.3";
+
+ # Information about available bindists that we use in the build.
+ #
+ # # Bindist library checking
+ #
+ # The field `archSpecificLibraries` also provides a way for us get notified
+ # early when the upstream bindist changes its dependencies (e.g. because a
+ # newer Debian version is used that uses a new `ncurses` version).
+ #
+ # Usage:
+ #
+ # * You can find the `fileToCheckFor` of libraries by running `readelf -d`
+ # on the compiler binary (`exePathForLibraryCheck`).
+ # * To skip library checking for an architecture,
+ # set `exePathForLibraryCheck = null`.
+ # * To skip file checking for a specific arch specfic library,
+ # set `fileToCheckFor = null`.
+ ghcBinDists = {
+ # Binary distributions for the default libc (e.g. glibc, or libSystem on Darwin)
+ # nixpkgs uses for the respective system.
+ defaultLibc = {
+ i686-linux = {
+ variantSuffix = "";
+ src = {
+ url = "${downloadsUrl}/${version}/ghc-${version}-i386-deb9-linux.tar.xz";
+ sha256 = "58be26f8b8f6b5bd8baf5c32abb03e2c4621646b2142fab10e5c7de5af5c50f8";
+ };
+ exePathForLibraryCheck = "bin/ghc";
+ archSpecificLibraries = [
+ { nixPackage = gmp; fileToCheckFor = null; }
+ # The i686-linux bindist provided by GHC HQ is currently built on Debian 9,
+ # which link it against `libtinfo.so.5` (ncurses 5).
+ # Other bindists are linked `libtinfo.so.6` (ncurses 6).
+ { nixPackage = ncurses5; fileToCheckFor = "libtinfo.so.5"; }
+ ];
+ };
+ x86_64-linux = {
+ variantSuffix = "";
+ src = {
+ url = "${downloadsUrl}/${version}/ghc-${version}-x86_64-deb11-linux.tar.xz";
+ sha256 = "c4c0124857265926f1cf22a09d950d7ba989ff94053a4ddf3dcdab5359f4cab7";
+ };
+ exePathForLibraryCheck = "bin/ghc";
+ archSpecificLibraries = [
+ { nixPackage = gmp; fileToCheckFor = null; }
+ { nixPackage = ncurses6; fileToCheckFor = "libtinfo.so.6"; }
+ ];
+ };
+ aarch64-linux = {
+ variantSuffix = "";
+ src = {
+ url = "${downloadsUrl}/${version}/ghc-${version}-aarch64-deb10-linux.tar.xz";
+ sha256 = "03c389859319f09452081310fc13af7525063ea8930830ef76be2a14b312271e";
+ };
+ exePathForLibraryCheck = "bin/ghc";
+ archSpecificLibraries = [
+ { nixPackage = gmp; fileToCheckFor = null; }
+ { nixPackage = ncurses6; fileToCheckFor = "libtinfo.so.6"; }
+ { nixPackage = numactl; fileToCheckFor = null; }
+ ];
+ };
+ x86_64-darwin = {
+ variantSuffix = "";
+ src = {
+ url = "${downloadsUrl}/${version}/ghc-${version}-x86_64-apple-darwin.tar.xz";
+ sha256 = "dde46118ab8388fb1066312c097123e93b1dcf6ae366e3370f88ea456382c9db";
+ };
+ exePathForLibraryCheck = null; # we don't have a library check for darwin yet
+ archSpecificLibraries = [
+ { nixPackage = gmp; fileToCheckFor = null; }
+ { nixPackage = ncurses6; fileToCheckFor = null; }
+ { nixPackage = libiconv; fileToCheckFor = null; }
+ ];
+ };
+ aarch64-darwin = {
+ variantSuffix = "";
+ src = {
+ url = "${downloadsUrl}/${version}/ghc-${version}-aarch64-apple-darwin.tar.xz";
+ sha256 = "e1cdf458926b2eaf52d2a8287d99a965040ff9051171f5c3b7467049cf0eb213";
+ };
+ exePathForLibraryCheck = null; # we don't have a library check for darwin yet
+ archSpecificLibraries = [
+ { nixPackage = gmp; fileToCheckFor = null; }
+ { nixPackage = ncurses6; fileToCheckFor = null; }
+ { nixPackage = libiconv; fileToCheckFor = null; }
+ ];
+ };
+ };
+ # Binary distributions for the musl libc for the respective system.
+ musl = {
+ x86_64-linux = {
+ variantSuffix = "-musl";
+ src = {
+ url = "${downloadsUrl}/${version}/ghc-${version}-x86_64-alpine3_12-linux.tar.xz";
+ sha256 = "8f457af0aa40127049c11134c8793f64351a446e87da1f8ec256e1279b5ab61f";
+ };
+ exePathForLibraryCheck = "bin/ghc";
+ archSpecificLibraries = [
+ { nixPackage = gmp; fileToCheckFor = null; }
+ { nixPackage = ncurses6; fileToCheckFor = "libncursesw.so.6"; }
+ ];
+ };
+ };
+ };
+
+ distSetName = if stdenv.hostPlatform.isMusl then "musl" else "defaultLibc";
+
+ binDistUsed = ghcBinDists.${distSetName}.${stdenv.hostPlatform.system}
+ or (throw "cannot bootstrap GHC on this platform ('${stdenv.hostPlatform.system}' with libc '${distSetName}')");
+
+ gmpUsed = (builtins.head (
+ builtins.filter (
+ drv: lib.hasPrefix "gmp" (drv.nixPackage.name or "")
+ ) binDistUsed.archSpecificLibraries
+ )).nixPackage;
+
+ # GHC has other native backends (like PowerPC), but here only the ones
+ # we ship bindists for matter.
+ useLLVM = !(stdenv.targetPlatform.isx86
+ || (stdenv.targetPlatform.isAarch64 && stdenv.targetPlatform.isDarwin));
+
+ libPath =
+ lib.makeLibraryPath (
+ # Add arch-specific libraries.
+ map ({ nixPackage, ... }: nixPackage) binDistUsed.archSpecificLibraries
+ );
+
+ libEnvVar = lib.optionalString stdenv.hostPlatform.isDarwin "DY"
+ + "LD_LIBRARY_PATH";
+
+ runtimeDeps = [
+ targetPackages.stdenv.cc
+ targetPackages.stdenv.cc.bintools
+ coreutils # for cat
+ ]
+ ++ lib.optionals useLLVM [
+ (lib.getBin llvmPackages.llvm)
+ ]
+ # On darwin, we need unwrapped bintools as well (for otool)
+ ++ lib.optionals (stdenv.targetPlatform.linker == "cctools") [
+ targetPackages.stdenv.cc.bintools.bintools
+ ];
+
+in
+
+stdenv.mkDerivation rec {
+ inherit version;
+ pname = "ghc-binary${binDistUsed.variantSuffix}";
+
+ src = fetchurl binDistUsed.src;
+
+ nativeBuildInputs = [ perl ];
+
+ # Set LD_LIBRARY_PATH or equivalent so that the programs running as part
+ # of the bindist installer can find the libraries they expect.
+ # Cannot patchelf beforehand due to relative RPATHs that anticipate
+ # the final install location.
+ ${libEnvVar} = libPath;
+
+ postUnpack =
+ # Verify our assumptions of which `libtinfo.so` (ncurses) version is used,
+ # so that we know when ghc bindists upgrade that and we need to update the
+ # version used in `libPath`.
+ lib.optionalString
+ (binDistUsed.exePathForLibraryCheck != null)
+ # Note the `*` glob because some GHCs have a suffix when unpacked, e.g.
+ # the musl bindist has dir `ghc-VERSION-x86_64-unknown-linux/`.
+ # As a result, don't shell-quote this glob when splicing the string.
+ (let buildExeGlob = ''ghc-${version}*/"${binDistUsed.exePathForLibraryCheck}"''; in
+ lib.concatStringsSep "\n" [
+ (''
+ shopt -u nullglob
+ echo "Checking that ghc binary exists in bindist at ${buildExeGlob}"
+ if ! test -e ${buildExeGlob}; then
+ echo >&2 "GHC binary ${binDistUsed.exePathForLibraryCheck} could not be found in the bindist build directory (at ${buildExeGlob}) for arch ${stdenv.hostPlatform.system}, please check that ghcBinDists correctly reflect the bindist dependencies!"; exit 1;
+ fi
+ '')
+ (lib.concatMapStringsSep
+ "\n"
+ ({ fileToCheckFor, nixPackage }:
+ lib.optionalString (fileToCheckFor != null) ''
+ echo "Checking bindist for ${fileToCheckFor} to ensure that is still used"
+ if ! readelf -d ${buildExeGlob} | grep "${fileToCheckFor}"; then
+ echo >&2 "File ${fileToCheckFor} could not be found in ${binDistUsed.exePathForLibraryCheck} for arch ${stdenv.hostPlatform.system}, please check that ghcBinDists correctly reflect the bindist dependencies!"; exit 1;
+ fi
+
+ echo "Checking that the nix package ${nixPackage} contains ${fileToCheckFor}"
+ if ! test -e "${lib.getLib nixPackage}/lib/${fileToCheckFor}"; then
+ echo >&2 "Nix package ${nixPackage} did not contain ${fileToCheckFor} for arch ${stdenv.hostPlatform.system}, please check that ghcBinDists correctly reflect the bindist dependencies!"; exit 1;
+ fi
+ ''
+ )
+ binDistUsed.archSpecificLibraries
+ )
+ ])
+ # GHC has dtrace probes, which causes ld to try to open /usr/lib/libdtrace.dylib
+ # during linking
+ + lib.optionalString stdenv.isDarwin ''
+ export NIX_LDFLAGS+=" -no_dtrace_dof"
+ # not enough room in the object files for the full path to libiconv :(
+ for exe in $(find . -type f -executable); do
+ isMachO $exe || continue
+ ln -fs ${libiconv}/lib/libiconv.dylib $(dirname $exe)/libiconv.dylib
+ install_name_tool -change /usr/lib/libiconv.2.dylib @executable_path/libiconv.dylib -change /usr/local/lib/gcc/6/libgcc_s.1.dylib ${gcc.cc.lib}/lib/libgcc_s.1.dylib $exe
+ done
+ ''
+
+ # We have to patch the GMP paths for the ghc-bignum package, for hadrian by
+ # modifying the package-db directly
+ + ''
+ find . -name 'ghc-bignum*.conf' \
+ -exec sed -e '/^[a-z-]*library-dirs/a \ ${lib.getLib gmpUsed}/lib' -i {} \;
+ ''
+ # Similar for iconv and libffi on darwin
+ + lib.optionalString stdenv.isDarwin ''
+ find . -name 'base*.conf' \
+ -exec sed -e '/^[a-z-]*library-dirs/a \ ${lib.getLib libiconv}/lib' -i {} \;
+
+ # To link RTS in the end we also need libffi now
+ find . -name 'rts*.conf' \
+ -exec sed -e '/^[a-z-]*library-dirs/a \ ${lib.getLib libffi}/lib' \
+ -e 's@/Library/Developer/.*/usr/include/ffi@${lib.getDev libffi}/include@' \
+ -i {} \;
+ '' +
+ # aarch64 does HAVE_NUMA so -lnuma requires it in library-dirs in rts/package.conf.in
+ # FFI_LIB_DIR is a good indication of places it must be needed.
+ lib.optionalString (stdenv.hostPlatform.isLinux && stdenv.hostPlatform.isAarch64) ''
+ find . -name package.conf.in \
+ -exec sed -i "s@FFI_LIB_DIR@FFI_LIB_DIR ${numactl.out}/lib@g" {} \;
+ '' +
+ # Rename needed libraries and binaries, fix interpreter
+ lib.optionalString stdenv.isLinux ''
+ find . -type f -executable -exec patchelf \
+ --interpreter ${stdenv.cc.bintools.dynamicLinker} {} \;
+ '';
+
+ # fix for `configure: error: Your linker is affected by binutils #16177`
+ preConfigure = lib.optionalString
+ stdenv.targetPlatform.isAarch32
+ "LD=ld.gold";
+
+ # GHC has a patched config.sub and bindists' platforms should always work
+ dontUpdateAutotoolsGnuConfigScripts = true;
+
+ configurePlatforms = [ ];
+ configureFlags =
+ lib.optional stdenv.isDarwin "--with-gcc=${./gcc-clang-wrapper.sh}"
+ # From: https://github.com/NixOS/nixpkgs/pull/43369/commits
+ ++ lib.optional stdenv.hostPlatform.isMusl "--disable-ld-override";
+
+ # No building is necessary, but calling make without flags ironically
+ # calls install-strip ...
+ dontBuild = true;
+
+ # Patch scripts to include runtime dependencies in $PATH.
+ postInstall = ''
+ for i in "$out/bin/"*; do
+ test ! -h "$i" || continue
+ isScript "$i" || continue
+ sed -i -e '2i export PATH="${lib.makeBinPath runtimeDeps}:$PATH"' "$i"
+ done
+ '';
+
+ # Apparently necessary for the ghc Alpine (musl) bindist:
+ # When we strip, and then run the
+ # patchelf --set-rpath "${libPath}:$(patchelf --print-rpath $p)" $p
+ # below, running ghc (e.g. during `installCheckPhase)` gives some apparently
+ # corrupted rpath or whatever makes the loader work on nonsensical strings:
+ # running install tests
+ # Error relocating /nix/store/...-ghc-8.10.2-binary/lib/ghc-8.10.5/bin/ghc: : symbol not found
+ # Error relocating /nix/store/...-ghc-8.10.2-binary/lib/ghc-8.10.5/bin/ghc: ir6zf6c9f86pfx8sr30n2vjy-ghc-8.10.2-binary/lib/ghc-8.10.5/bin/../lib/x86_64-linux-ghc-8.10.5/libHSexceptions-0.10.4-ghc8.10.5.so: symbol not found
+ # Error relocating /nix/store/...-ghc-8.10.2-binary/lib/ghc-8.10.5/bin/ghc: y/lib/ghc-8.10.5/bin/../lib/x86_64-linux-ghc-8.10.5/libHStemplate-haskell-2.16.0.0-ghc8.10.5.so: symbol not found
+ # Error relocating /nix/store/...-ghc-8.10.2-binary/lib/ghc-8.10.5/bin/ghc: 8.10.5/libHStemplate-haskell-2.16.0.0-ghc8.10.5.so: symbol not found
+ # Error relocating /nix/store/...-ghc-8.10.2-binary/lib/ghc-8.10.5/bin/ghc: �: symbol not found
+ # Error relocating /nix/store/...-ghc-8.10.2-binary/lib/ghc-8.10.5/bin/ghc: �?: symbol not found
+ # Error relocating /nix/store/...-ghc-8.10.2-binary/lib/ghc-8.10.5/bin/ghc: 64-linux-ghc-8.10.5/libHSexceptions-0.10.4-ghc8.10.5.so: symbol not found
+ # This is extremely bogus and should be investigated.
+ dontStrip = if stdenv.hostPlatform.isMusl then true else false; # `if` for explicitness
+
+ # On Linux, use patchelf to modify the executables so that they can
+ # find editline/gmp.
+ postFixup = lib.optionalString (stdenv.isLinux && !(binDistUsed.isStatic or false))
+ (if stdenv.hostPlatform.isAarch64 then
+ # Keep rpath as small as possible on aarch64 for patchelf#244. All Elfs
+ # are 2 directories deep from $out/lib, so pooling symlinks there makes
+ # a short rpath.
+ ''
+ (cd $out/lib; ln -s ${ncurses6.out}/lib/libtinfo.so.6)
+ (cd $out/lib; ln -s ${lib.getLib gmpUsed}/lib/libgmp.so.10)
+ (cd $out/lib; ln -s ${numactl.out}/lib/libnuma.so.1)
+ for p in $(find "$out/lib" -type f -name "*\.so*"); do
+ (cd $out/lib; ln -s $p)
+ done
+
+ for p in $(find "$out/lib" -type f -executable); do
+ if isELF "$p"; then
+ echo "Patchelfing $p"
+ patchelf --set-rpath "\$ORIGIN:\$ORIGIN/../.." $p
+ fi
+ done
+ ''
+ else
+ ''
+ for p in $(find "$out" -type f -executable); do
+ if isELF "$p"; then
+ echo "Patchelfing $p"
+ patchelf --set-rpath "${libPath}:$(patchelf --print-rpath $p)" $p
+ fi
+ done
+ '') + lib.optionalString stdenv.isDarwin ''
+ # not enough room in the object files for the full path to libiconv :(
+ for exe in $(find "$out" -type f -executable); do
+ isMachO $exe || continue
+ ln -fs ${libiconv}/lib/libiconv.dylib $(dirname $exe)/libiconv.dylib
+ install_name_tool -change /usr/lib/libiconv.2.dylib @executable_path/libiconv.dylib -change /usr/local/lib/gcc/6/libgcc_s.1.dylib ${gcc.cc.lib}/lib/libgcc_s.1.dylib $exe
+ done
+
+ for file in $(find "$out" -name setup-config); do
+ substituteInPlace $file --replace /usr/bin/ranlib "$(type -P ranlib)"
+ done
+ ''
+ # Recache package db which needs to happen for Hadrian bindists
+ # where we modify the package db before installing
+ + ''
+ package_db=("$out"/lib/ghc-*/lib/package.conf.d)
+ "$out/bin/ghc-pkg" --package-db="$package_db" recache
+ '';
+
+ # In nixpkgs, musl based builds currently enable `pie` hardening by default
+ # (see `defaultHardeningFlags` in `make-derivation.nix`).
+ # But GHC cannot currently produce outputs that are ready for `-pie` linking.
+ # Thus, disable `pie` hardening, otherwise `recompile with -fPIE` errors appear.
+ # See:
+ # * https://github.com/NixOS/nixpkgs/issues/129247
+ # * https://gitlab.haskell.org/ghc/ghc/-/issues/19580
+ hardeningDisable = lib.optional stdenv.targetPlatform.isMusl "pie";
+
+ doInstallCheck = true;
+ installCheckPhase = ''
+ # Sanity check, can ghc create executables?
+ cd $TMP
+ mkdir test-ghc; cd test-ghc
+ cat > main.hs << EOF
+ {-# LANGUAGE TemplateHaskell #-}
+ module Main where
+ main = putStrLn \$([|"yes"|])
+ EOF
+ env -i $out/bin/ghc --make main.hs || exit 1
+ echo compilation ok
+ [ $(./main) == "yes" ]
+ '';
+
+ passthru = {
+ targetPrefix = "";
+ enableShared = true;
+
+ inherit llvmPackages;
+
+ # Our Cabal compiler name
+ haskellCompilerName = "ghc-${version}";
+
+ # Normal GHC derivations expose the hadrian derivation used to build them
+ # here. In the case of bindists we just make sure that the attribute exists,
+ # as it is used for checking if a GHC derivation has been built with hadrian.
+ hadrian = null;
+ };
+
+ meta = rec {
+ homepage = "http://haskell.org/ghc";
+ description = "The Glasgow Haskell Compiler";
+ license = lib.licenses.bsd3;
+ # HACK: since we can't encode the libc / abi in platforms, we need
+ # to make the platform list dependent on the evaluation platform
+ # in order to avoid eval errors with musl which supports less
+ # platforms than the default libcs (i. e. glibc / libSystem).
+ # This is done for the benefit of Hydra, so `packagePlatforms`
+ # won't return any platforms that would cause an evaluation
+ # failure for `pkgsMusl.haskell.compiler.ghc922Binary`, as
+ # long as the evaluator runs on a platform that supports
+ # `pkgsMusl`.
+ platforms = builtins.attrNames ghcBinDists.${distSetName};
+ maintainers = lib.teams.haskell.members;
+ # packages involving hsc2hs (clock) produce libraries our
+ # ld can't link against
+ broken = stdenv.hostPlatform.isDarwin;
+ };
+}
diff --git a/pkgs/development/compilers/ghc/9.8.2.nix b/pkgs/development/compilers/ghc/9.8.2.nix
new file mode 100644
index 000000000000..0a7b109013bf
--- /dev/null
+++ b/pkgs/development/compilers/ghc/9.8.2.nix
@@ -0,0 +1,4 @@
+import ./common-hadrian.nix rec {
+ version = "9.8.2";
+ sha256 = "4vt6fddGEjfSLoNlqD7dnhp30uFdBF85RTloRah3gck=";
+}
diff --git a/pkgs/development/compilers/ghc/common-hadrian.nix b/pkgs/development/compilers/ghc/common-hadrian.nix
index f4d2a279a678..465db3a25296 100644
--- a/pkgs/development/compilers/ghc/common-hadrian.nix
+++ b/pkgs/development/compilers/ghc/common-hadrian.nix
@@ -528,6 +528,10 @@ stdenv.mkDerivation ({
] ++ lib.teams.haskell.members;
timeout = 24 * 3600;
inherit (ghc.meta) license platforms;
+ # https://github.com/NixOS/nixpkgs/issues/208959
+ broken =
+ (lib.versionAtLeast version "9.6" && lib.versionOlder version "9.8")
+ && stdenv.targetPlatform.isStatic;
};
dontStrip = targetPlatform.useAndroidPrebuilt || targetPlatform.isWasm;
diff --git a/pkgs/development/compilers/gleam/default.nix b/pkgs/development/compilers/gleam/default.nix
index 8571950fef3a..5dc3976025a8 100644
--- a/pkgs/development/compilers/gleam/default.nix
+++ b/pkgs/development/compilers/gleam/default.nix
@@ -12,13 +12,13 @@
rustPlatform.buildRustPackage rec {
pname = "gleam";
- version = "0.34.0";
+ version = "1.0.0";
src = fetchFromGitHub {
owner = "gleam-lang";
repo = pname;
rev = "refs/tags/v${version}";
- hash = "sha256-cqJNNSN3x2tr6/i7kXAlvIaU9SfyPWBE4c6twc/p1lY=";
+ hash = "sha256-gPlRihwK+J7s1SeymfVdVo/KIV+eEqxlLVOgsDWW9yo";
};
nativeBuildInputs = [ git pkg-config ];
@@ -26,12 +26,13 @@ rustPlatform.buildRustPackage rec {
buildInputs = [ openssl ] ++
lib.optionals stdenv.isDarwin [ Security SystemConfiguration ];
- cargoHash = "sha256-mCMfVYbpUik8oc7TLLAXPBmBUchy+quAZLmd9pqCZ7Y=";
+ cargoHash = "sha256-ouu4Y1085dGSM7kGIWE+hBde6ZUOA1fO0AcHYXPOWzo=";
passthru.updateScript = nix-update-script { };
meta = with lib; {
description = "A statically typed language for the Erlang VM";
+ mainProgram = "gleam";
homepage = "https://gleam.run/";
license = licenses.asl20;
maintainers = teams.beam.members;
diff --git a/pkgs/development/compilers/glslang/default.nix b/pkgs/development/compilers/glslang/default.nix
index 5387eb15b713..1f84487239d2 100644
--- a/pkgs/development/compilers/glslang/default.nix
+++ b/pkgs/development/compilers/glslang/default.nix
@@ -9,13 +9,13 @@
}:
stdenv.mkDerivation rec {
pname = "glslang";
- version = "14.0.0";
+ version = "14.1.0";
src = fetchFromGitHub {
owner = "KhronosGroup";
repo = "glslang";
rev = version;
- hash = "sha256-7kIIU45pe+IF7lGltpIKSvQBmcXR+TWFvmx7ztMNrpc=";
+ hash = "sha256-trm5bR3/2w+oQppHQBB+b6l0N0sLjTk5+rRGDfnGb+8=";
};
# These get set at all-packages, keep onto them for child drvs
diff --git a/pkgs/development/compilers/gmqcc/default.nix b/pkgs/development/compilers/gmqcc/default.nix
index f3b25e2dbd4a..a030133fba52 100644
--- a/pkgs/development/compilers/gmqcc/default.nix
+++ b/pkgs/development/compilers/gmqcc/default.nix
@@ -27,6 +27,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://graphitemaster.github.io/gmqcc/";
description = "A modern QuakeC compiler";
+ mainProgram = "gmqcc";
longDescription = ''
For an enduring period of time the options for a decent compiler for
the Quake C programming language were confined to a specific compiler
diff --git a/pkgs/development/compilers/go/1.20.nix b/pkgs/development/compilers/go/1.20.nix
deleted file mode 100644
index 5b3cbdd4cc81..000000000000
--- a/pkgs/development/compilers/go/1.20.nix
+++ /dev/null
@@ -1,189 +0,0 @@
-{ lib
-, stdenv
-, fetchurl
-, tzdata
-, substituteAll
-, iana-etc
-, Security
-, Foundation
-, xcbuild
-, mailcap
-, buildPackages
-, pkgsBuildTarget
-, threadsCross
-, testers
-, skopeo
-, buildGo120Module
-}:
-
-let
- useGccGoBootstrap = stdenv.buildPlatform.isMusl || stdenv.buildPlatform.isRiscV;
- goBootstrap = if useGccGoBootstrap then buildPackages.gccgo12 else buildPackages.callPackage ./bootstrap117.nix { };
-
- skopeoTest = skopeo.override { buildGoModule = buildGo120Module; };
-
- goarch = platform: {
- "aarch64" = "arm64";
- "arm" = "arm";
- "armv5tel" = "arm";
- "armv6l" = "arm";
- "armv7l" = "arm";
- "i686" = "386";
- "mips" = "mips";
- "mips64el" = "mips64le";
- "mipsel" = "mipsle";
- "powerpc64le" = "ppc64le";
- "riscv64" = "riscv64";
- "s390x" = "s390x";
- "x86_64" = "amd64";
- }.${platform.parsed.cpu.name} or (throw "Unsupported system: ${platform.parsed.cpu.name}");
-
- # We need a target compiler which is still runnable at build time,
- # to handle the cross-building case where build != host == target
- targetCC = pkgsBuildTarget.targetPackages.stdenv.cc;
-
- isCross = stdenv.buildPlatform != stdenv.targetPlatform;
-in
-stdenv.mkDerivation (finalAttrs: {
- pname = "go";
- version = "1.20.14";
-
- src = fetchurl {
- url = "https://go.dev/dl/go${finalAttrs.version}.src.tar.gz";
- hash = "sha256-Gu8yGg4+OLfpHS1+tkBAZmyr3Md9OD3jyVItDWm2f04=";
- };
-
- strictDeps = true;
- buildInputs = [ ]
- ++ lib.optionals stdenv.isLinux [ stdenv.cc.libc.out ]
- ++ lib.optionals (stdenv.hostPlatform.libc == "glibc") [ stdenv.cc.libc.static ];
-
- depsTargetTargetPropagated = lib.optionals stdenv.targetPlatform.isDarwin [ Foundation Security xcbuild ];
-
- depsBuildTarget = lib.optional isCross targetCC;
-
- depsTargetTarget = lib.optional stdenv.targetPlatform.isWindows threadsCross.package;
-
- postPatch = ''
- patchShebangs .
- '';
-
- patches = [
- (substituteAll {
- src = ./iana-etc-1.17.patch;
- iana = iana-etc;
- })
- # Patch the mimetype database location which is missing on NixOS.
- # but also allow static binaries built with NixOS to run outside nix
- (substituteAll {
- src = ./mailcap-1.17.patch;
- inherit mailcap;
- })
- # prepend the nix path to the zoneinfo files but also leave the original value for static binaries
- # that run outside a nix server
- (substituteAll {
- src = ./tzdata-1.19.patch;
- inherit tzdata;
- })
- ./remove-tools-1.11.patch
- ./go_no_vendor_checks-1.16.patch
- ];
-
- GOOS = stdenv.targetPlatform.parsed.kernel.name;
- GOARCH = goarch stdenv.targetPlatform;
- # GOHOSTOS/GOHOSTARCH must match the building system, not the host system.
- # Go will nevertheless build a for host system that we will copy over in
- # the install phase.
- GOHOSTOS = stdenv.buildPlatform.parsed.kernel.name;
- GOHOSTARCH = goarch stdenv.buildPlatform;
-
- # {CC,CXX}_FOR_TARGET must be only set for cross compilation case as go expect those
- # to be different from CC/CXX
- CC_FOR_TARGET =
- if isCross then
- "${targetCC}/bin/${targetCC.targetPrefix}cc"
- else
- null;
- CXX_FOR_TARGET =
- if isCross then
- "${targetCC}/bin/${targetCC.targetPrefix}c++"
- else
- null;
-
- GOARM = toString (lib.intersectLists [ (stdenv.hostPlatform.parsed.cpu.version or "") ] [ "5" "6" "7" ]);
- GO386 = "softfloat"; # from Arch: don't assume sse2 on i686
- CGO_ENABLED = 1;
-
- GOROOT_BOOTSTRAP = if useGccGoBootstrap then goBootstrap else "${goBootstrap}/share/go";
-
- buildPhase = ''
- runHook preBuild
- export GOCACHE=$TMPDIR/go-cache
- # this is compiled into the binary
- export GOROOT_FINAL=$out/share/go
-
- export PATH=$(pwd)/bin:$PATH
-
- ${lib.optionalString isCross ''
- # Independent from host/target, CC should produce code for the building system.
- # We only set it when cross-compiling.
- export CC=${buildPackages.stdenv.cc}/bin/cc
- ''}
- ulimit -a
-
- pushd src
- ./make.bash
- popd
- runHook postBuild
- '';
-
- preInstall = ''
- # Contains the wrong perl shebang when cross compiling,
- # since it is not used for anything we can deleted as well.
- rm src/regexp/syntax/make_perl_groups.pl
- '' + (if (stdenv.buildPlatform.system != stdenv.hostPlatform.system) then ''
- mv bin/*_*/* bin
- rmdir bin/*_*
- ${lib.optionalString (!(finalAttrs.GOHOSTARCH == finalAttrs.GOARCH && finalAttrs.GOOS == finalAttrs.GOHOSTOS)) ''
- rm -rf pkg/${finalAttrs.GOHOSTOS}_${finalAttrs.GOHOSTARCH} pkg/tool/${finalAttrs.GOHOSTOS}_${finalAttrs.GOHOSTARCH}
- ''}
- '' else lib.optionalString (stdenv.hostPlatform.system != stdenv.targetPlatform.system) ''
- rm -rf bin/*_*
- ${lib.optionalString (!(finalAttrs.GOHOSTARCH == finalAttrs.GOARCH && finalAttrs.GOOS == finalAttrs.GOHOSTOS)) ''
- rm -rf pkg/${finalAttrs.GOOS}_${finalAttrs.GOARCH} pkg/tool/${finalAttrs.GOOS}_${finalAttrs.GOARCH}
- ''}
- '');
-
- installPhase = ''
- runHook preInstall
- mkdir -p $GOROOT_FINAL
- cp -a bin pkg src lib misc api doc $GOROOT_FINAL
- mkdir -p $out/bin
- ln -s $GOROOT_FINAL/bin/* $out/bin
- runHook postInstall
- '';
-
- disallowedReferences = [ goBootstrap ];
-
- passthru = {
- inherit goBootstrap skopeoTest;
- tests = {
- skopeo = testers.testVersion { package = skopeoTest; };
- version = testers.testVersion {
- package = finalAttrs.finalPackage;
- command = "go version";
- version = "go${finalAttrs.version}";
- };
- };
- };
-
- meta = with lib; {
- changelog = "https://go.dev/doc/devel/release#go${lib.versions.majorMinor finalAttrs.version}";
- description = "The Go Programming language";
- homepage = "https://go.dev/";
- license = licenses.bsd3;
- maintainers = teams.golang.members;
- platforms = platforms.darwin ++ platforms.linux;
- mainProgram = "go";
- };
-})
diff --git a/pkgs/development/compilers/go/1.21.nix b/pkgs/development/compilers/go/1.21.nix
index 06391b1740a6..8250cf9515b9 100644
--- a/pkgs/development/compilers/go/1.21.nix
+++ b/pkgs/development/compilers/go/1.21.nix
@@ -46,11 +46,11 @@ let
in
stdenv.mkDerivation (finalAttrs: {
pname = "go";
- version = "1.21.6";
+ version = "1.21.8";
src = fetchurl {
url = "https://go.dev/dl/go${finalAttrs.version}.src.tar.gz";
- hash = "sha256-Ekkmpi5F942qu67bnAEdl2MxhqM8I4/8HiUyDAIEYkg=";
+ hash = "sha256-3IBs91qH4UFLW0w9y53T6cyY9M/M7EK3r2F9WmWKPEM=";
};
strictDeps = true;
diff --git a/pkgs/development/compilers/go/1.22.nix b/pkgs/development/compilers/go/1.22.nix
index d5fc4ae0bdd7..ddab1d422b2c 100644
--- a/pkgs/development/compilers/go/1.22.nix
+++ b/pkgs/development/compilers/go/1.22.nix
@@ -46,11 +46,11 @@ let
in
stdenv.mkDerivation (finalAttrs: {
pname = "go";
- version = "1.22.0";
+ version = "1.22.1";
src = fetchurl {
url = "https://go.dev/dl/go${finalAttrs.version}.src.tar.gz";
- hash = "sha256-TRlsPUGg1sHfxk0E48wfYIsMQ2vYe3Bgzj4jI04fTVw=";
+ hash = "sha256-ecm5HX8QlRWiX8Ps2q0SXWfmvbVPbU2YWA9GeZyuoyE=";
};
strictDeps = true;
diff --git a/pkgs/development/compilers/graalvm/community-edition/buildGraalvmProduct.nix b/pkgs/development/compilers/graalvm/community-edition/buildGraalvmProduct.nix
index 579e40580802..907441ff68c4 100644
--- a/pkgs/development/compilers/graalvm/community-edition/buildGraalvmProduct.nix
+++ b/pkgs/development/compilers/graalvm/community-edition/buildGraalvmProduct.nix
@@ -72,5 +72,6 @@ stdenv.mkDerivation ({
meta = ({
inherit (graalvm-ce.meta) homepage license sourceProvenance maintainers platforms;
description = "High-Performance Polyglot VM (Product: ${product})";
+ mainProgram = "js";
} // (args.meta or { }));
} // extraArgs)
diff --git a/pkgs/development/compilers/graalvm/community-edition/graalpy/hashes.nix b/pkgs/development/compilers/graalvm/community-edition/graalpy/hashes.nix
index 84bb1660f7b5..1d76cfdbdd80 100644
--- a/pkgs/development/compilers/graalvm/community-edition/graalpy/hashes.nix
+++ b/pkgs/development/compilers/graalvm/community-edition/graalpy/hashes.nix
@@ -1,22 +1,22 @@
# Generated by update.sh script
{
- "version" = "23.1.2";
+ "version" = "24.0.0";
"hashes" = {
"aarch64-linux" = {
- sha256 = "0ypzhi22q2d1dfl3qf3yfsaqdsrj8sksc2spa79rdqdr690li4y9";
- url = "https://github.com/oracle/graalpython/releases/download/graal-23.1.2/graalpy-community-23.1.2-linux-aarch64.tar.gz";
+ sha256 = "1hz56nvl7av3xvwm7bxrzyri289h6hbawxsacn4zr7nm1snjn7i0";
+ url = "https://github.com/oracle/graalpython/releases/download/graal-24.0.0/graalpy-community-24.0.0-linux-aarch64.tar.gz";
};
"x86_64-linux" = {
- sha256 = "1n99hzf1sidacv4qr5j2b3dpwrc6qb71alwfkdxjqx6xv7g7nmr7";
- url = "https://github.com/oracle/graalpython/releases/download/graal-23.1.2/graalpy-community-23.1.2-linux-amd64.tar.gz";
+ sha256 = "1ngqwrx1bc22jm12gmwqmqjfhhccpim1pai6885vg5xqsvc94y57";
+ url = "https://github.com/oracle/graalpython/releases/download/graal-24.0.0/graalpy-community-24.0.0-linux-amd64.tar.gz";
};
"x86_64-darwin" = {
- sha256 = "0g2xj5hiq8idacdm0jlg5lvvv98f38p6fjb839gfacsb25pmhkg7";
- url = "https://github.com/oracle/graalpython/releases/download/graal-23.1.2/graalpy-community-23.1.2-macos-amd64.tar.gz";
+ sha256 = "07bh2fgk3l7vpws91ah48dsbrvvlq8wzfq88wq6ywilbikmnp0bw";
+ url = "https://github.com/oracle/graalpython/releases/download/graal-24.0.0/graalpy-community-24.0.0-macos-amd64.tar.gz";
};
"aarch64-darwin" = {
- sha256 = "0qinxqkkm9bfnzr43p3yhs3alfnl4pppca6yahsk5bp8ngyzasfj";
- url = "https://github.com/oracle/graalpython/releases/download/graal-23.1.2/graalpy-community-23.1.2-macos-aarch64.tar.gz";
+ sha256 = "00kljb24835l51jrnzdfblbhf2psdfw3wg00rllcdhpmiji40mbz";
+ url = "https://github.com/oracle/graalpython/releases/download/graal-24.0.0/graalpy-community-24.0.0-macos-aarch64.tar.gz";
};
};
}
diff --git a/pkgs/development/compilers/graalvm/community-edition/graalvm-ce/hashes.nix b/pkgs/development/compilers/graalvm/community-edition/graalvm-ce/hashes.nix
index 45028f3d544b..1ce3b864a6bf 100644
--- a/pkgs/development/compilers/graalvm/community-edition/graalvm-ce/hashes.nix
+++ b/pkgs/development/compilers/graalvm/community-edition/graalvm-ce/hashes.nix
@@ -1,22 +1,22 @@
# Generated by update.sh script
{
- "version" = "21.0.2";
+ "version" = "22.0.0";
"hashes" = {
"aarch64-linux" = {
- sha256 = "0yndazvc4kyr9widfn8ql5vd57m4m5inqz2wcpsarw38rs8ycjx3";
- url = "https://github.com/graalvm/graalvm-ce-builds/releases/download/jdk-21.0.2/graalvm-community-jdk-21.0.2_linux-aarch64_bin.tar.gz";
+ sha256 = "01097qag9kjjwh3q11j76bn79x80dm8h5rdd6gzwrsqhn48hx6ns";
+ url = "https://github.com/graalvm/graalvm-ce-builds/releases/download/jdk-22.0.0/graalvm-community-jdk-22.0.0_linux-aarch64_bin.tar.gz";
};
"x86_64-linux" = {
- sha256 = "0j5ffszcaqv3fq159hyb611jm8w1q4n1cywmbd7vi69smad0cj5h";
- url = "https://github.com/graalvm/graalvm-ce-builds/releases/download/jdk-21.0.2/graalvm-community-jdk-21.0.2_linux-x64_bin.tar.gz";
+ sha256 = "0mq6vdsfgas8lhz1vdiz8qya37fy7qn0078q30zpa09ila3b9vp5";
+ url = "https://github.com/graalvm/graalvm-ce-builds/releases/download/jdk-22.0.0/graalvm-community-jdk-22.0.0_linux-x64_bin.tar.gz";
};
"x86_64-darwin" = {
- sha256 = "1qfrn1068idnkzd6mdpw1x17sqrj59rz9avphj8225sxlhzsk2ks";
- url = "https://github.com/graalvm/graalvm-ce-builds/releases/download/jdk-21.0.2/graalvm-community-jdk-21.0.2_macos-x64_bin.tar.gz";
+ sha256 = "1l8h9ai7s4km8wx1x43s3barnbq7b6hzfr1mbbmiksng1d2p26v9";
+ url = "https://github.com/graalvm/graalvm-ce-builds/releases/download/jdk-22.0.0/graalvm-community-jdk-22.0.0_macos-x64_bin.tar.gz";
};
"aarch64-darwin" = {
- sha256 = "1dssa3nhix7bqygdkkfp0b9myjg5f91dlgm8mf6r7qf7mj9klpji";
- url = "https://github.com/graalvm/graalvm-ce-builds/releases/download/jdk-21.0.2/graalvm-community-jdk-21.0.2_macos-aarch64_bin.tar.gz";
+ sha256 = "11ncf4wd5asr3jz21fs0j5w49zxrsdw3j42mpcczjy2z1j813cc7";
+ url = "https://github.com/graalvm/graalvm-ce-builds/releases/download/jdk-22.0.0/graalvm-community-jdk-22.0.0_macos-aarch64_bin.tar.gz";
};
};
}
diff --git a/pkgs/development/compilers/graalvm/community-edition/truffleruby/hashes.nix b/pkgs/development/compilers/graalvm/community-edition/truffleruby/hashes.nix
index 331db1caf9b9..40e44e22954d 100644
--- a/pkgs/development/compilers/graalvm/community-edition/truffleruby/hashes.nix
+++ b/pkgs/development/compilers/graalvm/community-edition/truffleruby/hashes.nix
@@ -1,22 +1,22 @@
# Generated by update.sh script
{
- "version" = "23.1.2";
+ "version" = "24.0.0";
"hashes" = {
"aarch64-linux" = {
- sha256 = "0bmrpp88zny0hbq4hqhs4xajqr96qxj6p5nj12m7kcr8hzh2vkf3";
- url = "https://github.com/oracle/truffleruby/releases/download/graal-23.1.2/truffleruby-community-23.1.2-linux-aarch64.tar.gz";
+ sha256 = "0nq2wnc7kb3x37m68b2ylay6c341fzv4453k150a47fnj0p4d85p";
+ url = "https://github.com/oracle/truffleruby/releases/download/graal-24.0.0/truffleruby-community-24.0.0-linux-aarch64.tar.gz";
};
"x86_64-linux" = {
- sha256 = "0hrab1jrs59swpx33zg111wa4nv2215ygyckv47x6mmjibf30c86";
- url = "https://github.com/oracle/truffleruby/releases/download/graal-23.1.2/truffleruby-community-23.1.2-linux-amd64.tar.gz";
+ sha256 = "1h8zqf9clxg3azma86gdm6yl8mif2sgmyhnvqdilap28vmj4mpns";
+ url = "https://github.com/oracle/truffleruby/releases/download/graal-24.0.0/truffleruby-community-24.0.0-linux-amd64.tar.gz";
};
"x86_64-darwin" = {
- sha256 = "1y68wz6rv2vksbnhmf57zlk8smgv9954np07d8ywdls99a92217z";
- url = "https://github.com/oracle/truffleruby/releases/download/graal-23.1.2/truffleruby-community-23.1.2-macos-amd64.tar.gz";
+ sha256 = "03c4bxdzdz5m7n1kkmzsb8x0m1h4ms6ah29p9m4wrz8pjsb8682l";
+ url = "https://github.com/oracle/truffleruby/releases/download/graal-24.0.0/truffleruby-community-24.0.0-macos-amd64.tar.gz";
};
"aarch64-darwin" = {
- sha256 = "0za4ff3wlj2fgl2z3vzp2p4jf9x0fkvd98qwk1dm7lmffghfb14n";
- url = "https://github.com/oracle/truffleruby/releases/download/graal-23.1.2/truffleruby-community-23.1.2-macos-aarch64.tar.gz";
+ sha256 = "0ph6ki66w4kqwnznbpgfd8k85xx6cgqslzy07v4sywhp9k246qld";
+ url = "https://github.com/oracle/truffleruby/releases/download/graal-24.0.0/truffleruby-community-24.0.0-macos-aarch64.tar.gz";
};
};
}
diff --git a/pkgs/development/compilers/hvm/default.nix b/pkgs/development/compilers/hvm/default.nix
index 03dcdcae6724..8342f0ee05b1 100644
--- a/pkgs/development/compilers/hvm/default.nix
+++ b/pkgs/development/compilers/hvm/default.nix
@@ -28,6 +28,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "A pure functional compile target that is lazy, non-garbage-collected, and parallel";
+ mainProgram = "hvm";
homepage = "https://github.com/higherorderco/hvm";
license = licenses.mit;
maintainers = with maintainers; [ figsoda ];
diff --git a/pkgs/development/compilers/idris2/build-idris.nix b/pkgs/development/compilers/idris2/build-idris.nix
index 3ad0956e3a08..e66306c4344b 100644
--- a/pkgs/development/compilers/idris2/build-idris.nix
+++ b/pkgs/development/compilers/idris2/build-idris.nix
@@ -18,11 +18,13 @@
, ... }@attrs:
let
+ propagate = libs: lib.unique (lib.concatMap (nextLib: [nextLib] ++ nextLib.propagatedIdrisLibraries) libs);
ipkgFileName = ipkgName + ".ipkg";
idrName = "idris2-${idris2.version}";
libSuffix = "lib/${idrName}";
+ propagatedIdrisLibraries = propagate idrisLibraries;
libDirs =
- (lib.makeSearchPath libSuffix idrisLibraries) +
+ (lib.makeSearchPath libSuffix propagatedIdrisLibraries) +
":${idris2}/${idrName}";
supportDir = "${idris2}/${idrName}/lib";
drvAttrs = builtins.removeAttrs attrs [
@@ -35,7 +37,7 @@ let
inherit version;
src = src;
nativeBuildInputs = [ idris2 makeWrapper ] ++ attrs.nativeBuildInputs or [];
- buildInputs = idrisLibraries ++ attrs.buildInputs or [];
+ buildInputs = propagatedIdrisLibraries ++ attrs.buildInputs or [];
IDRIS2_PACKAGE_PATH = libDirs;
@@ -44,6 +46,10 @@ let
idris2 --build ${ipkgFileName}
runHook postBuild
'';
+
+ passthru = {
+ inherit propagatedIdrisLibraries;
+ };
};
in {
diff --git a/pkgs/development/compilers/idris2/idris2-lsp.nix b/pkgs/development/compilers/idris2/idris2-lsp.nix
index d73f2d70d6d0..095b973cc0cb 100644
--- a/pkgs/development/compilers/idris2/idris2-lsp.nix
+++ b/pkgs/development/compilers/idris2/idris2-lsp.nix
@@ -1,4 +1,4 @@
-{ fetchFromGitHub, idris2Packages, makeWrapper }:
+{ lib, fetchFromGitHub, idris2Packages, makeWrapper }:
let
globalLibraries = let
@@ -40,5 +40,13 @@ let
wrapProgram $out/bin/idris2-lsp \
--suffix IDRIS2_PACKAGE_PATH ':' "${globalLibrariesPath}"
'';
+
+ meta = with lib; {
+ description = "Language Server for Idris2";
+ mainProgram = "idris2-lsp";
+ homepage = "https://github.com/idris-community/idris2-lsp";
+ license = licenses.bsd3;
+ maintainers = with maintainers; [ mattpolzin ];
+ };
};
in lspPkg.executable
diff --git a/pkgs/development/compilers/idris2/idris2.nix b/pkgs/development/compilers/idris2/idris2.nix
index 88c4d05703cf..421fb93cf03b 100644
--- a/pkgs/development/compilers/idris2/idris2.nix
+++ b/pkgs/development/compilers/idris2/idris2.nix
@@ -89,6 +89,7 @@ in stdenv.mkDerivation rec {
meta = {
description = "A purely functional programming language with first class types";
+ mainProgram = "idris2";
homepage = "https://github.com/idris-lang/Idris2";
license = lib.licenses.bsd3;
maintainers = with lib.maintainers; [ fabianhjr wchresta mattpolzin ];
diff --git a/pkgs/development/compilers/inform7/default.nix b/pkgs/development/compilers/inform7/default.nix
index 24bc14c0b3a9..0fba6d7c4a49 100644
--- a/pkgs/development/compilers/inform7/default.nix
+++ b/pkgs/development/compilers/inform7/default.nix
@@ -23,6 +23,7 @@ in stdenv.mkDerivation {
meta = with lib; {
description = "A design system for interactive fiction";
+ mainProgram = "i7";
homepage = "http://inform7.com/";
license = licenses.artistic2;
maintainers = with maintainers; [ mbbx6spp ];
diff --git a/pkgs/development/compilers/inklecate/default.nix b/pkgs/development/compilers/inklecate/default.nix
index ac32e2954937..fa701d8fd269 100644
--- a/pkgs/development/compilers/inklecate/default.nix
+++ b/pkgs/development/compilers/inklecate/default.nix
@@ -29,6 +29,7 @@ buildDotnetModule rec {
meta = with lib; {
description = "Compiler for ink, inkle's scripting language";
+ mainProgram = "inklecate";
longDescription = ''
Inklecate is a command-line compiler for ink, inkle's open source
scripting language for writing interactive narrative
diff --git a/pkgs/development/compilers/intel-graphics-compiler/default.nix b/pkgs/development/compilers/intel-graphics-compiler/default.nix
index 54a8e82e27ee..599fe53a74a6 100644
--- a/pkgs/development/compilers/intel-graphics-compiler/default.nix
+++ b/pkgs/development/compilers/intel-graphics-compiler/default.nix
@@ -20,8 +20,8 @@ let
vc_intrinsics_src = fetchFromGitHub {
owner = "intel";
repo = "vc-intrinsics";
- rev = "v0.14.0";
- hash = "sha256-t7m2y+DiZf0xum1vneXvoCyH767SKMOq4YzMIuZngR8=";
+ rev = "v0.16.0";
+ hash = "sha256-d197m80vSICdv4VKnyqdy3flzbKLKmB8jroY2difA7o=";
};
inherit (llvmPackages_14) lld llvm;
@@ -31,13 +31,13 @@ in
stdenv.mkDerivation rec {
pname = "intel-graphics-compiler";
- version = "1.0.15610.11";
+ version = "1.0.15985.7";
src = fetchFromGitHub {
owner = "intel";
repo = "intel-graphics-compiler";
rev = "igc-${version}";
- hash = "sha256-Fu1g5M2lpcnLw6aSHI5gx47VOfx+rIdIhBlwe/Dv8bk=";
+ hash = "sha256-NXShD6M5OeKi0+Jszvoos+wjHZ9lWh/LIUFLFq8dzFM=";
};
nativeBuildInputs = [ bison cmake flex (python3.withPackages (ps : with ps; [ mako ])) ];
@@ -79,8 +79,9 @@ stdenv.mkDerivation rec {
};
meta = with lib; {
- homepage = "https://github.com/intel/intel-graphics-compiler";
description = "LLVM-based compiler for OpenCL targeting Intel Gen graphics hardware";
+ homepage = "https://github.com/intel/intel-graphics-compiler";
+ changelog = "https://github.com/intel/intel-graphics-compiler/releases/tag/${src.rev}";
license = licenses.mit;
platforms = platforms.linux;
maintainers = with maintainers; [ SuperSandro2000 ];
diff --git a/pkgs/development/compilers/ispc/default.nix b/pkgs/development/compilers/ispc/default.nix
index d8b8c141042b..b7f2ad1228b7 100644
--- a/pkgs/development/compilers/ispc/default.nix
+++ b/pkgs/development/compilers/ispc/default.nix
@@ -6,13 +6,13 @@
stdenv.mkDerivation rec {
pname = "ispc";
- version = "1.22.0";
+ version = "1.23.0";
src = fetchFromGitHub {
owner = pname;
repo = pname;
rev = "v${version}";
- sha256 = "sha256-NiBwQ7BzNgRdWLvjOi1fQni+vnYwn0nLHxqAVucmb2k=";
+ sha256 = "sha256-zixPt7YICCG0N8t1pcXEu/sPKCVLQVPCiJsQEqEXl+A=";
};
nativeBuildInputs = [ cmake which m4 bison flex python3 llvmPackages.libllvm.dev tbb ] ++ lib.lists.optionals stdenv.isDarwin [ xcode ];
@@ -55,7 +55,6 @@ stdenv.mkDerivation rec {
"-DFILE_CHECK_EXECUTABLE=${llvmPackages.llvm}/bin/FileCheck"
"-DLLVM_AS_EXECUTABLE=${llvmPackages.llvm}/bin/llvm-as"
"-DLLVM_CONFIG_EXECUTABLE=${llvmPackages.llvm.dev}/bin/llvm-config"
- "-DLLVM_DIS_EXECUTABLE=${llvmPackages.llvm}/bin/llvm-dis"
"-DCLANG_EXECUTABLE=${llvmPackages.clang}/bin/clang"
"-DCLANGPP_EXECUTABLE=${llvmPackages.clang}/bin/clang++"
"-DISPC_INCLUDE_EXAMPLES=OFF"
@@ -69,6 +68,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://ispc.github.io/";
description = "Intel 'Single Program, Multiple Data' Compiler, a vectorised language";
+ mainProgram = "ispc";
license = licenses.bsd3;
platforms = [ "x86_64-linux" "x86_64-darwin" "aarch64-linux" "aarch64-darwin" ]; # TODO: buildable on more platforms?
maintainers = with maintainers; [ aristid thoughtpolice athas alexfmpe ];
diff --git a/pkgs/development/compilers/jasmin/default.nix b/pkgs/development/compilers/jasmin/default.nix
index db58f48b97bb..685e6aa9537e 100644
--- a/pkgs/development/compilers/jasmin/default.nix
+++ b/pkgs/development/compilers/jasmin/default.nix
@@ -5,7 +5,7 @@
, ant
, jdk8
, makeWrapper
-, canonicalize-jars-hook
+, stripJavaArchivesHook
, callPackage
}:
@@ -27,7 +27,7 @@ in stdenv.mkDerivation (finalAttrs: {
ant
jdk
makeWrapper
- canonicalize-jars-hook
+ stripJavaArchivesHook
];
buildPhase = ''
diff --git a/pkgs/development/compilers/julia/default.nix b/pkgs/development/compilers/julia/default.nix
index b094759387fd..b1437804287c 100644
--- a/pkgs/development/compilers/julia/default.nix
+++ b/pkgs/development/compilers/julia/default.nix
@@ -30,12 +30,12 @@ in
{ });
julia_110-bin = wrapJulia (callPackage
(import ./generic-bin.nix {
- version = "1.10.0";
+ version = "1.10.2";
sha256 = {
- x86_64-linux = "a7298207f72f2b27b2ab1ce392a6ea37afbd1fbee0f1f8d190b054dcaba878fe";
- aarch64-linux = "048d96b4398efd524e94be3f49e8829cf6b30c8f3f4b46c75751a4679635e45b";
- x86_64-darwin = "eb1cdf2d373ee40412e8f5ee6b4681916f1ead6d794883903619c7bf147d4f46";
- aarch64-darwin = "dc4ca01b1294c02d47b33ef26d489dc288ac68655a03774870c6872b82a9a7d6";
+ x86_64-linux = "51bccc9bb245197f24e6b2394e6aa69c0dc1e41b4e300b796e17da34ef64db1e";
+ aarch64-linux = "f319ff2812bece0918cb9ea6e0df54cc9412fc5ef8c0589b6a4fea485c07535d";
+ x86_64-darwin = "52679b9285b9aa8354afade8cc5a6c98d30af31ee72e4e879d17cef5dd4d4213";
+ aarch64-darwin = "c7392237725b54d2d145bf56ce362e502596ea4338523a91bf20ce02379cea80";
};
})
{ });
@@ -50,8 +50,8 @@ in
{ });
julia_110 = wrapJulia (callPackage
(import ./generic.nix {
- version = "1.10.0";
- hash = "sha256-pfjAzgjPEyvdkZygtbOytmyJ4OX35/sqgf+n8iXj20w=";
+ version = "1.10.2";
+ hash = "sha256-YkaHIK+8QQ608mLtJDOpITJieHLJ9pC3BNwEXMsVVAE=";
patches = [
./patches/1.10/0001-skip-building-docs-as-it-requires-network-access.patch
./patches/1.10/0002-skip-failing-and-flaky-tests.patch
diff --git a/pkgs/development/compilers/julia/generic.nix b/pkgs/development/compilers/julia/generic.nix
index 4ab317618a41..7f690d44e163 100644
--- a/pkgs/development/compilers/julia/generic.nix
+++ b/pkgs/development/compilers/julia/generic.nix
@@ -83,6 +83,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "High-level performance-oriented dynamical language for technical computing";
+ mainProgram = "julia";
homepage = "https://julialang.org/";
license = licenses.mit;
maintainers = with maintainers; [ nickcao joshniemela thomasjm ];
diff --git a/pkgs/development/compilers/juniper/default.nix b/pkgs/development/compilers/juniper/default.nix
index ff6751104ac0..edf3e4b6374c 100644
--- a/pkgs/development/compilers/juniper/default.nix
+++ b/pkgs/development/compilers/juniper/default.nix
@@ -28,6 +28,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Functional reactive programming language for programming Arduino";
+ mainProgram = "juniper";
longDescription = ''
Juniper targets Arduino and supports many features typical of functional programming languages, including algebraic data types, tuples, records,
pattern matching, immutable data structures, parametric polymorphic functions, and anonymous functions (lambdas).
diff --git a/pkgs/development/compilers/kind2/default.nix b/pkgs/development/compilers/kind2/default.nix
index 182e4cb1a0e9..95eedb80670f 100644
--- a/pkgs/development/compilers/kind2/default.nix
+++ b/pkgs/development/compilers/kind2/default.nix
@@ -25,6 +25,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "A functional programming language and proof assistant";
+ mainProgram = "kind2";
homepage = "https://github.com/higherorderco/kind";
license = licenses.mit;
maintainers = with maintainers; [ figsoda ];
diff --git a/pkgs/development/compilers/koka/default.nix b/pkgs/development/compilers/koka/default.nix
index e3462c826c76..4dcc772ab55f 100644
--- a/pkgs/development/compilers/koka/default.nix
+++ b/pkgs/development/compilers/koka/default.nix
@@ -2,6 +2,7 @@
, pkgsHostTarget
, cmake
, makeWrapper
+, fetchpatch
, mkDerivation
, fetchFromGitHub
, alex
@@ -19,7 +20,7 @@
, FloatingHex
, isocline
, lens
-, lsp
+, lsp_2_4_0_0
, mtl
, network
, network-simple
@@ -31,12 +32,12 @@
}:
let
- version = "3.0.4";
+ version = "3.1.0";
src = fetchFromGitHub {
owner = "koka-lang";
repo = "koka";
rev = "v${version}";
- sha256 = "sha256-U8BW1Aq9t3je0YDV8NkE0MzdnjwXBJQbmekh5ufOs3k=";
+ sha256 = "sha256-Twm2Hr8BQ0xTdA30e2Az/57525jTUkmv2Zs/+SNiQns=";
fetchSubmodules = true;
};
kklib = stdenv.mkDerivation {
@@ -64,6 +65,14 @@ mkDerivation rec {
isLibrary = false;
isExecutable = true;
libraryToolDepends = [ hpack ];
+ patches = [
+ (fetchpatch {
+ name = "koka-stackage-22.patch";
+ url = "https://github.com/koka-lang/koka/commit/95f9b360544996e06d4bb33321a83a6b9605d092.patch";
+ sha256 = "1a1sv1r393wkhsnj56awsi8mqxakqdy86p7dg9i9xfv13q2g4h6x";
+ includes = [ "src/**" ];
+ })
+ ];
executableHaskellDepends = [
aeson
array
@@ -77,7 +86,7 @@ mkDerivation rec {
FloatingHex
isocline
lens
- lsp
+ lsp_2_4_0_0
mtl
network
network-simple
diff --git a/pkgs/development/compilers/kotlin/default.nix b/pkgs/development/compilers/kotlin/default.nix
index fee4889e1add..e2fc481d3bc3 100644
--- a/pkgs/development/compilers/kotlin/default.nix
+++ b/pkgs/development/compilers/kotlin/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "kotlin";
- version = "1.9.22";
+ version = "1.9.23";
src = fetchurl {
url = "https://github.com/JetBrains/kotlin/releases/download/v${version}/kotlin-compiler-${version}.zip";
- sha256 = "1rn3rabwyqqhs6xgyfwl326hrzpfpc3qqd2nzwbchck5a09r5cw8";
+ sha256 = "125yb2yzah7laf38m78pysvcd5a16b129a06rckrpylsmcx7s4wk";
};
propagatedBuildInputs = [ jre ] ;
diff --git a/pkgs/development/compilers/kotlin/native.nix b/pkgs/development/compilers/kotlin/native.nix
index bc2018665ca7..a38daa96cf17 100644
--- a/pkgs/development/compilers/kotlin/native.nix
+++ b/pkgs/development/compilers/kotlin/native.nix
@@ -7,7 +7,7 @@
stdenv.mkDerivation rec {
pname = "kotlin-native";
- version = "1.9.22";
+ version = "1.9.23";
src = let
getArch = {
@@ -20,9 +20,9 @@ stdenv.mkDerivation rec {
"https://github.com/JetBrains/kotlin/releases/download/v${version}/kotlin-native-${arch}-${version}.tar.gz";
getHash = arch: {
- "macos-aarch64" = "1pf81rplikbp194pjrm2la101iz8vz3jv55109nipd26xghc15ca";
- "macos-x86_64" = "1r7dmk8cc7f3iwaxamlnlcjl4mbvx443nwvsp8141a21ibrvrmx9";
- "linux-x86_64" = "1m77qld44gbarjxm99gsdscncx4v0cf6ca3h9bdh2m7d3i4adc62";
+ "macos-aarch64" = "1v1ld4nxa77vjxiz4jw5h29s8i4ghfbmq0d01r15i75pr46md8r7";
+ "macos-x86_64" = "05ywdhagj3qzjaw5sd94sgjk89dysky7d7lfqpwvc8s35v77rv8f";
+ "linux-x86_64" = "1j2lpl1r7r30dgard6ia29n3qrsr98wb3qwpc80z4jh6k42qn6id";
}.${arch};
in
fetchurl {
diff --git a/pkgs/development/compilers/ldc/binary.nix b/pkgs/development/compilers/ldc/binary.nix
index dd4d51c81e3a..ca7fb4f04de3 100644
--- a/pkgs/development/compilers/ldc/binary.nix
+++ b/pkgs/development/compilers/ldc/binary.nix
@@ -37,7 +37,7 @@ in stdenv.mkDerivation {
homepage = "https://github.com/ldc-developers/ldc";
# from https://github.com/ldc-developers/ldc/blob/master/LICENSE
license = with licenses; [ bsd3 boost mit ncsa gpl2Plus ];
- maintainers = with maintainers; [ ThomasMader lionello ];
+ maintainers = with maintainers; [ lionello ];
platforms = [ "x86_64-linux" "x86_64-darwin" "aarch64-linux" "aarch64-darwin" ];
};
}
diff --git a/pkgs/development/compilers/ldc/generic.nix b/pkgs/development/compilers/ldc/generic.nix
index 16598714410f..94a4ac8380a7 100644
--- a/pkgs/development/compilers/ldc/generic.nix
+++ b/pkgs/development/compilers/ldc/generic.nix
@@ -130,7 +130,7 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/ldc-developers/ldc";
# from https://github.com/ldc-developers/ldc/blob/master/LICENSE
license = with licenses; [ bsd3 boost mit ncsa gpl2Plus ];
- maintainers = with maintainers; [ ThomasMader lionello jtbx ];
+ maintainers = with maintainers; [ lionello jtbx ];
platforms = [ "x86_64-linux" "i686-linux" "aarch64-linux" "x86_64-darwin" "aarch64-darwin" ];
};
}
diff --git a/pkgs/development/compilers/lesscpy/default.nix b/pkgs/development/compilers/lesscpy/default.nix
index d85b36da8918..52372bb1edce 100644
--- a/pkgs/development/compilers/lesscpy/default.nix
+++ b/pkgs/development/compilers/lesscpy/default.nix
@@ -17,6 +17,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
description = "Python LESS Compiler";
+ mainProgram = "lesscpy";
homepage = "https://github.com/lesscpy/lesscpy";
license = licenses.mit;
maintainers = with maintainers; [ s1341 ];
diff --git a/pkgs/development/compilers/ligo/default.nix b/pkgs/development/compilers/ligo/default.nix
index ccba9ca3a1b2..9443f7a8cc08 100644
--- a/pkgs/development/compilers/ligo/default.nix
+++ b/pkgs/development/compilers/ligo/default.nix
@@ -15,30 +15,15 @@
ocamlPackages.buildDunePackage rec {
pname = "ligo";
- version = "1.0.0";
+ version = "1.4.0";
src = fetchFromGitLab {
owner = "ligolang";
repo = "ligo";
rev = version;
- sha256 = "sha256-tHIIA1JE7mzDIf2v9IEZt1pjVQEA89zjTsmqhzTn3Wc=";
+ sha256 = "sha256-N2RkeKJ+lEyNJwpmF5sORmOkDhNmTYRYAgvyR7Pc5EI=";
fetchSubmodules = true;
};
- postPatch = ''
- substituteInPlace "vendors/tezos-ligo/dune-project" \
- --replace \
- "(using ctypes 0.1)" \
- "(using ctypes 0.3)" \
- --replace \
- "(lang dune 3.0)" \
- "(lang dune 3.7)"
-
- substituteInPlace "src/coq/dune" \
- --replace \
- "(name ligo_coq)" \
- "(name ligo_coq)(mode vo)"
- '';
-
# The build picks this up for ligo --version
LIGO_VERSION = version;
@@ -68,6 +53,7 @@ ocamlPackages.buildDunePackage rec {
ocamlgraph
bisect_ppx
decompress
+ fileutils
ppx_deriving
ppx_deriving_yojson
ppx_yojson_conv
@@ -141,6 +127,7 @@ ocamlPackages.buildDunePackage rec {
homepage = "https://ligolang.org/";
downloadPage = "https://ligolang.org/docs/intro/installation";
description = "A friendly Smart Contract Language for Tezos";
+ mainProgram = "ligo";
license = licenses.mit;
platforms = ocamlPackages.ocaml.meta.platforms;
broken = stdenv.isLinux && stdenv.isAarch64;
diff --git a/pkgs/development/compilers/llvm/11/clang/default.nix b/pkgs/development/compilers/llvm/11/clang/default.nix
deleted file mode 100644
index 5ddecd1f47e9..000000000000
--- a/pkgs/development/compilers/llvm/11/clang/default.nix
+++ /dev/null
@@ -1,138 +0,0 @@
-{ lib, stdenv, llvm_meta, fetch, substituteAll, cmake, libxml2, libllvm, version, clang-tools-extra_src, python3
-, buildLlvmTools
-, fixDarwinDylibNames
-, enableManpages ? false
-, enablePolly ? false
-}:
-
-let
- self = stdenv.mkDerivation ({
- pname = "clang";
- inherit version;
-
- src = fetch "clang" "12sm91qx2m79cvj75a9aazf2x8xybjbd593dv6v7rxficpq8i0ha";
- inherit clang-tools-extra_src;
-
- unpackPhase = ''
- unpackFile $src
- mv clang-* clang
- sourceRoot=$PWD/clang
- unpackFile ${clang-tools-extra_src}
- mv clang-tools-extra-* $sourceRoot/tools/extra
- '';
-
- nativeBuildInputs = [ cmake python3 ]
- ++ lib.optional enableManpages python3.pkgs.sphinx
- ++ lib.optional stdenv.hostPlatform.isDarwin fixDarwinDylibNames;
-
- buildInputs = [ libxml2 libllvm ];
-
- cmakeFlags = [
- "-DCLANGD_BUILD_XPC=OFF"
- "-DLLVM_ENABLE_RTTI=ON"
- ] ++ lib.optionals enableManpages [
- "-DCLANG_INCLUDE_DOCS=ON"
- "-DLLVM_ENABLE_SPHINX=ON"
- "-DSPHINX_OUTPUT_MAN=ON"
- "-DSPHINX_OUTPUT_HTML=OFF"
- "-DSPHINX_WARNINGS_AS_ERRORS=OFF"
- ] ++ lib.optionals (stdenv.hostPlatform != stdenv.buildPlatform) [
- "-DLLVM_TABLEGEN_EXE=${buildLlvmTools.llvm}/bin/llvm-tblgen"
- "-DCLANG_TABLEGEN=${buildLlvmTools.libclang.dev}/bin/clang-tblgen"
- ] ++ lib.optionals enablePolly [
- "-DWITH_POLLY=ON"
- "-DLINK_POLLY_INTO_TOOLS=ON"
- ];
-
-
- patches = [
- ./purity.patch
- # https://reviews.llvm.org/D51899
- ./gnu-install-dirs.patch
- (substituteAll {
- src = ../../clang-11-15-LLVMgold-path.patch;
- libllvmLibdir = "${libllvm.lib}/lib";
- })
- ];
-
- postPatch = ''
- sed -i -e 's/DriverArgs.hasArg(options::OPT_nostdlibinc)/true/' \
- -e 's/Args.hasArg(options::OPT_nostdlibinc)/true/' \
- lib/Driver/ToolChains/*.cpp
- '' + lib.optionalString stdenv.hostPlatform.isMusl ''
- sed -i -e 's/lgcc_s/lgcc_eh/' lib/Driver/ToolChains/*.cpp
- '' + lib.optionalString stdenv.hostPlatform.isDarwin ''
- substituteInPlace tools/extra/clangd/CMakeLists.txt \
- --replace "NOT HAVE_CXX_ATOMICS64_WITHOUT_LIB" FALSE
- '';
-
- outputs = [ "out" "lib" "dev" "python" ];
-
- postInstall = ''
- ln -sv $out/bin/clang $out/bin/cpp
-
- # Move libclang to 'lib' output
- moveToOutput "lib/libclang.*" "$lib"
- moveToOutput "lib/libclang-cpp.*" "$lib"
- substituteInPlace $out/lib/cmake/clang/ClangTargets-release.cmake \
- --replace "\''${_IMPORT_PREFIX}/lib/libclang." "$lib/lib/libclang." \
- --replace "\''${_IMPORT_PREFIX}/lib/libclang-cpp." "$lib/lib/libclang-cpp."
-
- mkdir -p $python/bin $python/share/{clang,scan-view}
- mv $out/bin/{git-clang-format,scan-view} $python/bin
- if [ -e $out/bin/set-xcode-analyzer ]; then
- mv $out/bin/set-xcode-analyzer $python/bin
- fi
- mv $out/share/clang/*.py $python/share/clang
- mv $out/share/scan-view/*.py $python/share/scan-view
- rm $out/bin/c-index-test
- patchShebangs $python/bin
-
- mkdir -p $dev/bin
- cp bin/clang-tblgen $dev/bin
- '';
-
- passthru = {
- inherit libllvm;
- isClang = true;
- hardeningUnsupportedFlags = [ "fortify3" "zerocallusedregs" ];
- };
-
- meta = llvm_meta // {
- homepage = "https://clang.llvm.org/";
- description = "A C language family frontend for LLVM";
- longDescription = ''
- The Clang project provides a language front-end and tooling
- infrastructure for languages in the C language family (C, C++, Objective
- C/C++, OpenCL, CUDA, and RenderScript) for the LLVM project.
- It aims to deliver amazingly fast compiles, extremely useful error and
- warning messages and to provide a platform for building great source
- level tools. The Clang Static Analyzer and clang-tidy are tools that
- automatically find bugs in your code, and are great examples of the sort
- of tools that can be built using the Clang frontend as a library to
- parse C/C++ code.
- '';
- mainProgram = "clang";
- };
- } // lib.optionalAttrs enableManpages {
- pname = "clang-manpages";
-
- buildPhase = ''
- make docs-clang-man
- '';
-
- installPhase = ''
- mkdir -p $out/share/man/man1
- # Manually install clang manpage
- cp docs/man/*.1 $out/share/man/man1/
- '';
-
- outputs = [ "out" ];
-
- doCheck = false;
-
- meta = llvm_meta // {
- description = "man page for Clang ${version}";
- };
- });
-in self
diff --git a/pkgs/development/compilers/llvm/11/clang/gnu-install-dirs.patch b/pkgs/development/compilers/llvm/11/clang/gnu-install-dirs.patch
deleted file mode 100644
index 98ea97e05808..000000000000
--- a/pkgs/development/compilers/llvm/11/clang/gnu-install-dirs.patch
+++ /dev/null
@@ -1,235 +0,0 @@
-diff --git a/CMakeLists.txt b/CMakeLists.txt
-index bb4b801f01c8..77a8b43b22c8 100644
---- a/CMakeLists.txt
-+++ b/CMakeLists.txt
-@@ -9,6 +9,8 @@ endif()
- if( CMAKE_SOURCE_DIR STREQUAL CMAKE_CURRENT_SOURCE_DIR )
- project(Clang)
-
-+ include(GNUInstallDirs)
-+
- set(CMAKE_CXX_STANDARD 14 CACHE STRING "C++ standard to conform to")
- set(CMAKE_CXX_STANDARD_REQUIRED YES)
- set(CMAKE_CXX_EXTENSIONS NO)
-@@ -447,7 +449,7 @@ include_directories(BEFORE
-
- if (NOT LLVM_INSTALL_TOOLCHAIN_ONLY)
- install(DIRECTORY include/clang include/clang-c
-- DESTINATION include
-+ DESTINATION ${CMAKE_INSTALL_INCLUDEDIR}
- COMPONENT clang-headers
- FILES_MATCHING
- PATTERN "*.def"
-@@ -457,7 +459,7 @@ if (NOT LLVM_INSTALL_TOOLCHAIN_ONLY)
- )
-
- install(DIRECTORY ${CMAKE_CURRENT_BINARY_DIR}/include/clang
-- DESTINATION include
-+ DESTINATION ${CMAKE_INSTALL_INCLUDEDIR}
- COMPONENT clang-headers
- FILES_MATCHING
- PATTERN "CMakeFiles" EXCLUDE
-@@ -477,7 +479,7 @@ if (NOT LLVM_INSTALL_TOOLCHAIN_ONLY)
-
- add_custom_target(bash-autocomplete DEPENDS utils/bash-autocomplete.sh)
- install(PROGRAMS utils/bash-autocomplete.sh
-- DESTINATION share/clang
-+ DESTINATION ${CMAKE_INSTALL_DATADIR}/clang
- COMPONENT bash-autocomplete)
- if(NOT LLVM_ENABLE_IDE)
- add_llvm_install_targets(install-bash-autocomplete
-diff --git a/cmake/modules/AddClang.cmake b/cmake/modules/AddClang.cmake
-index 704278a0e93b..d25c8d325c71 100644
---- a/cmake/modules/AddClang.cmake
-+++ b/cmake/modules/AddClang.cmake
-@@ -123,9 +123,9 @@ macro(add_clang_library name)
- install(TARGETS ${lib}
- COMPONENT ${lib}
- ${export_to_clangtargets}
-- LIBRARY DESTINATION lib${LLVM_LIBDIR_SUFFIX}
-- ARCHIVE DESTINATION lib${LLVM_LIBDIR_SUFFIX}
-- RUNTIME DESTINATION bin)
-+ LIBRARY DESTINATION ${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX}
-+ ARCHIVE DESTINATION ${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX}
-+ RUNTIME DESTINATION ${CMAKE_INSTALL_BINDIR})
-
- if (NOT LLVM_ENABLE_IDE)
- add_llvm_install_targets(install-${lib}
-@@ -170,7 +170,7 @@ macro(add_clang_tool name)
-
- install(TARGETS ${name}
- ${export_to_clangtargets}
-- RUNTIME DESTINATION bin
-+ RUNTIME DESTINATION ${CMAKE_INSTALL_BINDIR}
- COMPONENT ${name})
-
- if(NOT LLVM_ENABLE_IDE)
-@@ -185,7 +185,7 @@ endmacro()
- macro(add_clang_symlink name dest)
- add_llvm_tool_symlink(${name} ${dest} ALWAYS_GENERATE)
- # Always generate install targets
-- llvm_install_symlink(${name} ${dest} ALWAYS_GENERATE)
-+ llvm_install_symlink(${name} ${dest} ${CMAKE_INSTALL_FULL_BINDIR} ALWAYS_GENERATE)
- endmacro()
-
- function(clang_target_link_libraries target type)
-diff --git a/lib/Headers/CMakeLists.txt b/lib/Headers/CMakeLists.txt
-index 0692fe75a441..6f201e7207d0 100644
---- a/lib/Headers/CMakeLists.txt
-+++ b/lib/Headers/CMakeLists.txt
-@@ -208,7 +208,7 @@ set_target_properties(clang-resource-headers PROPERTIES
- FOLDER "Misc"
- RUNTIME_OUTPUT_DIRECTORY "${output_dir}")
-
--set(header_install_dir lib${LLVM_LIBDIR_SUFFIX}/clang/${CLANG_VERSION}/include)
-+set(header_install_dir ${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX}/clang/${CLANG_VERSION}/include)
-
- install(
- FILES ${files} ${generated_files}
-diff --git a/tools/c-index-test/CMakeLists.txt b/tools/c-index-test/CMakeLists.txt
-index ceef4b08637c..8efad5520ca4 100644
---- a/tools/c-index-test/CMakeLists.txt
-+++ b/tools/c-index-test/CMakeLists.txt
-@@ -54,7 +54,7 @@ if (NOT LLVM_INSTALL_TOOLCHAIN_ONLY)
- set_property(TARGET c-index-test APPEND PROPERTY INSTALL_RPATH
- "@executable_path/../../lib")
- else()
-- set(INSTALL_DESTINATION bin)
-+ set(INSTALL_DESTINATION ${CMAKE_INSTALL_BINDIR})
- endif()
-
- install(TARGETS c-index-test
-diff --git a/tools/clang-format/CMakeLists.txt b/tools/clang-format/CMakeLists.txt
-index 35ecdb11253c..d77d75de0094 100644
---- a/tools/clang-format/CMakeLists.txt
-+++ b/tools/clang-format/CMakeLists.txt
-@@ -21,20 +21,20 @@ if( LLVM_LIB_FUZZING_ENGINE OR LLVM_USE_SANITIZE_COVERAGE )
- endif()
-
- install(PROGRAMS clang-format-bbedit.applescript
-- DESTINATION share/clang
-+ DESTINATION ${CMAKE_INSTALL_DATADIR}/clang
- COMPONENT clang-format)
- install(PROGRAMS clang-format-diff.py
-- DESTINATION share/clang
-+ DESTINATION ${CMAKE_INSTALL_DATADIR}/clang
- COMPONENT clang-format)
- install(PROGRAMS clang-format-sublime.py
-- DESTINATION share/clang
-+ DESTINATION ${CMAKE_INSTALL_DATADIR}/clang
- COMPONENT clang-format)
- install(PROGRAMS clang-format.el
-- DESTINATION share/clang
-+ DESTINATION ${CMAKE_INSTALL_DATADIR}/clang
- COMPONENT clang-format)
- install(PROGRAMS clang-format.py
-- DESTINATION share/clang
-+ DESTINATION ${CMAKE_INSTALL_DATADIR}/clang
- COMPONENT clang-format)
- install(PROGRAMS git-clang-format
-- DESTINATION bin
-+ DESTINATION ${CMAKE_INSTALL_BINDIR}
- COMPONENT clang-format)
-diff --git a/tools/clang-rename/CMakeLists.txt b/tools/clang-rename/CMakeLists.txt
-index cda8e29ec5b1..0134d8ccd70b 100644
---- a/tools/clang-rename/CMakeLists.txt
-+++ b/tools/clang-rename/CMakeLists.txt
-@@ -19,8 +19,8 @@ clang_target_link_libraries(clang-rename
- )
-
- install(PROGRAMS clang-rename.py
-- DESTINATION share/clang
-+ DESTINATION ${CMAKE_INSTALL_DATADIR}/clang
- COMPONENT clang-rename)
- install(PROGRAMS clang-rename.el
-- DESTINATION share/clang
-+ DESTINATION ${CMAKE_INSTALL_DATADIR}/clang
- COMPONENT clang-rename)
-diff --git a/tools/libclang/CMakeLists.txt b/tools/libclang/CMakeLists.txt
-index 5cd9ac5cddc1..a197676fedbd 100644
---- a/tools/libclang/CMakeLists.txt
-+++ b/tools/libclang/CMakeLists.txt
-@@ -165,7 +165,7 @@ endif()
- if(INTERNAL_INSTALL_PREFIX)
- set(LIBCLANG_HEADERS_INSTALL_DESTINATION "${INTERNAL_INSTALL_PREFIX}/include")
- else()
-- set(LIBCLANG_HEADERS_INSTALL_DESTINATION include)
-+ set(LIBCLANG_HEADERS_INSTALL_DESTINATION ${CMAKE_INSTALL_INCLUDEDIR})
- endif()
-
- install(DIRECTORY ../../include/clang-c
-@@ -196,7 +196,7 @@ foreach(PythonVersion ${CLANG_PYTHON_BINDINGS_VERSIONS})
- COMPONENT
- libclang-python-bindings
- DESTINATION
-- "lib${LLVM_LIBDIR_SUFFIX}/python${PythonVersion}/site-packages")
-+ "${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX}/python${PythonVersion}/site-packages")
- endforeach()
- if(NOT LLVM_ENABLE_IDE)
- add_custom_target(libclang-python-bindings)
-diff --git a/tools/scan-build/CMakeLists.txt b/tools/scan-build/CMakeLists.txt
-index ec0702d76f18..d25d982f51da 100644
---- a/tools/scan-build/CMakeLists.txt
-+++ b/tools/scan-build/CMakeLists.txt
-@@ -47,7 +47,7 @@ if(CLANG_INSTALL_SCANBUILD)
- DEPENDS ${CMAKE_CURRENT_SOURCE_DIR}/bin/${BinFile})
- list(APPEND Depends ${CMAKE_BINARY_DIR}/bin/${BinFile})
- install(PROGRAMS bin/${BinFile}
-- DESTINATION bin
-+ DESTINATION ${CMAKE_INSTALL_BINDIR}
- COMPONENT scan-build)
- endforeach()
-
-@@ -61,7 +61,7 @@ if(CLANG_INSTALL_SCANBUILD)
- DEPENDS ${CMAKE_CURRENT_SOURCE_DIR}/libexec/${LibexecFile})
- list(APPEND Depends ${CMAKE_BINARY_DIR}/libexec/${LibexecFile})
- install(PROGRAMS libexec/${LibexecFile}
-- DESTINATION libexec
-+ DESTINATION ${CMAKE_INSTALL_LIBEXECDIR}
- COMPONENT scan-build)
- endforeach()
-
-@@ -89,7 +89,7 @@ if(CLANG_INSTALL_SCANBUILD)
- DEPENDS ${CMAKE_CURRENT_SOURCE_DIR}/share/scan-build/${ShareFile})
- list(APPEND Depends ${CMAKE_BINARY_DIR}/share/scan-build/${ShareFile})
- install(FILES share/scan-build/${ShareFile}
-- DESTINATION share/scan-build
-+ DESTINATION ${CMAKE_INSTALL_DATADIR}/scan-build
- COMPONENT scan-build)
- endforeach()
-
-diff --git a/tools/scan-view/CMakeLists.txt b/tools/scan-view/CMakeLists.txt
-index 22edb974bac7..9f140a9a4538 100644
---- a/tools/scan-view/CMakeLists.txt
-+++ b/tools/scan-view/CMakeLists.txt
-@@ -22,7 +22,7 @@ if(CLANG_INSTALL_SCANVIEW)
- DEPENDS ${CMAKE_CURRENT_SOURCE_DIR}/bin/${BinFile})
- list(APPEND Depends ${CMAKE_BINARY_DIR}/bin/${BinFile})
- install(PROGRAMS bin/${BinFile}
-- DESTINATION bin
-+ DESTINATION ${CMAKE_INSTALL_BINDIR}
- COMPONENT scan-view)
- endforeach()
-
-@@ -36,7 +36,7 @@ if(CLANG_INSTALL_SCANVIEW)
- DEPENDS ${CMAKE_CURRENT_SOURCE_DIR}/share/${ShareFile})
- list(APPEND Depends ${CMAKE_BINARY_DIR}/share/scan-view/${ShareFile})
- install(FILES share/${ShareFile}
-- DESTINATION share/scan-view
-+ DESTINATION ${CMAKE_INSTALL_DATADIR}/scan-view
- COMPONENT scan-view)
- endforeach()
-
-diff --git a/utils/hmaptool/CMakeLists.txt b/utils/hmaptool/CMakeLists.txt
-index 62f2de0cb15c..6aa66825b6ec 100644
---- a/utils/hmaptool/CMakeLists.txt
-+++ b/utils/hmaptool/CMakeLists.txt
-@@ -10,7 +10,7 @@ add_custom_command(OUTPUT ${CMAKE_BINARY_DIR}/${CMAKE_CFG_INTDIR}/bin/${CLANG_HM
-
- list(APPEND Depends ${CMAKE_BINARY_DIR}/${CMAKE_CFG_INTDIR}/bin/${CLANG_HMAPTOOL})
- install(PROGRAMS ${CLANG_HMAPTOOL}
-- DESTINATION bin
-+ DESTINATION ${CMAKE_INSTALL_BINDIR}
- COMPONENT hmaptool)
-
- add_custom_target(hmaptool ALL DEPENDS ${Depends})
diff --git a/pkgs/development/compilers/llvm/11/clang/purity.patch b/pkgs/development/compilers/llvm/11/clang/purity.patch
deleted file mode 100644
index deb230a36c5b..000000000000
--- a/pkgs/development/compilers/llvm/11/clang/purity.patch
+++ /dev/null
@@ -1,28 +0,0 @@
-From 4add81bba40dcec62c4ea4481be8e35ac53e89d8 Mon Sep 17 00:00:00 2001
-From: Will Dietz
-Date: Thu, 18 May 2017 11:56:12 -0500
-Subject: [PATCH] "purity" patch for 5.0
-
----
- lib/Driver/ToolChains/Gnu.cpp | 7 -------
- 1 file changed, 7 deletions(-)
-
-diff --git a/lib/Driver/ToolChains/Gnu.cpp b/lib/Driver/ToolChains/Gnu.cpp
-index fe3c0191bb..c6a482bece 100644
---- a/lib/Driver/ToolChains/Gnu.cpp
-+++ b/lib/Driver/ToolChains/Gnu.cpp
-@@ -487,12 +487,6 @@ void tools::gnutools::Linker::ConstructJob(Compilation &C, const JobAction &JA,
- if (!IsStatic) {
- if (Args.hasArg(options::OPT_rdynamic))
- CmdArgs.push_back("-export-dynamic");
--
-- if (!Args.hasArg(options::OPT_shared) && !IsStaticPIE) {
-- CmdArgs.push_back("-dynamic-linker");
-- CmdArgs.push_back(Args.MakeArgString(Twine(D.DyldPrefix) +
-- ToolChain.getDynamicLinker(Args)));
-- }
- }
-
- CmdArgs.push_back("-o");
---
-2.11.0
diff --git a/pkgs/development/compilers/llvm/11/compiler-rt/X86-support-extension.patch b/pkgs/development/compilers/llvm/11/compiler-rt/X86-support-extension.patch
deleted file mode 100644
index f6f9336ad5ad..000000000000
--- a/pkgs/development/compilers/llvm/11/compiler-rt/X86-support-extension.patch
+++ /dev/null
@@ -1,23 +0,0 @@
-diff --git a/lib/builtins/CMakeLists.txt b/lib/builtins/CMakeLists.txt
-index 3a66dd9c3fb..7efc85d9f9f 100644
---- a/lib/builtins/CMakeLists.txt
-+++ b/lib/builtins/CMakeLists.txt
-@@ -301,6 +301,10 @@ if (NOT MSVC)
- i386/umoddi3.S
- )
-
-+ set(i486_SOURCES ${i386_SOURCES})
-+ set(i586_SOURCES ${i386_SOURCES})
-+ set(i686_SOURCES ${i386_SOURCES})
-+
- if (WIN32)
- set(i386_SOURCES
- ${i386_SOURCES}
-@@ -608,6 +612,7 @@ else ()
- endif()
-
- foreach (arch ${BUILTIN_SUPPORTED_ARCH})
-+ message("arch: ${arch}")
- if (CAN_TARGET_${arch})
- # For ARM archs, exclude any VFP builtins if VFP is not supported
- if (${arch} MATCHES "^(arm|armhf|armv7|armv7s|armv7k|armv7m|armv7em)$")
diff --git a/pkgs/development/compilers/llvm/11/compiler-rt/armv7l.patch b/pkgs/development/compilers/llvm/11/compiler-rt/armv7l.patch
deleted file mode 100644
index 120cfe6feb2a..000000000000
--- a/pkgs/development/compilers/llvm/11/compiler-rt/armv7l.patch
+++ /dev/null
@@ -1,32 +0,0 @@
-diff -ur compiler-rt-10.0.0.src/cmake/builtin-config-ix.cmake compiler-rt-10.0.0.src-patched/cmake/builtin-config-ix.cmake
---- compiler-rt-10.0.0.src/cmake/builtin-config-ix.cmake 2020-03-24 00:01:02.000000000 +0900
-+++ compiler-rt-10.0.0.src-patched/cmake/builtin-config-ix.cmake 2020-05-10 03:42:00.883450706 +0900
-@@ -24,7 +24,7 @@
-
-
- set(ARM64 aarch64)
--set(ARM32 arm armhf armv6m armv7m armv7em armv7 armv7s armv7k)
-+set(ARM32 arm armhf armv6m armv7m armv7em armv7 armv7s armv7k armv7l)
- set(HEXAGON hexagon)
- set(X86 i386)
- set(X86_64 x86_64)
-diff -ur compiler-rt-10.0.0.src/lib/builtins/CMakeLists.txt compiler-rt-10.0.0.src-patched/lib/builtins/CMakeLists.txt
---- compiler-rt-10.0.0.src/lib/builtins/CMakeLists.txt 2020-03-24 00:01:02.000000000 +0900
-+++ compiler-rt-10.0.0.src-patched/lib/builtins/CMakeLists.txt 2020-05-10 03:44:49.468579650 +0900
-@@ -474,6 +474,7 @@
- set(armv7_SOURCES ${arm_SOURCES})
- set(armv7s_SOURCES ${arm_SOURCES})
- set(armv7k_SOURCES ${arm_SOURCES})
-+set(armv7l_SOURCES ${arm_SOURCES})
- set(arm64_SOURCES ${aarch64_SOURCES})
-
- # macho_embedded archs
-@@ -595,7 +596,7 @@
- foreach (arch ${BUILTIN_SUPPORTED_ARCH})
- if (CAN_TARGET_${arch})
- # For ARM archs, exclude any VFP builtins if VFP is not supported
-- if (${arch} MATCHES "^(arm|armhf|armv7|armv7s|armv7k|armv7m|armv7em)$")
-+ if (${arch} MATCHES "^(arm|armhf|armv7|armv7s|armv7k|armv7l|armv7m|armv7em)$")
- string(REPLACE ";" " " _TARGET_${arch}_CFLAGS "${TARGET_${arch}_CFLAGS}")
- check_compile_definition(__VFP_FP__ "${CMAKE_C_FLAGS} ${_TARGET_${arch}_CFLAGS}" COMPILER_RT_HAS_${arch}_VFP)
- if(NOT COMPILER_RT_HAS_${arch}_VFP)
diff --git a/pkgs/development/compilers/llvm/11/compiler-rt/default.nix b/pkgs/development/compilers/llvm/11/compiler-rt/default.nix
deleted file mode 100644
index 7fddc6e924b9..000000000000
--- a/pkgs/development/compilers/llvm/11/compiler-rt/default.nix
+++ /dev/null
@@ -1,140 +0,0 @@
-{ lib, stdenv, llvm_meta, version, fetch, cmake, python3, xcbuild, libllvm, libcxxabi, libxcrypt
-, doFakeLibgcc ? stdenv.hostPlatform.isFreeBSD
-}:
-
-let
-
- useLLVM = stdenv.hostPlatform.useLLVM or false;
- isNewDarwinBootstrap = stdenv.hostPlatform.isDarwin && stdenv.hostPlatform.isAarch64;
- bareMetal = stdenv.hostPlatform.parsed.kernel.name == "none";
- haveLibc = stdenv.cc.libc != null;
- inherit (stdenv.hostPlatform) isMusl;
-
-in
-
-stdenv.mkDerivation {
- pname = "compiler-rt" + lib.optionalString (haveLibc) "-libc";
- inherit version;
- src = fetch "compiler-rt" "0x1j8ngf1zj63wlnns9vlibafq48qcm72p4jpaxkmkb4qw0grwfy";
-
- nativeBuildInputs = [ cmake python3 libllvm.dev ]
- ++ lib.optional stdenv.isDarwin xcbuild.xcrun;
-
- env.NIX_CFLAGS_COMPILE = toString [
- "-DSCUDO_DEFAULT_OPTIONS=DeleteSizeMismatch=0:DeallocationTypeMismatch=0"
- ];
-
- cmakeFlags = [
- "-DCOMPILER_RT_DEFAULT_TARGET_ONLY=ON"
- "-DCMAKE_C_COMPILER_TARGET=${stdenv.hostPlatform.config}"
- "-DCMAKE_ASM_COMPILER_TARGET=${stdenv.hostPlatform.config}"
- ] ++ lib.optionals (haveLibc && stdenv.hostPlatform.isGnu) [
- "-DSANITIZER_COMMON_CFLAGS=-I${libxcrypt}/include"
- ] ++ lib.optionals (useLLVM || bareMetal || isMusl || isNewDarwinBootstrap) [
- "-DCOMPILER_RT_BUILD_SANITIZERS=OFF"
- "-DCOMPILER_RT_BUILD_XRAY=OFF"
- "-DCOMPILER_RT_BUILD_LIBFUZZER=OFF"
- ] ++ lib.optionals (useLLVM || bareMetal) [
- "-DCOMPILER_RT_BUILD_PROFILE=OFF"
- ] ++ lib.optionals (!haveLibc || bareMetal) [
- "-DCMAKE_C_COMPILER_WORKS=ON"
- "-DCMAKE_CXX_COMPILER_WORKS=ON"
- "-DCOMPILER_RT_BAREMETAL_BUILD=ON"
- "-DCMAKE_SIZEOF_VOID_P=${toString (stdenv.hostPlatform.parsed.cpu.bits / 8)}"
- ] ++ lib.optionals (useLLVM || isNewDarwinBootstrap) [
- "-DCOMPILER_RT_BUILD_BUILTINS=ON"
- #https://stackoverflow.com/questions/53633705/cmake-the-c-compiler-is-not-able-to-compile-a-simple-test-program
- "-DCMAKE_TRY_COMPILE_TARGET_TYPE=STATIC_LIBRARY"
- ] ++ lib.optionals (bareMetal) [
- "-DCOMPILER_RT_OS_DIR=baremetal"
- ] ++ lib.optionals (stdenv.hostPlatform.isDarwin) [
- "-DDARWIN_macosx_OVERRIDE_SDK_VERSION=ON"
- "-DDARWIN_osx_ARCHS=${stdenv.hostPlatform.darwinArch}"
- "-DDARWIN_osx_BUILTIN_ARCHS=${stdenv.hostPlatform.darwinArch}"
- ];
-
- outputs = [ "out" "dev" ];
-
- patches = [
- ../../common/compiler-rt/7-12-codesign.patch # Revert compiler-rt commit that makes codesign mandatory
- ./X86-support-extension.patch # Add support for i486 i586 i686 by reusing i386 config
- ./gnu-install-dirs.patch
- # ld-wrapper dislikes `-rpath-link //nix/store`, so we normalize away the
- # extra `/`.
- ./normalize-var.patch
- ../../common/compiler-rt/libsanitizer-no-cyclades-11.patch
- ../../common/compiler-rt/darwin-plistbuddy-workaround.patch
- ./armv7l.patch
- # Fix build on armv6l
- ../../common/compiler-rt/armv6-mcr-dmb.patch
- ../../common/compiler-rt/armv6-sync-ops-no-thumb.patch
- ../../common/compiler-rt/armv6-no-ldrexd-strexd.patch
- ];
-
- preConfigure = lib.optionalString stdenv.hostPlatform.isDarwin ''
- cmakeFlagsArray+=("-DCMAKE_LIPO=$(command -v ${stdenv.cc.targetPrefix}lipo)")
- '' + lib.optionalString (!haveLibc) ''
- cmakeFlagsArray+=("-DCMAKE_C_FLAGS=-nodefaultlibs -ffreestanding")
- '';
-
- # TSAN requires XPC on Darwin, which we have no public/free source files for. We can depend on the Apple frameworks
- # to get it, but they're unfree. Since LLVM is rather central to the stdenv, we patch out TSAN support so that Hydra
- # can build this. If we didn't do it, basically the entire nixpkgs on Darwin would have an unfree dependency and we'd
- # get no binary cache for the entire platform. If you really find yourself wanting the TSAN, make this controllable by
- # a flag and turn the flag off during the stdenv build.
- postPatch = lib.optionalString (!stdenv.isDarwin) ''
- substituteInPlace cmake/builtin-config-ix.cmake \
- --replace 'set(X86 i386)' 'set(X86 i386 i486 i586 i686)'
- substituteInPlace cmake/config-ix.cmake \
- --replace 'set(X86 i386)' 'set(X86 i386 i486 i586 i686)'
- '' + lib.optionalString stdenv.isDarwin ''
- substituteInPlace cmake/config-ix.cmake \
- --replace 'set(COMPILER_RT_HAS_TSAN TRUE)' 'set(COMPILER_RT_HAS_TSAN FALSE)'
- '' + lib.optionalString (useLLVM) ''
- substituteInPlace lib/builtins/int_util.c \
- --replace "#include " ""
- substituteInPlace lib/builtins/clear_cache.c \
- --replace "#include " ""
- substituteInPlace lib/builtins/cpu_model.c \
- --replace "#include " ""
- '';
-
- # Hack around weird upsream RPATH bug
- postInstall = lib.optionalString (stdenv.hostPlatform.isDarwin || stdenv.hostPlatform.isWasm) ''
- ln -s "$out/lib"/*/* "$out/lib"
- '' + lib.optionalString (useLLVM) ''
- ln -s $out/lib/*/clang_rt.crtbegin-*.o $out/lib/crtbegin.o
- ln -s $out/lib/*/clang_rt.crtend-*.o $out/lib/crtend.o
- ln -s $out/lib/*/clang_rt.crtbegin-*.o $out/lib/crtbeginS.o
- ln -s $out/lib/*/clang_rt.crtend-*.o $out/lib/crtendS.o
- ln -s $out/lib/*/clang_rt.crtbegin_shared-*.o $out/lib/crtbeginS.o
- ln -s $out/lib/*/clang_rt.crtend_shared-*.o $out/lib/crtendS.o
- ''
- # See https://reviews.llvm.org/D37278 for why android exception
- + lib.optionalString (stdenv.hostPlatform.isx86_32 && !stdenv.hostPlatform.isAndroid) ''
- for f in $out/lib/*/*builtins-i?86*; do
- ln -s "$f" $(echo "$f" | sed -e 's/builtins-i.86/builtins-i386/')
- done
- '' + lib.optionalString doFakeLibgcc ''
- ln -s $out/lib/freebsd/libclang_rt.builtins-*.a $out/lib/libgcc.a
- '';
-
- meta = llvm_meta // {
- homepage = "https://compiler-rt.llvm.org/";
- description = "Compiler runtime libraries";
- longDescription = ''
- The compiler-rt project provides highly tuned implementations of the
- low-level code generator support routines like "__fixunsdfdi" and other
- calls generated when a target doesn't have a short sequence of native
- instructions to implement a core IR operation. It also provides
- implementations of run-time libraries for dynamic testing tools such as
- AddressSanitizer, ThreadSanitizer, MemorySanitizer, and DataFlowSanitizer.
- '';
- # "All of the code in the compiler-rt project is dual licensed under the MIT
- # license and the UIUC License (a BSD-like license)":
- license = with lib.licenses; [ mit ncsa ];
- # compiler-rt requires a Clang stdenv on 32-bit RISC-V:
- # https://reviews.llvm.org/D43106#1019077
- broken = stdenv.hostPlatform.isRiscV32 && !stdenv.cc.isClang;
- };
-}
diff --git a/pkgs/development/compilers/llvm/11/compiler-rt/gnu-install-dirs.patch b/pkgs/development/compilers/llvm/11/compiler-rt/gnu-install-dirs.patch
deleted file mode 100644
index 91e208829295..000000000000
--- a/pkgs/development/compilers/llvm/11/compiler-rt/gnu-install-dirs.patch
+++ /dev/null
@@ -1,129 +0,0 @@
-diff --git a/CMakeLists.txt b/CMakeLists.txt
-index fa62814b635d..6328614d829e 100644
---- a/CMakeLists.txt
-+++ b/CMakeLists.txt
-@@ -12,6 +12,7 @@ endif()
- # Check if compiler-rt is built as a standalone project.
- if (CMAKE_SOURCE_DIR STREQUAL CMAKE_CURRENT_SOURCE_DIR OR COMPILER_RT_STANDALONE_BUILD)
- project(CompilerRT C CXX ASM)
-+ include(GNUInstallDirs)
- set(COMPILER_RT_STANDALONE_BUILD TRUE)
- set_property(GLOBAL PROPERTY USE_FOLDERS ON)
- endif()
-diff --git a/cmake/Modules/AddCompilerRT.cmake b/cmake/Modules/AddCompilerRT.cmake
-index 7c127a93dfa7..6a95a65b70a7 100644
---- a/cmake/Modules/AddCompilerRT.cmake
-+++ b/cmake/Modules/AddCompilerRT.cmake
-@@ -524,7 +524,7 @@ macro(add_compiler_rt_resource_file target_name file_name component)
- add_custom_target(${target_name} DEPENDS ${dst_file})
- # Install in Clang resource directory.
- install(FILES ${file_name}
-- DESTINATION ${COMPILER_RT_INSTALL_PATH}/share
-+ DESTINATION ${COMPILER_RT_INSTALL_PATH}/${CMAKE_INSTALL_FULL_DATADIR}
- COMPONENT ${component})
- add_dependencies(${component} ${target_name})
-
-@@ -541,7 +541,7 @@ macro(add_compiler_rt_script name)
- add_custom_target(${name} DEPENDS ${dst})
- install(FILES ${dst}
- PERMISSIONS OWNER_READ OWNER_WRITE OWNER_EXECUTE GROUP_READ GROUP_EXECUTE WORLD_READ WORLD_EXECUTE
-- DESTINATION ${COMPILER_RT_INSTALL_PATH}/bin)
-+ DESTINATION ${COMPILER_RT_INSTALL_PATH}/${CMAKE_INSTALL_FULL_BINDIR})
- endmacro(add_compiler_rt_script src name)
-
- # Builds custom version of libc++ and installs it in .
-diff --git a/cmake/Modules/CompilerRTDarwinUtils.cmake b/cmake/Modules/CompilerRTDarwinUtils.cmake
-index be8d7e733c7a..ab256bdff26c 100644
---- a/cmake/Modules/CompilerRTDarwinUtils.cmake
-+++ b/cmake/Modules/CompilerRTDarwinUtils.cmake
-@@ -498,7 +498,7 @@ macro(darwin_add_embedded_builtin_libraries)
- set(DARWIN_macho_embedded_LIBRARY_OUTPUT_DIR
- ${COMPILER_RT_OUTPUT_DIR}/lib/macho_embedded)
- set(DARWIN_macho_embedded_LIBRARY_INSTALL_DIR
-- ${COMPILER_RT_INSTALL_PATH}/lib/macho_embedded)
-+ ${COMPILER_RT_INSTALL_PATH}/${CMAKE_INSTALL_FULL_LIBDIR}/macho_embedded)
-
- set(CFLAGS_armv7 "-target thumbv7-apple-darwin-eabi")
- set(CFLAGS_i386 "-march=pentium")
-diff --git a/cmake/Modules/CompilerRTUtils.cmake b/cmake/Modules/CompilerRTUtils.cmake
-index 99b9f0e4af44..c5183ffa746e 100644
---- a/cmake/Modules/CompilerRTUtils.cmake
-+++ b/cmake/Modules/CompilerRTUtils.cmake
-@@ -375,7 +375,7 @@ endfunction()
- function(get_compiler_rt_install_dir arch install_dir)
- if(LLVM_ENABLE_PER_TARGET_RUNTIME_DIR AND NOT APPLE)
- get_compiler_rt_target(${arch} target)
-- set(${install_dir} ${COMPILER_RT_INSTALL_PATH}/lib/${target} PARENT_SCOPE)
-+ set(${install_dir} ${COMPILER_RT_INSTALL_PATH}/${CMAKE_INSTALL_FULL_LIBDIR}/${target} PARENT_SCOPE)
- else()
- set(${install_dir} ${COMPILER_RT_LIBRARY_INSTALL_DIR} PARENT_SCOPE)
- endif()
-diff --git a/cmake/base-config-ix.cmake b/cmake/base-config-ix.cmake
-index 964dd598f102..2acaab87d349 100644
---- a/cmake/base-config-ix.cmake
-+++ b/cmake/base-config-ix.cmake
-@@ -66,11 +66,11 @@ if (LLVM_TREE_AVAILABLE)
- else()
- # Take output dir and install path from the user.
- set(COMPILER_RT_OUTPUT_DIR ${CMAKE_CURRENT_BINARY_DIR} CACHE PATH
-- "Path where built compiler-rt libraries should be stored.")
-+ "Path where built compiler-rt build artifacts should be stored.")
- set(COMPILER_RT_EXEC_OUTPUT_DIR ${CMAKE_CURRENT_BINARY_DIR}/bin CACHE PATH
- "Path where built compiler-rt executables should be stored.")
-- set(COMPILER_RT_INSTALL_PATH ${CMAKE_INSTALL_PREFIX} CACHE PATH
-- "Path where built compiler-rt libraries should be installed.")
-+ set(COMPILER_RT_INSTALL_PATH "" CACHE PATH
-+ "Prefix where built compiler-rt artifacts should be installed, comes before CMAKE_INSTALL_PREFIX.")
- option(COMPILER_RT_INCLUDE_TESTS "Generate and build compiler-rt unit tests." OFF)
- option(COMPILER_RT_ENABLE_WERROR "Fail and stop if warning is triggered" OFF)
- # Use a host compiler to compile/link tests.
-@@ -98,7 +98,7 @@ else(LLVM_ENABLE_PER_TARGET_RUNTIME_DIR)
- set(COMPILER_RT_LIBRARY_OUTPUT_DIR
- ${COMPILER_RT_OUTPUT_DIR}/lib/${COMPILER_RT_OS_DIR})
- set(COMPILER_RT_LIBRARY_INSTALL_DIR
-- ${COMPILER_RT_INSTALL_PATH}/lib/${COMPILER_RT_OS_DIR})
-+ ${COMPILER_RT_INSTALL_PATH}/${CMAKE_INSTALL_FULL_LIBDIR}/${COMPILER_RT_OS_DIR})
- endif()
-
- if(APPLE)
-diff --git a/include/CMakeLists.txt b/include/CMakeLists.txt
-index d47d7baeb118..507c8e5c713e 100644
---- a/include/CMakeLists.txt
-+++ b/include/CMakeLists.txt
-@@ -62,22 +62,22 @@ set_target_properties(compiler-rt-headers PROPERTIES FOLDER "Compiler-RT Misc")
- install(FILES ${SANITIZER_HEADERS}
- COMPONENT compiler-rt-headers
- PERMISSIONS OWNER_READ OWNER_WRITE GROUP_READ WORLD_READ
-- DESTINATION ${COMPILER_RT_INSTALL_PATH}/include/sanitizer)
-+ DESTINATION ${COMPILER_RT_INSTALL_PATH}/${CMAKE_INSTALL_FULL_INCLUDEDIR}/sanitizer)
- # Install fuzzer headers.
- install(FILES ${FUZZER_HEADERS}
- COMPONENT compiler-rt-headers
- PERMISSIONS OWNER_READ OWNER_WRITE GROUP_READ WORLD_READ
-- DESTINATION ${COMPILER_RT_INSTALL_PATH}/include/fuzzer)
-+ DESTINATION ${COMPILER_RT_INSTALL_PATH}/${CMAKE_INSTALL_FULL_INCLUDEDIR}/fuzzer)
- # Install xray headers.
- install(FILES ${XRAY_HEADERS}
- COMPONENT compiler-rt-headers
- PERMISSIONS OWNER_READ OWNER_WRITE GROUP_READ WORLD_READ
-- DESTINATION ${COMPILER_RT_INSTALL_PATH}/include/xray)
-+ DESTINATION ${COMPILER_RT_INSTALL_PATH}/${CMAKE_INSTALL_FULL_INCLUDEDIR}/xray)
- # Install profile headers.
- install(FILES ${PROFILE_HEADERS}
- COMPONENT compiler-rt-headers
- PERMISSIONS OWNER_READ OWNER_WRITE GROUP_READ WORLD_READ
-- DESTINATION ${COMPILER_RT_INSTALL_PATH}/include/profile)
-+ DESTINATION ${COMPILER_RT_INSTALL_PATH}/${CMAKE_INSTALL_FULL_INCLUDEDIR}/profile)
-
- if (NOT CMAKE_CONFIGURATION_TYPES) # don't add this for IDEs.
- add_custom_target(install-compiler-rt-headers
-diff --git a/lib/dfsan/CMakeLists.txt b/lib/dfsan/CMakeLists.txt
-index 051215edbeb7..ecce1f52efb0 100644
---- a/lib/dfsan/CMakeLists.txt
-+++ b/lib/dfsan/CMakeLists.txt
-@@ -56,4 +56,4 @@ add_custom_command(OUTPUT ${dfsan_abilist_filename}
- DEPENDS done_abilist.txt libc_ubuntu1404_abilist.txt)
- add_dependencies(dfsan dfsan_abilist)
- install(FILES ${dfsan_abilist_filename}
-- DESTINATION ${COMPILER_RT_INSTALL_PATH}/share)
-+ DESTINATION ${COMPILER_RT_INSTALL_PATH}/${CMAKE_INSTALL_FULL_DATADIR})
diff --git a/pkgs/development/compilers/llvm/11/compiler-rt/normalize-var.patch b/pkgs/development/compilers/llvm/11/compiler-rt/normalize-var.patch
deleted file mode 100644
index b9986910e516..000000000000
--- a/pkgs/development/compilers/llvm/11/compiler-rt/normalize-var.patch
+++ /dev/null
@@ -1,16 +0,0 @@
-diff --git a/compiler-rt/cmake/Modules/CompilerRTUtils.cmake b/compiler-rt/cmake/Modules/CompilerRTUtils.cmake
-index c5183ffa746e..e4e4a007335d 100644
---- a/cmake/Modules/CompilerRTUtils.cmake
-+++ b/cmake/Modules/CompilerRTUtils.cmake
-@@ -299,8 +299,9 @@ macro(load_llvm_config)
- # Get some LLVM variables from LLVMConfig.
- include("${LLVM_CMAKE_PATH}/LLVMConfig.cmake")
-
-- set(LLVM_LIBRARY_OUTPUT_INTDIR
-- ${LLVM_BINARY_DIR}/${CMAKE_CFG_INTDIR}/lib${LLVM_LIBDIR_SUFFIX})
-+ get_filename_component(LLVM_LIBRARY_OUTPUT_INTDIR
-+ ${LLVM_BINARY_DIR}/${CMAKE_CFG_INTDIR}/lib${LLVM_LIBDIR_SUFFIX}
-+ REALPATH)
- endif()
- endmacro()
-
diff --git a/pkgs/development/compilers/llvm/11/default.nix b/pkgs/development/compilers/llvm/11/default.nix
deleted file mode 100644
index 3044199e2811..000000000000
--- a/pkgs/development/compilers/llvm/11/default.nix
+++ /dev/null
@@ -1,285 +0,0 @@
-{ lowPrio, newScope, pkgs, lib, stdenv, cmake
-, preLibcCrossHeaders
-, libxml2, python3, isl, fetchurl, overrideCC, wrapCCWith, wrapBintoolsWith
-, buildLlvmTools # tools, but from the previous stage, for cross
-, targetLlvmLibraries # libraries, but from the next stage, for cross
-, targetLlvm
-# This is the default binutils, but with *this* version of LLD rather
-# than the default LLVM version's, if LLD is the choice. We use these for
-# the `useLLVM` bootstrapping below.
-, bootBintoolsNoLibc ?
- if stdenv.targetPlatform.linker == "lld"
- then null
- else pkgs.bintoolsNoLibc
-, bootBintools ?
- if stdenv.targetPlatform.linker == "lld"
- then null
- else pkgs.bintools
-}:
-
-let
- release_version = "11.1.0";
- candidate = ""; # empty or "rcN"
- dash-candidate = lib.optionalString (candidate != "") "-${candidate}";
- version = "${release_version}${dash-candidate}"; # differentiating these (variables) is important for RCs
-
- fetch = name: sha256: fetchurl {
- url = "https://github.com/llvm/llvm-project/releases/download/llvmorg-${version}/${name}-${release_version}${candidate}.src.tar.xz";
- inherit sha256;
- };
-
- clang-tools-extra_src = fetch "clang-tools-extra" "18n1w1hkv931xzq02b34wglbv6zd6sd0r5kb8piwvag7klj7qw3n";
-
- inherit (import ../common/common-let.nix { inherit lib release_version; }) llvm_meta;
-
- tools = lib.makeExtensible (tools: let
- callPackage = newScope (tools // { inherit stdenv cmake libxml2 python3 isl release_version version fetch buildLlvmTools; });
- mkExtraBuildCommands0 = cc: ''
- rsrc="$out/resource-root"
- mkdir "$rsrc"
- ln -s "${cc.lib}/lib/clang/${release_version}/include" "$rsrc"
- echo "-resource-dir=$rsrc" >> $out/nix-support/cc-cflags
- '';
- mkExtraBuildCommands = cc: mkExtraBuildCommands0 cc + ''
- ln -s "${targetLlvmLibraries.compiler-rt.out}/lib" "$rsrc/lib"
- ln -s "${targetLlvmLibraries.compiler-rt.out}/share" "$rsrc/share"
- '';
-
- bintoolsNoLibc' =
- if bootBintoolsNoLibc == null
- then tools.bintoolsNoLibc
- else bootBintoolsNoLibc;
- bintools' =
- if bootBintools == null
- then tools.bintools
- else bootBintools;
-
- in {
-
- libllvm = callPackage ./llvm {
- inherit llvm_meta;
- };
-
- # `llvm` historically had the binaries. When choosing an output explicitly,
- # we need to reintroduce `outputSpecified` to get the expected behavior e.g. of lib.get*
- llvm = tools.libllvm;
-
- libllvm-polly = callPackage ./llvm {
- inherit llvm_meta;
- enablePolly = true;
- };
-
- llvm-polly = tools.libllvm-polly.lib // { outputSpecified = false; };
-
- libclang = callPackage ./clang {
- inherit clang-tools-extra_src llvm_meta;
- };
-
- clang-unwrapped = tools.libclang;
-
- clang-polly-unwrapped = callPackage ./clang {
- inherit llvm_meta;
- inherit clang-tools-extra_src;
- libllvm = tools.libllvm-polly;
- enablePolly = true;
- };
-
- llvm-manpages = lowPrio (tools.libllvm.override {
- enableManpages = true;
- python3 = pkgs.python3; # don't use python-boot
- });
-
- clang-manpages = lowPrio (tools.libclang.override {
- enableManpages = true;
- python3 = pkgs.python3; # don't use python-boot
- });
-
- # disabled until recommonmark supports sphinx 3
- # lldb-manpages = lowPrio (tools.lldb.override {
- # enableManpages = true;
- # python3 = pkgs.python3; # don't use python-boot
- # });
-
- # pick clang appropriate for package set we are targeting
- clang =
- /**/ if stdenv.targetPlatform.libc == null then tools.clangNoLibc
- else if stdenv.targetPlatform.useLLVM or false then tools.clangUseLLVM
- else if (pkgs.targetPackages.stdenv or stdenv).cc.isGNU then tools.libstdcxxClang
- else tools.libcxxClang;
-
- libstdcxxClang = wrapCCWith rec {
- cc = tools.clang-unwrapped;
- # libstdcxx is taken from gcc in an ad-hoc way in cc-wrapper.
- libcxx = null;
- extraPackages = [
- targetLlvmLibraries.compiler-rt
- ];
- extraBuildCommands = mkExtraBuildCommands cc;
- };
-
- libcxxClang = wrapCCWith rec {
- cc = tools.clang-unwrapped;
- libcxx = targetLlvmLibraries.libcxx;
- extraPackages = [
- libcxx.cxxabi
- targetLlvmLibraries.compiler-rt
- ];
- extraBuildCommands = mkExtraBuildCommands cc;
- };
-
- lld = callPackage ./lld {
- inherit llvm_meta;
- };
-
- lldb = callPackage ../common/lldb.nix {
- src = fetch "lldb" "1vlyg015dyng43xqb8cg2l6r9ix8klibxsajazbfnckdnh54hwxj";
- patches = [
- ./lldb/procfs.patch
- ./lldb/gnu-install-dirs.patch
- ];
- inherit llvm_meta;
- };
-
- # Below, is the LLVM bootstrapping logic. It handles building a
- # fully LLVM toolchain from scratch. No GCC toolchain should be
- # pulled in. As a consequence, it is very quick to build different
- # targets provided by LLVM and we can also build for what GCC
- # doesn’t support like LLVM. Probably we should move to some other
- # file.
-
- bintools-unwrapped = callPackage ../common/bintools.nix { };
-
- bintoolsNoLibc = wrapBintoolsWith {
- bintools = tools.bintools-unwrapped;
- libc = preLibcCrossHeaders;
- };
-
- bintools = wrapBintoolsWith {
- bintools = tools.bintools-unwrapped;
- };
-
- clangUseLLVM = wrapCCWith rec {
- cc = tools.clang-unwrapped;
- libcxx = targetLlvmLibraries.libcxx;
- bintools = bintools';
- extraPackages = [
- libcxx.cxxabi
- targetLlvmLibraries.compiler-rt
- ] ++ lib.optionals (!stdenv.targetPlatform.isWasm) [
- targetLlvmLibraries.libunwind
- ];
- extraBuildCommands = ''
- echo "-rtlib=compiler-rt -Wno-unused-command-line-argument" >> $out/nix-support/cc-cflags
- echo "-B${targetLlvmLibraries.compiler-rt}/lib" >> $out/nix-support/cc-cflags
- '' + lib.optionalString (!stdenv.targetPlatform.isWasm) ''
- echo "--unwindlib=libunwind" >> $out/nix-support/cc-cflags
- echo "-L${targetLlvmLibraries.libunwind}/lib" >> $out/nix-support/cc-ldflags
- '' + lib.optionalString (!stdenv.targetPlatform.isWasm && stdenv.targetPlatform.useLLVM or false) ''
- echo "-lunwind" >> $out/nix-support/cc-ldflags
- '' + lib.optionalString stdenv.targetPlatform.isWasm ''
- echo "-fno-exceptions" >> $out/nix-support/cc-cflags
- '' + mkExtraBuildCommands cc;
- };
-
- clangNoLibcxx = wrapCCWith rec {
- cc = tools.clang-unwrapped;
- libcxx = null;
- bintools = bintools';
- extraPackages = [
- targetLlvmLibraries.compiler-rt
- ];
- extraBuildCommands = ''
- echo "-rtlib=compiler-rt" >> $out/nix-support/cc-cflags
- echo "-B${targetLlvmLibraries.compiler-rt}/lib" >> $out/nix-support/cc-cflags
- echo "-nostdlib++" >> $out/nix-support/cc-cflags
- '' + mkExtraBuildCommands cc;
- };
-
- clangNoLibc = wrapCCWith rec {
- cc = tools.clang-unwrapped;
- libcxx = null;
- bintools = bintoolsNoLibc';
- extraPackages = [
- targetLlvmLibraries.compiler-rt
- ];
- extraBuildCommands = ''
- echo "-rtlib=compiler-rt" >> $out/nix-support/cc-cflags
- echo "-B${targetLlvmLibraries.compiler-rt}/lib" >> $out/nix-support/cc-cflags
- '' + mkExtraBuildCommands cc;
- };
-
- clangNoCompilerRt = wrapCCWith rec {
- cc = tools.clang-unwrapped;
- libcxx = null;
- bintools = bintoolsNoLibc';
- extraPackages = [ ];
- extraBuildCommands = ''
- echo "-nostartfiles" >> $out/nix-support/cc-cflags
- '' + mkExtraBuildCommands0 cc;
- };
-
- clangNoCompilerRtWithLibc = wrapCCWith rec {
- cc = tools.clang-unwrapped;
- libcxx = null;
- bintools = bintools';
- extraPackages = [ ];
- extraBuildCommands = mkExtraBuildCommands0 cc;
- };
-
- });
-
- libraries = lib.makeExtensible (libraries: let
- callPackage = newScope (libraries // buildLlvmTools // { inherit stdenv cmake libxml2 python3 isl release_version version fetch; });
- in {
-
- compiler-rt-libc = callPackage ./compiler-rt {
- inherit llvm_meta;
- stdenv = if (stdenv.hostPlatform.useLLVM or false) || (stdenv.hostPlatform.isDarwin && stdenv.hostPlatform.isAarch64) || (stdenv.hostPlatform.isRiscV && stdenv.hostPlatform.is32bit)
- then overrideCC stdenv buildLlvmTools.clangNoCompilerRtWithLibc
- else stdenv;
- };
-
- compiler-rt-no-libc = callPackage ./compiler-rt {
- inherit llvm_meta;
- stdenv = if (stdenv.hostPlatform.useLLVM or false) || (stdenv.hostPlatform.isDarwin && stdenv.hostPlatform.isAarch64)
- then overrideCC stdenv buildLlvmTools.clangNoCompilerRt
- else stdenv;
- };
-
- # N.B. condition is safe because without useLLVM both are the same.
- compiler-rt = if stdenv.hostPlatform.isAndroid || (stdenv.hostPlatform.isDarwin && stdenv.hostPlatform.isAarch64) || (stdenv.hostPlatform.libc == "newlib")
- then libraries.compiler-rt-libc
- else libraries.compiler-rt-no-libc;
-
- stdenv = overrideCC stdenv buildLlvmTools.clang;
-
- libcxxStdenv = overrideCC stdenv buildLlvmTools.libcxxClang;
-
- libcxx = callPackage ./libcxx {
- inherit llvm_meta;
- stdenv = if (stdenv.hostPlatform.useLLVM or false) || (stdenv.hostPlatform.isDarwin && stdenv.hostPlatform.isAarch64)
- then overrideCC stdenv buildLlvmTools.clangNoLibcxx
- else stdenv;
- };
-
- libcxxabi = callPackage ./libcxxabi {
- inherit llvm_meta;
- stdenv = if (stdenv.hostPlatform.useLLVM or false) || (stdenv.hostPlatform.isDarwin && stdenv.hostPlatform.isAarch64)
- then overrideCC stdenv buildLlvmTools.clangNoLibcxx
- else stdenv;
- };
-
- libunwind = callPackage ./libunwind {
- inherit llvm_meta;
- stdenv = if (stdenv.hostPlatform.useLLVM or false) || (stdenv.hostPlatform.isDarwin && stdenv.hostPlatform.isAarch64)
- then overrideCC stdenv buildLlvmTools.clangNoLibcxx
- else stdenv;
- };
-
- openmp = callPackage ./openmp {
- inherit llvm_meta targetLlvm;
- };
- });
- noExtend = extensible: lib.attrsets.removeAttrs extensible [ "extend" ];
-
-in { inherit tools libraries release_version; } // (noExtend libraries) // (noExtend tools)
diff --git a/pkgs/development/compilers/llvm/11/libcxx/default.nix b/pkgs/development/compilers/llvm/11/libcxx/default.nix
deleted file mode 100644
index 3e77e03b124b..000000000000
--- a/pkgs/development/compilers/llvm/11/libcxx/default.nix
+++ /dev/null
@@ -1,104 +0,0 @@
-{ lib, stdenv, llvm_meta, fetch, fetchpatch, cmake, python3, llvm, fixDarwinDylibNames, version
-, cxxabi ? if stdenv.hostPlatform.isFreeBSD then libcxxrt else libcxxabi
-, libcxxabi, libcxxrt
-, enableShared ? !stdenv.hostPlatform.isStatic
-}:
-
-assert stdenv.isDarwin -> cxxabi.pname == "libcxxabi";
-
-stdenv.mkDerivation {
- pname = "libcxx";
- inherit version;
-
- src = fetch "libcxx" "1rgqsqpgi0vkga5d7hy0iyfsqgzfz7q1xy7afdfa1snp1qjks8xv";
-
- postUnpack = ''
- unpackFile ${libcxxabi.src}
- mv libcxxabi-* libcxxabi
- unpackFile ${llvm.src}
- mv llvm-* llvm
- '';
-
- outputs = [ "out" "dev" ];
-
- patches = [
- (fetchpatch {
- # Backported from LLVM 12, avoids clashes with commonly used "block.h" header.
- url = "https://github.com/llvm/llvm-project/commit/19bc9ea480b60b607a3e303f20c7a3a2ea553369.patch";
- sha256 = "sha256-aWa66ogmPkG0xHzSfcpD0qZyZQcNKwLV44js4eiun78=";
- stripLen = 1;
- })
- ./gnu-install-dirs.patch
- ] ++ lib.optionals stdenv.hostPlatform.isMusl [
- ../../libcxx-0001-musl-hacks.patch
- ];
-
- # Prevent errors like "error: 'foo' is unavailable: introduced in macOS yy.zz"
- postPatch = ''
- substituteInPlace include/__config \
- --replace "# define _LIBCPP_USE_AVAILABILITY_APPLE" ""
- '';
-
- preConfigure = lib.optionalString stdenv.hostPlatform.isMusl ''
- patchShebangs utils/cat_files.py
- '';
-
- nativeBuildInputs = [ cmake python3 ]
- ++ lib.optional stdenv.isDarwin fixDarwinDylibNames;
-
- buildInputs = [ cxxabi ];
-
- cmakeFlags = [
- "-DLIBCXX_CXX_ABI=${cxxabi.pname}"
- ] ++ lib.optional (stdenv.hostPlatform.isMusl || stdenv.hostPlatform.isWasi) "-DLIBCXX_HAS_MUSL_LIBC=1"
- ++ lib.optional (stdenv.hostPlatform.useLLVM or false) "-DLIBCXX_USE_COMPILER_RT=ON"
- ++ lib.optionals stdenv.hostPlatform.isWasm [
- "-DLIBCXX_ENABLE_THREADS=OFF"
- "-DLIBCXX_ENABLE_FILESYSTEM=OFF"
- "-DLIBCXX_ENABLE_EXCEPTIONS=OFF"
- ] ++ lib.optional (!enableShared) "-DLIBCXX_ENABLE_SHARED=OFF"
-
- # TODO: this is a bit of a hack to cross compile to Apple Silicon. libcxx
- # starting with 11 enables CMAKE_BUILD_WITH_INSTALL_NAME_DIR which requires
- # platform setup for rpaths. In cmake, this is enabled when macos is newer
- # than 10.5. However CMAKE_SYSTEM_VERSION is set to empty (TODO: why?)
- # which prevents the conditional configuration, and configure fails. The
- # value here corresponds to `uname -r`. If stdenv.hostPlatform.release is
- # not null, then this property will be set via mkDerivation (TODO: how can
- # we set this?).
- ++ lib.optional (
- stdenv.hostPlatform.isDarwin && stdenv.hostPlatform.isAarch64 &&
- stdenv.hostPlatform != stdenv.buildPlatform
- ) "-DCMAKE_SYSTEM_VERSION=20.1.0";
-
- preInstall = lib.optionalString (stdenv.isDarwin) ''
- for file in lib/*.dylib; do
- if [ -L "$file" ]; then continue; fi
-
- baseName=$(basename $(${stdenv.cc.targetPrefix}otool -D $file | tail -n 1))
- installName="$out/lib/$baseName"
- abiName=$(echo "$baseName" | sed -e 's/libc++/libc++abi/')
-
- for other in $(${stdenv.cc.targetPrefix}otool -L $file | awk '$1 ~ "/libc\\+\\+abi" { print $1 }'); do
- ${stdenv.cc.targetPrefix}install_name_tool -change $other ${cxxabi}/lib/$abiName $file
- done
- done
- '';
-
- passthru = {
- isLLVM = true;
- inherit cxxabi;
- };
-
- meta = llvm_meta // {
- homepage = "https://libcxx.llvm.org/";
- description = "C++ standard library";
- longDescription = ''
- libc++ is an implementation of the C++ standard library, targeting C++11,
- C++14 and above.
- '';
- # "All of the code in libc++ is dual licensed under the MIT license and the
- # UIUC License (a BSD-like license)":
- license = with lib.licenses; [ mit ncsa ];
- };
-}
diff --git a/pkgs/development/compilers/llvm/11/libcxx/gnu-install-dirs.patch b/pkgs/development/compilers/llvm/11/libcxx/gnu-install-dirs.patch
deleted file mode 100644
index 2b95d6a85d8f..000000000000
--- a/pkgs/development/compilers/llvm/11/libcxx/gnu-install-dirs.patch
+++ /dev/null
@@ -1,100 +0,0 @@
-diff --git a/CMakeLists.txt b/CMakeLists.txt
-index 910d04b54b6d..80ef692d83eb 100644
---- a/CMakeLists.txt
-+++ b/CMakeLists.txt
-@@ -31,6 +31,8 @@ set(CMAKE_MODULE_PATH
- if (CMAKE_SOURCE_DIR STREQUAL CMAKE_CURRENT_SOURCE_DIR OR LIBCXX_STANDALONE_BUILD)
- project(libcxx CXX C)
-
-+ include(GNUInstallDirs)
-+
- set(PACKAGE_NAME libcxx)
- set(PACKAGE_VERSION 11.1.0)
- set(PACKAGE_STRING "${PACKAGE_NAME} ${PACKAGE_VERSION}")
-@@ -418,7 +420,7 @@ string(REGEX MATCH "[0-9]+\\.[0-9]+(\\.[0-9]+)?" CLANG_VERSION
- if(LLVM_ENABLE_PER_TARGET_RUNTIME_DIR AND NOT APPLE)
- set(LIBCXX_LIBRARY_DIR ${LLVM_LIBRARY_OUTPUT_INTDIR}/${LLVM_DEFAULT_TARGET_TRIPLE}/c++)
- set(LIBCXX_HEADER_DIR ${LLVM_BINARY_DIR})
-- set(LIBCXX_INSTALL_LIBRARY_DIR lib${LLVM_LIBDIR_SUFFIX}/${LLVM_DEFAULT_TARGET_TRIPLE}/c++)
-+ set(LIBCXX_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX}/${LLVM_DEFAULT_TARGET_TRIPLE}/c++)
- if(LIBCXX_LIBDIR_SUBDIR)
- string(APPEND LIBCXX_LIBRARY_DIR /${LIBCXX_LIBDIR_SUBDIR})
- string(APPEND LIBCXX_INSTALL_LIBRARY_DIR /${LIBCXX_LIBDIR_SUBDIR})
-@@ -426,11 +428,11 @@ if(LLVM_ENABLE_PER_TARGET_RUNTIME_DIR AND NOT APPLE)
- elseif(LLVM_LIBRARY_OUTPUT_INTDIR)
- set(LIBCXX_LIBRARY_DIR ${LLVM_LIBRARY_OUTPUT_INTDIR})
- set(LIBCXX_HEADER_DIR ${LLVM_BINARY_DIR})
-- set(LIBCXX_INSTALL_LIBRARY_DIR lib${LIBCXX_LIBDIR_SUFFIX})
-+ set(LIBCXX_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LIBCXX_LIBDIR_SUFFIX})
- else()
- set(LIBCXX_LIBRARY_DIR ${CMAKE_BINARY_DIR}/lib${LIBCXX_LIBDIR_SUFFIX})
- set(LIBCXX_HEADER_DIR ${CMAKE_BINARY_DIR})
-- set(LIBCXX_INSTALL_LIBRARY_DIR lib${LIBCXX_LIBDIR_SUFFIX})
-+ set(LIBCXX_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LIBCXX_LIBDIR_SUFFIX})
- endif()
-
- file(MAKE_DIRECTORY "${LIBCXX_BINARY_INCLUDE_DIR}")
-diff --git a/cmake/Modules/HandleLibCXXABI.cmake b/cmake/Modules/HandleLibCXXABI.cmake
-index c5aa26739e36..8841c4a5252d 100644
---- a/cmake/Modules/HandleLibCXXABI.cmake
-+++ b/cmake/Modules/HandleLibCXXABI.cmake
-@@ -63,7 +63,7 @@ macro(setup_abi_lib abidefines abishared abistatic abifiles abidirs)
-
- if (LIBCXX_INSTALL_HEADERS)
- install(FILES "${LIBCXX_BINARY_INCLUDE_DIR}/${fpath}"
-- DESTINATION ${LIBCXX_INSTALL_HEADER_PREFIX}include/c++/v1/${dstdir}
-+ DESTINATION ${LIBCXX_INSTALL_HEADER_PREFIX}${CMAKE_INSTALL_INCLUDEDIR}/c++/v1/${dstdir}
- COMPONENT cxx-headers
- PERMISSIONS OWNER_READ OWNER_WRITE GROUP_READ WORLD_READ
- )
-diff --git a/include/CMakeLists.txt b/include/CMakeLists.txt
-index be8141c98166..93847e5758cc 100644
---- a/include/CMakeLists.txt
-+++ b/include/CMakeLists.txt
-@@ -257,7 +257,7 @@ if (LIBCXX_INSTALL_HEADERS)
- foreach(file ${files})
- get_filename_component(dir ${file} DIRECTORY)
- install(FILES ${file}
-- DESTINATION ${LIBCXX_INSTALL_HEADER_PREFIX}include/c++/v1/${dir}
-+ DESTINATION ${LIBCXX_INSTALL_HEADER_PREFIX}${CMAKE_INSTALL_INCLUDEDIR}/c++/v1/${dir}
- COMPONENT ${CXX_HEADER_TARGET}
- PERMISSIONS OWNER_READ OWNER_WRITE GROUP_READ WORLD_READ
- )
-@@ -265,7 +265,7 @@ if (LIBCXX_INSTALL_HEADERS)
-
- # Install the generated header as __config.
- install(FILES ${LIBCXX_BINARY_DIR}/__generated_config
-- DESTINATION ${LIBCXX_INSTALL_HEADER_PREFIX}include/c++/v1
-+ DESTINATION ${LIBCXX_INSTALL_HEADER_PREFIX}${CMAKE_INSTALL_INCLUDEDIR}/c++/v1
- PERMISSIONS OWNER_READ OWNER_WRITE GROUP_READ WORLD_READ
- RENAME __config
- COMPONENT ${CXX_HEADER_TARGET})
-diff --git a/src/CMakeLists.txt b/src/CMakeLists.txt
-index 2001c09761d9..5bd11ea6b400 100644
---- a/src/CMakeLists.txt
-+++ b/src/CMakeLists.txt
-@@ -344,21 +344,21 @@ if (LIBCXX_INSTALL_LIBRARY)
- install(TARGETS cxx_shared
- ARCHIVE DESTINATION ${LIBCXX_INSTALL_PREFIX}${LIBCXX_INSTALL_LIBRARY_DIR} COMPONENT cxx
- LIBRARY DESTINATION ${LIBCXX_INSTALL_PREFIX}${LIBCXX_INSTALL_LIBRARY_DIR} COMPONENT cxx
-- RUNTIME DESTINATION ${LIBCXX_INSTALL_PREFIX}bin COMPONENT cxx)
-+ RUNTIME DESTINATION ${LIBCXX_INSTALL_PREFIX}${CMAKE_INSTALL_BINDIR} COMPONENT cxx)
- endif()
-
- if (LIBCXX_INSTALL_STATIC_LIBRARY)
- install(TARGETS cxx_static
- ARCHIVE DESTINATION ${LIBCXX_INSTALL_PREFIX}${LIBCXX_INSTALL_LIBRARY_DIR} COMPONENT cxx
- LIBRARY DESTINATION ${LIBCXX_INSTALL_PREFIX}${LIBCXX_INSTALL_LIBRARY_DIR} COMPONENT cxx
-- RUNTIME DESTINATION ${LIBCXX_INSTALL_PREFIX}bin COMPONENT cxx)
-+ RUNTIME DESTINATION ${LIBCXX_INSTALL_PREFIX}${CMAKE_INSTALL_BINDIR} COMPONENT cxx)
- endif()
-
- if(LIBCXX_INSTALL_EXPERIMENTAL_LIBRARY)
- install(TARGETS cxx_experimental
- LIBRARY DESTINATION ${LIBCXX_INSTALL_PREFIX}${LIBCXX_INSTALL_LIBRARY_DIR} COMPONENT cxx
- ARCHIVE DESTINATION ${LIBCXX_INSTALL_PREFIX}${LIBCXX_INSTALL_LIBRARY_DIR} COMPONENT cxx
-- RUNTIME DESTINATION ${LIBCXX_INSTALL_PREFIX}bin COMPONENT cxx)
-+ RUNTIME DESTINATION ${LIBCXX_INSTALL_PREFIX}${CMAKE_INSTALL_BINDIR} COMPONENT cxx)
- endif()
-
- # NOTE: This install command must go after the cxx install command otherwise
diff --git a/pkgs/development/compilers/llvm/11/libcxxabi/default.nix b/pkgs/development/compilers/llvm/11/libcxxabi/default.nix
deleted file mode 100644
index 8a0a18f67822..000000000000
--- a/pkgs/development/compilers/llvm/11/libcxxabi/default.nix
+++ /dev/null
@@ -1,89 +0,0 @@
-{ lib, stdenv, llvm_meta, cmake, fetch, libcxx, libunwind, llvm, version
-, enableShared ? !stdenv.hostPlatform.isStatic
-, standalone ? stdenv.hostPlatform.useLLVM or false
-, withLibunwind ? !stdenv.isDarwin && !stdenv.hostPlatform.isWasm
-}:
-
-stdenv.mkDerivation {
- pname = "libcxxabi";
- inherit version;
-
- src = fetch "libcxxabi" "1azcf31mxw59hb1x17xncnm3dyw90ylh8rqx462lvypqh3nr6c8l";
-
- outputs = [ "out" "dev" ];
-
- postUnpack = ''
- unpackFile ${libcxx.src}
- mv libcxx-* libcxx
- unpackFile ${llvm.src}
- mv llvm-* llvm
- '' + lib.optionalString stdenv.isDarwin ''
- export TRIPLE=x86_64-apple-darwin
- '' + lib.optionalString stdenv.hostPlatform.isMusl ''
- patch -p1 -d libcxx -i ${../../libcxx-0001-musl-hacks.patch}
- '' + lib.optionalString stdenv.hostPlatform.isWasm ''
- patch -p1 -d llvm -i ${../../common/libcxxabi/wasm.patch}
- '';
-
- patches = [
- ../../common/libcxxabi/no-threads.patch
- ./gnu-install-dirs.patch
- ];
-
- nativeBuildInputs = [ cmake ];
- buildInputs = lib.optional withLibunwind libunwind;
-
- cmakeFlags = lib.optionals standalone [
- "-DLLVM_ENABLE_LIBCXX=ON"
- ] ++ lib.optionals (standalone && withLibunwind) [
- "-DLIBCXXABI_USE_LLVM_UNWINDER=ON"
- ] ++ lib.optionals stdenv.hostPlatform.isWasm [
- "-DLIBCXXABI_ENABLE_THREADS=OFF"
- "-DLIBCXXABI_ENABLE_EXCEPTIONS=OFF"
- ] ++ lib.optionals (!enableShared) [
- "-DLIBCXXABI_ENABLE_SHARED=OFF"
- ];
-
- preInstall = lib.optionalString stdenv.isDarwin ''
- for file in lib/*.dylib; do
- if [ -L "$file" ]; then continue; fi
-
- # Fix up the install name. Preserve the basename, just replace the path.
- installName="$out/lib/$(basename $(${stdenv.cc.targetPrefix}otool -D $file | tail -n 1))"
-
- # this should be done in CMake, but having trouble figuring out
- # the magic combination of necessary CMake variables
- # if you fancy a try, take a look at
- # https://gitlab.kitware.com/cmake/community/-/wikis/doc/cmake/RPATH-handling
- ${stdenv.cc.targetPrefix}install_name_tool -id $installName $file
-
- # cc-wrapper passes '-lc++abi' to all c++ link steps, but that causes
- # libcxxabi to sometimes link against a different version of itself.
- # Here we simply make that second reference point to ourselves.
- for other in $(${stdenv.cc.targetPrefix}otool -L $file | awk '$1 ~ "/libc\\+\\+abi" { print $1 }'); do
- ${stdenv.cc.targetPrefix}install_name_tool -change $other $installName $file
- done
- done
- '';
-
- postInstall = ''
- mkdir -p "$dev/include"
- install -m 644 ../include/${if stdenv.isDarwin then "*" else "cxxabi.h"} "$dev/include"
- '';
-
- passthru = {
- libName = "c++abi";
- };
-
- meta = llvm_meta // {
- homepage = "https://libcxxabi.llvm.org/";
- description = "Provides C++ standard library support";
- longDescription = ''
- libc++abi is a new implementation of low level support for a standard C++ library.
- '';
- # "All of the code in libc++abi is dual licensed under the MIT license and
- # the UIUC License (a BSD-like license)":
- license = with lib.licenses; [ mit ncsa ];
- maintainers = llvm_meta.maintainers ++ [ lib.maintainers.vlstill ];
- };
-}
diff --git a/pkgs/development/compilers/llvm/11/libcxxabi/gnu-install-dirs.patch b/pkgs/development/compilers/llvm/11/libcxxabi/gnu-install-dirs.patch
deleted file mode 100644
index 10651c1255ef..000000000000
--- a/pkgs/development/compilers/llvm/11/libcxxabi/gnu-install-dirs.patch
+++ /dev/null
@@ -1,34 +0,0 @@
-diff --git a/CMakeLists.txt b/CMakeLists.txt
-index 36c6b2249e2b..a93c13ccaed9 100644
---- a/CMakeLists.txt
-+++ b/CMakeLists.txt
-@@ -24,6 +24,8 @@ set(CMAKE_MODULE_PATH
- if (CMAKE_SOURCE_DIR STREQUAL CMAKE_CURRENT_SOURCE_DIR OR LIBCXXABI_STANDALONE_BUILD)
- project(libcxxabi CXX C)
-
-+ include(GNUInstallDirs)
-+
- set(PACKAGE_NAME libcxxabi)
- set(PACKAGE_VERSION 11.1.0)
- set(PACKAGE_STRING "${PACKAGE_NAME} ${PACKAGE_VERSION}")
-@@ -160,17 +162,17 @@ string(REGEX MATCH "[0-9]+\\.[0-9]+(\\.[0-9]+)?" CLANG_VERSION
-
- if(LLVM_ENABLE_PER_TARGET_RUNTIME_DIR AND NOT APPLE)
- set(LIBCXXABI_LIBRARY_DIR ${LLVM_LIBRARY_OUTPUT_INTDIR}/${LLVM_DEFAULT_TARGET_TRIPLE}/c++)
-- set(LIBCXXABI_INSTALL_LIBRARY_DIR lib${LLVM_LIBDIR_SUFFIX}/${LLVM_DEFAULT_TARGET_TRIPLE}/c++)
-+ set(LIBCXXABI_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX}/${LLVM_DEFAULT_TARGET_TRIPLE}/c++)
- if(LIBCXX_LIBDIR_SUBDIR)
- string(APPEND LIBCXXABI_LIBRARY_DIR /${LIBCXXABI_LIBDIR_SUBDIR})
- string(APPEND LIBCXXABI_INSTALL_LIBRARY_DIR /${LIBCXXABI_LIBDIR_SUBDIR})
- endif()
- elseif(LLVM_LIBRARY_OUTPUT_INTDIR)
- set(LIBCXXABI_LIBRARY_DIR ${LLVM_LIBRARY_OUTPUT_INTDIR})
-- set(LIBCXXABI_INSTALL_LIBRARY_DIR lib${LIBCXXABI_LIBDIR_SUFFIX})
-+ set(LIBCXXABI_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LIBCXXABI_LIBDIR_SUFFIX})
- else()
- set(LIBCXXABI_LIBRARY_DIR ${CMAKE_BINARY_DIR}/lib${LIBCXXABI_LIBDIR_SUFFIX})
-- set(LIBCXXABI_INSTALL_LIBRARY_DIR lib${LIBCXXABI_LIBDIR_SUFFIX})
-+ set(LIBCXXABI_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LIBCXXABI_LIBDIR_SUFFIX})
- endif()
-
- set(LIBCXXABI_INSTALL_PREFIX "" CACHE STRING "Define libc++abi destination prefix.")
diff --git a/pkgs/development/compilers/llvm/11/libunwind/default.nix b/pkgs/development/compilers/llvm/11/libunwind/default.nix
deleted file mode 100644
index 4cd39e379601..000000000000
--- a/pkgs/development/compilers/llvm/11/libunwind/default.nix
+++ /dev/null
@@ -1,31 +0,0 @@
-{ lib, stdenv, llvm_meta, version, fetch, cmake, enableShared ? !stdenv.hostPlatform.isStatic
-}:
-
-stdenv.mkDerivation rec {
- pname = "libunwind";
- inherit version;
-
- src = fetch pname "1vpqs2c358v8fbr1r8jmzkfqk12jllimjcfmgxga127ksq9b37nj";
-
- patches = [
- ./gnu-install-dirs.patch
- ];
-
- outputs = [ "out" "dev" ];
-
- nativeBuildInputs = [ cmake ];
-
- cmakeFlags = lib.optional (!enableShared) "-DLIBUNWIND_ENABLE_SHARED=OFF";
-
- meta = llvm_meta // {
- # Details: https://github.com/llvm/llvm-project/blob/main/libunwind/docs/index.rst
- homepage = "https://clang.llvm.org/docs/Toolchain.html#unwind-library";
- description = "LLVM's unwinder library";
- longDescription = ''
- The unwind library provides a family of _Unwind_* functions implementing
- the language-neutral stack unwinding portion of the Itanium C++ ABI (Level
- I). It is a dependency of the C++ ABI library, and sometimes is a
- dependency of other runtimes.
- '';
- };
-}
diff --git a/pkgs/development/compilers/llvm/11/libunwind/gnu-install-dirs.patch b/pkgs/development/compilers/llvm/11/libunwind/gnu-install-dirs.patch
deleted file mode 100644
index b5b9c209278e..000000000000
--- a/pkgs/development/compilers/llvm/11/libunwind/gnu-install-dirs.patch
+++ /dev/null
@@ -1,34 +0,0 @@
-diff --git a/CMakeLists.txt b/CMakeLists.txt
-index e44a103648f9..aaf1f9555d7f 100644
---- a/CMakeLists.txt
-+++ b/CMakeLists.txt
-@@ -18,6 +18,8 @@ set(CMAKE_MODULE_PATH
- if (CMAKE_SOURCE_DIR STREQUAL CMAKE_CURRENT_SOURCE_DIR OR LIBUNWIND_STANDALONE_BUILD)
- project(libunwind LANGUAGES C CXX ASM)
-
-+ include(GNUInstallDirs)
-+
- # Rely on llvm-config.
- set(CONFIG_OUTPUT)
- if(NOT LLVM_CONFIG_PATH)
-@@ -192,17 +194,17 @@ string(REGEX MATCH "[0-9]+\\.[0-9]+(\\.[0-9]+)?" CLANG_VERSION
-
- if(LLVM_ENABLE_PER_TARGET_RUNTIME_DIR AND NOT APPLE)
- set(LIBUNWIND_LIBRARY_DIR ${LLVM_LIBRARY_OUTPUT_INTDIR}/${LLVM_DEFAULT_TARGET_TRIPLE}/c++)
-- set(LIBUNWIND_INSTALL_LIBRARY_DIR lib${LLVM_LIBDIR_SUFFIX}/${LLVM_DEFAULT_TARGET_TRIPLE}/c++)
-+ set(LIBUNWIND_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX}/${LLVM_DEFAULT_TARGET_TRIPLE}/c++)
- if(LIBCXX_LIBDIR_SUBDIR)
- string(APPEND LIBUNWIND_LIBRARY_DIR /${LIBUNWIND_LIBDIR_SUBDIR})
- string(APPEND LIBUNWIND_INSTALL_LIBRARY_DIR /${LIBUNWIND_LIBDIR_SUBDIR})
- endif()
- elseif(LLVM_LIBRARY_OUTPUT_INTDIR)
- set(LIBUNWIND_LIBRARY_DIR ${LLVM_LIBRARY_OUTPUT_INTDIR})
-- set(LIBUNWIND_INSTALL_LIBRARY_DIR lib${LIBUNWIND_LIBDIR_SUFFIX})
-+ set(LIBUNWIND_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LIBUNWIND_LIBDIR_SUFFIX})
- else()
- set(LIBUNWIND_LIBRARY_DIR ${CMAKE_BINARY_DIR}/lib${LIBUNWIND_LIBDIR_SUFFIX})
-- set(LIBUNWIND_INSTALL_LIBRARY_DIR lib${LIBUNWIND_LIBDIR_SUFFIX})
-+ set(LIBUNWIND_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LIBUNWIND_LIBDIR_SUFFIX})
- endif()
-
- set(CMAKE_ARCHIVE_OUTPUT_DIRECTORY ${LIBUNWIND_LIBRARY_DIR})
diff --git a/pkgs/development/compilers/llvm/11/lld/default.nix b/pkgs/development/compilers/llvm/11/lld/default.nix
deleted file mode 100644
index dbcd96a3edce..000000000000
--- a/pkgs/development/compilers/llvm/11/lld/default.nix
+++ /dev/null
@@ -1,46 +0,0 @@
-{ lib, stdenv, llvm_meta
-, buildLlvmTools
-, fetch
-, cmake
-, libxml2
-, libllvm
-, version
-}:
-
-stdenv.mkDerivation rec {
- pname = "lld";
- inherit version;
-
- src = fetch pname "1kk61i7z5bi9i11rzsd2b388d42if1c7a45zkaa4mk0yps67hyh1";
-
- patches = [
- ./gnu-install-dirs.patch
- ];
-
- nativeBuildInputs = [ cmake ];
- buildInputs = [ libllvm libxml2 ];
-
- cmakeFlags = [
- "-DLLVM_CONFIG_PATH=${libllvm.dev}/bin/llvm-config${lib.optionalString (stdenv.hostPlatform != stdenv.buildPlatform) "-native"}"
- ] ++ lib.optionals (stdenv.hostPlatform != stdenv.buildPlatform) [
- "-DLLVM_TABLEGEN_EXE=${buildLlvmTools.llvm}/bin/llvm-tblgen"
- ];
-
- # Musl's default stack size is too small for lld to be able to link Firefox.
- LDFLAGS = lib.optionalString stdenv.hostPlatform.isMusl "-Wl,-z,stack-size=2097152";
-
- outputs = [ "out" "lib" "dev" ];
-
- meta = llvm_meta // {
- homepage = "https://lld.llvm.org/";
- description = "The LLVM linker (unwrapped)";
- longDescription = ''
- LLD is a linker from the LLVM project that is a drop-in replacement for
- system linkers and runs much faster than them. It also provides features
- that are useful for toolchain developers.
- The linker supports ELF (Unix), PE/COFF (Windows), Mach-O (macOS), and
- WebAssembly in descending order of completeness. Internally, LLD consists
- of several different linkers.
- '';
- };
-}
diff --git a/pkgs/development/compilers/llvm/11/lld/gnu-install-dirs.patch b/pkgs/development/compilers/llvm/11/lld/gnu-install-dirs.patch
deleted file mode 100644
index 19cf5526aa50..000000000000
--- a/pkgs/development/compilers/llvm/11/lld/gnu-install-dirs.patch
+++ /dev/null
@@ -1,68 +0,0 @@
-diff --git a/CMakeLists.txt b/CMakeLists.txt
-index 040bb2c8f6d7..f765f0096d97 100644
---- a/CMakeLists.txt
-+++ b/CMakeLists.txt
-@@ -6,6 +6,8 @@ if(CMAKE_SOURCE_DIR STREQUAL CMAKE_CURRENT_SOURCE_DIR)
- set(CMAKE_INCLUDE_CURRENT_DIR ON)
- set(LLD_BUILT_STANDALONE TRUE)
-
-+ include(GNUInstallDirs)
-+
- find_program(LLVM_CONFIG_PATH "llvm-config" DOC "Path to llvm-config binary")
- if(NOT LLVM_CONFIG_PATH)
- message(FATAL_ERROR "llvm-config not found: specify LLVM_CONFIG_PATH")
-@@ -205,7 +207,7 @@ include_directories(BEFORE
-
- if (NOT LLVM_INSTALL_TOOLCHAIN_ONLY)
- install(DIRECTORY include/
-- DESTINATION include
-+ DESTINATION ${CMAKE_INSTALL_INCLUDEDIR}
- FILES_MATCHING
- PATTERN "*.h"
- PATTERN ".svn" EXCLUDE
-diff --git a/cmake/modules/AddLLD.cmake b/cmake/modules/AddLLD.cmake
-index 23df41312403..d62372c88de7 100644
---- a/cmake/modules/AddLLD.cmake
-+++ b/cmake/modules/AddLLD.cmake
-@@ -20,9 +20,9 @@ macro(add_lld_library name)
- install(TARGETS ${name}
- COMPONENT ${name}
- ${export_to_lldtargets}
-- LIBRARY DESTINATION lib${LLVM_LIBDIR_SUFFIX}
-- ARCHIVE DESTINATION lib${LLVM_LIBDIR_SUFFIX}
-- RUNTIME DESTINATION bin)
-+ LIBRARY DESTINATION ${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX}
-+ ARCHIVE DESTINATION ${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX}
-+ RUNTIME DESTINATION ${CMAKE_INSTALL_BINDIR})
-
- if (${ARG_SHARED} AND NOT CMAKE_CONFIGURATION_TYPES)
- add_llvm_install_targets(install-${name}
-@@ -54,7 +54,7 @@ macro(add_lld_tool name)
-
- install(TARGETS ${name}
- ${export_to_lldtargets}
-- RUNTIME DESTINATION bin
-+ RUNTIME DESTINATION ${CMAKE_INSTALL_BINDIR}
- COMPONENT ${name})
-
- if(NOT CMAKE_CONFIGURATION_TYPES)
-@@ -69,5 +69,5 @@ endmacro()
- macro(add_lld_symlink name dest)
- add_llvm_tool_symlink(${name} ${dest} ALWAYS_GENERATE)
- # Always generate install targets
-- llvm_install_symlink(${name} ${dest} ALWAYS_GENERATE)
-+ llvm_install_symlink(${name} ${dest} ${CMAKE_INSTALL_FULL_BINDIR} ALWAYS_GENERATE)
- endmacro()
-diff --git a/tools/lld/CMakeLists.txt b/tools/lld/CMakeLists.txt
-index e6f72fcd3488..d903609e6e4f 100644
---- a/tools/lld/CMakeLists.txt
-+++ b/tools/lld/CMakeLists.txt
-@@ -21,7 +21,7 @@ target_link_libraries(lld
- )
-
- install(TARGETS lld
-- RUNTIME DESTINATION bin)
-+ RUNTIME DESTINATION ${CMAKE_INSTALL_BINDIR})
-
- if(NOT LLD_SYMLINKS_TO_CREATE)
- set(LLD_SYMLINKS_TO_CREATE lld-link ld.lld ld64.lld wasm-ld)
diff --git a/pkgs/development/compilers/llvm/11/lldb/gnu-install-dirs.patch b/pkgs/development/compilers/llvm/11/lldb/gnu-install-dirs.patch
deleted file mode 100644
index fd49be395a3a..000000000000
--- a/pkgs/development/compilers/llvm/11/lldb/gnu-install-dirs.patch
+++ /dev/null
@@ -1,91 +0,0 @@
-diff --git a/CMakeLists.txt b/CMakeLists.txt
-index bf748020ea40..34103d2b5e1a 100644
---- a/CMakeLists.txt
-+++ b/CMakeLists.txt
-@@ -17,6 +17,8 @@ set(CMAKE_MODULE_PATH
- # If we are not building as part of LLVM, build LLDB as a standalone project,
- # using LLVM as an external library.
- if (CMAKE_SOURCE_DIR STREQUAL CMAKE_CURRENT_SOURCE_DIR)
-+ include(GNUInstallDirs)
-+
- project(lldb)
- include(LLDBStandalone)
-
-diff --git a/cmake/modules/AddLLDB.cmake b/cmake/modules/AddLLDB.cmake
-index 4ed5c647c5d2..89f96e710d55 100644
---- a/cmake/modules/AddLLDB.cmake
-+++ b/cmake/modules/AddLLDB.cmake
-@@ -107,13 +107,13 @@ function(add_lldb_library name)
- endif()
-
- if(PARAM_SHARED)
-- set(install_dest lib${LLVM_LIBDIR_SUFFIX})
-+ set(install_dest ${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX})
- if(PARAM_INSTALL_PREFIX)
- set(install_dest ${PARAM_INSTALL_PREFIX})
- endif()
- # RUNTIME is relevant for DLL platforms, FRAMEWORK for macOS
- install(TARGETS ${name} COMPONENT ${name}
-- RUNTIME DESTINATION bin
-+ RUNTIME DESTINATION ${CMAKE_INSTALL_BINDIR}
- LIBRARY DESTINATION ${install_dest}
- ARCHIVE DESTINATION ${install_dest}
- FRAMEWORK DESTINATION ${install_dest})
-diff --git a/cmake/modules/LLDBConfig.cmake b/cmake/modules/LLDBConfig.cmake
-index 8465cfe3b7b7..01b7eae136e2 100644
---- a/cmake/modules/LLDBConfig.cmake
-+++ b/cmake/modules/LLDBConfig.cmake
-@@ -245,7 +245,7 @@ include_directories(BEFORE
- if (NOT LLVM_INSTALL_TOOLCHAIN_ONLY)
- install(DIRECTORY include/
- COMPONENT lldb-headers
-- DESTINATION include
-+ DESTINATION ${CMAKE_INSTALL_INCLUDEDIR}
- FILES_MATCHING
- PATTERN "*.h"
- PATTERN ".svn" EXCLUDE
-@@ -254,7 +254,7 @@ if (NOT LLVM_INSTALL_TOOLCHAIN_ONLY)
-
- install(DIRECTORY ${CMAKE_CURRENT_BINARY_DIR}/include/
- COMPONENT lldb-headers
-- DESTINATION include
-+ DESTINATION ${CMAKE_INSTALL_INCLUDEDIR}
- FILES_MATCHING
- PATTERN "*.h"
- PATTERN ".svn" EXCLUDE
-diff --git a/tools/intel-features/CMakeLists.txt b/tools/intel-features/CMakeLists.txt
-index efba2f74904f..e08413b1dbb1 100644
---- a/tools/intel-features/CMakeLists.txt
-+++ b/tools/intel-features/CMakeLists.txt
-@@ -65,4 +65,4 @@ if (LLDB_ENABLE_PYTHON AND LLDB_BUILD_INTEL_PT)
- endif()
-
- install(TARGETS lldbIntelFeatures
-- LIBRARY DESTINATION lib${LLVM_LIBDIR_SUFFIX})
-+ LIBRARY DESTINATION ${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX})
-diff --git a/cmake/modules/LLDBStandalone.cmake b/cmake/modules/LLDBStandalone.cmake
-index 752113b..010f187 100644
---- a/cmake/modules/LLDBStandalone.cmake
-+++ b/cmake/modules/LLDBStandalone.cmake
-@@ -62,7 +62,7 @@ endif()
-
- # They are used as destination of target generators.
- set(LLVM_RUNTIME_OUTPUT_INTDIR ${CMAKE_BINARY_DIR}/${CMAKE_CFG_INTDIR}/bin)
--set(LLVM_LIBRARY_OUTPUT_INTDIR ${CMAKE_BINARY_DIR}/${CMAKE_CFG_INTDIR}/lib${LLVM_LIBDIR_SUFFIX})
-+set(LLVM_LIBRARY_OUTPUT_INTDIR ${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX})
- if(WIN32 OR CYGWIN)
- # DLL platform -- put DLLs into bin.
- set(LLVM_SHLIB_OUTPUT_INTDIR ${LLVM_RUNTIME_OUTPUT_INTDIR})
-diff --git a/CMakeLists.txt b/CMakeLists.txt
-index bf74802..1c98cae 100644
---- a/CMakeLists.txt
-+++ b/CMakeLists.txt
-@@ -222,7 +222,7 @@ if (LLDB_ENABLE_PYTHON)
- if(LLDB_BUILD_FRAMEWORK)
- set(LLDB_PYTHON_INSTALL_PATH ${LLDB_FRAMEWORK_INSTALL_DIR}/LLDB.framework/Resources/Python)
- else()
-- set(LLDB_PYTHON_INSTALL_PATH ${LLDB_PYTHON_RELATIVE_PATH})
-+ set(LLDB_PYTHON_INSTALL_PATH ${CMAKE_INSTALL_LIBDIR}/../${LLDB_PYTHON_RELATIVE_PATH})
- endif()
- if (NOT CMAKE_CFG_INTDIR STREQUAL ".")
- string(REPLACE ${CMAKE_CFG_INTDIR} "\$\{CMAKE_INSTALL_CONFIG_NAME\}" LLDB_PYTHON_INSTALL_PATH ${LLDB_PYTHON_INSTALL_PATH})
diff --git a/pkgs/development/compilers/llvm/11/lldb/procfs.patch b/pkgs/development/compilers/llvm/11/lldb/procfs.patch
deleted file mode 100644
index b075dbaeee0a..000000000000
--- a/pkgs/development/compilers/llvm/11/lldb/procfs.patch
+++ /dev/null
@@ -1,31 +0,0 @@
---- a/source/Plugins/Process/Linux/Procfs.h
-+++ b/source/Plugins/Process/Linux/Procfs.h
-@@ -11,21 +11,12 @@
- // sys/procfs.h on Android/Linux for all supported architectures.
-
- #include
-+#include
-
--#ifdef __ANDROID__
--#if defined(__arm64__) || defined(__aarch64__)
--typedef unsigned long elf_greg_t;
--typedef elf_greg_t
-- elf_gregset_t[(sizeof(struct user_pt_regs) / sizeof(elf_greg_t))];
--typedef struct user_fpsimd_state elf_fpregset_t;
--#ifndef NT_FPREGSET
--#define NT_FPREGSET NT_PRFPREG
--#endif // NT_FPREGSET
--#elif defined(__mips__)
--#ifndef NT_FPREGSET
--#define NT_FPREGSET NT_PRFPREG
--#endif // NT_FPREGSET
--#endif
--#else // __ANDROID__
-+#if !defined(__GLIBC__) && defined(__powerpc__)
-+#define pt_regs musl_pt_regs
-+#include
-+#undef pt_regs
-+#else
- #include
--#endif // __ANDROID__
-+#endif
diff --git a/pkgs/development/compilers/llvm/11/llvm/default.nix b/pkgs/development/compilers/llvm/11/llvm/default.nix
deleted file mode 100644
index 423b08396666..000000000000
--- a/pkgs/development/compilers/llvm/11/llvm/default.nix
+++ /dev/null
@@ -1,363 +0,0 @@
-{ lib, stdenv, llvm_meta
-, pkgsBuildBuild
-, fetch
-, fetchpatch
-, cmake
-, python3
-, libffi
-, enableGoldPlugin ? libbfd.hasPluginAPI
-, libbfd
-, libpfm
-, libxml2
-, ncurses
-, version
-, release_version
-, zlib
-, buildLlvmTools
-, debugVersion ? false
-, doCheck ? stdenv.isLinux && (!stdenv.isx86_32) && (!stdenv.hostPlatform.isMusl) && (!stdenv.hostPlatform.isRiscV)
- && (stdenv.hostPlatform == stdenv.buildPlatform)
-, enableManpages ? false
-, enableSharedLibraries ? !stdenv.hostPlatform.isStatic
-# broken for Ampere eMAG 8180 (c2.large.arm on Packet) #56245
-# broken for the armv7l builder
-, enablePFM ? stdenv.isLinux && !stdenv.hostPlatform.isAarch
-, enablePolly ? false # TODO should be on by default
-}:
-
-let
- inherit (lib) optional optionals optionalString;
-
- # Used when creating a version-suffixed symlink of libLLVM.dylib
- shortVersion = with lib;
- concatStringsSep "." (take 1 (splitString "." release_version));
-
- # Ordinarily we would just the `doCheck` and `checkDeps` functionality
- # `mkDerivation` gives us to manage our test dependencies (instead of breaking
- # out `doCheck` as a package level attribute).
- #
- # Unfortunately `lit` does not forward `$PYTHONPATH` to children processes, in
- # particular the children it uses to do feature detection.
- #
- # This means that python deps we add to `checkDeps` (which the python
- # interpreter is made aware of via `$PYTHONPATH` – populated by the python
- # setup hook) are not picked up by `lit` which causes it to skip tests.
- #
- # Adding `python3.withPackages (ps: [ ... ])` to `checkDeps` also doesn't work
- # because this package is shadowed in `$PATH` by the regular `python3`
- # package.
- #
- # So, we "manually" assemble one python derivation for the package to depend
- # on, taking into account whether checks are enabled or not:
- python = if doCheck then
- let
- checkDeps = ps: with ps; [ psutil ];
- in python3.withPackages checkDeps
- else python3;
-
-in stdenv.mkDerivation (rec {
- pname = "llvm";
- inherit version;
-
- src = fetch pname "199yq3a214avcbi4kk2q0ajriifkvsr0l2dkx3a666m033ihi1ff";
- polly_src = fetch "polly" "031r23ijhx7v93a5n33m2nc0x9xyqmx0d8xg80z7q971p6qd63sq";
-
- unpackPhase = ''
- unpackFile $src
- mv llvm-${release_version}* llvm
- sourceRoot=$PWD/llvm
- '' + optionalString enablePolly ''
- unpackFile $polly_src
- mv polly-* $sourceRoot/tools/polly
- '';
-
- outputs = [ "out" "lib" "dev" "python" ];
-
- nativeBuildInputs = [ cmake python ]
- ++ optionals enableManpages [ python3.pkgs.sphinx python3.pkgs.recommonmark ];
-
- buildInputs = [ libxml2 libffi ]
- ++ optional enablePFM libpfm; # exegesis
-
- propagatedBuildInputs = [ ncurses zlib ];
-
- patches = [
- # When cross-compiling we configure llvm-config-native with an approximation
- # of the flags used for the normal LLVM build. To avoid the need for building
- # a native libLLVM.so (which would fail) we force llvm-config to be linked
- # statically against the necessary LLVM components always.
- ../../llvm-config-link-static.patch
-
- ./gnu-install-dirs.patch
- # On older CPUs (e.g. Hydra/wendy) we'd be getting an error in this test.
- (fetchpatch {
- name = "uops-CMOV16rm-noreg.diff";
- url = "https://github.com/llvm/llvm-project/commit/9e9f991ac033.diff";
- sha256 = "sha256:12s8vr6ibri8b48h2z38f3afhwam10arfiqfy4yg37bmc054p5hi";
- stripLen = 1;
- })
- # gcc-11 compat upstream patch
- (fetchpatch {
- url = "https://github.com/llvm/llvm-project/commit/b498303066a63a203d24f739b2d2e0e56dca70d1.patch";
- sha256 = "sha256:0nh123kld0dgz2h941lng331dkj3wbm5lfxm375k1f569gv83hlk";
- stripLen = 1;
- })
-
- # Fix invalid std::string(nullptr) for GCC 12
- (fetchpatch {
- name = "nvptx-gcc-12.patch";
- url = "https://github.com/llvm/llvm-project/commit/99e64623ec9b31def9375753491cc6093c831809.patch";
- sha256 = "0zjfjgavqzi2ypqwqnlvy6flyvdz8hi1anwv0ybwnm2zqixg7za3";
- stripLen = 1;
- })
- (fetchpatch {
- name = "dfaemitter-gcc-12.patch";
- url = "https://github.com/llvm/llvm-project/commit/0841916e87a39e3c223c986e8da31e4a9a1432e3.patch";
- sha256 = "1kckghvsngs51mqm82asy0s9vr19h8aqbw43a0w44mccqw6bzrwf";
- stripLen = 1;
- })
-
- # Fix musl build.
- (fetchpatch {
- url = "https://github.com/llvm/llvm-project/commit/5cd554303ead0f8891eee3cd6d25cb07f5a7bf67.patch";
- relative = "llvm";
- hash = "sha256-XPbvNJ45SzjMGlNUgt/IgEvM2dHQpDOe6woUJY+nUYA=";
- })
-
- # Backport gcc-13 fixes with missing includes.
- (fetchpatch {
- name = "signals-gcc-13.patch";
- url = "https://github.com/llvm/llvm-project/commit/ff1681ddb303223973653f7f5f3f3435b48a1983.patch";
- hash = "sha256-CXwYxQezTq5vdmc8Yn88BUAEly6YZ5VEIA6X3y5NNOs=";
- stripLen = 1;
- })
- (fetchpatch {
- name = "base64-gcc-13.patch";
- url = "https://github.com/llvm/llvm-project/commit/5e9be93566f39ee6cecd579401e453eccfbe81e5.patch";
- hash = "sha256-PAwrVrvffPd7tphpwCkYiz+67szPRzRB2TXBvKfzQ7U=";
- stripLen = 1;
- })
- ] ++ lib.optional enablePolly ./gnu-install-dirs-polly.patch;
-
- postPatch = optionalString stdenv.isDarwin ''
- substituteInPlace cmake/modules/AddLLVM.cmake \
- --replace 'set(_install_name_dir INSTALL_NAME_DIR "@rpath")' "set(_install_name_dir)" \
- --replace 'set(_install_rpath "@loader_path/../''${CMAKE_INSTALL_LIBDIR}''${LLVM_LIBDIR_SUFFIX}" ''${extra_libdir})' ""
- '' + ''
- # FileSystem permissions tests fail with various special bits
- substituteInPlace unittests/Support/CMakeLists.txt \
- --replace "Path.cpp" ""
- rm unittests/Support/Path.cpp
- '' + optionalString stdenv.hostPlatform.isMusl ''
- patch -p1 -i ${../../TLI-musl.patch}
- substituteInPlace unittests/Support/CMakeLists.txt \
- --replace "add_subdirectory(DynamicLibrary)" ""
- rm unittests/Support/DynamicLibrary/DynamicLibraryTest.cpp
- # valgrind unhappy with musl or glibc, but fails w/musl only
- rm test/CodeGen/AArch64/wineh4.mir
- '' + optionalString stdenv.hostPlatform.isAarch32 ''
- # skip failing X86 test cases on 32-bit ARM
- rm test/DebugInfo/X86/convert-debugloc.ll
- rm test/DebugInfo/X86/convert-inlined.ll
- rm test/DebugInfo/X86/convert-linked.ll
- rm test/tools/dsymutil/X86/op-convert.test
- rm test/tools/gold/X86/split-dwarf.ll
- rm test/tools/llvm-readobj/ELF/dependent-libraries.test
- '' + optionalString (stdenv.hostPlatform.system == "armv6l-linux") ''
- # Seems to require certain floating point hardware (NEON?)
- rm test/ExecutionEngine/frem.ll
- '' + ''
- patchShebangs test/BugPoint/compile-custom.ll.py
- '' + ''
- # Tweak tests to ignore namespace part of type to support
- # gcc-12: https://gcc.gnu.org/PR103598.
- # The change below mangles strings like:
- # CHECK-NEXT: Starting llvm::Function pass manager run.
- # to:
- # CHECK-NEXT: Starting {{.*}}Function pass manager run.
- for f in \
- test/Other/new-pass-manager.ll \
- test/Other/new-pm-defaults.ll \
- test/Other/new-pm-lto-defaults.ll \
- test/Other/new-pm-thinlto-defaults.ll \
- test/Other/pass-pipeline-parsing.ll \
- test/Transforms/Inline/cgscc-incremental-invalidate.ll \
- test/Transforms/Inline/clear-analyses.ll \
- test/Transforms/LoopUnroll/unroll-loop-invalidation.ll \
- test/Transforms/SCCP/ipsccp-preserve-analysis.ll \
- test/Transforms/SCCP/preserve-analysis.ll \
- test/Transforms/SROA/dead-inst.ll \
- test/tools/gold/X86/new-pm.ll \
- ; do
- echo "PATCH: $f"
- substituteInPlace $f \
- --replace 'Starting llvm::' 'Starting {{.*}}' \
- --replace 'Finished llvm::' 'Finished {{.*}}'
- done
- '';
-
- preConfigure = ''
- # Workaround for configure flags that need to have spaces
- cmakeFlagsArray+=(
- -DLLVM_LIT_ARGS='-svj''${NIX_BUILD_CORES} --no-progress-bar'
- )
- '';
-
- # hacky fix: created binaries need to be run before installation
- preBuild = ''
- mkdir -p $out/
- ln -sv $PWD/lib $out
- '';
-
- # E.g. mesa.drivers use the build-id as a cache key (see #93946):
- LDFLAGS = optionalString (enableSharedLibraries && !stdenv.isDarwin) "-Wl,--build-id=sha1";
-
- cmakeBuildType = if debugVersion then "Debug" else "Release";
-
- cmakeFlags = with stdenv; let
- # These flags influence llvm-config's BuildVariables.inc in addition to the
- # general build. We need to make sure these are also passed via
- # CROSS_TOOLCHAIN_FLAGS_NATIVE when cross-compiling or llvm-config-native
- # will return different results from the cross llvm-config.
- #
- # Some flags don't need to be repassed because LLVM already does so (like
- # CMAKE_BUILD_TYPE), others are irrelevant to the result.
- flagsForLlvmConfig = [
- "-DLLVM_INSTALL_CMAKE_DIR=${placeholder "dev"}/lib/cmake/llvm/"
- "-DLLVM_ENABLE_RTTI=ON"
- ] ++ optionals enableSharedLibraries [
- "-DLLVM_LINK_LLVM_DYLIB=ON"
- ];
- in flagsForLlvmConfig ++ [
- "-DLLVM_INSTALL_UTILS=ON" # Needed by rustc
- "-DLLVM_BUILD_TESTS=${if doCheck then "ON" else "OFF"}"
- "-DLLVM_ENABLE_FFI=ON"
- "-DLLVM_HOST_TRIPLE=${stdenv.hostPlatform.config}"
- "-DLLVM_DEFAULT_TARGET_TRIPLE=${stdenv.hostPlatform.config}"
- "-DLLVM_ENABLE_DUMP=ON"
- ] ++ optionals stdenv.hostPlatform.isStatic [
- # Disables building of shared libs, -fPIC is still injected by cc-wrapper
- "-DLLVM_ENABLE_PIC=OFF"
- "-DLLVM_BUILD_STATIC=ON"
- # libxml2 needs to be disabled because the LLVM build system ignores its .la
- # file and doesn't link zlib as well.
- # https://github.com/ClangBuiltLinux/tc-build/issues/150#issuecomment-845418812
- "-DLLVM_ENABLE_LIBXML2=OFF"
- # This is a Shared Library not tied to LLVM_ENABLE_PIC
- "-DLLVM_TOOL_REMARKS_SHLIB_BUILD=OFF"
- ] ++ optionals enableManpages [
- "-DLLVM_BUILD_DOCS=ON"
- "-DLLVM_ENABLE_SPHINX=ON"
- "-DSPHINX_OUTPUT_MAN=ON"
- "-DSPHINX_OUTPUT_HTML=OFF"
- "-DSPHINX_WARNINGS_AS_ERRORS=OFF"
- ] ++ optionals (enableGoldPlugin) [
- "-DLLVM_BINUTILS_INCDIR=${libbfd.dev}/include"
- ] ++ optionals isDarwin [
- "-DLLVM_ENABLE_LIBCXX=ON"
- "-DCAN_TARGET_i386=false"
- ] ++ optionals ((stdenv.hostPlatform != stdenv.buildPlatform) && !(stdenv.buildPlatform.canExecute stdenv.hostPlatform)) [
- "-DCMAKE_CROSSCOMPILING=True"
- "-DLLVM_TABLEGEN=${buildLlvmTools.llvm}/bin/llvm-tblgen"
- (
- let
- nativeCC = pkgsBuildBuild.targetPackages.stdenv.cc;
- nativeBintools = nativeCC.bintools.bintools;
- nativeToolchainFlags = [
- "-DCMAKE_C_COMPILER=${nativeCC}/bin/${nativeCC.targetPrefix}cc"
- "-DCMAKE_CXX_COMPILER=${nativeCC}/bin/${nativeCC.targetPrefix}c++"
- "-DCMAKE_AR=${nativeBintools}/bin/${nativeBintools.targetPrefix}ar"
- "-DCMAKE_STRIP=${nativeBintools}/bin/${nativeBintools.targetPrefix}strip"
- "-DCMAKE_RANLIB=${nativeBintools}/bin/${nativeBintools.targetPrefix}ranlib"
- ];
- # We need to repass the custom GNUInstallDirs values, otherwise CMake
- # will choose them for us, leading to wrong results in llvm-config-native
- nativeInstallFlags = [
- "-DCMAKE_INSTALL_PREFIX=${placeholder "out"}"
- "-DCMAKE_INSTALL_BINDIR=${placeholder "out"}/bin"
- "-DCMAKE_INSTALL_INCLUDEDIR=${placeholder "dev"}/include"
- "-DCMAKE_INSTALL_LIBDIR=${placeholder "lib"}/lib"
- "-DCMAKE_INSTALL_LIBEXECDIR=${placeholder "lib"}/libexec"
- ];
- in "-DCROSS_TOOLCHAIN_FLAGS_NATIVE:list="
- + lib.concatStringsSep ";" (lib.concatLists [
- flagsForLlvmConfig
- nativeToolchainFlags
- nativeInstallFlags
- ])
- )
- ];
-
- postBuild = ''
- rm -fR $out
- '';
-
- preCheck = ''
- export LD_LIBRARY_PATH=$LD_LIBRARY_PATH''${LD_LIBRARY_PATH:+:}$PWD/lib
- '';
-
- postInstall = ''
- mkdir -p $python/share
- mv $out/share/opt-viewer $python/share/opt-viewer
- moveToOutput "bin/llvm-config*" "$dev"
- substituteInPlace "$dev/lib/cmake/llvm/LLVMExports-${if debugVersion then "debug" else "release"}.cmake" \
- --replace "\''${_IMPORT_PREFIX}/lib/lib" "$lib/lib/lib" \
- --replace "$out/bin/llvm-config" "$dev/bin/llvm-config"
- substituteInPlace "$dev/lib/cmake/llvm/LLVMConfig.cmake" \
- --replace 'set(LLVM_BINARY_DIR "''${LLVM_INSTALL_PREFIX}")' 'set(LLVM_BINARY_DIR "''${LLVM_INSTALL_PREFIX}'"$lib"'")'
- ''
- + optionalString (stdenv.isDarwin && enableSharedLibraries) ''
- ln -s $lib/lib/libLLVM.dylib $lib/lib/libLLVM-${shortVersion}.dylib
- ln -s $lib/lib/libLLVM.dylib $lib/lib/libLLVM-${release_version}.dylib
- ''
- + optionalString (stdenv.buildPlatform != stdenv.hostPlatform) ''
- cp NATIVE/bin/llvm-config $dev/bin/llvm-config-native
- '';
-
- inherit doCheck;
-
- checkTarget = "check-all";
-
- requiredSystemFeatures = [ "big-parallel" ];
- meta = llvm_meta // {
- homepage = "https://llvm.org/";
- description = "A collection of modular and reusable compiler and toolchain technologies";
- longDescription = ''
- The LLVM Project is a collection of modular and reusable compiler and
- toolchain technologies. Despite its name, LLVM has little to do with
- traditional virtual machines. The name "LLVM" itself is not an acronym; it
- is the full name of the project.
- LLVM began as a research project at the University of Illinois, with the
- goal of providing a modern, SSA-based compilation strategy capable of
- supporting both static and dynamic compilation of arbitrary programming
- languages. Since then, LLVM has grown to be an umbrella project consisting
- of a number of subprojects, many of which are being used in production by
- a wide variety of commercial and open source projects as well as being
- widely used in academic research. Code in the LLVM project is licensed
- under the "Apache 2.0 License with LLVM exceptions".
- '';
- };
-} // lib.optionalAttrs enableManpages {
- pname = "llvm-manpages";
-
- buildPhase = ''
- make docs-llvm-man
- '';
-
- propagatedBuildInputs = [];
-
- installPhase = ''
- make -C docs install
- '';
-
- postPatch = null;
- postInstall = null;
-
- outputs = [ "out" ];
-
- doCheck = false;
-
- meta = llvm_meta // {
- description = "man pages for LLVM ${version}";
- };
-})
diff --git a/pkgs/development/compilers/llvm/11/llvm/gnu-install-dirs-polly.patch b/pkgs/development/compilers/llvm/11/llvm/gnu-install-dirs-polly.patch
deleted file mode 100644
index fff2d4953e94..000000000000
--- a/pkgs/development/compilers/llvm/11/llvm/gnu-install-dirs-polly.patch
+++ /dev/null
@@ -1,106 +0,0 @@
-diff --git a/tools/polly/CMakeLists.txt b/tools/polly/CMakeLists.txt
-index 9939097f743e..8cc538da912a 100644
---- a/tools/polly/CMakeLists.txt
-+++ b/tools/polly/CMakeLists.txt
-@@ -2,7 +2,11 @@
- if (NOT DEFINED LLVM_MAIN_SRC_DIR)
- project(Polly)
- cmake_minimum_required(VERSION 3.4.3)
-+endif()
-+
-+include(GNUInstallDirs)
-
-+if (NOT DEFINED LLVM_MAIN_SRC_DIR)
- # Where is LLVM installed?
- find_package(LLVM CONFIG REQUIRED)
- set(CMAKE_MODULE_PATH ${CMAKE_MODULE_PATH} ${LLVM_CMAKE_DIR})
-@@ -145,14 +149,14 @@ include_directories(
-
- if (NOT LLVM_INSTALL_TOOLCHAIN_ONLY)
- install(DIRECTORY include/
-- DESTINATION include
-+ DESTINATION ${CMAKE_INSTALL_INCLUDEDIR}
- FILES_MATCHING
- PATTERN "*.h"
- PATTERN ".svn" EXCLUDE
- )
-
- install(DIRECTORY ${POLLY_BINARY_DIR}/include/
-- DESTINATION include
-+ DESTINATION ${CMAKE_INSTALL_INCLUDEDIR}
- FILES_MATCHING
- PATTERN "*.h"
- PATTERN "CMakeFiles" EXCLUDE
-diff --git a/tools/polly/cmake/CMakeLists.txt b/tools/polly/cmake/CMakeLists.txt
-index 211f95512717..f9e04a4844b6 100644
---- a/tools/polly/cmake/CMakeLists.txt
-+++ b/tools/polly/cmake/CMakeLists.txt
-@@ -79,18 +79,18 @@ file(GENERATE
-
- # Generate PollyConfig.cmake for the install tree.
- unset(POLLY_EXPORTS)
--set(POLLY_INSTALL_PREFIX "${CMAKE_INSTALL_PREFIX}")
-+set(POLLY_INSTALL_PREFIX "")
- set(POLLY_CONFIG_LLVM_CMAKE_DIR "${LLVM_BINARY_DIR}/${LLVM_INSTALL_PACKAGE_DIR}")
--set(POLLY_CONFIG_CMAKE_DIR "${POLLY_INSTALL_PREFIX}/${POLLY_INSTALL_PACKAGE_DIR}")
--set(POLLY_CONFIG_LIBRARY_DIRS "${POLLY_INSTALL_PREFIX}/lib${LLVM_LIBDIR_SUFFIX}")
-+set(POLLY_CONFIG_CMAKE_DIR "${POLLY_INSTALL_PREFIX}${CMAKE_INSTALL_PREFIX}/${POLLY_INSTALL_PACKAGE_DIR}")
-+set(POLLY_CONFIG_LIBRARY_DIRS "${POLLY_INSTALL_PREFIX}${CMAKE_INSTALL_FULL_LIBDIR}${LLVM_LIBDIR_SUFFIX}")
- if (POLLY_BUNDLED_ISL)
- set(POLLY_CONFIG_INCLUDE_DIRS
-- "${POLLY_INSTALL_PREFIX}/include"
-- "${POLLY_INSTALL_PREFIX}/include/polly"
-+ "${POLLY_INSTALL_PREFIX}${CMAKE_INSTALL_FULL_LIBDIR}"
-+ "${POLLY_INSTALL_PREFIX}${CMAKE_INSTALL_FULL_LIBDIR}/polly"
- )
- else()
- set(POLLY_CONFIG_INCLUDE_DIRS
-- "${POLLY_INSTALL_PREFIX}/include"
-+ "${POLLY_INSTALL_PREFIX}${CMAKE_INSTALL_FULL_INCLUDEDIR}"
- ${ISL_INCLUDE_DIRS}
- )
- endif()
-@@ -100,12 +100,12 @@ endif()
- foreach(tgt IN LISTS POLLY_CONFIG_EXPORTED_TARGETS)
- get_target_property(tgt_type ${tgt} TYPE)
- if (tgt_type STREQUAL "EXECUTABLE")
-- set(tgt_prefix "bin/")
-+ set(tgt_prefix "${CMAKE_INSTALL_BINDIR}/")
- else()
-- set(tgt_prefix "lib/")
-+ set(tgt_prefix "${CMAKE_INSTALL_LIBDIR}/")
- endif()
-
-- set(tgt_path "${CMAKE_INSTALL_PREFIX}/${tgt_prefix}$")
-+ set(tgt_path "${tgt_prefix}$")
- file(RELATIVE_PATH tgt_path ${POLLY_CONFIG_CMAKE_DIR} ${tgt_path})
-
- if (NOT tgt_type STREQUAL "INTERFACE_LIBRARY")
-diff --git a/tools/polly/cmake/polly_macros.cmake b/tools/polly/cmake/polly_macros.cmake
-index 86de6f10686e..91f30891ccbe 100644
---- a/tools/polly/cmake/polly_macros.cmake
-+++ b/tools/polly/cmake/polly_macros.cmake
-@@ -44,8 +44,8 @@ macro(add_polly_library name)
- if (NOT LLVM_INSTALL_TOOLCHAIN_ONLY OR ${name} STREQUAL "LLVMPolly")
- install(TARGETS ${name}
- EXPORT LLVMExports
-- LIBRARY DESTINATION lib${LLVM_LIBDIR_SUFFIX}
-- ARCHIVE DESTINATION lib${LLVM_LIBDIR_SUFFIX})
-+ LIBRARY DESTINATION ${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX}
-+ ARCHIVE DESTINATION ${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX})
- endif()
- set_property(GLOBAL APPEND PROPERTY LLVM_EXPORTS ${name})
- endmacro(add_polly_library)
-diff --git a/tools/polly/lib/External/CMakeLists.txt b/tools/polly/lib/External/CMakeLists.txt
-index 1039079cb49c..28b499ae1e9e 100644
---- a/tools/polly/lib/External/CMakeLists.txt
-+++ b/tools/polly/lib/External/CMakeLists.txt
-@@ -275,7 +275,7 @@ if (POLLY_BUNDLED_ISL)
- install(DIRECTORY
- ${ISL_SOURCE_DIR}/include/
- ${ISL_BINARY_DIR}/include/
-- DESTINATION include/polly
-+ DESTINATION ${CMAKE_INSTALL_INCLUDEDIR}/polly
- FILES_MATCHING
- PATTERN "*.h"
- PATTERN "CMakeFiles" EXCLUDE
diff --git a/pkgs/development/compilers/llvm/11/llvm/gnu-install-dirs.patch b/pkgs/development/compilers/llvm/11/llvm/gnu-install-dirs.patch
deleted file mode 100644
index 29df98a693f0..000000000000
--- a/pkgs/development/compilers/llvm/11/llvm/gnu-install-dirs.patch
+++ /dev/null
@@ -1,417 +0,0 @@
-diff --git a/CMakeLists.txt b/CMakeLists.txt
-index 247ad36d3845..815e2c4ba955 100644
---- a/CMakeLists.txt
-+++ b/CMakeLists.txt
-@@ -269,15 +269,21 @@ if (CMAKE_BUILD_TYPE AND
- message(FATAL_ERROR "Invalid value for CMAKE_BUILD_TYPE: ${CMAKE_BUILD_TYPE}")
- endif()
-
-+include(GNUInstallDirs)
-+
- set(LLVM_LIBDIR_SUFFIX "" CACHE STRING "Define suffix of library directory name (32/64)" )
-
--set(LLVM_TOOLS_INSTALL_DIR "bin" CACHE STRING "Path for binary subdirectory (defaults to 'bin')")
-+set(LLVM_TOOLS_INSTALL_DIR "${CMAKE_INSTALL_BINDIR}" CACHE STRING
-+ "Path for binary subdirectory (defaults to 'bin')")
- mark_as_advanced(LLVM_TOOLS_INSTALL_DIR)
-
- set(LLVM_UTILS_INSTALL_DIR "${LLVM_TOOLS_INSTALL_DIR}" CACHE STRING
- "Path to install LLVM utilities (enabled by LLVM_INSTALL_UTILS=ON) (defaults to LLVM_TOOLS_INSTALL_DIR)")
- mark_as_advanced(LLVM_UTILS_INSTALL_DIR)
-
-+set(LLVM_INSTALL_CMAKE_DIR "${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX}/cmake/llvm" CACHE STRING
-+ "Path for CMake subdirectory (defaults to lib/cmake/llvm)" )
-+
- # They are used as destination of target generators.
- set(LLVM_RUNTIME_OUTPUT_INTDIR ${CMAKE_CURRENT_BINARY_DIR}/${CMAKE_CFG_INTDIR}/bin)
- set(LLVM_LIBRARY_OUTPUT_INTDIR ${CMAKE_CURRENT_BINARY_DIR}/${CMAKE_CFG_INTDIR}/lib${LLVM_LIBDIR_SUFFIX})
-@@ -559,9 +565,9 @@ option (LLVM_ENABLE_SPHINX "Use Sphinx to generate llvm documentation." OFF)
- option (LLVM_ENABLE_OCAMLDOC "Build OCaml bindings documentation." ON)
- option (LLVM_ENABLE_BINDINGS "Build bindings." ON)
-
--set(LLVM_INSTALL_DOXYGEN_HTML_DIR "share/doc/llvm/doxygen-html"
-+set(LLVM_INSTALL_DOXYGEN_HTML_DIR "${CMAKE_INSTALL_DOCDIR}/${project}/doxygen-html"
- CACHE STRING "Doxygen-generated HTML documentation install directory")
--set(LLVM_INSTALL_OCAMLDOC_HTML_DIR "share/doc/llvm/ocaml-html"
-+set(LLVM_INSTALL_OCAMLDOC_HTML_DIR "${CMAKE_INSTALL_DOCDIR}/${project}/ocaml-html"
- CACHE STRING "OCamldoc-generated HTML documentation install directory")
-
- option (LLVM_BUILD_EXTERNAL_COMPILER_RT
-@@ -1107,7 +1113,7 @@ endif()
-
- if (NOT LLVM_INSTALL_TOOLCHAIN_ONLY)
- install(DIRECTORY include/llvm include/llvm-c
-- DESTINATION include
-+ DESTINATION ${CMAKE_INSTALL_INCLUDEDIR}
- COMPONENT llvm-headers
- FILES_MATCHING
- PATTERN "*.def"
-@@ -1119,7 +1125,7 @@ if (NOT LLVM_INSTALL_TOOLCHAIN_ONLY)
- )
-
- install(DIRECTORY ${LLVM_INCLUDE_DIR}/llvm ${LLVM_INCLUDE_DIR}/llvm-c
-- DESTINATION include
-+ DESTINATION ${CMAKE_INSTALL_INCLUDEDIR}
- COMPONENT llvm-headers
- FILES_MATCHING
- PATTERN "*.def"
-@@ -1134,13 +1140,13 @@ if (NOT LLVM_INSTALL_TOOLCHAIN_ONLY)
-
- if (LLVM_INSTALL_MODULEMAPS)
- install(DIRECTORY include/llvm include/llvm-c
-- DESTINATION include
-+ DESTINATION ${CMAKE_INSTALL_INCLUDEDIR}
- COMPONENT llvm-headers
- FILES_MATCHING
- PATTERN "module.modulemap"
- )
- install(FILES include/llvm/module.install.modulemap
-- DESTINATION include/llvm
-+ DESTINATION ${CMAKE_INSTALL_INCLUDEDIR}/llvm
- COMPONENT llvm-headers
- RENAME "module.extern.modulemap"
- )
-diff --git a/cmake/modules/AddLLVM.cmake b/cmake/modules/AddLLVM.cmake
-index b74adc11ade9..a5aa258cde30 100644
---- a/cmake/modules/AddLLVM.cmake
-+++ b/cmake/modules/AddLLVM.cmake
-@@ -766,9 +766,9 @@ macro(add_llvm_library name)
-
- install(TARGETS ${name}
- ${export_to_llvmexports}
-- LIBRARY DESTINATION lib${LLVM_LIBDIR_SUFFIX} COMPONENT ${name}
-- ARCHIVE DESTINATION lib${LLVM_LIBDIR_SUFFIX} COMPONENT ${name}
-- RUNTIME DESTINATION bin COMPONENT ${name})
-+ LIBRARY DESTINATION ${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX} COMPONENT ${name}
-+ ARCHIVE DESTINATION ${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX} COMPONENT ${name}
-+ RUNTIME DESTINATION ${CMAKE_INSTALL_BINDIR} COMPONENT ${name})
-
- if (NOT LLVM_ENABLE_IDE)
- add_llvm_install_targets(install-${name}
-@@ -981,7 +981,7 @@ function(process_llvm_pass_plugins)
- "set(LLVM_STATIC_EXTENSIONS ${LLVM_STATIC_EXTENSIONS})")
- install(FILES
- ${llvm_cmake_builddir}/LLVMConfigExtensions.cmake
-- DESTINATION ${LLVM_INSTALL_PACKAGE_DIR}
-+ DESTINATION ${LLVM_INSTALL_CMAKE_DIR}
- COMPONENT cmake-exports)
-
- set(ExtensionDef "${LLVM_BINARY_DIR}/include/llvm/Support/Extension.def")
-@@ -1201,7 +1201,7 @@ macro(add_llvm_example name)
- endif()
- add_llvm_executable(${name} ${ARGN})
- if( LLVM_BUILD_EXAMPLES )
-- install(TARGETS ${name} RUNTIME DESTINATION examples)
-+ install(TARGETS ${name} RUNTIME DESTINATION ${CMAKE_INSTALL_DOCDIR}/examples)
- endif()
- set_target_properties(${name} PROPERTIES FOLDER "Examples")
- endmacro(add_llvm_example name)
-@@ -1819,7 +1819,7 @@ function(llvm_install_library_symlink name dest type)
- set(full_name ${CMAKE_${type}_LIBRARY_PREFIX}${name}${CMAKE_${type}_LIBRARY_SUFFIX})
- set(full_dest ${CMAKE_${type}_LIBRARY_PREFIX}${dest}${CMAKE_${type}_LIBRARY_SUFFIX})
-
-- set(output_dir lib${LLVM_LIBDIR_SUFFIX})
-+ set(output_dir ${CMAKE_INSTALL_FULL_LIBDIR}${LLVM_LIBDIR_SUFFIX})
- if(WIN32 AND "${type}" STREQUAL "SHARED")
- set(output_dir bin)
- endif()
-@@ -1836,7 +1836,7 @@ function(llvm_install_library_symlink name dest type)
- endif()
- endfunction()
-
--function(llvm_install_symlink name dest)
-+function(llvm_install_symlink name dest output_dir)
- cmake_parse_arguments(ARG "ALWAYS_GENERATE" "COMPONENT" "" ${ARGN})
- foreach(path ${CMAKE_MODULE_PATH})
- if(EXISTS ${path}/LLVMInstallSymlink.cmake)
-@@ -1859,7 +1859,7 @@ function(llvm_install_symlink name dest)
- set(full_dest ${dest}${CMAKE_EXECUTABLE_SUFFIX})
-
- install(SCRIPT ${INSTALL_SYMLINK}
-- CODE "install_symlink(${full_name} ${full_dest} ${LLVM_TOOLS_INSTALL_DIR})"
-+ CODE "install_symlink(${full_name} ${full_dest} ${output_dir})"
- COMPONENT ${component})
-
- if (NOT LLVM_ENABLE_IDE AND NOT ARG_ALWAYS_GENERATE)
-@@ -1942,7 +1942,8 @@ function(add_llvm_tool_symlink link_name target)
- endif()
-
- if ((TOOL_IS_TOOLCHAIN OR NOT LLVM_INSTALL_TOOLCHAIN_ONLY) AND LLVM_BUILD_TOOLS)
-- llvm_install_symlink(${link_name} ${target})
-+ GNUInstallDirs_get_absolute_install_dir(output_dir LLVM_TOOLS_INSTALL_DIR)
-+ llvm_install_symlink(${link_name} ${target} ${output_dir})
- endif()
- endif()
- endfunction()
-@@ -2064,9 +2065,9 @@ function(llvm_setup_rpath name)
-
- if (APPLE)
- set(_install_name_dir INSTALL_NAME_DIR "@rpath")
-- set(_install_rpath "@loader_path/../lib${LLVM_LIBDIR_SUFFIX}" ${extra_libdir})
-+ set(_install_rpath "@loader_path/../${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX}" ${extra_libdir})
- elseif(UNIX)
-- set(_install_rpath "\$ORIGIN/../lib${LLVM_LIBDIR_SUFFIX}" ${extra_libdir})
-+ set(_install_rpath "\$ORIGIN/../${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX}" ${extra_libdir})
- if(${CMAKE_SYSTEM_NAME} MATCHES "(FreeBSD|DragonFly)")
- set_property(TARGET ${name} APPEND_STRING PROPERTY
- LINK_FLAGS " -Wl,-z,origin ")
-diff --git a/cmake/modules/AddOCaml.cmake b/cmake/modules/AddOCaml.cmake
-index 554046b20edf..4d1ad980641e 100644
---- a/cmake/modules/AddOCaml.cmake
-+++ b/cmake/modules/AddOCaml.cmake
-@@ -144,9 +144,9 @@ function(add_ocaml_library name)
- endforeach()
-
- if( APPLE )
-- set(ocaml_rpath "@executable_path/../../../lib${LLVM_LIBDIR_SUFFIX}")
-+ set(ocaml_rpath "@executable_path/../../../${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX}")
- elseif( UNIX )
-- set(ocaml_rpath "\\$ORIGIN/../../../lib${LLVM_LIBDIR_SUFFIX}")
-+ set(ocaml_rpath "\\$ORIGIN/../../../${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX}")
- endif()
- list(APPEND ocaml_flags "-ldopt" "-Wl,-rpath,${ocaml_rpath}")
-
-diff --git a/cmake/modules/AddSphinxTarget.cmake b/cmake/modules/AddSphinxTarget.cmake
-index b5babb30abcf..190b1222a9f9 100644
---- a/cmake/modules/AddSphinxTarget.cmake
-+++ b/cmake/modules/AddSphinxTarget.cmake
-@@ -84,7 +84,7 @@ function (add_sphinx_target builder project)
- endif()
- elseif (builder STREQUAL html)
- string(TOUPPER "${project}" project_upper)
-- set(${project_upper}_INSTALL_SPHINX_HTML_DIR "share/doc/${project}/html"
-+ set(${project_upper}_INSTALL_SPHINX_HTML_DIR "${CMAKE_INSTALL_DOCDIR}/${project}/html"
- CACHE STRING "HTML documentation install directory for ${project}")
-
- # '/.' indicates: copy the contents of the directory directly into
-diff --git a/cmake/modules/CMakeLists.txt b/cmake/modules/CMakeLists.txt
-index 4b8879f65fe4..f01920bcc60f 100644
---- a/cmake/modules/CMakeLists.txt
-+++ b/cmake/modules/CMakeLists.txt
-@@ -1,4 +1,4 @@
--set(LLVM_INSTALL_PACKAGE_DIR lib${LLVM_LIBDIR_SUFFIX}/cmake/llvm)
-+set(LLVM_INSTALL_PACKAGE_DIR ${LLVM_INSTALL_CMAKE_DIR} CACHE STRING "Path for CMake subdirectory (defaults to 'cmake/llvm')")
- set(llvm_cmake_builddir "${LLVM_BINARY_DIR}/${LLVM_INSTALL_PACKAGE_DIR}")
-
- # First for users who use an installed LLVM, create the LLVMExports.cmake file.
-@@ -108,13 +108,13 @@ foreach(p ${_count})
- set(LLVM_CONFIG_CODE "${LLVM_CONFIG_CODE}
- get_filename_component(LLVM_INSTALL_PREFIX \"\${LLVM_INSTALL_PREFIX}\" PATH)")
- endforeach(p)
--set(LLVM_CONFIG_INCLUDE_DIRS "\${LLVM_INSTALL_PREFIX}/include")
-+set(LLVM_CONFIG_INCLUDE_DIRS "\${LLVM_INSTALL_PREFIX}/${CMAKE_INSTALL_INCLUDEDIR}")
- set(LLVM_CONFIG_INCLUDE_DIR "${LLVM_CONFIG_INCLUDE_DIRS}")
- set(LLVM_CONFIG_MAIN_INCLUDE_DIR "${LLVM_CONFIG_INCLUDE_DIRS}")
--set(LLVM_CONFIG_LIBRARY_DIRS "\${LLVM_INSTALL_PREFIX}/lib\${LLVM_LIBDIR_SUFFIX}")
-+set(LLVM_CONFIG_LIBRARY_DIRS "\${LLVM_INSTALL_PREFIX}/${CMAKE_INSTALL_LIBDIR}\${LLVM_LIBDIR_SUFFIX}")
- set(LLVM_CONFIG_CMAKE_DIR "\${LLVM_INSTALL_PREFIX}/${LLVM_INSTALL_PACKAGE_DIR}")
- set(LLVM_CONFIG_BINARY_DIR "\${LLVM_INSTALL_PREFIX}")
--set(LLVM_CONFIG_TOOLS_BINARY_DIR "\${LLVM_INSTALL_PREFIX}/bin")
-+set(LLVM_CONFIG_TOOLS_BINARY_DIR "\${LLVM_INSTALL_PREFIX}/${CMAKE_INSTALL_BINDIR}")
-
- # Generate a default location for lit
- if (LLVM_INSTALL_UTILS AND LLVM_BUILD_UTILS)
-diff --git a/cmake/modules/LLVMInstallSymlink.cmake b/cmake/modules/LLVMInstallSymlink.cmake
-index 09fed8085c23..aa79f192abf0 100644
---- a/cmake/modules/LLVMInstallSymlink.cmake
-+++ b/cmake/modules/LLVMInstallSymlink.cmake
-@@ -10,7 +10,7 @@ function(install_symlink name target outdir)
- set(LINK_OR_COPY copy)
- endif()
-
-- set(bindir "${DESTDIR}${CMAKE_INSTALL_PREFIX}/${outdir}/")
-+ set(bindir "${DESTDIR}${outdir}/")
-
- message(STATUS "Creating ${name}")
-
-diff --git a/docs/CMake.rst b/docs/CMake.rst
-index 1f908d3e95b1..1315e0aa40e1 100644
---- a/docs/CMake.rst
-+++ b/docs/CMake.rst
-@@ -196,7 +196,7 @@ CMake manual, or execute ``cmake --help-variable VARIABLE_NAME``.
- **LLVM_LIBDIR_SUFFIX**:STRING
- Extra suffix to append to the directory where libraries are to be
- installed. On a 64-bit architecture, one could use ``-DLLVM_LIBDIR_SUFFIX=64``
-- to install libraries to ``/usr/lib64``.
-+ to install libraries to ``/usr/lib64``. See also ``CMAKE_INSTALL_LIBDIR``.
-
- **CMAKE_C_FLAGS**:STRING
- Extra flags to use when compiling C source files.
-@@ -516,8 +516,8 @@ LLVM-specific variables
-
- **LLVM_INSTALL_DOXYGEN_HTML_DIR**:STRING
- The path to install Doxygen-generated HTML documentation to. This path can
-- either be absolute or relative to the CMAKE_INSTALL_PREFIX. Defaults to
-- `share/doc/llvm/doxygen-html`.
-+ either be absolute or relative to the ``CMAKE_INSTALL_PREFIX``. Defaults to
-+ `${CMAKE_INSTALL_DOCDIR}/${project}/doxygen-html`.
-
- **LLVM_ENABLE_SPHINX**:BOOL
- If specified, CMake will search for the ``sphinx-build`` executable and will make
-@@ -548,13 +548,33 @@ LLVM-specific variables
-
- **LLVM_INSTALL_SPHINX_HTML_DIR**:STRING
- The path to install Sphinx-generated HTML documentation to. This path can
-- either be absolute or relative to the CMAKE_INSTALL_PREFIX. Defaults to
-- `share/doc/llvm/html`.
-+ either be absolute or relative to the ``CMAKE_INSTALL_PREFIX``. Defaults to
-+ `${CMAKE_INSTALL_DOCDIR}/${project}/html`.
-
- **LLVM_INSTALL_OCAMLDOC_HTML_DIR**:STRING
- The path to install OCamldoc-generated HTML documentation to. This path can
-- either be absolute or relative to the CMAKE_INSTALL_PREFIX. Defaults to
-- `share/doc/llvm/ocaml-html`.
-+ either be absolute or relative to the ``CMAKE_INSTALL_PREFIX``. Defaults to
-+ `${CMAKE_INSTALL_DOCDIR}/${project}/ocaml-html`.
-+
-+**CMAKE_INSTALL_BINDIR**:STRING
-+ The path to install binary tools, relative to the ``CMAKE_INSTALL_PREFIX``.
-+ Defaults to `bin`.
-+
-+**CMAKE_INSTALL_LIBDIR**:STRING
-+ The path to install libraries, relative to the ``CMAKE_INSTALL_PREFIX``.
-+ Defaults to `lib`.
-+
-+**CMAKE_INSTALL_INCLUDEDIR**:STRING
-+ The path to install header files, relative to the ``CMAKE_INSTALL_PREFIX``.
-+ Defaults to `include`.
-+
-+**CMAKE_INSTALL_DOCDIR**:STRING
-+ The path to install documentation, relative to the ``CMAKE_INSTALL_PREFIX``.
-+ Defaults to `share/doc`.
-+
-+**CMAKE_INSTALL_MANDIR**:STRING
-+ The path to install manpage files, relative to the ``CMAKE_INSTALL_PREFIX``.
-+ Defaults to `share/man`.
-
- **LLVM_CREATE_XCODE_TOOLCHAIN**:BOOL
- macOS Only: If enabled CMake will generate a target named
-@@ -752,9 +772,11 @@ the ``cmake`` command or by setting it directly in ``ccmake`` or ``cmake-gui``).
-
- This file is available in two different locations.
-
--* ``/lib/cmake/llvm/LLVMConfig.cmake`` where
-- ```` is the install prefix of an installed version of LLVM.
-- On Linux typically this is ``/usr/lib/cmake/llvm/LLVMConfig.cmake``.
-+* ``LLVMConfig.cmake`` where
-+ ```` is the location where LLVM CMake modules are
-+ installed as part of an installed version of LLVM. This is typically
-+ ``cmake/llvm/`` within the lib directory. On Linux, this is typically
-+ ``/usr/lib/cmake/llvm/LLVMConfig.cmake``.
-
- * ``/lib/cmake/llvm/LLVMConfig.cmake`` where
- ```` is the root of the LLVM build tree. **Note: this is only
-diff --git a/examples/Bye/CMakeLists.txt b/examples/Bye/CMakeLists.txt
-index bb96edb4b4bf..678c22fb43c8 100644
---- a/examples/Bye/CMakeLists.txt
-+++ b/examples/Bye/CMakeLists.txt
-@@ -14,6 +14,6 @@ if (NOT WIN32)
- BUILDTREE_ONLY
- )
-
-- install(TARGETS ${name} RUNTIME DESTINATION examples)
-+ install(TARGETS ${name} RUNTIME DESTINATION ${CMAKE_INSTALL_DOCDIR}/examples)
- set_target_properties(${name} PROPERTIES FOLDER "Examples")
- endif()
-diff --git a/include/llvm/CMakeLists.txt b/include/llvm/CMakeLists.txt
-index b46319f24fc8..2feabd1954e4 100644
---- a/include/llvm/CMakeLists.txt
-+++ b/include/llvm/CMakeLists.txt
-@@ -5,5 +5,5 @@ add_subdirectory(Frontend)
- # If we're doing an out-of-tree build, copy a module map for generated
- # header files into the build area.
- if (NOT "${CMAKE_SOURCE_DIR}" STREQUAL "${CMAKE_BINARY_DIR}")
-- configure_file(module.modulemap.build module.modulemap COPYONLY)
-+ configure_file(module.modulemap.build ${LLVM_INCLUDE_DIR}/module.modulemap COPYONLY)
- endif (NOT "${CMAKE_SOURCE_DIR}" STREQUAL "${CMAKE_BINARY_DIR}")
-diff --git a/tools/llvm-config/BuildVariables.inc.in b/tools/llvm-config/BuildVariables.inc.in
-index 63cef75368b7..6295478b1f3d 100644
---- a/tools/llvm-config/BuildVariables.inc.in
-+++ b/tools/llvm-config/BuildVariables.inc.in
-@@ -23,6 +23,10 @@
- #define LLVM_CXXFLAGS "@LLVM_CXXFLAGS@"
- #define LLVM_BUILDMODE "@LLVM_BUILDMODE@"
- #define LLVM_LIBDIR_SUFFIX "@LLVM_LIBDIR_SUFFIX@"
-+#define LLVM_INSTALL_BINDIR "@CMAKE_INSTALL_BINDIR@"
-+#define LLVM_INSTALL_LIBDIR "@CMAKE_INSTALL_LIBDIR@"
-+#define LLVM_INSTALL_INCLUDEDIR "@CMAKE_INSTALL_INCLUDEDIR@"
-+#define LLVM_INSTALL_CMAKEDIR "@LLVM_INSTALL_CMAKE_DIR@"
- #define LLVM_TARGETS_BUILT "@LLVM_TARGETS_BUILT@"
- #define LLVM_SYSTEM_LIBS "@LLVM_SYSTEM_LIBS@"
- #define LLVM_BUILD_SYSTEM "@LLVM_BUILD_SYSTEM@"
-diff --git a/tools/llvm-config/llvm-config.cpp b/tools/llvm-config/llvm-config.cpp
-index 7e74b7c90816..f185e9283f83 100644
---- a/tools/llvm-config/llvm-config.cpp
-+++ b/tools/llvm-config/llvm-config.cpp
-@@ -358,12 +358,26 @@ int main(int argc, char **argv) {
- ("-I" + ActiveIncludeDir + " " + "-I" + ActiveObjRoot + "/include");
- } else {
- ActivePrefix = CurrentExecPrefix;
-- ActiveIncludeDir = ActivePrefix + "/include";
-- SmallString<256> path(StringRef(LLVM_TOOLS_INSTALL_DIR));
-- sys::fs::make_absolute(ActivePrefix, path);
-- ActiveBinDir = std::string(path.str());
-- ActiveLibDir = ActivePrefix + "/lib" + LLVM_LIBDIR_SUFFIX;
-- ActiveCMakeDir = ActiveLibDir + "/cmake/llvm";
-+ {
-+ SmallString<256> path(StringRef(LLVM_INSTALL_INCLUDEDIR));
-+ sys::fs::make_absolute(ActivePrefix, path);
-+ ActiveIncludeDir = std::string(path.str());
-+ }
-+ {
-+ SmallString<256> path(StringRef(LLVM_INSTALL_BINDIR));
-+ sys::fs::make_absolute(ActivePrefix, path);
-+ ActiveBinDir = std::string(path.str());
-+ }
-+ {
-+ SmallString<256> path(StringRef(LLVM_INSTALL_LIBDIR LLVM_LIBDIR_SUFFIX));
-+ sys::fs::make_absolute(ActivePrefix, path);
-+ ActiveLibDir = std::string(path.str());
-+ }
-+ {
-+ SmallString<256> path(StringRef(LLVM_INSTALL_CMAKEDIR));
-+ sys::fs::make_absolute(ActivePrefix, path);
-+ ActiveCMakeDir = std::string(path.str());
-+ }
- ActiveIncludeOption = "-I" + ActiveIncludeDir;
- }
-
-diff --git a/tools/lto/CMakeLists.txt b/tools/lto/CMakeLists.txt
-index 2963f97cad88..69d66c9c9ca1 100644
---- a/tools/lto/CMakeLists.txt
-+++ b/tools/lto/CMakeLists.txt
-@@ -25,7 +25,7 @@ add_llvm_library(LTO SHARED INSTALL_WITH_TOOLCHAIN ${SOURCES} DEPENDS
- intrinsics_gen)
-
- install(FILES ${LLVM_MAIN_INCLUDE_DIR}/llvm-c/lto.h
-- DESTINATION include/llvm-c
-+ DESTINATION ${CMAKE_INSTALL_INCLUDEDIR}/llvm-c
- COMPONENT LTO)
-
- if (APPLE)
-diff --git a/tools/opt-viewer/CMakeLists.txt b/tools/opt-viewer/CMakeLists.txt
-index ead73ec13a8f..250362021f17 100644
---- a/tools/opt-viewer/CMakeLists.txt
-+++ b/tools/opt-viewer/CMakeLists.txt
-@@ -8,7 +8,7 @@ set (files
-
- foreach (file ${files})
- install(PROGRAMS ${file}
-- DESTINATION share/opt-viewer
-+ DESTINATION ${CMAKE_INSTALL_DATADIR}/opt-viewer
- COMPONENT opt-viewer)
- endforeach (file)
-
-diff --git a/tools/remarks-shlib/CMakeLists.txt b/tools/remarks-shlib/CMakeLists.txt
-index e948496c603a..1f4df8a98b10 100644
---- a/tools/remarks-shlib/CMakeLists.txt
-+++ b/tools/remarks-shlib/CMakeLists.txt
-@@ -11,7 +11,7 @@ set(LLVM_EXPORTED_SYMBOL_FILE ${CMAKE_CURRENT_SOURCE_DIR}/Remarks.exports)
- add_llvm_library(Remarks SHARED INSTALL_WITH_TOOLCHAIN ${SOURCES})
-
- install(FILES ${LLVM_MAIN_INCLUDE_DIR}/llvm-c/Remarks.h
-- DESTINATION include/llvm-c
-+ DESTINATION ${CMAKE_INSTALL_INCLUDEDIR}/llvm-c
- COMPONENT Remarks)
-
- if (APPLE)
diff --git a/pkgs/development/compilers/llvm/11/openmp/default.nix b/pkgs/development/compilers/llvm/11/openmp/default.nix
deleted file mode 100644
index 6c2dd9ac9577..000000000000
--- a/pkgs/development/compilers/llvm/11/openmp/default.nix
+++ /dev/null
@@ -1,47 +0,0 @@
-{ lib
-, stdenv
-, llvm_meta
-, fetch
-, fetchpatch
-, cmake
-, llvm
-, targetLlvm
-, perl
-, version
-}:
-
-stdenv.mkDerivation rec {
- pname = "openmp";
- inherit version;
-
- src = fetch pname "0bh5cswgpc79awlq8j5i7hp355adaac7s6zaz0zwp6mkflxli1yi";
-
- patches = [
- # Fix compilation on aarch64-darwin, remove after the next release.
- (fetchpatch {
- url = "https://github.com/llvm/llvm-project/commit/7b5254223acbf2ef9cd278070c5a84ab278d7e5f.patch";
- sha256 = "sha256-A+9/IVIoazu68FK5H5CiXcOEYe1Hpp4xTx2mIw7m8Es=";
- stripLen = 1;
- })
- ];
-
- nativeBuildInputs = [ cmake perl ];
- buildInputs = [
- (if stdenv.buildPlatform == stdenv.hostPlatform then llvm else targetLlvm)
- ];
-
- meta = llvm_meta // {
- homepage = "https://openmp.llvm.org/";
- description = "Support for the OpenMP language";
- longDescription = ''
- The OpenMP subproject of LLVM contains the components required to build an
- executable OpenMP program that are outside the compiler itself.
- Contains the code for the runtime library against which code compiled by
- "clang -fopenmp" must be linked before it can run and the library that
- supports offload to target devices.
- '';
- # "All of the code is dual licensed under the MIT license and the UIUC
- # License (a BSD-like license)":
- license = with lib.licenses; [ mit ncsa ];
- };
-}
diff --git a/pkgs/development/compilers/llvm/12/clang/default.nix b/pkgs/development/compilers/llvm/12/clang/default.nix
index 28f976a26bdb..c482a8ee6875 100644
--- a/pkgs/development/compilers/llvm/12/clang/default.nix
+++ b/pkgs/development/compilers/llvm/12/clang/default.nix
@@ -47,7 +47,7 @@ let
# https://reviews.llvm.org/D51899
./gnu-install-dirs.patch
(substituteAll {
- src = ../../clang-11-15-LLVMgold-path.patch;
+ src = ../../common/clang/clang-11-15-LLVMgold-path.patch;
libllvmLibdir = "${libllvm.lib}/lib";
})
];
diff --git a/pkgs/development/compilers/llvm/12/compiler-rt/default.nix b/pkgs/development/compilers/llvm/12/compiler-rt/default.nix
index 4b2bdad454ae..e11a1b397e76 100644
--- a/pkgs/development/compilers/llvm/12/compiler-rt/default.nix
+++ b/pkgs/development/compilers/llvm/12/compiler-rt/default.nix
@@ -1,5 +1,5 @@
{ lib, stdenv, llvm_meta, version, fetch
-, cmake, python3, xcbuild, libllvm, linuxHeaders, libcxxabi, libxcrypt
+, cmake, python3, xcbuild, libllvm, linuxHeaders, libxcrypt
, doFakeLibgcc ? stdenv.hostPlatform.isFreeBSD
}:
@@ -20,8 +20,7 @@ stdenv.mkDerivation {
nativeBuildInputs = [ cmake python3 libllvm.dev ]
++ lib.optional stdenv.isDarwin xcbuild.xcrun;
buildInputs =
- lib.optional (stdenv.hostPlatform.isLinux && stdenv.hostPlatform.isRiscV) linuxHeaders
- ++ lib.optional stdenv.hostPlatform.isDarwin libcxxabi;
+ lib.optional (stdenv.hostPlatform.isLinux && stdenv.hostPlatform.isRiscV) linuxHeaders;
env.NIX_CFLAGS_COMPILE = toString [
"-DSCUDO_DEFAULT_OPTIONS=DeleteSizeMismatch=0:DeallocationTypeMismatch=0"
@@ -113,6 +112,7 @@ stdenv.mkDerivation {
meta = llvm_meta // {
homepage = "https://compiler-rt.llvm.org/";
description = "Compiler runtime libraries";
+ mainProgram = "hwasan_symbolize";
longDescription = ''
The compiler-rt project provides highly tuned implementations of the
low-level code generator support routines like "__fixunsdfdi" and other
diff --git a/pkgs/development/compilers/llvm/12/default.nix b/pkgs/development/compilers/llvm/12/default.nix
index a38e35e91f6d..bd87dc5f34f0 100644
--- a/pkgs/development/compilers/llvm/12/default.nix
+++ b/pkgs/development/compilers/llvm/12/default.nix
@@ -109,7 +109,6 @@ let
cc = tools.clang-unwrapped;
libcxx = targetLlvmLibraries.libcxx;
extraPackages = [
- libcxx.cxxabi
targetLlvmLibraries.compiler-rt
];
extraBuildCommands = mkExtraBuildCommands cc;
@@ -163,7 +162,6 @@ let
libcxx = targetLlvmLibraries.libcxx;
bintools = bintools';
extraPackages = [
- libcxx.cxxabi
targetLlvmLibraries.compiler-rt
] ++ lib.optionals (!stdenv.targetPlatform.isWasm) [
targetLlvmLibraries.libunwind
@@ -257,24 +255,13 @@ let
libcxx = callPackage ./libcxx {
inherit llvm_meta;
- stdenv = if stdenv.hostPlatform.useLLVM or false
- then overrideCC stdenv buildLlvmTools.clangNoLibcxx
- else stdenv;
- };
-
- libcxxabi = callPackage ./libcxxabi {
- inherit llvm_meta;
- stdenv = if stdenv.hostPlatform.useLLVM or false
- then overrideCC stdenv buildLlvmTools.clangNoLibcxx
- else stdenv;
+ stdenv = overrideCC stdenv buildLlvmTools.clangNoLibcxx;
};
libunwind = callPackage ./libunwind {
inherit llvm_meta;
inherit (buildLlvmTools) llvm;
- stdenv = if stdenv.hostPlatform.useLLVM or false
- then overrideCC stdenv buildLlvmTools.clangNoLibcxx
- else stdenv;
+ stdenv = overrideCC stdenv buildLlvmTools.clangNoLibcxx;
};
openmp = callPackage ./openmp {
diff --git a/pkgs/development/compilers/llvm/12/libcxx/default.nix b/pkgs/development/compilers/llvm/12/libcxx/default.nix
index 40bff3c1168b..7c6174711456 100644
--- a/pkgs/development/compilers/llvm/12/libcxx/default.nix
+++ b/pkgs/development/compilers/llvm/12/libcxx/default.nix
@@ -1,68 +1,128 @@
-{ lib, stdenv, llvm_meta, fetch, cmake, python3, llvm, fixDarwinDylibNames, version
-, cxxabi ? if stdenv.hostPlatform.isFreeBSD then libcxxrt else libcxxabi
-, libcxxabi, libcxxrt
+{ lib, stdenv, llvm_meta
+, fetchFromGitHub, runCommand, substitute
+, cmake, lndir, ninja, python3, fixDarwinDylibNames, version
+, cxxabi ? if stdenv.hostPlatform.isFreeBSD then libcxxrt else null
+, libcxxrt, libunwind
, enableShared ? !stdenv.hostPlatform.isStatic
}:
-assert stdenv.isDarwin -> cxxabi.pname == "libcxxabi";
+# external cxxabi is not supported on Darwin as the build will not link libcxx
+# properly and not re-export the cxxabi symbols into libcxx
+# https://github.com/NixOS/nixpkgs/issues/166205
+# https://github.com/NixOS/nixpkgs/issues/269548
+assert cxxabi == null || !stdenv.hostPlatform.isDarwin;
+let
+ basename = "libcxx";
+ cxxabiName = "lib${if cxxabi == null then "cxxabi" else cxxabi.libName}";
+ runtimes = [ "libcxx" ] ++ lib.optional (cxxabi == null) "libcxxabi";
-stdenv.mkDerivation {
- pname = "libcxx";
- inherit version;
+ # Note: useLLVM is likely false for Darwin but true under pkgsLLVM
+ useLLVM = stdenv.hostPlatform.useLLVM or false;
- src = fetch "libcxx" "05cx39ldlxchck454lgfly1xj0c7x65iyx4hqhiihrlg6p6qj854";
+ cxxabiCMakeFlags = lib.optionals (useLLVM && !stdenv.hostPlatform.isWasm) [
+ "-DLIBCXXABI_USE_COMPILER_RT=ON"
+ "-DLIBCXXABI_USE_LLVM_UNWINDER=ON"
+ ] ++ lib.optionals stdenv.hostPlatform.isWasm [
+ "-DLIBCXXABI_ENABLE_THREADS=OFF"
+ "-DLIBCXXABI_ENABLE_EXCEPTIONS=OFF"
+ ] ++ lib.optionals (!enableShared) [
+ "-DLIBCXXABI_ENABLE_SHARED=OFF"
+ ];
- postUnpack = ''
- unpackFile ${libcxxabi.src}
- mv libcxxabi-* libcxxabi
- unpackFile ${llvm.src}
- mv llvm-* llvm
- '';
+ cxxCMakeFlags = [
+ "-DLIBCXX_CXX_ABI=${cxxabiName}"
+ ] ++ lib.optionals (cxxabi != null) [
+ "-DLIBCXX_CXX_ABI_INCLUDE_PATHS=${lib.getDev cxxabi}/include"
+ ] ++ lib.optionals (stdenv.hostPlatform.isMusl || stdenv.hostPlatform.isWasi) [
+ "-DLIBCXX_HAS_MUSL_LIBC=1"
+ ] ++ lib.optionals useLLVM [
+ "-DLIBCXX_USE_COMPILER_RT=ON"
+ ] ++ lib.optionals stdenv.hostPlatform.isWasm [
+ "-DLIBCXX_ENABLE_THREADS=OFF"
+ "-DLIBCXX_ENABLE_FILESYSTEM=OFF"
+ "-DLIBCXX_ENABLE_EXCEPTIONS=OFF"
+ ] ++ lib.optionals (!enableShared) [
+ "-DLIBCXX_ENABLE_SHARED=OFF"
+ ];
+
+ cmakeFlags = [
+ "-DLLVM_ENABLE_RUNTIMES=${lib.concatStringsSep ";" runtimes}"
+ ] ++ lib.optionals (useLLVM && !stdenv.hostPlatform.isWasm) [
+ # libcxxabi's CMake looks as though it treats -nostdlib++ as implying -nostdlib,
+ # but that does not appear to be the case for example when building
+ # pkgsLLVM.libcxxabi (which uses clangNoCompilerRtWithLibc).
+ "-DCMAKE_EXE_LINKER_FLAGS=-nostdlib"
+ "-DCMAKE_SHARED_LINKER_FLAGS=-nostdlib"
+ ] ++ lib.optionals stdenv.hostPlatform.isWasm [
+ "-DCMAKE_C_COMPILER_WORKS=ON"
+ "-DCMAKE_CXX_COMPILER_WORKS=ON"
+ "-DUNIX=ON" # Required otherwise libc++ fails to detect the correct linker
+ ] ++ cxxCMakeFlags
+ ++ lib.optionals (cxxabi == null) cxxabiCMakeFlags;
+
+in
+
+stdenv.mkDerivation rec {
+ pname = basename;
+ inherit version cmakeFlags;
+
+ src = fetchFromGitHub {
+ owner = "llvm";
+ repo = "llvm-project";
+ rev = "refs/tags/llvmorg-${version}";
+ sparseCheckout = [
+ "libcxx"
+ "libcxxabi"
+ "llvm/cmake"
+ "llvm/utils"
+ "runtimes"
+ ];
+ hash = "sha256-etxgXIdWxMTmbZ83Hsc0w6Jt5OSQSUEPVEWqLkHsNBY=";
+ };
outputs = [ "out" "dev" ];
patches = [
- ./gnu-install-dirs.patch
+ (substitute {
+ src = ../../common/libcxxabi/wasm.patch;
+ replacements = [
+ "--replace-fail" "/cmake/" "/llvm/cmake/"
+ ];
+ })
] ++ lib.optionals stdenv.hostPlatform.isMusl [
- ../../libcxx-0001-musl-hacks.patch
+ (substitute {
+ src = ../../common/libcxx/libcxx-0001-musl-hacks.patch;
+ replacements = [
+ "--replace-fail" "/include/" "/libcxx/include/"
+ ];
+ })
];
+ postPatch = ''
+ cd runtimes
+ '';
+
preConfigure = lib.optionalString stdenv.hostPlatform.isMusl ''
patchShebangs utils/cat_files.py
'';
- nativeBuildInputs = [ cmake python3 ]
- ++ lib.optional stdenv.isDarwin fixDarwinDylibNames;
+ nativeBuildInputs = [ cmake ninja python3 ]
+ ++ lib.optional stdenv.isDarwin fixDarwinDylibNames
+ ++ lib.optional (cxxabi != null) lndir;
- buildInputs = [ cxxabi ];
+ buildInputs = [ cxxabi ]
+ ++ lib.optionals (useLLVM && !stdenv.hostPlatform.isWasm) [ libunwind ];
- cmakeFlags = [
- "-DLIBCXX_CXX_ABI=${cxxabi.pname}"
- ] ++ lib.optional (stdenv.hostPlatform.isMusl || stdenv.hostPlatform.isWasi) "-DLIBCXX_HAS_MUSL_LIBC=1"
- ++ lib.optional (stdenv.hostPlatform.useLLVM or false) "-DLIBCXX_USE_COMPILER_RT=ON"
- ++ lib.optionals stdenv.hostPlatform.isWasm [
- "-DLIBCXX_ENABLE_THREADS=OFF"
- "-DLIBCXX_ENABLE_FILESYSTEM=OFF"
- "-DLIBCXX_ENABLE_EXCEPTIONS=OFF"
- ] ++ lib.optional (!enableShared) "-DLIBCXX_ENABLE_SHARED=OFF";
-
- preInstall = lib.optionalString (stdenv.isDarwin) ''
- for file in lib/*.dylib; do
- if [ -L "$file" ]; then continue; fi
-
- baseName=$(basename $(${stdenv.cc.targetPrefix}otool -D $file | tail -n 1))
- installName="$out/lib/$baseName"
- abiName=$(echo "$baseName" | sed -e 's/libc++/libc++abi/')
-
- for other in $(${stdenv.cc.targetPrefix}otool -L $file | awk '$1 ~ "/libc\\+\\+abi" { print $1 }'); do
- ${stdenv.cc.targetPrefix}install_name_tool -change $other ${cxxabi}/lib/$abiName $file
- done
- done
+ # libc++.so is a linker script which expands to multiple libraries,
+ # libc++.so.1 and libc++abi.so or the external cxxabi. ld-wrapper doesn't
+ # support linker scripts so the external cxxabi needs to be symlinked in
+ postInstall = lib.optionalString (cxxabi != null) ''
+ lndir ${lib.getDev cxxabi}/include $out/include/c++/v1
+ lndir ${lib.getLib cxxabi}/lib $out/lib
'';
passthru = {
isLLVM = true;
- inherit cxxabi;
};
meta = llvm_meta // {
diff --git a/pkgs/development/compilers/llvm/12/libcxx/gnu-install-dirs.patch b/pkgs/development/compilers/llvm/12/libcxx/gnu-install-dirs.patch
deleted file mode 100644
index 1f9de00a9d56..000000000000
--- a/pkgs/development/compilers/llvm/12/libcxx/gnu-install-dirs.patch
+++ /dev/null
@@ -1,100 +0,0 @@
-diff --git a/CMakeLists.txt b/CMakeLists.txt
-index 9bf1a02f0908..612cd4aab76c 100644
---- a/CMakeLists.txt
-+++ b/CMakeLists.txt
-@@ -28,6 +28,8 @@ set(LIBCXX_BINARY_INCLUDE_DIR "${LIBCXX_BINARY_DIR}/include/c++build")
- if (CMAKE_SOURCE_DIR STREQUAL CMAKE_CURRENT_SOURCE_DIR OR LIBCXX_STANDALONE_BUILD)
- project(libcxx CXX C)
-
-+ include(GNUInstallDirs)
-+
- set(PACKAGE_NAME libcxx)
- set(PACKAGE_VERSION 12.0.0)
- set(PACKAGE_STRING "${PACKAGE_NAME} ${PACKAGE_VERSION}")
-@@ -402,7 +404,7 @@ endif ()
- if(LLVM_ENABLE_PER_TARGET_RUNTIME_DIR AND NOT APPLE)
- set(LIBCXX_LIBRARY_DIR ${LLVM_LIBRARY_OUTPUT_INTDIR}/${LLVM_DEFAULT_TARGET_TRIPLE}/c++)
- set(LIBCXX_HEADER_DIR ${LLVM_BINARY_DIR})
-- set(LIBCXX_INSTALL_LIBRARY_DIR lib${LLVM_LIBDIR_SUFFIX}/${LLVM_DEFAULT_TARGET_TRIPLE}/c++)
-+ set(LIBCXX_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX}/${LLVM_DEFAULT_TARGET_TRIPLE}/c++)
- if(LIBCXX_LIBDIR_SUBDIR)
- string(APPEND LIBCXX_LIBRARY_DIR /${LIBCXX_LIBDIR_SUBDIR})
- string(APPEND LIBCXX_INSTALL_LIBRARY_DIR /${LIBCXX_LIBDIR_SUBDIR})
-@@ -410,11 +412,11 @@ if(LLVM_ENABLE_PER_TARGET_RUNTIME_DIR AND NOT APPLE)
- elseif(LLVM_LIBRARY_OUTPUT_INTDIR)
- set(LIBCXX_LIBRARY_DIR ${LLVM_LIBRARY_OUTPUT_INTDIR})
- set(LIBCXX_HEADER_DIR ${LLVM_BINARY_DIR})
-- set(LIBCXX_INSTALL_LIBRARY_DIR lib${LIBCXX_LIBDIR_SUFFIX})
-+ set(LIBCXX_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LIBCXX_LIBDIR_SUFFIX})
- else()
- set(LIBCXX_LIBRARY_DIR ${CMAKE_BINARY_DIR}/lib${LIBCXX_LIBDIR_SUFFIX})
- set(LIBCXX_HEADER_DIR ${CMAKE_BINARY_DIR})
-- set(LIBCXX_INSTALL_LIBRARY_DIR lib${LIBCXX_LIBDIR_SUFFIX})
-+ set(LIBCXX_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LIBCXX_LIBDIR_SUFFIX})
- endif()
-
- file(MAKE_DIRECTORY "${LIBCXX_BINARY_INCLUDE_DIR}")
-diff --git a/cmake/Modules/HandleLibCXXABI.cmake b/cmake/Modules/HandleLibCXXABI.cmake
-index 5d2764e870e9..bb1ec5de6ca2 100644
---- a/cmake/Modules/HandleLibCXXABI.cmake
-+++ b/cmake/Modules/HandleLibCXXABI.cmake
-@@ -63,7 +63,7 @@ macro(setup_abi_lib abidefines abishared abistatic abifiles abidirs)
-
- if (LIBCXX_INSTALL_HEADERS)
- install(FILES "${LIBCXX_BINARY_INCLUDE_DIR}/${fpath}"
-- DESTINATION ${LIBCXX_INSTALL_HEADER_PREFIX}include/c++/v1/${dstdir}
-+ DESTINATION ${LIBCXX_INSTALL_HEADER_PREFIX}${CMAKE_INSTALL_INCLUDEDIR}/c++/v1/${dstdir}
- COMPONENT cxx-headers
- PERMISSIONS OWNER_READ OWNER_WRITE GROUP_READ WORLD_READ
- )
-diff --git a/include/CMakeLists.txt b/include/CMakeLists.txt
-index 29a317b8ae9a..4747263cfd1b 100644
---- a/include/CMakeLists.txt
-+++ b/include/CMakeLists.txt
-@@ -252,7 +252,7 @@ if (LIBCXX_INSTALL_HEADERS)
- foreach(file ${files})
- get_filename_component(dir ${file} DIRECTORY)
- install(FILES ${file}
-- DESTINATION ${LIBCXX_INSTALL_HEADER_PREFIX}include/c++/v1/${dir}
-+ DESTINATION ${LIBCXX_INSTALL_HEADER_PREFIX}${CMAKE_INSTALL_INCLUDEDIR}/c++/v1/${dir}
- COMPONENT cxx-headers
- PERMISSIONS OWNER_READ OWNER_WRITE GROUP_READ WORLD_READ
- )
-@@ -260,7 +260,7 @@ if (LIBCXX_INSTALL_HEADERS)
-
- # Install the generated header as __config.
- install(FILES ${LIBCXX_BINARY_DIR}/__generated_config
-- DESTINATION ${LIBCXX_INSTALL_HEADER_PREFIX}include/c++/v1
-+ DESTINATION ${LIBCXX_INSTALL_HEADER_PREFIX}${CMAKE_INSTALL_INCLUDEDIR}/c++/v1
- PERMISSIONS OWNER_READ OWNER_WRITE GROUP_READ WORLD_READ
- RENAME __config
- COMPONENT cxx-headers)
-diff --git a/src/CMakeLists.txt b/src/CMakeLists.txt
-index 9965104cb5b2..9b55dbb1d822 100644
---- a/src/CMakeLists.txt
-+++ b/src/CMakeLists.txt
-@@ -352,21 +352,21 @@ if (LIBCXX_INSTALL_SHARED_LIBRARY)
- install(TARGETS cxx_shared
- ARCHIVE DESTINATION ${LIBCXX_INSTALL_PREFIX}${LIBCXX_INSTALL_LIBRARY_DIR} COMPONENT cxx
- LIBRARY DESTINATION ${LIBCXX_INSTALL_PREFIX}${LIBCXX_INSTALL_LIBRARY_DIR} COMPONENT cxx
-- RUNTIME DESTINATION ${LIBCXX_INSTALL_PREFIX}bin COMPONENT cxx)
-+ RUNTIME DESTINATION ${LIBCXX_INSTALL_PREFIX}${CMAKE_INSTALL_BINDIR} COMPONENT cxx)
- endif()
-
- if (LIBCXX_INSTALL_STATIC_LIBRARY)
- install(TARGETS cxx_static
- ARCHIVE DESTINATION ${LIBCXX_INSTALL_PREFIX}${LIBCXX_INSTALL_LIBRARY_DIR} COMPONENT cxx
- LIBRARY DESTINATION ${LIBCXX_INSTALL_PREFIX}${LIBCXX_INSTALL_LIBRARY_DIR} COMPONENT cxx
-- RUNTIME DESTINATION ${LIBCXX_INSTALL_PREFIX}bin COMPONENT cxx)
-+ RUNTIME DESTINATION ${LIBCXX_INSTALL_PREFIX}${CMAKE_INSTALL_BINDIR} COMPONENT cxx)
- endif()
-
- if(LIBCXX_INSTALL_EXPERIMENTAL_LIBRARY)
- install(TARGETS cxx_experimental
- LIBRARY DESTINATION ${LIBCXX_INSTALL_PREFIX}${LIBCXX_INSTALL_LIBRARY_DIR} COMPONENT cxx
- ARCHIVE DESTINATION ${LIBCXX_INSTALL_PREFIX}${LIBCXX_INSTALL_LIBRARY_DIR} COMPONENT cxx
-- RUNTIME DESTINATION ${LIBCXX_INSTALL_PREFIX}bin COMPONENT cxx)
-+ RUNTIME DESTINATION ${LIBCXX_INSTALL_PREFIX}${CMAKE_INSTALL_BINDIR} COMPONENT cxx)
- endif()
-
- # NOTE: This install command must go after the cxx install command otherwise
diff --git a/pkgs/development/compilers/llvm/12/libcxxabi/default.nix b/pkgs/development/compilers/llvm/12/libcxxabi/default.nix
deleted file mode 100644
index 78a4a68d6a16..000000000000
--- a/pkgs/development/compilers/llvm/12/libcxxabi/default.nix
+++ /dev/null
@@ -1,87 +0,0 @@
-{ lib, stdenv, llvm_meta, cmake, python3, fetch, libcxx, libunwind, llvm, version
-, enableShared ? !stdenv.hostPlatform.isStatic
-, standalone ? stdenv.hostPlatform.useLLVM or false
-, withLibunwind ? !stdenv.isDarwin && !stdenv.hostPlatform.isWasm
-}:
-
-stdenv.mkDerivation {
- pname = "libcxxabi";
- inherit version;
-
- src = fetch "libcxxabi" "1l4idd8npbkm168d26kqn529yv3npsd8f2dm8a7iwyknj7iyivw8";
-
- outputs = [ "out" "dev" ];
-
- postUnpack = ''
- unpackFile ${libcxx.src}
- mv libcxx-* libcxx
- unpackFile ${llvm.src}
- mv llvm-* llvm
- '' + lib.optionalString stdenv.isDarwin ''
- export TRIPLE=x86_64-apple-darwin
- '' + lib.optionalString stdenv.hostPlatform.isMusl ''
- patch -p1 -d libcxx -i ${../../libcxx-0001-musl-hacks.patch}
- '' + lib.optionalString stdenv.hostPlatform.isWasm ''
- patch -p1 -d llvm -i ${../../common/libcxxabi/wasm.patch}
- '';
-
- patches = [
- ./gnu-install-dirs.patch
- ];
-
- nativeBuildInputs = [ cmake python3 ];
- buildInputs = lib.optional withLibunwind libunwind;
-
- cmakeFlags = lib.optionals standalone [
- "-DLLVM_ENABLE_LIBCXX=ON"
- "-DLIBCXXABI_USE_LLVM_UNWINDER=ON"
- ] ++ lib.optionals stdenv.hostPlatform.isWasm [
- "-DLIBCXXABI_ENABLE_THREADS=OFF"
- "-DLIBCXXABI_ENABLE_EXCEPTIONS=OFF"
- ] ++ lib.optionals (!enableShared) [
- "-DLIBCXXABI_ENABLE_SHARED=OFF"
- ];
-
- preInstall = lib.optionalString stdenv.isDarwin ''
- for file in lib/*.dylib; do
- if [ -L "$file" ]; then continue; fi
-
- # Fix up the install name. Preserve the basename, just replace the path.
- installName="$out/lib/$(basename $(${stdenv.cc.targetPrefix}otool -D $file | tail -n 1))"
-
- # this should be done in CMake, but having trouble figuring out
- # the magic combination of necessary CMake variables
- # if you fancy a try, take a look at
- # https://gitlab.kitware.com/cmake/community/-/wikis/doc/cmake/RPATH-handling
- ${stdenv.cc.targetPrefix}install_name_tool -id $installName $file
-
- # cc-wrapper passes '-lc++abi' to all c++ link steps, but that causes
- # libcxxabi to sometimes link against a different version of itself.
- # Here we simply make that second reference point to ourselves.
- for other in $(${stdenv.cc.targetPrefix}otool -L $file | awk '$1 ~ "/libc\\+\\+abi" { print $1 }'); do
- ${stdenv.cc.targetPrefix}install_name_tool -change $other $installName $file
- done
- done
- '';
-
- postInstall = ''
- mkdir -p "$dev/include"
- install -m 644 ../include/${if stdenv.isDarwin then "*" else "cxxabi.h"} "$dev/include"
- '';
-
- passthru = {
- libName = "c++abi";
- };
-
- meta = llvm_meta // {
- homepage = "https://libcxxabi.llvm.org/";
- description = "Provides C++ standard library support";
- longDescription = ''
- libc++abi is a new implementation of low level support for a standard C++ library.
- '';
- # "All of the code in libc++abi is dual licensed under the MIT license and
- # the UIUC License (a BSD-like license)":
- license = with lib.licenses; [ mit ncsa ];
- maintainers = llvm_meta.maintainers ++ [ lib.maintainers.vlstill ];
- };
-}
diff --git a/pkgs/development/compilers/llvm/12/libcxxabi/gnu-install-dirs.patch b/pkgs/development/compilers/llvm/12/libcxxabi/gnu-install-dirs.patch
deleted file mode 100644
index b49b1685940f..000000000000
--- a/pkgs/development/compilers/llvm/12/libcxxabi/gnu-install-dirs.patch
+++ /dev/null
@@ -1,34 +0,0 @@
-diff --git a/CMakeLists.txt b/CMakeLists.txt
-index 426c855288fc..a9812a994f53 100644
---- a/CMakeLists.txt
-+++ b/CMakeLists.txt
-@@ -27,6 +27,8 @@ set(LIBCXXABI_LIBCXX_PATH "${CMAKE_CURRENT_LIST_DIR}/../libcxx" CACHE PATH
- if (CMAKE_SOURCE_DIR STREQUAL CMAKE_CURRENT_SOURCE_DIR OR LIBCXXABI_STANDALONE_BUILD)
- project(libcxxabi CXX C)
-
-+ include(GNUInstallDirs)
-+
- set(PACKAGE_NAME libcxxabi)
- set(PACKAGE_VERSION 11.0.0)
- set(PACKAGE_STRING "${PACKAGE_NAME} ${PACKAGE_VERSION}")
-@@ -180,17 +182,17 @@ set(CMAKE_MODULE_PATH
-
- if(LLVM_ENABLE_PER_TARGET_RUNTIME_DIR AND NOT APPLE)
- set(LIBCXXABI_LIBRARY_DIR ${LLVM_LIBRARY_OUTPUT_INTDIR}/${LLVM_DEFAULT_TARGET_TRIPLE}/c++)
-- set(LIBCXXABI_INSTALL_LIBRARY_DIR lib${LLVM_LIBDIR_SUFFIX}/${LLVM_DEFAULT_TARGET_TRIPLE}/c++)
-+ set(LIBCXXABI_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX}/${LLVM_DEFAULT_TARGET_TRIPLE}/c++)
- if(LIBCXX_LIBDIR_SUBDIR)
- string(APPEND LIBCXXABI_LIBRARY_DIR /${LIBCXXABI_LIBDIR_SUBDIR})
- string(APPEND LIBCXXABI_INSTALL_LIBRARY_DIR /${LIBCXXABI_LIBDIR_SUBDIR})
- endif()
- elseif(LLVM_LIBRARY_OUTPUT_INTDIR)
- set(LIBCXXABI_LIBRARY_DIR ${LLVM_LIBRARY_OUTPUT_INTDIR})
-- set(LIBCXXABI_INSTALL_LIBRARY_DIR lib${LIBCXXABI_LIBDIR_SUFFIX})
-+ set(LIBCXXABI_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LIBCXXABI_LIBDIR_SUFFIX})
- else()
- set(LIBCXXABI_LIBRARY_DIR ${CMAKE_BINARY_DIR}/lib${LIBCXXABI_LIBDIR_SUFFIX})
-- set(LIBCXXABI_INSTALL_LIBRARY_DIR lib${LIBCXXABI_LIBDIR_SUFFIX})
-+ set(LIBCXXABI_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LIBCXXABI_LIBDIR_SUFFIX})
- endif()
-
- set(LIBCXXABI_INSTALL_PREFIX "" CACHE STRING "Define libc++abi destination prefix.")
diff --git a/pkgs/development/compilers/llvm/12/libunwind/default.nix b/pkgs/development/compilers/llvm/12/libunwind/default.nix
index 8ed246876959..95e0962b4689 100644
--- a/pkgs/development/compilers/llvm/12/libunwind/default.nix
+++ b/pkgs/development/compilers/llvm/12/libunwind/default.nix
@@ -9,10 +9,8 @@ stdenv.mkDerivation rec {
src = fetch pname "192ww6n81lj2mb9pj4043z79jp3cf58a9c2qrxjwm5c3a64n1shb";
postUnpack = ''
- unpackFile ${libcxx.src}
- mv libcxx-* libcxx
- unpackFile ${llvm.src}
- mv llvm-* llvm
+ ln -s ${libcxx.src}/libcxx .
+ ln -s ${libcxx.src}/llvm .
'';
patches = [
diff --git a/pkgs/development/compilers/llvm/12/llvm/default.nix b/pkgs/development/compilers/llvm/12/llvm/default.nix
index 3263c4ddaf35..4745345a3f71 100644
--- a/pkgs/development/compilers/llvm/12/llvm/default.nix
+++ b/pkgs/development/compilers/llvm/12/llvm/default.nix
@@ -87,7 +87,7 @@ in stdenv.mkDerivation (rec {
# of the flags used for the normal LLVM build. To avoid the need for building
# a native libLLVM.so (which would fail) we force llvm-config to be linked
# statically against the necessary LLVM components always.
- ../../llvm-config-link-static.patch
+ ../../common/llvm/llvm-config-link-static.patch
# Fix llvm being miscompiled by some gccs. See llvm/llvm-project#49955
# Fix llvm being miscompiled by some gccs. See https://github.com/llvm/llvm-project/issues/49955
./fix-llvm-issue-49955.patch
@@ -138,7 +138,7 @@ in stdenv.mkDerivation (rec {
# TODO: Fix failing tests:
rm test/DebugInfo/X86/vla-multi.ll
'' + optionalString stdenv.hostPlatform.isMusl ''
- patch -p1 -i ${../../TLI-musl.patch}
+ patch -p1 -i ${../../common/llvm/TLI-musl.patch}
substituteInPlace unittests/Support/CMakeLists.txt \
--replace "add_subdirectory(DynamicLibrary)" ""
rm unittests/Support/DynamicLibrary/DynamicLibraryTest.cpp
@@ -184,6 +184,10 @@ in stdenv.mkDerivation (rec {
--replace 'Starting llvm::' 'Starting {{.*}}' \
--replace 'Finished llvm::' 'Finished {{.*}}'
done
+ '' + ''
+ # gcc-13 fix
+ sed -i '/#include /i#include ' \
+ include/llvm/DebugInfo/Symbolize/DIPrinter.h
'';
preConfigure = ''
@@ -202,6 +206,8 @@ in stdenv.mkDerivation (rec {
# E.g. mesa.drivers use the build-id as a cache key (see #93946):
LDFLAGS = optionalString (enableSharedLibraries && !stdenv.isDarwin) "-Wl,--build-id=sha1";
+ hardeningDisable = [ "trivialautovarinit" ];
+
cmakeBuildType = if debugVersion then "Debug" else "Release";
cmakeFlags = with stdenv; let
diff --git a/pkgs/development/compilers/llvm/13/clang/default.nix b/pkgs/development/compilers/llvm/13/clang/default.nix
index 7673c903e71c..2d02ffb60b36 100644
--- a/pkgs/development/compilers/llvm/13/clang/default.nix
+++ b/pkgs/development/compilers/llvm/13/clang/default.nix
@@ -43,7 +43,7 @@ let
./revert-malloc-alignment-assumption.patch
../../common/clang/add-nostdlibinc-flag.patch
(substituteAll {
- src = ../../clang-11-15-LLVMgold-path.patch;
+ src = ../../common/clang/clang-11-15-LLVMgold-path.patch;
libllvmLibdir = "${libllvm.lib}/lib";
})
];
diff --git a/pkgs/development/compilers/llvm/13/compiler-rt/default.nix b/pkgs/development/compilers/llvm/13/compiler-rt/default.nix
index b6a9c13ba943..3c83e4e58a17 100644
--- a/pkgs/development/compilers/llvm/13/compiler-rt/default.nix
+++ b/pkgs/development/compilers/llvm/13/compiler-rt/default.nix
@@ -1,5 +1,5 @@
{ lib, stdenv, llvm_meta, version, src
-, cmake, python3, xcbuild, libllvm, linuxHeaders, libcxxabi, libxcrypt
+, cmake, python3, xcbuild, libllvm, linuxHeaders, libxcrypt
, doFakeLibgcc ? stdenv.hostPlatform.isFreeBSD
}:
@@ -22,8 +22,7 @@ stdenv.mkDerivation {
nativeBuildInputs = [ cmake python3 libllvm.dev ]
++ lib.optional stdenv.isDarwin xcbuild.xcrun;
buildInputs =
- lib.optional (stdenv.hostPlatform.isLinux && stdenv.hostPlatform.isRiscV) linuxHeaders
- ++ lib.optional stdenv.hostPlatform.isDarwin libcxxabi;
+ lib.optional (stdenv.hostPlatform.isLinux && stdenv.hostPlatform.isRiscV) linuxHeaders;
env.NIX_CFLAGS_COMPILE = toString [
"-DSCUDO_DEFAULT_OPTIONS=DeleteSizeMismatch=0:DeallocationTypeMismatch=0"
@@ -120,6 +119,7 @@ stdenv.mkDerivation {
meta = llvm_meta // {
homepage = "https://compiler-rt.llvm.org/";
description = "Compiler runtime libraries";
+ mainProgram = "hwasan_symbolize";
longDescription = ''
The compiler-rt project provides highly tuned implementations of the
low-level code generator support routines like "__fixunsdfdi" and other
diff --git a/pkgs/development/compilers/llvm/13/default.nix b/pkgs/development/compilers/llvm/13/default.nix
index c811b24c48ef..cd96231e62c3 100644
--- a/pkgs/development/compilers/llvm/13/default.nix
+++ b/pkgs/development/compilers/llvm/13/default.nix
@@ -137,7 +137,6 @@ in let
cc = tools.clang-unwrapped;
libcxx = targetLlvmLibraries.libcxx;
extraPackages = [
- libcxx.cxxabi
targetLlvmLibraries.compiler-rt
];
extraBuildCommands = mkExtraBuildCommands cc;
@@ -203,7 +202,6 @@ in let
libcxx = targetLlvmLibraries.libcxx;
bintools = bintools';
extraPackages = [
- libcxx.cxxabi
targetLlvmLibraries.compiler-rt
] ++ lib.optionals (!stdenv.targetPlatform.isWasm) [
targetLlvmLibraries.libunwind
@@ -297,28 +295,8 @@ in let
libcxx = callPackage ./libcxx {
inherit llvm_meta;
- stdenv = if stdenv.hostPlatform.useLLVM or false
- then overrideCC stdenv buildLlvmTools.clangNoLibcxx
- else (
- # libcxx >= 13 does not build on gcc9
- if stdenv.cc.isGNU && lib.versionOlder stdenv.cc.version "10"
- then pkgs.gcc10Stdenv
- else stdenv
- );
- };
-
- libcxxabi = let
- stdenv_ = if stdenv.hostPlatform.useLLVM or false
- then overrideCC stdenv buildLlvmTools.clangNoLibcxx
- else stdenv;
- cxx-headers = callPackage ./libcxx {
- inherit llvm_meta;
- stdenv = stdenv_;
- headersOnly = true;
- };
- in callPackage ./libcxxabi {
- stdenv = stdenv_;
- inherit llvm_meta cxx-headers;
+ stdenv = overrideCC stdenv buildLlvmTools.clangNoLibcxx;
+ monorepoSrc = src;
};
libunwind = callPackage ./libunwind {
diff --git a/pkgs/development/compilers/llvm/13/libcxx/default.nix b/pkgs/development/compilers/llvm/13/libcxx/default.nix
index 994ec7dd5a56..b39b4f37ff1c 100644
--- a/pkgs/development/compilers/llvm/13/libcxx/default.nix
+++ b/pkgs/development/compilers/llvm/13/libcxx/default.nix
@@ -1,80 +1,124 @@
-{ lib, stdenv, llvm_meta, src, cmake, python3, fixDarwinDylibNames, version
-, cxxabi ? if stdenv.hostPlatform.isFreeBSD then libcxxrt else libcxxabi
-, libcxxabi, libcxxrt
+{ lib, stdenv, llvm_meta
+, monorepoSrc, runCommand, substitute
+, cmake, lndir, ninja, python3, fixDarwinDylibNames, version
+, cxxabi ? if stdenv.hostPlatform.isFreeBSD then libcxxrt else null
+, libcxxrt, libunwind
, enableShared ? !stdenv.hostPlatform.isStatic
-
-# If headersOnly is true, the resulting package would only include the headers.
-# Use this to break the circular dependency between libcxx and libcxxabi.
-#
-# Some context:
-# https://reviews.llvm.org/rG1687f2bbe2e2aaa092f942d4a97d41fad43eedfb
-, headersOnly ? false
}:
-assert stdenv.isDarwin -> cxxabi.pname == "libcxxabi";
+# external cxxabi is not supported on Darwin as the build will not link libcxx
+# properly and not re-export the cxxabi symbols into libcxx
+# https://github.com/NixOS/nixpkgs/issues/166205
+# https://github.com/NixOS/nixpkgs/issues/269548
+assert cxxabi == null || !stdenv.hostPlatform.isDarwin;
+let
+ basename = "libcxx";
+ cxxabiName = "lib${if cxxabi == null then "cxxabi" else cxxabi.libName}";
+ runtimes = [ "libcxx" ] ++ lib.optional (cxxabi == null) "libcxxabi";
+
+ # Note: useLLVM is likely false for Darwin but true under pkgsLLVM
+ useLLVM = stdenv.hostPlatform.useLLVM or false;
+
+ cxxabiCMakeFlags = lib.optionals (useLLVM && !stdenv.hostPlatform.isWasm) [
+ "-DLIBCXXABI_USE_COMPILER_RT=ON"
+ "-DLIBCXXABI_USE_LLVM_UNWINDER=ON"
+ ] ++ lib.optionals stdenv.hostPlatform.isWasm [
+ "-DLIBCXXABI_ENABLE_THREADS=OFF"
+ "-DLIBCXXABI_ENABLE_EXCEPTIONS=OFF"
+ ] ++ lib.optionals (!enableShared) [
+ "-DLIBCXXABI_ENABLE_SHARED=OFF"
+ ];
+
+ cxxCMakeFlags = [
+ "-DLIBCXX_CXX_ABI=${cxxabiName}"
+ ] ++ lib.optionals (cxxabi != null) [
+ "-DLIBCXX_CXX_ABI_INCLUDE_PATHS=${lib.getDev cxxabi}/include"
+ ] ++ lib.optionals (stdenv.hostPlatform.isMusl || stdenv.hostPlatform.isWasi) [
+ "-DLIBCXX_HAS_MUSL_LIBC=1"
+ ] ++ lib.optionals useLLVM [
+ "-DLIBCXX_USE_COMPILER_RT=ON"
+ ] ++ lib.optionals stdenv.hostPlatform.isWasm [
+ "-DLIBCXX_ENABLE_THREADS=OFF"
+ "-DLIBCXX_ENABLE_FILESYSTEM=OFF"
+ "-DLIBCXX_ENABLE_EXCEPTIONS=OFF"
+ ] ++ lib.optionals (!enableShared) [
+ "-DLIBCXX_ENABLE_SHARED=OFF"
+ ];
+
+ cmakeFlags = [
+ "-DLLVM_ENABLE_RUNTIMES=${lib.concatStringsSep ";" runtimes}"
+ ] ++ lib.optionals (useLLVM && !stdenv.hostPlatform.isWasm) [
+ # libcxxabi's CMake looks as though it treats -nostdlib++ as implying -nostdlib,
+ # but that does not appear to be the case for example when building
+ # pkgsLLVM.libcxxabi (which uses clangNoCompilerRtWithLibc).
+ "-DCMAKE_EXE_LINKER_FLAGS=-nostdlib"
+ "-DCMAKE_SHARED_LINKER_FLAGS=-nostdlib"
+ ] ++ lib.optionals stdenv.hostPlatform.isWasm [
+ "-DCMAKE_C_COMPILER_WORKS=ON"
+ "-DCMAKE_CXX_COMPILER_WORKS=ON"
+ "-DUNIX=ON" # Required otherwise libc++ fails to detect the correct linker
+ ] ++ cxxCMakeFlags
+ ++ lib.optionals (cxxabi == null) cxxabiCMakeFlags;
+
+in
stdenv.mkDerivation rec {
- pname = if headersOnly then "cxx-headers" else "libcxx";
- inherit version;
+ pname = basename;
+ inherit version cmakeFlags;
- inherit src;
- sourceRoot = "${src.name}/libcxx";
+ src = runCommand "${pname}-src-${version}" {} (''
+ mkdir -p "$out/llvm"
+ cp -r ${monorepoSrc}/libcxx "$out"
+ cp -r ${monorepoSrc}/llvm/cmake "$out/llvm"
+ cp -r ${monorepoSrc}/llvm/utils "$out/llvm"
+ cp -r ${monorepoSrc}/runtimes "$out"
+ '' + lib.optionalString (cxxabi == null) ''
+ cp -r ${monorepoSrc}/libcxxabi "$out"
+ '');
- outputs = [ "out" ] ++ lib.optional (!headersOnly) "dev";
+ outputs = [ "out" "dev" ];
patches = [
- ./gnu-install-dirs.patch
+ (substitute {
+ src = ../../common/libcxxabi/wasm.patch;
+ replacements = [
+ "--replace-fail" "/cmake/" "/llvm/cmake/"
+ ];
+ })
] ++ lib.optionals stdenv.hostPlatform.isMusl [
- ../../libcxx-0001-musl-hacks.patch
+ (substitute {
+ src = ../../common/libcxx/libcxx-0001-musl-hacks.patch;
+ replacements = [
+ "--replace-fail" "/include/" "/libcxx/include/"
+ ];
+ })
];
+ postPatch = ''
+ cd runtimes
+ '';
+
preConfigure = lib.optionalString stdenv.hostPlatform.isMusl ''
patchShebangs utils/cat_files.py
'';
- nativeBuildInputs = [ cmake python3 ]
- ++ lib.optional stdenv.isDarwin fixDarwinDylibNames;
+ nativeBuildInputs = [ cmake ninja python3 ]
+ ++ lib.optional stdenv.isDarwin fixDarwinDylibNames
+ ++ lib.optional (cxxabi != null) lndir;
- buildInputs = lib.optionals (!headersOnly) [ cxxabi ];
+ buildInputs = [ cxxabi ]
+ ++ lib.optionals (useLLVM && !stdenv.hostPlatform.isWasm) [ libunwind ];
- cmakeFlags = [ "-DLIBCXX_CXX_ABI=${cxxabi.pname}" ]
- ++ lib.optional (stdenv.hostPlatform.isMusl || stdenv.hostPlatform.isWasi) "-DLIBCXX_HAS_MUSL_LIBC=1"
- ++ lib.optional (stdenv.hostPlatform.useLLVM or false) "-DLIBCXX_USE_COMPILER_RT=ON"
- ++ lib.optionals stdenv.hostPlatform.isWasm [
- "-DLIBCXX_ENABLE_THREADS=OFF"
- "-DLIBCXX_ENABLE_FILESYSTEM=OFF"
- "-DLIBCXX_ENABLE_EXCEPTIONS=OFF"
- ] ++ lib.optional (!enableShared) "-DLIBCXX_ENABLE_SHARED=OFF";
-
- buildFlags = lib.optional headersOnly "generate-cxx-headers";
- installTargets = lib.optional headersOnly "install-cxx-headers";
-
- preInstall = lib.optionalString (stdenv.isDarwin && !headersOnly) ''
- for file in lib/*.dylib; do
- if [ -L "$file" ]; then continue; fi
-
- baseName=$(basename $(${stdenv.cc.targetPrefix}otool -D $file | tail -n 1))
- installName="$out/lib/$baseName"
- abiName=$(echo "$baseName" | sed -e 's/libc++/libc++abi/')
-
- for other in $(${stdenv.cc.targetPrefix}otool -L $file | awk '$1 ~ "/libc\\+\\+abi" { print $1 }'); do
- ${stdenv.cc.targetPrefix}install_name_tool -change $other ${cxxabi}/lib/$abiName $file
- done
- done
- '';
-
- # At this point, cxxabi headers would be installed in the dev output, which
- # prevents moveToOutput from doing its job later in the build process.
- postInstall = lib.optionalString (!headersOnly) ''
- mv "$dev/include/c++/v1/"* "$out/include/c++/v1/"
- pushd "$dev"
- rmdir -p include/c++/v1
- popd
+ # libc++.so is a linker script which expands to multiple libraries,
+ # libc++.so.1 and libc++abi.so or the external cxxabi. ld-wrapper doesn't
+ # support linker scripts so the external cxxabi needs to be symlinked in
+ postInstall = lib.optionalString (cxxabi != null) ''
+ lndir ${lib.getDev cxxabi}/include ''${!outputDev}/include/c++/v1
+ lndir ${lib.getLib cxxabi}/lib ''${!outputLib}/lib
'';
passthru = {
isLLVM = true;
- inherit cxxabi;
};
meta = llvm_meta // {
@@ -84,7 +128,6 @@ stdenv.mkDerivation rec {
libc++ is an implementation of the C++ standard library, targeting C++11,
C++14 and above.
'';
-
# "All of the code in libc++ is dual licensed under the MIT license and the
# UIUC License (a BSD-like license)":
license = with lib.licenses; [ mit ncsa ];
diff --git a/pkgs/development/compilers/llvm/13/libcxx/gnu-install-dirs.patch b/pkgs/development/compilers/llvm/13/libcxx/gnu-install-dirs.patch
deleted file mode 100644
index 744967a6ee0b..000000000000
--- a/pkgs/development/compilers/llvm/13/libcxx/gnu-install-dirs.patch
+++ /dev/null
@@ -1,82 +0,0 @@
-diff --git a/CMakeLists.txt b/CMakeLists.txt
-index b1e25358d41a..7fe1f4d85401 100644
---- a/CMakeLists.txt
-+++ b/CMakeLists.txt
-@@ -26,6 +26,8 @@ set(LIBCXX_BINARY_INCLUDE_DIR "${LIBCXX_BINARY_DIR}/include/c++build")
- if (CMAKE_SOURCE_DIR STREQUAL CMAKE_CURRENT_SOURCE_DIR OR LIBCXX_STANDALONE_BUILD)
- project(libcxx CXX C)
-
-+ include(GNUInstallDirs)
-+
- set(PACKAGE_NAME libcxx)
- set(PACKAGE_VERSION 13.0.0git)
- set(PACKAGE_STRING "${PACKAGE_NAME} ${PACKAGE_VERSION}")
-@@ -412,7 +414,7 @@ if(LLVM_ENABLE_PER_TARGET_RUNTIME_DIR AND NOT APPLE)
- set(LIBCXX_LIBRARY_DIR ${LLVM_LIBRARY_OUTPUT_INTDIR}/${LLVM_DEFAULT_TARGET_TRIPLE})
- set(LIBCXX_GENERATED_INCLUDE_DIR "${LLVM_BINARY_DIR}/include/c++/v1")
- set(LIBCXX_GENERATED_INCLUDE_TARGET_DIR "${LLVM_BINARY_DIR}/include/${LLVM_DEFAULT_TARGET_TRIPLE}/c++/v1")
-- set(LIBCXX_INSTALL_LIBRARY_DIR lib${LLVM_LIBDIR_SUFFIX}/${LLVM_DEFAULT_TARGET_TRIPLE} CACHE PATH
-+ set(LIBCXX_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX}/${LLVM_DEFAULT_TARGET_TRIPLE} CACHE PATH
- "Path where built libc++ libraries should be installed.")
- set(LIBCXX_INSTALL_INCLUDE_DIR "include/c++/v1" CACHE PATH
- "Path where target-agnostic libc++ headers should be installed.")
-@@ -426,7 +428,7 @@ elseif(LLVM_LIBRARY_OUTPUT_INTDIR)
- set(LIBCXX_LIBRARY_DIR ${LLVM_LIBRARY_OUTPUT_INTDIR})
- set(LIBCXX_GENERATED_INCLUDE_DIR "${LLVM_BINARY_DIR}/include/c++/v1")
- set(LIBCXX_GENERATED_INCLUDE_TARGET_DIR "${LIBCXX_GENERATED_INCLUDE_DIR}")
-- set(LIBCXX_INSTALL_LIBRARY_DIR lib${LIBCXX_LIBDIR_SUFFIX} CACHE PATH
-+ set(LIBCXX_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LIBCXX_LIBDIR_SUFFIX} CACHE PATH
- "Path where built libc++ libraries should be installed.")
- set(LIBCXX_INSTALL_INCLUDE_DIR "include/c++/v1" CACHE PATH
- "Path where target-agnostic libc++ headers should be installed.")
-@@ -436,7 +438,7 @@ else()
- set(LIBCXX_LIBRARY_DIR ${CMAKE_BINARY_DIR}/lib${LIBCXX_LIBDIR_SUFFIX})
- set(LIBCXX_GENERATED_INCLUDE_DIR "${CMAKE_BINARY_DIR}/include/c++/v1")
- set(LIBCXX_GENERATED_INCLUDE_TARGET_DIR "${LIBCXX_GENERATED_INCLUDE_DIR}")
-- set(LIBCXX_INSTALL_LIBRARY_DIR lib${LIBCXX_LIBDIR_SUFFIX} CACHE PATH
-+ set(LIBCXX_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LIBCXX_LIBDIR_SUFFIX} CACHE PATH
- "Path where built libc++ libraries should be installed.")
- set(LIBCXX_INSTALL_INCLUDE_DIR "include/c++/v1" CACHE PATH
- "Path where target-agnostic libc++ headers should be installed.")
-diff --git a/cmake/Modules/HandleLibCXXABI.cmake b/cmake/Modules/HandleLibCXXABI.cmake
-index 5a8a4a270a1a..c06bae0001aa 100644
---- a/cmake/Modules/HandleLibCXXABI.cmake
-+++ b/cmake/Modules/HandleLibCXXABI.cmake
-@@ -63,7 +63,7 @@ macro(setup_abi_lib abidefines abishared abistatic abifiles abidirs)
-
- if (LIBCXX_INSTALL_HEADERS)
- install(FILES "${LIBCXX_BINARY_INCLUDE_DIR}/${fpath}"
-- DESTINATION include/c++/v1/${dstdir}
-+ DESTINATION ${CMAKE_INSTALL_INCLUDEDIR}/c++/v1/${dstdir}
- COMPONENT cxx-headers
- PERMISSIONS OWNER_READ OWNER_WRITE GROUP_READ WORLD_READ
- )
-diff --git a/src/CMakeLists.txt b/src/CMakeLists.txt
-index 496429f3841e..1a0c9c0a3159 100644
---- a/src/CMakeLists.txt
-+++ b/src/CMakeLists.txt
-@@ -376,21 +376,21 @@ if (LIBCXX_INSTALL_SHARED_LIBRARY)
- install(TARGETS cxx_shared
- ARCHIVE DESTINATION ${LIBCXX_INSTALL_LIBRARY_DIR} COMPONENT cxx
- LIBRARY DESTINATION ${LIBCXX_INSTALL_LIBRARY_DIR} COMPONENT cxx
-- RUNTIME DESTINATION bin COMPONENT cxx)
-+ RUNTIME DESTINATION ${CMAKE_INSTALL_BINDIR} COMPONENT cxx)
- endif()
-
- if (LIBCXX_INSTALL_STATIC_LIBRARY)
- install(TARGETS cxx_static
- ARCHIVE DESTINATION ${LIBCXX_INSTALL_LIBRARY_DIR} COMPONENT cxx
- LIBRARY DESTINATION ${LIBCXX_INSTALL_LIBRARY_DIR} COMPONENT cxx
-- RUNTIME DESTINATION bin COMPONENT cxx)
-+ RUNTIME DESTINATION ${CMAKE_INSTALL_BINDIR} COMPONENT cxx)
- endif()
-
- if(LIBCXX_INSTALL_EXPERIMENTAL_LIBRARY)
- install(TARGETS cxx_experimental
- LIBRARY DESTINATION ${LIBCXX_INSTALL_LIBRARY_DIR} COMPONENT cxx
- ARCHIVE DESTINATION ${LIBCXX_INSTALL_LIBRARY_DIR} COMPONENT cxx
-- RUNTIME DESTINATION bin COMPONENT cxx)
-+ RUNTIME DESTINATION ${CMAKE_INSTALL_BINDIR} COMPONENT cxx)
- endif()
-
- # NOTE: This install command must go after the cxx install command otherwise
diff --git a/pkgs/development/compilers/llvm/13/libcxxabi/default.nix b/pkgs/development/compilers/llvm/13/libcxxabi/default.nix
deleted file mode 100644
index 50f09831e974..000000000000
--- a/pkgs/development/compilers/llvm/13/libcxxabi/default.nix
+++ /dev/null
@@ -1,84 +0,0 @@
-{ lib, stdenv, llvm_meta, cmake, python3, src, cxx-headers, libunwind, version
-, enableShared ? !stdenv.hostPlatform.isStatic
-, standalone ? stdenv.hostPlatform.useLLVM or false
-, withLibunwind ? !stdenv.isDarwin && !stdenv.hostPlatform.isWasm
-}:
-
-stdenv.mkDerivation rec {
- pname = "libcxxabi";
- inherit version;
-
- inherit src;
- sourceRoot = "${src.name}/${pname}";
-
- outputs = [ "out" "dev" ];
-
- postUnpack = lib.optionalString stdenv.isDarwin ''
- export TRIPLE=x86_64-apple-darwin
- '' + lib.optionalString stdenv.hostPlatform.isWasm ''
- patch -p1 -d llvm -i ${../../common/libcxxabi/wasm.patch}
- '';
-
- patches = [
- ./gnu-install-dirs.patch
- ];
-
- nativeBuildInputs = [ cmake python3 ];
- buildInputs = lib.optional withLibunwind libunwind;
-
- cmakeFlags = [
- "-DLIBCXXABI_LIBCXX_INCLUDES=${cxx-headers}/include/c++/v1"
- ] ++ lib.optionals standalone [
- "-DLLVM_ENABLE_LIBCXX=ON"
- ] ++ lib.optionals (standalone && withLibunwind) [
- "-DLIBCXXABI_USE_LLVM_UNWINDER=ON"
- ] ++ lib.optionals stdenv.hostPlatform.isWasm [
- "-DLIBCXXABI_ENABLE_THREADS=OFF"
- "-DLIBCXXABI_ENABLE_EXCEPTIONS=OFF"
- ] ++ lib.optionals (!enableShared) [
- "-DLIBCXXABI_ENABLE_SHARED=OFF"
- ];
-
- preInstall = lib.optionalString stdenv.isDarwin ''
- for file in lib/*.dylib; do
- if [ -L "$file" ]; then continue; fi
-
- # Fix up the install name. Preserve the basename, just replace the path.
- installName="$out/lib/$(basename $(${stdenv.cc.targetPrefix}otool -D $file | tail -n 1))"
-
- # this should be done in CMake, but having trouble figuring out
- # the magic combination of necessary CMake variables
- # if you fancy a try, take a look at
- # https://gitlab.kitware.com/cmake/community/-/wikis/doc/cmake/RPATH-handling
- ${stdenv.cc.targetPrefix}install_name_tool -id $installName $file
-
- # cc-wrapper passes '-lc++abi' to all c++ link steps, but that causes
- # libcxxabi to sometimes link against a different version of itself.
- # Here we simply make that second reference point to ourselves.
- for other in $(${stdenv.cc.targetPrefix}otool -L $file | awk '$1 ~ "/libc\\+\\+abi" { print $1 }'); do
- ${stdenv.cc.targetPrefix}install_name_tool -change $other $installName $file
- done
- done
- '';
-
- postInstall = ''
- mkdir -p "$dev/include"
- install -m 644 ../include/${if stdenv.isDarwin then "*" else "cxxabi.h"} "$dev/include"
- '';
-
- passthru = {
- libName = "c++abi";
- };
-
- meta = llvm_meta // {
- homepage = "https://libcxxabi.llvm.org/";
- description = "Provides C++ standard library support";
- longDescription = ''
- libc++abi is a new implementation of low level support for a standard C++ library.
- '';
- # "All of the code in libc++abi is dual licensed under the MIT license and
- # the UIUC License (a BSD-like license)":
- license = with lib.licenses; [ mit ncsa ];
- maintainers = llvm_meta.maintainers ++ [ lib.maintainers.vlstill ];
- };
-}
diff --git a/pkgs/development/compilers/llvm/13/libcxxabi/gnu-install-dirs.patch b/pkgs/development/compilers/llvm/13/libcxxabi/gnu-install-dirs.patch
deleted file mode 100644
index 5d562dd59a99..000000000000
--- a/pkgs/development/compilers/llvm/13/libcxxabi/gnu-install-dirs.patch
+++ /dev/null
@@ -1,37 +0,0 @@
-diff --git a/CMakeLists.txt b/CMakeLists.txt
-index 9fb35860d483..5739d9e8af62 100644
---- a/CMakeLists.txt
-+++ b/CMakeLists.txt
-@@ -27,6 +27,8 @@ set(LIBCXXABI_LIBCXX_PATH "${CMAKE_CURRENT_LIST_DIR}/../libcxx" CACHE PATH
- if (CMAKE_SOURCE_DIR STREQUAL CMAKE_CURRENT_SOURCE_DIR OR LIBCXXABI_STANDALONE_BUILD)
- project(libcxxabi CXX C)
-
-+ include(GNUInstallDirs)
-+
- set(PACKAGE_NAME libcxxabi)
- set(PACKAGE_VERSION 11.0.0git)
- set(PACKAGE_STRING "${PACKAGE_NAME} ${PACKAGE_VERSION}")
-@@ -195,7 +197,7 @@ set(CMAKE_MODULE_PATH
- if(LLVM_ENABLE_PER_TARGET_RUNTIME_DIR AND NOT APPLE)
- set(LIBCXXABI_HEADER_DIR ${LLVM_BINARY_DIR})
- set(LIBCXXABI_LIBRARY_DIR ${LLVM_LIBRARY_OUTPUT_INTDIR}/${LLVM_DEFAULT_TARGET_TRIPLE})
-- set(LIBCXXABI_INSTALL_LIBRARY_DIR lib${LLVM_LIBDIR_SUFFIX}/${LLVM_DEFAULT_TARGET_TRIPLE} CACHE PATH
-+ set(LIBCXXABI_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX}/${LLVM_DEFAULT_TARGET_TRIPLE} CACHE PATH
- "Path where built libc++abi libraries should be installed.")
- if(LIBCXX_LIBDIR_SUBDIR)
- string(APPEND LIBCXXABI_LIBRARY_DIR /${LIBCXXABI_LIBDIR_SUBDIR})
-@@ -204,12 +206,12 @@ if(LLVM_ENABLE_PER_TARGET_RUNTIME_DIR AND NOT APPLE)
- elseif(LLVM_LIBRARY_OUTPUT_INTDIR)
- set(LIBCXXABI_HEADER_DIR ${LLVM_BINARY_DIR})
- set(LIBCXXABI_LIBRARY_DIR ${LLVM_LIBRARY_OUTPUT_INTDIR})
-- set(LIBCXXABI_INSTALL_LIBRARY_DIR lib${LIBCXXABI_LIBDIR_SUFFIX} CACHE PATH
-+ set(LIBCXXABI_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LIBCXXABI_LIBDIR_SUFFIX} CACHE PATH
- "Path where built libc++abi libraries should be installed.")
- else()
- set(LIBCXXABI_HEADER_DIR ${CMAKE_BINARY_DIR})
- set(LIBCXXABI_LIBRARY_DIR ${CMAKE_BINARY_DIR}/lib${LIBCXXABI_LIBDIR_SUFFIX})
-- set(LIBCXXABI_INSTALL_LIBRARY_DIR lib${LIBCXXABI_LIBDIR_SUFFIX} CACHE PATH
-+ set(LIBCXXABI_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LIBCXXABI_LIBDIR_SUFFIX} CACHE PATH
- "Path where built libc++abi libraries should be installed.")
- endif()
-
diff --git a/pkgs/development/compilers/llvm/13/llvm/default.nix b/pkgs/development/compilers/llvm/13/llvm/default.nix
index 1e71cdd89c6c..58e742b9b41e 100644
--- a/pkgs/development/compilers/llvm/13/llvm/default.nix
+++ b/pkgs/development/compilers/llvm/13/llvm/default.nix
@@ -81,7 +81,7 @@ in stdenv.mkDerivation (rec {
# of the flags used for the normal LLVM build. To avoid the need for building
# a native libLLVM.so (which would fail) we force llvm-config to be linked
# statically against the necessary LLVM components always.
- ../../llvm-config-link-static.patch
+ ../../common/llvm/llvm-config-link-static.patch
./gnu-install-dirs.patch
@@ -128,7 +128,7 @@ in stdenv.mkDerivation (rec {
rm unittests/IR/PassBuilderCallbacksTest.cpp
rm test/tools/llvm-objcopy/ELF/mirror-permissions-unix.test
'' + optionalString stdenv.hostPlatform.isMusl ''
- patch -p1 -i ${../../TLI-musl.patch}
+ patch -p1 -i ${../../common/llvm/TLI-musl.patch}
substituteInPlace unittests/Support/CMakeLists.txt \
--replace "add_subdirectory(DynamicLibrary)" ""
rm unittests/Support/DynamicLibrary/DynamicLibraryTest.cpp
@@ -164,6 +164,8 @@ in stdenv.mkDerivation (rec {
# E.g. mesa.drivers use the build-id as a cache key (see #93946):
LDFLAGS = optionalString (enableSharedLibraries && !stdenv.isDarwin) "-Wl,--build-id=sha1";
+ hardeningDisable = [ "trivialautovarinit" ];
+
cmakeBuildType = if debugVersion then "Debug" else "Release";
cmakeFlags = with stdenv; let
diff --git a/pkgs/development/compilers/llvm/14/clang/default.nix b/pkgs/development/compilers/llvm/14/clang/default.nix
index f63f55cfa546..829edb15d600 100644
--- a/pkgs/development/compilers/llvm/14/clang/default.nix
+++ b/pkgs/development/compilers/llvm/14/clang/default.nix
@@ -46,7 +46,7 @@ let
./gnu-install-dirs.patch
../../common/clang/add-nostdlibinc-flag.patch
(substituteAll {
- src = ../../clang-11-15-LLVMgold-path.patch;
+ src = ../../common/clang/clang-11-15-LLVMgold-path.patch;
libllvmLibdir = "${libllvm.lib}/lib";
})
];
diff --git a/pkgs/development/compilers/llvm/14/compiler-rt/default.nix b/pkgs/development/compilers/llvm/14/compiler-rt/default.nix
index 1652b3a7fac0..3482ed343c5a 100644
--- a/pkgs/development/compilers/llvm/14/compiler-rt/default.nix
+++ b/pkgs/development/compilers/llvm/14/compiler-rt/default.nix
@@ -1,6 +1,6 @@
{ lib, stdenv, llvm_meta, version
, monorepoSrc, runCommand
-, cmake, python3, xcbuild, libllvm, linuxHeaders, libcxxabi, libxcrypt
+, cmake, python3, xcbuild, libllvm, linuxHeaders, libxcrypt
, doFakeLibgcc ? stdenv.hostPlatform.isFreeBSD
}:
@@ -30,8 +30,7 @@ stdenv.mkDerivation {
nativeBuildInputs = [ cmake python3 libllvm.dev ]
++ lib.optional stdenv.isDarwin xcbuild.xcrun;
buildInputs =
- lib.optional (stdenv.hostPlatform.isLinux && stdenv.hostPlatform.isRiscV) linuxHeaders
- ++ lib.optional stdenv.hostPlatform.isDarwin libcxxabi;
+ lib.optional (stdenv.hostPlatform.isLinux && stdenv.hostPlatform.isRiscV) linuxHeaders;
env.NIX_CFLAGS_COMPILE = toString [
"-DSCUDO_DEFAULT_OPTIONS=DeleteSizeMismatch=0:DeallocationTypeMismatch=0"
@@ -128,6 +127,7 @@ stdenv.mkDerivation {
meta = llvm_meta // {
homepage = "https://compiler-rt.llvm.org/";
description = "Compiler runtime libraries";
+ mainProgram = "hwasan_symbolize";
longDescription = ''
The compiler-rt project provides highly tuned implementations of the
low-level code generator support routines like "__fixunsdfdi" and other
diff --git a/pkgs/development/compilers/llvm/14/default.nix b/pkgs/development/compilers/llvm/14/default.nix
index 090eec234886..66f5c7385a15 100644
--- a/pkgs/development/compilers/llvm/14/default.nix
+++ b/pkgs/development/compilers/llvm/14/default.nix
@@ -134,7 +134,6 @@ in let
cc = tools.clang-unwrapped;
libcxx = targetLlvmLibraries.libcxx;
extraPackages = [
- libcxx.cxxabi
targetLlvmLibraries.compiler-rt
];
extraBuildCommands = mkExtraBuildCommands cc;
@@ -200,7 +199,6 @@ in let
libcxx = targetLlvmLibraries.libcxx;
bintools = bintools';
extraPackages = [
- libcxx.cxxabi
targetLlvmLibraries.compiler-rt
] ++ lib.optionals (!stdenv.targetPlatform.isWasm) [
targetLlvmLibraries.libunwind
@@ -296,23 +294,7 @@ in let
libcxx = callPackage ./libcxx {
inherit llvm_meta;
- stdenv = if stdenv.hostPlatform.useLLVM or false
- then overrideCC stdenv buildLlvmTools.clangNoLibcxx
- else stdenv;
- };
-
- libcxxabi = let
- stdenv_ = if stdenv.hostPlatform.useLLVM or false
- then overrideCC stdenv buildLlvmTools.clangNoLibcxx
- else stdenv;
- cxx-headers = callPackage ./libcxx {
- inherit llvm_meta;
- stdenv = stdenv_;
- headersOnly = true;
- };
- in callPackage ./libcxxabi {
- stdenv = stdenv_;
- inherit llvm_meta cxx-headers;
+ stdenv = overrideCC stdenv buildLlvmTools.clangNoLibcxx;
};
libunwind = callPackage ./libunwind {
diff --git a/pkgs/development/compilers/llvm/14/libcxx/default.nix b/pkgs/development/compilers/llvm/14/libcxx/default.nix
index 3e42a8b1e43c..79eeadb1c593 100644
--- a/pkgs/development/compilers/llvm/14/libcxx/default.nix
+++ b/pkgs/development/compilers/llvm/14/libcxx/default.nix
@@ -1,87 +1,130 @@
{ lib, stdenv, llvm_meta
-, monorepoSrc, runCommand
-, cmake, python3, fixDarwinDylibNames, version
-, cxxabi ? if stdenv.hostPlatform.isFreeBSD then libcxxrt else libcxxabi
-, libcxxabi, libcxxrt
+, monorepoSrc, runCommand, substitute
+, cmake, lndir, ninja, python3, fixDarwinDylibNames, version
+, cxxabi ? if stdenv.hostPlatform.isFreeBSD then libcxxrt else null
+, libcxxrt, libunwind
, enableShared ? !stdenv.hostPlatform.isStatic
-
-# If headersOnly is true, the resulting package would only include the headers.
-# Use this to break the circular dependency between libcxx and libcxxabi.
-#
-# Some context:
-# https://reviews.llvm.org/rG1687f2bbe2e2aaa092f942d4a97d41fad43eedfb
-, headersOnly ? false
}:
+# external cxxabi is not supported on Darwin as the build will not link libcxx
+# properly and not re-export the cxxabi symbols into libcxx
+# https://github.com/NixOS/nixpkgs/issues/166205
+# https://github.com/NixOS/nixpkgs/issues/269548
+assert cxxabi == null || !stdenv.hostPlatform.isDarwin;
let
basename = "libcxx";
+ cxxabiName = "lib${if cxxabi == null then "cxxabi" else cxxabi.libName}";
+ runtimes = [ "libcxx" ] ++ lib.optional (cxxabi == null) "libcxxabi";
+
+ # Note: useLLVM is likely false for Darwin but true under pkgsLLVM
+ useLLVM = stdenv.hostPlatform.useLLVM or false;
+
+ cxxabiCMakeFlags = lib.optionals (useLLVM && !stdenv.hostPlatform.isWasm) [
+ "-DLIBCXXABI_USE_COMPILER_RT=ON"
+ "-DLIBCXXABI_USE_LLVM_UNWINDER=ON"
+ ] ++ lib.optionals stdenv.hostPlatform.isWasm [
+ "-DLIBCXXABI_ENABLE_THREADS=OFF"
+ "-DLIBCXXABI_ENABLE_EXCEPTIONS=OFF"
+ ] ++ lib.optionals (!enableShared) [
+ "-DLIBCXXABI_ENABLE_SHARED=OFF"
+ ];
+
+ cxxCMakeFlags = [
+ "-DLIBCXX_CXX_ABI=${cxxabiName}"
+ ] ++ lib.optionals (cxxabi != null) [
+ "-DLIBCXX_CXX_ABI_INCLUDE_PATHS=${lib.getDev cxxabi}/include"
+ ] ++ lib.optionals (stdenv.hostPlatform.isMusl || stdenv.hostPlatform.isWasi) [
+ "-DLIBCXX_HAS_MUSL_LIBC=1"
+ ] ++ lib.optionals useLLVM [
+ "-DLIBCXX_USE_COMPILER_RT=ON"
+ ] ++ lib.optionals stdenv.hostPlatform.isWasm [
+ "-DLIBCXX_ENABLE_THREADS=OFF"
+ "-DLIBCXX_ENABLE_FILESYSTEM=OFF"
+ "-DLIBCXX_ENABLE_EXCEPTIONS=OFF"
+ ] ++ lib.optionals (!enableShared) [
+ "-DLIBCXX_ENABLE_SHARED=OFF"
+ ];
+
+ cmakeFlags = [
+ "-DLLVM_ENABLE_RUNTIMES=${lib.concatStringsSep ";" runtimes}"
+ ] ++ lib.optionals (useLLVM && !stdenv.hostPlatform.isWasm) [
+ # libcxxabi's CMake looks as though it treats -nostdlib++ as implying -nostdlib,
+ # but that does not appear to be the case for example when building
+ # pkgsLLVM.libcxxabi (which uses clangNoCompilerRtWithLibc).
+ "-DCMAKE_EXE_LINKER_FLAGS=-nostdlib"
+ "-DCMAKE_SHARED_LINKER_FLAGS=-nostdlib"
+ ] ++ lib.optionals stdenv.hostPlatform.isWasm [
+ "-DCMAKE_C_COMPILER_WORKS=ON"
+ "-DCMAKE_CXX_COMPILER_WORKS=ON"
+ "-DUNIX=ON" # Required otherwise libc++ fails to detect the correct linker
+ ] ++ cxxCMakeFlags
+ ++ lib.optionals (cxxabi == null) cxxabiCMakeFlags;
+
in
-assert stdenv.isDarwin -> cxxabi.pname == "libcxxabi";
-
stdenv.mkDerivation rec {
- pname = basename + lib.optionalString headersOnly "-headers";
- inherit version;
+ pname = basename;
+ inherit version cmakeFlags;
- src = runCommand "${pname}-src-${version}" {} ''
- mkdir -p "$out"
- cp -r ${monorepoSrc}/cmake "$out"
- cp -r ${monorepoSrc}/${basename} "$out"
- mkdir -p "$out/libcxxabi"
- cp -r ${monorepoSrc}/libcxxabi/include "$out/libcxxabi"
+ src = runCommand "${pname}-src-${version}" {} (''
mkdir -p "$out/llvm"
+ cp -r ${monorepoSrc}/cmake "$out"
+ cp -r ${monorepoSrc}/libcxx "$out"
cp -r ${monorepoSrc}/llvm/cmake "$out/llvm"
cp -r ${monorepoSrc}/llvm/utils "$out/llvm"
- '';
+ cp -r ${monorepoSrc}/third-party "$out"
+ cp -r ${monorepoSrc}/runtimes "$out"
+ '' + lib.optionalString (cxxabi == null) ''
+ cp -r ${monorepoSrc}/libcxxabi "$out"
+ '');
- sourceRoot = "${src.name}/${basename}";
-
- outputs = [ "out" ] ++ lib.optional (!headersOnly) "dev";
+ outputs = [ "out" "dev" ];
patches = [
- ./gnu-install-dirs.patch
+ (substitute {
+ src = ../../common/libcxxabi/wasm.patch;
+ replacements = [
+ "--replace-fail" "/cmake/" "/llvm/cmake/"
+ ];
+ })
] ++ lib.optionals stdenv.hostPlatform.isMusl [
- ../../libcxx-0001-musl-hacks.patch
+ (substitute {
+ src = ../../common/libcxx/libcxx-0001-musl-hacks.patch;
+ replacements = [
+ "--replace-fail" "/include/" "/libcxx/include/"
+ ];
+ })
];
+ postPatch = ''
+ # fix CMake error when static and LIBCXXABI_USE_LLVM_UNWINDER=ON. aren't
+ # building unwind so don't need to depend on it
+ substituteInPlace libcxx/src/CMakeLists.txt \
+ --replace-fail "add_dependencies(cxx_static unwind)" "# add_dependencies(cxx_static unwind)"
+ cd runtimes
+ '';
+
preConfigure = lib.optionalString stdenv.hostPlatform.isMusl ''
patchShebangs utils/cat_files.py
'';
- nativeBuildInputs = [ cmake python3 ]
- ++ lib.optional stdenv.isDarwin fixDarwinDylibNames;
+ nativeBuildInputs = [ cmake ninja python3 ]
+ ++ lib.optional stdenv.isDarwin fixDarwinDylibNames
+ ++ lib.optional (cxxabi != null) lndir;
- buildInputs = lib.optionals (!headersOnly) [ cxxabi ];
+ buildInputs = [ cxxabi ]
+ ++ lib.optionals (useLLVM && !stdenv.hostPlatform.isWasm) [ libunwind ];
- cmakeFlags = [ "-DLIBCXX_CXX_ABI=${cxxabi.pname}" ]
- ++ lib.optional (stdenv.hostPlatform.isMusl || stdenv.hostPlatform.isWasi) "-DLIBCXX_HAS_MUSL_LIBC=1"
- ++ lib.optional (stdenv.hostPlatform.useLLVM or false) "-DLIBCXX_USE_COMPILER_RT=ON"
- ++ lib.optionals stdenv.hostPlatform.isWasm [
- "-DLIBCXX_ENABLE_THREADS=OFF"
- "-DLIBCXX_ENABLE_FILESYSTEM=OFF"
- "-DLIBCXX_ENABLE_EXCEPTIONS=OFF"
- ] ++ lib.optional (!enableShared) "-DLIBCXX_ENABLE_SHARED=OFF";
-
- buildFlags = lib.optional headersOnly "generate-cxx-headers";
- installTargets = lib.optional headersOnly "install-cxx-headers";
-
- preInstall = lib.optionalString (stdenv.isDarwin && !headersOnly) ''
- for file in lib/*.dylib; do
- if [ -L "$file" ]; then continue; fi
-
- baseName=$(basename $(${stdenv.cc.targetPrefix}otool -D $file | tail -n 1))
- installName="$out/lib/$baseName"
- abiName=$(echo "$baseName" | sed -e 's/libc++/libc++abi/')
-
- for other in $(${stdenv.cc.targetPrefix}otool -L $file | awk '$1 ~ "/libc\\+\\+abi" { print $1 }'); do
- ${stdenv.cc.targetPrefix}install_name_tool -change $other ${cxxabi}/lib/$abiName $file
- done
- done
+ # libc++.so is a linker script which expands to multiple libraries,
+ # libc++.so.1 and libc++abi.so or the external cxxabi. ld-wrapper doesn't
+ # support linker scripts so the external cxxabi needs to be symlinked in
+ postInstall = lib.optionalString (cxxabi != null) ''
+ lndir ${lib.getDev cxxabi}/include ''${!outputDev}/include/c++/v1
+ lndir ${lib.getLib cxxabi}/lib ''${!outputLib}/lib
'';
passthru = {
isLLVM = true;
- inherit cxxabi;
};
meta = llvm_meta // {
diff --git a/pkgs/development/compilers/llvm/14/libcxx/gnu-install-dirs.patch b/pkgs/development/compilers/llvm/14/libcxx/gnu-install-dirs.patch
deleted file mode 100644
index bddf55d1e605..000000000000
--- a/pkgs/development/compilers/llvm/14/libcxx/gnu-install-dirs.patch
+++ /dev/null
@@ -1,21 +0,0 @@
-diff --git a/CMakeLists.txt b/CMakeLists.txt
---- a/CMakeLists.txt
-+++ b/CMakeLists.txt
-@@ -436,7 +436,7 @@ if(LLVM_ENABLE_PER_TARGET_RUNTIME_DIR AND NOT APPLE)
- set(LIBCXX_LIBRARY_DIR ${LLVM_LIBRARY_OUTPUT_INTDIR}/${LLVM_DEFAULT_TARGET_TRIPLE})
- set(LIBCXX_GENERATED_INCLUDE_DIR "${LLVM_BINARY_DIR}/include/c++/v1")
- set(LIBCXX_GENERATED_INCLUDE_TARGET_DIR "${LLVM_BINARY_DIR}/include/${LLVM_DEFAULT_TARGET_TRIPLE}/c++/v1")
-- set(LIBCXX_INSTALL_LIBRARY_DIR lib${LLVM_LIBDIR_SUFFIX}/${LLVM_DEFAULT_TARGET_TRIPLE} CACHE PATH
-+ set(LIBCXX_INSTALL_LIBRARY_DIR "${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX}/${LLVM_DEFAULT_TARGET_TRIPLE}" CACHE PATH
- "Path where built libc++ libraries should be installed.")
- set(LIBCXX_INSTALL_INCLUDE_TARGET_DIR "${CMAKE_INSTALL_INCLUDEDIR}/${LLVM_DEFAULT_TARGET_TRIPLE}/c++/v1" CACHE PATH
- "Path where target-specific libc++ headers should be installed.")
-@@ -453,7 +453,7 @@ else()
- set(LIBCXX_GENERATED_INCLUDE_DIR "${CMAKE_BINARY_DIR}/include/c++/v1")
- endif()
- set(LIBCXX_GENERATED_INCLUDE_TARGET_DIR "${LIBCXX_GENERATED_INCLUDE_DIR}")
-- set(LIBCXX_INSTALL_LIBRARY_DIR lib${LIBCXX_LIBDIR_SUFFIX} CACHE PATH
-+ set(LIBCXX_INSTALL_LIBRARY_DIR "${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX}" CACHE PATH
- "Path where built libc++ libraries should be installed.")
- set(LIBCXX_INSTALL_INCLUDE_TARGET_DIR "${LIBCXX_INSTALL_INCLUDE_DIR}" CACHE PATH
- "Path where target-specific libc++ headers should be installed.")
diff --git a/pkgs/development/compilers/llvm/14/libcxxabi/default.nix b/pkgs/development/compilers/llvm/14/libcxxabi/default.nix
deleted file mode 100644
index 0f7cec3695d6..000000000000
--- a/pkgs/development/compilers/llvm/14/libcxxabi/default.nix
+++ /dev/null
@@ -1,103 +0,0 @@
-{ lib, stdenv, llvm_meta, cmake, python3
-, monorepoSrc, runCommand
-, cxx-headers, libunwind, version
-, enableShared ? !stdenv.hostPlatform.isStatic
-}:
-
-stdenv.mkDerivation rec {
- pname = "libcxxabi";
- inherit version;
-
- src = runCommand "${pname}-src-${version}" {} ''
- mkdir -p "$out"
- cp -r ${monorepoSrc}/cmake "$out"
- cp -r ${monorepoSrc}/${pname} "$out"
- mkdir -p "$out/libcxx/src"
- cp -r ${monorepoSrc}/libcxx/cmake "$out/libcxx"
- cp -r ${monorepoSrc}/libcxx/include "$out/libcxx"
- cp -r ${monorepoSrc}/libcxx/src/include "$out/libcxx/src"
- mkdir -p "$out/llvm"
- cp -r ${monorepoSrc}/llvm/cmake "$out/llvm"
- '';
-
- sourceRoot = "${src.name}/${pname}";
-
- outputs = [ "out" "dev" ];
-
- postUnpack = lib.optionalString stdenv.isDarwin ''
- export TRIPLE=x86_64-apple-darwin
- '' + lib.optionalString stdenv.hostPlatform.isWasm ''
- patch -p1 -d llvm -i ${../../common/libcxxabi/wasm.patch}
- '';
-
- patches = [
- ./gnu-install-dirs.patch
- ];
-
- nativeBuildInputs = [ cmake python3 ];
- buildInputs = lib.optional (!stdenv.isDarwin && !stdenv.hostPlatform.isWasm) libunwind;
-
- cmakeFlags = [
- "-DLIBCXXABI_LIBCXX_INCLUDES=${cxx-headers}/include/c++/v1"
- ] ++ lib.optionals (stdenv.hostPlatform.useLLVM or false) [
- "-DLLVM_ENABLE_LIBCXX=ON"
- "-DLIBCXXABI_USE_LLVM_UNWINDER=ON"
- ] ++ lib.optionals stdenv.hostPlatform.isWasm [
- "-DLIBCXXABI_ENABLE_THREADS=OFF"
- "-DLIBCXXABI_ENABLE_EXCEPTIONS=OFF"
- ] ++ lib.optionals (!enableShared) [
- "-DLIBCXXABI_ENABLE_SHARED=OFF"
- ];
-
- installPhase = if stdenv.isDarwin
- then ''
- for file in lib/*.dylib; do
- if [ -L "$file" ]; then continue; fi
-
- # Fix up the install name. Preserve the basename, just replace the path.
- installName="$out/lib/$(basename $(${stdenv.cc.targetPrefix}otool -D $file | tail -n 1))"
-
- # this should be done in CMake, but having trouble figuring out
- # the magic combination of necessary CMake variables
- # if you fancy a try, take a look at
- # https://gitlab.kitware.com/cmake/community/-/wikis/doc/cmake/RPATH-handling
- ${stdenv.cc.targetPrefix}install_name_tool -id $installName $file
-
- # cc-wrapper passes '-lc++abi' to all c++ link steps, but that causes
- # libcxxabi to sometimes link against a different version of itself.
- # Here we simply make that second reference point to ourselves.
- for other in $(${stdenv.cc.targetPrefix}otool -L $file | awk '$1 ~ "/libc\\+\\+abi" { print $1 }'); do
- ${stdenv.cc.targetPrefix}install_name_tool -change $other $installName $file
- done
- done
-
- make install
- install -d 755 $out/include
- install -m 644 ../include/*.h $out/include
- ''
- else ''
- install -d -m 755 $out/include $out/lib
- install -m 644 lib/libc++abi.a $out/lib
- install -m 644 ../include/cxxabi.h $out/include
- '' + lib.optionalString enableShared ''
- install -m 644 lib/libc++abi.so.1.0 $out/lib
- ln -s libc++abi.so.1.0 $out/lib/libc++abi.so
- ln -s libc++abi.so.1.0 $out/lib/libc++abi.so.1
- '';
-
- passthru = {
- libName = "c++abi";
- };
-
- meta = llvm_meta // {
- homepage = "https://libcxxabi.llvm.org/";
- description = "Provides C++ standard library support";
- longDescription = ''
- libc++abi is a new implementation of low level support for a standard C++ library.
- '';
- # "All of the code in libc++abi is dual licensed under the MIT license and
- # the UIUC License (a BSD-like license)":
- license = with lib.licenses; [ mit ncsa ];
- maintainers = llvm_meta.maintainers ++ [ lib.maintainers.vlstill ];
- };
-}
diff --git a/pkgs/development/compilers/llvm/14/libcxxabi/gnu-install-dirs.patch b/pkgs/development/compilers/llvm/14/libcxxabi/gnu-install-dirs.patch
deleted file mode 100644
index bd25c903d5bc..000000000000
--- a/pkgs/development/compilers/llvm/14/libcxxabi/gnu-install-dirs.patch
+++ /dev/null
@@ -1,22 +0,0 @@
-diff --git a/CMakeLists.txt b/CMakeLists.txt
-index ecbc7091864e..53ba2dbc3bd1 100644
---- a/CMakeLists.txt
-+++ b/CMakeLists.txt
-@@ -216,7 +216,7 @@ set(LIBCXXABI_INSTALL_RUNTIME_DIR "${CMAKE_INSTALL_BINDIR}" CACHE PATH
- if(LLVM_ENABLE_PER_TARGET_RUNTIME_DIR AND NOT APPLE)
- set(LIBCXXABI_HEADER_DIR ${LLVM_BINARY_DIR})
- set(LIBCXXABI_LIBRARY_DIR ${LLVM_LIBRARY_OUTPUT_INTDIR}/${LLVM_DEFAULT_TARGET_TRIPLE})
-- set(LIBCXXABI_INSTALL_LIBRARY_DIR lib${LLVM_LIBDIR_SUFFIX}/${LLVM_DEFAULT_TARGET_TRIPLE} CACHE PATH
-+ set(LIBCXXABI_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX}/${LLVM_DEFAULT_TARGET_TRIPLE} CACHE PATH
- "Path where built libc++abi libraries should be installed.")
- if(LIBCXX_LIBDIR_SUBDIR)
- string(APPEND LIBCXXABI_LIBRARY_DIR /${LIBCXXABI_LIBDIR_SUBDIR})
-@@ -230,7 +230,7 @@ else()
- set(LIBCXXABI_HEADER_DIR ${CMAKE_BINARY_DIR})
- set(LIBCXXABI_LIBRARY_DIR ${CMAKE_BINARY_DIR}/lib${LIBCXXABI_LIBDIR_SUFFIX})
- endif()
-- set(LIBCXXABI_INSTALL_LIBRARY_DIR lib${LIBCXXABI_LIBDIR_SUFFIX} CACHE PATH
-+ set(LIBCXXABI_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LIBCXXABI_LIBDIR_SUFFIX} CACHE PATH
- "Path where built libc++abi libraries should be installed.")
- endif()
-
diff --git a/pkgs/development/compilers/llvm/14/llvm/default.nix b/pkgs/development/compilers/llvm/14/llvm/default.nix
index eab49d326ad4..124d07e4bb2b 100644
--- a/pkgs/development/compilers/llvm/14/llvm/default.nix
+++ b/pkgs/development/compilers/llvm/14/llvm/default.nix
@@ -94,6 +94,12 @@ in stdenv.mkDerivation (rec {
relative = "llvm";
hash = "sha256-XPbvNJ45SzjMGlNUgt/IgEvM2dHQpDOe6woUJY+nUYA=";
})
+ # fix RuntimeDyld usage on aarch64-linux (e.g. python312Packages.numba tests)
+ (fetchpatch {
+ url = "https://github.com/llvm/llvm-project/commit/2e1b838a889f9793d4bcd5dbfe10db9796b77143.patch";
+ relative = "llvm";
+ hash = "sha256-Ot45P/iwaR4hkcM3xtLwfryQNgHI6pv6ADjv98tgdZA=";
+ })
] ++ lib.optional enablePolly ./gnu-install-dirs-polly.patch;
postPatch = optionalString stdenv.isDarwin ''
@@ -110,7 +116,7 @@ in stdenv.mkDerivation (rec {
rm unittests/IR/PassBuilderCallbacksTest.cpp
rm test/tools/llvm-objcopy/ELF/mirror-permissions-unix.test
'' + optionalString stdenv.hostPlatform.isMusl ''
- patch -p1 -i ${../../TLI-musl.patch}
+ patch -p1 -i ${../../common/llvm/TLI-musl.patch}
substituteInPlace unittests/Support/CMakeLists.txt \
--replace "add_subdirectory(DynamicLibrary)" ""
rm unittests/Support/DynamicLibrary/DynamicLibraryTest.cpp
@@ -162,6 +168,8 @@ in stdenv.mkDerivation (rec {
# E.g. mesa.drivers use the build-id as a cache key (see #93946):
LDFLAGS = optionalString (enableSharedLibraries && !stdenv.isDarwin) "-Wl,--build-id=sha1";
+ hardeningDisable = [ "trivialautovarinit" ];
+
cmakeBuildType = if debugVersion then "Debug" else "Release";
cmakeFlags = with stdenv; let
diff --git a/pkgs/development/compilers/llvm/15/clang/default.nix b/pkgs/development/compilers/llvm/15/clang/default.nix
index 9ec15a393004..de789edaa9ff 100644
--- a/pkgs/development/compilers/llvm/15/clang/default.nix
+++ b/pkgs/development/compilers/llvm/15/clang/default.nix
@@ -52,7 +52,7 @@ let
./gnu-install-dirs.patch
../../common/clang/add-nostdlibinc-flag.patch
(substituteAll {
- src = ../../clang-11-15-LLVMgold-path.patch;
+ src = ../../common/clang/clang-11-15-LLVMgold-path.patch;
libllvmLibdir = "${libllvm.lib}/lib";
})
];
diff --git a/pkgs/development/compilers/llvm/15/compiler-rt/default.nix b/pkgs/development/compilers/llvm/15/compiler-rt/default.nix
index fbf25786efee..d257c69cbcd0 100644
--- a/pkgs/development/compilers/llvm/15/compiler-rt/default.nix
+++ b/pkgs/development/compilers/llvm/15/compiler-rt/default.nix
@@ -1,6 +1,6 @@
{ lib, stdenv, llvm_meta, version
, monorepoSrc, runCommand
-, cmake, ninja, python3, xcbuild, libllvm, linuxHeaders, libcxxabi, libxcrypt
+, cmake, ninja, python3, xcbuild, libllvm, linuxHeaders, libxcrypt
, doFakeLibgcc ? stdenv.hostPlatform.isFreeBSD
}:
@@ -30,8 +30,7 @@ stdenv.mkDerivation {
nativeBuildInputs = [ cmake ninja python3 libllvm.dev ]
++ lib.optional stdenv.isDarwin xcbuild.xcrun;
buildInputs =
- lib.optional (stdenv.hostPlatform.isLinux && stdenv.hostPlatform.isRiscV) linuxHeaders
- ++ lib.optional stdenv.hostPlatform.isDarwin libcxxabi;
+ lib.optional (stdenv.hostPlatform.isLinux && stdenv.hostPlatform.isRiscV) linuxHeaders;
env.NIX_CFLAGS_COMPILE = toString [
"-DSCUDO_DEFAULT_OPTIONS=DeleteSizeMismatch=0:DeallocationTypeMismatch=0"
@@ -127,6 +126,7 @@ stdenv.mkDerivation {
meta = llvm_meta // {
homepage = "https://compiler-rt.llvm.org/";
description = "Compiler runtime libraries";
+ mainProgram = "hwasan_symbolize";
longDescription = ''
The compiler-rt project provides highly tuned implementations of the
low-level code generator support routines like "__fixunsdfdi" and other
diff --git a/pkgs/development/compilers/llvm/15/default.nix b/pkgs/development/compilers/llvm/15/default.nix
index d9ca0819f2e0..0ccb9c449a8d 100644
--- a/pkgs/development/compilers/llvm/15/default.nix
+++ b/pkgs/development/compilers/llvm/15/default.nix
@@ -139,7 +139,6 @@ in let
cc = tools.clang-unwrapped;
libcxx = targetLlvmLibraries.libcxx;
extraPackages = [
- libcxx.cxxabi
targetLlvmLibraries.compiler-rt
];
extraBuildCommands = mkExtraBuildCommands cc;
@@ -205,7 +204,6 @@ in let
libcxx = targetLlvmLibraries.libcxx;
bintools = bintools';
extraPackages = [
- libcxx.cxxabi
targetLlvmLibraries.compiler-rt
] ++ lib.optionals (!stdenv.targetPlatform.isWasm) [
targetLlvmLibraries.libunwind
@@ -309,45 +307,7 @@ in let
libcxxStdenv = overrideCC stdenv buildLlvmTools.libcxxClang;
- libcxxabi = let
- # CMake will "require" a compiler capable of compiling C++ programs
- # cxx-header's build does not actually use one so it doesn't really matter
- # what stdenv we use here, as long as CMake is happy.
- cxx-headers = callPackage ./libcxx {
- inherit llvm_meta;
- # Note that if we use the regular stdenv here we'll get cycle errors
- # when attempting to use this compiler in the stdenv.
- #
- # The final stdenv pulls `cxx-headers` from the package set where
- # hostPlatform *is* the target platform which means that `stdenv` at
- # that point attempts to use this toolchain.
- #
- # So, we use `stdenv_` (the stdenv containing `clang` from this package
- # set, defined below) to sidestep this issue.
- #
- # Because we only use `cxx-headers` in `libcxxabi` (which depends on the
- # clang stdenv _anyways_), this is okay.
- stdenv = stdenv_;
- headersOnly = true;
- };
-
- # `libcxxabi` *doesn't* need a compiler with a working C++ stdlib but it
- # *does* need a relatively modern C++ compiler (see:
- # https://releases.llvm.org/15.0.0/projects/libcxx/docs/index.html#platform-and-compiler-support).
- #
- # So, we use the clang from this LLVM package set, like libc++
- # "boostrapping builds" do:
- # https://releases.llvm.org/15.0.0/projects/libcxx/docs/BuildingLibcxx.html#bootstrapping-build
- #
- # We cannot use `clangNoLibcxx` because that contains `compiler-rt` which,
- # on macOS, depends on `libcxxabi`, thus forming a cycle.
- stdenv_ = overrideCC stdenv buildLlvmTools.clangNoCompilerRtWithLibc;
- in callPackage ./libcxxabi {
- stdenv = stdenv_;
- inherit llvm_meta cxx-headers;
- };
-
- # Like `libcxxabi` above, `libcxx` requires a fairly modern C++ compiler,
+ # `libcxx` requires a fairly modern C++ compiler,
# so: we use the clang from this LLVM package set instead of the regular
# stdenv's compiler.
libcxx = callPackage ./libcxx {
diff --git a/pkgs/development/compilers/llvm/15/libcxx/default.nix b/pkgs/development/compilers/llvm/15/libcxx/default.nix
index be56770039d8..89181bcbdbbf 100644
--- a/pkgs/development/compilers/llvm/15/libcxx/default.nix
+++ b/pkgs/development/compilers/llvm/15/libcxx/default.nix
@@ -1,75 +1,111 @@
{ lib, stdenv, llvm_meta
-, monorepoSrc, runCommand, fetchpatch
-, cmake, ninja, python3, fixDarwinDylibNames, version
-, cxxabi ? if stdenv.hostPlatform.isFreeBSD then libcxxrt else libcxxabi
-, libcxxabi, libcxxrt, libunwind
+, monorepoSrc, runCommand, fetchpatch, substitute
+, cmake, lndir, ninja, python3, fixDarwinDylibNames, version
+, cxxabi ? if stdenv.hostPlatform.isFreeBSD then libcxxrt else null
+, libcxxrt, libunwind
, enableShared ? !stdenv.hostPlatform.isStatic
-
-# If headersOnly is true, the resulting package would only include the headers.
-# Use this to break the circular dependency between libcxx and libcxxabi.
-#
-# Some context:
-# https://reviews.llvm.org/rG1687f2bbe2e2aaa092f942d4a97d41fad43eedfb
-, headersOnly ? false
}:
+# external cxxabi is not supported on Darwin as the build will not link libcxx
+# properly and not re-export the cxxabi symbols into libcxx
+# https://github.com/NixOS/nixpkgs/issues/166205
+# https://github.com/NixOS/nixpkgs/issues/269548
+assert cxxabi == null || !stdenv.hostPlatform.isDarwin;
let
basename = "libcxx";
+ cxxabiName = "lib${if cxxabi == null then "cxxabi" else cxxabi.libName}";
+ runtimes = [ "libcxx" ] ++ lib.optional (cxxabi == null) "libcxxabi";
+
+ # Note: useLLVM is likely false for Darwin but true under pkgsLLVM
+ useLLVM = stdenv.hostPlatform.useLLVM or false;
+
+ cxxabiCMakeFlags = lib.optionals (useLLVM && !stdenv.hostPlatform.isWasm) [
+ "-DLIBCXXABI_USE_COMPILER_RT=ON"
+ "-DLIBCXXABI_USE_LLVM_UNWINDER=ON"
+ ] ++ lib.optionals stdenv.hostPlatform.isWasm [
+ "-DLIBCXXABI_ENABLE_THREADS=OFF"
+ "-DLIBCXXABI_ENABLE_EXCEPTIONS=OFF"
+ ] ++ lib.optionals (!enableShared) [
+ "-DLIBCXXABI_ENABLE_SHARED=OFF"
+ ];
+
+ cxxCMakeFlags = [
+ "-DLIBCXX_CXX_ABI=${cxxabiName}"
+ ] ++ lib.optionals (cxxabi != null) [
+ "-DLIBCXX_CXX_ABI_INCLUDE_PATHS=${lib.getDev cxxabi}/include"
+ ] ++ lib.optionals (stdenv.hostPlatform.isMusl || stdenv.hostPlatform.isWasi) [
+ "-DLIBCXX_HAS_MUSL_LIBC=1"
+ ] ++ lib.optionals useLLVM [
+ "-DLIBCXX_USE_COMPILER_RT=ON"
+ ] ++ lib.optionals stdenv.hostPlatform.isWasm [
+ "-DLIBCXX_ENABLE_THREADS=OFF"
+ "-DLIBCXX_ENABLE_FILESYSTEM=OFF"
+ "-DLIBCXX_ENABLE_EXCEPTIONS=OFF"
+ ] ++ lib.optionals (!enableShared) [
+ "-DLIBCXX_ENABLE_SHARED=OFF"
+ ];
+
+ cmakeFlags = [
+ "-DLLVM_ENABLE_RUNTIMES=${lib.concatStringsSep ";" runtimes}"
+ ] ++ lib.optionals (useLLVM && !stdenv.hostPlatform.isWasm) [
+ # libcxxabi's CMake looks as though it treats -nostdlib++ as implying -nostdlib,
+ # but that does not appear to be the case for example when building
+ # pkgsLLVM.libcxxabi (which uses clangNoCompilerRtWithLibc).
+ "-DCMAKE_EXE_LINKER_FLAGS=-nostdlib"
+ "-DCMAKE_SHARED_LINKER_FLAGS=-nostdlib"
+ ] ++ lib.optionals stdenv.hostPlatform.isWasm [
+ "-DCMAKE_C_COMPILER_WORKS=ON"
+ "-DCMAKE_CXX_COMPILER_WORKS=ON"
+ "-DUNIX=ON" # Required otherwise libc++ fails to detect the correct linker
+ ] ++ cxxCMakeFlags
+ ++ lib.optionals (cxxabi == null) cxxabiCMakeFlags;
+
in
-assert stdenv.isDarwin -> cxxabi.libName == "c++abi";
-
stdenv.mkDerivation rec {
- pname = basename + lib.optionalString headersOnly "-headers";
- inherit version;
+ pname = basename;
+ inherit version cmakeFlags;
- src = runCommand "${pname}-src-${version}" {} ''
- mkdir -p "$out"
- cp -r ${monorepoSrc}/cmake "$out"
- cp -r ${monorepoSrc}/${basename} "$out"
- mkdir -p "$out/libcxxabi"
- cp -r ${monorepoSrc}/libcxxabi/include "$out/libcxxabi"
+ src = runCommand "${pname}-src-${version}" {} (''
mkdir -p "$out/llvm"
+ cp -r ${monorepoSrc}/cmake "$out"
+ cp -r ${monorepoSrc}/libcxx "$out"
cp -r ${monorepoSrc}/llvm/cmake "$out/llvm"
cp -r ${monorepoSrc}/llvm/utils "$out/llvm"
cp -r ${monorepoSrc}/third-party "$out"
cp -r ${monorepoSrc}/runtimes "$out"
- '';
+ '' + lib.optionalString (cxxabi == null) ''
+ cp -r ${monorepoSrc}/libcxxabi "$out"
+ '');
- sourceRoot = "${src.name}/runtimes";
-
- outputs = [ "out" ] ++ lib.optional (!headersOnly) "dev";
-
- prePatch = ''
- cd ../${basename}
- chmod -R u+w .
- '';
+ outputs = [ "out" "dev" ];
patches = [
- ./gnu-install-dirs.patch
# See:
# - https://reviews.llvm.org/D133566
# - https://github.com/NixOS/nixpkgs/issues/214524#issuecomment-1429146432
# !!! Drop in LLVM 16+
(fetchpatch {
url = "https://github.com/llvm/llvm-project/commit/57c7bb3ec89565c68f858d316504668f9d214d59.patch";
- hash = "sha256-AaM9A6tQ4YAw7uDqCIV4VaiUyLZv+unwcOqbakwW9/k=";
- relative = "libcxx";
+ hash = "sha256-B07vHmSjy5BhhkGSj3e1E0XmMv5/9+mvC/k70Z29VwY=";
})
- # fix for https://github.com/NixOS/nixpkgs/issues/269548
- # https://github.com/llvm/llvm-project/pull/77218
- (fetchpatch {
- name = "darwin-system-libcxxabi-link-flags.patch";
- url = "https://github.com/llvm/llvm-project/commit/c5b89b29ee6e3c444a355fd1cf733ce7ab2e316a.patch";
- hash = "sha256-LNoPg1KCoP8RWxU/AzHR52f4Dww24I9BGQJedMhFxyQ=";
- relative = "libcxx";
+ (substitute {
+ src = ../../common/libcxxabi/wasm.patch;
+ replacements = [
+ "--replace-fail" "/cmake/" "/llvm/cmake/"
+ ];
})
] ++ lib.optionals stdenv.hostPlatform.isMusl [
- ../../libcxx-0001-musl-hacks.patch
+ (substitute {
+ src = ../../common/libcxx/libcxx-0001-musl-hacks.patch;
+ replacements = [
+ "--replace-fail" "/include/" "/libcxx/include/"
+ ];
+ })
];
postPatch = ''
- cd ../runtimes
+ cd runtimes
'';
preConfigure = lib.optionalString stdenv.hostPlatform.isMusl ''
@@ -77,52 +113,22 @@ stdenv.mkDerivation rec {
'';
nativeBuildInputs = [ cmake ninja python3 ]
- ++ lib.optional stdenv.isDarwin fixDarwinDylibNames;
+ ++ lib.optional stdenv.isDarwin fixDarwinDylibNames
+ ++ lib.optional (cxxabi != null) lndir;
- buildInputs =
- lib.optionals (!headersOnly) [ cxxabi ]
- ++ lib.optionals (stdenv.hostPlatform.useLLVM or false) [ libunwind ];
+ buildInputs = [ cxxabi ]
+ ++ lib.optionals (useLLVM && !stdenv.hostPlatform.isWasm) [ libunwind ];
- cmakeFlags = let
- # See: https://libcxx.llvm.org/BuildingLibcxx.html#cmdoption-arg-libcxx-cxx-abi-string
- libcxx_cxx_abi_opt = {
- "c++abi" = "system-libcxxabi";
- "cxxrt" = "libcxxrt";
- }.${cxxabi.libName} or (throw "unknown cxxabi: ${cxxabi.libName} (${cxxabi.pname})");
- in [
- "-DLLVM_ENABLE_RUNTIMES=libcxx"
- "-DLIBCXX_CXX_ABI=${if headersOnly then "none" else libcxx_cxx_abi_opt}"
- ] ++ lib.optional (!headersOnly && cxxabi.libName == "c++abi") "-DLIBCXX_CXX_ABI_INCLUDE_PATHS=${cxxabi.dev}/include/c++/v1"
- ++ lib.optional (stdenv.hostPlatform.isMusl || stdenv.hostPlatform.isWasi) "-DLIBCXX_HAS_MUSL_LIBC=1"
- ++ lib.optionals (stdenv.hostPlatform.useLLVM or false) [
- "-DLIBCXX_USE_COMPILER_RT=ON"
- # (Backport fix from 16, which has LIBCXX_ADDITIONAL_LIBRARIES, but 15
- # does not appear to)
- # There's precedent for this in llvm-project/libcxx/cmake/caches.
- # In a monorepo build you might do the following in the libcxxabi build:
- # -DLLVM_ENABLE_PROJECTS=libcxxabi;libunwind
- # -DLIBCXXABI_STATICALLY_LINK_UNWINDER_IN_STATIC_LIBRARY=On
- # libcxx appears to require unwind and doesn't pull it in via other means.
- # "-DLIBCXX_ADDITIONAL_LIBRARIES=unwind"
- "-DCMAKE_SHARED_LINKER_FLAGS=-lunwind"
- ] ++ lib.optionals stdenv.hostPlatform.isWasm [
- "-DLIBCXX_ENABLE_THREADS=OFF"
- "-DLIBCXX_ENABLE_FILESYSTEM=OFF"
- "-DLIBCXX_ENABLE_EXCEPTIONS=OFF"
- ] ++ lib.optional (!enableShared) "-DLIBCXX_ENABLE_SHARED=OFF"
- # If we're only building the headers we don't actually *need* a functioning
- # C/C++ compiler:
- ++ lib.optionals (headersOnly) [
- "-DCMAKE_C_COMPILER_WORKS=ON"
- "-DCMAKE_CXX_COMPILER_WORKS=ON"
- ];
-
- ninjaFlags = lib.optional headersOnly "generate-cxx-headers";
- installTargets = lib.optional headersOnly "install-cxx-headers";
+ # libc++.so is a linker script which expands to multiple libraries,
+ # libc++.so.1 and libc++abi.so or the external cxxabi. ld-wrapper doesn't
+ # support linker scripts so the external cxxabi needs to be symlinked in
+ postInstall = lib.optionalString (cxxabi != null) ''
+ lndir ${lib.getDev cxxabi}/include ''${!outputDev}/include/c++/v1
+ lndir ${lib.getLib cxxabi}/lib ''${!outputLib}/lib
+ '';
passthru = {
isLLVM = true;
- inherit cxxabi;
};
meta = llvm_meta // {
diff --git a/pkgs/development/compilers/llvm/15/libcxx/gnu-install-dirs.patch b/pkgs/development/compilers/llvm/15/libcxx/gnu-install-dirs.patch
deleted file mode 100644
index daee5bdd0ed3..000000000000
--- a/pkgs/development/compilers/llvm/15/libcxx/gnu-install-dirs.patch
+++ /dev/null
@@ -1,22 +0,0 @@
-diff --git a/CMakeLists.txt b/CMakeLists.txt
-index 74eff2002fc9..c935d10878bb 100644
---- a/CMakeLists.txt
-+++ b/CMakeLists.txt
-@@ -419,7 +419,7 @@ if(LLVM_ENABLE_PER_TARGET_RUNTIME_DIR AND NOT APPLE)
- set(LIBCXX_LIBRARY_DIR ${LLVM_LIBRARY_OUTPUT_INTDIR}/${LLVM_DEFAULT_TARGET_TRIPLE})
- set(LIBCXX_GENERATED_INCLUDE_DIR "${LLVM_BINARY_DIR}/include/c++/v1")
- set(LIBCXX_GENERATED_INCLUDE_TARGET_DIR "${LLVM_BINARY_DIR}/include/${LLVM_DEFAULT_TARGET_TRIPLE}/c++/v1")
-- set(LIBCXX_INSTALL_LIBRARY_DIR lib${LLVM_LIBDIR_SUFFIX}/${LLVM_DEFAULT_TARGET_TRIPLE} CACHE PATH
-+ set(LIBCXX_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX}/${LLVM_DEFAULT_TARGET_TRIPLE} CACHE PATH
- "Path where built libc++ libraries should be installed.")
- set(LIBCXX_INSTALL_INCLUDE_TARGET_DIR "${CMAKE_INSTALL_INCLUDEDIR}/${LLVM_DEFAULT_TARGET_TRIPLE}/c++/v1" CACHE PATH
- "Path where target-specific libc++ headers should be installed.")
-@@ -436,7 +436,7 @@ else()
- set(LIBCXX_GENERATED_INCLUDE_DIR "${CMAKE_BINARY_DIR}/include/c++/v1")
- endif()
- set(LIBCXX_GENERATED_INCLUDE_TARGET_DIR "${LIBCXX_GENERATED_INCLUDE_DIR}")
-- set(LIBCXX_INSTALL_LIBRARY_DIR lib${LIBCXX_LIBDIR_SUFFIX} CACHE PATH
-+ set(LIBCXX_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LIBCXX_LIBDIR_SUFFIX} CACHE PATH
- "Path where built libc++ libraries should be installed.")
- set(LIBCXX_INSTALL_INCLUDE_TARGET_DIR "${LIBCXX_INSTALL_INCLUDE_DIR}" CACHE PATH
- "Path where target-specific libc++ headers should be installed.")
diff --git a/pkgs/development/compilers/llvm/15/libcxxabi/default.nix b/pkgs/development/compilers/llvm/15/libcxxabi/default.nix
deleted file mode 100644
index 04bfee14aa18..000000000000
--- a/pkgs/development/compilers/llvm/15/libcxxabi/default.nix
+++ /dev/null
@@ -1,113 +0,0 @@
-{ lib, stdenv, llvm_meta, cmake, ninja, python3
-, monorepoSrc, runCommand, fetchpatch
-, cxx-headers, libunwind, version
-, enableShared ? !stdenv.hostPlatform.isStatic
-}:
-
-stdenv.mkDerivation rec {
- pname = "libcxxabi";
- inherit version;
-
- src = runCommand "${pname}-src-${version}" {} ''
- mkdir -p "$out"
- cp -r ${monorepoSrc}/cmake "$out"
- cp -r ${monorepoSrc}/${pname} "$out"
- mkdir -p "$out/libcxx/src"
- cp -r ${monorepoSrc}/libcxx/cmake "$out/libcxx"
- cp -r ${monorepoSrc}/libcxx/include "$out/libcxx"
- cp -r ${monorepoSrc}/libcxx/src/include "$out/libcxx/src"
- mkdir -p "$out/llvm"
- cp -r ${monorepoSrc}/llvm/cmake "$out/llvm"
- cp -r ${monorepoSrc}/llvm/utils "$out/llvm"
- cp -r ${monorepoSrc}/runtimes "$out"
- '';
-
- sourceRoot = "${src.name}/runtimes";
-
- outputs = [ "out" "dev" ];
-
- postUnpack = lib.optionalString stdenv.isDarwin ''
- export TRIPLE=x86_64-apple-darwin
- '' + lib.optionalString stdenv.hostPlatform.isWasm ''
- patch -p1 -d llvm -i ${../../common/libcxxabi/wasm.patch}
- '';
-
- prePatch = ''
- cd ../${pname}
- chmod -R u+w .
- '';
-
- patches = [
- ./gnu-install-dirs.patch
-
- # https://reviews.llvm.org/D132298, Allow building libcxxabi alone
- (fetchpatch {
- url = "https://github.com/llvm/llvm-project/commit/e6a0800532bb409f6d1c62f3698bdd6994a877dc.patch";
- sha256 = "1xyjd56m4pfwq8p3xh6i8lhkk9kq15jaml7qbhxdf87z4jjkk63a";
- stripLen = 1;
- })
- ];
-
- postPatch = ''
- cd ../runtimes
- '';
-
- nativeBuildInputs = [ cmake ninja python3 ];
- buildInputs = lib.optional (!stdenv.isDarwin && !stdenv.hostPlatform.isWasm) libunwind;
-
- cmakeFlags = [
- "-DLLVM_ENABLE_RUNTIMES=libcxxabi"
- "-DLIBCXXABI_LIBCXX_INCLUDES=${cxx-headers}/include/c++/v1"
-
- # `libcxxabi`'s build does not need a toolchain with a c++ stdlib attached
- # (we specify the headers it should use explicitly above).
- #
- # CMake however checks for this anyways; this flag tells it not to. See:
- # https://github.com/llvm/llvm-project/blob/4bd3f3759259548e159aeba5c76efb9a0864e6fa/llvm/runtimes/CMakeLists.txt#L243
- "-DCMAKE_CXX_COMPILER_WORKS=ON"
- ] ++ lib.optionals (stdenv.hostPlatform.useLLVM or false) [
- "-DLLVM_ENABLE_LIBCXX=ON"
- "-DLIBCXXABI_USE_LLVM_UNWINDER=ON"
- # libcxxabi's CMake looks as though it treats -nostdlib++ as implying -nostdlib,
- # but that does not appear to be the case for example when building
- # pkgsLLVM.libcxxabi (which uses clangNoCompilerRtWithLibc).
- "-DCMAKE_EXE_LINKER_FLAGS=-nostdlib"
- "-DCMAKE_SHARED_LINKER_FLAGS=-nostdlib"
- ] ++ lib.optionals stdenv.hostPlatform.isWasm [
- "-DLIBCXXABI_ENABLE_THREADS=OFF"
- "-DLIBCXXABI_ENABLE_EXCEPTIONS=OFF"
- ] ++ lib.optionals (!enableShared) [
- "-DLIBCXXABI_ENABLE_SHARED=OFF"
- ];
-
- preInstall = lib.optionalString stdenv.isDarwin ''
- for file in lib/*.dylib; do
- # this should be done in CMake, but having trouble figuring out
- # the magic combination of necessary CMake variables
- # if you fancy a try, take a look at
- # https://gitlab.kitware.com/cmake/community/-/wikis/doc/cmake/RPATH-handling
- install_name_tool -id $out/$file $file
- done
- '';
-
- postInstall = ''
- mkdir -p "$dev/include"
- install -m 644 ../../${pname}/include/${if stdenv.isDarwin then "*" else "cxxabi.h"} "$dev/include"
- '';
-
- passthru = {
- libName = "c++abi";
- };
-
- meta = llvm_meta // {
- homepage = "https://libcxxabi.llvm.org/";
- description = "Provides C++ standard library support";
- longDescription = ''
- libc++abi is a new implementation of low level support for a standard C++ library.
- '';
- # "All of the code in libc++abi is dual licensed under the MIT license and
- # the UIUC License (a BSD-like license)":
- license = with lib.licenses; [ mit ncsa ];
- maintainers = llvm_meta.maintainers ++ [ lib.maintainers.vlstill ];
- };
-}
diff --git a/pkgs/development/compilers/llvm/15/libcxxabi/gnu-install-dirs.patch b/pkgs/development/compilers/llvm/15/libcxxabi/gnu-install-dirs.patch
deleted file mode 100644
index fa587612aaf6..000000000000
--- a/pkgs/development/compilers/llvm/15/libcxxabi/gnu-install-dirs.patch
+++ /dev/null
@@ -1,22 +0,0 @@
-diff --git a/CMakeLists.txt b/CMakeLists.txt
-index b8326d08d23a..a1e36f713161 100644
---- a/CMakeLists.txt
-+++ b/CMakeLists.txt
-@@ -187,7 +187,7 @@ set(LIBCXXABI_INSTALL_RUNTIME_DIR "${CMAKE_INSTALL_BINDIR}" CACHE PATH
- if(LLVM_ENABLE_PER_TARGET_RUNTIME_DIR AND NOT APPLE)
- set(LIBCXXABI_HEADER_DIR ${LLVM_BINARY_DIR})
- set(LIBCXXABI_LIBRARY_DIR ${LLVM_LIBRARY_OUTPUT_INTDIR}/${LLVM_DEFAULT_TARGET_TRIPLE})
-- set(LIBCXXABI_INSTALL_LIBRARY_DIR lib${LLVM_LIBDIR_SUFFIX}/${LLVM_DEFAULT_TARGET_TRIPLE} CACHE PATH
-+ set(LIBCXXABI_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX}/${LLVM_DEFAULT_TARGET_TRIPLE} CACHE PATH
- "Path where built libc++abi libraries should be installed.")
- if(LIBCXX_LIBDIR_SUBDIR)
- string(APPEND LIBCXXABI_LIBRARY_DIR /${LIBCXXABI_LIBDIR_SUBDIR})
-@@ -201,7 +201,7 @@ else()
- set(LIBCXXABI_HEADER_DIR ${CMAKE_BINARY_DIR})
- set(LIBCXXABI_LIBRARY_DIR ${CMAKE_BINARY_DIR}/lib${LIBCXXABI_LIBDIR_SUFFIX})
- endif()
-- set(LIBCXXABI_INSTALL_LIBRARY_DIR lib${LIBCXXABI_LIBDIR_SUFFIX} CACHE PATH
-+ set(LIBCXXABI_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LIBCXXABI_LIBDIR_SUFFIX} CACHE PATH
- "Path where built libc++abi libraries should be installed.")
- endif()
-
diff --git a/pkgs/development/compilers/llvm/15/llvm/default.nix b/pkgs/development/compilers/llvm/15/llvm/default.nix
index 4f17678ba14f..d7ebcbcf8b76 100644
--- a/pkgs/development/compilers/llvm/15/llvm/default.nix
+++ b/pkgs/development/compilers/llvm/15/llvm/default.nix
@@ -230,7 +230,7 @@ in stdenv.mkDerivation (rec {
# timing-based tests are trouble
rm utils/lit/tests/googletest-timeout.py
'' + optionalString stdenv.hostPlatform.isMusl ''
- patch -p1 -i ${../../TLI-musl.patch}
+ patch -p1 -i ${../../common/llvm/TLI-musl.patch}
substituteInPlace unittests/Support/CMakeLists.txt \
--replace "add_subdirectory(DynamicLibrary)" ""
rm unittests/Support/DynamicLibrary/DynamicLibraryTest.cpp
@@ -300,6 +300,8 @@ in stdenv.mkDerivation (rec {
# E.g. mesa.drivers use the build-id as a cache key (see #93946):
LDFLAGS = optionalString (enableSharedLibraries && !stdenv.isDarwin) "-Wl,--build-id=sha1";
+ hardeningDisable = [ "trivialautovarinit" ];
+
cmakeBuildType = if debugVersion then "Debug" else "Release";
cmakeFlags = with stdenv; let
diff --git a/pkgs/development/compilers/llvm/16/clang/default.nix b/pkgs/development/compilers/llvm/16/clang/default.nix
index 43c497b92761..c5d9af356d9e 100644
--- a/pkgs/development/compilers/llvm/16/clang/default.nix
+++ b/pkgs/development/compilers/llvm/16/clang/default.nix
@@ -52,7 +52,7 @@ let
./gnu-install-dirs.patch
../../common/clang/add-nostdlibinc-flag.patch
(substituteAll {
- src = ../../clang-at-least-16-LLVMgold-path.patch;
+ src = ../../common/clang/clang-at-least-16-LLVMgold-path.patch;
libllvmLibdir = "${libllvm.lib}/lib";
})
];
diff --git a/pkgs/development/compilers/llvm/16/compiler-rt/default.nix b/pkgs/development/compilers/llvm/16/compiler-rt/default.nix
index 0f15a9e12cde..2a15e5302b46 100644
--- a/pkgs/development/compilers/llvm/16/compiler-rt/default.nix
+++ b/pkgs/development/compilers/llvm/16/compiler-rt/default.nix
@@ -1,6 +1,6 @@
{ lib, stdenv, llvm_meta, version
, monorepoSrc, runCommand
-, cmake, ninja, python3, xcbuild, libllvm, linuxHeaders, libcxxabi, libxcrypt
+, cmake, ninja, python3, xcbuild, libllvm, linuxHeaders, libxcrypt
, doFakeLibgcc ? stdenv.hostPlatform.isFreeBSD
}:
@@ -31,8 +31,7 @@ stdenv.mkDerivation {
nativeBuildInputs = [ cmake ninja python3 libllvm.dev ]
++ lib.optional stdenv.isDarwin xcbuild.xcrun;
buildInputs =
- lib.optional (stdenv.hostPlatform.isLinux && stdenv.hostPlatform.isRiscV) linuxHeaders
- ++ lib.optional stdenv.hostPlatform.isDarwin libcxxabi;
+ lib.optional (stdenv.hostPlatform.isLinux && stdenv.hostPlatform.isRiscV) linuxHeaders;
env.NIX_CFLAGS_COMPILE = toString ([
"-DSCUDO_DEFAULT_OPTIONS=DeleteSizeMismatch=0:DeallocationTypeMismatch=0"
@@ -141,6 +140,7 @@ stdenv.mkDerivation {
meta = llvm_meta // {
homepage = "https://compiler-rt.llvm.org/";
description = "Compiler runtime libraries";
+ mainProgram = "hwasan_symbolize";
longDescription = ''
The compiler-rt project provides highly tuned implementations of the
low-level code generator support routines like "__fixunsdfdi" and other
diff --git a/pkgs/development/compilers/llvm/16/default.nix b/pkgs/development/compilers/llvm/16/default.nix
index db9385043be2..66185071f22b 100644
--- a/pkgs/development/compilers/llvm/16/default.nix
+++ b/pkgs/development/compilers/llvm/16/default.nix
@@ -139,7 +139,6 @@ in let
cc = tools.clang-unwrapped;
libcxx = targetLlvmLibraries.libcxx;
extraPackages = [
- libcxx.cxxabi
targetLlvmLibraries.compiler-rt
];
extraBuildCommands = mkExtraBuildCommands cc;
@@ -149,6 +148,10 @@ in let
inherit llvm_meta;
};
+ mlir = callPackage ../common/mlir {
+ inherit llvm_meta;
+ };
+
lldb = callPackage ../common/lldb.nix {
src = callPackage ({ runCommand }: runCommand "lldb-src-${version}" {} ''
mkdir -p "$out"
@@ -210,7 +213,6 @@ in let
libcxx = targetLlvmLibraries.libcxx;
bintools = bintools';
extraPackages = [
- libcxx.cxxabi
targetLlvmLibraries.compiler-rt
] ++ lib.optionals (!stdenv.targetPlatform.isWasm) [
targetLlvmLibraries.libunwind
@@ -320,45 +322,7 @@ in let
libcxxStdenv = overrideCC stdenv buildLlvmTools.libcxxClang;
- libcxxabi = let
- # CMake will "require" a compiler capable of compiling C++ programs
- # cxx-header's build does not actually use one so it doesn't really matter
- # what stdenv we use here, as long as CMake is happy.
- cxx-headers = callPackage ./libcxx {
- inherit llvm_meta;
- # Note that if we use the regular stdenv here we'll get cycle errors
- # when attempting to use this compiler in the stdenv.
- #
- # The final stdenv pulls `cxx-headers` from the package set where
- # hostPlatform *is* the target platform which means that `stdenv` at
- # that point attempts to use this toolchain.
- #
- # So, we use `stdenv_` (the stdenv containing `clang` from this package
- # set, defined below) to sidestep this issue.
- #
- # Because we only use `cxx-headers` in `libcxxabi` (which depends on the
- # clang stdenv _anyways_), this is okay.
- stdenv = stdenv_;
- headersOnly = true;
- };
-
- # `libcxxabi` *doesn't* need a compiler with a working C++ stdlib but it
- # *does* need a relatively modern C++ compiler (see:
- # https://releases.llvm.org/15.0.0/projects/libcxx/docs/index.html#platform-and-compiler-support).
- #
- # So, we use the clang from this LLVM package set, like libc++
- # "boostrapping builds" do:
- # https://releases.llvm.org/15.0.0/projects/libcxx/docs/BuildingLibcxx.html#bootstrapping-build
- #
- # We cannot use `clangNoLibcxx` because that contains `compiler-rt` which,
- # on macOS, depends on `libcxxabi`, thus forming a cycle.
- stdenv_ = overrideCC stdenv buildLlvmTools.clangNoCompilerRtWithLibc;
- in callPackage ./libcxxabi {
- stdenv = stdenv_;
- inherit llvm_meta cxx-headers;
- };
-
- # Like `libcxxabi` above, `libcxx` requires a fairly modern C++ compiler,
+ # `libcxx` requires a fairly modern C++ compiler,
# so: we use the clang from this LLVM package set instead of the regular
# stdenv's compiler.
libcxx = callPackage ./libcxx {
diff --git a/pkgs/development/compilers/llvm/16/libcxx/default.nix b/pkgs/development/compilers/llvm/16/libcxx/default.nix
index d6c8c57c1743..146424113ec4 100644
--- a/pkgs/development/compilers/llvm/16/libcxx/default.nix
+++ b/pkgs/development/compilers/llvm/16/libcxx/default.nix
@@ -1,115 +1,114 @@
{ lib, stdenv, llvm_meta
, monorepoSrc, runCommand, fetchpatch
-, cmake, ninja, python3, fixDarwinDylibNames, version
-, cxxabi ? if stdenv.hostPlatform.isFreeBSD then libcxxrt else libcxxabi
-, libcxxabi, libcxxrt, libunwind
+, cmake, lndir, ninja, python3, fixDarwinDylibNames, version
+, cxxabi ? if stdenv.hostPlatform.isFreeBSD then libcxxrt else null
+, libcxxrt, libunwind
, enableShared ? !stdenv.hostPlatform.isStatic
-
-# If headersOnly is true, the resulting package would only include the headers.
-# Use this to break the circular dependency between libcxx and libcxxabi.
-#
-# Some context:
-# https://reviews.llvm.org/rG1687f2bbe2e2aaa092f942d4a97d41fad43eedfb
-, headersOnly ? false
}:
+# external cxxabi is not supported on Darwin as the build will not link libcxx
+# properly and not re-export the cxxabi symbols into libcxx
+# https://github.com/NixOS/nixpkgs/issues/166205
+# https://github.com/NixOS/nixpkgs/issues/269548
+assert cxxabi == null || !stdenv.hostPlatform.isDarwin;
let
basename = "libcxx";
+ cxxabiName = "lib${if cxxabi == null then "cxxabi" else cxxabi.libName}";
+ runtimes = [ "libcxx" ] ++ lib.optional (cxxabi == null) "libcxxabi";
+
+ # Note: useLLVM is likely false for Darwin but true under pkgsLLVM
+ useLLVM = stdenv.hostPlatform.useLLVM or false;
+
+ cxxabiCMakeFlags = lib.optionals (useLLVM && !stdenv.hostPlatform.isWasm) [
+ "-DLIBCXXABI_USE_COMPILER_RT=ON"
+ "-DLIBCXXABI_USE_LLVM_UNWINDER=ON"
+ ] ++ lib.optionals stdenv.hostPlatform.isWasm [
+ "-DLIBCXXABI_ENABLE_THREADS=OFF"
+ "-DLIBCXXABI_ENABLE_EXCEPTIONS=OFF"
+ ] ++ lib.optionals (!enableShared) [
+ "-DLIBCXXABI_ENABLE_SHARED=OFF"
+ ];
+
+ cxxCMakeFlags = [
+ "-DLIBCXX_CXX_ABI=${cxxabiName}"
+ ] ++ lib.optionals (cxxabi != null) [
+ "-DLIBCXX_CXX_ABI_INCLUDE_PATHS=${lib.getDev cxxabi}/include"
+ ] ++ lib.optionals (stdenv.hostPlatform.isMusl || stdenv.hostPlatform.isWasi) [
+ "-DLIBCXX_HAS_MUSL_LIBC=1"
+ ] ++ lib.optionals useLLVM [
+ "-DLIBCXX_USE_COMPILER_RT=ON"
+ # There's precedent for this in llvm-project/libcxx/cmake/caches.
+ # In a monorepo build you might do the following in the libcxxabi build:
+ # -DLLVM_ENABLE_PROJECTS=libcxxabi;libunwinder
+ # -DLIBCXXABI_STATICALLY_LINK_UNWINDER_IN_STATIC_LIBRARY=On
+ # libcxx appears to require unwind and doesn't pull it in via other means.
+ "-DLIBCXX_ADDITIONAL_LIBRARIES=unwind"
+ ] ++ lib.optionals stdenv.hostPlatform.isWasm [
+ "-DLIBCXX_ENABLE_THREADS=OFF"
+ "-DLIBCXX_ENABLE_FILESYSTEM=OFF"
+ "-DLIBCXX_ENABLE_EXCEPTIONS=OFF"
+ ] ++ lib.optionals (!enableShared) [
+ "-DLIBCXX_ENABLE_SHARED=OFF"
+ ];
+
+ cmakeFlags = [
+ "-DLLVM_ENABLE_RUNTIMES=${lib.concatStringsSep ";" runtimes}"
+ ] ++ lib.optionals (useLLVM && !stdenv.hostPlatform.isWasm) [
+ # libcxxabi's CMake looks as though it treats -nostdlib++ as implying -nostdlib,
+ # but that does not appear to be the case for example when building
+ # pkgsLLVM.libcxxabi (which uses clangNoCompilerRtWithLibc).
+ "-DCMAKE_EXE_LINKER_FLAGS=-nostdlib"
+ "-DCMAKE_SHARED_LINKER_FLAGS=-nostdlib"
+ ] ++ lib.optionals stdenv.hostPlatform.isWasm [
+ "-DCMAKE_C_COMPILER_WORKS=ON"
+ "-DCMAKE_CXX_COMPILER_WORKS=ON"
+ "-DUNIX=ON" # Required otherwise libc++ fails to detect the correct linker
+ ] ++ cxxCMakeFlags
+ ++ lib.optionals (cxxabi == null) cxxabiCMakeFlags;
+
in
-assert stdenv.isDarwin -> cxxabi.libName == "c++abi";
-
stdenv.mkDerivation rec {
- pname = basename + lib.optionalString headersOnly "-headers";
- inherit version;
+ pname = basename;
+ inherit version cmakeFlags;
- src = runCommand "${pname}-src-${version}" {} ''
- mkdir -p "$out"
- cp -r ${monorepoSrc}/cmake "$out"
- cp -r ${monorepoSrc}/${basename} "$out"
- mkdir -p "$out/libcxxabi"
- cp -r ${monorepoSrc}/libcxxabi/include "$out/libcxxabi"
+ src = runCommand "${pname}-src-${version}" {} (''
mkdir -p "$out/llvm"
+ cp -r ${monorepoSrc}/cmake "$out"
+ cp -r ${monorepoSrc}/libcxx "$out"
cp -r ${monorepoSrc}/llvm/cmake "$out/llvm"
cp -r ${monorepoSrc}/llvm/utils "$out/llvm"
cp -r ${monorepoSrc}/third-party "$out"
cp -r ${monorepoSrc}/runtimes "$out"
- '';
+ '' + lib.optionalString (cxxabi == null) ''
+ cp -r ${monorepoSrc}/libcxxabi "$out"
+ '');
sourceRoot = "${src.name}/runtimes";
- outputs = [ "out" ] ++ lib.optional (!headersOnly) "dev";
-
- prePatch = ''
- cd ../${basename}
- chmod -R u+w .
- '';
-
- patches = [
- ./gnu-install-dirs.patch
- # fix for https://github.com/NixOS/nixpkgs/issues/269548
- # https://github.com/llvm/llvm-project/pull/77218
- (fetchpatch {
- name = "darwin-system-libcxxabi-link-flags.patch";
- url = "https://github.com/llvm/llvm-project/commit/c5b89b29ee6e3c444a355fd1cf733ce7ab2e316a.patch";
- hash = "sha256-LNoPg1KCoP8RWxU/AzHR52f4Dww24I9BGQJedMhFxyQ=";
- relative = "libcxx";
- })
- ];
-
- postPatch = ''
- cd ../runtimes
- '';
+ outputs = [ "out" "dev" ];
preConfigure = lib.optionalString stdenv.hostPlatform.isMusl ''
patchShebangs utils/cat_files.py
'';
nativeBuildInputs = [ cmake ninja python3 ]
- ++ lib.optional stdenv.isDarwin fixDarwinDylibNames;
+ ++ lib.optional stdenv.isDarwin fixDarwinDylibNames
+ ++ lib.optional (cxxabi != null) lndir;
- buildInputs =
- lib.optionals (!headersOnly) [ cxxabi ]
- ++ lib.optionals (stdenv.hostPlatform.useLLVM or false && !stdenv.hostPlatform.isWasm) [ libunwind ];
+ buildInputs = [ cxxabi ]
+ ++ lib.optionals (useLLVM && !stdenv.hostPlatform.isWasm) [ libunwind ];
- cmakeFlags = let
- # See: https://libcxx.llvm.org/BuildingLibcxx.html#cmdoption-arg-libcxx-cxx-abi-string
- libcxx_cxx_abi_opt = {
- "c++abi" = "system-libcxxabi";
- "cxxrt" = "libcxxrt";
- }.${cxxabi.libName} or (throw "unknown cxxabi: ${cxxabi.libName} (${cxxabi.pname})");
- in [
- "-DLLVM_ENABLE_RUNTIMES=libcxx"
- "-DLIBCXX_CXX_ABI=${if headersOnly then "none" else libcxx_cxx_abi_opt}"
- ] ++ lib.optional (!headersOnly && cxxabi.libName == "c++abi") "-DLIBCXX_CXX_ABI_INCLUDE_PATHS=${cxxabi.dev}/include/c++/v1"
- ++ lib.optional (stdenv.hostPlatform.isMusl || stdenv.hostPlatform.isWasi) "-DLIBCXX_HAS_MUSL_LIBC=1"
- ++ lib.optionals (stdenv.hostPlatform.useLLVM or false) [
- "-DLIBCXX_USE_COMPILER_RT=ON"
- # There's precedent for this in llvm-project/libcxx/cmake/caches.
- # In a monorepo build you might do the following in the libcxxabi build:
- # -DLLVM_ENABLE_PROJECTS=libcxxabi;libunwinder
- # -DLIBCXXABI_STATICALLY_LINK_UNWINDER_IN_STATIC_LIBRARY=On
- # libcxx appears to require unwind and doesn't pull it in via other means.
- "-DLIBCXX_ADDITIONAL_LIBRARIES=unwind"
- ] ++ lib.optionals stdenv.hostPlatform.isWasm [
- "-DLIBCXX_ENABLE_THREADS=OFF"
- "-DLIBCXX_ENABLE_FILESYSTEM=OFF"
- "-DLIBCXX_ENABLE_EXCEPTIONS=OFF"
- "-DUNIX=ON" # Required otherwise libc++ fails to detect the correct linker
- ] ++ lib.optional (!enableShared) "-DLIBCXX_ENABLE_SHARED=OFF"
- # If we're only building the headers we don't actually *need* a functioning
- # C/C++ compiler:
- ++ lib.optionals (headersOnly) [
- "-DCMAKE_C_COMPILER_WORKS=ON"
- "-DCMAKE_CXX_COMPILER_WORKS=ON"
- ];
-
- ninjaFlags = lib.optional headersOnly "generate-cxx-headers";
- installTargets = lib.optional headersOnly "install-cxx-headers";
+ # libc++.so is a linker script which expands to multiple libraries,
+ # libc++.so.1 and libc++abi.so or the external cxxabi. ld-wrapper doesn't
+ # support linker scripts so the external cxxabi needs to be symlinked in
+ postInstall = lib.optionalString (cxxabi != null) ''
+ lndir ${lib.getDev cxxabi}/include ''${!outputDev}/include/c++/v1
+ lndir ${lib.getLib cxxabi}/lib ''${!outputLib}/lib
+ '';
passthru = {
isLLVM = true;
- inherit cxxabi;
};
meta = llvm_meta // {
diff --git a/pkgs/development/compilers/llvm/16/libcxx/gnu-install-dirs.patch b/pkgs/development/compilers/llvm/16/libcxx/gnu-install-dirs.patch
deleted file mode 100644
index daee5bdd0ed3..000000000000
--- a/pkgs/development/compilers/llvm/16/libcxx/gnu-install-dirs.patch
+++ /dev/null
@@ -1,22 +0,0 @@
-diff --git a/CMakeLists.txt b/CMakeLists.txt
-index 74eff2002fc9..c935d10878bb 100644
---- a/CMakeLists.txt
-+++ b/CMakeLists.txt
-@@ -419,7 +419,7 @@ if(LLVM_ENABLE_PER_TARGET_RUNTIME_DIR AND NOT APPLE)
- set(LIBCXX_LIBRARY_DIR ${LLVM_LIBRARY_OUTPUT_INTDIR}/${LLVM_DEFAULT_TARGET_TRIPLE})
- set(LIBCXX_GENERATED_INCLUDE_DIR "${LLVM_BINARY_DIR}/include/c++/v1")
- set(LIBCXX_GENERATED_INCLUDE_TARGET_DIR "${LLVM_BINARY_DIR}/include/${LLVM_DEFAULT_TARGET_TRIPLE}/c++/v1")
-- set(LIBCXX_INSTALL_LIBRARY_DIR lib${LLVM_LIBDIR_SUFFIX}/${LLVM_DEFAULT_TARGET_TRIPLE} CACHE PATH
-+ set(LIBCXX_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX}/${LLVM_DEFAULT_TARGET_TRIPLE} CACHE PATH
- "Path where built libc++ libraries should be installed.")
- set(LIBCXX_INSTALL_INCLUDE_TARGET_DIR "${CMAKE_INSTALL_INCLUDEDIR}/${LLVM_DEFAULT_TARGET_TRIPLE}/c++/v1" CACHE PATH
- "Path where target-specific libc++ headers should be installed.")
-@@ -436,7 +436,7 @@ else()
- set(LIBCXX_GENERATED_INCLUDE_DIR "${CMAKE_BINARY_DIR}/include/c++/v1")
- endif()
- set(LIBCXX_GENERATED_INCLUDE_TARGET_DIR "${LIBCXX_GENERATED_INCLUDE_DIR}")
-- set(LIBCXX_INSTALL_LIBRARY_DIR lib${LIBCXX_LIBDIR_SUFFIX} CACHE PATH
-+ set(LIBCXX_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LIBCXX_LIBDIR_SUFFIX} CACHE PATH
- "Path where built libc++ libraries should be installed.")
- set(LIBCXX_INSTALL_INCLUDE_TARGET_DIR "${LIBCXX_INSTALL_INCLUDE_DIR}" CACHE PATH
- "Path where target-specific libc++ headers should be installed.")
diff --git a/pkgs/development/compilers/llvm/16/libcxxabi/default.nix b/pkgs/development/compilers/llvm/16/libcxxabi/default.nix
deleted file mode 100644
index 90c57dc52a2d..000000000000
--- a/pkgs/development/compilers/llvm/16/libcxxabi/default.nix
+++ /dev/null
@@ -1,114 +0,0 @@
-{ lib, stdenv, llvm_meta, cmake, ninja, python3
-, monorepoSrc, runCommand, fetchpatch
-, cxx-headers, libunwind, version
-, enableShared ? !stdenv.hostPlatform.isStatic
-}:
-
-stdenv.mkDerivation rec {
- pname = "libcxxabi";
- inherit version;
-
- src = runCommand "${pname}-src-${version}" {} ''
- mkdir -p "$out"
- cp -r ${monorepoSrc}/cmake "$out"
- cp -r ${monorepoSrc}/${pname} "$out"
- mkdir -p "$out/libcxx/src"
- cp -r ${monorepoSrc}/libcxx/cmake "$out/libcxx"
- cp -r ${monorepoSrc}/libcxx/include "$out/libcxx"
- cp -r ${monorepoSrc}/libcxx/src/include "$out/libcxx/src"
- mkdir -p "$out/llvm"
- cp -r ${monorepoSrc}/llvm/cmake "$out/llvm"
- cp -r ${monorepoSrc}/llvm/utils "$out/llvm"
- cp -r ${monorepoSrc}/runtimes "$out"
- '';
-
- sourceRoot = "${src.name}/runtimes";
-
- outputs = [ "out" "dev" ];
-
- postUnpack = lib.optionalString stdenv.isDarwin ''
- export TRIPLE=x86_64-apple-darwin
- '';
-
- prePatch = ''
- cd ../${pname}
- chmod -R u+w .
- '';
-
- patches = [
- ./gnu-install-dirs.patch
-
- # https://reviews.llvm.org/D132298, Allow building libcxxabi alone
- (fetchpatch {
- url = "https://github.com/llvm/llvm-project/commit/e6a0800532bb409f6d1c62f3698bdd6994a877dc.patch";
- sha256 = "1xyjd56m4pfwq8p3xh6i8lhkk9kq15jaml7qbhxdf87z4jjkk63a";
- stripLen = 1;
- })
- ];
-
- postPatch = ''
- cd ../runtimes
- '';
-
- nativeBuildInputs = [ cmake ninja python3 ];
- buildInputs = lib.optional (!stdenv.isDarwin && !stdenv.hostPlatform.isWasm) libunwind;
-
- cmakeFlags = [
- "-DLLVM_ENABLE_RUNTIMES=libcxxabi"
- "-DLIBCXXABI_LIBCXX_INCLUDES=${cxx-headers}/include/c++/v1"
-
- # `libcxxabi`'s build does not need a toolchain with a c++ stdlib attached
- # (we specify the headers it should use explicitly above).
- #
- # CMake however checks for this anyways; this flag tells it not to. See:
- # https://github.com/llvm/llvm-project/blob/4bd3f3759259548e159aeba5c76efb9a0864e6fa/llvm/runtimes/CMakeLists.txt#L243
- "-DCMAKE_CXX_COMPILER_WORKS=ON"
- ] ++ lib.optionals (stdenv.hostPlatform.useLLVM or false && !stdenv.hostPlatform.isWasm) [
- "-DLLVM_ENABLE_LIBCXX=ON"
- "-DLIBCXXABI_USE_LLVM_UNWINDER=ON"
- # libcxxabi's CMake looks as though it treats -nostdlib++ as implying -nostdlib,
- # but that does not appear to be the case for example when building
- # pkgsLLVM.libcxxabi (which uses clangNoCompilerRtWithLibc).
- "-DCMAKE_EXE_LINKER_FLAGS=-nostdlib"
- "-DCMAKE_SHARED_LINKER_FLAGS=-nostdlib"
- ] ++ lib.optionals stdenv.hostPlatform.isWasm [
- "-DCMAKE_C_COMPILER_WORKS=ON"
- "-DCMAKE_CXX_COMPILER_WORKS=ON"
- "-DLIBCXXABI_ENABLE_THREADS=OFF"
- "-DLIBCXXABI_ENABLE_EXCEPTIONS=OFF"
- "-DUNIX=ON" # Required otherwise libc++ fails to detect the correct linker
- ] ++ lib.optionals (!enableShared) [
- "-DLIBCXXABI_ENABLE_SHARED=OFF"
- ];
-
- preInstall = lib.optionalString stdenv.isDarwin ''
- for file in lib/*.dylib; do
- # this should be done in CMake, but having trouble figuring out
- # the magic combination of necessary CMake variables
- # if you fancy a try, take a look at
- # https://gitlab.kitware.com/cmake/community/-/wikis/doc/cmake/RPATH-handling
- install_name_tool -id $out/$file $file
- done
- '';
-
- postInstall = ''
- mkdir -p "$dev/include"
- install -m 644 ../../${pname}/include/${if stdenv.isDarwin then "*" else "cxxabi.h"} "$dev/include"
- '';
-
- passthru = {
- libName = "c++abi";
- };
-
- meta = llvm_meta // {
- homepage = "https://libcxxabi.llvm.org/";
- description = "Provides C++ standard library support";
- longDescription = ''
- libc++abi is a new implementation of low level support for a standard C++ library.
- '';
- # "All of the code in libc++abi is dual licensed under the MIT license and
- # the UIUC License (a BSD-like license)":
- license = with lib.licenses; [ mit ncsa ];
- maintainers = llvm_meta.maintainers ++ [ lib.maintainers.vlstill ];
- };
-}
diff --git a/pkgs/development/compilers/llvm/16/libcxxabi/gnu-install-dirs.patch b/pkgs/development/compilers/llvm/16/libcxxabi/gnu-install-dirs.patch
deleted file mode 100644
index fa587612aaf6..000000000000
--- a/pkgs/development/compilers/llvm/16/libcxxabi/gnu-install-dirs.patch
+++ /dev/null
@@ -1,22 +0,0 @@
-diff --git a/CMakeLists.txt b/CMakeLists.txt
-index b8326d08d23a..a1e36f713161 100644
---- a/CMakeLists.txt
-+++ b/CMakeLists.txt
-@@ -187,7 +187,7 @@ set(LIBCXXABI_INSTALL_RUNTIME_DIR "${CMAKE_INSTALL_BINDIR}" CACHE PATH
- if(LLVM_ENABLE_PER_TARGET_RUNTIME_DIR AND NOT APPLE)
- set(LIBCXXABI_HEADER_DIR ${LLVM_BINARY_DIR})
- set(LIBCXXABI_LIBRARY_DIR ${LLVM_LIBRARY_OUTPUT_INTDIR}/${LLVM_DEFAULT_TARGET_TRIPLE})
-- set(LIBCXXABI_INSTALL_LIBRARY_DIR lib${LLVM_LIBDIR_SUFFIX}/${LLVM_DEFAULT_TARGET_TRIPLE} CACHE PATH
-+ set(LIBCXXABI_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX}/${LLVM_DEFAULT_TARGET_TRIPLE} CACHE PATH
- "Path where built libc++abi libraries should be installed.")
- if(LIBCXX_LIBDIR_SUBDIR)
- string(APPEND LIBCXXABI_LIBRARY_DIR /${LIBCXXABI_LIBDIR_SUBDIR})
-@@ -201,7 +201,7 @@ else()
- set(LIBCXXABI_HEADER_DIR ${CMAKE_BINARY_DIR})
- set(LIBCXXABI_LIBRARY_DIR ${CMAKE_BINARY_DIR}/lib${LIBCXXABI_LIBDIR_SUFFIX})
- endif()
-- set(LIBCXXABI_INSTALL_LIBRARY_DIR lib${LIBCXXABI_LIBDIR_SUFFIX} CACHE PATH
-+ set(LIBCXXABI_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LIBCXXABI_LIBDIR_SUFFIX} CACHE PATH
- "Path where built libc++abi libraries should be installed.")
- endif()
-
diff --git a/pkgs/development/compilers/llvm/16/llvm/default.nix b/pkgs/development/compilers/llvm/16/llvm/default.nix
index 73fb6a785413..0a8d877ba83c 100644
--- a/pkgs/development/compilers/llvm/16/llvm/default.nix
+++ b/pkgs/development/compilers/llvm/16/llvm/default.nix
@@ -220,10 +220,15 @@ in
--replace "PassBuilderCallbacksTest.cpp" ""
rm unittests/IR/PassBuilderCallbacksTest.cpp
rm test/tools/llvm-objcopy/ELF/mirror-permissions-unix.test
+
+ # Fails in the presence of anti-virus software or other intrusion-detection software that
+ # modifies the atime when run. See #284056.
+ rm test/tools/llvm-objcopy/ELF/strip-preserve-atime.test
+
# timing-based tests are trouble
rm utils/lit/tests/googletest-timeout.py
'' + optionalString stdenv.hostPlatform.isMusl ''
- patch -p1 -i ${../../TLI-musl.patch}
+ patch -p1 -i ${../../common/llvm/TLI-musl.patch}
substituteInPlace unittests/Support/CMakeLists.txt \
--replace "add_subdirectory(DynamicLibrary)" ""
rm unittests/Support/DynamicLibrary/DynamicLibraryTest.cpp
@@ -287,6 +292,8 @@ in
# E.g. mesa.drivers use the build-id as a cache key (see #93946):
LDFLAGS = optionalString (enableSharedLibraries && !stdenv.isDarwin) "-Wl,--build-id=sha1";
+ hardeningDisable = [ "trivialautovarinit" ];
+
cmakeBuildType = if debugVersion then "Debug" else "Release";
cmakeFlags = with stdenv; let
diff --git a/pkgs/development/compilers/llvm/17/clang/default.nix b/pkgs/development/compilers/llvm/17/clang/default.nix
index f2f114233c28..f7922f3d9951 100644
--- a/pkgs/development/compilers/llvm/17/clang/default.nix
+++ b/pkgs/development/compilers/llvm/17/clang/default.nix
@@ -53,7 +53,7 @@ let
./gnu-install-dirs.patch
../../common/clang/add-nostdlibinc-flag.patch
(substituteAll {
- src = ../../clang-at-least-16-LLVMgold-path.patch;
+ src = ../../common/clang/clang-at-least-16-LLVMgold-path.patch;
libllvmLibdir = "${libllvm.lib}/lib";
})
];
diff --git a/pkgs/development/compilers/llvm/17/compiler-rt/default.nix b/pkgs/development/compilers/llvm/17/compiler-rt/default.nix
index 0f15a9e12cde..2a15e5302b46 100644
--- a/pkgs/development/compilers/llvm/17/compiler-rt/default.nix
+++ b/pkgs/development/compilers/llvm/17/compiler-rt/default.nix
@@ -1,6 +1,6 @@
{ lib, stdenv, llvm_meta, version
, monorepoSrc, runCommand
-, cmake, ninja, python3, xcbuild, libllvm, linuxHeaders, libcxxabi, libxcrypt
+, cmake, ninja, python3, xcbuild, libllvm, linuxHeaders, libxcrypt
, doFakeLibgcc ? stdenv.hostPlatform.isFreeBSD
}:
@@ -31,8 +31,7 @@ stdenv.mkDerivation {
nativeBuildInputs = [ cmake ninja python3 libllvm.dev ]
++ lib.optional stdenv.isDarwin xcbuild.xcrun;
buildInputs =
- lib.optional (stdenv.hostPlatform.isLinux && stdenv.hostPlatform.isRiscV) linuxHeaders
- ++ lib.optional stdenv.hostPlatform.isDarwin libcxxabi;
+ lib.optional (stdenv.hostPlatform.isLinux && stdenv.hostPlatform.isRiscV) linuxHeaders;
env.NIX_CFLAGS_COMPILE = toString ([
"-DSCUDO_DEFAULT_OPTIONS=DeleteSizeMismatch=0:DeallocationTypeMismatch=0"
@@ -141,6 +140,7 @@ stdenv.mkDerivation {
meta = llvm_meta // {
homepage = "https://compiler-rt.llvm.org/";
description = "Compiler runtime libraries";
+ mainProgram = "hwasan_symbolize";
longDescription = ''
The compiler-rt project provides highly tuned implementations of the
low-level code generator support routines like "__fixunsdfdi" and other
diff --git a/pkgs/development/compilers/llvm/17/default.nix b/pkgs/development/compilers/llvm/17/default.nix
index 95281df892e5..a9138e3c79b6 100644
--- a/pkgs/development/compilers/llvm/17/default.nix
+++ b/pkgs/development/compilers/llvm/17/default.nix
@@ -134,7 +134,6 @@ in let
cc = tools.clang-unwrapped;
libcxx = targetLlvmLibraries.libcxx;
extraPackages = [
- libcxx.cxxabi
targetLlvmLibraries.compiler-rt
];
extraBuildCommands = mkExtraBuildCommands cc;
@@ -144,6 +143,10 @@ in let
inherit llvm_meta;
};
+ mlir = callPackage ../common/mlir {
+ inherit llvm_meta;
+ };
+
lldb = callPackage ../common/lldb.nix {
src = callPackage ({ runCommand }: runCommand "lldb-src-${version}" {} ''
mkdir -p "$out"
@@ -195,7 +198,6 @@ in let
libcxx = targetLlvmLibraries.libcxx;
bintools = bintools';
extraPackages = [
- libcxx.cxxabi
targetLlvmLibraries.compiler-rt
] ++ lib.optionals (!stdenv.targetPlatform.isWasm) [
targetLlvmLibraries.libunwind
@@ -304,45 +306,7 @@ in let
libcxxStdenv = overrideCC stdenv buildLlvmTools.libcxxClang;
- libcxxabi = let
- # CMake will "require" a compiler capable of compiling C++ programs
- # cxx-header's build does not actually use one so it doesn't really matter
- # what stdenv we use here, as long as CMake is happy.
- cxx-headers = callPackage ./libcxx {
- inherit llvm_meta;
- # Note that if we use the regular stdenv here we'll get cycle errors
- # when attempting to use this compiler in the stdenv.
- #
- # The final stdenv pulls `cxx-headers` from the package set where
- # hostPlatform *is* the target platform which means that `stdenv` at
- # that point attempts to use this toolchain.
- #
- # So, we use `stdenv_` (the stdenv containing `clang` from this package
- # set, defined below) to sidestep this issue.
- #
- # Because we only use `cxx-headers` in `libcxxabi` (which depends on the
- # clang stdenv _anyways_), this is okay.
- stdenv = stdenv_;
- headersOnly = true;
- };
-
- # `libcxxabi` *doesn't* need a compiler with a working C++ stdlib but it
- # *does* need a relatively modern C++ compiler (see:
- # https://releases.llvm.org/15.0.0/projects/libcxx/docs/index.html#platform-and-compiler-support).
- #
- # So, we use the clang from this LLVM package set, like libc++
- # "boostrapping builds" do:
- # https://releases.llvm.org/15.0.0/projects/libcxx/docs/BuildingLibcxx.html#bootstrapping-build
- #
- # We cannot use `clangNoLibcxx` because that contains `compiler-rt` which,
- # on macOS, depends on `libcxxabi`, thus forming a cycle.
- stdenv_ = overrideCC stdenv buildLlvmTools.clangNoCompilerRtWithLibc;
- in callPackage ./libcxxabi {
- stdenv = stdenv_;
- inherit llvm_meta cxx-headers;
- };
-
- # Like `libcxxabi` above, `libcxx` requires a fairly modern C++ compiler,
+ # `libcxx` requires a fairly modern C++ compiler,
# so: we use the clang from this LLVM package set instead of the regular
# stdenv's compiler.
libcxx = callPackage ./libcxx {
diff --git a/pkgs/development/compilers/llvm/17/libcxx/default.nix b/pkgs/development/compilers/llvm/17/libcxx/default.nix
index 8f4b0cec8d40..9ee4fd180d4b 100644
--- a/pkgs/development/compilers/llvm/17/libcxx/default.nix
+++ b/pkgs/development/compilers/llvm/17/libcxx/default.nix
@@ -1,71 +1,102 @@
{ lib, stdenv, llvm_meta
, monorepoSrc, runCommand, fetchpatch
-, cmake, ninja, python3, fixDarwinDylibNames, version
-, cxxabi ? if stdenv.hostPlatform.isFreeBSD then libcxxrt else libcxxabi
-, libcxxabi, libcxxrt, libunwind
+, cmake, lndir, ninja, python3, fixDarwinDylibNames, version
+, cxxabi ? if stdenv.hostPlatform.isFreeBSD then libcxxrt else null
+, libcxxrt, libunwind
, enableShared ? !stdenv.hostPlatform.isStatic
-
-# If headersOnly is true, the resulting package would only include the headers.
-# Use this to break the circular dependency between libcxx and libcxxabi.
-#
-# Some context:
-# https://reviews.llvm.org/rG1687f2bbe2e2aaa092f942d4a97d41fad43eedfb
-, headersOnly ? false
}:
+# external cxxabi is not supported on Darwin as the build will not link libcxx
+# properly and not re-export the cxxabi symbols into libcxx
+# https://github.com/NixOS/nixpkgs/issues/166205
+# https://github.com/NixOS/nixpkgs/issues/269548
+assert cxxabi == null || !stdenv.hostPlatform.isDarwin;
let
basename = "libcxx";
+ cxxabiName = "lib${if cxxabi == null then "cxxabi" else cxxabi.libName}";
+ runtimes = [ "libcxx" ] ++ lib.optional (cxxabi == null) "libcxxabi";
+
+ # Note: useLLVM is likely false for Darwin but true under pkgsLLVM
+ useLLVM = stdenv.hostPlatform.useLLVM or false;
+
+ cxxabiCMakeFlags = lib.optionals (useLLVM && !stdenv.hostPlatform.isWasm) [
+ "-DLIBCXXABI_USE_COMPILER_RT=ON"
+ "-DLIBCXXABI_USE_LLVM_UNWINDER=ON"
+ ] ++ lib.optionals stdenv.hostPlatform.isWasm [
+ "-DLIBCXXABI_ENABLE_THREADS=OFF"
+ "-DLIBCXXABI_ENABLE_EXCEPTIONS=OFF"
+ ] ++ lib.optionals (!enableShared) [
+ "-DLIBCXXABI_ENABLE_SHARED=OFF"
+ ];
+
+ cxxCMakeFlags = [
+ "-DLIBCXX_CXX_ABI=${cxxabiName}"
+ ] ++ lib.optionals (cxxabi != null) [
+ "-DLIBCXX_CXX_ABI_INCLUDE_PATHS=${lib.getDev cxxabi}/include"
+ ] ++ lib.optionals (stdenv.hostPlatform.isMusl || stdenv.hostPlatform.isWasi) [
+ "-DLIBCXX_HAS_MUSL_LIBC=1"
+ ] ++ lib.optionals useLLVM [
+ "-DLIBCXX_USE_COMPILER_RT=ON"
+ # There's precedent for this in llvm-project/libcxx/cmake/caches.
+ # In a monorepo build you might do the following in the libcxxabi build:
+ # -DLLVM_ENABLE_PROJECTS=libcxxabi;libunwinder
+ # -DLIBCXXABI_STATICALLY_LINK_UNWINDER_IN_STATIC_LIBRARY=On
+ # libcxx appears to require unwind and doesn't pull it in via other means.
+ "-DLIBCXX_ADDITIONAL_LIBRARIES=unwind"
+ ] ++ lib.optionals stdenv.hostPlatform.isWasm [
+ "-DLIBCXX_ENABLE_THREADS=OFF"
+ "-DLIBCXX_ENABLE_FILESYSTEM=OFF"
+ "-DLIBCXX_ENABLE_EXCEPTIONS=OFF"
+ ] ++ lib.optionals (!enableShared) [
+ "-DLIBCXX_ENABLE_SHARED=OFF"
+ ];
+
+ cmakeFlags = [
+ "-DLLVM_ENABLE_RUNTIMES=${lib.concatStringsSep ";" runtimes}"
+ ] ++ lib.optionals (useLLVM && !stdenv.hostPlatform.isWasm) [
+ # libcxxabi's CMake looks as though it treats -nostdlib++ as implying -nostdlib,
+ # but that does not appear to be the case for example when building
+ # pkgsLLVM.libcxxabi (which uses clangNoCompilerRtWithLibc).
+ "-DCMAKE_EXE_LINKER_FLAGS=-nostdlib"
+ "-DCMAKE_SHARED_LINKER_FLAGS=-nostdlib"
+ ] ++ lib.optionals stdenv.hostPlatform.isWasm [
+ "-DCMAKE_C_COMPILER_WORKS=ON"
+ "-DCMAKE_CXX_COMPILER_WORKS=ON"
+ "-DUNIX=ON" # Required otherwise libc++ fails to detect the correct linker
+ ] ++ cxxCMakeFlags
+ ++ lib.optionals (cxxabi == null) cxxabiCMakeFlags;
+
in
-assert stdenv.isDarwin -> cxxabi.libName == "c++abi";
-
stdenv.mkDerivation rec {
- pname = basename + lib.optionalString headersOnly "-headers";
- inherit version;
+ pname = basename;
+ inherit version cmakeFlags;
- src = runCommand "${pname}-src-${version}" {} ''
- mkdir -p "$out"
- cp -r ${monorepoSrc}/cmake "$out"
- cp -r ${monorepoSrc}/${basename} "$out"
- mkdir -p "$out/libcxxabi"
- cp -r ${monorepoSrc}/libcxxabi/include "$out/libcxxabi"
+ src = runCommand "${pname}-src-${version}" {} (''
mkdir -p "$out/llvm"
+ cp -r ${monorepoSrc}/cmake "$out"
+ cp -r ${monorepoSrc}/libcxx "$out"
cp -r ${monorepoSrc}/llvm/cmake "$out/llvm"
cp -r ${monorepoSrc}/llvm/utils "$out/llvm"
cp -r ${monorepoSrc}/third-party "$out"
cp -r ${monorepoSrc}/runtimes "$out"
- '';
+ '' + lib.optionalString (cxxabi == null) ''
+ cp -r ${monorepoSrc}/libcxxabi "$out"
+ '');
- sourceRoot = "${src.name}/runtimes";
+ outputs = [ "out" "dev" ];
- outputs = [ "out" ] ++ lib.optional (!headersOnly) "dev";
-
- prePatch = ''
- cd ../${basename}
- chmod -R u+w .
- '';
-
- patches = [
- # fix for https://github.com/NixOS/nixpkgs/issues/269548
- # https://github.com/llvm/llvm-project/pull/77218
- (fetchpatch {
- name = "darwin-system-libcxxabi-link-flags.patch";
- url = "https://github.com/llvm/llvm-project/commit/c5b89b29ee6e3c444a355fd1cf733ce7ab2e316a.patch";
- hash = "sha256-LNoPg1KCoP8RWxU/AzHR52f4Dww24I9BGQJedMhFxyQ=";
- relative = "libcxx";
- })
- ] ++ lib.optionals (stdenv.isDarwin && lib.versionOlder stdenv.hostPlatform.darwinMinVersion "10.13") [
+ patches = lib.optionals (stdenv.isDarwin && lib.versionOlder stdenv.hostPlatform.darwinMinVersion "10.13") [
# https://github.com/llvm/llvm-project/issues/64226
(fetchpatch {
name = "0042-mbstate_t-not-defined.patch";
url = "https://github.com/macports/macports-ports/raw/acd8acb171f1658596ed1cf25da48d5b932e2d19/lang/llvm-17/files/0042-mbstate_t-not-defined.patch";
- relative = "libcxx";
- hash = "sha256-fVbX99W1gQrSaMFeBkzsJmNWNy0xVSw+oFvDe4AYXL0=";
+ hash = "sha256-jo+DYA6zuSv9OH3A0bYwY5TlkWprup4OKQ7rfK1WHBI=";
})
];
postPatch = ''
- cd ../runtimes
+ cd runtimes
'';
preConfigure = lib.optionalString stdenv.hostPlatform.isMusl ''
@@ -73,50 +104,22 @@ stdenv.mkDerivation rec {
'';
nativeBuildInputs = [ cmake ninja python3 ]
- ++ lib.optional stdenv.isDarwin fixDarwinDylibNames;
+ ++ lib.optional stdenv.isDarwin fixDarwinDylibNames
+ ++ lib.optional (cxxabi != null) lndir;
- buildInputs =
- lib.optionals (!headersOnly) [ cxxabi ]
- ++ lib.optionals (stdenv.hostPlatform.useLLVM or false && !stdenv.hostPlatform.isWasm) [ libunwind ];
+ buildInputs = [ cxxabi ]
+ ++ lib.optionals (useLLVM && !stdenv.hostPlatform.isWasm) [ libunwind ];
- cmakeFlags = let
- # See: https://libcxx.llvm.org/BuildingLibcxx.html#cmdoption-arg-libcxx-cxx-abi-string
- libcxx_cxx_abi_opt = {
- "c++abi" = "system-libcxxabi";
- "cxxrt" = "libcxxrt";
- }.${cxxabi.libName} or (throw "unknown cxxabi: ${cxxabi.libName} (${cxxabi.pname})");
- in [
- "-DLLVM_ENABLE_RUNTIMES=libcxx"
- "-DLIBCXX_CXX_ABI=${if headersOnly then "none" else libcxx_cxx_abi_opt}"
- ] ++ lib.optional (!headersOnly && cxxabi.libName == "c++abi") "-DLIBCXX_CXX_ABI_INCLUDE_PATHS=${cxxabi.dev}/include/c++/v1"
- ++ lib.optional (stdenv.hostPlatform.isMusl || stdenv.hostPlatform.isWasi) "-DLIBCXX_HAS_MUSL_LIBC=1"
- ++ lib.optionals (stdenv.hostPlatform.useLLVM or false) [
- "-DLIBCXX_USE_COMPILER_RT=ON"
- # There's precedent for this in llvm-project/libcxx/cmake/caches.
- # In a monorepo build you might do the following in the libcxxabi build:
- # -DLLVM_ENABLE_PROJECTS=libcxxabi;libunwinder
- # -DLIBCXXABI_STATICALLY_LINK_UNWINDER_IN_STATIC_LIBRARY=On
- # libcxx appears to require unwind and doesn't pull it in via other means.
- "-DLIBCXX_ADDITIONAL_LIBRARIES=unwind"
- ] ++ lib.optionals stdenv.hostPlatform.isWasm [
- "-DLIBCXX_ENABLE_THREADS=OFF"
- "-DLIBCXX_ENABLE_FILESYSTEM=OFF"
- "-DLIBCXX_ENABLE_EXCEPTIONS=OFF"
- "-DUNIX=ON" # Required otherwise libc++ fails to detect the correct linker
- ] ++ lib.optional (!enableShared) "-DLIBCXX_ENABLE_SHARED=OFF"
- # If we're only building the headers we don't actually *need* a functioning
- # C/C++ compiler:
- ++ lib.optionals (headersOnly) [
- "-DCMAKE_C_COMPILER_WORKS=ON"
- "-DCMAKE_CXX_COMPILER_WORKS=ON"
- ];
-
- ninjaFlags = lib.optional headersOnly "generate-cxx-headers";
- installTargets = lib.optional headersOnly "install-cxx-headers";
+ # libc++.so is a linker script which expands to multiple libraries,
+ # libc++.so.1 and libc++abi.so or the external cxxabi. ld-wrapper doesn't
+ # support linker scripts so the external cxxabi needs to be symlinked in
+ postInstall = lib.optionalString (cxxabi != null) ''
+ lndir ${lib.getDev cxxabi}/include ''${!outputDev}/include/c++/v1
+ lndir ${lib.getLib cxxabi}/lib ''${!outputLib}/lib
+ '';
passthru = {
isLLVM = true;
- inherit cxxabi;
};
meta = llvm_meta // {
diff --git a/pkgs/development/compilers/llvm/17/libcxxabi/default.nix b/pkgs/development/compilers/llvm/17/libcxxabi/default.nix
deleted file mode 100644
index 30ca99b20fd7..000000000000
--- a/pkgs/development/compilers/llvm/17/libcxxabi/default.nix
+++ /dev/null
@@ -1,114 +0,0 @@
-{ lib, stdenv, llvm_meta, cmake, ninja, python3
-, monorepoSrc, runCommand, fetchpatch
-, cxx-headers, libunwind, version
-, enableShared ? !stdenv.hostPlatform.isStatic
-}:
-
-stdenv.mkDerivation rec {
- pname = "libcxxabi";
- inherit version;
-
- src = runCommand "${pname}-src-${version}" {} ''
- mkdir -p "$out"
- cp -r ${monorepoSrc}/cmake "$out"
- cp -r ${monorepoSrc}/${pname} "$out"
- mkdir -p "$out/libcxx/src"
- cp -r ${monorepoSrc}/libcxx/cmake "$out/libcxx"
- cp -r ${monorepoSrc}/libcxx/include "$out/libcxx"
- cp -r ${monorepoSrc}/libcxx/src/include "$out/libcxx/src"
- mkdir -p "$out/llvm"
- cp -r ${monorepoSrc}/llvm/cmake "$out/llvm"
- cp -r ${monorepoSrc}/llvm/utils "$out/llvm"
- cp -r ${monorepoSrc}/runtimes "$out"
- '';
-
- sourceRoot = "${src.name}/runtimes";
-
- outputs = [ "out" "dev" ];
-
- postUnpack = lib.optionalString stdenv.isDarwin ''
- export TRIPLE=x86_64-apple-darwin
- '';
-
- prePatch = ''
- cd ../${pname}
- chmod -R u+w .
- '';
-
- patches = [
- ./gnu-install-dirs.patch
-
- # https://reviews.llvm.org/D132298, Allow building libcxxabi alone
- (fetchpatch {
- url = "https://github.com/llvm/llvm-project/commit/e6a0800532bb409f6d1c62f3698bdd6994a877dc.patch";
- sha256 = "1xyjd56m4pfwq8p3xh6i8lhkk9kq15jaml7qbhxdf87z4jjkk63a";
- stripLen = 1;
- })
- ];
-
- postPatch = ''
- cd ../runtimes
- '';
-
- nativeBuildInputs = [ cmake ninja python3 ];
- buildInputs = lib.optional (!stdenv.isDarwin && !stdenv.hostPlatform.isWasm) libunwind;
-
- cmakeFlags = [
- "-DLLVM_ENABLE_RUNTIMES=libcxxabi"
- "-DLIBCXXABI_LIBCXX_INCLUDES=${cxx-headers}/include/c++/v1"
-
- # `libcxxabi`'s build does not need a toolchain with a c++ stdlib attached
- # (we specify the headers it should use explicitly above).
- #
- # CMake however checks for this anyways; this flag tells it not to. See:
- # https://github.com/llvm/llvm-project/blob/4bd3f3759259548e159aeba5c76efb9a0864e6fa/llvm/runtimes/CMakeLists.txt#L243
- "-DCMAKE_CXX_COMPILER_WORKS=ON"
- ] ++ lib.optionals (stdenv.hostPlatform.useLLVM or false && !stdenv.hostPlatform.isWasm) [
- "-DLLVM_ENABLE_LIBCXX=ON"
- "-DLIBCXXABI_USE_LLVM_UNWINDER=ON"
- # libcxxabi's CMake looks as though it treats -nostdlib++ as implying -nostdlib,
- # but that does not appear to be the case for example when building
- # pkgsLLVM.libcxxabi (which uses clangNoCompilerRtWithLibc).
- "-DCMAKE_EXE_LINKER_FLAGS=-nostdlib"
- "-DCMAKE_SHARED_LINKER_FLAGS=-nostdlib"
- ] ++ lib.optionals stdenv.hostPlatform.isWasm [
- "-DCMAKE_C_COMPILER_WORKS=ON"
- "-DCMAKE_CXX_COMPILER_WORKS=ON"
- "-DLIBCXXABI_ENABLE_THREADS=OFF"
- "-DLIBCXXABI_ENABLE_EXCEPTIONS=OFF"
- "-DUNIX=ON"
- ] ++ lib.optionals (!enableShared) [
- "-DLIBCXXABI_ENABLE_SHARED=OFF"
- ];
-
- preInstall = lib.optionalString stdenv.isDarwin ''
- for file in lib/*.dylib; do
- # this should be done in CMake, but having trouble figuring out
- # the magic combination of necessary CMake variables
- # if you fancy a try, take a look at
- # https://gitlab.kitware.com/cmake/community/-/wikis/doc/cmake/RPATH-handling
- install_name_tool -id $out/$file $file
- done
- '';
-
- postInstall = ''
- mkdir -p "$dev/include"
- install -m 644 ../../${pname}/include/${if stdenv.isDarwin then "*" else "cxxabi.h"} "$dev/include"
- '';
-
- passthru = {
- libName = "c++abi";
- };
-
- meta = llvm_meta // {
- homepage = "https://libcxxabi.llvm.org/";
- description = "Provides C++ standard library support";
- longDescription = ''
- libc++abi is a new implementation of low level support for a standard C++ library.
- '';
- # "All of the code in libc++abi is dual licensed under the MIT license and
- # the UIUC License (a BSD-like license)":
- license = with lib.licenses; [ mit ncsa ];
- maintainers = llvm_meta.maintainers ++ [ lib.maintainers.vlstill ];
- };
-}
diff --git a/pkgs/development/compilers/llvm/17/libcxxabi/gnu-install-dirs.patch b/pkgs/development/compilers/llvm/17/libcxxabi/gnu-install-dirs.patch
deleted file mode 100644
index 51382fcefed2..000000000000
--- a/pkgs/development/compilers/llvm/17/libcxxabi/gnu-install-dirs.patch
+++ /dev/null
@@ -1,22 +0,0 @@
-diff --git a/libcxxabi/CMakeLists.txt b/libcxxabi/CMakeLists.txt
-index f380fe6b6b92..a9656258c38e 100644
---- a/CMakeLists.txt
-+++ b/CMakeLists.txt
-@@ -188,7 +188,7 @@ set(LIBCXXABI_INSTALL_RUNTIME_DIR "${CMAKE_INSTALL_BINDIR}" CACHE STRING
- if(LLVM_ENABLE_PER_TARGET_RUNTIME_DIR AND NOT APPLE)
- set(LIBCXXABI_HEADER_DIR ${LLVM_BINARY_DIR})
- set(LIBCXXABI_LIBRARY_DIR ${LLVM_LIBRARY_OUTPUT_INTDIR}/${LLVM_DEFAULT_TARGET_TRIPLE})
-- set(LIBCXXABI_INSTALL_LIBRARY_DIR lib${LLVM_LIBDIR_SUFFIX}/${LLVM_DEFAULT_TARGET_TRIPLE} CACHE STRING
-+ set(LIBCXXABI_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX}/${LLVM_DEFAULT_TARGET_TRIPLE} CACHE PATH
- "Path where built libc++abi libraries should be installed.")
- if(LIBCXX_LIBDIR_SUBDIR)
- string(APPEND LIBCXXABI_LIBRARY_DIR /${LIBCXXABI_LIBDIR_SUBDIR})
-@@ -202,7 +202,7 @@ else()
- set(LIBCXXABI_HEADER_DIR ${CMAKE_BINARY_DIR})
- set(LIBCXXABI_LIBRARY_DIR ${CMAKE_BINARY_DIR}/lib${LIBCXXABI_LIBDIR_SUFFIX})
- endif()
-- set(LIBCXXABI_INSTALL_LIBRARY_DIR lib${LIBCXXABI_LIBDIR_SUFFIX} CACHE STRING
-+ set(LIBCXXABI_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LIBCXXABI_LIBDIR_SUFFIX} CACHE PATH
- "Path where built libc++abi libraries should be installed.")
- endif()
-
diff --git a/pkgs/development/compilers/llvm/17/llvm/default.nix b/pkgs/development/compilers/llvm/17/llvm/default.nix
index 627679ce812f..ec2edabe4ec5 100644
--- a/pkgs/development/compilers/llvm/17/llvm/default.nix
+++ b/pkgs/development/compilers/llvm/17/llvm/default.nix
@@ -219,8 +219,12 @@ stdenv.mkDerivation (rec {
--replace "PassBuilderCallbacksTest.cpp" ""
rm unittests/IR/PassBuilderCallbacksTest.cpp
rm test/tools/llvm-objcopy/ELF/mirror-permissions-unix.test
+
+ # Fails in the presence of anti-virus software or other intrusion-detection software that
+ # modifies the atime when run. See #284056.
+ rm test/tools/llvm-objcopy/ELF/strip-preserve-atime.test
'' + optionalString stdenv.hostPlatform.isMusl ''
- patch -p1 -i ${../../TLI-musl.patch}
+ patch -p1 -i ${../../common/llvm/TLI-musl.patch}
substituteInPlace unittests/Support/CMakeLists.txt \
--replace "add_subdirectory(DynamicLibrary)" ""
rm unittests/Support/DynamicLibrary/DynamicLibraryTest.cpp
diff --git a/pkgs/development/compilers/llvm/9/clang/default.nix b/pkgs/development/compilers/llvm/9/clang/default.nix
index e8a2a4bd0db1..37138b13bd4c 100644
--- a/pkgs/development/compilers/llvm/9/clang/default.nix
+++ b/pkgs/development/compilers/llvm/9/clang/default.nix
@@ -52,7 +52,7 @@ let
./HIP-use-PATH-9.patch
./gnu-install-dirs.patch
(substituteAll {
- src = ../../clang-6-10-LLVMgold-path.patch;
+ src = ../../common/clang/clang-6-10-LLVMgold-path.patch;
libllvmLibdir = "${libllvm.lib}/lib";
})
];
diff --git a/pkgs/development/compilers/llvm/9/libcxx/default.nix b/pkgs/development/compilers/llvm/9/libcxx/default.nix
index ec09998ed37d..65f0e19225a5 100644
--- a/pkgs/development/compilers/llvm/9/libcxx/default.nix
+++ b/pkgs/development/compilers/llvm/9/libcxx/default.nix
@@ -22,7 +22,7 @@ stdenv.mkDerivation {
patches = [
./gnu-install-dirs.patch
] ++ lib.optionals stdenv.hostPlatform.isMusl [
- ../../libcxx-0001-musl-hacks.patch
+ ../../common/libcxx/libcxx-0001-musl-hacks.patch
];
# Prevent errors like "error: 'foo' is unavailable: introduced in macOS yy.zz"
diff --git a/pkgs/development/compilers/llvm/9/libcxxabi/default.nix b/pkgs/development/compilers/llvm/9/libcxxabi/default.nix
index 24d89b1a2da5..7d4a83db390b 100644
--- a/pkgs/development/compilers/llvm/9/libcxxabi/default.nix
+++ b/pkgs/development/compilers/llvm/9/libcxxabi/default.nix
@@ -17,7 +17,7 @@ stdenv.mkDerivation {
'' + lib.optionalString stdenv.isDarwin ''
export TRIPLE=x86_64-apple-darwin
'' + lib.optionalString stdenv.hostPlatform.isMusl ''
- patch -p1 -d $(ls -d libcxx-*) -i ${../../libcxx-0001-musl-hacks.patch}
+ patch -p1 -d $(ls -d libcxx-*) -i ${../../common/libcxx/libcxx-0001-musl-hacks.patch}
'' + lib.optionalString stdenv.hostPlatform.isWasm ''
patch -p1 -d $(ls -d llvm-*) -i ${../../common/libcxxabi/wasm.patch}
'';
diff --git a/pkgs/development/compilers/llvm/9/llvm/default.nix b/pkgs/development/compilers/llvm/9/llvm/default.nix
index c0ef7801c348..f8f1c67670ec 100644
--- a/pkgs/development/compilers/llvm/9/llvm/default.nix
+++ b/pkgs/development/compilers/llvm/9/llvm/default.nix
@@ -86,12 +86,12 @@ in stdenv.mkDerivation (rec {
# of the flags used for the normal LLVM build. To avoid the need for building
# a native libLLVM.so (which would fail) we force llvm-config to be linked
# statically against the necessary LLVM components always.
- ../../llvm-config-link-static.patch
+ ../../common/llvm/llvm-config-link-static.patch
./gnu-install-dirs.patch
# Force a test to evaluate the saved benchmark for a CPU for which LLVM has
# an execution model. See NixOS/nixpkgs#119673.
- ../../exegesis-force-bdver2.patch
+ ./exegesis-force-bdver2.patch
# Fix missing includes for GCC 11
(fetchpatch {
@@ -137,7 +137,7 @@ in stdenv.mkDerivation (rec {
--replace "Path.cpp" ""
rm unittests/Support/Path.cpp
'' + optionalString stdenv.hostPlatform.isMusl ''
- patch -p1 -i ${../../TLI-musl.patch}
+ patch -p1 -i ${../../common/llvm/TLI-musl.patch}
substituteInPlace unittests/Support/CMakeLists.txt \
--replace "add_subdirectory(DynamicLibrary)" ""
rm unittests/Support/DynamicLibrary/DynamicLibraryTest.cpp
@@ -203,6 +203,8 @@ in stdenv.mkDerivation (rec {
ln -sv $PWD/lib $out
'';
+ hardeningDisable = [ "trivialautovarinit" ];
+
cmakeBuildType = if debugVersion then "Debug" else "Release";
cmakeFlags = with stdenv; let
diff --git a/pkgs/development/compilers/llvm/exegesis-force-bdver2.patch b/pkgs/development/compilers/llvm/9/llvm/exegesis-force-bdver2.patch
similarity index 100%
rename from pkgs/development/compilers/llvm/exegesis-force-bdver2.patch
rename to pkgs/development/compilers/llvm/9/llvm/exegesis-force-bdver2.patch
diff --git a/pkgs/development/compilers/llvm/clang-11-15-LLVMgold-path.patch b/pkgs/development/compilers/llvm/common/clang/clang-11-15-LLVMgold-path.patch
similarity index 100%
rename from pkgs/development/compilers/llvm/clang-11-15-LLVMgold-path.patch
rename to pkgs/development/compilers/llvm/common/clang/clang-11-15-LLVMgold-path.patch
diff --git a/pkgs/development/compilers/llvm/clang-6-10-LLVMgold-path.patch b/pkgs/development/compilers/llvm/common/clang/clang-6-10-LLVMgold-path.patch
similarity index 100%
rename from pkgs/development/compilers/llvm/clang-6-10-LLVMgold-path.patch
rename to pkgs/development/compilers/llvm/common/clang/clang-6-10-LLVMgold-path.patch
diff --git a/pkgs/development/compilers/llvm/clang-at-least-16-LLVMgold-path.patch b/pkgs/development/compilers/llvm/common/clang/clang-at-least-16-LLVMgold-path.patch
similarity index 100%
rename from pkgs/development/compilers/llvm/clang-at-least-16-LLVMgold-path.patch
rename to pkgs/development/compilers/llvm/common/clang/clang-at-least-16-LLVMgold-path.patch
diff --git a/pkgs/development/compilers/llvm/common/libclc.nix b/pkgs/development/compilers/llvm/common/libclc.nix
index c91930963b20..4c2081e693c1 100644
--- a/pkgs/development/compilers/llvm/common/libclc.nix
+++ b/pkgs/development/compilers/llvm/common/libclc.nix
@@ -47,6 +47,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "http://libclc.llvm.org/";
description = "Implementation of the library requirements of the OpenCL C programming language";
+ mainProgram = "prepare_builtins";
license = licenses.mit;
platforms = platforms.all;
};
diff --git a/pkgs/development/compilers/llvm/libcxx-0001-musl-hacks.patch b/pkgs/development/compilers/llvm/common/libcxx/libcxx-0001-musl-hacks.patch
similarity index 100%
rename from pkgs/development/compilers/llvm/libcxx-0001-musl-hacks.patch
rename to pkgs/development/compilers/llvm/common/libcxx/libcxx-0001-musl-hacks.patch
diff --git a/pkgs/development/compilers/llvm/TLI-musl.patch b/pkgs/development/compilers/llvm/common/llvm/TLI-musl.patch
similarity index 100%
rename from pkgs/development/compilers/llvm/TLI-musl.patch
rename to pkgs/development/compilers/llvm/common/llvm/TLI-musl.patch
diff --git a/pkgs/development/compilers/llvm/llvm-config-link-static.patch b/pkgs/development/compilers/llvm/common/llvm/llvm-config-link-static.patch
similarity index 100%
rename from pkgs/development/compilers/llvm/llvm-config-link-static.patch
rename to pkgs/development/compilers/llvm/common/llvm/llvm-config-link-static.patch
diff --git a/pkgs/development/compilers/llvm/common/mlir/default.nix b/pkgs/development/compilers/llvm/common/mlir/default.nix
new file mode 100644
index 000000000000..7a79ac30ba86
--- /dev/null
+++ b/pkgs/development/compilers/llvm/common/mlir/default.nix
@@ -0,0 +1,77 @@
+{ lib, stdenv, llvm_meta
+, buildLlvmTools
+, monorepoSrc, runCommand
+, cmake
+, ninja
+, libxml2
+, libllvm
+, version
+, doCheck ? (!stdenv.isx86_32 /* TODO: why */) && (!stdenv.hostPlatform.isMusl)
+}:
+
+stdenv.mkDerivation rec {
+ pname = "mlir";
+ inherit version doCheck;
+
+ # Blank llvm dir just so relative path works
+ src = runCommand "${pname}-src-${version}" {} ''
+ mkdir -p "$out"
+ cp -r ${monorepoSrc}/cmake "$out"
+ cp -r ${monorepoSrc}/mlir "$out"
+ cp -r ${monorepoSrc}/third-party "$out/third-party"
+
+ mkdir -p "$out/llvm"
+ '';
+
+ sourceRoot = "${src.name}/mlir";
+
+ patches = [
+ ./gnu-install-dirs.patch
+ ];
+
+ nativeBuildInputs = [
+ cmake
+ ninja
+ ];
+
+ buildInputs = [
+ libllvm
+ libxml2
+ ];
+
+ cmakeFlags = [
+ "-DLLVM_BUILD_TOOLS=ON"
+ # Install headers as well
+ "-DLLVM_INSTALL_TOOLCHAIN_ONLY=OFF"
+ "-DMLIR_TOOLS_INSTALL_DIR=${placeholder "out"}/bin/"
+ "-DLLVM_ENABLE_IDE=OFF"
+ "-DLLD_INSTALL_PACKAGE_DIR=${placeholder "out"}/lib/cmake/mlir"
+ "-DLLVM_BUILD_TESTS=${if doCheck then "ON" else "OFF"}"
+ "-DLLVM_ENABLE_FFI=ON"
+ "-DLLVM_HOST_TRIPLE=${stdenv.hostPlatform.config}"
+ "-DLLVM_DEFAULT_TARGET_TRIPLE=${stdenv.hostPlatform.config}"
+ "-DLLVM_ENABLE_DUMP=ON"
+ ] ++ lib.optionals stdenv.hostPlatform.isStatic [
+ # Disables building of shared libs, -fPIC is still injected by cc-wrapper
+ "-DLLVM_ENABLE_PIC=OFF"
+ "-DLLVM_BUILD_STATIC=ON"
+ "-DLLVM_LINK_LLVM_DYLIB=OFF"
+ ] ++ lib.optionals ((stdenv.hostPlatform != stdenv.buildPlatform) && !(stdenv.buildPlatform.canExecute stdenv.hostPlatform)) [
+ "-DLLVM_TABLEGEN_EXE=${buildLlvmTools.llvm}/bin/llvm-tblgen"
+ "-DMLIR_TABLEGEN_EXE=${buildLlvmTools.mlir}/bin/mlir-tblgen"
+ ];
+
+ outputs = [ "out" "dev" ];
+
+ meta = llvm_meta // {
+ homepage = "https://mlir.llvm.org/";
+ description = "Multi-Level IR Compiler Framework";
+ longDescription = ''
+ The MLIR project is a novel approach to building reusable and extensible
+ compiler infrastructure. MLIR aims to address software fragmentation,
+ improve compilation for heterogeneous hardware, significantly reduce
+ the cost of building domain specific compilers, and aid in connecting
+ existing compilers together.
+ '';
+ };
+}
diff --git a/pkgs/development/compilers/llvm/common/mlir/gnu-install-dirs.patch b/pkgs/development/compilers/llvm/common/mlir/gnu-install-dirs.patch
new file mode 100644
index 000000000000..95191022d1a3
--- /dev/null
+++ b/pkgs/development/compilers/llvm/common/mlir/gnu-install-dirs.patch
@@ -0,0 +1,15 @@
+diff --git a/CMakeLists.txt b/CMakeLists.txt
+index c91e9cd93dc8..23b6032a46b7 100644
+--- a/CMakeLists.txt
++++ b/CMakeLists.txt
+@@ -32,8 +32,8 @@ if(MLIR_STANDALONE_BUILD)
+ endif()
+
+ set(CMAKE_LIBRARY_OUTPUT_DIRECTORY
+- "${CMAKE_CURRENT_BINARY_DIR}/lib${LLVM_LIBDIR_SUFFIX}")
+- set(CMAKE_RUNTIME_OUTPUT_DIRECTORY "${CMAKE_CURRENT_BINARY_DIR}/bin")
++ "${CMAKE_INSTALL_LIBDIR}/${LLVM_LIBDIR_SUFFIX}")
++ set(CMAKE_RUNTIME_OUTPUT_DIRECTORY "${CMAKE_INSTALL_BINDIR}")
+
+ set(LLVM_LIT_ARGS "-sv" CACHE STRING "Default options for lit")
+ endif()
diff --git a/pkgs/development/compilers/llvm/git/clang/default.nix b/pkgs/development/compilers/llvm/git/clang/default.nix
index eea346ef22ef..01b33c540ca2 100644
--- a/pkgs/development/compilers/llvm/git/clang/default.nix
+++ b/pkgs/development/compilers/llvm/git/clang/default.nix
@@ -54,7 +54,7 @@ let
./gnu-install-dirs.patch
../../common/clang/add-nostdlibinc-flag.patch
(substituteAll {
- src = ../../clang-at-least-16-LLVMgold-path.patch;
+ src = ../../common/clang/clang-at-least-16-LLVMgold-path.patch;
libllvmLibdir = "${libllvm.lib}/lib";
})
];
diff --git a/pkgs/development/compilers/llvm/git/compiler-rt/default.nix b/pkgs/development/compilers/llvm/git/compiler-rt/default.nix
index 0f15a9e12cde..51f4e95ef2b5 100644
--- a/pkgs/development/compilers/llvm/git/compiler-rt/default.nix
+++ b/pkgs/development/compilers/llvm/git/compiler-rt/default.nix
@@ -1,6 +1,6 @@
{ lib, stdenv, llvm_meta, version
, monorepoSrc, runCommand
-, cmake, ninja, python3, xcbuild, libllvm, linuxHeaders, libcxxabi, libxcrypt
+, cmake, ninja, python3, xcbuild, libllvm, linuxHeaders, libxcrypt
, doFakeLibgcc ? stdenv.hostPlatform.isFreeBSD
}:
@@ -31,8 +31,7 @@ stdenv.mkDerivation {
nativeBuildInputs = [ cmake ninja python3 libllvm.dev ]
++ lib.optional stdenv.isDarwin xcbuild.xcrun;
buildInputs =
- lib.optional (stdenv.hostPlatform.isLinux && stdenv.hostPlatform.isRiscV) linuxHeaders
- ++ lib.optional stdenv.hostPlatform.isDarwin libcxxabi;
+ lib.optional (stdenv.hostPlatform.isLinux && stdenv.hostPlatform.isRiscV) linuxHeaders;
env.NIX_CFLAGS_COMPILE = toString ([
"-DSCUDO_DEFAULT_OPTIONS=DeleteSizeMismatch=0:DeallocationTypeMismatch=0"
@@ -116,7 +115,7 @@ stdenv.mkDerivation {
--replace "#include " ""
substituteInPlace lib/builtins/clear_cache.c \
--replace "#include " ""
- substituteInPlace lib/builtins/cpu_model.c \
+ substituteInPlace lib/builtins/cpu_model${lib.optionalString (lib.versionAtLeast version "18") "/x86"}.c \
--replace "#include " ""
'';
diff --git a/pkgs/development/compilers/llvm/git/default.nix b/pkgs/development/compilers/llvm/git/default.nix
index 7dfec234104d..6ebb2ea9fcc2 100644
--- a/pkgs/development/compilers/llvm/git/default.nix
+++ b/pkgs/development/compilers/llvm/git/default.nix
@@ -1,5 +1,5 @@
-{ lowPrio, newScope, pkgs, lib, stdenv, stdenvNoCC, cmake, ninja
-, gccForLibs, preLibcCrossHeaders
+{ lowPrio, newScope, pkgs, lib, stdenv, cmake, ninja
+, preLibcCrossHeaders
, libxml2, python3, fetchFromGitHub, overrideCC, wrapCCWith, wrapBintoolsWith
, buildLlvmTools # tools, but from the previous stage, for cross
, targetLlvmLibraries # libraries, but from the next stage, for cross
@@ -17,12 +17,7 @@
else pkgs.bintools
, darwin
# LLVM release information; specify one of these but not both:
-, gitRelease ? {
- version = "18.0.0";
- rev = "2fd7657b6609454af7adb75765d164ec7d1bb80b";
- rev-version = "18.0.0-unstable-2023-12-13";
- sha256 = "sha256-/sMQzzFid0tAnreOIV9SUm2H6QbEGhpNcizl3LDPM5s=";
-}
+, gitRelease ? null
# i.e.:
# {
# version = /* i.e. "15.0.0" */;
@@ -30,7 +25,7 @@
# rev-version = /* human readable version; i.e. "unstable-2022-26-07" */;
# sha256 = /* checksum for this release, can omit if specifying your own `monorepoSrc` */;
# }
-, officialRelease ? null
+, officialRelease ? { version = "18.1.0-rc4"; sha256 = "sha256-fVpwewbjoPMPslIEZ+WAtaQ+YKc0XWGl8EbP/TbQb8o="; }
# i.e.:
# {
# version = /* i.e. "15.0.0" */;
@@ -139,7 +134,6 @@ in let
cc = tools.clang-unwrapped;
libcxx = targetLlvmLibraries.libcxx;
extraPackages = [
- libcxx.cxxabi
targetLlvmLibraries.compiler-rt
];
extraBuildCommands = mkExtraBuildCommands cc;
@@ -149,6 +143,10 @@ in let
inherit llvm_meta;
};
+ mlir = callPackage ../common/mlir {
+ inherit llvm_meta;
+ };
+
lldb = callPackage ../common/lldb.nix {
src = callPackage ({ runCommand }: runCommand "lldb-src-${version}" {} ''
mkdir -p "$out"
@@ -200,7 +198,6 @@ in let
libcxx = targetLlvmLibraries.libcxx;
bintools = bintools';
extraPackages = [
- libcxx.cxxabi
targetLlvmLibraries.compiler-rt
] ++ lib.optionals (!stdenv.targetPlatform.isWasm) [
targetLlvmLibraries.libunwind
@@ -275,6 +272,12 @@ in let
nixSupport.cc-cflags = [ "-fno-exceptions" ];
});
+ # Has to be in tools despite mostly being a library,
+ # because we use a native helper executable from a
+ # non-cross build in cross builds.
+ libclc = callPackage ../common/libclc.nix {
+ inherit buildLlvmTools;
+ };
});
libraries = lib.makeExtensible (libraries: let
@@ -304,45 +307,7 @@ in let
libcxxStdenv = overrideCC stdenv buildLlvmTools.libcxxClang;
- libcxxabi = let
- # CMake will "require" a compiler capable of compiling C++ programs
- # cxx-header's build does not actually use one so it doesn't really matter
- # what stdenv we use here, as long as CMake is happy.
- cxx-headers = callPackage ./libcxx {
- inherit llvm_meta;
- # Note that if we use the regular stdenv here we'll get cycle errors
- # when attempting to use this compiler in the stdenv.
- #
- # The final stdenv pulls `cxx-headers` from the package set where
- # hostPlatform *is* the target platform which means that `stdenv` at
- # that point attempts to use this toolchain.
- #
- # So, we use `stdenv_` (the stdenv containing `clang` from this package
- # set, defined below) to sidestep this issue.
- #
- # Because we only use `cxx-headers` in `libcxxabi` (which depends on the
- # clang stdenv _anyways_), this is okay.
- stdenv = stdenv_;
- headersOnly = true;
- };
-
- # `libcxxabi` *doesn't* need a compiler with a working C++ stdlib but it
- # *does* need a relatively modern C++ compiler (see:
- # https://releases.llvm.org/15.0.0/projects/libcxx/docs/index.html#platform-and-compiler-support).
- #
- # So, we use the clang from this LLVM package set, like libc++
- # "boostrapping builds" do:
- # https://releases.llvm.org/15.0.0/projects/libcxx/docs/BuildingLibcxx.html#bootstrapping-build
- #
- # We cannot use `clangNoLibcxx` because that contains `compiler-rt` which,
- # on macOS, depends on `libcxxabi`, thus forming a cycle.
- stdenv_ = overrideCC stdenv buildLlvmTools.clangNoCompilerRtWithLibc;
- in callPackage ./libcxxabi {
- stdenv = stdenv_;
- inherit llvm_meta cxx-headers;
- };
-
- # Like `libcxxabi` above, `libcxx` requires a fairly modern C++ compiler,
+ # `libcxx` requires a fairly modern C++ compiler,
# so: we use the clang from this LLVM package set instead of the regular
# stdenv's compiler.
libcxx = callPackage ./libcxx {
diff --git a/pkgs/development/compilers/llvm/git/libcxx/0001-darwin-10.12-mbstate_t-fix.patch b/pkgs/development/compilers/llvm/git/libcxx/0001-darwin-10.12-mbstate_t-fix.patch
new file mode 100644
index 000000000000..29942f8ed03d
--- /dev/null
+++ b/pkgs/development/compilers/llvm/git/libcxx/0001-darwin-10.12-mbstate_t-fix.patch
@@ -0,0 +1,31 @@
+From 9c1cb26c1dd3f92d1c1177e548107d2cd3c5e616 Mon Sep 17 00:00:00 2001
+From: annalee <150648636+a-n-n-a-l-e-e@users.noreply.github.com>
+Date: Fri, 23 Feb 2024 22:58:58 +0000
+Subject: [PATCH] darwin 10.12 mbstate_t fix
+
+https://github.com/llvm/llvm-project/issues/64226
+
+removes space from
+https://github.com/macports/macports-ports/raw/acd8acb171f1658596ed1cf25da48d5b932e2d19/lang/llvm-17/files/0042-mbstate_t-not-defined.patch
+so it applies cleanly
+---
+ libcxx/include/__mbstate_t.h | 3 +++
+ 1 file changed, 3 insertions(+)
+
+diff --git a/libcxx/include/__mbstate_t.h b/libcxx/include/__mbstate_t.h
+index bfa6d61..5f51112 100644
+--- a/libcxx/include/__mbstate_t.h
++++ b/libcxx/include/__mbstate_t.h
+@@ -42,6 +42,9 @@
+ #elif __has_include()
+ # include // works on most Unixes
+ #elif __has_include()
++# if __has_include()
++# include
++# endif
+ # include // works on Darwin
+ #elif !defined(_LIBCPP_HAS_NO_WIDE_CHARACTERS) && __has_include_next()
+ # include_next // fall back to the C standard provider of mbstate_t
+--
+2.43.0
+
diff --git a/pkgs/development/compilers/llvm/git/libcxx/default.nix b/pkgs/development/compilers/llvm/git/libcxx/default.nix
index 8a5272ea07af..4e3404dbe194 100644
--- a/pkgs/development/compilers/llvm/git/libcxx/default.nix
+++ b/pkgs/development/compilers/llvm/git/libcxx/default.nix
@@ -1,63 +1,108 @@
{ lib, stdenv, llvm_meta
, monorepoSrc, runCommand, fetchpatch
-, cmake, ninja, python3, fixDarwinDylibNames, version
-, cxxabi ? if stdenv.hostPlatform.isFreeBSD then libcxxrt else libcxxabi
-, libcxxabi, libcxxrt, libunwind
+, cmake, lndir, ninja, python3, fixDarwinDylibNames, version
+, cxxabi ? if stdenv.hostPlatform.isFreeBSD then libcxxrt else null
+, libcxxrt, libunwind
, enableShared ? !stdenv.hostPlatform.isStatic
-
-# If headersOnly is true, the resulting package would only include the headers.
-# Use this to break the circular dependency between libcxx and libcxxabi.
-#
-# Some context:
-# https://reviews.llvm.org/rG1687f2bbe2e2aaa092f942d4a97d41fad43eedfb
-, headersOnly ? false
}:
+# external cxxabi is not supported on Darwin as the build will not link libcxx
+# properly and not re-export the cxxabi symbols into libcxx
+# https://github.com/NixOS/nixpkgs/issues/166205
+# https://github.com/NixOS/nixpkgs/issues/269548
+assert cxxabi == null || !stdenv.hostPlatform.isDarwin;
let
basename = "libcxx";
+ cxxabiName = "lib${if cxxabi == null then "cxxabi" else cxxabi.libName}";
+ runtimes = [ "libcxx" ] ++ lib.optional (cxxabi == null) "libcxxabi";
+
+ # Note: useLLVM is likely false for Darwin but true under pkgsLLVM
+ useLLVM = stdenv.hostPlatform.useLLVM or false;
+
+ cxxabiCMakeFlags = lib.optionals (useLLVM && !stdenv.hostPlatform.isWasm) [
+ "-DLIBCXXABI_USE_COMPILER_RT=ON"
+ "-DLIBCXXABI_USE_LLVM_UNWINDER=ON"
+ ] ++ lib.optionals (lib.versionAtLeast version "18" && !(useLLVM && !stdenv.hostPlatform.isWasm)) [
+ "-DLIBCXXABI_USE_LLVM_UNWINDER=OFF"
+ ] ++ lib.optionals stdenv.hostPlatform.isWasm [
+ "-DLIBCXXABI_ENABLE_THREADS=OFF"
+ "-DLIBCXXABI_ENABLE_EXCEPTIONS=OFF"
+ ] ++ lib.optionals (!enableShared) [
+ "-DLIBCXXABI_ENABLE_SHARED=OFF"
+ ];
+
+ cxxCMakeFlags = [
+ "-DLIBCXX_CXX_ABI=${cxxabiName}"
+ ] ++ lib.optionals (cxxabi != null) [
+ "-DLIBCXX_CXX_ABI_INCLUDE_PATHS=${lib.getDev cxxabi}/include"
+ ] ++ lib.optionals (stdenv.hostPlatform.isMusl || stdenv.hostPlatform.isWasi) [
+ "-DLIBCXX_HAS_MUSL_LIBC=1"
+ ] ++ lib.optionals (lib.versionAtLeast version "18" && !useLLVM && stdenv.hostPlatform.libc == "glibc" && !stdenv.hostPlatform.isStatic) [
+ "-DLIBCXX_ADDITIONAL_LIBRARIES=gcc_s"
+ ] ++ lib.optionals useLLVM [
+ "-DLIBCXX_USE_COMPILER_RT=ON"
+ # There's precedent for this in llvm-project/libcxx/cmake/caches.
+ # In a monorepo build you might do the following in the libcxxabi build:
+ # -DLLVM_ENABLE_PROJECTS=libcxxabi;libunwinder
+ # -DLIBCXXABI_STATICALLY_LINK_UNWINDER_IN_STATIC_LIBRARY=On
+ # libcxx appears to require unwind and doesn't pull it in via other means.
+ "-DLIBCXX_ADDITIONAL_LIBRARIES=unwind"
+ ] ++ lib.optionals stdenv.hostPlatform.isWasm [
+ "-DLIBCXX_ENABLE_THREADS=OFF"
+ "-DLIBCXX_ENABLE_FILESYSTEM=OFF"
+ "-DLIBCXX_ENABLE_EXCEPTIONS=OFF"
+ ] ++ lib.optionals (!enableShared) [
+ "-DLIBCXX_ENABLE_SHARED=OFF"
+ ];
+
+ cmakeFlags = [
+ "-DLLVM_ENABLE_RUNTIMES=${lib.concatStringsSep ";" runtimes}"
+ ] ++ lib.optionals stdenv.hostPlatform.isWasm [
+ "-DCMAKE_C_COMPILER_WORKS=ON"
+ "-DCMAKE_CXX_COMPILER_WORKS=ON"
+ "-DUNIX=ON" # Required otherwise libc++ fails to detect the correct linker
+ ] ++ cxxCMakeFlags
+ ++ lib.optionals (cxxabi == null) cxxabiCMakeFlags;
+
in
-assert stdenv.isDarwin -> cxxabi.libName == "c++abi";
-
stdenv.mkDerivation rec {
- pname = basename + lib.optionalString headersOnly "-headers";
- inherit version;
+ pname = basename;
+ inherit version cmakeFlags;
- src = runCommand "${pname}-src-${version}" {} ''
- mkdir -p "$out"
- cp -r ${monorepoSrc}/cmake "$out"
- cp -r ${monorepoSrc}/${basename} "$out"
- mkdir -p "$out/libcxxabi"
- cp -r ${monorepoSrc}/libcxxabi/include "$out/libcxxabi"
+ src = runCommand "${pname}-src-${version}" {} (''
mkdir -p "$out/llvm"
+ cp -r ${monorepoSrc}/cmake "$out"
+ cp -r ${monorepoSrc}/libcxx "$out"
cp -r ${monorepoSrc}/llvm/cmake "$out/llvm"
cp -r ${monorepoSrc}/llvm/utils "$out/llvm"
cp -r ${monorepoSrc}/third-party "$out"
cp -r ${monorepoSrc}/runtimes "$out"
- '';
+ '' + lib.optionalString (cxxabi == null) ''
+ cp -r ${monorepoSrc}/libcxxabi "$out"
+ '');
- sourceRoot = "${src.name}/runtimes";
+ outputs = [ "out" "dev" ];
- outputs = [ "out" ] ++ lib.optional (!headersOnly) "dev";
-
- prePatch = ''
- cd ../${basename}
- chmod -R u+w .
- '';
-
- patches = [
- # fix for https://github.com/NixOS/nixpkgs/issues/269548
- # https://github.com/llvm/llvm-project/pull/77218
+ patches = lib.optionals (stdenv.isDarwin && lib.versionOlder stdenv.hostPlatform.darwinMinVersion "10.13") [
+ # https://github.com/llvm/llvm-project/issues/64226
+ ./0001-darwin-10.12-mbstate_t-fix.patch
+ ] ++ lib.optionals (cxxabi == null && lib.versionAtLeast version "18") [
+ # Allow building libcxxabi alone when using LLVM unwinder
(fetchpatch {
- name = "darwin-system-libcxxabi-link-flags.patch";
- url = "https://github.com/llvm/llvm-project/commit/c5b89b29ee6e3c444a355fd1cf733ce7ab2e316a.patch";
- hash = "sha256-LNoPg1KCoP8RWxU/AzHR52f4Dww24I9BGQJedMhFxyQ=";
- relative = "libcxx";
+ url = "https://github.com/llvm/llvm-project/commit/77610dd10454e87bb387040d2b51100a17ac5755.patch";
+ revert = true;
+ hash = "sha256-jFbC3vBY3nKfjknJ7UzaPyoy0iSYdD3+jUmOFeOaVcA=";
+ })
+ (fetchpatch {
+ url = "https://github.com/llvm/llvm-project/commit/48e5b5ea92674ded69b998cf35724d9012c0f57d.patch";
+ revert = true;
+ hash = "sha256-WN63L4T3GxVozPZb6kx21AgNe4rwwSUOeeryIGsvQYY=";
})
];
postPatch = ''
- cd ../runtimes
+ cd runtimes
'';
preConfigure = lib.optionalString stdenv.hostPlatform.isMusl ''
@@ -65,50 +110,22 @@ stdenv.mkDerivation rec {
'';
nativeBuildInputs = [ cmake ninja python3 ]
- ++ lib.optional stdenv.isDarwin fixDarwinDylibNames;
+ ++ lib.optional stdenv.isDarwin fixDarwinDylibNames
+ ++ lib.optional (cxxabi != null) lndir;
- buildInputs =
- lib.optionals (!headersOnly) [ cxxabi ]
- ++ lib.optionals (stdenv.hostPlatform.useLLVM or false && !stdenv.hostPlatform.isWasm) [ libunwind ];
+ buildInputs = [ cxxabi ]
+ ++ lib.optionals (useLLVM && !stdenv.hostPlatform.isWasm) [ libunwind ];
- cmakeFlags = let
- # See: https://libcxx.llvm.org/BuildingLibcxx.html#cmdoption-arg-libcxx-cxx-abi-string
- libcxx_cxx_abi_opt = {
- "c++abi" = "system-libcxxabi";
- "cxxrt" = "libcxxrt";
- }.${cxxabi.libName} or (throw "unknown cxxabi: ${cxxabi.libName} (${cxxabi.pname})");
- in [
- "-DLLVM_ENABLE_RUNTIMES=libcxx"
- "-DLIBCXX_CXX_ABI=${if headersOnly then "none" else libcxx_cxx_abi_opt}"
- ] ++ lib.optional (!headersOnly && cxxabi.libName == "c++abi") "-DLIBCXX_CXX_ABI_INCLUDE_PATHS=${cxxabi.dev}/include/c++/v1"
- ++ lib.optional (stdenv.hostPlatform.isMusl || stdenv.hostPlatform.isWasi) "-DLIBCXX_HAS_MUSL_LIBC=1"
- ++ lib.optionals (stdenv.hostPlatform.useLLVM or false) [
- "-DLIBCXX_USE_COMPILER_RT=ON"
- # There's precedent for this in llvm-project/libcxx/cmake/caches.
- # In a monorepo build you might do the following in the libcxxabi build:
- # -DLLVM_ENABLE_PROJECTS=libcxxabi;libunwinder
- # -DLIBCXXABI_STATICALLY_LINK_UNWINDER_IN_STATIC_LIBRARY=On
- # libcxx appears to require unwind and doesn't pull it in via other means.
- "-DLIBCXX_ADDITIONAL_LIBRARIES=unwind"
- ] ++ lib.optionals stdenv.hostPlatform.isWasm [
- "-DLIBCXX_ENABLE_THREADS=OFF"
- "-DLIBCXX_ENABLE_FILESYSTEM=OFF"
- "-DLIBCXX_ENABLE_EXCEPTIONS=OFF"
- "-DUNIX=ON" # Required otherwise libc++ fails to detect the correct linker
- ] ++ lib.optional (!enableShared) "-DLIBCXX_ENABLE_SHARED=OFF"
- # If we're only building the headers we don't actually *need* a functioning
- # C/C++ compiler:
- ++ lib.optionals (headersOnly) [
- "-DCMAKE_C_COMPILER_WORKS=ON"
- "-DCMAKE_CXX_COMPILER_WORKS=ON"
- ];
-
- ninjaFlags = lib.optional headersOnly "generate-cxx-headers";
- installTargets = lib.optional headersOnly "install-cxx-headers";
+ # libc++.so is a linker script which expands to multiple libraries,
+ # libc++.so.1 and libc++abi.so or the external cxxabi. ld-wrapper doesn't
+ # support linker scripts so the external cxxabi needs to be symlinked in
+ postInstall = lib.optionalString (cxxabi != null) ''
+ lndir ${lib.getDev cxxabi}/include ''${!outputDev}/include/c++/v1
+ lndir ${lib.getLib cxxabi}/lib ''${!outputLib}/lib
+ '';
passthru = {
isLLVM = true;
- inherit cxxabi;
};
meta = llvm_meta // {
diff --git a/pkgs/development/compilers/llvm/git/libcxxabi/default.nix b/pkgs/development/compilers/llvm/git/libcxxabi/default.nix
deleted file mode 100644
index 0a795da44ae4..000000000000
--- a/pkgs/development/compilers/llvm/git/libcxxabi/default.nix
+++ /dev/null
@@ -1,117 +0,0 @@
-{ lib, stdenv, llvm_meta, cmake, ninja, python3
-, monorepoSrc, runCommand, fetchpatch
-, cxx-headers, libunwind, version
-, enableShared ? !stdenv.hostPlatform.isStatic
-}:
-
-stdenv.mkDerivation rec {
- pname = "libcxxabi";
- inherit version;
-
- src = runCommand "${pname}-src-${version}" {} ''
- mkdir -p "$out"
- cp -r ${monorepoSrc}/cmake "$out"
- cp -r ${monorepoSrc}/${pname} "$out"
- mkdir -p "$out/libcxx/src"
- cp -r ${monorepoSrc}/libcxx/cmake "$out/libcxx"
- cp -r ${monorepoSrc}/libcxx/include "$out/libcxx"
- cp -r ${monorepoSrc}/libcxx/src/include "$out/libcxx/src"
- mkdir -p "$out/llvm"
- cp -r ${monorepoSrc}/llvm/cmake "$out/llvm"
- cp -r ${monorepoSrc}/llvm/utils "$out/llvm"
- cp -r ${monorepoSrc}/runtimes "$out"
- '';
-
- sourceRoot = "${src.name}/runtimes";
-
- outputs = [ "out" "dev" ];
-
- postUnpack = lib.optionalString stdenv.isDarwin ''
- export TRIPLE=x86_64-apple-darwin
- '';
-
- prePatch = ''
- cd ../${pname}
- chmod -R u+w .
- '';
-
- patches = [
- ./gnu-install-dirs.patch
-
- # https://reviews.llvm.org/D132298, Allow building libcxxabi alone
- (fetchpatch {
- url = "https://github.com/llvm/llvm-project/commit/e6a0800532bb409f6d1c62f3698bdd6994a877dc.patch";
- sha256 = "1xyjd56m4pfwq8p3xh6i8lhkk9kq15jaml7qbhxdf87z4jjkk63a";
- stripLen = 1;
- })
- ];
-
- postPatch = ''
- cd ../runtimes
- '';
-
- nativeBuildInputs = [ cmake ninja python3 ];
- buildInputs = lib.optional (!stdenv.isDarwin && !stdenv.hostPlatform.isWasm) libunwind;
-
- cmakeFlags = [
- "-DLLVM_ENABLE_RUNTIMES=libcxxabi"
- "-DLIBCXXABI_LIBCXX_INCLUDES=${cxx-headers}/include/c++/v1"
-
- # `libcxxabi`'s build does not need a toolchain with a c++ stdlib attached
- # (we specify the headers it should use explicitly above).
- #
- # CMake however checks for this anyways; this flag tells it not to. See:
- # https://github.com/llvm/llvm-project/blob/4bd3f3759259548e159aeba5c76efb9a0864e6fa/llvm/runtimes/CMakeLists.txt#L243
- "-DCMAKE_CXX_COMPILER_WORKS=ON"
- ] ++ lib.optionals (stdenv.hostPlatform.useLLVM or false && !stdenv.hostPlatform.isWasm) [
- "-DLLVM_ENABLE_LIBCXX=ON"
- "-DLIBCXXABI_USE_LLVM_UNWINDER=ON"
- # libcxxabi's CMake looks as though it treats -nostdlib++ as implying -nostdlib,
- # but that does not appear to be the case for example when building
- # pkgsLLVM.libcxxabi (which uses clangNoCompilerRtWithLibc).
- "-DCMAKE_EXE_LINKER_FLAGS=-nostdlib"
- "-DCMAKE_SHARED_LINKER_FLAGS=-nostdlib"
- ] ++ lib.optionals stdenv.hostPlatform.isWasm [
- "-DCMAKE_C_COMPILER_WORKS=ON"
- "-DCMAKE_CXX_COMPILER_WORKS=ON"
- "-DLIBCXXABI_ENABLE_THREADS=OFF"
- "-DLIBCXXABI_ENABLE_EXCEPTIONS=OFF"
- "-DUNIX=ON"
- ] ++ lib.optionals (!enableShared) [
- "-DLIBCXXABI_ENABLE_SHARED=OFF"
- ];
-
- preInstall = lib.optionalString stdenv.isDarwin ''
- for file in lib/*.dylib; do
- # this should be done in CMake, but having trouble figuring out
- # the magic combination of necessary CMake variables
- # if you fancy a try, take a look at
- # https://gitlab.kitware.com/cmake/community/-/wikis/doc/cmake/RPATH-handling
- install_name_tool -id $out/$file $file
- done
- '';
-
- postInstall = ''
- mkdir -p "$dev/include"
- install -m 644 ../../${pname}/include/${if stdenv.isDarwin then "*" else "cxxabi.h"} "$dev/include"
- '';
-
- passthru = {
- libName = "c++abi";
- };
-
- meta = llvm_meta // {
- homepage = "https://libcxxabi.llvm.org/";
- description = "Provides C++ standard library support";
- longDescription = ''
- libc++abi is a new implementation of low level support for a standard C++ library.
- '';
- # "All of the code in libc++abi is dual licensed under the MIT license and
- # the UIUC License (a BSD-like license)":
- license = with lib.licenses; [ mit ncsa ];
- maintainers = llvm_meta.maintainers ++ [ lib.maintainers.vlstill ];
- # Broken until https://github.com/llvm/llvm-project/issues/64226 is resolved
- # We should check if the version is not 10.13 but that is currently broken.
- broken = stdenv.isDarwin && stdenv.isx86_64;
- };
-}
diff --git a/pkgs/development/compilers/llvm/git/libcxxabi/gnu-install-dirs.patch b/pkgs/development/compilers/llvm/git/libcxxabi/gnu-install-dirs.patch
deleted file mode 100644
index 51382fcefed2..000000000000
--- a/pkgs/development/compilers/llvm/git/libcxxabi/gnu-install-dirs.patch
+++ /dev/null
@@ -1,22 +0,0 @@
-diff --git a/libcxxabi/CMakeLists.txt b/libcxxabi/CMakeLists.txt
-index f380fe6b6b92..a9656258c38e 100644
---- a/CMakeLists.txt
-+++ b/CMakeLists.txt
-@@ -188,7 +188,7 @@ set(LIBCXXABI_INSTALL_RUNTIME_DIR "${CMAKE_INSTALL_BINDIR}" CACHE STRING
- if(LLVM_ENABLE_PER_TARGET_RUNTIME_DIR AND NOT APPLE)
- set(LIBCXXABI_HEADER_DIR ${LLVM_BINARY_DIR})
- set(LIBCXXABI_LIBRARY_DIR ${LLVM_LIBRARY_OUTPUT_INTDIR}/${LLVM_DEFAULT_TARGET_TRIPLE})
-- set(LIBCXXABI_INSTALL_LIBRARY_DIR lib${LLVM_LIBDIR_SUFFIX}/${LLVM_DEFAULT_TARGET_TRIPLE} CACHE STRING
-+ set(LIBCXXABI_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX}/${LLVM_DEFAULT_TARGET_TRIPLE} CACHE PATH
- "Path where built libc++abi libraries should be installed.")
- if(LIBCXX_LIBDIR_SUBDIR)
- string(APPEND LIBCXXABI_LIBRARY_DIR /${LIBCXXABI_LIBDIR_SUBDIR})
-@@ -202,7 +202,7 @@ else()
- set(LIBCXXABI_HEADER_DIR ${CMAKE_BINARY_DIR})
- set(LIBCXXABI_LIBRARY_DIR ${CMAKE_BINARY_DIR}/lib${LIBCXXABI_LIBDIR_SUFFIX})
- endif()
-- set(LIBCXXABI_INSTALL_LIBRARY_DIR lib${LIBCXXABI_LIBDIR_SUFFIX} CACHE STRING
-+ set(LIBCXXABI_INSTALL_LIBRARY_DIR ${CMAKE_INSTALL_LIBDIR}${LIBCXXABI_LIBDIR_SUFFIX} CACHE PATH
- "Path where built libc++abi libraries should be installed.")
- endif()
-
diff --git a/pkgs/development/compilers/llvm/git/llvm/default.nix b/pkgs/development/compilers/llvm/git/llvm/default.nix
index 3c52b7a9bb0b..b8715228ab1c 100644
--- a/pkgs/development/compilers/llvm/git/llvm/default.nix
+++ b/pkgs/development/compilers/llvm/git/llvm/default.nix
@@ -223,8 +223,12 @@ stdenv.mkDerivation (rec {
--replace "PassBuilderCallbacksTest.cpp" ""
rm unittests/IR/PassBuilderCallbacksTest.cpp
rm test/tools/llvm-objcopy/ELF/mirror-permissions-unix.test
+
+ # Fails in the presence of anti-virus software or other intrusion-detection software that
+ # modifies the atime when run. See #284056.
+ rm test/tools/llvm-objcopy/ELF/strip-preserve-atime.test
'' + optionalString stdenv.hostPlatform.isMusl ''
- patch -p1 -i ${../../TLI-musl.patch}
+ patch -p1 -i ${../../common/llvm/TLI-musl.patch}
substituteInPlace unittests/Support/CMakeLists.txt \
--replace "add_subdirectory(DynamicLibrary)" ""
rm unittests/Support/DynamicLibrary/DynamicLibraryTest.cpp
@@ -290,6 +294,8 @@ stdenv.mkDerivation (rec {
# E.g. mesa.drivers use the build-id as a cache key (see #93946):
LDFLAGS = optionalString (enableSharedLibraries && !stdenv.isDarwin) "-Wl,--build-id=sha1";
+ hardeningDisable = [ "trivialautovarinit" ];
+
cmakeBuildType = if debugVersion then "Debug" else "Release";
cmakeFlags = with stdenv; let
diff --git a/pkgs/development/compilers/llvm/git/llvm/gnu-install-dirs-polly.patch b/pkgs/development/compilers/llvm/git/llvm/gnu-install-dirs-polly.patch
index b01363e98aa0..442ebddad3f6 100644
--- a/pkgs/development/compilers/llvm/git/llvm/gnu-install-dirs-polly.patch
+++ b/pkgs/development/compilers/llvm/git/llvm/gnu-install-dirs-polly.patch
@@ -6,14 +6,14 @@ diff --git a/tools/polly/cmake/polly_macros.cmake b/tools/polly/cmake/polly_macr
index 518a09b45a42..bd9d6f5542ad 100644
--- a/tools/polly/cmake/polly_macros.cmake
+++ b/tools/polly/cmake/polly_macros.cmake
-@@ -44,8 +44,8 @@ macro(add_polly_library name)
- if (NOT LLVM_INSTALL_TOOLCHAIN_ONLY OR ${name} STREQUAL "LLVMPolly")
+@@ -45,8 +45,8 @@ macro(add_polly_library name)
install(TARGETS ${name}
+ COMPONENT ${name}
EXPORT LLVMExports
- LIBRARY DESTINATION lib${LLVM_LIBDIR_SUFFIX}
- ARCHIVE DESTINATION lib${LLVM_LIBDIR_SUFFIX})
-+ LIBRARY DESTINATION ${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX}
++ LIBRARY DESTINATION ${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX}
+ ARCHIVE DESTINATION ${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX})
+ add_llvm_install_targets(install-${name}
+ COMPONENT ${name})
endif()
- set_property(GLOBAL APPEND PROPERTY LLVM_EXPORTS ${name})
- endmacro(add_polly_library)
diff --git a/pkgs/development/compilers/llvm/git/openmp/gnu-install-dirs.patch b/pkgs/development/compilers/llvm/git/openmp/gnu-install-dirs.patch
index 77a93208832a..0d0d4130c761 100644
--- a/pkgs/development/compilers/llvm/git/openmp/gnu-install-dirs.patch
+++ b/pkgs/development/compilers/llvm/git/openmp/gnu-install-dirs.patch
@@ -6,17 +6,17 @@ index b6ddbe90516d..311ab1d50e7f 100644
set(OPENMP_LIBDIR_SUFFIX "" CACHE STRING
"Suffix of lib installation directory, e.g. 64 => lib64")
# Do not use OPENMP_LIBDIR_SUFFIX directly, use OPENMP_INSTALL_LIBDIR.
-- set(OPENMP_INSTALL_LIBDIR "lib${OPENMP_LIBDIR_SUFFIX}")
-+ set(OPENMP_INSTALL_LIBDIR "${CMAKE_INSTALL_LIBDIR}${OPENMP_LIBDIR_SUFFIX}")
+- set(OPENMP_INSTALL_LIBDIR "lib${OPENMP_LIBDIR_SUFFIX}" CACHE STRING
++ set(OPENMP_INSTALL_LIBDIR "${CMAKE_INSTALL_LIBDIR}${OPENMP_LIBDIR_SUFFIX}" CACHE STRING
+ "Path where built OpenMP libraries should be installed.")
# Group test settings.
- set(OPENMP_TEST_C_COMPILER ${CMAKE_C_COMPILER} CACHE STRING
-@@ -40,7 +40,7 @@ if (OPENMP_STANDALONE_BUILD)
+@@ -47,7 +47,7 @@ if (OPENMP_STANDALONE_BUILD)
else()
set(OPENMP_ENABLE_WERROR ${LLVM_ENABLE_WERROR})
# If building in tree, we honor the same install suffix LLVM uses.
-- set(OPENMP_INSTALL_LIBDIR "lib${LLVM_LIBDIR_SUFFIX}")
-+ set(OPENMP_INSTALL_LIBDIR "${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX}")
+- set(OPENMP_INSTALL_LIBDIR "lib${LLVM_LIBDIR_SUFFIX}" CACHE STRING
++ set(OPENMP_INSTALL_LIBDIR "${CMAKE_INSTALL_LIBDIR}${LLVM_LIBDIR_SUFFIX}" CACHE STRING
+ "Path where built OpenMP libraries should be installed.")
if (NOT MSVC)
- set(OPENMP_TEST_C_COMPILER ${LLVM_RUNTIME_OUTPUT_INTDIR}/clang)
diff --git a/pkgs/development/compilers/lobster/default.nix b/pkgs/development/compilers/lobster/default.nix
index e380cf735fb9..131fdbfef98f 100644
--- a/pkgs/development/compilers/lobster/default.nix
+++ b/pkgs/development/compilers/lobster/default.nix
@@ -16,14 +16,14 @@
, ForceFeedback
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "lobster";
version = "2023.13";
src = fetchFromGitHub {
owner = "aardappel";
repo = "lobster";
- rev = "v${version}";
+ rev = "v${finalAttrs.version}";
sha256 = "sha256-7lMIIJ3iduyxZKwK65tle3c+az2G2Mpi4JwAeCCsTxw=";
};
@@ -53,6 +53,7 @@ stdenv.mkDerivation rec {
broken = stdenv.isDarwin;
homepage = "https://strlen.com/lobster/";
description = "The Lobster programming language";
+ mainProgram = "lobster";
longDescription = ''
Lobster is a programming language that tries to combine the advantages of
very static typing and memory management with a very lightweight,
@@ -62,4 +63,4 @@ stdenv.mkDerivation rec {
maintainers = with maintainers; [ fgaz ];
platforms = platforms.all;
};
-}
+})
diff --git a/pkgs/development/compilers/lunarml/default.nix b/pkgs/development/compilers/lunarml/default.nix
index 558a4e435a41..d47801181701 100644
--- a/pkgs/development/compilers/lunarml/default.nix
+++ b/pkgs/development/compilers/lunarml/default.nix
@@ -49,6 +49,7 @@ stdenvNoCC.mkDerivation {
meta = {
description = "Standard ML compiler that produces Lua/JavaScript";
+ mainProgram = "lunarml";
homepage = "https://github.com/minoki/LunarML";
license = lib.licenses.mit;
maintainers = with lib.maintainers; [ toastal ratsclub ];
diff --git a/pkgs/development/compilers/manticore/default.nix b/pkgs/development/compilers/manticore/default.nix
index b767ccf150a6..030e13165631 100644
--- a/pkgs/development/compilers/manticore/default.nix
+++ b/pkgs/development/compilers/manticore/default.nix
@@ -39,6 +39,7 @@ in stdenv.mkDerivation {
meta = {
description = "A parallel, pure variant of Standard ML";
+ mainProgram = "pmlc";
longDescription = ''
Manticore is a high-level parallel programming language aimed at
diff --git a/pkgs/development/compilers/mcpp/default.nix b/pkgs/development/compilers/mcpp/default.nix
index e8eb62a15f13..9db55e29ccdb 100644
--- a/pkgs/development/compilers/mcpp/default.nix
+++ b/pkgs/development/compilers/mcpp/default.nix
@@ -23,6 +23,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
homepage = "https://github.com/museoa/mcpp";
description = "Matsui's C preprocessor";
+ mainProgram = "mcpp";
license = licenses.bsd2;
maintainers = with maintainers; [ AndersonTorres ];
platforms = platforms.unix;
diff --git a/pkgs/development/compilers/microscheme/default.nix b/pkgs/development/compilers/microscheme/default.nix
index fa65622ba0cd..c9f3a1847066 100644
--- a/pkgs/development/compilers/microscheme/default.nix
+++ b/pkgs/development/compilers/microscheme/default.nix
@@ -22,6 +22,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://ryansuchocki.github.io/microscheme/";
description = "A Scheme subset for Atmel microcontrollers";
+ mainProgram = "microscheme";
longDescription = ''
Microscheme is a Scheme subset/variant designed for Atmel
microcontrollers, especially as found on Arduino boards.
diff --git a/pkgs/development/compilers/mint/default.nix b/pkgs/development/compilers/mint/default.nix
index b1baf88c936c..3a81f17fd538 100644
--- a/pkgs/development/compilers/mint/default.nix
+++ b/pkgs/development/compilers/mint/default.nix
@@ -26,6 +26,7 @@ crystal.buildCrystalPackage rec {
meta = with lib; {
description = "A refreshing language for the front-end web";
+ mainProgram = "mint";
homepage = "https://www.mint-lang.com/";
license = licenses.bsd3;
maintainers = with maintainers; [ manveru ];
diff --git a/pkgs/development/compilers/mlkit/default.nix b/pkgs/development/compilers/mlkit/default.nix
index 082b768a2b00..e5cd4992d6c6 100644
--- a/pkgs/development/compilers/mlkit/default.nix
+++ b/pkgs/development/compilers/mlkit/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "mlkit";
- version = "4.7.8";
+ version = "4.7.9";
src = fetchFromGitHub {
owner = "melsman";
repo = "mlkit";
rev = "v${version}";
- sha256 = "sha256-IAlcf4McvWoCflrH6d6PQP1aosHq2QNKBwde7i38Mc4=";
+ sha256 = "sha256-Q5HKNilXhoOaCMY05A09VzK4CpLPte78bivs1c78euM=";
};
nativeBuildInputs = [ autoreconfHook mlton ];
diff --git a/pkgs/development/compilers/mruby/default.nix b/pkgs/development/compilers/mruby/default.nix
index 578dbf9c9837..0a081596616a 100644
--- a/pkgs/development/compilers/mruby/default.nix
+++ b/pkgs/development/compilers/mruby/default.nix
@@ -1,14 +1,14 @@
-{ lib, stdenv, ruby, rake, fetchFromGitHub }:
+{ lib, stdenv, ruby, rake, fetchFromGitHub, testers }:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "mruby";
- version = "3.2.0";
+ version = "3.3.0";
src = fetchFromGitHub {
owner = "mruby";
repo = "mruby";
- rev = version;
- sha256 = "sha256-MmrbWeg/G29YBvVrOtceTOZChrQ2kx9+apl7u7BiGjA=";
+ rev = finalAttrs.version;
+ sha256 = "sha256-rCoEC1ioX6bOocPoPi+Lsn4PM8gY0DjKja1/MJvJ1n8=";
};
nativeBuildInputs = [ rake ];
@@ -28,11 +28,18 @@ stdenv.mkDerivation rec {
checkTarget = "test";
+ passthru.tests = {
+ version = testers.testVersion {
+ package = finalAttrs.finalPackage;
+ };
+ };
+
meta = with lib; {
description = "An embeddable implementation of the Ruby language";
homepage = "https://mruby.org";
maintainers = with maintainers; [ nicknovitski marsam ];
license = licenses.mit;
platforms = platforms.all;
+ mainProgram = "mruby";
};
-}
+})
diff --git a/pkgs/development/compilers/mrustc/default.nix b/pkgs/development/compilers/mrustc/default.nix
index eae17cbce91f..1305843a7fa7 100644
--- a/pkgs/development/compilers/mrustc/default.nix
+++ b/pkgs/development/compilers/mrustc/default.nix
@@ -41,6 +41,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Mutabah's Rust Compiler";
+ mainProgram = "mrustc";
longDescription = ''
In-progress alternative rust compiler, written in C++.
Capable of building a fully-working copy of rustc,
diff --git a/pkgs/development/compilers/mrustc/minicargo.nix b/pkgs/development/compilers/mrustc/minicargo.nix
index 76d19d4d96d7..c7d5da1f9049 100644
--- a/pkgs/development/compilers/mrustc/minicargo.nix
+++ b/pkgs/development/compilers/mrustc/minicargo.nix
@@ -26,6 +26,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A minimalist builder for Rust";
+ mainProgram = "minicargo";
longDescription = ''
A minimalist builder for Rust, similar to Cargo but written in C++.
Designed to work with mrustc to build Rust projects
diff --git a/pkgs/development/compilers/ocaml/4.14.nix b/pkgs/development/compilers/ocaml/4.14.nix
index 8ce7967853e7..e7dc4d2f5d41 100644
--- a/pkgs/development/compilers/ocaml/4.14.nix
+++ b/pkgs/development/compilers/ocaml/4.14.nix
@@ -1,6 +1,6 @@
import ./generic.nix {
major_version = "4";
minor_version = "14";
- patch_version = "1";
- sha256 = "sha256-wSeXTQJCV2z0cGGyCqnIbRe+DWqpaH9uyYNd5nvnu28";
+ patch_version = "2";
+ sha256 = "sha256-eBn2hpPjKUb5M1jfRqjqb1FyImgfzG98uWIUIWz+x2Q=";
}
diff --git a/pkgs/development/compilers/odin/default.nix b/pkgs/development/compilers/odin/default.nix
index e6691e2436a7..6d4ddf61da31 100644
--- a/pkgs/development/compilers/odin/default.nix
+++ b/pkgs/development/compilers/odin/default.nix
@@ -12,13 +12,13 @@ let
inherit (llvmPackages) stdenv;
in stdenv.mkDerivation rec {
pname = "odin";
- version = "dev-2024-02";
+ version = "dev-2024-03";
src = fetchFromGitHub {
owner = "odin-lang";
repo = "Odin";
rev = version;
- hash = "sha256-v9A0+kgREXALhnvFYWtE0+H4L7CYnyje+d2W5+/ZvHA=";
+ hash = "sha256-oK5OcWAZy9NVH19oep6QU4d5qaiO0p+d9FvxDIrzFLU=";
};
nativeBuildInputs = [
@@ -71,6 +71,7 @@ in stdenv.mkDerivation rec {
meta = with lib; {
description = "A fast, concise, readable, pragmatic and open sourced programming language";
+ mainProgram = "odin";
homepage = "https://odin-lang.org/";
license = licenses.bsd3;
maintainers = with maintainers; [ luc65r astavie znaniye ];
diff --git a/pkgs/development/compilers/open-watcom/v2.nix b/pkgs/development/compilers/open-watcom/v2.nix
index 80ee2ee5e45d..37503496c917 100644
--- a/pkgs/development/compilers/open-watcom/v2.nix
+++ b/pkgs/development/compilers/open-watcom/v2.nix
@@ -47,9 +47,6 @@ stdenv.mkDerivation rec {
ghostscript
];
- # Work around https://github.com/NixOS/nixpkgs/issues/166205
- env.NIX_LDFLAGS = lib.optionalString (stdenv.cc.isClang && stdenv.cc.libcxx != null) "-l${stdenv.cc.libcxx.cxxabi.libName}";
-
configurePhase = ''
runHook preConfigure
diff --git a/pkgs/development/compilers/openspin/default.nix b/pkgs/development/compilers/openspin/default.nix
index abf5623b5821..5bf0ff64d354 100644
--- a/pkgs/development/compilers/openspin/default.nix
+++ b/pkgs/development/compilers/openspin/default.nix
@@ -18,6 +18,7 @@ stdenv.mkDerivation {
meta = with lib; {
description = "Compiler for SPIN/PASM languages for Parallax Propeller MCU";
+ mainProgram = "openspin";
homepage = "https://github.com/parallaxinc/OpenSpin";
license = licenses.mit;
maintainers = [ maintainers.redvers ];
diff --git a/pkgs/development/compilers/opensycl/default.nix b/pkgs/development/compilers/opensycl/default.nix
index c406d4c9d5c2..cdf9a5b9d22c 100644
--- a/pkgs/development/compilers/opensycl/default.nix
+++ b/pkgs/development/compilers/opensycl/default.nix
@@ -9,11 +9,13 @@
, libffi
, makeWrapper
, config
-, rocmPackages
+, rocmPackages_5
, rocmSupport ? config.rocmSupport
}:
let
inherit (llvmPackages_15) stdenv;
+ # move to newer ROCm version once supported
+ rocmPackages = rocmPackages_5;
in
stdenv.mkDerivation rec {
pname = "OpenSYCL";
diff --git a/pkgs/development/compilers/ophis/default.nix b/pkgs/development/compilers/ophis/default.nix
index 2ddfa849b664..0eebdce820ee 100644
--- a/pkgs/development/compilers/ophis/default.nix
+++ b/pkgs/development/compilers/ophis/default.nix
@@ -16,6 +16,7 @@ buildPythonApplication rec {
meta = with lib; {
homepage = "http://michaelcmartin.github.io/Ophis/";
description = "A cross-assembler for the 6502 series of microprocessors";
+ mainProgram = "ophis";
longDescription = ''
Ophis is an assembler for the 6502 microprocessor - the famous chip used
in the vast majority of the classic 8-bit computers and consoles. Its
diff --git a/pkgs/development/compilers/orc/default.nix b/pkgs/development/compilers/orc/default.nix
index dd1ce9d14672..be01d49d5f5a 100644
--- a/pkgs/development/compilers/orc/default.nix
+++ b/pkgs/development/compilers/orc/default.nix
@@ -18,11 +18,11 @@
inherit (lib) optional optionals;
in stdenv.mkDerivation rec {
pname = "orc";
- version = "0.4.34";
+ version = "0.4.36";
src = fetchurl {
url = "https://gstreamer.freedesktop.org/src/orc/${pname}-${version}.tar.xz";
- sha256 = "sha256-j0ers/CXFx5E64B63Nq9hg+6Lv/TfY08T71fNByt1B8=";
+ sha256 = "sha256-g7B0y2cxfVi+8ejQzIYveuinekW7/wVqH5h8ZIiy9f0=";
};
postPatch = lib.optionalString stdenv.isAarch32 ''
diff --git a/pkgs/development/compilers/osl/default.nix b/pkgs/development/compilers/osl/default.nix
index 0d66b81b6ad1..23ec364ffe33 100644
--- a/pkgs/development/compilers/osl/default.nix
+++ b/pkgs/development/compilers/osl/default.nix
@@ -24,13 +24,13 @@ let
in stdenv.mkDerivation rec {
pname = "openshadinglanguage";
- version = "1.13.6.1";
+ version = "1.13.7.0";
src = fetchFromGitHub {
owner = "AcademySoftwareFoundation";
repo = "OpenShadingLanguage";
rev = "v${version}";
- hash = "sha256-NSnM5/SyVkfZ4SyzRzVJc5O1t4/s2ax0koevRZsQ9q8=";
+ hash = "sha256-M8B5lnLEnWu0PQx4BKidFHXm4+Xs26EaD2caOA+bZ1k=";
};
cmakeFlags = [
diff --git a/pkgs/development/compilers/paco/default.nix b/pkgs/development/compilers/paco/default.nix
index d8014dd8e51b..195a67da023a 100644
--- a/pkgs/development/compilers/paco/default.nix
+++ b/pkgs/development/compilers/paco/default.nix
@@ -27,6 +27,7 @@ buildGoModule rec {
meta = with lib; {
description = "A simple compiled programming language";
+ mainProgram = "paco";
homepage = "https://github.com/pacolang/paco";
license = licenses.mit;
maintainers = with maintainers; [ hugolgst ];
diff --git a/pkgs/development/compilers/passerine/default.nix b/pkgs/development/compilers/passerine/default.nix
index e5f88e586746..858356657326 100644
--- a/pkgs/development/compilers/passerine/default.nix
+++ b/pkgs/development/compilers/passerine/default.nix
@@ -15,6 +15,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "A small extensible programming language designed for concise expression with little code";
+ mainProgram = "passerine";
homepage = "https://www.passerine.io/";
license = licenses.mit;
maintainers = with maintainers; [ siraben ];
diff --git a/pkgs/development/compilers/pforth/default.nix b/pkgs/development/compilers/pforth/default.nix
index aadc72c174e2..91efc25fc1e0 100644
--- a/pkgs/development/compilers/pforth/default.nix
+++ b/pkgs/development/compilers/pforth/default.nix
@@ -40,6 +40,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
homepage = "https://www.softsynth.com/pforth/";
description = "Portable Portable ANS-like Forth written in ANSI 'C'";
+ mainProgram = "pforth";
changelog = "https://github.com/philburk/pforth/blob/v${finalAttrs.version}/RELEASES.md";
license = lib.licenses.bsd0;
maintainers = with lib.maintainers; [ AndersonTorres yrashk ];
diff --git a/pkgs/development/compilers/picat/default.nix b/pkgs/development/compilers/picat/default.nix
index 76d1ebdb4102..f52eed6ddf8d 100644
--- a/pkgs/development/compilers/picat/default.nix
+++ b/pkgs/development/compilers/picat/default.nix
@@ -28,6 +28,7 @@ stdenv.mkDerivation {
meta = with lib; {
description = "Logic-based programming langage";
+ mainProgram = "picat";
homepage = "http://picat-lang.org/";
license = licenses.mpl20;
platforms = platforms.linux;
diff --git a/pkgs/development/compilers/purescript/psc-package/default.nix b/pkgs/development/compilers/purescript/psc-package/default.nix
index d291b1f3cfee..2d6631938546 100644
--- a/pkgs/development/compilers/purescript/psc-package/default.nix
+++ b/pkgs/development/compilers/purescript/psc-package/default.nix
@@ -52,6 +52,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A package manager for PureScript based on package sets";
+ mainProgram = "psc-package";
license = licenses.bsd3;
maintainers = with maintainers; [ ];
platforms = [ "x86_64-darwin" "x86_64-linux" ];
diff --git a/pkgs/development/compilers/purescript/purescript/default.nix b/pkgs/development/compilers/purescript/purescript/default.nix
index 35fdf3d369b1..cbb938b28b96 100644
--- a/pkgs/development/compilers/purescript/purescript/default.nix
+++ b/pkgs/development/compilers/purescript/purescript/default.nix
@@ -15,7 +15,7 @@ let
in stdenv.mkDerivation rec {
pname = "purescript";
- version = "0.15.14";
+ version = "0.15.15";
# These hashes can be updated automatically by running the ./update.sh script.
src =
@@ -25,17 +25,17 @@ in stdenv.mkDerivation rec {
then
fetchurl {
url = "https://github.com/${pname}/${pname}/releases/download/v${version}/macos-arm64.tar.gz";
- sha256 = "1sc8ygiha980wbg60bkinvvpdn4bdasq9zffanbxck8msdwxc4zx";
+ sha256 = "0bi231z1yhb7kjfn228wjkj6rv9lgpagz9f4djr2wy3kqgck4xg0";
}
else
fetchurl {
url = "https://github.com/${pname}/${pname}/releases/download/v${version}/macos.tar.gz";
- sha256 = "01973wiybblfbgjbqrhr8435y6jk6c94i667nr3zxkxy4np3lv3q";
+ sha256 = "178ix54k2yragcgn0j8z1cfa78s1qbh1bsx3v9jnngby8igr6yn3";
})
else
fetchurl {
url = "https://github.com/${pname}/${pname}/releases/download/v${version}/linux64.tar.gz";
- sha256 = "0i717gb4d21m0pi1k90g5diq3yja1pwlw6ripv0d70jdnd9gsdl9";
+ sha256 = "1w4jgjpfhaw3gkx9sna64lq9m030x49w4lwk01ik5ci0933imzj3";
};
diff --git a/pkgs/development/compilers/qbe/001-dont-hardcode-tmp.patch b/pkgs/development/compilers/qbe/001-dont-hardcode-tmp.patch
new file mode 100644
index 000000000000..556dc5aab2a6
--- /dev/null
+++ b/pkgs/development/compilers/qbe/001-dont-hardcode-tmp.patch
@@ -0,0 +1,43 @@
+diff --git a/minic/mcc b/minic/mcc
+index 492947e..5258aac 100755
+--- a/minic/mcc
++++ b/minic/mcc
+@@ -31,9 +31,9 @@ then
+ fi
+
+
+-$DIR/minic < $file > /tmp/minic.ssa &&
+-$QBE < /tmp/minic.ssa > /tmp/minic.s &&
+-cc /tmp/minic.s $flags
++$DIR/minic < $file > ${TMPDIR:-/tmp}/minic.ssa &&
++$QBE < ${TMPDIR:-/tmp}/minic.ssa > ${TMPDIR:-/tmp}/minic.s &&
++cc ${TMPDIR:-/tmp}/minic.s $flags
+
+ if test $? -ne 0
+ then
+diff --git a/tools/cra.sh b/tools/cra.sh
+index 5988267..57a4b34 100755
+--- a/tools/cra.sh
++++ b/tools/cra.sh
+@@ -2,7 +2,7 @@
+
+ DIR=`cd $(dirname "$0"); pwd`
+ QBE=$DIR/../qbe
+-BUGF=/tmp/bug.id
++BUGF=${TMPDIR:-/tmp}/bug.id
+ FIND=$1
+ FIND=${FIND:-afl-find}
+
+diff --git a/tools/test.sh b/tools/test.sh
+index 23c6663..fb36222 100755
+--- a/tools/test.sh
++++ b/tools/test.sh
+@@ -4,7 +4,7 @@ dir=`dirname "$0"`
+ bin=$dir/../qbe
+ binref=$dir/../qbe.ref
+
+-tmp=/tmp/qbe.zzzz
++tmp=${TMPDIR:-/tmp}/qbe.zzzz
+
+ drv=$tmp.c
+ asm=$tmp.s
diff --git a/pkgs/development/compilers/qbe/default.nix b/pkgs/development/compilers/qbe/default.nix
index ee075ec04056..00c91e2671ec 100644
--- a/pkgs/development/compilers/qbe/default.nix
+++ b/pkgs/development/compilers/qbe/default.nix
@@ -1,23 +1,34 @@
-{ lib, stdenv
+{ lib
+, stdenv
, fetchzip
, callPackage
}:
-
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "qbe";
- version = "1.1";
+ version = "1.2";
src = fetchzip {
- url = "https://c9x.me/compile/release/qbe-${version}.tar.xz";
- sha256 = "sha256-yFZ3cpp7eLjf7ythKFTY1YEJYyfeg2en4/D8+9oM1B4=";
+ url = "https://c9x.me/compile/release/qbe-${finalAttrs.version}.tar.xz";
+ hash = "sha256-UgtJnZF/YtD54OBy9HzGRAEHx5tC9Wo2YcUidGwrv+s=";
};
- makeFlags = [ "PREFIX=$(out)" ];
+ makeFlags = [
+ "PREFIX=$(out)"
+ "CC=${stdenv.cc.targetPrefix}cc"
+ ];
doCheck = true;
+ enableParallelBuilding = true;
+
+ patches = [
+ # Use "${TMPDIR:-/tmp}" instead of the latter directly
+ # see
+ ./001-dont-hardcode-tmp.patch
+ ];
+
passthru = {
- tests.can-run-hello-world = callPackage ./test-can-run-hello-world.nix {};
+ tests.can-run-hello-world = callPackage ./test-can-run-hello-world.nix { };
};
meta = with lib; {
@@ -26,5 +37,6 @@ stdenv.mkDerivation rec {
maintainers = with maintainers; [ fgaz ];
license = licenses.mit;
platforms = platforms.all;
+ mainProgram = "qbe";
};
-}
+})
diff --git a/pkgs/development/compilers/rasm/default.nix b/pkgs/development/compilers/rasm/default.nix
index 0f617d0f6618..3246e014145f 100644
--- a/pkgs/development/compilers/rasm/default.nix
+++ b/pkgs/development/compilers/rasm/default.nix
@@ -25,6 +25,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "http://www.roudoudou.com/rasm/";
description = "Z80 assembler";
+ mainProgram = "rasm";
# use -n option to display all licenses
license = licenses.mit; # expat version
maintainers = [ ];
diff --git a/pkgs/development/compilers/reason/default.nix b/pkgs/development/compilers/reason/default.nix
index 12e58b6739ad..954c58718cf3 100644
--- a/pkgs/development/compilers/reason/default.nix
+++ b/pkgs/development/compilers/reason/default.nix
@@ -6,11 +6,11 @@
stdenv.mkDerivation rec {
pname = "ocaml${ocaml.version}-reason";
- version = "3.10.0";
+ version = "3.11.0";
src = fetchurl {
url = "https://github.com/reasonml/reason/releases/download/${version}/reason-${version}.tbz";
- hash = "sha256-F+rUwoZK9yc/VtCtYsRWS+Lq3nbT2oex04HtW0T0Zss=";
+ hash = "sha256-pYg38Up58EfI65nVUYrrFu5kNTV0KGz9WyhMmKGiclA=";
};
strictDeps = true;
diff --git a/pkgs/development/compilers/rust/1_75.nix b/pkgs/development/compilers/rust/1_76.nix
similarity index 57%
rename from pkgs/development/compilers/rust/1_75.nix
rename to pkgs/development/compilers/rust/1_76.nix
index 2ca1e2d294bc..e04939735df4 100644
--- a/pkgs/development/compilers/rust/1_75.nix
+++ b/pkgs/development/compilers/rust/1_76.nix
@@ -19,8 +19,8 @@
} @ args:
import ./default.nix {
- rustcVersion = "1.75.0";
- rustcSha256 = "sha256-W3OfRbydNB4tHFcNZdI3VZHiLC0j71uKN3EaA4arwIg=";
+ rustcVersion = "1.76.0";
+ rustcSha256 = "sha256-nlz/Azp/DSJmgYmCrZDk0+Tvj47hcVd2xuJQc6E2wCE=";
llvmSharedForBuild = pkgsBuildBuild.llvmPackages_17.libllvm.override { enableSharedLibraries = true; };
llvmSharedForHost = pkgsBuildHost.llvmPackages_17.libllvm.override { enableSharedLibraries = true; };
@@ -34,24 +34,24 @@ import ./default.nix {
# Note: the version MUST be one version prior to the version we're
# building
- bootstrapVersion = "1.74.1";
+ bootstrapVersion = "1.75.0";
# fetch hashes by running `print-hashes.sh ${bootstrapVersion}`
bootstrapHashes = {
- i686-unknown-linux-gnu = "b883b98372c91bc6aa9dc6ebb2b4e02e7dacbbc2ac1ebe55923dc37134df70a4";
- x86_64-unknown-linux-gnu = "d206888a2a9d55113940151ba16117ce2456d7de021bab18cfcb06dc48d3157c";
- x86_64-unknown-linux-musl = "5af3115a1f16431630f288821bd7f3be8cf7e08a7611b3c3bce3976774aa6cd2";
- arm-unknown-linux-gnueabihf = "1dd7d835af4afe9adb7f785046c907090ace66f1c975cfe9e8886847310d8ec9";
- armv7-unknown-linux-gnueabihf = "a5038ae004bf86eed64ef67329f7ba047bb4d188663bfd260320d53a2fed33c4";
- aarch64-unknown-linux-gnu = "0dbdfce647f3c7d9ff00a7aa5d6dbbd7010486f803a9749cff46189f5ecb438c";
- aarch64-unknown-linux-musl = "02674b8e4311780464313c5773d43606fbf6880d5c4512930d59b6d5d369f0de";
- x86_64-apple-darwin = "54e1ef01d73f6031fbee36bbecd9af4209eb682dea478696e8282ca64d5792e5";
- aarch64-apple-darwin = "af6a982cbed85807fb8e5c4ba85b8a76162b58945f4787e0a7dec32e901e8b3b";
- powerpc64le-unknown-linux-gnu = "bb1c9f0ab1016a2817afe8f72c03f8f1787fe44d0f9999669e0c1957a08e6213";
- riscv64gc-unknown-linux-gnu = "86561a8d630f634fdd7cb5899d40027103c907d9763a32770b7e2fd57dbd8473";
+ i686-unknown-linux-gnu = "107b8d8825deab338f338b15f047829da6225bb34644790847e96f0957c6678f";
+ x86_64-unknown-linux-gnu = "473978b6f8ff216389f9e89315211c6b683cf95a966196e7914b46e8cf0d74f6";
+ x86_64-unknown-linux-musl = "cc6ef41aa811ab34f946fe2b4338d1107daf08642125fd566386bf45563597de";
+ arm-unknown-linux-gnueabihf = "985454b6c385cb461cc8a39d2d7d55dcf6c50495033fe5d28edcc717729d8ae9";
+ armv7-unknown-linux-gnueabihf = "bd876a75f72040d96be2fb882770b16b482ac0ab15d7e3ad24e6d25b7c74bcf7";
+ aarch64-unknown-linux-gnu = "30828cd904fcfb47f1ac43627c7033c903889ea4aca538f53dcafbb3744a9a73";
+ aarch64-unknown-linux-musl = "26b5989525b7cf623f3868a37549736e0efe1142a08f191a97e29758cc640ac4";
+ x86_64-apple-darwin = "ad066e4dec7ae5948c4e7afe68e250c336a5ab3d655570bb119b3eba9cf22851";
+ aarch64-apple-darwin = "878ecf81e059507dd2ab256f59629a4fb00171035d2a2f5638cb582d999373b1";
+ powerpc64le-unknown-linux-gnu = "2599cdfea5860b4efbceb7bca69845a96ac1c96aa50cf8261151e82280b397a0";
+ riscv64gc-unknown-linux-gnu = "7f7b73d8924d7dd24dcb2ef0da257eb48d9aed658b00fe68e8f1ade0b1ce4511";
};
- selectRustPackage = pkgs: pkgs.rust_1_75;
+ selectRustPackage = pkgs: pkgs.rust_1_76;
rustcPatches = [ ];
}
diff --git a/pkgs/development/compilers/rust/cargo-auditable.nix b/pkgs/development/compilers/rust/cargo-auditable.nix
index b86ed5d7d4e1..34d877501c3b 100644
--- a/pkgs/development/compilers/rust/cargo-auditable.nix
+++ b/pkgs/development/compilers/rust/cargo-auditable.nix
@@ -3,16 +3,16 @@
let
args = rec {
pname = "cargo-auditable";
- version = "0.6.1";
+ version = "0.6.2";
src = fetchFromGitHub {
owner = "rust-secure-code";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-MKMPLv8jeST0l4tq+MMPC18qfZMmBixdj6Ng19YKepU=";
+ sha256 = "sha256-ERIzx9Fveanq7/aWcB2sviTxIahvSu0sTwgpGf/aYE8=";
};
- cargoSha256 = "sha256-6/f7pNaTL+U6bI6jMakU/lfwYYxN/EM3WkKZcydsyLk=";
+ cargoHash = "sha256-4o3ctun/8VcBRuj+j0Yaawdkyn6Z6LPp+FTyhPxQWU8=";
# Cargo.lock is outdated
preConfigure = ''
@@ -21,6 +21,7 @@ let
meta = with lib; {
description = "A tool to make production Rust binaries auditable";
+ mainProgram = "cargo-auditable";
homepage = "https://github.com/rust-secure-code/cargo-auditable";
changelog = "https://github.com/rust-secure-code/cargo-auditable/blob/v${version}/cargo-auditable/CHANGELOG.md";
license = with licenses; [ mit /* or */ asl20 ];
diff --git a/pkgs/development/compilers/rust/cargo.nix b/pkgs/development/compilers/rust/cargo.nix
index 1377cfad6c6c..b0c40c5760cb 100644
--- a/pkgs/development/compilers/rust/cargo.nix
+++ b/pkgs/development/compilers/rust/cargo.nix
@@ -24,42 +24,6 @@ rustPlatform.buildRustPackage.override {
inherit (rustc.unwrapped) tests;
};
- # Upstream rustc still assumes that musl = static[1]. The fix for
- # this is to disable crt-static by default for non-static musl
- # targets.
- #
- # For every package apart from Cargo, we can fix this by just
- # patching rustc to not have crt-static by default. But Cargo is
- # built with the upstream bootstrap binary for rustc, which we can't
- # easily patch. This means we need to find another way to make sure
- # crt-static is not used during the build of pkgsMusl.cargo.
- #
- # By default, Cargo doesn't apply RUSTFLAGS when building build.rs
- # if --target is passed, so the only good way to set -crt-static for
- # build.rs files used in the Cargo build is to use the unstable
- # -Zhost-config Cargo feature. This allows us to specify flags that
- # should be passed to rustc when building for the build platform.
- # We also need to use -Ztarget-applies-to-host, because using
- # -Zhost-config requires it.
- #
- # When doing this, we also have to specify the linker, or cargo
- # won't pass a -C linker= argument to rustc. This will make rustc
- # try to use its default value of "cc", which won't be available
- # when cross-compiling.
- #
- # [1]: https://github.com/rust-lang/compiler-team/issues/422
- postPatch = lib.optionalString (with stdenv.buildPlatform; isMusl && !isStatic) ''
- mkdir -p .cargo
- cat <> .cargo/config
- [host]
- rustflags = "-C target-feature=-crt-static"
- linker = "${pkgsBuildHost.stdenv.cc}/bin/${pkgsBuildHost.stdenv.cc.targetPrefix}cc"
- [unstable]
- host-config = true
- target-applies-to-host = true
- EOF
- '';
-
# changes hash of vendor directory otherwise
dontUpdateAutotoolsGnuConfigScripts = true;
@@ -108,6 +72,7 @@ rustPlatform.buildRustPackage.override {
meta = with lib; {
homepage = "https://crates.io";
description = "Downloads your Rust project's dependencies and builds your project";
+ mainProgram = "cargo";
maintainers = teams.rust.members;
license = [ licenses.mit licenses.asl20 ];
platforms = platforms.unix;
diff --git a/pkgs/development/compilers/rust/make-rust-platform.nix b/pkgs/development/compilers/rust/make-rust-platform.nix
index e22cb6d594af..6ed724aae821 100644
--- a/pkgs/development/compilers/rust/make-rust-platform.nix
+++ b/pkgs/development/compilers/rust/make-rust-platform.nix
@@ -1,4 +1,4 @@
-{ lib, buildPackages, callPackage, cargo-auditable, stdenv, runCommand }@prev:
+{ lib, buildPackages, callPackage, callPackages, cargo-auditable, stdenv, runCommand }@prev:
{ rustc
, cargo
@@ -34,7 +34,7 @@ rec {
};
# Hooks
- inherit (callPackage ../../../build-support/rust/hooks {
+ inherit (callPackages ../../../build-support/rust/hooks {
inherit stdenv cargo rustc;
}) cargoBuildHook cargoCheckHook cargoInstallHook cargoNextestHook cargoSetupHook maturinBuildHook bindgenHook;
}
diff --git a/pkgs/development/compilers/rust/rustc.nix b/pkgs/development/compilers/rust/rustc.nix
index 6e0afa1b8f57..0a4a351b1cfd 100644
--- a/pkgs/development/compilers/rust/rustc.nix
+++ b/pkgs/development/compilers/rust/rustc.nix
@@ -175,8 +175,7 @@ in stdenv.mkDerivation (finalAttrs: {
python ./x.py --keep-stage=0 --stage=1 install library/std
mkdir -v $out/bin $doc $man
- ln -s ${rustc.unwrapped}/bin/rustc $out/bin
- makeWrapper ${rustc.unwrapped}/bin/rustdoc $out/bin/rustdoc --add-flags "--sysroot $out"
+ ln -s ${rustc.unwrapped}/bin/{rustc,rustdoc} $out/bin
ln -s ${rustc.unwrapped}/lib/rustlib/{manifest-rust-std-,}${stdenv.hostPlatform.rust.rustcTargetSpec} $out/lib/rustlib/
echo rust-std-${stdenv.hostPlatform.rust.rustcTargetSpec} >> $out/lib/rustlib/components
lndir ${rustc.doc} $doc
@@ -197,18 +196,6 @@ in stdenv.mkDerivation (finalAttrs: {
# Useful debugging parameter
# export VERBOSE=1
- '' + lib.optionalString (stdenv.targetPlatform.isMusl && !stdenv.targetPlatform.isStatic) ''
- # Upstream rustc still assumes that musl = static[1]. The fix for
- # this is to disable crt-static by default for non-static musl
- # targets.
- #
- # Even though Cargo will build build.rs files for the build platform,
- # cross-compiling _from_ musl appears to work fine, so we only need
- # to do this when rustc's target platform is dynamically linked musl.
- #
- # [1]: https://github.com/rust-lang/compiler-team/issues/422
- substituteInPlace compiler/rustc_target/src/spec/base/linux_musl.rs \
- --replace "base.crt_static_default = true" "base.crt_static_default = false"
'' + lib.optionalString (stdenv.isDarwin && stdenv.isx86_64) ''
# See https://github.com/jemalloc/jemalloc/issues/1997
# Using a value of 48 should work on both emulated and native x86_64-darwin.
diff --git a/pkgs/development/compilers/sagittarius-scheme/default.nix b/pkgs/development/compilers/sagittarius-scheme/default.nix
index 941ac1bcb2c3..f9b25b9341a4 100644
--- a/pkgs/development/compilers/sagittarius-scheme/default.nix
+++ b/pkgs/development/compilers/sagittarius-scheme/default.nix
@@ -16,10 +16,10 @@ let platformLdLibraryPath = if stdenv.isDarwin then "DYLD_FALLBACK_LIBRARY_PATH"
in
stdenv.mkDerivation rec {
pname = "sagittarius-scheme";
- version = "0.9.10";
+ version = "0.9.11";
src = fetchurl {
url = "https://bitbucket.org/ktakashi/${pname}/downloads/sagittarius-${version}.tar.gz";
- sha256 = "sha256-F2GaaYVnDAGYDlQZBGhdPDO8lbeVgn+ta6LSK0L0zNA=";
+ hash = "sha256-LIF1EW8sMBMKycQnVAXk+5iEpKmRHMmzBILAg2tjk8c=";
};
preBuild = ''
# since we lack rpath during build, need to explicitly add build path
@@ -31,10 +31,14 @@ stdenv.mkDerivation rec {
buildInputs = [ libffi boehmgc openssl zlib ] ++ lib.optional odbcSupport libiodbc;
- env.NIX_CFLAGS_COMPILE = lib.optionalString stdenv.isDarwin "-Wno-error=int-conversion";
+ env.NIX_CFLAGS_COMPILE = toString (lib.optionals stdenv.isDarwin [
+ "-Wno-error=int-conversion"
+ ] ++ lib.optionals (stdenv.isDarwin && stdenv.isx86_64) [
+ # error: '__builtin_ia32_aeskeygenassist128' needs target feature aes
+ "-maes"
+ ]);
meta = with lib; {
- broken = stdenv.isDarwin && stdenv.isAarch64;
description = "An R6RS/R7RS Scheme system";
longDescription = ''
Sagittarius Scheme is a free Scheme implementation supporting
diff --git a/pkgs/development/compilers/sbcl/bootstrap.nix b/pkgs/development/compilers/sbcl/bootstrap.nix
index e23557c5a62f..d796b24e1d7e 100644
--- a/pkgs/development/compilers/sbcl/bootstrap.nix
+++ b/pkgs/development/compilers/sbcl/bootstrap.nix
@@ -26,4 +26,6 @@ stdenv.mkDerivation rec {
postFixup = lib.optionalString (!stdenv.isAarch32 && stdenv.isLinux) ''
patchelf --set-interpreter $(cat $NIX_CC/nix-support/dynamic-linker) $out/share/sbcl/sbcl
'';
+
+ meta.sourceProvenance = [ lib.sourceTypes.binaryNativeCode ];
}
diff --git a/pkgs/development/compilers/sbcl/default.nix b/pkgs/development/compilers/sbcl/default.nix
index 2f18dcc78d4c..2371ed651950 100644
--- a/pkgs/development/compilers/sbcl/default.nix
+++ b/pkgs/development/compilers/sbcl/default.nix
@@ -1,4 +1,4 @@
-{ lib, stdenv, callPackage, clisp, fetchurl, fetchpatch, writeText, zstd
+{ lib, stdenv, callPackage, clisp, coreutils, fetchurl, strace, texinfo, which, writeText, zstd
, threadSupport ? (stdenv.hostPlatform.isx86 || "aarch64-linux" == stdenv.hostPlatform.system || "aarch64-darwin" == stdenv.hostPlatform.system)
, linkableRuntime ? stdenv.hostPlatform.isx86
, disableImmobileSpace ? false
@@ -8,8 +8,13 @@
, purgeNixReferences ? false
, coreCompression ? lib.versionAtLeast version "2.2.6"
, markRegionGC ? lib.versionAtLeast version "2.4.0"
-, texinfo
, version
+ # Set this to a lisp binary to use a custom bootstrap lisp compiler for
+ # SBCL. Leave as null to use the default. This is useful for local development
+ # of SBCL, because you can use your existing stock SBCL as a boostrap. On Hydra
+ # of course we can’t do that because SBCL hasn’t been built yet, so we use
+ # CLISP, but that’s much slower.
+, bootstrapLisp ? null
}:
let
@@ -19,12 +24,12 @@ let
sha256 = "189gjqzdz10xh3ybiy4ch1r98bsmkcb4hpnrmggd4y2g5kqnyx4y";
};
- "2.4.0" = {
- sha256 = "sha256-g9i3TwjSJUxZuXkLwfZp4JCZRXuIRyDs7L9F9LRtF3Y=";
- };
"2.4.1" = {
sha256 = "sha256-2k+UhvrUE9OversbCSaTJf20v/fnuI8hld3udDJjz34=";
};
+ "2.4.2" = {
+ sha256 = "sha256-/APLUtEqr+h1nmMoRQogG73fibFwcaToPznoC0Pd7w8=";
+ };
};
# Collection of pre-built SBCL binaries for platforms that need them for
# bootstrapping. Ideally these are to be avoided. If CLISP (or any other
@@ -62,14 +67,16 @@ let
sbclBootstrap = callPackage ./bootstrap.nix {
cfg = bootstrapBinaries.${stdenv.hostPlatform.system};
};
- bootstrapLisp =
- if (builtins.hasAttr stdenv.hostPlatform.system bootstrapBinaries)
+ bootstrapLisp' =
+ if bootstrapLisp != null
+ then bootstrapLisp
+ else if (builtins.hasAttr stdenv.hostPlatform.system bootstrapBinaries)
then "${sbclBootstrap}/bin/sbcl --disable-debugger --no-userinit --no-sysinit"
else "${clisp}/bin/clisp -E UTF-8 --silent -norc";
in
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (self: rec {
pname = "sbcl";
inherit version;
@@ -78,48 +85,74 @@ stdenv.mkDerivation rec {
inherit (versionMap.${version}) sha256;
};
- nativeBuildInputs = [ texinfo ];
- buildInputs = lib.optionals coreCompression [ zstd ];
+ nativeBuildInputs = [
+ texinfo
+ ] ++ lib.optionals self.doCheck (
+ [
+ which
+ ] ++ lib.optionals (builtins.elem stdenv.system strace.meta.platforms) [
+ strace
+ ]
+ );
+ buildInputs = lib.optionals coreCompression (
+ # Declare at the point of actual use in case the caller wants to override
+ # buildInputs to sidestep this.
+ assert lib.assertMsg (!purgeNixReferences) ''
+ Cannot enable coreCompression when purging Nix references, because compression requires linking in zstd
+ '';
+ [ zstd ]
+ );
- patches = lib.optionals (version == "2.4.0") [
- ./fix-2.4.0-aarch64-darwin.patch
+ patches = lib.optionals (lib.versionOlder self.version "2.4.2") [
+ # Fixed in 2.4.2
+ ./search-for-binaries-in-PATH.patch
];
- postPatch = ''
- echo '"${version}.nixos"' > version.lisp-expr
-
- # SBCL checks whether files are up-to-date in many places..
- # Unfortunately, same timestamp is not good enough
- sed -e 's@> x y@>= x y@' -i contrib/sb-aclrepl/repl.lisp
- #sed -e '/(date)/i((= date 2208988801) 2208988800)' -i contrib/asdf/asdf.lisp
- sed -i src/cold/slam.lisp -e \
- '/file-write-date input/a)'
- sed -i src/cold/slam.lisp -e \
- '/file-write-date output/i(or (and (= 2208988801 (file-write-date output)) (= 2208988801 (file-write-date input)))'
- sed -i src/code/target-load.lisp -e \
- '/date defaulted-fasl/a)'
- sed -i src/code/target-load.lisp -e \
- '/date defaulted-source/i(or (and (= 2208988801 (file-write-date defaulted-source-truename)) (= 2208988801 (file-write-date defaulted-fasl-truename)))'
-
- # Fix the tests
- sed -e '5,$d' -i contrib/sb-bsd-sockets/tests.lisp
- sed -e '5,$d' -i contrib/sb-simple-streams/*test*.lisp
+ # I don’t know why these are failing (on ofBorg), and I’d rather just disable
+ # them and move forward with the succeeding tests than block testing
+ # altogether. One by one hopefully we can fix these (on ofBorg,
+ # upstream--somehow some way) in due time.
+ disabledTestFiles = lib.optionals (builtins.elem stdenv.hostPlatform.system [
+ "x86_64-linux"
+ "aarch64-linux"
+ ]) [
+ "foreign-stack-alignment.impure.lisp"
+ # Floating point tests are fragile
+ # https://sourceforge.net/p/sbcl/mailman/message/58728554/
+ "compiler.pure.lisp"
+ "float.pure.lisp"
+ ] ++ lib.optionals (stdenv.hostPlatform.system == "aarch64-linux") [
+ # This is failing on aarch64-linux on ofBorg. Not on my local machine nor on
+ # a VM on my laptop. Not sure what’s wrong.
+ "traceroot.impure.lisp"
+ ];
+ postPatch = lib.optionalString (self.disabledTestFiles != [ ]) ''
+ (cd tests ; rm -f ${lib.concatStringsSep " " self.disabledTestFiles})
''
- + (if purgeNixReferences
- then
- # This is the default location to look for the core; by default in $out/lib/sbcl
- ''
- sed 's@^\(#define SBCL_HOME\) .*$@\1 "/no-such-path"@' \
- -i src/runtime/runtime.c
- ''
- else
- # Fix software version retrieval
- ''
- sed -e "s@/bin/uname@$(command -v uname)@g" -i src/code/*-os.lisp \
- src/code/run-program.lisp
- ''
- );
-
+ + lib.optionalString purgeNixReferences ''
+ # This is the default location to look for the core; by default in $out/lib/sbcl
+ sed 's@^\(#define SBCL_HOME\) .*$@\1 "/no-such-path"@' \
+ -i src/runtime/runtime.c
+ ''
+ + ''
+ (
+ shopt -s nullglob
+ # Tests need patching regardless of purging of paths from the final
+ # binary. There are some tricky files in nested directories which should
+ # definitely NOT be patched this way, hence just a single * (and no
+ # globstar).
+ substituteInPlace ${if purgeNixReferences then "tests" else "{tests,src/code}"}/*.{lisp,sh} \
+ --replace-quiet /usr/bin/env "${coreutils}/bin/env" \
+ --replace-quiet /bin/uname "${coreutils}/bin/uname" \
+ --replace-quiet /bin/sh "${stdenv.shell}"
+ )
+ # Official source release tarballs will have a version.lispexpr, but if you
+ # want to override { src = ... } it might not exist. It’s required for
+ # building, so create a mock version as a backup.
+ if [[ ! -a version.lisp-expr ]]; then
+ echo '"${version}.nixos"' > version.lisp-expr
+ fi
+ '';
preBuild = ''
export INSTALL_ROOT=$out
@@ -138,7 +171,16 @@ stdenv.mkDerivation rec {
optional (!threadSupport) "sb-thread" ++
optionals disableImmobileSpace [ "immobile-space" "immobile-code" "compact-instance-header" ];
- env.NIX_CFLAGS_COMPILE = toString (lib.optionals (lib.versionOlder version "2.1.10") [
+ buildArgs = [
+ "--prefix=$out"
+ "--xc-host=${lib.escapeShellArg bootstrapLisp'}"
+ ] ++ builtins.map (x: "--with-${x}") self.enableFeatures
+ ++ builtins.map (x: "--without-${x}") self.disableFeatures
+ ++ lib.optionals (stdenv.hostPlatform.system == "aarch64-darwin") [
+ "--arch=arm64"
+ ];
+
+ env.NIX_CFLAGS_COMPILE = toString (lib.optionals (lib.versionOlder self.version "2.1.10") [
# Workaround build failure on -fno-common toolchains like upstream
# clang-13. Without the change build fails as:
# duplicate symbol '_static_code_space_free_pointer' in: alloc.o traceroot.o
@@ -151,22 +193,32 @@ stdenv.mkDerivation rec {
buildPhase = ''
runHook preBuild
- sh make.sh --prefix=$out --xc-host="${bootstrapLisp}" ${
- lib.concatStringsSep " "
- (builtins.map (x: "--with-${x}") enableFeatures ++
- builtins.map (x: "--without-${x}") disableFeatures)
- } ${lib.optionalString (stdenv.hostPlatform.system == "aarch64-darwin") "--arch=arm64"}
+ sh make.sh ${lib.concatStringsSep " " self.buildArgs}
(cd doc/manual ; make info)
runHook postBuild
'';
+ # Tests on ofBorg’s x86_64-darwin platforms are so unstable that a random one
+ # will fail every other run. There’s a deeper problem here; we might as well
+ # disable them entirely so at least the other platforms get to benefit from
+ # testing.
+ doCheck = stdenv.hostPlatform.system != "x86_64-darwin";
+
+ # From the INSTALL docs
+ checkPhase = ''
+ runHook preCheck
+
+ (cd tests && sh run-tests.sh)
+
+ runHook postCheck
+ '';
+
installPhase = ''
runHook preInstall
INSTALL_ROOT=$out sh install.sh
- runHook postInstall
''
+ lib.optionalString (!purgeNixReferences) ''
cp -r src $out/lib/sbcl
@@ -176,6 +228,8 @@ stdenv.mkDerivation rec {
'(("SYS:SRC;**;*.*.*" #P"$out/lib/sbcl/src/**/*.*")
("SYS:CONTRIB;**;*.*.*" #P"$out/lib/sbcl/contrib/**/*.*")))
EOF
+ '' + ''
+ runHook postInstall
'';
setupHook = lib.optional purgeNixReferences (writeText "setupHook.sh" ''
@@ -186,9 +240,10 @@ stdenv.mkDerivation rec {
'');
meta = with lib; {
- description = "Lisp compiler";
+ description = "Common Lisp compiler";
homepage = "https://sbcl.org";
license = licenses.publicDomain; # and FreeBSD
+ mainProgram = "sbcl";
maintainers = lib.teams.lisp.members;
platforms = attrNames bootstrapBinaries ++ [
# These aren’t bootstrapped using the binary distribution but compiled
@@ -198,4 +253,4 @@ stdenv.mkDerivation rec {
"aarch64-linux"
];
};
-}
+})
diff --git a/pkgs/development/compilers/sbcl/search-for-binaries-in-PATH.patch b/pkgs/development/compilers/sbcl/search-for-binaries-in-PATH.patch
new file mode 100644
index 000000000000..95263ec85753
--- /dev/null
+++ b/pkgs/development/compilers/sbcl/search-for-binaries-in-PATH.patch
@@ -0,0 +1,108 @@
+From 35856b09e3606361b17f21225c759632be1cdf34 Mon Sep 17 00:00:00 2001
+From: Hraban Luyat
+Date: Wed, 24 Jan 2024 14:58:53 -0500
+Subject: [PATCH] Search for binaries in tests in PATH, not /usr/bin
+MIME-Version: 1.0
+Content-Type: text/plain; charset=UTF-8
+Content-Transfer-Encoding: 8bit
+
+Same as 8ed662fbfeb5dde35eb265f390b55b01f79f70c1 but for tests, and for more
+than just ‘cat’. For the same reasons as that diff.
+---
+ tests/run-program.impure.lisp | 18 ++++++++++--------
+ tests/run-program.test.sh | 9 ++++-----
+ 2 files changed, 14 insertions(+), 13 deletions(-)
+
+diff --git a/tests/run-program.impure.lisp b/tests/run-program.impure.lisp
+index 0eab8884c..b07d1e4fb 100644
+--- a/tests/run-program.impure.lisp
++++ b/tests/run-program.impure.lisp
+@@ -15,7 +15,7 @@
+
+ (defun bin-pwd-ignoring-result ()
+ (let ((initially-open-fds (directory "/proc/self/fd/*" :resolve-symlinks nil)))
+- (sb-ext:run-program "/usr/bin/pwd" nil :input :stream :output :stream :wait nil)
++ (sb-ext:run-program "pwd" nil :search t :input :stream :output :stream :wait nil)
+ (length initially-open-fds)))
+
+ (with-test (:name (run-program :autoclose-streams)
+@@ -49,7 +49,7 @@
+ (with-test (:name (run-program :cat 2)
+ :skipped-on (or (not :sb-thread) :win32))
+ ;; Tests that reading from a FIFO is interruptible.
+- (let* ((process (run-program "/bin/cat" '()
++ (let* ((process (run-program "cat" '() :search t
+ :wait nil :output :stream :input :stream))
+ (in (process-input process))
+ (out (process-output process))
+@@ -167,7 +167,7 @@
+ (defparameter *cat-out* (make-synonym-stream '*cat-out-pipe*)))
+
+ (with-test (:name (run-program :cat 5) :fails-on :win32)
+- (let ((cat (run-program "/bin/cat" nil :input *cat-in* :output *cat-out*
++ (let ((cat (run-program "cat" nil :search t :input *cat-in* :output *cat-out*
+ :wait nil)))
+ (dolist (test '("This is a test!"
+ "This is another test!"
+@@ -310,14 +310,16 @@
+ (let ((had-error-p nil))
+ (flet ((barf (&optional (format :default))
+ (with-output-to-string (stream)
+- (run-program #-netbsd "/usr/bin/perl" #+netbsd "/usr/pkg/bin/perl"
++ (run-program #-netbsd "perl" #+netbsd "/usr/pkg/bin/perl"
+ '("-e" "print \"\\x20\\xfe\\xff\\x0a\"")
++ :search #-netbsd t #+netbsd nil
+ :output stream
+ :external-format format)))
+ (no-barf ()
+ (with-output-to-string (stream)
+- (run-program "/bin/echo"
++ (run-program "echo"
+ '("This is a test")
++ :search t
+ :output stream))))
+ (handler-case
+ (barf :utf-8)
+@@ -353,9 +355,9 @@
+ ;; If the permitted inputs are :ANY then leave it be
+ (listp (symbol-value 'run-tests::*allowed-inputs*)))
+ (push (namestring file) (symbol-value 'run-tests::*allowed-inputs*)))
+- (assert (null (run-program "/bin/cat" '() :input file)))
+- (assert (null (run-program "/bin/cat" '() :output #.(or *compile-file-truename*
+- *load-truename*)
++ (assert (null (run-program "cat" '() :search t :input file)))
++ (assert (null (run-program "cat" '() :search t :output #.(or *compile-file-truename*
++ *load-truename*)
+ :if-output-exists nil)))))
+
+
+diff --git a/tests/run-program.test.sh b/tests/run-program.test.sh
+index 48eaef889..c926e5a05 100755
+--- a/tests/run-program.test.sh
++++ b/tests/run-program.test.sh
+@@ -39,9 +39,8 @@ run_sbcl --eval "(defvar *exit-ok* $EXIT_LISP_WIN)" <<'EOF'
+ (assert (not (zerop (sb-ext:process-exit-code
+ (sb-ext:run-program "false" () :search t :wait t)))))
+ (let ((string (with-output-to-string (stream)
+- (our-run-program "/bin/echo"
+- '("foo" "bar")
+- :output stream))))
++ (run-program "echo" '("foo" "bar")
++ :search t :output stream))))
+ (assert (string= string "foo bar
+ ")))
+ (format t ";;; Smoke tests: PASS~%")
+@@ -103,8 +102,8 @@ run_sbcl --eval "(defvar *exit-ok* $EXIT_LISP_WIN)" <<'EOF'
+ ;; make sure that a stream input argument is basically reasonable.
+ (let ((string (let ((i (make-string-input-stream "abcdef")))
+ (with-output-to-string (stream)
+- (our-run-program "/bin/cat" ()
+- :input i :output stream)))))
++ (run-program "cat" ()
++ :search t :input i :output stream)))))
+ (assert (= (length string) 6))
+ (assert (string= string "abcdef")))
+
+--
+2.43.0
+
diff --git a/pkgs/development/compilers/scryer-prolog/default.nix b/pkgs/development/compilers/scryer-prolog/default.nix
index 45d7ea21749b..02383e6b4a51 100644
--- a/pkgs/development/compilers/scryer-prolog/default.nix
+++ b/pkgs/development/compilers/scryer-prolog/default.nix
@@ -1,7 +1,6 @@
{ lib
, rustPlatform
, fetchFromGitHub
-, fetchpatch
, pkg-config
, openssl
, gmp
@@ -12,29 +11,16 @@
rustPlatform.buildRustPackage rec {
pname = "scryer-prolog";
- version = "0.9.3";
+ version = "0.9.4";
src = fetchFromGitHub {
owner = "mthom";
repo = "scryer-prolog";
rev = "v${version}";
- hash = "sha256-0J69Zl+ONvR6T+xf2YeShwn3/JWOHyFHLpNFwmEaIOI=";
+ hash = "sha256-0c0MsjrHRitg+5VEHB9/iSuiqcPztF+2inDZa9fQpwU=";
};
- patches = [
- (fetchpatch {
- name = "cargo-lock-version-bump.patch";
- url = "https://github.com/mthom/scryer-prolog/commit/d6fe5b5aaddb9886a8a34841a65cb28c317c2913.patch";
- hash = "sha256-xkGsjVV/FcyZXGkI84FlqcRIuDM7isCCWZ1sbKql7es=";
- })
- ];
-
- cargoLock = {
- lockFile = ./Cargo.lock;
- outputHashes = {
- "modular-bitfield-0.11.2" = "sha256-vcx+xt5owZVWOlKwudAr0EB1zlLLL5pVfWokw034BQI=";
- };
- };
+ cargoSha256 = "sha256-q8s6HAJhKnMhsgZk5plR+ar3CpLKNqjrD14roDWLwfo=";
nativeBuildInputs = [ pkg-config ];
buildInputs = [ openssl gmp libmpc mpfr ];
@@ -44,6 +30,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
broken = stdenv.isDarwin;
description = "A modern Prolog implementation written mostly in Rust";
+ mainProgram = "scryer-prolog";
homepage = "https://github.com/mthom/scryer-prolog";
license = with licenses; [ bsd3 ];
maintainers = with maintainers; [ malbarbo wkral ];
diff --git a/pkgs/development/compilers/serpent/default.nix b/pkgs/development/compilers/serpent/default.nix
index 227e8037eaee..31f6b0709da3 100644
--- a/pkgs/development/compilers/serpent/default.nix
+++ b/pkgs/development/compilers/serpent/default.nix
@@ -25,6 +25,7 @@ stdenv.mkDerivation {
meta = with lib; {
description = "Compiler for the Serpent language for Ethereum";
+ mainProgram = "serpent";
longDescription = ''
Serpent is one of the high-level programming languages used to
write Ethereum contracts. The language, as suggested by its name,
diff --git a/pkgs/development/compilers/sjasmplus/default.nix b/pkgs/development/compilers/sjasmplus/default.nix
index 6ef3d11c712f..61d85b95c452 100644
--- a/pkgs/development/compilers/sjasmplus/default.nix
+++ b/pkgs/development/compilers/sjasmplus/default.nix
@@ -25,6 +25,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://z00m128.github.io/sjasmplus/";
description = "A Z80 assembly language cross compiler. It is based on the SjASM source code by Sjoerd Mastijn";
+ mainProgram = "sjasmplus";
license = licenses.bsd3;
platforms = platforms.all;
maintainers = with maintainers; [ electrified ];
diff --git a/pkgs/development/compilers/spasm-ng/default.nix b/pkgs/development/compilers/spasm-ng/default.nix
index bd31719731e9..9045710dc770 100644
--- a/pkgs/development/compilers/spasm-ng/default.nix
+++ b/pkgs/development/compilers/spasm-ng/default.nix
@@ -25,6 +25,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/alberthdev/spasm-ng";
description = "Z80 assembler with extra features to support development for TI calculators";
+ mainProgram = "spasm";
license = licenses.gpl2Plus;
maintainers = with maintainers; [ siraben ];
platforms = platforms.unix;
diff --git a/pkgs/development/compilers/spirv-llvm-translator/default.nix b/pkgs/development/compilers/spirv-llvm-translator/default.nix
index 88119d002808..9dde7e475171 100644
--- a/pkgs/development/compilers/spirv-llvm-translator/default.nix
+++ b/pkgs/development/compilers/spirv-llvm-translator/default.nix
@@ -7,7 +7,6 @@
, llvm
, spirv-headers
, spirv-tools
-, disable-warnings-if-gcc13
}:
let
@@ -16,7 +15,11 @@ let
# ROCm, if actively updated will always be at the latest version
branch =
- if llvmMajor == "17" || isROCm then rec {
+ if llvmMajor == "18" then rec {
+ version = "18.1.0";
+ rev = "v${version}";
+ hash = "sha256-64guZiuO7VpaX01wNIjV7cnjEAe6ineMdY44S6sA33k=";
+ } else if llvmMajor == "17" || isROCm then rec {
version = "17.0.0";
rev = "v${version}";
hash = "sha256-Rzm5Py9IPFtS9G7kME+uSwZ/0gPGW6MlL35ZWk4LfHM=";
@@ -38,7 +41,7 @@ let
hash = "sha256-NoIoa20+2sH41rEnr8lsMhtfesrtdPINiXtUnxYVm8s=";
} else throw "Incompatible LLVM version.";
in
-disable-warnings-if-gcc13 (stdenv.mkDerivation {
+stdenv.mkDerivation {
pname = "SPIRV-LLVM-Translator";
inherit (branch) version;
@@ -48,7 +51,15 @@ disable-warnings-if-gcc13 (stdenv.mkDerivation {
inherit (branch) rev hash;
};
- patches = lib.optionals (lib.versionAtLeast llvmMajor "15") [
+ patches = lib.optionals (llvmMajor == "18") [
+ # Fixes build after SPV_INTEL_maximum_registers breaking change
+ # TODO: remove on next spirv-headers release
+ (fetchpatch {
+ url = "https://github.com/KhronosGroup/SPIRV-LLVM-Translator/commit/d970c9126c033ebcbb7187bc705eae2e54726b74.patch";
+ revert = true;
+ hash = "sha256-71sJuGqVjTcB549eIiCO0LoqAgxkdEHCoxh8Pd/Qzz8=";
+ })
+ ] ++ lib.optionals (lib.versionAtLeast llvmMajor "15" && lib.versionOlder llvmMajor "18") [
# Fixes build after spirv-headers breaking change
(fetchpatch {
url = "https://github.com/KhronosGroup/SPIRV-LLVM-Translator/commit/0166a0fb86dc6c0e8903436bbc3a89bc3273ebc0.patch";
@@ -102,8 +113,9 @@ disable-warnings-if-gcc13 (stdenv.mkDerivation {
meta = with lib; {
homepage = "https://github.com/KhronosGroup/SPIRV-LLVM-Translator";
description = "A tool and a library for bi-directional translation between SPIR-V and LLVM IR";
+ mainProgram = "llvm-spirv";
license = licenses.ncsa;
platforms = platforms.unix;
maintainers = with maintainers; [ gloaming ];
};
-})
+}
diff --git a/pkgs/development/compilers/swift/compiler/default.nix b/pkgs/development/compilers/swift/compiler/default.nix
index 76c2da0a656a..cef1358aed73 100644
--- a/pkgs/development/compilers/swift/compiler/default.nix
+++ b/pkgs/development/compilers/swift/compiler/default.nix
@@ -284,7 +284,6 @@ in stdenv.mkDerivation {
patch -p1 -d swift -i ${./patches/swift-linux-fix-libc-paths.patch}
patch -p1 -d swift -i ${./patches/swift-linux-fix-linking.patch}
patch -p1 -d swift -i ${./patches/swift-darwin-libcxx-flags.patch}
- patch -p1 -d swift -i ${./patches/swift-darwin-link-cxxabi.patch}
patch -p1 -d swift -i ${substituteAll {
src = ./patches/swift-darwin-plistbuddy-workaround.patch;
inherit swiftArch;
diff --git a/pkgs/development/compilers/swift/compiler/patches/swift-darwin-link-cxxabi.patch b/pkgs/development/compilers/swift/compiler/patches/swift-darwin-link-cxxabi.patch
deleted file mode 100644
index dad9b3285dc1..000000000000
--- a/pkgs/development/compilers/swift/compiler/patches/swift-darwin-link-cxxabi.patch
+++ /dev/null
@@ -1,14 +0,0 @@
-This patches the stdlib backdeploy static lib to have its users link c++abi.
-Without this, later steps that try to link this fail looking for global
-new/delete operators (__Znwm/__ZdlPv).
-
---- a/stdlib/toolchain/Compatibility56/Overrides.cpp
-+++ b/stdlib/toolchain/Compatibility56/Overrides.cpp
-@@ -23,6 +23,7 @@
- using namespace swift;
-
- __asm__ (".linker_option \"-lc++\"");
-+__asm__ (".linker_option \"-lc++abi\"");
-
- #define OVERRIDE(name, ret, attrs, ccAttrs, namespace, typedArgs, namedArgs) \
- Override_ ## name name;
diff --git a/pkgs/development/compilers/swift/default.nix b/pkgs/development/compilers/swift/default.nix
index afb8ce39dcce..c111a8d86bfa 100644
--- a/pkgs/development/compilers/swift/default.nix
+++ b/pkgs/development/compilers/swift/default.nix
@@ -25,9 +25,13 @@ let
#
# The following selects the correct Clang version, matching the version
# used in Swift, and applies the same libc overrides as `apple_sdk.stdenv`.
- clang = if pkgs.stdenv.isDarwin
+ clang = let
+ # https://github.com/NixOS/nixpkgs/issues/295322
+ clangNoMarch = swiftLlvmPackages.clang.override { disableMarch = true; };
+ in
+ if pkgs.stdenv.isDarwin
then
- swiftLlvmPackages.clang.override rec {
+ clangNoMarch.override rec {
libc = apple_sdk.Libsystem;
bintools = pkgs.bintools.override { inherit libc; };
# Ensure that Swift’s internal clang uses the same libc++ and libc++abi as the
@@ -35,17 +39,9 @@ let
# that can happen when a Swift application dynamically links different versions
# of libc++ and libc++abi than libraries it links are using.
inherit (llvmPackages) libcxx;
- extraPackages = [
- llvmPackages.libcxxabi
- # Use the compiler-rt associated with clang, but use the libc++abi from the stdenv
- # to avoid linking against two different versions (for the same reasons as above).
- (swiftLlvmPackages.compiler-rt.override {
- inherit (llvmPackages) libcxxabi;
- })
- ];
}
else
- swiftLlvmPackages.clang;
+ clangNoMarch;
# Overrides that create a useful environment for swift packages, allowing
# packaging with `swiftPackages.callPackage`. These are similar to
diff --git a/pkgs/development/compilers/swift/foundation/default.nix b/pkgs/development/compilers/swift/foundation/default.nix
index b0da48c68a95..eab509c5aaa1 100644
--- a/pkgs/development/compilers/swift/foundation/default.nix
+++ b/pkgs/development/compilers/swift/foundation/default.nix
@@ -63,6 +63,7 @@ in stdenv.mkDerivation {
meta = {
description = "Core utilities, internationalization, and OS independence for Swift";
+ mainProgram = "plutil";
homepage = "https://github.com/apple/swift-corelibs-foundation";
platforms = lib.platforms.linux;
license = lib.licenses.asl20;
diff --git a/pkgs/development/compilers/swift/sourcekit-lsp/default.nix b/pkgs/development/compilers/swift/sourcekit-lsp/default.nix
index caba3e3441f3..a2dd73fefa13 100644
--- a/pkgs/development/compilers/swift/sourcekit-lsp/default.nix
+++ b/pkgs/development/compilers/swift/sourcekit-lsp/default.nix
@@ -67,6 +67,7 @@ stdenv.mkDerivation {
meta = {
description = "Language Server Protocol implementation for Swift and C-based languages";
+ mainProgram = "sourcekit-lsp";
homepage = "https://github.com/apple/sourcekit-lsp";
platforms = with lib.platforms; linux ++ darwin;
license = lib.licenses.asl20;
diff --git a/pkgs/development/compilers/swift/swift-docc/default.nix b/pkgs/development/compilers/swift/swift-docc/default.nix
index e79487e7eded..5dec14eb475d 100644
--- a/pkgs/development/compilers/swift/swift-docc/default.nix
+++ b/pkgs/development/compilers/swift/swift-docc/default.nix
@@ -51,6 +51,7 @@ stdenv.mkDerivation {
meta = {
description = "Documentation compiler for Swift";
+ mainProgram = "docc";
homepage = "https://github.com/apple/swift-docc";
platforms = with lib.platforms; linux ++ darwin;
license = lib.licenses.asl20;
diff --git a/pkgs/development/compilers/temurin-bin/jdk-darwin-base.nix b/pkgs/development/compilers/temurin-bin/jdk-darwin-base.nix
index eff9eda73ed3..14871813273d 100644
--- a/pkgs/development/compilers/temurin-bin/jdk-darwin-base.nix
+++ b/pkgs/development/compilers/temurin-bin/jdk-darwin-base.nix
@@ -64,6 +64,7 @@ let
meta = with lib; {
license = licenses.gpl2Classpath;
+ sourceProvenance = with sourceTypes; [ binaryNativeCode binaryBytecode ];
description = "${brand-name}, prebuilt OpenJDK binary";
platforms = builtins.map (arch: arch + "-darwin") providedCpuTypes; # some inherit jre.meta.platforms
maintainers = with maintainers; [ taku0 ];
diff --git a/pkgs/development/compilers/temurin-bin/jdk-linux-base.nix b/pkgs/development/compilers/temurin-bin/jdk-linux-base.nix
index b8726749bef8..ba56518e15a6 100644
--- a/pkgs/development/compilers/temurin-bin/jdk-linux-base.nix
+++ b/pkgs/development/compilers/temurin-bin/jdk-linux-base.nix
@@ -121,6 +121,7 @@ let
meta = with lib; {
license = licenses.gpl2Classpath;
+ sourceProvenance = with sourceTypes; [ binaryNativeCode binaryBytecode ];
description = "${brand-name}, prebuilt OpenJDK binary";
platforms = builtins.map (arch: arch + "-linux") providedCpuTypes; # some inherit jre.meta.platforms
maintainers = with maintainers; [ taku0 ];
diff --git a/pkgs/development/compilers/terra/default.nix b/pkgs/development/compilers/terra/default.nix
index d53441a583c3..3f6934f04212 100644
--- a/pkgs/development/compilers/terra/default.nix
+++ b/pkgs/development/compilers/terra/default.nix
@@ -1,6 +1,6 @@
{ lib, stdenv, fetchFromGitHub, llvmPackages, ncurses, cmake, libxml2
, symlinkJoin, breakpointHook, cudaPackages, enableCUDA ? false
-, libobjc, Cocoa, Foundation
+, libffi, libobjc, libpfm, Cocoa, Foundation
}:
let
@@ -42,16 +42,25 @@ in stdenv.mkDerivation rec {
};
nativeBuildInputs = [ cmake ];
- buildInputs = [ llvmMerged ncurses libxml2 ]
+ buildInputs = [ llvmMerged ncurses libffi libxml2 ]
++ lib.optionals enableCUDA [ cuda ]
+ ++ lib.optional (!stdenv.isDarwin) libpfm
++ lib.optionals stdenv.isDarwin [ libobjc Cocoa Foundation ];
- cmakeFlags = [
+ cmakeFlags = let
+ resourceDir = "${llvmMerged}/lib/clang/" + (
+ if lib.versionOlder clangVersion "16"
+ then
+ clangVersion
+ else
+ lib.versions.major clangVersion
+ );
+ in [
"-DHAS_TERRA_VERSION=0"
"-DTERRA_VERSION=${version}"
"-DTERRA_LUA=luajit"
"-DTERRA_SKIP_LUA_DOWNLOAD=ON"
- "-DCLANG_RESOURCE_DIR=${llvmMerged}/lib/clang/${clangVersion}"
+ "-DCLANG_RESOURCE_DIR=${resourceDir}"
] ++ lib.optional enableCUDA "-DTERRA_ENABLE_CUDA=ON";
doCheck = true;
@@ -88,6 +97,8 @@ in stdenv.mkDerivation rec {
maintainers = with maintainers; [ jb55 seylerius thoughtpolice elliottslaughter ];
license = licenses.mit;
# never built on aarch64-darwin since first introduction in nixpkgs
- broken = stdenv.isDarwin && stdenv.isAarch64;
+ # Linux Aarch64 broken above LLVM11
+ # https://github.com/terralang/terra/issues/597
+ broken = stdenv.isAarch64;
};
}
diff --git a/pkgs/development/compilers/tinycc/default.nix b/pkgs/development/compilers/tinycc/default.nix
index 906df89b2117..a848accf1666 100644
--- a/pkgs/development/compilers/tinycc/default.nix
+++ b/pkgs/development/compilers/tinycc/default.nix
@@ -1,20 +1,16 @@
{ lib
-, stdenv
-, fetchFromRepoOrCz
, copyPkgconfigItems
+, fetchFromRepoOrCz
, makePkgconfigItem
, perl
+, stdenv
, texinfo
, which
}:
-let
- # avoid "malformed 32-bit x.y.z" error on mac when using clang
- isCleanVer = version: builtins.match "^[0-9]\\.+[0-9]+\\.[0-9]+" version != null;
-in
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "tcc";
- version = "unstable-2022-07-15";
+ version = "0.9.27-unstable-2022-07-15";
src = fetchFromRepoOrCz {
repo = "tinycc";
@@ -22,6 +18,8 @@ stdenv.mkDerivation rec {
hash = "sha256-jY0P2GErmo//YBaz6u4/jj/voOE3C2JaIDRmo0orXN8=";
};
+ outputs = [ "out" "info" "man" ];
+
nativeBuildInputs = [
copyPkgconfigItems
perl
@@ -29,23 +27,27 @@ stdenv.mkDerivation rec {
which
];
- pkgconfigItems = [
- (makePkgconfigItem rec {
+ strictDeps = true;
+
+ pkgconfigItems = let
+ libtcc-pcitem = {
name = "libtcc";
- inherit version;
- cflags = [ "-I${variables.includedir}" ];
+ inherit (finalAttrs) version;
+ cflags = [ "-I${libtcc-pcitem.variables.includedir}" ];
libs = [
- "-L${variables.libdir}"
- "-Wl,--rpath ${variables.libdir}"
+ "-L${libtcc-pcitem.variables.libdir}"
+ "-Wl,--rpath ${libtcc-pcitem.variables.libdir}"
"-ltcc"
];
- variables = rec {
+ variables = {
prefix = "${placeholder "out"}";
- includedir = "${prefix}/include";
- libdir = "${prefix}/lib";
+ includedir = "${placeholder "dev"}/include";
+ libdir = "${placeholder "lib"}/lib";
};
description = "Tiny C compiler backend";
- })
+ };
+ in [
+ (makePkgconfigItem libtcc-pcitem)
];
postPatch = ''
@@ -64,17 +66,19 @@ stdenv.mkDerivation rec {
"--config-musl"
];
- preConfigure = ''
+ preConfigure = let
+ # To avoid "malformed 32-bit x.y.z" error on mac when using clang
+ versionIsClean = version:
+ builtins.match "^[0-9]\\.+[0-9]+\\.[0-9]+" version != null;
+ in ''
${
- if stdenv.isDarwin && ! isCleanVer version
+ if stdenv.isDarwin && ! versionIsClean finalAttrs.version
then "echo 'not overwriting VERSION since it would upset ld'"
- else "echo ${version} > VERSION"
+ else "echo ${finalAttrs.version} > VERSION"
}
configureFlagsArray+=("--elfinterp=$(< $NIX_CC/nix-support/dynamic-linker)")
'';
- outputs = [ "out" "info" "man" ];
-
# Test segfault for static build
doCheck = !stdenv.hostPlatform.isStatic;
@@ -84,7 +88,7 @@ stdenv.mkDerivation rec {
rm tests/tests2/{108,114}*
'';
- meta = with lib; {
+ meta = {
homepage = "https://repo.or.cz/tinycc.git";
description = "Small, fast, and embeddable C compiler and interpreter";
longDescription = ''
@@ -108,13 +112,13 @@ stdenv.mkDerivation rec {
With libtcc, you can use TCC as a backend for dynamic code generation.
'';
- license = licenses.lgpl21Only;
- maintainers = with maintainers; [ joachifm AndersonTorres ];
- platforms = platforms.unix;
+ license = with lib.licenses; [ lgpl21Only ];
+ mainProgram = "tcc";
+ maintainers = with lib.maintainers; [ joachifm AndersonTorres ];
+ platforms = lib.platforms.unix;
# https://www.mail-archive.com/tinycc-devel@nongnu.org/msg10199.html
broken = stdenv.isDarwin && stdenv.isAarch64;
};
-}
+})
# TODO: more multiple outputs
# TODO: self-compilation
-# TODO: provide expression for stable release
diff --git a/pkgs/development/compilers/tinygo/0001-Makefile.patch b/pkgs/development/compilers/tinygo/0001-GNUmakefile.patch
similarity index 92%
rename from pkgs/development/compilers/tinygo/0001-Makefile.patch
rename to pkgs/development/compilers/tinygo/0001-GNUmakefile.patch
index 342cce788ba3..d108efe17115 100644
--- a/pkgs/development/compilers/tinygo/0001-Makefile.patch
+++ b/pkgs/development/compilers/tinygo/0001-GNUmakefile.patch
@@ -1,13 +1,6 @@
-From ef066db7f5cb7f551f88fb218c82fc947e464425 Mon Sep 17 00:00:00 2001
-From: =?UTF-8?q?Mustafa=20=C3=87al=C4=B1=C5=9Fkan?=
-Date: Sun, 3 Jul 2022 14:30:51 +0300
-Subject: [PATCH 1/3] Makefile
-
-
-diff --git a/Makefile b/Makefile
-index 60a5a574..904d2db5 100644
---- a/Makefile
-+++ b/Makefile
+diff --git a/GNUmakefile b/GNUmakefile
+--- a/GNUmakefile
++++ b/GNUmakefile
@@ -14,11 +14,6 @@ LLVM_VERSIONS = 14 13 12 11
errifempty = $(if $(1),$(1),$(error $(2)))
detect = $(shell which $(call errifempty,$(firstword $(foreach p,$(2),$(shell command -v $(p) 2> /dev/null && echo $(p)))),failed to locate $(1) at any of: $(2)))
diff --git a/pkgs/development/compilers/tinygo/0002-Add-clang-header-path.patch b/pkgs/development/compilers/tinygo/0002-Add-clang-header-path.patch
deleted file mode 100644
index 2c0bfba4f3b5..000000000000
--- a/pkgs/development/compilers/tinygo/0002-Add-clang-header-path.patch
+++ /dev/null
@@ -1,25 +0,0 @@
-diff --git a/builder/library.go b/builder/library.go
-index 6517355b..b8de1894 100644
---- a/builder/library.go
-+++ b/builder/library.go
-@@ -142,7 +142,7 @@ func (l *Library) load(config *compileopts.Config, tmpdir string) (job *compileJ
- // Note: -fdebug-prefix-map is necessary to make the output archive
- // reproducible. Otherwise the temporary directory is stored in the archive
- // itself, which varies each run.
-- args := append(l.cflags(target, headerPath), "-c", "-Oz", "-gdwarf-4", "-ffunction-sections", "-fdata-sections", "-Wno-macro-redefined", "--target="+target, "-fdebug-prefix-map="+dir+"="+remapDir)
-+ args := append(l.cflags(target, headerPath), "-c", "-Oz", "-gdwarf-4", "-ffunction-sections", "-fdata-sections", "-Wno-macro-redefined", "--target="+target, "-fdebug-prefix-map="+dir+"="+remapDir, "-isystem", "@clang_include@")
- cpu := config.CPU()
- if cpu != "" {
- // X86 has deprecated the -mcpu flag, so we need to use -march instead.
-diff --git a/compileopts/config.go b/compileopts/config.go
-index 39fc4f2a..8711b5a8 100644
---- a/compileopts/config.go
-+++ b/compileopts/config.go
-@@ -264,6 +264,7 @@ func (c *Config) CFlags() []string {
- for _, flag := range c.Target.CFlags {
- cflags = append(cflags, strings.ReplaceAll(flag, "{root}", goenv.Get("TINYGOROOT")))
- }
-+ cflags = append([]string{"-isystem", "@clang_include@"}, cflags...)
- switch c.Target.Libc {
- case "darwin-libSystem":
- root := goenv.Get("TINYGOROOT")
diff --git a/pkgs/development/compilers/tinygo/0003-Use-out-path-as-build-id-on-darwin.patch b/pkgs/development/compilers/tinygo/0003-Use-out-path-as-build-id-on-darwin.patch
deleted file mode 100644
index a151c4ff880f..000000000000
--- a/pkgs/development/compilers/tinygo/0003-Use-out-path-as-build-id-on-darwin.patch
+++ /dev/null
@@ -1,56 +0,0 @@
-From e7357c383188dd735592bd9f2202d2afcfffa39d Mon Sep 17 00:00:00 2001
-From: =?UTF-8?q?Mustafa=20=C3=87al=C4=B1=C5=9Fkan?=
-Date: Sun, 11 Sep 2022 17:08:33 +0300
-Subject: [PATCH 3/3] Use out path as build id on darwin
-
-
-diff --git a/builder/buildid.go b/builder/buildid.go
-index e6527700..65cb08e8 100644
---- a/builder/buildid.go
-+++ b/builder/buildid.go
-@@ -3,8 +3,6 @@ package builder
- import (
- "bytes"
- "debug/elf"
-- "debug/macho"
-- "encoding/binary"
- "fmt"
- "io"
- "os"
-@@ -53,30 +51,9 @@ func ReadBuildID() ([]byte, error) {
- return goID, nil
- }
- case "darwin":
-- // Read the LC_UUID load command, which contains the equivalent of a
-- // build ID.
-- file, err := macho.NewFile(f)
-- if err != nil {
-- return nil, err
-- }
-- for _, load := range file.Loads {
-- // Unfortunately, the debug/macho package doesn't support the
-- // LC_UUID command directly. So we have to read it from
-- // macho.LoadBytes.
-- load, ok := load.(macho.LoadBytes)
-- if !ok {
-- continue
-- }
-- raw := load.Raw()
-- command := binary.LittleEndian.Uint32(raw)
-- if command != 0x1b {
-- // Looking for the LC_UUID load command.
-- // LC_UUID is defined here as 0x1b:
-- // https://opensource.apple.com/source/xnu/xnu-4570.71.2/EXTERNAL_HEADERS/mach-o/loader.h.auto.html
-- continue
-- }
-- return raw[4:], nil
-- }
-+ // On darwin, os.Executable() returns broken path in nix build environment
-+ // So we are using $out path as build id since its also unique
-+ return []byte("OUT_PATH"), nil
- default:
- // On other platforms (such as Windows) there isn't such a convenient
- // build ID. Luckily, Go does have an equivalent of the build ID, which
---
-2.37.2
-
diff --git a/pkgs/development/compilers/tinygo/0004-fix-darwin-build.patch b/pkgs/development/compilers/tinygo/0004-fix-darwin-build.patch
deleted file mode 100644
index 924533a49131..000000000000
--- a/pkgs/development/compilers/tinygo/0004-fix-darwin-build.patch
+++ /dev/null
@@ -1,12 +0,0 @@
-diff --git a/compileopts/config.go b/compileopts/config.go
-index 39fc4f2a..fb5d4575 100644
---- a/compileopts/config.go
-+++ b/compileopts/config.go
-@@ -269,6 +269,7 @@ func (c *Config) CFlags() []string {
- root := goenv.Get("TINYGOROOT")
- cflags = append(cflags,
- "--sysroot="+filepath.Join(root, "lib/macos-minimal-sdk/src"),
-+ "-isystem", filepath.Join(root, "lib/macos-minimal-sdk/src/usr/include"), // necessary for Nix
- )
- case "picolibc":
- root := goenv.Get("TINYGOROOT")
diff --git a/pkgs/development/compilers/tinygo/default.nix b/pkgs/development/compilers/tinygo/default.nix
index 5901ab7f9604..6a572acd62ee 100644
--- a/pkgs/development/compilers/tinygo/default.nix
+++ b/pkgs/development/compilers/tinygo/default.nix
@@ -4,15 +4,9 @@
, buildGoModule
, fetchFromGitHub
, makeWrapper
-, substituteAll
, llvmPackages
, go
-, libffi
-, zlib
-, ncurses
-, libxml2
, xar
-, wasi-libc
, binaryen
, avrdude
, gdb
@@ -30,104 +24,62 @@ let
bootstrapTools = runCommand "tinygo-bootstap-tools" { } ''
mkdir -p $out
ln -s ${lib.getBin clang.cc}/bin/clang $out/clang-${llvmMajor}
- ln -s ${lib.getBin lld}/bin/ld.lld $out/ld.lld-${llvmMajor}
- ln -s ${lib.getBin lld}/bin/wasm-ld $out/wasm-ld-${llvmMajor}
- # GDB upstream does not support ARM darwin
- ${lib.optionalString (!(stdenv.isDarwin && stdenv.isAarch64)) "ln -s ${gdb}/bin/gdb $out/gdb-multiarch" }
'';
in
buildGoModule rec {
pname = "tinygo";
- version = "0.30.0";
+ version = "0.31.1";
src = fetchFromGitHub {
owner = "tinygo-org";
repo = "tinygo";
rev = "v${version}";
- sha256 = "sha256-hOccfMKuvTKYKDRcEgTJ8k/c/H+qNDpvotWIqk6p2u8=";
+ sha256 = "sha256-YocRTgGSyjnQsYd4a2nCQ0vdQi/z2gHPguix5xIkkgc=";
fetchSubmodules = true;
};
- vendorHash = "sha256-2q3N6QhfRmwbs4CTWrFWr1wyhf2jPS2ECAn/wrrpXdM=";
+ vendorHash = "sha256-HZiyAgsTEBQv+Qp0T9RXTV1lkxvIGh7Q45rd45cfvjo=";
patches = [
- ./0001-Makefile.patch
-
- # clang.cc does not have any paths in the include path.
- # For TinyGo, we want to have no include paths, _except_ for the built-in
- # Clang header files (things like stdint.h). That's why we use -nostdlibinc.
- # So to make Clang work like we want, we will have to manually add this one
- # include path.
- # We can't use a regular clang command (something like
- # llvmPackages.clangUseLLVM) because there are various bugs, see:
- # https://github.com/NixOS/nixpkgs/issues/259397
- # https://github.com/NixOS/nixpkgs/issues/259386
- (substituteAll {
- src = ./0002-Add-clang-header-path.patch;
- clang_include = "${clang.cc.lib}/lib/clang/${llvmMajor}/include";
- })
-
- #TODO(muscaln): Find a better way to fix build ID on darwin
- ./0003-Use-out-path-as-build-id-on-darwin.patch
- ./0004-fix-darwin-build.patch
+ ./0001-GNUmakefile.patch
];
nativeCheckInputs = [ binaryen ];
- nativeBuildInputs = [ makeWrapper ];
+ nativeBuildInputs = [ makeWrapper lld ];
buildInputs = [ llvm clang.cc ]
- ++ lib.optionals stdenv.isDarwin [ zlib ncurses libffi libxml2 xar ];
+ ++ lib.optionals stdenv.isDarwin [ xar ];
doCheck = (stdenv.buildPlatform.canExecute stdenv.hostPlatform);
inherit tinygoTests;
allowGoReference = true;
- tags = [ "llvm${llvmMajor}" ];
- ldflags = [ "-X github.com/tinygo-org/tinygo/goenv.TINYGOROOT=${placeholder "out"}/share/tinygo" ];
+ ldflags = [
+ "-X github.com/tinygo-org/tinygo/goenv.TINYGOROOT=${placeholder "out"}/share/tinygo"
+ "-X github.com/tinygo-org/tinygo/goenv.clangResourceDir=${clang.cc.lib}/lib/clang/${llvmMajor}"
+ ];
subPackages = [ "." ];
# Output contains static libraries for different arm cpus
# and stripping could mess up these so only strip the compiler
stripDebugList = [ "bin" ];
- postConfigure = lib.optionalString stdenv.isDarwin ''
- for i in vendor/tinygo.org/x/go-llvm/llvm_config_darwin*; do
- substituteInPlace $i --replace "curses" "ncurses"
- done
- '';
-
postPatch = ''
- # Copy wasi-libc, symlink seems not working
- rm -rf lib/wasi-libc/*
- mkdir -p lib/wasi-libc/sysroot/lib/wasm32-wasi lib/wasi-libc/sysroot/include
- cp -a ${wasi-libc}/lib/* lib/wasi-libc/sysroot/lib/wasm32-wasi/
- cp -a ${wasi-libc.dev}/include/* lib/wasi-libc/sysroot/include/
-
# Borrow compiler-rt builtins from our source
# See https://github.com/tinygo-org/tinygo/pull/2471
mkdir -p lib/compiler-rt-builtins
cp -a ${compiler-rt.src}/compiler-rt/lib/builtins/* lib/compiler-rt-builtins/
- substituteInPlace Makefile \
- --replace "\$(TINYGO)" "$(pwd)/build/tinygo" \
- --replace "@\$(MD5SUM)" "md5sum" \
+ substituteInPlace GNUmakefile \
--replace "build/release/tinygo/bin" "$out/bin" \
--replace "build/release/" "$out/share/"
-
- substituteInPlace builder/buildid.go \
- --replace "OUT_PATH" "$out"
-
- # TODO: Fix mingw
- # Disable windows cross-compile tests
- sed -i "/GOOS=windows/d" Makefile
- '' + lib.optionalString (stdenv.buildPlatform != stdenv.hostPlatform) ''
- substituteInPlace Makefile \
- --replace "./build/tinygo" "${buildPackages.tinygo}/bin/tinygo"
'';
preBuild = ''
export PATH=${bootstrapTools}:$PATH
export HOME=$TMPDIR
+
+ ldflags=("''$ldflags[@]/\"-buildid=\"")
'';
postBuild = ''
@@ -135,28 +87,38 @@ buildGoModule rec {
mkdir -p build
mv $GOPATH/bin/tinygo build/tinygo
+ # Build our own custom wasi-libc.
+ # This is necessary because we modify the build a bit for our needs (disable
+ # heap, enable debug symbols, etc).
+ make wasi-libc \
+ CLANG="${lib.getBin clang.cc}/bin/clang -resource-dir ${clang.cc.lib}/lib/clang/${llvmMajor}" \
+ LLVM_AR=${lib.getBin llvm}/bin/llvm-ar \
+ LLVM_NM=${lib.getBin llvm}/bin/llvm-nm
+
make gen-device -j $NIX_BUILD_CORES
export TINYGOROOT=$(pwd)
'';
checkPhase = lib.optionalString (tinygoTests != [ ] && tinygoTests != null) ''
- make ''${tinygoTests[@]} XTENSA=0
+ make ''${tinygoTests[@]} TINYGO="$(pwd)/build/tinygo" MD5SUM=md5sum XTENSA=0
'';
+ # GDB upstream does not support ARM darwin
+ runtimeDeps = [ go clang.cc lld avrdude openocd binaryen ]
+ ++ lib.optionals (!(stdenv.isDarwin && stdenv.isAarch64)) [ gdb ];
+
installPhase = ''
runHook preInstall
make build/release
wrapProgram $out/bin/tinygo \
- --prefix PATH : ${lib.makeBinPath [ go avrdude openocd binaryen ]}:${bootstrapTools}
+ --prefix PATH : ${lib.makeBinPath runtimeDeps }
runHook postInstall
'';
- disallowedReferences = [ wasi-libc ];
-
meta = with lib; {
homepage = "https://tinygo.org/";
description = "Go compiler for small places";
diff --git a/pkgs/development/compilers/typescript/default.nix b/pkgs/development/compilers/typescript/default.nix
index 50ddbacb2013..9e91337da753 100644
--- a/pkgs/development/compilers/typescript/default.nix
+++ b/pkgs/development/compilers/typescript/default.nix
@@ -2,20 +2,20 @@
buildNpmPackage rec {
pname = "typescript";
- version = "5.3.3";
+ version = "5.4.3";
src = fetchFromGitHub {
owner = "microsoft";
repo = "TypeScript";
rev = "v${version}";
- hash = "sha256-gZdS4TGbafaOdNc1ZB24uAjMu9g0hef6mEsOr/dPqvY=";
+ hash = "sha256-yB87R6LyuTbSbQOcRi+QOhrnUy+ra76PiCzsEvPx3ds=";
};
patches = [
./disable-dprint-dstBundler.patch
];
- npmDepsHash = "sha256-gj59jjko13UBPqqy/3z1KgVMFUQPUAIg47UTTaseF+w=";
+ npmDepsHash = "sha256-XlXDof0yFxEpNCZN+4ZY2BVgpbAkwdAUJcTRxIXi8eQ=";
passthru.tests = {
version = testers.testVersion {
diff --git a/pkgs/development/compilers/uasm/default.nix b/pkgs/development/compilers/uasm/default.nix
index 9fe0e42cb138..ba3e5b03a584 100644
--- a/pkgs/development/compilers/uasm/default.nix
+++ b/pkgs/development/compilers/uasm/default.nix
@@ -56,6 +56,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://www.terraspace.co.uk/uasm.html";
description = "A free MASM-compatible assembler based on JWasm";
+ mainProgram = "uasm";
platforms = platforms.unix;
maintainers = with maintainers; [ thiagokokada ];
license = licenses.watcom;
diff --git a/pkgs/development/compilers/unison/default.nix b/pkgs/development/compilers/unison/default.nix
index 56ca3a0104cf..f9f1934bb566 100644
--- a/pkgs/development/compilers/unison/default.nix
+++ b/pkgs/development/compilers/unison/default.nix
@@ -11,17 +11,17 @@
stdenv.mkDerivation (finalAttrs: {
pname = "unison-code-manager";
- version = "0.5.15";
+ version = "0.5.17";
src = if stdenv.isDarwin then
fetchurl {
url = "https://github.com/unisonweb/unison/releases/download/release/${finalAttrs.version}/ucm-macos.tar.gz";
- hash = "sha256-Umpu9WQhg6ln6aBb6bPVUZSax1Zeh6vcYHwmQuFRx2Y=";
+ hash = "sha256-ymnioW+phbwIshs8DZupfe14oPUuunxSsT8rmifh914=";
}
else
fetchurl {
url = "https://github.com/unisonweb/unison/releases/download/release/${finalAttrs.version}/ucm-linux.tar.gz";
- hash = "sha256-cFucBQcyye4F6Vep6O9buENFzqJ96q8/2cVr9NFvHB8=";
+ hash = "sha256-vaK7dKkjCPCfEb9GvkOiJ3jY/Jxb31sf98de3WTMG/A=";
};
# The tarball is just the prebuilt binary, in the archive root.
diff --git a/pkgs/development/compilers/urn/default.nix b/pkgs/development/compilers/urn/default.nix
index 66f1bda6d5f9..87e28d9672da 100644
--- a/pkgs/development/compilers/urn/default.nix
+++ b/pkgs/development/compilers/urn/default.nix
@@ -52,6 +52,7 @@ stdenv.mkDerivation {
meta = with lib; {
homepage = "https://urn-lang.com";
description = "Yet another Lisp variant which compiles to Lua";
+ mainProgram = "urn";
license = licenses.bsd3;
maintainers = with maintainers; [ CrazedProgrammer ];
platforms = platforms.all;
diff --git a/pkgs/development/compilers/urweb/default.nix b/pkgs/development/compilers/urweb/default.nix
index b72548e753c3..2621f4bc8050 100644
--- a/pkgs/development/compilers/urweb/default.nix
+++ b/pkgs/development/compilers/urweb/default.nix
@@ -43,6 +43,7 @@ stdenv.mkDerivation rec {
meta = {
description = "Advanced purely-functional web programming language";
+ mainProgram = "urweb";
homepage = "http://www.impredicative.com/ur/";
license = lib.licenses.bsd3;
platforms = lib.platforms.linux ++ lib.platforms.darwin;
diff --git a/pkgs/development/compilers/xa/dxa.nix b/pkgs/development/compilers/xa/dxa.nix
index 44e3e8fb9671..5eec63c171d2 100644
--- a/pkgs/development/compilers/xa/dxa.nix
+++ b/pkgs/development/compilers/xa/dxa.nix
@@ -37,6 +37,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
homepage = "https://www.floodgap.com/retrotech/xa/";
description = "Andre Fachat's open-source 6502 disassembler";
+ mainProgram = "dxa";
license = lib.licenses.gpl2Plus;
maintainers = with lib.maintainers; [ AndersonTorres ];
platforms = with lib.platforms; unix;
diff --git a/pkgs/development/compilers/zasm/default.nix b/pkgs/development/compilers/zasm/default.nix
index cd15b756b36e..bd98622edf3f 100644
--- a/pkgs/development/compilers/zasm/default.nix
+++ b/pkgs/development/compilers/zasm/default.nix
@@ -42,6 +42,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Z80 / 8080 / Z180 assembler (for unix-style OS)";
+ mainProgram = "zasm";
homepage = "https://k1.spdns.de/Develop/Projects/zasm/Distributions/";
license = licenses.bsd2;
maintainers = [ maintainers.turbomack ];
diff --git a/pkgs/development/compilers/zulu/16.nix b/pkgs/development/compilers/zulu/16.nix
deleted file mode 100644
index 941a7e8d64f9..000000000000
--- a/pkgs/development/compilers/zulu/16.nix
+++ /dev/null
@@ -1,26 +0,0 @@
-{ callPackage
-, enableJavaFX ? false
-, ...
-}@args:
-
-callPackage ./common.nix ({
- # Details from https://www.azul.com/downloads/?version=java-16-sts&package=jdk
- # Note that the latest build may differ by platform
- dists = {
- x86_64-darwin = {
- zuluVersion = "16.32.15";
- jdkVersion = "16.0.2";
- hash =
- if enableJavaFX then "sha256-6URaSBNHQWLauO//kCuKXb4Z7AqyshWnoeJEyVRKgaY="
- else "sha256-NXgBj/KixTknaCYbo3B+rOo11NImH5CDUIU0LhTCtMo=";
- };
-
- aarch64-darwin = {
- zuluVersion = "16.32.15";
- jdkVersion = "16.0.2";
- hash =
- if enableJavaFX then "sha256-QuyhIAxUY3Vv1adGihW+LIsXtpDX2taCmFsMFj9o5vs="
- else "sha256-3bUfDcLLyahLeURFAgLAVapBZHvqtam8GHbWTA6MQog=";
- };
- };
-} // builtins.removeAttrs args [ "callPackage" ])
diff --git a/pkgs/development/compilers/zulu/common.nix b/pkgs/development/compilers/zulu/common.nix
index 75925ed81bec..c9056236ea6a 100644
--- a/pkgs/development/compilers/zulu/common.nix
+++ b/pkgs/development/compilers/zulu/common.nix
@@ -19,7 +19,10 @@
, gtkSupport ? stdenv.isLinux
, cairo
, glib
+, gtk2
, gtk3
+ # runtime dependencies for JavaFX
+, ffmpeg
}:
let
dist = dists.${stdenv.hostPlatform.system}
@@ -43,6 +46,10 @@ let
cairo
glib
gtk3
+ ] ++ lib.optionals (gtkSupport && lib.versionOlder dist.jdkVersion "17") [
+ gtk2
+ ] ++ lib.optionals (stdenv.isLinux && enableJavaFX) [
+ ffmpeg.lib
];
runtimeLibraryPath = lib.makeLibraryPath runtimeDependencies;
@@ -83,8 +90,14 @@ let
xorg.libXi
xorg.libXrender
xorg.libXtst
+ xorg.libXxf86vm
zlib
- ];
+ ] ++ lib.optionals (stdenv.isLinux && enableJavaFX) runtimeDependencies;
+
+ autoPatchelfIgnoreMissingDeps = if (stdenv.isLinux && enableJavaFX) then [
+ "libavcodec*.so.*"
+ "libavformat*.so.*"
+ ] else null;
installPhase = ''
mkdir -p $out
diff --git a/pkgs/development/compilers/zz/default.nix b/pkgs/development/compilers/zz/default.nix
index 4ec5131bf74c..ea4e33b8a903 100644
--- a/pkgs/development/compilers/zz/default.nix
+++ b/pkgs/development/compilers/zz/default.nix
@@ -44,6 +44,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "ZetZ a zymbolic verifier and tranzpiler to bare metal C";
+ mainProgram = "zz";
homepage = "https://github.com/zetzit/zz";
license = licenses.mit;
maintainers = [ maintainers.marsam ];
diff --git a/pkgs/development/coq-modules/VST/default.nix b/pkgs/development/coq-modules/VST/default.nix
index 178db27c6379..706d11786354 100644
--- a/pkgs/development/coq-modules/VST/default.nix
+++ b/pkgs/development/coq-modules/VST/default.nix
@@ -23,11 +23,13 @@ mkCoqDerivation {
repo = "VST";
inherit version;
defaultVersion = with lib.versions; lib.switch coq.coq-version [
+ { case = range "8.15" "8.19"; out = "2.14"; }
{ case = range "8.15" "8.17"; out = "2.13"; }
{ case = range "8.14" "8.16"; out = "2.10"; }
{ case = range "8.13" "8.15"; out = "2.9"; }
{ case = range "8.12" "8.13"; out = "2.8"; }
] null;
+ release."2.14".sha256 = "sha256-NHc1ZQ2VmXZy4lK2+mtyeNz1Qr9Nhj2QLxkPhhQB7Iw=";
release."2.13".sha256 = "sha256-i6rvP3cpayBln5KHZOpeNfraYU5h0O9uciBQ4jRH4XA=";
release."2.12".sha256 = "sha256-4HL0U4HA5/usKNXC0Dis1UZY/Hb/LRd2IGOrqrvdWkw=";
release."2.11.1".sha256 = "sha256-unpNstZBnRT4dIqAYOv9n1J0tWJMeRuaaa2RG1U0Xs0=";
diff --git a/pkgs/development/coq-modules/Vpl/default.nix b/pkgs/development/coq-modules/Vpl/default.nix
new file mode 100644
index 000000000000..8936ec61a2c8
--- /dev/null
+++ b/pkgs/development/coq-modules/Vpl/default.nix
@@ -0,0 +1,17 @@
+{ lib, mkCoqDerivation, coq, version ? null }:
+
+mkCoqDerivation {
+ pname = "Vpl";
+ owner = "VERIMAG-Polyhedra";
+ inherit version;
+
+ defaultVersion = if lib.versions.range "8.8" "8.9" coq.coq-version then "0.5" else null;
+
+ release."0.5".sha256 = "sha256-mSD/xSweeK9WMxWDdX/vzN96iXo74RkufjuNvtzsP9o=";
+
+ sourceRoot = "source/coq";
+
+ meta = coq.ocamlPackages.vpl-core.meta // {
+ description = "Coq interface to VPL abstract domain of convex polyhedra";
+ };
+}
diff --git a/pkgs/development/coq-modules/VplTactic/default.nix b/pkgs/development/coq-modules/VplTactic/default.nix
new file mode 100644
index 000000000000..e62499eb1ac8
--- /dev/null
+++ b/pkgs/development/coq-modules/VplTactic/default.nix
@@ -0,0 +1,18 @@
+{ lib, mkCoqDerivation, coq, Vpl, version ? null }:
+
+mkCoqDerivation {
+ pname = "VplTactic";
+ owner = "VERIMAG-Polyhedra";
+ defaultVersion = if lib.versions.isEq "8.9" coq.version then "0.5" else null;
+
+ release."0.5".rev = "487e3aff8446bed2c5116cefc7d71d98a06e85de";
+ release."0.5".sha256 = "sha256-4h0hyvj9R+GOgnGWQFDi0oENLZPiJoimyK1q327qvIY=";
+
+ buildInputs = [ coq.ocamlPackages.vpl-core ];
+ propagatedBuildInputs = [ Vpl ];
+ mlPlugin = true;
+
+ meta = Vpl.meta // {
+ description = "A Coq Tactic for Arithmetic (based on VPL)";
+ };
+}
diff --git a/pkgs/development/coq-modules/aac-tactics/default.nix b/pkgs/development/coq-modules/aac-tactics/default.nix
index 1144ca69a55b..73d14282a3ab 100644
--- a/pkgs/development/coq-modules/aac-tactics/default.nix
+++ b/pkgs/development/coq-modules/aac-tactics/default.nix
@@ -5,6 +5,7 @@ mkCoqDerivation {
releaseRev = v: "v${v}";
+ release."8.19.0".sha256 = "sha256-IeCBd8gcu4bAXH5I/XIT7neQIILi+EWR6qqAA4GzQD0=";
release."8.18.0".sha256 = "sha256-Vpe79qCyFLOdOtFFvLKR0N+MMpGD661Q01yx4gxRhZo=";
release."8.17.0".sha256 = "sha256-c8DtD21QFDZEVyCQc7ScPZEMTmolxlT3+Db3gStofF8=";
release."8.16.0".sha256 = "sha256-sE1w8q/60adNF9yMJQO70CEk3D8QUopvgiszdHt5Wsw=";
@@ -23,6 +24,7 @@ mkCoqDerivation {
inherit version;
defaultVersion = with lib.versions; lib.switch coq.coq-version [
+ { case = "8.19"; out = "8.19.0"; }
{ case = "8.18"; out = "8.18.0"; }
{ case = "8.17"; out = "8.17.0"; }
{ case = "8.16"; out = "8.16.0"; }
diff --git a/pkgs/development/coq-modules/compcert/default.nix b/pkgs/development/coq-modules/compcert/default.nix
index 00b0bf49a39e..769017d4e282 100644
--- a/pkgs/development/coq-modules/compcert/default.nix
+++ b/pkgs/development/coq-modules/compcert/default.nix
@@ -13,6 +13,8 @@ targets = {
aarch64-linux = "aarch64-linux";
x86_64-darwin = "x86_64-macos";
aarch64-darwin = "aarch64-macos";
+ riscv32-linux = "rv32-linux";
+ riscv64-linux = "rv64-linux";
};
target = targets.${stdenv.hostPlatform.system}
@@ -27,7 +29,7 @@ compcert = mkCoqDerivation {
releaseRev = v: "v${v}";
defaultVersion = with lib.versions; lib.switch coq.version [
- { case = range "8.14" "8.17"; out = "3.13.1"; }
+ { case = range "8.14" "8.19"; out = "3.13.1"; }
{ case = isEq "8.13" ; out = "3.10"; }
{ case = isEq "8.12" ; out = "3.9"; }
{ case = range "8.8" "8.11"; out = "3.8"; }
@@ -168,12 +170,27 @@ compcert.overrideAttrs (o:
})
];
}
- { cases = [ (isEq "8.17") (isEq "3.13") ];
+ { cases = [ (range "8.17" "8.19") (isEq "3.13") ];
out = [
# Support for Coq 8.17.0 & Coq 8.17.1
(fetchpatch {
- url = "https://github.com/AbsInt/CompCert/commit/030a0fafe6a1a315bb13c5276e0af536e4f713ce.patch";
- hash = "sha256-iRdmgYuun1wp6chRoDy99KKmFyvY79NGWzrltyQaW1o=";
+ url = "https://github.com/AbsInt/CompCert/commit/2e04d986bdae578186e40330842878559a550402.patch";
+ hash = "sha256-2ZRAjUUSScJI8ogWFTnukCUnJdLWGvyOPyfIVlHL4ig=";
+ })
+ # Support for Coq 8.18.0
+ (fetchpatch {
+ url = "https://github.com/AbsInt/CompCert/commit/28218c5663cba36c6078ca342335d4e55c412bd7.patch";
+ hash = "sha256-aAatUMO26oZwFYGh1BXYWxbTuyOgU8BAKMGDS5796hM=";
+ })
+ # MenhirLib update
+ (fetchpatch {
+ url = "https://github.com/AbsInt/CompCert/commit/9f3d7b6eb99377ad4689cd57563c484c57baa457.patch";
+ hash = "sha256-paofdSBxP/JFoBSiO1OI+mjKRI3UCanXRh/drzYt93E=";
+ })
+ # Support for Coq 8.19.0 & Coq 8.19.1
+ (fetchpatch {
+ url = "https://github.com/AbsInt/CompCert/commit/a2e4ed62fc558d565366845f9d135bd7db5e23c4.patch";
+ hash = "sha256-ufk0bokuayLfkSvK3cK4E9iXU5eZpp9d/ETSa/zCfMg=";
})
];
}
diff --git a/pkgs/development/coq-modules/coq-lsp/default.nix b/pkgs/development/coq-modules/coq-lsp/default.nix
index 7ca44f39e0e8..790c264d28bd 100644
--- a/pkgs/development/coq-modules/coq-lsp/default.nix
+++ b/pkgs/development/coq-modules/coq-lsp/default.nix
@@ -10,12 +10,14 @@ mkCoqDerivation rec {
release."0.1.8+8.16".sha256 = "sha256-dEEAK5IXGjHB8D/fYJRQG/oCotoXJuWLxXB0GQlY2eo=";
release."0.1.8+8.17".sha256 = "sha256-TmaE+osn/yAPU1Dyni/UTd5w/L2+qyPE3H/g6IWvHLQ=";
release."0.1.8+8.18".sha256 = "sha256-UYmiDdbax4wxp5dLia/1t1gFyK6UELtJJvDMd5Hd14s=";
+ release."0.1.8+8.19".sha256 = "sha256-aO3hUAWEqVxvCF7uJs+S4yrRxSMe/GaLKVfW/vawzNs=";
inherit version;
defaultVersion = with lib.versions; lib.switch coq.coq-version [
{ case = isEq "8.16"; out = "0.1.8+8.16"; }
{ case = isEq "8.17"; out = "0.1.8+8.17"; }
{ case = isEq "8.18"; out = "0.1.8+8.18"; }
+ { case = isEq "8.19"; out = "0.1.8+8.19"; }
] null;
nativeBuildInputs = [ makeWrapper ];
diff --git a/pkgs/development/coq-modules/coq-record-update/default.nix b/pkgs/development/coq-modules/coq-record-update/default.nix
index 6d563dca746e..d65a486476a0 100644
--- a/pkgs/development/coq-modules/coq-record-update/default.nix
+++ b/pkgs/development/coq-modules/coq-record-update/default.nix
@@ -5,8 +5,9 @@
owner = "tchajed";
inherit version;
defaultVersion = with lib.versions; lib.switch coq.coq-version [
- { case = range "8.10" "8.19"; out = "0.3.1"; }
+ { case = range "8.10" "8.19"; out = "0.3.3"; }
] null;
+ release."0.3.3".sha256 = "sha256-HDIPeFHiC9EwhiOH7yMGJ9d2zJMhboTpRGf9kWcB9Io=";
release."0.3.1".sha256 = "sha256-DyGxO2tqmYZZluXN6Oy5Tw6fuLMyuyxonj8CCToWKkk=";
release."0.3.0".sha256 = "1ffr21dd6hy19gxnvcd4if2450iksvglvkd6q5713fajd72hmc0z";
releaseRev = v: "v${v}";
diff --git a/pkgs/development/coq-modules/coqide/default.nix b/pkgs/development/coq-modules/coqide/default.nix
index a11bfb2c0db7..d2dda145f677 100644
--- a/pkgs/development/coq-modules/coqide/default.nix
+++ b/pkgs/development/coq-modules/coqide/default.nix
@@ -55,6 +55,7 @@ mkCoqDerivation rec {
meta = with lib; {
homepage = "https://coq.inria.fr";
description = "The CoqIDE user interface for the Coq proof assistant";
+ mainProgram = "coqide";
license = licenses.lgpl21Plus;
maintainers = [ maintainers.Zimmi48 ];
};
diff --git a/pkgs/development/coq-modules/equations/default.nix b/pkgs/development/coq-modules/equations/default.nix
index 6d02db5cea91..961b54f6a4db 100644
--- a/pkgs/development/coq-modules/equations/default.nix
+++ b/pkgs/development/coq-modules/equations/default.nix
@@ -6,6 +6,7 @@
repo = "Coq-Equations";
inherit version;
defaultVersion = lib.switch coq.coq-version [
+ { case = "8.19"; out = "1.3+8.19"; }
{ case = "8.18"; out = "1.3+8.18"; }
{ case = "8.17"; out = "1.3+8.17"; }
{ case = "8.16"; out = "1.3+8.16"; }
@@ -60,6 +61,8 @@
release."1.3+8.17".sha256 = "sha256-yNotSIxFkhTg3reZIchGQ7cV9WmTJ7p7hPfKGBiByDw=";
release."1.3+8.18".rev = "v1.3-8.18";
release."1.3+8.18".sha256 = "sha256-8MZO9vWdr8wlAov0lBTYMnde0RuMyhaiM99zp7Zwfao=";
+ release."1.3+8.19".rev = "v1.3-8.19";
+ release."1.3+8.19".sha256 = "sha256-roBCWfAHDww2Z2JbV5yMI3+EOfIsv3WvxEcUbBiZBsk=";
mlPlugin = true;
diff --git a/pkgs/development/coq-modules/itauto/default.nix b/pkgs/development/coq-modules/itauto/default.nix
index 23bde1b1506f..b0fba6868df8 100644
--- a/pkgs/development/coq-modules/itauto/default.nix
+++ b/pkgs/development/coq-modules/itauto/default.nix
@@ -5,6 +5,7 @@
owner = "fbesson";
domain = "gitlab.inria.fr";
+ release."8.19.0".sha256 = "sha256-xKWCF4dYvvlJUVGCZcR2RLCG55vlGzu2GN30MeRvVD4=";
release."8.18.0".sha256 = "sha256-4mDDnKTeYrf27uRMkydQxO7j2tfgTFXOREW474d40eo=";
release."8.17.0".sha256 = "sha256-fgdnKchNT1Hyrq14gU8KWYnlSfg3qlsSw5A4+RoA26w=";
release."8.16.0".sha256 = "sha256-4zAUYGlw/pBcLPv2GroIduIlvbfi1+Vy+TdY8KLCqO4=";
@@ -13,6 +14,7 @@
release."8.13+no".sha256 = "sha256-gXoxtLcHPoyjJkt7WqvzfCMCQlh6kL2KtCGe3N6RC/A=";
inherit version;
defaultVersion = with lib.versions; lib.switch coq.coq-version [
+ { case = isEq "8.19"; out = "8.19.0"; }
{ case = isEq "8.18"; out = "8.18.0"; }
{ case = isEq "8.17"; out = "8.17.0"; }
{ case = isEq "8.16"; out = "8.16.0"; }
diff --git a/pkgs/development/coq-modules/mathcomp-word/default.nix b/pkgs/development/coq-modules/mathcomp-word/default.nix
index 52d4799eb173..0183de981226 100644
--- a/pkgs/development/coq-modules/mathcomp-word/default.nix
+++ b/pkgs/development/coq-modules/mathcomp-word/default.nix
@@ -18,15 +18,17 @@ mkCoqDerivation {
releaseRev = v: "v${v}";
+ release."3.1".sha256 = "sha256-qQHis6554sG7NpCpWhT2wvelnxsrbEPVNv3fpxwxHMU=";
release."3.0".sha256 = "sha256-xEgx5HHDOimOJbNMtIVf/KG3XBemOS9XwoCoW6btyJ4=";
+ release."2.3".sha256 = "sha256-whU1yvFFuxpwQutW41B/WBg5DrVZJW/Do/GuHtzuI3U=";
release."2.2".sha256 = "sha256-8BB6SToCrMZTtU78t2K+aExuxk9O1lCqVQaa8wabSm8=";
release."2.1".sha256 = "sha256-895gZzwwX8hN9UUQRhcgRlphHANka9R0PRotfmSEelA=";
release."2.0".sha256 = "sha256-ySg3AviGGY5jXqqn1cP6lTw3aS5DhawXEwNUgj7pIjA=";
inherit version;
defaultVersion = with lib.versions; lib.switch [ coq.version mathcomp.version ] [
- { cases = [ (range "8.16" "8.19") (isGe "2.0") ]; out = "3.0"; }
- { cases = [ (range "8.12" "8.19") (range "1.12" "1.19") ]; out = "2.2"; }
+ { cases = [ (range "8.16" "8.19") (isGe "2.0") ]; out = "3.1"; }
+ { cases = [ (range "8.12" "8.19") (range "1.12" "1.19") ]; out = "2.3"; }
] null;
propagatedBuildInputs = [ mathcomp.algebra mathcomp.ssreflect mathcomp.fingroup ];
diff --git a/pkgs/development/coq-modules/serapi/default.nix b/pkgs/development/coq-modules/serapi/default.nix
index ca50f35646b0..f48cce55d4dc 100644
--- a/pkgs/development/coq-modules/serapi/default.nix
+++ b/pkgs/development/coq-modules/serapi/default.nix
@@ -2,6 +2,7 @@
let
release = {
+ "8.19.0+0.19.0".sha256 = "sha256-M9d0ne2veTjf8/mFIDwtWdHi64JXjwCPWupnO2Ztd/Y=";
"8.18.0+0.18.0".sha256 = "sha256-c+3yG9vcbek/uvQ27OOQGqqsIHU1VuQhQvNVOjfucbo=";
"8.17.0+0.17.0".sha256 = "sha256-I81qvaXpJfXcbFw8vyzYLzlnhPg1QD0lTqAFXhoZ0rI=";
"8.16.0+0.16.3".sha256 = "sha256-22Kawp8jAsgyBTppwN5vmN7zEaB1QfPs0qKxd6x/7Uc=";
@@ -20,6 +21,7 @@ in
defaultVersion = with versions;
lib.switch coq.version [
+ { case = isEq "8.19"; out = "8.19.0+0.19.0"; }
{ case = isEq "8.18"; out = "8.18.0+0.18.0"; }
{ case = isEq "8.17"; out = "8.17.0+0.17.0"; }
{ case = isEq "8.16"; out = "8.16.0+0.16.3"; }
diff --git a/pkgs/development/coq-modules/vcfloat/default.nix b/pkgs/development/coq-modules/vcfloat/default.nix
index 5d1805c2573f..452cc0a59e83 100644
--- a/pkgs/development/coq-modules/vcfloat/default.nix
+++ b/pkgs/development/coq-modules/vcfloat/default.nix
@@ -1,10 +1,10 @@
{ lib, mkCoqDerivation, coq, interval, compcert, flocq, bignums, version ? null }:
-with lib; mkCoqDerivation {
+let self = with lib; mkCoqDerivation {
pname = "vcfloat";
owner = "VeriNum";
inherit version;
- sourceRoot = "source/vcfloat";
+ sourceRoot = "${self.src.name}/vcfloat";
postPatch = ''
coq_makefile -o Makefile -f _CoqProject *.v
'';
@@ -21,4 +21,5 @@ with lib; mkCoqDerivation {
maintainers = with maintainers; [ quinn-dougherty ];
license = licenses.lgpl3Plus;
};
-}
+};
+in self
diff --git a/pkgs/development/cuda-modules/cuda-samples/generic.nix b/pkgs/development/cuda-modules/cuda-samples/generic.nix
index fb3d7cc99da9..3d1dac015e16 100644
--- a/pkgs/development/cuda-modules/cuda-samples/generic.nix
+++ b/pkgs/development/cuda-modules/cuda-samples/generic.nix
@@ -1,5 +1,5 @@
{
- autoAddOpenGLRunpathHook,
+ autoAddDriverRunpath,
backendStdenv,
cmake,
cudatoolkit,
@@ -31,7 +31,7 @@ backendStdenv.mkDerivation (
nativeBuildInputs =
[
- autoAddOpenGLRunpathHook
+ autoAddDriverRunpath
pkg-config
]
# CMake has to run as a native, build-time dependency for libNVVM samples.
diff --git a/pkgs/development/cuda-modules/cudatoolkit/default.nix b/pkgs/development/cuda-modules/cudatoolkit/default.nix
index aca0c7ad0b78..231a153bf7e6 100644
--- a/pkgs/development/cuda-modules/cudatoolkit/default.nix
+++ b/pkgs/development/cuda-modules/cudatoolkit/default.nix
@@ -2,7 +2,7 @@
cudaVersion,
runPatches ? [],
autoPatchelfHook,
- autoAddOpenGLRunpathHook,
+ autoAddDriverRunpath,
addOpenGLRunpath,
alsa-lib,
curlMinimal,
@@ -76,7 +76,7 @@ backendStdenv.mkDerivation rec {
rsync
addOpenGLRunpath
autoPatchelfHook
- autoAddOpenGLRunpathHook
+ autoAddDriverRunpath
markForCudatoolkitRootHook
]
++ lib.optionals (lib.versionOlder version "11") [libsForQt5.wrapQtAppsHook]
diff --git a/pkgs/development/cuda-modules/generic-builders/manifest.nix b/pkgs/development/cuda-modules/generic-builders/manifest.nix
index 5e837fa36b5e..4f40b7f01dc2 100644
--- a/pkgs/development/cuda-modules/generic-builders/manifest.nix
+++ b/pkgs/development/cuda-modules/generic-builders/manifest.nix
@@ -1,7 +1,7 @@
{
# General callPackage-supplied arguments
- autoAddOpenGLRunpathHook,
- autoAddCudaCompatRunpathHook,
+ autoAddDriverRunpath,
+ autoAddCudaCompatRunpath,
autoPatchelfHook,
backendStdenv,
fetchurl,
@@ -193,16 +193,16 @@ backendStdenv.mkDerivation (
# in typically /lib/opengl-driver by adding that
# directory to the rpath of all ELF binaries.
# Check e.g. with `patchelf --print-rpath path/to/my/binary
- autoAddOpenGLRunpathHook
+ autoAddDriverRunpath
markForCudatoolkitRootHook
]
- # autoAddCudaCompatRunpathHook depends on cuda_compat and would cause
+ # autoAddCudaCompatRunpath depends on cuda_compat and would cause
# infinite recursion if applied to `cuda_compat` itself (beside the fact
# that it doesn't make sense in the first place)
++ lib.optionals (pname != "cuda_compat" && flags.isJetsonBuild) [
- # autoAddCudaCompatRunpathHook must appear AFTER autoAddOpenGLRunpathHook.
+ # autoAddCudaCompatRunpath must appear AFTER autoAddDriverRunpath.
# See its documentation in ./setup-hooks/extension.nix.
- autoAddCudaCompatRunpathHook
+ autoAddCudaCompatRunpath
];
buildInputs =
diff --git a/pkgs/development/cuda-modules/nccl/default.nix b/pkgs/development/cuda-modules/nccl/default.nix
index 6e385688d0f8..b8bdc69bba4f 100644
--- a/pkgs/development/cuda-modules/nccl/default.nix
+++ b/pkgs/development/cuda-modules/nccl/default.nix
@@ -12,7 +12,7 @@
}:
let
inherit (cudaPackages)
- autoAddOpenGLRunpathHook
+ autoAddDriverRunpath
backendStdenv
cuda_cccl
cuda_cudart
@@ -25,13 +25,13 @@ in
backendStdenv.mkDerivation (
finalAttrs: {
pname = "nccl";
- version = "2.19.3-1";
+ version = "2.20.3-1";
src = fetchFromGitHub {
owner = "NVIDIA";
repo = finalAttrs.pname;
rev = "v${finalAttrs.version}";
- hash = "sha256-59FlOKM5EB5Vkm4dZBRCkn+IgIcdQehE+FyZAdTCT/A=";
+ hash = "sha256-7gI1q6uN3saz/twwLjWl7XmMucYjvClDPDdbVpVM0vU=";
};
strictDeps = true;
@@ -44,7 +44,7 @@ backendStdenv.mkDerivation (
nativeBuildInputs =
[
which
- autoAddOpenGLRunpathHook
+ autoAddDriverRunpath
python3
]
++ lib.optionals (lib.versionOlder cudaVersion "11.4") [cudatoolkit]
diff --git a/pkgs/development/cuda-modules/saxpy/default.nix b/pkgs/development/cuda-modules/saxpy/default.nix
index 68c60e2d8446..bc299dea006f 100644
--- a/pkgs/development/cuda-modules/saxpy/default.nix
+++ b/pkgs/development/cuda-modules/saxpy/default.nix
@@ -5,7 +5,7 @@
}:
let
inherit (cudaPackages)
- autoAddOpenGLRunpathHook
+ autoAddDriverRunpath
backendStdenv
cuda_cccl
cuda_cudart
@@ -29,7 +29,7 @@ backendStdenv.mkDerivation {
nativeBuildInputs =
[
cmake
- autoAddOpenGLRunpathHook
+ autoAddDriverRunpath
]
++ lib.optionals (lib.versionOlder cudaVersion "11.4") [cudatoolkit]
++ lib.optionals (lib.versionAtLeast cudaVersion "11.4") [cuda_nvcc];
diff --git a/pkgs/development/cuda-modules/setup-hooks/auto-add-cuda-compat-runpath.sh b/pkgs/development/cuda-modules/setup-hooks/auto-add-cuda-compat-runpath.sh
index ae25cebaca6f..fc41024f1551 100644
--- a/pkgs/development/cuda-modules/setup-hooks/auto-add-cuda-compat-runpath.sh
+++ b/pkgs/development/cuda-modules/setup-hooks/auto-add-cuda-compat-runpath.sh
@@ -3,25 +3,25 @@
# coming from the cuda_compat package by adding it to the RUNPATH.
echo "Sourcing auto-add-cuda-compat-runpath-hook"
-elfHasDynamicSection() {
- patchelf --print-rpath "$1" >& /dev/null
+addCudaCompatRunpath() {
+ local libPath
+ local origRpath
+
+ if [[ $# -eq 0 ]]; then
+ echo "addCudaCompatRunpath: no library path provided" >&2
+ exit 1
+ elif [[ $# -gt 1 ]]; then
+ echo "addCudaCompatRunpath: too many arguments" >&2
+ exit 1
+ elif [[ "$1" == "" ]]; then
+ echo "addCudaCompatRunpath: empty library path" >&2
+ exit 1
+ else
+ libPath="$1"
+ fi
+
+ origRpath="$(patchelf --print-rpath "$libPath")"
+ patchelf --set-rpath "@libcudaPath@:$origRpath" "$libPath"
}
-autoAddCudaCompatRunpathPhase() (
- local outputPaths
- mapfile -t outputPaths < <(for o in $(getAllOutputNames); do echo "${!o}"; done)
- find "${outputPaths[@]}" -type f -print0 | while IFS= read -rd "" f; do
- if isELF "$f"; then
- # patchelf returns an error on statically linked ELF files
- if elfHasDynamicSection "$f" ; then
- echo "autoAddCudaCompatRunpathHook: patching $f"
- local origRpath="$(patchelf --print-rpath "$f")"
- patchelf --set-rpath "@libcudaPath@:$origRpath" "$f"
- elif (( "${NIX_DEBUG:-0}" >= 1 )) ; then
- echo "autoAddCudaCompatRunpathHook: skipping a statically-linked ELF file $f"
- fi
- fi
- done
-)
-
-postFixupHooks+=(autoAddCudaCompatRunpathPhase)
+postFixupHooks+=("autoFixElfFiles addCudaCompatRunpath")
diff --git a/pkgs/development/cuda-modules/setup-hooks/auto-add-driver-runpath-hook.sh b/pkgs/development/cuda-modules/setup-hooks/auto-add-driver-runpath-hook.sh
new file mode 100644
index 000000000000..ecff2a032d64
--- /dev/null
+++ b/pkgs/development/cuda-modules/setup-hooks/auto-add-driver-runpath-hook.sh
@@ -0,0 +1,8 @@
+# shellcheck shell=bash
+# Run addDriverRunpath on all dynamically linked ELF files
+echo "Sourcing auto-add-driver-runpath-hook"
+
+if [ -z "${dontUseAutoAddDriverRunpath-}" ]; then
+ echo "Using autoAddDriverRunpath"
+ postFixupHooks+=("autoFixElfFiles addDriverRunpath")
+fi
diff --git a/pkgs/development/cuda-modules/setup-hooks/auto-add-opengl-runpath-hook.sh b/pkgs/development/cuda-modules/setup-hooks/auto-add-opengl-runpath-hook.sh
deleted file mode 100644
index a6eeef7c7699..000000000000
--- a/pkgs/development/cuda-modules/setup-hooks/auto-add-opengl-runpath-hook.sh
+++ /dev/null
@@ -1,28 +0,0 @@
-# shellcheck shell=bash
-# Run addOpenGLRunpath on all dynamically linked, ELF files
-echo "Sourcing auto-add-opengl-runpath-hook"
-
-elfHasDynamicSection() {
- patchelf --print-rpath "$1" >& /dev/null
-}
-
-autoAddOpenGLRunpathPhase() (
- local outputPaths
- mapfile -t outputPaths < <(for o in $(getAllOutputNames); do echo "${!o}"; done)
- find "${outputPaths[@]}" -type f -print0 | while IFS= read -rd "" f; do
- if isELF "$f"; then
- # patchelf returns an error on statically linked ELF files
- if elfHasDynamicSection "$f" ; then
- echo "autoAddOpenGLRunpathHook: patching $f"
- addOpenGLRunpath "$f"
- elif (( "${NIX_DEBUG:-0}" >= 1 )) ; then
- echo "autoAddOpenGLRunpathHook: skipping a statically-linked ELF file $f"
- fi
- fi
- done
-)
-
-if [ -z "${dontUseAutoAddOpenGLRunpath-}" ]; then
- echo "Using autoAddOpenGLRunpathPhase"
- postFixupHooks+=(autoAddOpenGLRunpathPhase)
-fi
diff --git a/pkgs/development/cuda-modules/setup-hooks/auto-fix-elf-files.sh b/pkgs/development/cuda-modules/setup-hooks/auto-fix-elf-files.sh
new file mode 100644
index 000000000000..1d57dfb17a66
--- /dev/null
+++ b/pkgs/development/cuda-modules/setup-hooks/auto-fix-elf-files.sh
@@ -0,0 +1,64 @@
+# shellcheck shell=bash
+# List all dynamically linked ELF files in the outputs and apply a generic fix
+# action provided as a parameter (currently used to add the CUDA or the
+# cuda_compat driver to the runpath of binaries)
+echo "Sourcing cuda/fix-elf-files.sh"
+
+# Returns the exit code of patchelf --print-rpath.
+# A return code of 0 (success) means the ELF file has a dynamic section, while
+# a non-zero return code means the ELF file is statically linked (or is not an
+# ELF file).
+elfHasDynamicSection() {
+ local libPath
+
+ if [[ $# -eq 0 ]]; then
+ echo "elfHasDynamicSection: no library path provided" >&2
+ exit 1
+ elif [[ $# -gt 1 ]]; then
+ echo "elfHasDynamicSection: too many arguments" >&2
+ exit 1
+ elif [[ "$1" == "" ]]; then
+ echo "elfHasDynamicSection: empty library path" >&2
+ exit 1
+ else
+ libPath="$1"
+ shift 1
+ fi
+
+ patchelf --print-rpath "$libPath" >& /dev/null
+ return $?
+}
+
+# Run a fix action on all dynamically linked ELF files in the outputs.
+autoFixElfFiles() {
+ local fixAction
+ local outputPaths
+
+ if [[ $# -eq 0 ]]; then
+ echo "autoFixElfFiles: no fix action provided" >&2
+ exit 1
+ elif [[ $# -gt 1 ]]; then
+ echo "autoFixElfFiles: too many arguments" >&2
+ exit 1
+ elif [[ "$1" == "" ]]; then
+ echo "autoFixElfFiles: empty fix action" >&2
+ exit 1
+ else
+ fixAction="$1"
+ fi
+
+ mapfile -t outputPaths < <(for o in $(getAllOutputNames); do echo "${!o}"; done)
+
+ find "${outputPaths[@]}" -type f -print0 | while IFS= read -rd "" f; do
+ if ! isELF "$f"; then
+ continue
+ elif elfHasDynamicSection "$f"; then
+ # patchelf returns an error on statically linked ELF files, and in
+ # practice fixing actions all involve patchelf
+ echo "autoFixElfFiles: using $fixAction to fix $f" >&2
+ $fixAction "$f"
+ elif (( "${NIX_DEBUG:-0}" >= 1 )); then
+ echo "autoFixElfFiles: skipping a statically-linked ELF file $f"
+ fi
+ done
+}
diff --git a/pkgs/development/cuda-modules/setup-hooks/extension.nix b/pkgs/development/cuda-modules/setup-hooks/extension.nix
index 9e352bd5b3af..ece70da52b02 100644
--- a/pkgs/development/cuda-modules/setup-hooks/extension.nix
+++ b/pkgs/development/cuda-modules/setup-hooks/extension.nix
@@ -1,4 +1,19 @@
final: _: {
+ # Helper hook used in both autoAddCudaCompatRunpath and
+ # autoAddDriverRunpath that applies a generic patching action to all elf
+ # files with a dynamic linking section.
+ autoFixElfFiles =
+ final.callPackage
+ (
+ {makeSetupHook}:
+ makeSetupHook
+ {
+ name = "auto-fix-elf-files";
+ }
+ ./auto-fix-elf-files.sh
+ )
+ {};
+
# Internal hook, used by cudatoolkit and cuda redist packages
# to accommodate automatic CUDAToolkit_ROOT construction
markForCudatoolkitRootHook =
@@ -32,31 +47,36 @@ final: _: {
{}
);
- autoAddOpenGLRunpathHook =
+ autoAddDriverRunpath =
final.callPackage
(
- {addOpenGLRunpath, makeSetupHook}:
+ {addDriverRunpath, autoFixElfFiles, makeSetupHook}:
makeSetupHook
{
name = "auto-add-opengl-runpath-hook";
- propagatedBuildInputs = [addOpenGLRunpath];
+ propagatedBuildInputs = [addDriverRunpath autoFixElfFiles];
}
- ./auto-add-opengl-runpath-hook.sh
+ ./auto-add-driver-runpath-hook.sh
)
{};
- # autoAddCudaCompatRunpathHook hook must be added AFTER `setupCudaHook`. Both
+ # Deprecated: an alias kept for compatibility. Consider removing after 24.11
+ autoAddOpenGLRunpathHook = final.autoAddDriverRunpath;
+
+ # autoAddCudaCompatRunpath hook must be added AFTER `setupCudaHook`. Both
# hooks prepend a path with `libcuda.so` to the `DT_RUNPATH` section of
# patched elf files, but `cuda_compat` path must take precedence (otherwise,
# it doesn't have any effect) and thus appear first. Meaning this hook must be
# executed last.
- autoAddCudaCompatRunpathHook =
+ autoAddCudaCompatRunpath =
final.callPackage
(
- {makeSetupHook, cuda_compat ? null }:
+ {makeSetupHook, autoFixElfFiles, cuda_compat ? null }:
makeSetupHook
{
name = "auto-add-cuda-compat-runpath-hook";
+ propagatedBuildInputs = [autoFixElfFiles];
+
substitutions = {
# Hotfix Ofborg evaluation
libcudaPath = if final.flags.isJetsonBuild then "${cuda_compat}/compat" else null;
diff --git a/pkgs/development/embedded/arduino/arduino-ci/default.nix b/pkgs/development/embedded/arduino/arduino-ci/default.nix
index fe28a962e102..b711f814fd78 100644
--- a/pkgs/development/embedded/arduino/arduino-ci/default.nix
+++ b/pkgs/development/embedded/arduino/arduino-ci/default.nix
@@ -37,6 +37,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "CI for Arduino Libraries";
+ mainProgram = "arduino-ci";
homepage = src.meta.homepage;
license = licenses.mit;
maintainers = with maintainers; [ ryantm ];
diff --git a/pkgs/development/embedded/arduino/arduino-cli/default.nix b/pkgs/development/embedded/arduino/arduino-cli/default.nix
index fcc4c84e7cba..0a15a1566fe3 100644
--- a/pkgs/development/embedded/arduino/arduino-cli/default.nix
+++ b/pkgs/development/embedded/arduino/arduino-cli/default.nix
@@ -4,13 +4,13 @@ let
pkg = buildGoModule rec {
pname = "arduino-cli";
- version = "0.35.2";
+ version = "0.35.3";
src = fetchFromGitHub {
owner = "arduino";
repo = pname;
rev = "v${version}";
- hash = "sha256-ctgDuWbNLMyQrxnarTbCtGXM5G+bPeS4Xa7eTbkFo0k=";
+ hash = "sha256-brWWoIOQhU/isd48VCx6tczAJnamBuOa6e/xezuHN7E=";
};
nativeBuildInputs = [
@@ -38,7 +38,7 @@ let
];
in ''
substituteInPlace Taskfile.yml \
- --replace "go test" "go test -p $NIX_BUILD_CORES -skip '(${lib.concatStringsSep "|" skipTests})'"
+ --replace-fail "go test" "go test -p $NIX_BUILD_CORES -skip '(${lib.concatStringsSep "|" skipTests})'"
'';
doCheck = stdenv.isLinux;
@@ -53,18 +53,19 @@ let
"-s" "-w" "-X github.com/arduino/arduino-cli/version.versionString=${version}" "-X github.com/arduino/arduino-cli/version.commit=unknown"
] ++ lib.optionals stdenv.isLinux [ "-extldflags '-static'" ];
- postInstall = ''
+ postInstall = lib.optionalString (stdenv.buildPlatform.canExecute stdenv.hostPlatform) ''
export HOME="$(mktemp -d)"
- for s in {bash,zsh,fish}; do
- $out/bin/arduino-cli completion $s > completion.$s
- installShellCompletion --cmd arduino-cli --$s completion.$s
- done
+ installShellCompletion --cmd arduino-cli \
+ --bash <($out/bin/arduino-cli completion bash) \
+ --zsh <($out/bin/arduino-cli completion zsh) \
+ --fish <($out/bin/arduino-cli completion fish)
unset HOME
'';
meta = with lib; {
inherit (src.meta) homepage;
description = "Arduino from the command line";
+ mainProgram = "arduino-cli";
changelog = "https://github.com/arduino/arduino-cli/releases/tag/${version}";
license = licenses.gpl3Only;
maintainers = with maintainers; [ ryantm ];
@@ -85,6 +86,7 @@ if stdenv.isLinux then
extraInstallCommands = ''
mv $out/bin/$name $out/bin/arduino-cli
+ '' + lib.optionalString (stdenv.buildPlatform.canExecute stdenv.hostPlatform) ''
cp -r ${pkg.outPath}/share $out/share
'';
passthru.pureGoPkg = pkg;
diff --git a/pkgs/development/embedded/arduino/arduino-core/default.nix b/pkgs/development/embedded/arduino/arduino-core/default.nix
index 91c2792f9be1..e82396669787 100644
--- a/pkgs/development/embedded/arduino/arduino-core/default.nix
+++ b/pkgs/development/embedded/arduino/arduino-core/default.nix
@@ -242,6 +242,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Open-source electronics prototyping platform";
+ mainProgram = "arduino";
homepage = "https://www.arduino.cc/";
license = if withTeensyduino then licenses.unfreeRedistributable else licenses.gpl2;
sourceProvenance = with sourceTypes; [
diff --git a/pkgs/development/embedded/arduino/arduino-language-server/default.nix b/pkgs/development/embedded/arduino/arduino-language-server/default.nix
index f1d71476a89a..a1d330e4b9a7 100644
--- a/pkgs/development/embedded/arduino/arduino-language-server/default.nix
+++ b/pkgs/development/embedded/arduino/arduino-language-server/default.nix
@@ -32,6 +32,7 @@ buildGoModule rec {
meta = with lib; {
description = "An Arduino Language Server based on Clangd to Arduino code autocompletion";
+ mainProgram = "arduino-language-server";
homepage = "https://github.com/arduino/arduino-language-server";
changelog = "https://github.com/arduino/arduino-language-server/releases/tag/${version}";
license = licenses.asl20;
diff --git a/pkgs/development/embedded/arduino/arduinoOTA/default.nix b/pkgs/development/embedded/arduino/arduinoOTA/default.nix
index 92da1a77f18e..a8e1b481fde1 100644
--- a/pkgs/development/embedded/arduino/arduinoOTA/default.nix
+++ b/pkgs/development/embedded/arduino/arduinoOTA/default.nix
@@ -26,6 +26,7 @@ buildGoModule rec {
meta = with lib; {
homepage = "https://github.com/arduino/arduinoOTA";
description = "A tool for uploading programs to Arduino boards over a network";
+ mainProgram = "arduinoOTA";
license = licenses.gpl3;
maintainers = with maintainers; [ poelzi ];
platforms = platforms.all;
diff --git a/pkgs/development/embedded/avrdude/default.nix b/pkgs/development/embedded/avrdude/default.nix
index 99c798b5c238..3057e2be0936 100644
--- a/pkgs/development/embedded/avrdude/default.nix
+++ b/pkgs/development/embedded/avrdude/default.nix
@@ -34,6 +34,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Command-line tool for programming Atmel AVR microcontrollers";
+ mainProgram = "avrdude";
longDescription = ''
AVRDUDE (AVR Downloader/UploaDEr) is an utility to
download/upload/manipulate the ROM and EEPROM contents of AVR
diff --git a/pkgs/development/embedded/blackmagic/default.nix b/pkgs/development/embedded/blackmagic/default.nix
index a422cdc54fc3..893a0c889fad 100644
--- a/pkgs/development/embedded/blackmagic/default.nix
+++ b/pkgs/development/embedded/blackmagic/default.nix
@@ -59,6 +59,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "In-application debugger for ARM Cortex microcontrollers";
+ mainProgram = "blackmagic";
longDescription = ''
The Black Magic Probe is a modern, in-application debugging tool
for embedded microprocessors. It allows you to see what is going
diff --git a/pkgs/development/embedded/cc-tool/default.nix b/pkgs/development/embedded/cc-tool/default.nix
index e2a511a0e328..5d8e671b6fcd 100644
--- a/pkgs/development/embedded/cc-tool/default.nix
+++ b/pkgs/development/embedded/cc-tool/default.nix
@@ -31,6 +31,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Command line tool for the Texas Instruments CC Debugger";
+ mainProgram = "cc-tool";
longDescription = ''
cc-tool provides support for Texas Instruments CC Debugger
'';
diff --git a/pkgs/development/embedded/easypdkprog/default.nix b/pkgs/development/embedded/easypdkprog/default.nix
index 92d1a550ea80..28f06db9f35d 100644
--- a/pkgs/development/embedded/easypdkprog/default.nix
+++ b/pkgs/development/embedded/easypdkprog/default.nix
@@ -19,6 +19,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Read, write and execute programs on PADAUK microcontroller";
+ mainProgram = "easypdkprog";
homepage = "https://github.com/free-pdk/easy-pdk-programmer-software";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ david-sawatzke ];
diff --git a/pkgs/development/embedded/elf2uf2-rs/default.nix b/pkgs/development/embedded/elf2uf2-rs/default.nix
index c64380bec23d..e02b54a8d12c 100644
--- a/pkgs/development/embedded/elf2uf2-rs/default.nix
+++ b/pkgs/development/embedded/elf2uf2-rs/default.nix
@@ -2,11 +2,11 @@
rustPlatform.buildRustPackage rec {
pname = "elf2uf2-rs";
- version = "1.3.8";
+ version = "2.0.0";
src = fetchCrate {
inherit pname version;
- sha256 = "sha256-wR2rxovUYBW9kKMFJG5lsRhtpI12L+HZe73kQyckEdI=";
+ sha256 = "sha256-cmiCOykORue0Cg2uUUWa/nXviX1ddbGNC5gRKe+1kYs=";
};
nativeBuildInputs = [
@@ -20,10 +20,11 @@ rustPlatform.buildRustPackage rec {
Foundation
];
- cargoHash = "sha256-gSEmNmVpREvD3lDJmcmPnN9keu7SaAIcO7fDhOBhu/E=";
+ cargoHash = "sha256-TBH3pLB6vQVGnfShLtFPNKjciuUIuTkvp3Gayzo+X9E=";
meta = with lib; {
description = "Convert ELF files to UF2 for USB Flashing Bootloaders";
+ mainProgram = "elf2uf2-rs";
homepage = "https://github.com/JoNil/elf2uf2-rs";
license = with licenses; [ bsd0 ];
platforms = platforms.linux ++ platforms.darwin;
diff --git a/pkgs/development/embedded/fpga/apio/default.nix b/pkgs/development/embedded/fpga/apio/default.nix
index 1ca1e3cd200d..47ff562958ec 100644
--- a/pkgs/development/embedded/fpga/apio/default.nix
+++ b/pkgs/development/embedded/fpga/apio/default.nix
@@ -73,6 +73,7 @@ buildPythonApplication rec {
meta = with lib; {
description = "Open source ecosystem for open FPGA boards";
+ mainProgram = "apio";
homepage = "https://github.com/FPGAwars/apio";
license = licenses.gpl2Only;
maintainers = with maintainers; [ Luflosi ];
diff --git a/pkgs/development/embedded/fpga/ecpdap/default.nix b/pkgs/development/embedded/fpga/ecpdap/default.nix
index 799915b1b7f6..b2d0b0e11531 100644
--- a/pkgs/development/embedded/fpga/ecpdap/default.nix
+++ b/pkgs/development/embedded/fpga/ecpdap/default.nix
@@ -25,6 +25,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "A tool to program ECP5 FPGAs";
+ mainProgram = "ecpdap";
longDescription = ''
ECPDAP allows you to program ECP5 FPGAs and attached SPI flash
using CMSIS-DAP probes in JTAG mode.
diff --git a/pkgs/development/embedded/fpga/fujprog/default.nix b/pkgs/development/embedded/fpga/fujprog/default.nix
index db1f42e3a266..69c23f2a71cd 100644
--- a/pkgs/development/embedded/fpga/fujprog/default.nix
+++ b/pkgs/development/embedded/fpga/fujprog/default.nix
@@ -30,6 +30,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "JTAG programmer for the ULX3S and ULX2S open hardware FPGA development boards";
+ mainProgram = "fujprog";
homepage = "https://github.com/kost/fujprog";
license = licenses.bsd2;
maintainers = with maintainers; [ trepetti ];
diff --git a/pkgs/development/embedded/fpga/openfpgaloader/default.nix b/pkgs/development/embedded/fpga/openfpgaloader/default.nix
index 615000e6eec1..3ddfd9748645 100644
--- a/pkgs/development/embedded/fpga/openfpgaloader/default.nix
+++ b/pkgs/development/embedded/fpga/openfpgaloader/default.nix
@@ -13,13 +13,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "openfpgaloader";
- version = "0.11.0";
+ version = "0.12.0";
src = fetchFromGitHub {
owner = "trabucayre";
repo = "openFPGALoader";
rev = "v${finalAttrs.version}";
- hash = "sha256-OiyuhDrK4w13lRmgfmMlZ+1gvRZCJxsOF6MzLy3CFpg=";
+ hash = "sha256-fe0g8+q/4r7h++7/Bk7pbOJn1CsAc+2IzXN6lqtY2vY=";
};
nativeBuildInputs = [
@@ -38,6 +38,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
description = "Universal utility for programming FPGAs";
+ mainProgram = "openFPGALoader";
homepage = "https://github.com/trabucayre/openFPGALoader";
license = lib.licenses.agpl3Only;
maintainers = with lib.maintainers; [ danderson ];
diff --git a/pkgs/development/embedded/fpga/tinyprog/default.nix b/pkgs/development/embedded/fpga/tinyprog/default.nix
index b242d6ebc1b4..e5a448b6fb3d 100644
--- a/pkgs/development/embedded/fpga/tinyprog/default.nix
+++ b/pkgs/development/embedded/fpga/tinyprog/default.nix
@@ -33,6 +33,7 @@ with python3Packages; buildPythonApplication rec {
meta = with lib; {
homepage = "https://github.com/tinyfpga/TinyFPGA-Bootloader/tree/master/programmer";
description = "Programmer for FPGA boards using the TinyFPGA USB Bootloader";
+ mainProgram = "tinyprog";
maintainers = with maintainers; [ emily ];
license = licenses.asl20;
};
diff --git a/pkgs/development/embedded/jtag-remote-server/default.nix b/pkgs/development/embedded/jtag-remote-server/default.nix
index 4a2c9c3df3d2..7f29d1bfbeb9 100644
--- a/pkgs/development/embedded/jtag-remote-server/default.nix
+++ b/pkgs/development/embedded/jtag-remote-server/default.nix
@@ -16,6 +16,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Remote JTAG server for remote debugging";
+ mainProgram = "jtag-remote-server";
homepage = "https://github.com/jiegec/jtag-remote-server";
license = licenses.mit;
maintainers = with maintainers; [ nickcao ];
diff --git a/pkgs/development/embedded/nmrpflash/default.nix b/pkgs/development/embedded/nmrpflash/default.nix
index 62dea5d4c4d8..08748c325023 100644
--- a/pkgs/development/embedded/nmrpflash/default.nix
+++ b/pkgs/development/embedded/nmrpflash/default.nix
@@ -29,6 +29,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Netgear Unbrick Utility";
+ mainProgram = "nmrpflash";
homepage = "https://github.com/jclehner/nmrpflash";
license = licenses.gpl3;
maintainers = with maintainers; [ dadada ];
diff --git a/pkgs/development/embedded/openocd/default.nix b/pkgs/development/embedded/openocd/default.nix
index 39e9edc67722..5efd11c65f98 100644
--- a/pkgs/development/embedded/openocd/default.nix
+++ b/pkgs/development/embedded/openocd/default.nix
@@ -62,6 +62,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Free and Open On-Chip Debugging, In-System Programming and Boundary-Scan Testing";
+ mainProgram = "openocd";
longDescription = ''
OpenOCD provides on-chip programming and debugging support with a layered
architecture of JTAG interface and TAP support, debug target support
diff --git a/pkgs/development/embedded/platformio/core.nix b/pkgs/development/embedded/platformio/core.nix
index d2d4418f13b9..b36d5367e65e 100644
--- a/pkgs/development/embedded/platformio/core.nix
+++ b/pkgs/development/embedded/platformio/core.nix
@@ -43,6 +43,11 @@ with python3Packages; buildPythonApplication rec {
})
];
+ postPatch = ''
+ # Disable update checks at runtime
+ substituteInPlace platformio/maintenance.py --replace-fail ' check_platformio_upgrade()' ""
+ '';
+
nativeBuildInputs = [
installShellFiles
pythonRelaxDepsHook
diff --git a/pkgs/development/embedded/stm32/stm32flash/default.nix b/pkgs/development/embedded/stm32/stm32flash/default.nix
index d6e8d4598960..fd9703fed4cf 100644
--- a/pkgs/development/embedded/stm32/stm32flash/default.nix
+++ b/pkgs/development/embedded/stm32/stm32flash/default.nix
@@ -19,6 +19,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Open source flash program for the STM32 ARM processors using the ST bootloader";
+ mainProgram = "stm32flash";
homepage = "https://sourceforge.net/projects/stm32flash/";
license = lib.licenses.gpl2;
platforms = platforms.all; # Should work on all platforms
diff --git a/pkgs/development/embedded/stm8/stm8flash/default.nix b/pkgs/development/embedded/stm8/stm8flash/default.nix
index 1584ee7fdf07..c2bab12246b2 100644
--- a/pkgs/development/embedded/stm8/stm8flash/default.nix
+++ b/pkgs/development/embedded/stm8/stm8flash/default.nix
@@ -27,6 +27,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/vdudouyt/stm8flash";
description = "A tool for flashing STM8 MCUs via ST-LINK (V1 and V2)";
+ mainProgram = "stm8flash";
maintainers = with maintainers; [ pkharvey ];
license = licenses.gpl2;
platforms = platforms.all;
diff --git a/pkgs/development/embedded/svdtools/default.nix b/pkgs/development/embedded/svdtools/default.nix
index c2b86d7bd66a..204d40c63e42 100644
--- a/pkgs/development/embedded/svdtools/default.nix
+++ b/pkgs/development/embedded/svdtools/default.nix
@@ -5,17 +5,18 @@
rustPlatform.buildRustPackage rec {
pname = "svdtools";
- version = "0.3.9";
+ version = "0.3.11";
src = fetchCrate {
inherit version pname;
- hash = "sha256-agIr2jM0BqLSXod5V+p//bxcnrXe2+wW5RMq8GAAwnI=";
+ hash = "sha256-LmpYsG/2oEdbAK2ePI+LYbGrVN+wC9gQS6GXNcF8XFg=";
};
- cargoHash = "sha256-z9GmFjABgvh2xf4nujnZUgHvKvChfP4Guox89PuuxV8=";
+ cargoHash = "sha256-qsCa+YWE9dghG8T53TSDikWh+JhQt9v7A1Gn+/t5YZs=";
meta = with lib; {
description = "Tools to handle vendor-supplied, often buggy SVD files";
+ mainProgram = "svdtools";
homepage = "https://github.com/stm32-rs/svdtools";
changelog = "https://github.com/stm32-rs/svdtools/blob/v${version}/CHANGELOG-rust.md";
license = with licenses; [ asl20 /* or */ mit ];
diff --git a/pkgs/development/embedded/teensy-loader-cli/default.nix b/pkgs/development/embedded/teensy-loader-cli/default.nix
index e8445a9955f2..cd318d7a1ca5 100644
--- a/pkgs/development/embedded/teensy-loader-cli/default.nix
+++ b/pkgs/development/embedded/teensy-loader-cli/default.nix
@@ -39,6 +39,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "Firmware uploader for the Teensy microcontroller boards";
+ mainProgram = "teensy-loader-cli";
homepage = "https://www.pjrc.com/teensy/";
license = licenses.gpl3Only;
platforms = platforms.unix;
diff --git a/pkgs/development/embedded/uisp/default.nix b/pkgs/development/embedded/uisp/default.nix
index 0ae31bc24fb2..d086781fb1c4 100644
--- a/pkgs/development/embedded/uisp/default.nix
+++ b/pkgs/development/embedded/uisp/default.nix
@@ -13,6 +13,7 @@ stdenv.mkDerivation rec {
meta = {
description = "Tool for AVR microcontrollers which can interface to many hardware in-system programmers";
+ mainProgram = "uisp";
license = lib.licenses.gpl2;
homepage = "https://savannah.nongnu.org/projects/uisp";
platforms = lib.platforms.linux;
diff --git a/pkgs/development/embedded/wch-isp/default.nix b/pkgs/development/embedded/wch-isp/default.nix
index 87f241813e03..3021c875f08b 100644
--- a/pkgs/development/embedded/wch-isp/default.nix
+++ b/pkgs/development/embedded/wch-isp/default.nix
@@ -1,14 +1,14 @@
-{ lib, stdenv, fetchFromGitHub, pkg-config, libusb1 }:
+{ lib, stdenv, fetchFromSourcehut, pkg-config, libusb1 }:
stdenv.mkDerivation rec {
pname = "wch-isp";
- version = "0.4.0";
+ version = "0.4.1";
- src = fetchFromGitHub {
- owner = "jmaselbas";
+ src = fetchFromSourcehut {
+ owner = "~jmaselbas";
repo = pname;
rev = "v${version}";
- hash = "sha256-cTePTpzvWf2DdInhBxFY72aVNb0SAlCHb/tUwNqqX1U=";
+ hash = "sha256-JB7cvZPzRhYJ8T3QJkguHOzZFrLOft5rRz0F0sVav/k=";
};
nativeBuildInputs = [ pkg-config ];
@@ -19,8 +19,9 @@ stdenv.mkDerivation rec {
meta = {
description = "Firmware programmer for WCH microcontrollers over USB";
+ mainProgram = "wch-isp";
license = lib.licenses.gpl2Only;
- homepage = "https://github.com/jmaselbas/wch-isp";
+ homepage = "https://git.sr.ht/~jmaselbas/wch-isp";
maintainers = with lib.maintainers; [ lesuisse ];
platforms = lib.platforms.unix;
};
diff --git a/pkgs/development/gnuradio-modules/ais/default.nix b/pkgs/development/gnuradio-modules/ais/default.nix
index 1376fbe657a8..8155f39ee689 100644
--- a/pkgs/development/gnuradio-modules/ais/default.nix
+++ b/pkgs/development/gnuradio-modules/ais/default.nix
@@ -53,6 +53,7 @@ mkDerivation rec {
meta = with lib; {
description = "Gnuradio block for ais";
+ mainProgram = "ais_rx";
homepage = "https://github.com/bistromath/gr-ais";
license = licenses.gpl3Plus;
platforms = platforms.unix;
diff --git a/pkgs/development/guile-modules/guile-git/default.nix b/pkgs/development/guile-modules/guile-git/default.nix
index 6afddd8d362e..0314ed738ed4 100644
--- a/pkgs/development/guile-modules/guile-git/default.nix
+++ b/pkgs/development/guile-modules/guile-git/default.nix
@@ -11,13 +11,13 @@
stdenv.mkDerivation rec {
pname = "guile-git";
- version = "0.5.2";
+ version = "0.6.0";
src = fetchFromGitLab {
owner = "guile-git";
repo = pname;
rev = "v${version}";
- sha256 = "x6apF9fmwzrkyzAexKjClOTFrbE31+fVhSLyFZkKRYU=";
+ sha256 = "sha256-+GVGM9XOy8+nFChyJazRWsNBjS+HYUBnbUErCVkxZyg=";
};
strictDeps = true;
diff --git a/pkgs/development/guile-modules/guile-ncurses/default.nix b/pkgs/development/guile-modules/guile-ncurses/default.nix
index a355ed44777a..e20da1d9f98c 100644
--- a/pkgs/development/guile-modules/guile-ncurses/default.nix
+++ b/pkgs/development/guile-modules/guile-ncurses/default.nix
@@ -46,6 +46,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://www.gnu.org/software/guile-ncurses/";
description = "Scheme interface to the NCurses libraries";
+ mainProgram = "guile-ncurses-shell";
longDescription = ''
GNU Guile-Ncurses is a library for the Guile Scheme interpreter that
provides functions for creating text user interfaces. The text user
diff --git a/pkgs/development/hare-third-party/hare-toml/default.nix b/pkgs/development/hare-third-party/hare-toml/default.nix
index 39a387605652..ab760eb5fd24 100644
--- a/pkgs/development/hare-third-party/hare-toml/default.nix
+++ b/pkgs/development/hare-third-party/hare-toml/default.nix
@@ -7,14 +7,14 @@
}:
stdenv.mkDerivation (finalAttrs: {
pname = "hare-toml";
- version = "0.1.0-unstable-2023-12-27";
+ version = "0.1.1";
src = fetchFromGitea {
domain = "codeberg.org";
owner = "lunacb";
repo = "hare-toml";
- rev = "022d0a8d59e5518029f72724a46e6133b934774c";
- hash = "sha256-DsVcbh1zn8GNKzzb+1o6bfgiVigrxHw/5Xm3uuUhRy0=";
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-r8T7Gy9c5polP+R12q0QRy4075nfGssDnNPQ8ARx/0M=";
};
nativeBuildInputs = [
diff --git a/pkgs/development/haskell-modules/cabal2nix-unstable.nix b/pkgs/development/haskell-modules/cabal2nix-unstable.nix
index cd517534d649..8e7396a3fb48 100644
--- a/pkgs/development/haskell-modules/cabal2nix-unstable.nix
+++ b/pkgs/development/haskell-modules/cabal2nix-unstable.nix
@@ -8,10 +8,10 @@
}:
mkDerivation {
pname = "cabal2nix";
- version = "unstable-2024-01-04";
+ version = "unstable-2024-02-05";
src = fetchzip {
- url = "https://github.com/NixOS/cabal2nix/archive/e394e96c51cc7a2858145e710fbedbb2cb57f6ec.tar.gz";
- sha256 = "0rzmyx2i2z3w2ibg4rbaasq0581sa7bf8n1cih6v3j6phzgl3058";
+ url = "https://github.com/NixOS/cabal2nix/archive/173e8a5fa70dc95a1aeb0bd877bf5fee6d5e6b4a.tar.gz";
+ sha256 = "1pld7jfsjmw486ch6li1fqci1jj5p2nvfq7nrkxrmf5p2ja5528g";
};
postUnpack = "sourceRoot+=/cabal2nix; echo source root reset to $sourceRoot";
isLibrary = true;
diff --git a/pkgs/development/haskell-modules/configuration-common.nix b/pkgs/development/haskell-modules/configuration-common.nix
index 482abb918e02..ec0545a89281 100644
--- a/pkgs/development/haskell-modules/configuration-common.nix
+++ b/pkgs/development/haskell-modules/configuration-common.nix
@@ -34,8 +34,6 @@ self: super: {
# !!! Use cself/csuper inside for the actual overrides
cabalInstallOverlay = cself: csuper:
{
- # Needs to be upgraded compared to Stackage LTS 21
- cabal-install-solver = cself.cabal-install-solver_3_10_2_1;
# Needs to be downgraded compared to Stackage LTS 21
resolv = cself.resolv_0_1_2_0;
} // lib.optionalAttrs (lib.versionOlder self.ghc.version "9.6") {
@@ -52,21 +50,6 @@ self: super: {
# process depends on directory.
process = cself.process_1_6_18_0;
- # hspec < 2.10 depends on ghc (the library) directly which in turn
- # depends on directory, causing a dependency conflict which is practically
- # not solvable short of recompiling GHC. Instead of adding
- # allowInconsistentDependencies for all reverse dependencies of hspec-core,
- # just upgrade to an hspec version without the offending dependency.
- hspec-core = cself.hspec-core_2_11_7;
- hspec-discover = cself.hspec-discover_2_11_7;
- hspec = cself.hspec_2_11_7;
-
- # hspec-discover and hspec-core depend on hspec-meta for testing which
- # we need to avoid since it depends on ghc as well. Since hspec*_2_11*
- # are overridden to take the versioned attributes as inputs, we need
- # to make sure to override the versioned attribute with this fix.
- hspec-discover_2_11_7 = dontCheck csuper.hspec-discover_2_11_7;
-
# Prevent dependency on doctest which causes an inconsistent dependency
# due to depending on ghc which depends on directory etc.
vector = dontCheck csuper.vector;
@@ -94,12 +77,13 @@ self: super: {
# Extensions wants the latest version of Cabal for its list of Haskell
# language extensions.
- extensions = super.extensions.override {
+ # 2024-01-15: jailbreak to allow hspec-hedgehog 0.1.1.0 https://github.com/kowainik/extensions/pull/92
+ extensions = doJailbreak (super.extensions.override {
Cabal =
if versionOlder self.ghc.version "9.6"
then self.Cabal_3_10_2_1
else null; # use GHC bundled version
- };
+ });
#######################################
### HASKELL-LANGUAGE-SERVER SECTION ###
@@ -118,26 +102,9 @@ self: super: {
ghc-paths = lsuper.ghc-paths.override { Cabal = null; };
}));
- # hasn't bumped upper bounds
- # test fails: "floskell-test: styles/base.md: openBinaryFile: does not exist (No such file or directory)"
- # https://github.com/ennocramer/floskell/issues/48
- floskell = dontCheck (doJailbreak super.floskell);
-
- # 2023-04-03: https://github.com/haskell/haskell-language-server/issues/3546#issuecomment-1494139751
- # There will probably be a new revision soon.
- hls-brittany-plugin = assert super.hls-brittany-plugin.version == "1.1.0.0"; doJailbreak super.hls-brittany-plugin;
-
# For -f-auto see cabal.project in haskell-language-server.
ghc-lib-parser-ex = addBuildDepend self.ghc-lib-parser (disableCabalFlag "auto" super.ghc-lib-parser-ex);
- hls-test-utils = doJailbreak super.hls-test-utils;
- hls-alternate-number-format-plugin = doJailbreak super.hls-alternate-number-format-plugin;
- hls-cabal-plugin = doJailbreak super.hls-cabal-plugin;
- hls-call-hierarchy-plugin = doJailbreak super.hls-call-hierarchy-plugin;
- hls-explicit-fixity-plugin = doJailbreak super.hls-explicit-fixity-plugin;
- hls-floskell-plugin = doJailbreak super.hls-floskell-plugin;
- hls-gadt-plugin = doJailbreak super.hls-gadt-plugin;
-
###########################################
### END HASKELL-LANGUAGE-SERVER SECTION ###
###########################################
@@ -177,18 +144,18 @@ self: super: {
# https://github.com/lspitzner/czipwith/issues/5
czipwith = doJailbreak super.czipwith;
- # Deal with infinite and NaN values generated by QuickCheck-2.14.3
- aeson = overrideCabal {
+ aeson =
# aeson's test suite includes some tests with big numbers that fail on 32bit
# https://github.com/haskell/aeson/issues/1060
- doCheck = !pkgs.stdenv.hostPlatform.is32bit;
- } (appendPatches [
- (pkgs.fetchpatch {
- name = "aeson-quickcheck-2.14.3-double-workaround.patch";
- url = "https://github.com/haskell/aeson/commit/58766a1916b4980792763bab74f0c86e2a7ebf20.patch";
- sha256 = "1jk2xyi9g6dfjsi6hvpvkpmag3ivimipwy1izpbidf3wvc9cixs3";
- })
- ] super.aeson);
+ dontCheckIf pkgs.stdenv.hostPlatform.is32bit
+ # Deal with infinite and NaN values generated by QuickCheck-2.14.3
+ (appendPatches [
+ (pkgs.fetchpatch {
+ name = "aeson-quickcheck-2.14.3-double-workaround.patch";
+ url = "https://github.com/haskell/aeson/commit/58766a1916b4980792763bab74f0c86e2a7ebf20.patch";
+ sha256 = "1jk2xyi9g6dfjsi6hvpvkpmag3ivimipwy1izpbidf3wvc9cixs3";
+ })
+ ] super.aeson);
# 2023-06-28: Test error: https://hydra.nixos.org/build/225565149
orbits = dontCheck super.orbits;
@@ -208,15 +175,17 @@ self: super: {
'';
}) super.double-conversion;
+ # Too strict bounds on hspec < 2.11
+ http-api-data = doJailbreak super.http-api-data;
+ tasty-discover = doJailbreak super.tasty-discover;
+
# Allow aeson == 2.1.*
# https://github.com/hdgarrood/aeson-better-errors/issues/23
aeson-better-errors = doJailbreak super.aeson-better-errors;
# 2023-08-09: Jailbreak because of vector < 0.13
# 2023-11-09: don't check because of https://github.com/tweag/monad-bayes/pull/326
- monad-bayes = dontCheck (doJailbreak (super.monad-bayes.override {
- hspec = self.hspec_2_11_7;
- }));
+ monad-bayes = dontCheck (doJailbreak super.monad-bayes);
# Disable tests failing on odd floating point numbers generated by QuickCheck 2.14.3
# https://github.com/haskell/statistics/issues/205
@@ -229,12 +198,34 @@ self: super: {
# There are numerical tests on random data, that may fail occasionally
lapack = dontCheck super.lapack;
+ # currently, cabal-plan seems to get not much maintenance
+ cabal-plan = doJailbreak super.cabal-plan;
+
+ # Too strict bounds on optparse-applicative
+ weeder = lib.warnIf (lib.versionAtLeast super.weeder.version "2.8.0") "jailbreak on weeder may be obsolete" doJailbreak super.weeder;
+
+ # Allow scotty < 0.21
+ # For < 0.22 add https://github.com/taffybar/taffybar/commit/71fe820d892a85e49ad2f2843eac0a59e01f3fd4
+ taffybar = appendPatches [
+ (pkgs.fetchpatch {
+ name = "taffybar-scotty-0.20.patch";
+ url = "https://github.com/taffybar/taffybar/commit/dcc4184fa63295d51b6c6efb2f97f23b13170e66.patch";
+ sha256 = "0hsn0zxpc8icabdq48jlkfn2v07xfjy4l344fnq2pbdc5apcm0fy";
+ })
+ ] super.taffybar;
+
# fix tests failure for base≥4.15 (https://github.com/kim/leveldb-haskell/pull/41)
leveldb-haskell = appendPatch (fetchpatch {
url = "https://github.com/kim/leveldb-haskell/commit/f5249081f589233890ddb1945ec548ca9fb717cf.patch";
sha256 = "14gllipl28lqry73c5dnclsskzk1bsrrgazibl4lkl8z98j2csjb";
}) super.leveldb-haskell;
+ # 2024-01-08: fix tests failure for fgl >= 5.8.1 https://github.com/koalaman/shellcheck/issues/2677
+ ShellCheck = appendPatch (fetchpatch {
+ url = "https://github.com/koalaman/shellcheck/commit/c05380d518056189412e12128a8906b8ca6f6717.patch";
+ hash = "sha256-FXZQ/D7ut84Yng2/denihDM8e+q04/t2LVALFbohfT0=";
+ }) super.ShellCheck;
+
# Arion's test suite needs a Nixpkgs, which is cumbersome to do from Nixpkgs
# itself. For instance, pkgs.path has dirty sources and puts a huge .git in the
# store. Testing is done upstream.
@@ -269,8 +260,24 @@ self: super: {
ghcjs-base = null;
ghcjs-prim = null;
- # 2023-04-17: https://gitlab.haskell.org/ghc/ghc-debug/-/issues/20
- ghc-debug-brick = doJailbreak super.ghc-debug-brick;
+ # 2024-03-10: Compatibility fixes have been applied upstream, but are unreleased.
+ ghc-debug-brick = appendPatches [
+ (fetchpatch {
+ url = "https://gitlab.haskell.org/ghc/ghc-debug/-/commit/4f195b98a8d3159bd4586af49ea8e269214a848e.patch";
+ sha256 = "sha256-ZMxDkkI365w/qtRc21k9UTcIiTjoOd/BGJgt/6C6P6A=";
+ relative = "ghc-debug-brick";
+ includes = ["ghc-debug-brick.cabal"];
+ })
+ (fetchpatch {
+ url = "https://gitlab.haskell.org/ghc/ghc-debug/-/commit/5b8f848b82ea4c5a1867b9965a973e73e5d58dad.patch";
+ sha256 = "sha256-XydmqScUuXyxqvW1HeKlKiiGFQi/MkM81RMPxmADrhw=";
+ relative = "ghc-debug-brick";
+ })
+ ] super.ghc-debug-brick;
+
+ # Test failure. Tests also disabled in Stackage:
+ # https://github.com/jtdaugherty/brick/issues/499
+ brick = dontCheck super.brick;
# Needs older QuickCheck version
attoparsec-varword = dontCheck super.attoparsec-varword;
@@ -304,19 +311,41 @@ self: super: {
})
]) super.memory;
- # Waiting for the commit being fetched as a patch to get a release.
- espial = appendPatch (fetchpatch {
- url = "https://github.com/jonschoning/espial/commit/70375db7e245207b3572779288eade3252c4d9e3.patch";
- sha256 = "sha256-fto8fdFbZkzn7dwCCsGw+j+5HSvEvyvU5VzYDn4F2G8=";
- excludes = ["*.yaml" "*.lock" "*.json"];
- }) super.espial;
+ # Depends on outdated deps hedgehog < 1.4, doctest < 0.12 for tests
+ # As well as deepseq < 1.5 (so it forbids GHC 9.8)
+ hw-fingertree = doJailbreak super.hw-fingertree;
- # 2023-06-10: Too strict version bound on https://github.com/haskell/ThreadScope/issues/118
- threadscope = doJailbreak super.threadscope;
-
- # Overriding the version pandoc dependency uses as the latest release has version bounds
- # defined as >= 3.1 && < 3.2, can be removed once pandoc gets bumped by Stackage.
- patat = super.patat.override { pandoc = self.pandoc_3_1_11; };
+ # 2024-03-10: Maintainance stalled, fixes unmerged: https://github.com/haskell/ThreadScope/pull/130
+ threadscope = overrideCabal (drv: {
+ prePatch = drv.prePatch or "" + ''
+ ${pkgs.buildPackages.dos2unix}/bin/dos2unix *.cabal
+ '';
+ editedCabalFile = null;
+ revision = null;
+ })
+ (appendPatches [
+ (fetchpatch {
+ name = "loosen-bounds-1.patch";
+ url = "https://github.com/haskell/ThreadScope/commit/8f9f21449adb3af07eed539dcaf267c9c9ee987b.patch";
+ sha256 = "sha256-egKM060QplSmUeDptHXoSom1vf5KBrvNcjb2Vk59N7A=";
+ })
+ (fetchpatch {
+ name = "loosen-bounds-2.patch";
+ url = "https://github.com/haskell/ThreadScope/commit/f366a9ee455eda16cd6a4dc26f0275e2cf2b5798.patch";
+ sha256 = "sha256-DaPTK5LRbZZS1KDIr5X/eXQasqtofrCteTbUQUZPu0Q=";
+ })
+ (fetchpatch {
+ name = "loosen-bounds-3.patch";
+ url = "https://github.com/haskell/ThreadScope/commit/12819abaa2322976004b7582e598db1cf952707a.patch";
+ sha256 = "sha256-r7MVw8wwKU4R5VmcypBzhOBfTlRCISoRJtwie3+2Vb0=";
+ })
+ (fetchpatch {
+ name = "import-monad.patch";
+ url = "https://github.com/haskell/ThreadScope/commit/8846508e9769a8dfd82b3ff66259ba4d58255932.patch";
+ sha256 = "sha256-wBqDJWmqvmU1sFuw/ZlxHOb8xPhZO2RBuyYFP9bJCVI=";
+ })
+ ]
+ super.threadscope);
# http2 also overridden in all-packages.nix for mailctl.
# twain is currently only used by mailctl, so the .overrideScope shouldn't
@@ -329,6 +358,18 @@ self: super: {
# > https://github.com/roelvandijk/numerals
numerals = doJailbreak (dontCheck super.numerals);
+ # Bound on containers is too strict but jailbreak doesn't work with conditional flags
+ # https://github.com/NixOS/jailbreak-cabal/issues/24
+ containers-unicode-symbols = overrideCabal {
+ postPatch = ''
+ substituteInPlace containers-unicode-symbols.cabal \
+ --replace 'containers >= 0.5 && < 0.6.5' 'containers'
+ '';
+ } super.containers-unicode-symbols;
+
+ # Test file not included on hackage
+ numerals-base = dontCheck (doJailbreak super.numerals-base);
+
# This test keeps being aborted because it runs too quietly for too long
Lazy-Pbkdf2 = if pkgs.stdenv.isi686 then dontCheck super.Lazy-Pbkdf2 else super.Lazy-Pbkdf2;
@@ -356,16 +397,7 @@ self: super: {
rm -r $out/doc/?ndroid*
'';
};
-
- # Git annex provides a restricted login shell. Setting
- # passthru.shellPath here allows a user's login shell to be set to
- # `git-annex-shell` by making `shell = haskellPackages.git-annex`.
- # https://git-annex.branchable.com/git-annex-shell/
- passthru.shellPath = "/bin/git-annex-shell";
- }) (super.git-annex.overrideScope (self: _: {
- # https://github.com/haskell-pkg-janitors/unix-compat/issues/3
- unix-compat = self.unix-compat_0_6;
- }));
+ }) super.git-annex;
# Too strict bounds on servant
# Pending a hackage revision: https://github.com/berberman/arch-web/commit/5d08afee5b25e644f9e2e2b95380a5d4f4aa81ea#commitcomment-89230555
@@ -435,9 +467,10 @@ self: super: {
hzk = dontCheck super.hzk;
resolv_0_1_2_0 = doJailbreak super.resolv_0_1_2_0;
- # Too strict bounds on base{,-orphans}, template-haskell
- # https://github.com/sebastiaanvisser/fclabels/issues/44
- fclabels = doJailbreak super.fclabels;
+ # Test suite doesn't compile with 9.6, 9.8
+ # https://github.com/sebastiaanvisser/fclabels/issues/45
+ # https://github.com/sebastiaanvisser/fclabels/issues/46
+ fclabels = dontCheck super.fclabels;
# Tests require a Kafka broker running locally
haskakafka = dontCheck super.haskakafka;
@@ -470,6 +503,10 @@ self: super: {
inline-java = addBuildDepend pkgs.jdk super.inline-java;
+ # Too strict upper bound on unicode-transforms
+ #
+ ipa = doJailbreak super.ipa;
+
# Upstream notified by e-mail.
permutation = dontCheck super.permutation;
@@ -497,14 +534,12 @@ self: super: {
msgpack-rpc = dontCheck super.msgpack-rpc;
persistent-zookeeper = dontCheck super.persistent-zookeeper;
pocket-dns = dontCheck super.pocket-dns;
- postgresql-simple = dontCheck super.postgresql-simple;
squeal-postgresql = dontCheck super.squeal-postgresql;
postgrest-ws = dontCheck super.postgrest-ws;
snowball = dontCheck super.snowball;
sophia = dontCheck super.sophia;
test-sandbox = dontCheck super.test-sandbox;
texrunner = dontCheck super.texrunner;
- users-postgresql-simple = dontCheck super.users-postgresql-simple;
wai-middleware-hmac = dontCheck super.wai-middleware-hmac;
xkbcommon = dontCheck super.xkbcommon;
xmlgen = dontCheck super.xmlgen;
@@ -524,17 +559,18 @@ self: super: {
# 2023-04-20: Restrictive bytestring bound in tests.
storablevector = doJailbreak super.storablevector;
- # 2023-04-20: Pretends to need brick 1.6 but the commit history here
- # https://github.com/matterhorn-chat/matterhorn/commits/master/matterhorn.cabal
- # makes very clear that 1.4 is equally fine.
- # Generally a slightly packaging hostile bound practice.
matterhorn = doJailbreak super.matterhorn;
+ # Too strict bounds on transformers and resourcet
+ # https://github.com/alphaHeavy/lzma-conduit/issues/23
+ lzma-conduit = doJailbreak super.lzma-conduit;
+
# 2020-06-05: HACK: does not pass own build suite - `dontCheck`
- hnix = dontCheck (super.hnix.override {
+ # 2024-01-15: too strict bound on free < 5.2
+ hnix = doJailbreak (dontCheck (super.hnix.override {
# 2023-12-11: Needs older core due to remote
hnix-store-core = self.hnix-store-core_0_6_1_0;
- });
+ }));
# Too strict bounds on algebraic-graphs
@@ -554,9 +590,6 @@ self: super: {
# https://github.com/ekmett/structures/issues/3
structures = dontCheck super.structures;
- # Requires alex >= 3.4
- jacinda = super.jacinda.override { alex = self.alex_3_4_0_1; };
-
# Disable test suites to fix the build.
acme-year = dontCheck super.acme-year; # http://hydra.cryp.to/build/497858/log/raw
aeson-lens = dontCheck super.aeson-lens; # http://hydra.cryp.to/build/496769/log/raw
@@ -681,7 +714,6 @@ self: super: {
pipes-websockets = dontCheck super.pipes-websockets;
posix-pty = dontCheck super.posix-pty; # https://github.com/merijn/posix-pty/issues/12
postgresql-binary = dontCheck super.postgresql-binary; # needs a running postgresql server
- postgresql-simple-migration = dontCheck super.postgresql-simple-migration;
powerdns = dontCheck super.powerdns; # Tests require networking and external services
process-streaming = dontCheck super.process-streaming;
punycode = dontCheck super.punycode;
@@ -719,6 +751,10 @@ self: super: {
xsd = dontCheck super.xsd;
zip-archive = dontCheck super.zip-archive; # https://github.com/jgm/zip-archive/issues/57
+ # 2023-01-11: Too strict bounds on optparse-applicative
+ # https://github.com/Gabriella439/bench/issues/49
+ bench = doJailbreak super.bench;
+
# 2023-06-26: Test failure: https://hydra.nixos.org/build/224869905
comfort-blas = dontCheck super.comfort-blas;
@@ -959,6 +995,9 @@ self: super: {
selda-json
;
+ # 2024-03-10: Getting the test suite to run requires a correctly crafted GHC_ENVIRONMENT variable.
+ graphql-client = dontCheck super.graphql-client;
+
# Build the latest git version instead of the official release. This isn't
# ideal, but Chris doesn't seem to make official releases any more.
structured-haskell-mode = overrideCabal (drv: {
@@ -1057,10 +1096,17 @@ self: super: {
# Djinn's last release was 2014, incompatible with Semigroup-Monoid Proposal
# https://github.com/augustss/djinn/pull/8
- djinn = appendPatch (fetchpatch {
- url = "https://github.com/augustss/djinn/commit/6cb9433a137fb6b5194afe41d616bd8b62b95630.patch";
- sha256 = "0s021y5nzrh74gfp8xpxpxm11ivzfs3jwg6mkrlyry3iy584xqil";
- }) super.djinn;
+ djinn = overrideSrc {
+ version = "unstable-2023-11-20";
+ src = pkgs.fetchFromGitHub {
+ owner = "augustss";
+ repo = "djinn";
+ rev = "69b3fbad9f42f0b1b2c49977976b8588c967d76e";
+ hash = "sha256-ibxn6DXk4pqsOsWhi8KcrlH/THnuMWvIu5ENOn3H3So=";
+ };
+ } super.djinn;
+
+ mueval = doJailbreak super.mueval;
# We cannot build this package w/o the C library from .
phash = markBroken super.phash;
@@ -1280,37 +1326,6 @@ self: super: {
dhall-nixpkgs = self.generateOptparseApplicativeCompletions [ "dhall-to-nixpkgs" ] (doJailbreak super.dhall-nixpkgs);
dhall-yaml = self.generateOptparseApplicativeCompletions ["dhall-to-yaml-ng" "yaml-to-dhall"] super.dhall-yaml;
- crypton-connection = super.crypton-connection.override {
- # requires tls >= 1.7
- tls = self.tls_1_9_0;
- };
-
- stack =
- lib.pipe
- super.stack
- [
- (self.generateOptparseApplicativeCompletions [ "stack" ])
-
- # stack-2.13.1 requires a bunch of the latest packages.
- (drv: drv.overrideScope (hfinal: hprev: {
- ansi-terminal = hfinal.ansi-terminal_1_0; # needs ansi-terminal >= 1.0
- crypton = hfinal.crypton_0_34; # needs crypton >= 0.33
- hedgehog = doJailbreak hprev.hedgehog; # has too strict version bound for ansi-terminal
- hpack = hfinal.hpack_0_36_0; # needs hpack == 0.36.0
- http-client-tls = hfinal.http-client-tls_0_3_6_3; # needs http-client-tls >= 0.3.6.2
- http-download = hfinal.http-download_0_2_1_0; # needs http-download >= 0.2.1.0
- optparse-applicative = hfinal.optparse-applicative_0_18_1_0; # needs optparse-applicative >= 0.18.1.0
- pantry = hfinal.pantry_0_9_3_1; # needs pantry >= 0.9.2
- syb = dontCheck hprev.syb; # cyclic dependencies
- tar-conduit = hfinal.tar-conduit_0_4_0; # pantry needs tar-conduit >= 0.4.0
- temporary = dontCheck hprev.temporary; # cyclic dependencies
- }))
- ];
-
- hopenpgp-tools = super.hopenpgp-tools.override {
- optparse-applicative = self.optparse-applicative_0_18_1_0;
- };
-
# musl fixes
# dontCheck: use of non-standard strptime "%s" which musl doesn't support; only used in test
unix-time = if pkgs.stdenv.hostPlatform.isMusl then dontCheck super.unix-time else super.unix-time;
@@ -1369,12 +1384,32 @@ self: super: {
# https://github.com/mgajda/json-autotype/issues/25
json-autotype = dontCheck super.json-autotype;
- # Requires pg_ctl command during tests
- beam-postgres = overrideCabal (drv: {
- # https://github.com/NixOS/nixpkgs/issues/198495
- doCheck = pkgs.postgresql.doCheck;
- testToolDepends = (drv.testToolDepends or []) ++ [pkgs.postgresql];
- }) super.beam-postgres;
+ postgresql-simple-migration = overrideCabal (drv: {
+ preCheck = ''
+ PGUSER=test
+ PGDATABASE=test
+ '';
+ testToolDepends = drv.testToolDepends or [] ++ [
+ pkgs.postgresql
+ pkgs.postgresqlTestHook
+ ];
+ }) (doJailbreak super.postgresql-simple-migration);
+
+ postgresql-simple = addTestToolDepends [
+ pkgs.postgresql
+ pkgs.postgresqlTestHook
+ ] super.postgresql-simple;
+
+ beam-postgres = lib.pipe super.beam-postgres [
+ # Requires pg_ctl command during tests
+ (addTestToolDepends [pkgs.postgresql])
+ (dontCheckIf (!pkgs.postgresql.doCheck))
+ ];
+
+ users-postgresql-simple = addTestToolDepends [
+ pkgs.postgresql
+ pkgs.postgresqlTestHook
+ ] super.users-postgresql-simple;
# PortMidi needs an environment variable to have ALSA find its plugins:
# https://github.com/NixOS/nixpkgs/issues/6860
@@ -1415,8 +1450,6 @@ self: super: {
sed -i test/PostgreSQL/Test.hs \
-e s^host=localhost^^
'';
- # https://github.com/NixOS/nixpkgs/issues/198495
- doCheck = pkgs.postgresql.doCheck;
# Match the test suite defaults (or hardcoded values?)
preCheck = drv.preCheck or "" + ''
PGUSER=esqutest
@@ -1431,7 +1464,8 @@ self: super: {
pkgs.postgresqlTestHook
];
})
- super.esqueleto;
+ # https://github.com/NixOS/nixpkgs/issues/198495
+ (dontCheckIf (!pkgs.postgresql.doCheck) super.esqueleto);
# Requires API keys to run tests
algolia = dontCheck super.algolia;
@@ -1533,14 +1567,11 @@ self: super: {
sed -i test/PgInit.hs \
-e s^'host=" <> host <> "'^^
'';
- doCheck =
- # https://github.com/commercialhaskell/stackage/issues/6884
- # persistent-postgresql-2.13.5.1 needs persistent-test >= 2.13.1.3 which
- # is incompatible with the stackage version of persistent, so the tests
- # are disabled temporarily.
- false
- # https://github.com/NixOS/nixpkgs/issues/198495
- && pkgs.postgresql.doCheck;
+ # https://github.com/commercialhaskell/stackage/issues/6884
+ # persistent-postgresql-2.13.5.1 needs persistent-test >= 2.13.1.3 which
+ # is incompatible with the stackage version of persistent, so the tests
+ # are disabled temporarily.
+ doCheck = false;
preCheck = drv.preCheck or "" + ''
PGDATABASE=test
PGUSER=test
@@ -1550,7 +1581,8 @@ self: super: {
pkgs.postgresqlTestHook
];
})
- super.persistent-postgresql;
+ # https://github.com/NixOS/nixpkgs/issues/198495
+ (dontCheckIf (!pkgs.postgresql.doCheck) super.persistent-postgresql);
# Test suite requires a later version of persistent-test which depends on persistent 2.14
# https://github.com/commercialhaskell/stackage/issues/6884
@@ -1563,20 +1595,32 @@ self: super: {
# upstream: https://github.com/obsidiansystems/which/pull/6
which = doJailbreak super.which;
- dhall-lsp-server =
- # 2022-09-20: We have overridden lsp to not be the stackage version.
- # dhall-lsp-server needs the older 1.4.0.0 lsp
- let overridden-dhall-lsp-server = super.dhall-lsp-server.override {
- lsp = dontCheck (super.lsp_1_4_0_0.override {
- lsp-types = super.lsp-types_1_4_0_1;
- });
- };
- in appendPatch (fetchpatch {
- # This patch can be removed once the change question is in a tracked release.
- url = "https://github.com/dhall-lang/dhall-haskell/pull/2539/commits/5dd0f0ba2d836fea3ef499c7aed04e83269c203f.patch";
- sha256 = "sha256-xjVuLDBptDGfTf7MVmPb0WuuFWRLpgDYX2ybbgjAjzs=";
- relative = "dhall-lsp-server";
- }) overridden-dhall-lsp-server;
+
+ # 2024-02-28: The Hackage version dhall-lsp-server-1.1.3 requires
+ # lsp-1.4.0.0 which is hard to build with this LTS. However, the latest
+ # git version of dhall-lsp-server works with lsp-2.1.0.0, and only
+ # needs jailbreaking to build successfully.
+ dhall-lsp-server = lib.pipe
+ (super.dhall-lsp-server.overrideScope (lself: lsuper: {
+ lsp = doJailbreak lself.lsp_2_1_0_0; # sorted-list <0.2.2
+ lsp-types = lself.lsp-types_2_0_2_0;
+ }))
+ [
+ # Use latest main branch version of dhall-lsp-server.
+ (assert super.dhall-lsp-server.version == "1.1.3"; overrideSrc {
+ version = "unstable-2024-02-19";
+ src = pkgs.fetchFromGitHub {
+ owner = "dhall-lang";
+ repo = "dhall-haskell";
+ rev = "277d8b1b3637ba2ce125783cc1936dc9591e67a7";
+ hash = "sha256-YvL3XEltU9sdU45ULHeD3j1mPGZoO1J81MW7f2+10ok=";
+ } + "/dhall-lsp-server";
+ })
+ # New version needs an extra dependency
+ (addBuildDepend self.text-rope)
+ # bounds too strict: mtl <2.3, transformers <0.6
+ doJailbreak
+ ];
# 2022-03-16: lens bound can be loosened https://github.com/ghcjs/jsaddle-dom/issues/19
jsaddle-dom = overrideCabal (old: {
@@ -1585,28 +1629,48 @@ self: super: {
'';
}) (doJailbreak super.jsaddle-dom);
+ reflex-dom = lib.pipe super.reflex-dom [
+ (appendPatch
+ (fetchpatch {
+ name = "bump-reflex-dom-bounds.patch";
+ url = "https://github.com/reflex-frp/reflex-dom/commit/70ff88942f9d2bcd364e301c70df8702f452df38.patch";
+ sha256 = "sha256-xzk1+6CnfhEBfXdL5RUFbLRSn7knMwydmV8v2F2W5gE=";
+ relative = "reflex-dom";
+ })
+ )
+ (overrideCabal (drv: {
+ editedCabalFile = null;
+ revision = null;
+ }))
+ ];
+
# Tests disabled and broken override needed because of missing lib chrome-test-utils: https://github.com/reflex-frp/reflex-dom/issues/392
# 2022-03-16: Pullrequest for ghc 9 compat https://github.com/reflex-frp/reflex-dom/pull/433
- reflex-dom-core = overrideCabal (old: {
- postPatch = old.postPatch or "" + ''
- sed -i 's/template-haskell.*2.17/template-haskell/' reflex-dom-core.cabal
- sed -i 's/semialign.*1.3/semialign/' reflex-dom-core.cabal
- sed -i 's/these.*0.9/these/' reflex-dom-core.cabal
- '';
- })
- ((appendPatches [
- (fetchpatch {
- url = "https://github.com/reflex-frp/reflex-dom/commit/1814640a14c6c30b1b2299e74d08fb6fcaadfb94.patch";
- sha256 = "sha256-QyX2MLd7Tk0M1s0DU0UV3szXs8ngz775i3+KI62Q3B8=";
- relative = "reflex-dom-core";
- })
- (fetchpatch {
- url = "https://github.com/reflex-frp/reflex-dom/commit/56fa8a484ccfc7d3365d07fea3caa430155dbcac.patch";
- sha256 = "sha256-IogAYJZac17Bg99ZnnFX/7I44DAnHo2PRBWD0iVHbNA=";
- relative = "reflex-dom-core";
- })
- ]
- (doDistribute (unmarkBroken (dontCheck (doJailbreak super.reflex-dom-core))))));
+ reflex-dom-core = lib.pipe super.reflex-dom-core [
+ doDistribute
+ unmarkBroken
+ dontCheck
+ (appendPatches [
+ (fetchpatch {
+ name = "fix-th-build-order.patch";
+ url = "https://github.com/reflex-frp/reflex-dom/commit/1814640a14c6c30b1b2299e74d08fb6fcaadfb94.patch";
+ sha256 = "sha256-QyX2MLd7Tk0M1s0DU0UV3szXs8ngz775i3+KI62Q3B8=";
+ relative = "reflex-dom-core";
+ })
+ (fetchpatch {
+ name = "bump-reflex-dom-core-bounds.patch";
+ url = "https://github.com/reflex-frp/reflex-dom/commit/51cdd96dde9d65fcde326a16a797397bf62102d9.patch";
+ sha256 = "sha256-Ct8gMbXqN+6vqTwFiqnKxddAfs+YFaBocF4G7PPMzFo=";
+ relative = "reflex-dom-core";
+ })
+ (fetchpatch {
+ name = "new-mtl-compat.patch";
+ url = "https://github.com/reflex-frp/reflex-dom/commit/df95bfc0b9baf70492f20daddfe6bb180f80c413.patch";
+ sha256 = "sha256-zkLZtcnfqpfiv6zDEmkZjWHr2b7lOnZ4zujm0/pkxQg=";
+ relative = "reflex-dom-core";
+ })
+ ])
+ ];
# Tests disabled because they assume to run in the whole jsaddle repo and not the hackage tarball of jsaddle-warp.
jsaddle-warp = dontCheck super.jsaddle-warp;
@@ -1630,18 +1694,22 @@ self: super: {
libraryToolDepends = (drv.libraryToolDepends or []) ++ [self.buildHaskellPackages.c2hs];
}) super.libsodium;
- svgcairo = appendPatches [
- # Remove when https://github.com/gtk2hs/svgcairo/pull/12 goes in.
- (fetchpatch {
- url = "https://github.com/gtk2hs/svgcairo/commit/348c60b99c284557a522baaf47db69322a0a8b67.patch";
- sha256 = "0akhq6klmykvqd5wsbdfnnl309f80ds19zgq06sh1mmggi54dnf3";
- })
- # Remove when https://github.com/gtk2hs/svgcairo/pull/13 goes in.
- (fetchpatch {
- url = "https://github.com/dalpd/svgcairo/commit/d1e0d7ae04c1edca83d5b782e464524cdda6ae85.patch";
- sha256 = "1pq9ld9z67zsxj8vqjf82qwckcp69lvvnrjb7wsyb5jc6jaj3q0a";
- })
- ] super.svgcairo;
+ svgcairo = overrideCabal (drv: {
+ patches = drv.patches or [ ] ++ [
+ # Remove when https://github.com/gtk2hs/svgcairo/pull/12 goes in.
+ (fetchpatch {
+ url = "https://github.com/gtk2hs/svgcairo/commit/348c60b99c284557a522baaf47db69322a0a8b67.patch";
+ sha256 = "0akhq6klmykvqd5wsbdfnnl309f80ds19zgq06sh1mmggi54dnf3";
+ })
+ # Remove when https://github.com/gtk2hs/svgcairo/pull/13 goes in.
+ (fetchpatch {
+ url = "https://github.com/dalpd/svgcairo/commit/d1e0d7ae04c1edca83d5b782e464524cdda6ae85.patch";
+ sha256 = "1pq9ld9z67zsxj8vqjf82qwckcp69lvvnrjb7wsyb5jc6jaj3q0a";
+ })
+ ];
+ editedCabalFile = null;
+ revision = null;
+ }) super.svgcairo;
# Upstream PR: https://github.com/jkff/splot/pull/9
splot = appendPatch (fetchpatch {
@@ -1649,11 +1717,12 @@ self: super: {
sha256 = "1c5ck2ibag2gcyag6rjivmlwdlp5k0dmr8nhk7wlkzq2vh7zgw63";
}) super.splot;
- # Fix build with newer monad-logger: https://github.com/obsidiansystems/monad-logger-extras/pull/5
+ # 2023-07-27: Fix build with newer monad-logger: https://github.com/obsidiansystems/monad-logger-extras/pull/5
+ # 2024-03-02: jailbreak for ansi-terminal <0.12, mtl <2.3
monad-logger-extras = appendPatch (fetchpatch {
url = "https://github.com/obsidiansystems/monad-logger-extras/commit/55d414352e740a5ecacf313732074d9b4cf2a6b3.patch";
sha256 = "sha256-xsQbr/QIrgWR0uwDPtV0NRTbVvP0tR9bY9NMe1JzqOw=";
- }) super.monad-logger-extras;
+ }) (doJailbreak super.monad-logger-extras);
# Fails with encoding problems, likely needs locale data.
# Test can be executed by adding which to testToolDepends and
@@ -1707,21 +1776,24 @@ self: super: {
hasura-ekg-json = super.hasura-ekg-json.override {
ekg-core = self.hasura-ekg-core;
};
- pg-client = overrideCabal (drv: {
- librarySystemDepends = with pkgs; [ postgresql krb5.dev openssl.dev ];
- testToolDepends = drv.testToolDepends or [] ++ [
- pkgs.postgresql pkgs.postgresqlTestHook
+ pg-client = lib.pipe
+ (super.pg-client.override {
+ resource-pool = self.hasura-resource-pool;
+ ekg-core = self.hasura-ekg-core;
+ }) [
+ (overrideCabal (drv: {
+ librarySystemDepends = with pkgs; [ postgresql krb5.dev openssl.dev ];
+ testToolDepends = drv.testToolDepends or [] ++ [
+ pkgs.postgresql pkgs.postgresqlTestHook
+ ];
+ preCheck = drv.preCheck or "" + ''
+ # empty string means use default connection
+ export DATABASE_URL=""
+ '';
+ }))
+ # https://github.com/NixOS/nixpkgs/issues/198495
+ (dontCheckIf (!pkgs.postgresql.doCheck))
];
- # https://github.com/NixOS/nixpkgs/issues/198495
- doCheck = pkgs.postgresql.doCheck;
- preCheck = drv.preCheck or "" + ''
- # empty string means use default connection
- export DATABASE_URL=""
- '';
- }) (super.pg-client.override {
- resource-pool = self.hasura-resource-pool;
- ekg-core = self.hasura-ekg-core;
- });
hcoord = overrideCabal (drv: {
# Remove when https://github.com/danfran/hcoord/pull/8 is merged.
@@ -1735,6 +1807,15 @@ self: super: {
doCheck = false;
}) super.hcoord;
+ # Break infinite recursion via tasty
+ temporary = dontCheck super.temporary;
+
+ # Break infinite recursion via doctest-lib
+ utility-ht = dontCheck super.utility-ht;
+
+ # Break infinite recursion via optparse-applicative (alternatively, dontCheck syb)
+ prettyprinter-ansi-terminal = dontCheck super.prettyprinter-ansi-terminal;
+
# Tests rely on `Int` being 64-bit: https://github.com/hspec/hspec/issues/431.
# Also, we need QuickCheck-2.14.x to build the test suite, which isn't easy in LTS-16.x.
# So let's not go there and just disable the tests altogether.
@@ -1798,9 +1879,25 @@ self: super: {
# https://github.com/obsidiansystems/dependent-sum/issues/55
dependent-sum = doJailbreak super.dependent-sum;
+ # 2024-02-03: Jailbreak because pretty much every dependency has
+ # tight bounds, and disable building the example executable because
+ # it's not compatible with Reflex 0.9 (the library itself is
+ # compatible however).
+ # https://gitlab.com/Kritzefitz/reflex-gi-gtk/-/merge_requests/16
+ reflex-gi-gtk = assert super.reflex-gi-gtk.version == "0.2.0.0";
+ overrideCabal (drv: {
+ jailbreak = true;
+ buildTarget = drv.pname; # just the library
+ }) super.reflex-gi-gtk;
+
# 2022-06-19: Disable checks because of https://github.com/reflex-frp/reflex/issues/475
reflex = doJailbreak (dontCheck super.reflex);
+ # 2024-03-02: hspec <2.11, primitive <0.8 - https://github.com/reflex-frp/reflex-vty/pull/80
+ reflex-vty = assert super.reflex-vty.version == "0.5.2.0"; doJailbreak super.reflex-vty;
+ # 2024-03-02: vty <5.39 - https://github.com/reflex-frp/reflex-ghci/pull/33
+ reflex-ghci = assert super.reflex-ghci.version == "0.2.0.1"; doJailbreak super.reflex-ghci;
+
# 2020-11-19: jailbreaking because of pretty-simple bound out of date
# https://github.com/kowainik/stan/issues/408
# Tests disabled because of: https://github.com/kowainik/stan/issues/409
@@ -1821,23 +1918,6 @@ self: super: {
# https://github.com/biocad/servant-openapi3/issues/30
servant-openapi3 = dontCheck super.servant-openapi3;
- # Give latest hspec correct dependency versions without overrideScope
- hspec_2_11_7 = doDistribute (super.hspec_2_11_7.override {
- hspec-discover = self.hspec-discover_2_11_7;
- hspec-core = self.hspec-core_2_11_7;
- });
- hspec-meta_2_11_7 = doDistribute (super.hspec-meta_2_11_7.override {
- hspec-expectations = self.hspec-expectations_0_8_4;
- });
- hspec-discover_2_11_7 = doDistribute (super.hspec-discover_2_11_7.override {
- hspec-meta = self.hspec-meta_2_11_7;
- });
- # Need to disable tests to prevent an infinite recursion if hspec-core_2_11_7
- # is overlayed to hspec-core.
- hspec-core_2_11_7 = doDistribute (dontCheck (super.hspec-core_2_11_7.override {
- hspec-expectations = self.hspec-expectations_0_8_4;
- }));
-
# Point hspec 2.7.10 to correct dependencies
hspec_2_7_10 = super.hspec_2_7_10.override {
hspec-discover = self.hspec-discover_2_7_10;
@@ -1932,8 +2012,16 @@ self: super: {
"--haddock-option=--optghc=-fno-safe-haskell"
] super.alg;
+ # Windows.normalise changed in filepath >= 1.4.100.4 which fails the equivalency
+ # test suite. This is of no great consequence for us, though.
+ # Patch solving this has been submitted to upstream by me (@sternenseemann).
+ filepath-bytestring =
+ lib.warnIf
+ (lib.versionAtLeast super.filepath-bytestring.version "1.4.100.4")
+ "filepath-bytestring override may be obsolete"
+ dontCheck super.filepath-bytestring;
+
# Break out of overspecified constraint on QuickCheck.
- filepath-bytestring = doJailbreak super.filepath-bytestring;
haddock-library = doJailbreak super.haddock-library;
# Test suite has overly strict bounds on tasty, jailbreaking fails.
@@ -1948,7 +2036,10 @@ self: super: {
vivid-supercollider = dontCheck super.vivid-supercollider;
# Test suite does not compile.
- feed = dontCheck super.feed;
+ feed = overrideCabal (drv: {
+ jailbreak = lib.warnIf (lib.toInt drv.revision >= 4) "haskellPackages.feed: jailbreak can be removed" true;
+ doCheck = false;
+ }) super.feed;
spacecookie = overrideCabal (old: {
buildTools = (old.buildTools or []) ++ [ pkgs.buildPackages.installShellFiles ];
@@ -1977,50 +2068,6 @@ self: super: {
# test suite doesn't compile anymore due to changed hunit/tasty APIs
fullstop = dontCheck super.fullstop;
- # https://github.com/jgm/pandoc/issues/7163
- pandoc = dontCheck super.pandoc;
-
- # Since pandoc-3, the actual `pandoc` executable is in the pandoc-cli
- # package. It is no longer distributed in the pandoc package itself. So for
- # people that want to use the `pandoc` cli tool, they must use pandoc-cli.
- #
- # The unfortunate thing is that LTS-21 includes no possible build plan for
- # pandoc-cli, because pandoc-cli pandoc-lua-engine are not in LTS 21.
- # To get pandoc-lua-engine building we need either to downgrade a ton
- # of hslua-module-* packages from stackage or use pandoc 3.1 although
- # LTS contains pandoc 3.0.
- inherit (let
- pandoc-cli-overlay = self: super: {
- # pandoc-cli requires pandoc >= 3.1
- pandoc = self.pandoc_3_1_11;
-
- # pandoc depends on http-client-tls, which only starts depending
- # on crypton-connection in http-client-tls-0.3.6.2.
- http-client-tls = self.http-client-tls_0_3_6_3;
-
- # pandoc depends on skylighting >= 0.14
- skylighting = self.skylighting_0_14_1;
- skylighting-core = self.skylighting-core_0_14_1;
-
- # pandoc needs up to date typst
- typst-symbols = self.typst-symbols_0_1_5;
- # and texmath to match
- texmath = self.texmath_0_12_8_6;
- };
- in {
- pandoc-cli = super.pandoc-cli.overrideScope pandoc-cli-overlay;
- pandoc_3_1_11 = doDistribute (super.pandoc_3_1_11.overrideScope pandoc-cli-overlay);
- pandoc-lua-engine = super.pandoc-lua-engine.overrideScope pandoc-cli-overlay;
- })
- pandoc-cli
- pandoc_3_1_11
- pandoc-lua-engine
- ;
-
- # Doesn't work without typst-symbols >= 0.1.5 which conflicts with Stackage
- # TODO(@sternenseemann): clean up with Stackage LTS 22
- typst = dontDistribute super.typst;
-
crypton-x509 =
lib.pipe
super.crypton-x509
@@ -2092,19 +2139,6 @@ self: super: {
# 2022-12-28: Too strict version bounds on bytestring
iconv = doJailbreak super.iconv;
- # 2021-04-02: iCalendar is basically unmaintained.
- # There is a PR for fixing the build: https://github.com/chrra/iCalendar/pull/50
- iCalendar = appendPatches [
- (fetchpatch {
- url = "https://github.com/chrra/iCalendar/commit/66b408f10b2d87929ecda715109b26093c711823.patch";
- sha256 = "sha256-MU5OHUx3L8CaX+xAmoQhAAOMxT7u9Xk1OcOaUHBwK3Y=";
- })
- (fetchpatch {
- url = "https://github.com/chrra/iCalendar/commit/76f5d2e8328cb985f1ee5176e86a5cdd05a17934.patch";
- sha256 = "sha256-Z5V8VTA5Ml9YIRANQn2aD7dljAbR9dq13N11Y3LZdoE=";
- })
- ] super.iCalendar;
-
ginger = doJailbreak super.ginger;
# Too strict version bounds on cryptonite
@@ -2222,14 +2256,9 @@ self: super: {
sha256 = "sha256-AVQLvul3ufxGQyoXud05qauclNanf6kunip0oJ/9lWQ=";
}) (dontCheck super.yi-language);
- # 2022-03-22: Jailbreak for base bound: https://github.com/reflex-frp/reflex-dom/pull/433
- reflex-dom = assert super.reflex-dom.version == "0.6.1.1"; doJailbreak super.reflex-dom;
-
# Tests need to lookup target triple x86_64-unknown-linux
# https://github.com/llvm-hs/llvm-hs/issues/334
- llvm-hs = overrideCabal {
- doCheck = pkgs.stdenv.targetPlatform.system == "x86_64-linux";
- } super.llvm-hs;
+ llvm-hs = dontCheckIf (pkgs.stdenv.targetPlatform.system != "x86_64-linux") super.llvm-hs;
# Fix build with bytestring >= 0.11 (GHC 9.2)
# https://github.com/llvm-hs/llvm-hs/pull/389
@@ -2272,6 +2301,21 @@ self: super: {
gi-gtk-declarative = doJailbreak super.gi-gtk-declarative;
gi-gtk-declarative-app-simple = doJailbreak super.gi-gtk-declarative-app-simple;
+ gi-gtk_4 = self.gi-gtk_4_0_8;
+ gi-gtk_4_0_8 = doDistribute (super.gi-gtk_4_0_8.override {
+ gi-gdk = self.gi-gdk_4;
+ });
+ gi-gdk_4 = self.gi-gdk_4_0_7;
+ gi-gdk_4_0_7 = doDistribute super.gi-gdk_4_0_7;
+ # GSK is only used for GTK 4.
+ gi-gsk = super.gi-gsk.override {
+ gi-gdk = self.gi-gdk_4;
+ };
+ gi-adwaita = super.gi-adwaita.override {
+ gi-gdk = self.gi-gdk_4;
+ gi-gtk = self.gi-gtk_4;
+ };
+
# Missing dependency on gi-cairo
# https://github.com/haskell-gi/haskell-gi/pull/420
gi-vte =
@@ -2294,6 +2338,9 @@ self: super: {
Cabal-syntax = self.Cabal-syntax_3_10_2_0;
}));
+ # Too strict bound on hspec (<2.11)
+ utf8-light = doJailbreak super.utf8-light;
+
large-hashable = lib.pipe (super.large-hashable.override {
# https://github.com/factisresearch/large-hashable/commit/5ec9d2c7233fc4445303564047c992b693e1155c
utf8-light = null;
@@ -2393,8 +2440,6 @@ self: super: {
language-docker = self.language-docker_11_0_0;
};
- nix-tree = super.nix-tree;
-
# test suite requires stack to run, https://github.com/dino-/photoname/issues/24
photoname = dontCheck super.photoname;
@@ -2544,14 +2589,33 @@ self: super: {
# 2023-07-18: https://github.com/srid/ema/issues/156
ema = doJailbreak super.ema;
+ # 2024-03-02: base <=4.18.0.0 https://github.com/srid/url-slug/pull/2
+ url-slug = doJailbreak super.url-slug;
+
glirc = doJailbreak (super.glirc.override {
vty = self.vty_5_35_1;
});
# Too strict bounds on text and tls
# https://github.com/barrucadu/irc-conduit/issues/54
- irc-conduit = doJailbreak super.irc-conduit;
- irc-client = doJailbreak super.irc-client;
+ # Use crypton-connection instead of connection
+ # https://github.com/barrucadu/irc-conduit/pull/60 https://github.com/barrucadu/irc-client/pull/101
+ irc-conduit = appendPatch (pkgs.fetchpatch {
+ url = "https://github.com/barrucadu/irc-conduit/pull/60/commits/58f6b5ee0c23a0615e43292dbbacf40636dcd7a6.patch";
+ hash = "sha256-d08tb9iL07mBWdlZ7PCfTLVFJLgcxeGVPzJ+jOej8io=";
+ }) (doJailbreak (super.irc-conduit.override {
+ connection = self.crypton-connection;
+ x509-validation = self.crypton-x509-validation;
+ }));
+ irc-client = appendPatch (pkgs.fetchpatch {
+ url = "https://github.com/barrucadu/irc-client/pull/101/commits/0440b7e2ce943d960234c50957a55025771f567a.patch";
+ hash = "sha256-iZyZMrodgViXFCMH9y2wIJZRnjd6WhkqInAdykqTdkY=";
+ }) (doJailbreak (super.irc-client.override {
+ connection = self.crypton-connection;
+ x509 = self.crypton-x509;
+ x509-store = self.crypton-x509-store;
+ x509-validation = self.crypton-x509-validation;
+ }));
# 2022-02-25: Unmaintained and to strict upper bounds
paths = doJailbreak super.paths;
@@ -2762,9 +2826,35 @@ self: super: {
# multiple bounds too strict
snaplet-sqlite-simple = doJailbreak super.snaplet-sqlite-simple;
- emanote = super.emanote.overrideScope (lself: lsuper: {
- commonmark-extensions = lself.commonmark-extensions_0_2_3_2;
- });
+ # Test failure https://gitlab.com/lysxia/ap-normalize/-/issues/2
+ ap-normalize = dontCheck super.ap-normalize;
+
+ heist-extra = doJailbreak super.heist-extra; # base <4.18.0.0.0
+ unionmount = doJailbreak super.unionmount; # base <4.18
+ path-tree = doJailbreak super.path-tree; # base <4.18 https://github.com/srid/pathtree/pull/1
+ tailwind = doJailbreak super.tailwind; # base <=4.17.0.0
+ tagtree = doJailbreak super.tagtree; # base <=4.17 https://github.com/srid/tagtree/issues/1
+ commonmark-wikilink = doJailbreak super.commonmark-wikilink; # base <4.18.0.0.0
+
+ # 2024-03-02: Apply unreleased changes necessary for compatibility
+ # with commonmark-extensions-0.2.5.3.
+ commonmark-simple = assert super.commonmark-simple.version == "0.1.0.0";
+ appendPatches (map ({ rev, hash }: fetchpatch {
+ name = "commonmark-simple-${lib.substring 0 7 rev}.patch";
+ url = "https://github.com/srid/commonmark-simple/commit/${rev}.patch";
+ includes = [ "src/Commonmark/Simple.hs" ];
+ inherit hash;
+ }) [
+ {
+ rev = "71f5807ed4cbd8da915bf5ba04cd115b49980bcb";
+ hash = "sha256-ibDQbyTd2BoA0V+ldMOr4XYurnqk1nWzbJ15tKizHrM=";
+ }
+ {
+ rev = "fc106c94f781f6a35ef66900880edc08cbe3b034";
+ hash = "sha256-9cpgRNFWhpSuSttAvnwPiLmi1sIoDSYbp0sMwcKWgDQ=";
+ }
+ ])
+ (doJailbreak super.commonmark-simple);
# Test files missing from sdist
# https://github.com/tweag/webauthn/issues/166
@@ -2777,10 +2867,8 @@ self: super: {
co-log-polysemy = doJailbreak super.co-log-polysemy;
co-log-polysemy-formatting = doJailbreak super.co-log-polysemy-formatting;
- # 2023-12-20: Needs newer hasql-pool package and extra dependencies
- postgrest = lib.pipe (super.postgrest.overrideScope (lself: lsuper: {
- hasql-pool = lself.hasql-pool_0_10_0_1;
- })) [
+ postgrest = lib.pipe super.postgrest [
+ # 2023-12-20: New version needs extra dependencies
(addBuildDepends [ self.extra self.fuzzyset_0_2_4 self.cache self.timeit ])
# 2022-12-02: Too strict bounds: https://github.com/PostgREST/postgrest/issues/2580
doJailbreak
@@ -2796,6 +2884,10 @@ self: super: {
})
];
+ # Too strict bounds on hspec < 2.11
+ fuzzyset_0_2_4 = doJailbreak super.fuzzyset_0_2_4;
+ swagger2 = doJailbreak super.swagger2;
+
html-charset = dontCheck super.html-charset;
# true-name-0.1.0.4 has been tagged, but has not been released to Hackage.
@@ -2857,6 +2949,12 @@ self: super: {
# The hackage source is somehow missing a file present in the repo (tests/ListStat.hs).
sym = dontCheck super.sym;
+ # 2024-01-23: https://github.com/composewell/unicode-data/issues/118
+ unicode-data = dontCheck super.unicode-data;
+
+ # 2024-01-24: https://github.com/haskellari/tree-diff/issues/79
+ tree-diff = dontCheck super.tree-diff;
+
# Too strict bounds on base, ghc-prim, primitive
# https://github.com/kowainik/typerep-map/pull/128
typerep-map = doJailbreak super.typerep-map;
@@ -2864,6 +2962,9 @@ self: super: {
# Too strict bounds on base
kewar = doJailbreak super.kewar;
+ # Too strict bounds on mtl, servant and servant-client
+ unleash-client-haskell = doJailbreak super.unleash-client-haskell;
+
# Tests rely on (missing) submodule
unleash-client-haskell-core = dontCheck super.unleash-client-haskell-core;
@@ -2877,25 +2978,69 @@ self: super: {
libraryToolDepends = (drv.libraryToolDepends or []) ++ [pkgs.buildPackages.git];
}) super.kmonad;
- # Both of these need specific versions of ghc-lib-parser, the minor releases
- # seem to be tied.
- ghc-syntax-highlighter_0_0_10_0 = super.ghc-syntax-highlighter_0_0_10_0.overrideScope(self: super: {
- ghc-lib-parser = self.ghc-lib-parser_9_6_3_20231121;
- });
ghc-syntax-highlighter_0_0_11_0 = super.ghc-syntax-highlighter_0_0_11_0.overrideScope(self: super: {
ghc-lib-parser = self.ghc-lib-parser_9_8_1_20231121;
});
+ # 2024-03-17: broken
+ vaultenv = dontDistribute super.vaultenv;
+
+ # Support base16 1.0
+ nix-serve-ng = appendPatch (fetchpatch {
+ url = "https://github.com/aristanetworks/nix-serve-ng/commit/4d9eacfcf753acbcfa0f513bec725e9017076270.patch";
+ hash = "sha256-zugyUpEq/iVkxghrvguL95+lJDEpE8MLvZivken0p24=";
+ }) super.nix-serve-ng;
+
# Needs a matching version of ipython-kernel and a
# ghc-syntax-highlighter compatible with a newer ghc-lib-parser it
# transitively pulls in
ihaskell = super.ihaskell.overrideScope (self: super: {
- ipython-kernel = self.ipython-kernel_0_11_0_0;
ghc-syntax-highlighter = self.ghc-syntax-highlighter_0_0_10_0;
});
- # 2024-01-01: Too strict bounds on megaparsec
- # Fixed in 0.2.8: https://github.com/PostgREST/configurator-pg/pull/20
- configurator-pg = doJailbreak super.configurator-pg;
+ # 2024-01-24: support optparse-applicative 0.18
+ niv = appendPatches [
+ (fetchpatch {
+ # needed for the following patch to apply
+ url = "https://github.com/nmattia/niv/commit/7b76374b2b44152bfbf41fcb60162c2ce9182e7a.patch";
+ includes = [ "src/*" ];
+ hash = "sha256-3xG+GD6fUCGgi2EgS7WUpjfn6gvc2JurJcIrnyy4ys8=";
+ })
+ (fetchpatch {
+ # Update to optparse-applicative 0.18
+ url = "https://github.com/nmattia/niv/commit/290965abaa02be33b601032d850c588a6bafb1a5.patch";
+ hash = "sha256-YxUdv4r/Fx+8YxHhqEuS9uZR1XKzVCPrLmj5+AY5GRA=";
+ })
+ ] super.niv;
+
+ inherit
+ (let
+ unbreakRepa = packageName: drv: lib.pipe drv [
+ # 2023-12-23: Apply build fixes for ghc >=9.4
+ (appendPatches (lib.optionals (lib.versionAtLeast self.ghc.version "9.4") (repaPatches.${packageName} or [])))
+ # 2023-12-23: jailbreak for base <4.17, vector <0.13
+ doJailbreak
+ ];
+ # https://github.com/haskell-repa/repa/pull/27
+ repaPatches = lib.mapAttrs (relative: hash: lib.singleton (pkgs.fetchpatch {
+ name = "repa-pr-27.patch";
+ url = "https://github.com/haskell-repa/repa/pull/27/commits/40cb2866bb4da51a8cac5e3792984744a64b016e.patch";
+ inherit relative hash;
+ })) {
+ repa = "sha256-bcSnzvCJmmSBts9UQHA2dYL0Q+wXN9Fbz5LfkrmhCo8=";
+ repa-io = "sha256-KsIN7NPWCyTpVzhR+xaBKGl8vC6rYH94llvlTawSxFk=";
+ repa-examples = "sha256-//2JG1CW1h2sKS2BSJadVAujSE3v1TfS0F8zgcNkPI8=";
+ repa-algorithms = "sha256-z/a7DpT3xJrIsif4cbciYcTSjapAtCoNNVX7PrZtc4I=";
+ };
+ in
+ lib.mapAttrs unbreakRepa super)
+ repa
+ repa-io
+ repa-examples
+ repa-algorithms
+ # The following packages aren't fixed yet, sorry:
+ # repa-array, repa-convert, repa-eval, repa-flow,
+ # repa-query, repa-scalar, repa-store, repa-stream
+ ;
} // import ./configuration-tensorflow.nix {inherit pkgs haskellLib;} self super
diff --git a/pkgs/development/haskell-modules/configuration-ghc-8.10.x.nix b/pkgs/development/haskell-modules/configuration-ghc-8.10.x.nix
index 8b4399af2076..137647da8b51 100644
--- a/pkgs/development/haskell-modules/configuration-ghc-8.10.x.nix
+++ b/pkgs/development/haskell-modules/configuration-ghc-8.10.x.nix
@@ -4,6 +4,7 @@ with haskellLib;
let
inherit (pkgs.stdenv.hostPlatform) isDarwin;
+ inherit (pkgs) lib;
in
self: super: {
@@ -58,9 +59,6 @@ self: super: {
# their existence to callPackages, but their is no shim for lower GHC versions.
system-cxx-std-lib = null;
- # Additionally depends on OneTuple for GHC < 9.0
- base-compat-batteries = addBuildDepend self.OneTuple super.base-compat-batteries;
-
# For GHC < 9.4, some packages need data-array-byte as an extra dependency
primitive = addBuildDepends [ self.data-array-byte ] super.primitive;
hashable = addBuildDepends [
@@ -120,13 +118,6 @@ self: super: {
# Overly-strict bounds introducted by a revision in version 0.3.2.
text-metrics = doJailbreak super.text-metrics;
- # OneTuple needs hashable (instead of ghc-prim) and foldable1-classes-compat for GHC < 9
- OneTuple = addBuildDepends [
- self.foldable1-classes-compat
- ] (super.OneTuple.override {
- ghc-prim = self.hashable;
- });
-
# Doesn't build with 9.0, see https://github.com/yi-editor/yi/issues/1125
yi-core = doDistribute (markUnbroken super.yi-core);
@@ -170,4 +161,25 @@ self: super: {
# No instance for (Show B.Builder) arising from a use of ‘print’
http-types = dontCheck super.http-types;
+
+ # Packages which need compat library for GHC < 9.6
+ inherit
+ (lib.mapAttrs
+ (_: addBuildDepends [ self.foldable1-classes-compat ])
+ super)
+ indexed-traversable
+ these
+ ;
+ base-compat-batteries = addBuildDepends [
+ self.foldable1-classes-compat
+ self.OneTuple
+ ] super.base-compat-batteries;
+
+ # OneTuple needs hashable (instead of ghc-prim) and foldable1-classes-compat for GHC < 9
+ OneTuple = addBuildDepends [
+ self.foldable1-classes-compat
+ self.base-orphans
+ ] (super.OneTuple.override {
+ ghc-prim = self.hashable;
+ });
}
diff --git a/pkgs/development/haskell-modules/configuration-ghc-9.0.x.nix b/pkgs/development/haskell-modules/configuration-ghc-9.0.x.nix
index 69eea055315a..5b17975ca8b7 100644
--- a/pkgs/development/haskell-modules/configuration-ghc-9.0.x.nix
+++ b/pkgs/development/haskell-modules/configuration-ghc-9.0.x.nix
@@ -4,6 +4,7 @@ with haskellLib;
let
inherit (pkgs.stdenv.hostPlatform) isDarwin;
+ inherit (pkgs) lib;
in
self: super: {
@@ -135,4 +136,21 @@ self: super: {
# No instance for (Show B.Builder) arising from a use of ‘print’
http-types = dontCheck super.http-types;
+
+ # Packages which need compat library for GHC < 9.6
+ inherit
+ (lib.mapAttrs
+ (_: addBuildDepends [ self.foldable1-classes-compat ])
+ super)
+ indexed-traversable
+ these
+ ;
+ base-compat-batteries = addBuildDepends [
+ self.foldable1-classes-compat
+ self.OneTuple
+ ] super.base-compat-batteries;
+ OneTuple = addBuildDepends [
+ self.foldable1-classes-compat
+ self.base-orphans
+ ] super.OneTuple;
}
diff --git a/pkgs/development/haskell-modules/configuration-ghc-9.2.x.nix b/pkgs/development/haskell-modules/configuration-ghc-9.2.x.nix
index 5ffca6a50bc6..59e8d0f0894e 100644
--- a/pkgs/development/haskell-modules/configuration-ghc-9.2.x.nix
+++ b/pkgs/development/haskell-modules/configuration-ghc-9.2.x.nix
@@ -4,6 +4,7 @@ with haskellLib;
let
inherit (pkgs.stdenv.hostPlatform) isDarwin;
+ inherit (pkgs) lib;
in
self: super: {
@@ -74,14 +75,21 @@ self: super: {
stylish-haskell = doJailbreak super.stylish-haskell_0_14_4_0;
- haskell-language-server = disableCabalFlag "fourmolu" (super.haskell-language-server.override {
+ haskell-language-server = lib.pipe (super.haskell-language-server.override {
+ hls-ormolu-plugin = null;
+ hls-stylish-haskell-plugin = null;
hls-fourmolu-plugin = null;
# Not buildable if GHC > 9.2.3, so we ship no compatible GHC
hls-stan-plugin = null;
- });
+ }) [
+ (disableCabalFlag "fourmolu")
+ (disableCabalFlag "ormolu")
+ (disableCabalFlag "stylishHaskell")
+ ];
# For GHC < 9.4, some packages need data-array-byte as an extra dependency
hashable = addBuildDepends [ self.data-array-byte ] super.hashable;
primitive = addBuildDepends [ self.data-array-byte ] super.primitive;
+ primitive-unlifted = super.primitive-unlifted_0_1_3_1;
# Jailbreaks & Version Updates
hashable-time = doJailbreak super.hashable-time;
@@ -127,4 +135,18 @@ self: super: {
# Requires GHC < 9.4
ghc-source-gen = doDistribute (unmarkBroken super.ghc-source-gen);
+
+ # Packages which need compat library for GHC < 9.6
+ inherit
+ (lib.mapAttrs
+ (_: addBuildDepends [ self.foldable1-classes-compat ])
+ super)
+ indexed-traversable
+ OneTuple
+ these
+ ;
+ base-compat-batteries = addBuildDepends [
+ self.foldable1-classes-compat
+ self.OneTuple
+ ] super.base-compat-batteries;
}
diff --git a/pkgs/development/haskell-modules/configuration-ghc-9.4.x.nix b/pkgs/development/haskell-modules/configuration-ghc-9.4.x.nix
index f4daa4648d83..653187007b31 100644
--- a/pkgs/development/haskell-modules/configuration-ghc-9.4.x.nix
+++ b/pkgs/development/haskell-modules/configuration-ghc-9.4.x.nix
@@ -59,10 +59,12 @@ in {
hashable-time = doJailbreak super.hashable-time;
libmpd = doJailbreak super.libmpd;
- lens-family-th = doJailbreak super.lens-family-th; # template-haskell <2.19
# generically needs base-orphans for 9.4 only
base-orphans = dontCheck (doDistribute super.base-orphans);
+ generically = addBuildDepends [
+ self.base-orphans
+ ] super.generically;
# the dontHaddock is due to a GHC panic. might be this bug, not sure.
# https://gitlab.haskell.org/ghc/ghc/-/issues/21619
@@ -90,45 +92,52 @@ in {
ghc-tags = self.ghc-tags_1_6;
+ # A given major version of ghc-exactprint only supports one version of GHC.
+ ghc-exactprint = super.ghc-exactprint_1_6_1_3;
+
# Too strict upper bound on template-haskell
# https://github.com/mokus0/th-extras/issues/18
th-extras = doJailbreak super.th-extras;
- # requires newer versions to work with GHC 9.4
- servant = doJailbreak super.servant;
- servant-server = doJailbreak super.servant-server;
- servant-auth = doJailbreak super.servant-auth;
- servant-auth-swagger = doJailbreak super.servant-auth-swagger;
- servant-swagger = doJailbreak super.servant-swagger;
- servant-client-core = doJailbreak super.servant-client-core;
- servant-client = doJailbreak super.servant-client;
# https://github.com/kowainik/relude/issues/436
relude = dontCheck super.relude;
+ # Broken because of unix >= 2.8 for GHC >= 9.6
+ darcs = unmarkBroken (doDistribute super.darcs);
+
inherit
(
let
hls_overlay = lself: lsuper: {
- ghc-lib-parser = lself.ghc-lib-parser_9_6_3_20231121;
- ghc-lib-parser-ex = doDistribute lself.ghc-lib-parser-ex_9_6_0_2;
Cabal-syntax = lself.Cabal-syntax_3_10_2_0;
};
in
lib.mapAttrs (_: pkg: doDistribute (pkg.overrideScope hls_overlay)) {
haskell-language-server = allowInconsistentDependencies super.haskell-language-server;
- fourmolu = self.fourmolu_0_14_0_0;
- ormolu = self.generateOptparseApplicativeCompletions [ "ormolu" ] (enableSeparateBinOutput super.ormolu_0_7_2_0);
- hlint = super.hlint_3_6_1;
+ fourmolu = super.fourmolu;
+ ormolu = super.ormolu;
+ hlint = super.hlint;
stylish-haskell = super.stylish-haskell;
}
)
haskell-language-server
- # HLS from 2.3 needs at least formolu 0.14.
- # This means we need to bump a lot of other tools, too, because they all us ghc-lib-parser
- # We do this globally to prevent inconsistent formatting or lints between hls and the command line tools.
fourmolu
ormolu
hlint
stylish-haskell
;
+
+ # Packages which need compat library for GHC < 9.6
+ inherit
+ (lib.mapAttrs
+ (_: addBuildDepends [ self.foldable1-classes-compat ])
+ super)
+ indexed-traversable
+ OneTuple
+ these
+ ;
+ base-compat-batteries = addBuildDepends [
+ self.foldable1-classes-compat
+ self.OneTuple
+ ] super.base-compat-batteries;
}
diff --git a/pkgs/development/haskell-modules/configuration-ghc-9.6.x.nix b/pkgs/development/haskell-modules/configuration-ghc-9.6.x.nix
index 3dcf3cf81949..e46a36dea4bc 100644
--- a/pkgs/development/haskell-modules/configuration-ghc-9.6.x.nix
+++ b/pkgs/development/haskell-modules/configuration-ghc-9.6.x.nix
@@ -65,49 +65,18 @@ self: super: {
# Version deviations from Stackage LTS
#
- doctest = doDistribute super.doctest_0_22_2;
- http-api-data = doDistribute self.http-api-data_0_6; # allows base >= 4.18
- some = doDistribute self.some_1_0_6;
- th-abstraction = doDistribute self.th-abstraction_0_6_0_0;
- th-desugar = doDistribute self.th-desugar_1_16;
- semigroupoids = doDistribute self.semigroupoids_6_0_0_1;
- bifunctors = doDistribute self.bifunctors_5_6_1;
- base-compat = doDistribute self.base-compat_0_13_1;
- base-compat-batteries = doDistribute self.base-compat-batteries_0_13_1;
- fgl = doDistribute self.fgl_5_8_2_0;
-
- # Because we bumped the version of th-abstraction above.^
- aeson = doJailbreak super.aeson;
- free = doJailbreak super.free;
-
- # Because we bumped the version of base-compat above.^
- cabal-plan = unmarkBroken super.cabal-plan;
- cabal-plan-bounds = unmarkBroken super.cabal-plan-bounds;
-
- # Requires filepath >= 1.4.100.0 <=> GHC >= 9.6
- file-io = unmarkBroken super.file-io;
-
# Too strict upper bound on template-haskell
# https://github.com/mokus0/th-extras/pull/21
th-extras = doJailbreak super.th-extras;
- ghc-lib = doDistribute self.ghc-lib_9_6_3_20231121;
- ghc-lib-parser = doDistribute self.ghc-lib-parser_9_6_3_20231121;
- ghc-lib-parser-ex = doDistribute self.ghc-lib-parser-ex_9_6_0_2;
-
- fourmolu = doDistribute self.fourmolu_0_14_0_0;
- ormolu = self.generateOptparseApplicativeCompletions [ "ormolu" ] (enableSeparateBinOutput super.ormolu_0_7_2_0);
- hlint = super.hlint_3_6_1;
-
- # v0.1.6 forbids base >= 4.18
- singleton-bool = doDistribute super.singleton-bool_0_1_7;
-
#
# Too strict bounds without upstream fix
#
# Forbids transformers >= 0.6
quickcheck-classes-base = doJailbreak super.quickcheck-classes-base;
+ # https://github.com/Gabriella439/Haskell-Break-Library/pull/3
+ break = doJailbreak super.break;
# Forbids mtl >= 2.3
ChasingBottoms = doJailbreak super.ChasingBottoms;
# Forbids base >= 4.18
@@ -136,93 +105,23 @@ self: super: {
})
] (super.hourglass);
-
- # Test suite doesn't compile with base-4.18 / GHC 9.6
- # https://github.com/dreixel/syb/issues/40
- syb = dontCheck super.syb;
-
- # Patch 0.17.1 for support of mtl-2.3
- xmonad-contrib = appendPatch
- (pkgs.fetchpatch {
- name = "xmonad-contrib-mtl-2.3.patch";
- url = "https://github.com/xmonad/xmonad-contrib/commit/8cb789af39e93edb07f1eee39c87908e0d7c5ee5.patch";
- sha256 = "sha256-ehCvVy0N2Udii/0K79dsRSBP7/i84yMoeyupvO8WQz4=";
- })
- (doJailbreak super.xmonad-contrib);
-
- # Patch 0.12.0.1 for support of unix-2.8.0.0
- arbtt = appendPatch
- (pkgs.fetchpatch {
- name = "arbtt-unix-2.8.0.0.patch";
- url = "https://github.com/nomeata/arbtt/pull/168/commits/ddaac94395ac50e3d3cd34c133dda4a8e5a3fd6c.patch";
- sha256 = "sha256-5Gmz23f4M+NfgduA5O+9RaPmnneAB/lAlge8MrFpJYs=";
- })
- super.arbtt;
-
- # 2023-04-03: plugins disabled for hls 1.10.0.0 based on
- #
- haskell-language-server = super.haskell-language-server.override {
- hls-floskell-plugin = null;
- };
-
- # Newer version of servant required for GHC 9.6
- servant = self.servant_0_20_1;
- servant-server = self.servant-server_0_20;
- servant-client = self.servant-client_0_20;
- servant-client-core = self.servant-client-core_0_20;
- # Select versions compatible with servant_0_20_1
- servant-docs = self.servant-docs_0_13;
- servant-swagger = self.servant-swagger_1_2;
# Jailbreaks for servant <0.20
servant-lucid = doJailbreak super.servant-lucid;
- # Jailbreak strict upper bounds: http-api-data <0.6
- servant_0_20_1 = doJailbreak super.servant_0_20_1;
- servant-server_0_20 = doJailbreak super.servant-server_0_20;
- servant-client_0_20 = doJailbreak super.servant-client_0_20;
- servant-client-core_0_20 = doJailbreak super.servant-client-core_0_20;
- # Jailbreak strict upper bounds: doctest <0.22
- servant-swagger_1_2 = doJailbreak super.servant-swagger_1_2;
-
lifted-base = dontCheck super.lifted-base;
- hw-fingertree = dontCheck super.hw-fingertree;
hw-prim = dontCheck (doJailbreak super.hw-prim);
stm-containers = dontCheck super.stm-containers;
regex-tdfa = dontCheck super.regex-tdfa;
- rebase = doJailbreak super.rebase_1_20_2;
- rerebase = doJailbreak super.rerebase_1_20_2;
hiedb = dontCheck super.hiedb;
retrie = dontCheck super.retrie;
# https://github.com/kowainik/relude/issues/436
relude = dontCheck (doJailbreak super.relude);
- ghc-exactprint = unmarkBroken (addBuildDepends (with self.ghc-exactprint.scope; [
- HUnit Diff data-default extra fail free ghc-paths ordered-containers silently syb
- ]) super.ghc-exactprint_1_7_0_1);
-
inherit (pkgs.lib.mapAttrs (_: doJailbreak ) super)
hls-cabal-plugin
- algebraic-graphs
- co-log-core
- lens
- cryptohash-sha1
- cryptohash-md5
ghc-trace-events
- tasty-hspec
- constraints-extras
- tree-diff
- implicit-hie-cradle
- focus
- hie-compat
- dbus # template-haskell >=2.18 && <2.20, transformers <0.6, unix <2.8
gi-cairo-connector # mtl <2.3
- haskintex # text <2
- lens-family-th # template-haskell <2.19
ghc-prof # base <4.18
- profiteur # vector <0.13
- mfsolve # mtl <2.3
- cubicbezier # mtl <2.3
- dhall # template-haskell <2.20
env-guard # doctest <0.21
package-version # doctest <0.21, tasty-hedgehog <1.4
;
@@ -233,9 +132,35 @@ self: super: {
# Pending text-2.0 support https://github.com/gtk2hs/gtk2hs/issues/327
gtk = doJailbreak super.gtk;
+ # 2023-12-23: It needs this to build under ghc-9.6.3.
+ # A factor of 100 is insufficent, 200 seems seems to work.
+ hip = appendConfigureFlag "--ghc-options=-fsimpl-tick-factor=200" super.hip;
+
# Doctest comments have bogus imports.
bsb-http-chunked = dontCheck super.bsb-http-chunked;
+ # This can be removed once https://github.com/typeclasses/ascii-predicates/pull/1
+ # is merged and in a release that's being tracked.
+ ascii-predicates = appendPatch
+ (pkgs.fetchpatch
+ { url = "https://github.com/typeclasses/ascii-predicates/commit/2e6d9ed45987a8566f3a77eedf7836055c076d1a.patch";
+ name = "ascii-predicates-pull-1.patch";
+ relative = "ascii-predicates";
+ sha256 = "sha256-4JguQFZNRQpjZThLrAo13jNeypvLfqFp6o7c1bnkmZo=";
+ })
+ super.ascii-predicates;
+
+ # This can be removed once https://github.com/typeclasses/ascii-numbers/pull/1
+ # is merged and in a release that's being tracked.
+ ascii-numbers = appendPatch
+ (pkgs.fetchpatch
+ { url = "https://github.com/typeclasses/ascii-numbers/commit/e9474ad91bc997891f1a46afd5d0bdf9b9f7d768.patch";
+ name = "ascii-numbers-pull-1.patch";
+ relative = "ascii-numbers";
+ sha256 = "sha256-buw1UeW57CFefEfqdDUraSyQ+H/NvCZOv6WF2ORiYQg=";
+ })
+ super.ascii-numbers;
+
# Fix ghc-9.6.x build errors.
libmpd = appendPatch
# https://github.com/vimus/libmpd-haskell/pull/138
@@ -263,5 +188,8 @@ self: super: {
# the workaround on 9.6 is to revert to the LLVM backend (which is used
# for these sorts of situations even on 9.2 and 9.4).
# https://gitlab.haskell.org/ghc/ghc/-/issues/23746#note_525318
- tls = if pkgs.stdenv.hostPlatform.isAarch64 then self.forceLlvmCodegenBackend super.tls else super.tls;
+ inherit (lib.mapAttrs (_: self.forceLlvmCodegenBackend) super)
+ tls
+ mmark
+ ;
}
diff --git a/pkgs/development/haskell-modules/configuration-ghc-9.8.x.nix b/pkgs/development/haskell-modules/configuration-ghc-9.8.x.nix
index d6672c22a203..5613f36b0c95 100644
--- a/pkgs/development/haskell-modules/configuration-ghc-9.8.x.nix
+++ b/pkgs/development/haskell-modules/configuration-ghc-9.8.x.nix
@@ -49,11 +49,12 @@ self: super: {
unix = null;
xhtml = null;
+ #
# HLS
# https://haskell-language-server.readthedocs.io/en/latest/support/plugin-support.html
+ #
haskell-language-server = super.haskell-language-server.override {
hls-class-plugin = null;
- hls-floskell-plugin = null;
hls-fourmolu-plugin = null;
hls-gadt-plugin = null;
hls-hlint-plugin = null;
@@ -65,55 +66,66 @@ self: super: {
hls-stylish-haskell-plugin = null;
};
+ #
# Version upgrades
- alex = doDistribute self.alex_3_4_0_1;
- some = doDistribute self.some_1_0_6;
- tagged = doDistribute self.tagged_0_8_8;
+ #
th-abstraction = doDistribute self.th-abstraction_0_6_0_0;
- hspec-core = doDistribute self.hspec-core_2_11_7;
- hspec-meta = doDistribute self.hspec-meta_2_11_7;
- hspec-discover = doDistribute self.hspec-discover_2_11_7;
- hspec = doDistribute self.hspec_2_11_7;
- hspec-expectations = doDistribute self.hspec-expectations_0_8_4;
- bifunctors = doDistribute self.bifunctors_5_6_1;
- free = doDistribute self.free_5_2;
- semigroupoids = doDistribute self.semigroupoids_6_0_0_1;
- doctest = doDistribute self.doctest_0_22_2;
ghc-lib-parser = doDistribute self.ghc-lib-parser_9_8_1_20231121;
ghc-lib-parser-ex = doDistribute self.ghc-lib-parser-ex_9_8_0_0;
ghc-lib = doDistribute self.ghc-lib_9_8_1_20231121;
megaparsec = doDistribute self.megaparsec_9_6_1;
- tasty-hspec = doDistribute self.tasty-hspec_1_2_0_4;
- hedgehog = doDistribute self.hedgehog_1_4;
- rebase = doDistribute self.rebase_1_20_2;
- rerebase = doDistribute self.rerebase_1_20_2;
aeson = doDistribute self.aeson_2_2_1_0;
- aeson-pretty = doDistribute self.aeson-pretty_0_8_10;
attoparsec-aeson = doDistribute self.attoparsec-aeson_2_2_0_1;
ormolu = doDistribute self.ormolu_0_7_3_0;
fourmolu = doDistribute (dontCheck self.fourmolu_0_14_1_0);
+ xmonad = doDistribute self.xmonad_0_18_0;
+ hlint = doDistribute self.hlint_3_8;
+ #
# Jailbreaks
+ #
+ blaze-svg = doJailbreak super.blaze-svg; # base <4.19
commutative-semigroups = doJailbreak super.commutative-semigroups; # base < 4.19
+ diagrams-lib = doJailbreak super.diagrams-lib; # base <4.19, text <2.1
+ diagrams-postscript = doJailbreak super.diagrams-postscript; # base <4.19, bytestring <0.12
+ diagrams-svg = doJailbreak super.diagrams-svg; # base <4.19, text <2.1
ghc-trace-events = doJailbreak super.ghc-trace-events; # text < 2.1, bytestring < 0.12, base < 4.19
primitive-unlifted = doJailbreak super.primitive-unlifted; # bytestring < 0.12
+ statestack = doJailbreak super.statestack; # base < 4.19
newtype-generics = doJailbreak super.newtype-generics; # base < 4.19
hw-prim = doJailbreak super.hw-prim; # doctest < 0.22, ghc-prim < 0.11, hedgehog < 1.4
- hw-fingertree = doJailbreak super.hw-fingertree; # deepseq <1.5, doctest < 0.22, hedgehog < 1.4
+ svg-builder = doJailbreak super.svg-builder; # base <4.19, bytestring <0.12, text <2.1
# Too strict bound on base, believe it or not.
# https://github.com/judah/terminfo/pull/55#issuecomment-1876894232
terminfo_0_4_1_6 = doJailbreak super.terminfo_0_4_1_6;
+ #
# Test suite issues
+ #
unordered-containers = dontCheck super.unordered-containers; # ChasingBottoms doesn't support base 4.20
lifted-base = dontCheck super.lifted-base; # doesn't compile with transformers == 0.6.*
- # https://github.com/wz1000/HieDb/issues/64
- hiedb = overrideCabal (drv: {
- testFlags = drv.testFlags or [ ] ++ [
- "--match" "!/hiedb/Command line/point-info/correctly prints type signatures/"
- ];
- }) super.hiedb;
+ hourglass = dontCheck super.hourglass; # umaintained, test suite doesn't compile anymore
+ bsb-http-chunked = dontCheck super.bsb-http-chunked; # umaintained, test suite doesn't compile anymore
+
+ #
+ # Other build fixes
+ #
+
+ # 2023-12-23: It needs this to build under ghc-9.6.3.
+ # A factor of 100 is insufficent, 200 seems seems to work.
+ hip = appendConfigureFlag "--ghc-options=-fsimpl-tick-factor=200" super.hip;
+
+ # Fix build with text-2.x.
+ libmpd = appendPatch (pkgs.fetchpatch
+ { url = "https://github.com/vimus/libmpd-haskell/pull/138.patch";
+ sha256 = "Q4fA2J/Tq+WernBo+UIMdj604ILOMlIYkG4Pr046DfM=";
+ })
+ super.libmpd;
+
+ # Symbol syntax seems to have changed in 9.8, removing a seemingly redundant colon; appears to be an overspecified assertion.
+ # https://github.com/wz1000/HieDb/issues/74
+ hiedb =
+ assert super.hiedb.version == "0.5.0.1";
+ dontCheck super.hiedb;
- # Unbroken due to hspec* upgrades
- hspec-api = doDistribute (unmarkBroken super.hspec-api);
}
diff --git a/pkgs/development/haskell-modules/configuration-hackage2nix/broken.yaml b/pkgs/development/haskell-modules/configuration-hackage2nix/broken.yaml
index 243c21b0d3b7..3d63f4c2ae13 100644
--- a/pkgs/development/haskell-modules/configuration-hackage2nix/broken.yaml
+++ b/pkgs/development/haskell-modules/configuration-hackage2nix/broken.yaml
@@ -44,6 +44,7 @@ broken-packages:
- acme-schoenfinkel # failure in job https://hydra.nixos.org/build/233231988 at 2023-09-02
- acme-strfry # failure in job https://hydra.nixos.org/build/233242092 at 2023-09-02
- acme-stringly-typed # failure in job https://hydra.nixos.org/build/233192651 at 2023-09-02
+ - acme-strtok # failure in job https://hydra.nixos.org/build/252719190 at 2024-03-16
- acme-this # failure in job https://hydra.nixos.org/build/233230930 at 2023-09-02
- acme-zalgo # failure in job https://hydra.nixos.org/build/233216155 at 2023-09-02
- acme-zero # failure in job https://hydra.nixos.org/build/233192937 at 2023-09-02
@@ -63,12 +64,14 @@ broken-packages:
- adaptive-tuple # failure in job https://hydra.nixos.org/build/233244881 at 2023-09-02
- adb # failure in job https://hydra.nixos.org/build/233193888 at 2023-09-02
- addy # failure in job https://hydra.nixos.org/build/233240594 at 2023-09-02
+ - adhoc-fixtures-hspec # failure in job https://hydra.nixos.org/build/252725981 at 2024-03-16
- adjunction # failure in job https://hydra.nixos.org/build/233237774 at 2023-09-02
- adobe-swatch-exchange # failure in job https://hydra.nixos.org/build/233255779 at 2023-09-02
- adp-multi # failure in job https://hydra.nixos.org/build/233256331 at 2023-09-02
- adtrees # failure in job https://hydra.nixos.org/build/233192320 at 2023-09-02
- AERN-Basics # failure in job https://hydra.nixos.org/build/233246999 at 2023-09-02
- aeson-applicative # failure in job https://hydra.nixos.org/build/233213824 at 2023-09-02
+ - aeson-better-errors # failure in job https://hydra.nixos.org/build/252717339 at 2024-03-16
- aeson-bson # failure in job https://hydra.nixos.org/build/233201964 at 2023-09-02
- aeson-commit # failure in job https://hydra.nixos.org/build/233198515 at 2023-09-02
- aeson-compat # failure in job https://hydra.nixos.org/build/233208257 at 2023-09-02
@@ -89,6 +92,7 @@ broken-packages:
- aeson-modern-tojson # failure in job https://hydra.nixos.org/build/233202149 at 2023-09-02
- aeson-options # failure in job https://hydra.nixos.org/build/233245051 at 2023-09-02
- aeson-parsec-picky # failure in job https://hydra.nixos.org/build/233222117 at 2023-09-02
+ - aeson-possible # failure in job https://hydra.nixos.org/build/252718013 at 2024-03-16
- aeson-prefix # failure in job https://hydra.nixos.org/build/233215656 at 2023-09-02
- aeson-schema # failure in job https://hydra.nixos.org/build/233229960 at 2023-09-02
- aeson-single-field # failure in job https://hydra.nixos.org/build/233247758 at 2023-09-02
@@ -122,6 +126,7 @@ broken-packages:
- alerta # failure in job https://hydra.nixos.org/build/233203379 at 2023-09-02
- alerts # failure in job https://hydra.nixos.org/build/233251101 at 2023-09-02
- alex-prelude # failure in job https://hydra.nixos.org/build/233238387 at 2023-09-02
+ - al # failure in job https://hydra.nixos.org/build/252733710 at 2024-03-16
- alfred # failure in job https://hydra.nixos.org/build/233227316 at 2023-09-02
- alfred-margaret # failure in job https://hydra.nixos.org/build/233223038 at 2023-09-02
- alga # failure in job https://hydra.nixos.org/build/233252723 at 2023-09-02
@@ -132,8 +137,11 @@ broken-packages:
- algo-s # failure in job https://hydra.nixos.org/build/233221988 at 2023-09-02
- align-affine # failure in job https://hydra.nixos.org/build/233230079 at 2023-09-02
- align-text # failure in job https://hydra.nixos.org/build/233215779 at 2023-09-02
+ - allen # failure in job https://hydra.nixos.org/build/252715705 at 2024-03-16
+ - alloy # failure in job https://hydra.nixos.org/build/252726555 at 2024-03-16
- ally-invest # failure in job https://hydra.nixos.org/build/233236224 at 2023-09-02
- alpaca-netcode # failure in job https://hydra.nixos.org/build/233239392 at 2023-09-02
+ - alphachar # failure in job https://hydra.nixos.org/build/252722667 at 2024-03-16
- alpino-tools # failure in job https://hydra.nixos.org/build/233236168 at 2023-09-02
- alsa # failure in job https://hydra.nixos.org/build/233250059 at 2023-09-02
- alsa-midi # failure in job https://hydra.nixos.org/build/233245836 at 2023-09-02
@@ -183,9 +191,11 @@ broken-packages:
- aosd # failure in job https://hydra.nixos.org/build/233207331 at 2023-09-02
- apache-md5 # failure in job https://hydra.nixos.org/build/233193946 at 2023-09-02
- apart # failure in job https://hydra.nixos.org/build/233219668 at 2023-09-02
- - apecs-physics # failure in job https://hydra.nixos.org/build/233212565 at 2023-09-02
+ - apecs-effectful # failure in job https://hydra.nixos.org/build/252733877 at 2024-03-16
- api-builder # failure in job https://hydra.nixos.org/build/233205755 at 2023-09-02
+ - api-maker # failure in job https://hydra.nixos.org/build/252711269 at 2024-03-16
- api-rpc-factom # failure in job https://hydra.nixos.org/build/233198474 at 2023-09-02
+ - api-tools # failure in job https://hydra.nixos.org/build/252720262 at 2024-03-16
- apns-http2 # failure in job https://hydra.nixos.org/build/233248620 at 2023-09-02
- appc # failure in job https://hydra.nixos.org/build/233200853 at 2023-09-02
- appendful-persistent # failure in job https://hydra.nixos.org/build/233249677 at 2023-09-02
@@ -199,6 +209,7 @@ broken-packages:
- approveapi # failure in job https://hydra.nixos.org/build/233197597 at 2023-09-02
- approx # failure in job https://hydra.nixos.org/build/233236548 at 2023-09-02
- ApproxFun-hs # failure in job https://hydra.nixos.org/build/233247678 at 2023-09-02
+ - app-settings # failure in job https://hydra.nixos.org/build/252726841 at 2024-03-16
- arbb-vm # failure in job https://hydra.nixos.org/build/233252062 at 2023-09-02
- arb-fft # failure in job https://hydra.nixos.org/build/233201190 at 2023-09-02
- arbor-lru-cache # failure in job https://hydra.nixos.org/build/233204554 at 2023-09-02
@@ -208,6 +219,7 @@ broken-packages:
- arbor-postgres # failure in job https://hydra.nixos.org/build/233232935 at 2023-09-02
- arch-hs # failure in job https://hydra.nixos.org/build/233225768 at 2023-09-02
- archiver # failure in job https://hydra.nixos.org/build/233245795 at 2023-09-02
+ - archive-tar # failure in job https://hydra.nixos.org/build/252737256 at 2024-03-16
- archlinux # failure in job https://hydra.nixos.org/build/233202430 at 2023-09-02
- archnews # failure in job https://hydra.nixos.org/build/233192480 at 2023-09-02
- arena # failure in job https://hydra.nixos.org/build/233252500 at 2023-09-02
@@ -249,7 +261,9 @@ broken-packages:
- asset-map # failure in job https://hydra.nixos.org/build/233218566 at 2023-09-02
- assoc-list # failure in job https://hydra.nixos.org/build/233224148 at 2023-09-02
- assoc-listlike # failure in job https://hydra.nixos.org/build/233200483 at 2023-09-02
+ - assumpta-core # failure in job https://hydra.nixos.org/build/252727584 at 2024-03-16
- assumpta # failure in job https://hydra.nixos.org/build/233245580 at 2023-09-02
+ - astar-monad # failure in job https://hydra.nixos.org/build/252720647 at 2024-03-16
- ast-monad # failure in job https://hydra.nixos.org/build/233192822 at 2023-09-02
- astrds # failure in job https://hydra.nixos.org/build/233220701 at 2023-09-02
- astro # failure in job https://hydra.nixos.org/build/233243443 at 2023-09-02
@@ -271,6 +285,7 @@ broken-packages:
- ats-setup # failure in job https://hydra.nixos.org/build/233240435 at 2023-09-02
- ats-storable # failure in job https://hydra.nixos.org/build/233242765 at 2023-09-02
- attempt # failure in job https://hydra.nixos.org/build/233233073 at 2023-09-02
+ - attenuation # failure in job https://hydra.nixos.org/build/252721241 at 2024-03-16
- attic-schedule # failure in job https://hydra.nixos.org/build/233249781 at 2023-09-02
- AttoBencode # failure in job https://hydra.nixos.org/build/233198079 at 2023-09-02
- atto-lisp # failure in job https://hydra.nixos.org/build/233222679 at 2023-09-02
@@ -292,14 +307,17 @@ broken-packages:
- autom # failure in job https://hydra.nixos.org/build/234461198 at 2023-09-13
- autonix-deps # failure in job https://hydra.nixos.org/build/233258269 at 2023-09-02
- autopack # failure in job https://hydra.nixos.org/build/233215025 at 2023-09-02
+ - autoproc # failure in job https://hydra.nixos.org/build/252730569 at 2024-03-16
- avatar-generator # failure in job https://hydra.nixos.org/build/233214253 at 2023-09-02
- aviation-units # failure in job https://hydra.nixos.org/build/233245762 at 2023-09-02
- avl-static # failure in job https://hydra.nixos.org/build/233199062 at 2023-09-02
+ - avro # failure in job https://hydra.nixos.org/build/252731168 at 2024-03-16
- avro-piper # failure in job https://hydra.nixos.org/build/233197510 at 2023-09-02
- avr-shake # failure in job https://hydra.nixos.org/build/233223187 at 2023-09-02
- avwx # failure in job https://hydra.nixos.org/build/233258167 at 2023-09-02
- awesome-prelude # failure in job https://hydra.nixos.org/build/233232761 at 2023-09-02
- awesomium-raw # failure in job https://hydra.nixos.org/build/233241036 at 2023-09-02
+ - aws-cloudfront-signed-cookies # failure in job https://hydra.nixos.org/build/252736035 at 2024-03-16
- aws-cloudfront-signer # failure in job https://hydra.nixos.org/build/233194723 at 2023-09-02
- aws-easy # failure building library in job https://hydra.nixos.org/build/237244335 at 2023-10-21
- aws-ec2 # failure in job https://hydra.nixos.org/build/233201556 at 2023-09-02
@@ -324,6 +342,7 @@ broken-packages:
- Baggins # failure in job https://hydra.nixos.org/build/233192786 at 2023-09-02
- bake # failure in job https://hydra.nixos.org/build/233211889 at 2023-09-02
- Bang # failure in job https://hydra.nixos.org/build/233226846 at 2023-09-02
+ - ban-instance # failure in job https://hydra.nixos.org/build/252726701 at 2024-03-16
- banwords # failure in job https://hydra.nixos.org/build/233229703 at 2023-09-02
- barbies-th # failure in job https://hydra.nixos.org/build/233251598 at 2023-09-02
- barchart # failure in job https://hydra.nixos.org/build/233216957 at 2023-09-02
@@ -347,6 +366,8 @@ broken-packages:
- basement-cd # failure in job https://hydra.nixos.org/build/233191991 at 2023-09-02
- basen # failure in job https://hydra.nixos.org/build/233210680 at 2023-09-02
- basex-client # failure in job https://hydra.nixos.org/build/233214592 at 2023-09-02
+ - bash # failure in job https://hydra.nixos.org/build/252719390 at 2024-03-16
+ - basic-gps # failure in job https://hydra.nixos.org/build/252718385 at 2024-03-16
- basics # failure in job https://hydra.nixos.org/build/236678238 at 2023-10-04
- basic-sop # failure in job https://hydra.nixos.org/build/233253357 at 2023-09-02
- baskell # failure in job https://hydra.nixos.org/build/233246705 at 2023-09-02
@@ -360,6 +381,7 @@ broken-packages:
- bdo # failure in job https://hydra.nixos.org/build/233216486 at 2023-09-02
- beamable # failure in job https://hydra.nixos.org/build/233211619 at 2023-09-02
- beam # failure in job https://hydra.nixos.org/build/233213313 at 2023-09-02
+ - beam-migrate # failure in job https://hydra.nixos.org/build/252730758 at 2024-03-16
- beam-mysql # failure in job https://hydra.nixos.org/build/233253237 at 2023-09-02
- beam-newtype-field # failure in job https://hydra.nixos.org/build/233206317 at 2023-09-02
- bech32 # failure in job https://hydra.nixos.org/build/233194823 at 2023-09-02
@@ -379,8 +401,10 @@ broken-packages:
- betacode # failure in job https://hydra.nixos.org/build/233193221 at 2023-09-02
- bet # failure in job https://hydra.nixos.org/build/233205655 at 2023-09-02
- betris # failure in job https://hydra.nixos.org/build/233200110 at 2023-09-02
+ - bff-mono # failure in job https://hydra.nixos.org/build/252710505 at 2024-03-16
- bgmax # failure in job https://hydra.nixos.org/build/233222709 at 2023-09-02
- bgzf # failure in job https://hydra.nixos.org/build/233224580 at 2023-09-02
+ - bhoogle # failure in job https://hydra.nixos.org/build/252712137 at 2024-03-16
- bibdb # failure in job https://hydra.nixos.org/build/233210682 at 2023-09-02
- bidi-icu # failure in job https://hydra.nixos.org/build/233257520 at 2023-09-02
- bidirectional # failure in job https://hydra.nixos.org/build/233239780 at 2023-09-02
@@ -437,17 +461,20 @@ broken-packages:
- bio-sequence # failure in job https://hydra.nixos.org/build/233236140 at 2023-09-02
- biscuit-haskell # failure in job https://hydra.nixos.org/build/233241833 at 2023-09-02
- bisect-binary # failure in job https://hydra.nixos.org/build/233190746 at 2023-09-02
+ - bitcoin-compact-filters # failure in job https://hydra.nixos.org/build/252726935 at 2024-03-16
- bitcoind-rpc # failure in job https://hydra.nixos.org/build/233204068 at 2023-09-02
- bitcoin-hs # failure in job https://hydra.nixos.org/build/233251583 at 2023-09-02
- bitcoin-keys # failure in job https://hydra.nixos.org/build/233215632 at 2023-09-02
- bitcoin-rpc # failure in job https://hydra.nixos.org/build/233209694 at 2023-09-02
- bitcoin-script # failure in job https://hydra.nixos.org/build/233201469 at 2023-09-02
+ - bitcoin-scripting # failure in job https://hydra.nixos.org/build/252734577 at 2024-03-16
- bitfield # failure in job https://hydra.nixos.org/build/233235414 at 2023-09-02
- bits-atomic # failure in job https://hydra.nixos.org/build/233236099 at 2023-09-02
- bits-conduit # failure in job https://hydra.nixos.org/build/233236564 at 2023-09-02
- bitset # failure in job https://hydra.nixos.org/build/233218622 at 2023-09-02
- bits-extras # failure in job https://hydra.nixos.org/build/233217492 at 2023-09-02
- bitspeak # failure in job https://hydra.nixos.org/build/233219582 at 2023-09-02
+ - bits-show # failure in job https://hydra.nixos.org/build/252714912 at 2024-03-16
- bit-stream # failure in job https://hydra.nixos.org/build/233230353 at 2023-09-02
- bitstream # failure in job https://hydra.nixos.org/build/233240888 at 2023-09-02
- BitStringRandomMonad # failure in job https://hydra.nixos.org/build/233203519 at 2023-09-02
@@ -489,7 +516,9 @@ broken-packages:
- boolean-like # failure in job https://hydra.nixos.org/build/233190873 at 2023-09-02
- boolean-normal-forms # failure in job https://hydra.nixos.org/build/233220091 at 2023-09-02
- boombox # failure in job https://hydra.nixos.org/build/233249287 at 2023-09-02
+ - boots # failure in job https://hydra.nixos.org/build/252733526 at 2024-03-16
- boring-window-switcher # failure in job https://hydra.nixos.org/build/233252547 at 2023-09-02
+ - borsh # failure in job https://hydra.nixos.org/build/252718760 at 2024-03-16
- bot # failure in job https://hydra.nixos.org/build/233230089 at 2023-09-02
- botpp # failure in job https://hydra.nixos.org/build/233201674 at 2023-09-02
- bottom # failure in job https://hydra.nixos.org/build/233225154 at 2023-09-02
@@ -503,6 +532,7 @@ broken-packages:
- brain-bleep # failure in job https://hydra.nixos.org/build/233198839 at 2023-09-02
- brassica # failure in job https://hydra.nixos.org/build/233224897 at 2023-09-02
- Bravo # failure in job https://hydra.nixos.org/build/233199668 at 2023-09-02
+ - breve # failure in job https://hydra.nixos.org/build/252716215 at 2024-03-16
- brians-brain # failure in job https://hydra.nixos.org/build/233201634 at 2023-09-02
- brick-dropdownmenu # failure in job https://hydra.nixos.org/build/233223686 at 2023-09-02
- brick-filetree # failure in job https://hydra.nixos.org/build/233217076 at 2023-09-02
@@ -534,6 +564,7 @@ broken-packages:
- bugzilla # failure in job https://hydra.nixos.org/build/233223784 at 2023-09-02
- buildable # failure in job https://hydra.nixos.org/build/233199077 at 2023-09-02
- buildbox # failure in job https://hydra.nixos.org/build/233216315 at 2023-09-02
+ - build-env # failure in job https://hydra.nixos.org/build/252734826 at 2024-03-16
- builder # failure in job https://hydra.nixos.org/build/233207846 at 2023-09-02
- build # failure in job https://hydra.nixos.org/build/233195606 at 2023-09-02
- bumper # failure in job https://hydra.nixos.org/build/233234378 at 2023-09-02
@@ -541,11 +572,12 @@ broken-packages:
- burst-detection # failure in job https://hydra.nixos.org/build/233254074 at 2023-09-02
- buster # failure in job https://hydra.nixos.org/build/233197502 at 2023-09-02
- Buster # failure in job https://hydra.nixos.org/build/233214182 at 2023-09-02
+ - bustle # failure in job https://hydra.nixos.org/build/252728683 at 2024-03-16
- butter # failure in job https://hydra.nixos.org/build/233212117 at 2023-09-02
- buttplug-hs-core # failure in job https://hydra.nixos.org/build/233223928 at 2023-09-02
- - bv-little # failure in job https://hydra.nixos.org/build/233253839 at 2023-09-02
- bv-sized-lens # failure in job https://hydra.nixos.org/build/233237486 at 2023-09-02
- byline # failure in job https://hydra.nixos.org/build/233231017 at 2023-09-02
+ - by-other-names # failure in job https://hydra.nixos.org/build/252732245 at 2024-03-16
- bytearray-parsing # failure in job https://hydra.nixos.org/build/233244355 at 2023-09-02
- bytepatch # failure in job https://hydra.nixos.org/build/236678340 at 2023-10-04
- bytestring-arbitrary # failure in job https://hydra.nixos.org/build/233195013 at 2023-09-02
@@ -553,6 +585,7 @@ broken-packages:
- bytestring-csv # failure in job https://hydra.nixos.org/build/233215194 at 2023-09-02
- bytestring-delta # failure in job https://hydra.nixos.org/build/233207977 at 2023-09-02
- bytestring-handle # failure in job https://hydra.nixos.org/build/233192234 at 2023-09-02
+ - bytestring-mmap # failure in job https://hydra.nixos.org/build/252733270 at 2024-03-16
- bytestringparser # failure in job https://hydra.nixos.org/build/233227781 at 2023-09-02
- bytestringparser-temporary # failure in job https://hydra.nixos.org/build/233226655 at 2023-09-02
- bytestring-plain # failure in job https://hydra.nixos.org/build/233230746 at 2023-09-02
@@ -576,14 +609,17 @@ broken-packages:
- cabal-db # failure in job https://hydra.nixos.org/build/233197235 at 2023-09-02
- cabal-debian # failure in job https://hydra.nixos.org/build/233255267 at 2023-09-02
- cabal-dependency-licenses # failure in job https://hydra.nixos.org/build/233249247 at 2023-09-02
+ - cabal-detailed-quickcheck # failure in job https://hydra.nixos.org/build/252734084 at 2024-03-16
- cabal-dev # failure in job https://hydra.nixos.org/build/233227918 at 2023-09-02
- cabal-dir # failure in job https://hydra.nixos.org/build/233194037 at 2023-09-02
- cabal-edit # failure in job https://hydra.nixos.org/build/233244268 at 2023-09-02
- cabal-file-th # failure in job https://hydra.nixos.org/build/233224650 at 2023-09-02
- cabal-ghc-dynflags # failure in job https://hydra.nixos.org/build/233244580 at 2023-09-02
- cabal-ghci # failure in job https://hydra.nixos.org/build/233239354 at 2023-09-02
+ - cabal-gild # failure in job https://hydra.nixos.org/build/252731019 at 2024-03-16
- cabal-graphdeps # failure in job https://hydra.nixos.org/build/233221966 at 2023-09-02
- cabalgraph # failure in job https://hydra.nixos.org/build/233241573 at 2023-09-02
+ - cabal-helper # failure in job https://hydra.nixos.org/build/252732588 at 2024-03-16
- cabal-hoogle # failure in job https://hydra.nixos.org/build/233191666 at 2023-09-02
- Cabal-ide-backend # failure in job https://hydra.nixos.org/build/233258880 at 2023-09-02
- cabal-info # failure in job https://hydra.nixos.org/build/233225001 at 2023-09-02
@@ -595,7 +631,6 @@ broken-packages:
- cabal-meta # failure in job https://hydra.nixos.org/build/233194466 at 2023-09-02
- cabal-mon # failure in job https://hydra.nixos.org/build/233217320 at 2023-09-02
- cabal-nirvana # failure in job https://hydra.nixos.org/build/233222083 at 2023-09-02
- - cabal-plan # failure in job https://hydra.nixos.org/build/233223914 at 2023-09-02
- cabal-progdeps # failure in job https://hydra.nixos.org/build/233251917 at 2023-09-02
- cabalQuery # failure in job https://hydra.nixos.org/build/233211475 at 2023-09-02
- CabalSearch # failure in job https://hydra.nixos.org/build/233200817 at 2023-09-02
@@ -604,6 +639,7 @@ broken-packages:
- cabal-src # failure in job https://hydra.nixos.org/build/233229475 at 2023-09-02
- cabal-test-quickcheck # failure in job https://hydra.nixos.org/build/233211903 at 2023-09-02
- cabal-toolkit # failure in job https://hydra.nixos.org/build/233192328 at 2023-09-02
+ - cabal-uninstall # failure in job https://hydra.nixos.org/build/252739542 at 2024-03-16
- cabal-upload # failure in job https://hydra.nixos.org/build/233207791 at 2023-09-02
- cabalvchk # failure in job https://hydra.nixos.org/build/233221561 at 2023-09-02
- cabin # failure in job https://hydra.nixos.org/build/233225287 at 2023-09-02
@@ -612,7 +648,9 @@ broken-packages:
- cache-polysemy # failure in job https://hydra.nixos.org/build/233200602 at 2023-09-02
- caching # failure in job https://hydra.nixos.org/build/233233548 at 2023-09-02
- cacophony # failure in job https://hydra.nixos.org/build/233239380 at 2023-09-02
+ - caerbannog # failure in job https://hydra.nixos.org/build/252729101 at 2024-03-16
- cafeteria-prelude # failure in job https://hydra.nixos.org/build/233254881 at 2023-09-02
+ - cairo-canvas # failure in job https://hydra.nixos.org/build/252719057 at 2024-03-16
- cairo-core # failure in job https://hydra.nixos.org/build/233248151 at 2023-09-02
- cake3 # failure in job https://hydra.nixos.org/build/233231662 at 2023-09-02
- cal3d # failure in job https://hydra.nixos.org/build/233200357 at 2023-09-02
@@ -674,6 +712,7 @@ broken-packages:
- ccast # failure in job https://hydra.nixos.org/build/233254517 at 2023-09-02
- CC-delcont-cxe # failure in job https://hydra.nixos.org/build/233190865 at 2023-09-02
- CC-delcont-exc # failure in job https://hydra.nixos.org/build/233236141 at 2023-09-02
+ - CC-delcont # failure in job https://hydra.nixos.org/build/252735236 at 2024-03-16
- CC-delcont-ref # failure in job https://hydra.nixos.org/build/233229304 at 2023-09-02
- CC-delcont-ref-tf # failure in job https://hydra.nixos.org/build/233257768 at 2023-09-02
- cci # failure in job https://hydra.nixos.org/build/233244756 at 2023-09-02
@@ -697,6 +736,7 @@ broken-packages:
- cgroup-rts-threads # failure in job https://hydra.nixos.org/build/233207888 at 2023-09-02
- chalkboard # failure in job https://hydra.nixos.org/build/234453414 at 2023-09-13
- chalmers-lava2000 # failure in job https://hydra.nixos.org/build/233239592 at 2023-09-02
+ - changelog-d # failure in job https://hydra.nixos.org/build/252716175 at 2024-03-16
- changelogged # failure in job https://hydra.nixos.org/build/233211675 at 2023-09-02
- character-cases # failure in job https://hydra.nixos.org/build/233197636 at 2023-09-02
- charter # failure in job https://hydra.nixos.org/build/233237264 at 2023-09-02
@@ -704,6 +744,7 @@ broken-packages:
- Chart-simple # failure in job https://hydra.nixos.org/build/233240919 at 2023-09-02
- chaselev-deque # failure in job https://hydra.nixos.org/build/233237595 at 2023-09-02
- chatty-text # failure in job https://hydra.nixos.org/build/233199498 at 2023-09-02
+ - chatty-utils # failure in job https://hydra.nixos.org/build/252710715 at 2024-03-16
- chatwork # failure in job https://hydra.nixos.org/build/233240489 at 2023-09-02
- cheapskate # failure in job https://hydra.nixos.org/build/233197892 at 2023-09-02
- check-cfg-ambiguity # failure in job https://hydra.nixos.org/build/233251852 at 2023-09-02
@@ -733,6 +774,7 @@ broken-packages:
- cipher-aes128 # failure in job https://hydra.nixos.org/build/233242645 at 2023-09-02
- cipher-blowfish # failure in job https://hydra.nixos.org/build/233193834 at 2023-09-02
- cipher-des # failure in job https://hydra.nixos.org/build/233232112 at 2023-09-02
+ - circle # failure in job https://hydra.nixos.org/build/252722965 at 2024-03-16
- circlehs # failure in job https://hydra.nixos.org/build/233246591 at 2023-09-02
- citeproc-hs # failure in job https://hydra.nixos.org/build/233254388 at 2023-09-02
- cjk # failure in job https://hydra.nixos.org/build/233258734 at 2023-09-02
@@ -756,6 +798,7 @@ broken-packages:
- Clean # failure in job https://hydra.nixos.org/build/233255199 at 2023-09-02
- clean-unions # failure in job https://hydra.nixos.org/build/233237582 at 2023-09-02
- cleff # failure in job https://hydra.nixos.org/build/233243158 at 2023-09-02
+ - clerk # failure in job https://hydra.nixos.org/build/252713517 at 2024-03-16
- clevercss # failure in job https://hydra.nixos.org/build/233206298 at 2023-09-02
- clexer # failure in job https://hydra.nixos.org/build/233229804 at 2023-09-02
- cli-builder # failure in job https://hydra.nixos.org/build/233209961 at 2023-09-02
@@ -780,6 +823,7 @@ broken-packages:
- clplug # failure in job https://hydra.nixos.org/build/233236794 at 2023-09-02
- clr-host # failure in job https://hydra.nixos.org/build/233207709 at 2023-09-02
- clr-typed # failure in job https://hydra.nixos.org/build/233212102 at 2023-09-02
+ - cltw # failure in job https://hydra.nixos.org/build/252735903 at 2024-03-16
- cluss # failure in job https://hydra.nixos.org/build/233245341 at 2023-09-02
- cmark-highlight # failure in job https://hydra.nixos.org/build/233218534 at 2023-09-02
- cmark-patterns # failure in job https://hydra.nixos.org/build/233192983 at 2023-09-02
@@ -801,11 +845,13 @@ broken-packages:
- codecov-haskell # failure in job https://hydra.nixos.org/build/233256758 at 2023-09-02
- codeforces-cli # failure in job https://hydra.nixos.org/build/233210719 at 2023-09-02
- codepad # failure in job https://hydra.nixos.org/build/233197730 at 2023-09-02
+ - codeworld-api # failure in job https://hydra.nixos.org/build/252720413 at 2024-03-16
- codex # failure in job https://hydra.nixos.org/build/233212311 at 2023-09-02
- codo-notation # failure in job https://hydra.nixos.org/build/233202566 at 2023-09-02
- coercible-utils # failure in job https://hydra.nixos.org/build/233230462 at 2023-09-02
- coinbase-pro # failure in job https://hydra.nixos.org/build/233245350 at 2023-09-02
- coin # failure in job https://hydra.nixos.org/build/233198975 at 2023-09-02
+ - coinor-clp # failure in job https://hydra.nixos.org/build/252724287 at 2024-03-16
- colchis # failure in job https://hydra.nixos.org/build/233207690 at 2023-09-02
- collada-output # failure in job https://hydra.nixos.org/build/233192394 at 2023-09-02
- collapse-util # failure in job https://hydra.nixos.org/build/233238818 at 2023-09-02
@@ -816,6 +862,7 @@ broken-packages:
- co-log-sys # failure in job https://hydra.nixos.org/build/233206587 at 2023-09-02
- colonnade # failure in job https://hydra.nixos.org/build/233248956 at 2023-09-02
- colorless # failure in job https://hydra.nixos.org/build/233220728 at 2023-09-02
+ - colour-text # failure in job https://hydra.nixos.org/build/252712609 at 2024-03-16
- coltrane # failure in job https://hydra.nixos.org/build/233216437 at 2023-09-02
- comark-syntax # failure in job https://hydra.nixos.org/build/233243043 at 2023-09-02
- combinat-compat # failure in job https://hydra.nixos.org/build/233201337 at 2023-09-02
@@ -828,7 +875,7 @@ broken-packages:
- Command # failure in job https://hydra.nixos.org/build/233249718 at 2023-09-02
- Commando # failure in job https://hydra.nixos.org/build/233248911 at 2023-09-02
- commodities # failure in job https://hydra.nixos.org/build/233239851 at 2023-09-02
- - commonmark-simple # failure in job https://hydra.nixos.org/build/245703574 at 2024-01-07
+ - comonad-extras # failure in job https://hydra.nixos.org/build/252715520 at 2024-03-16
- Compactable # failure in job https://hydra.nixos.org/build/233227285 at 2023-09-02
- compactable # failure in job https://hydra.nixos.org/build/233228106 at 2023-09-02
- compact-list # failure in job https://hydra.nixos.org/build/233241961 at 2023-09-02
@@ -876,6 +923,7 @@ broken-packages:
- conductive-base # failure in job https://hydra.nixos.org/build/233234746 at 2023-09-02
- conduit-audio-lame # failure in job https://hydra.nixos.org/build/233253987 at 2023-09-02
- conduit-audio-samplerate # failure in job https://hydra.nixos.org/build/233208457 at 2023-09-02
+ - conduit-audio-sndfile # failure in job https://hydra.nixos.org/build/252728043 at 2024-03-16
- conduit-connection # failure in job https://hydra.nixos.org/build/233232646 at 2023-09-02
- conduit-find # failure in job https://hydra.nixos.org/build/233259909 at 2023-09-02
- conduit-iconv # failure in job https://hydra.nixos.org/build/233246555 at 2023-09-02
@@ -899,12 +947,14 @@ broken-packages:
- conjure # failure in job https://hydra.nixos.org/build/233220494 at 2023-09-02
- conkin # failure in job https://hydra.nixos.org/build/233246485 at 2023-09-02
- conlogger # failure in job https://hydra.nixos.org/build/233193354 at 2023-09-02
+ - connection # failure in job https://hydra.nixos.org/build/252717790 at 2024-03-16
- connection-pool # failure in job https://hydra.nixos.org/build/233199817 at 2023-09-02
- connections # failure in job https://hydra.nixos.org/build/233193445 at 2023-09-02
- connection-string # failure in job https://hydra.nixos.org/build/233205032 at 2023-09-02
- Conscript # failure in job https://hydra.nixos.org/build/233216349 at 2023-09-02
- consistent # failure in job https://hydra.nixos.org/build/233210122 at 2023-09-02
- console-program # failure in job https://hydra.nixos.org/build/233207490 at 2023-09-02
+ - console-style # failure in job https://hydra.nixos.org/build/252730000 at 2024-03-16
- constable # failure in job https://hydra.nixos.org/build/233256456 at 2023-09-02
- const # failure in job https://hydra.nixos.org/build/233227000 at 2023-09-02
- const-math-ghc-plugin # failure in job https://hydra.nixos.org/build/233198147 at 2023-09-02
@@ -920,7 +970,6 @@ broken-packages:
- consul-haskell # failure in job https://hydra.nixos.org/build/233195306 at 2023-09-02
- Consumer # failure in job https://hydra.nixos.org/build/233227840 at 2023-09-02
- containers-benchmark # failure in job https://hydra.nixos.org/build/233244707 at 2023-09-02
- - containers-unicode-symbols # failure in job https://hydra.nixos.org/build/233206693 at 2023-09-02
- containers-verified # failure in job https://hydra.nixos.org/build/233228855 at 2023-09-02
- ContArrow # failure in job https://hydra.nixos.org/build/233215540 at 2023-09-02
- ContextAlgebra # failure in job https://hydra.nixos.org/build/233197054 at 2023-09-02
@@ -946,6 +995,7 @@ broken-packages:
- corebot-bliki # failure in job https://hydra.nixos.org/build/233241143 at 2023-09-02
- core-compiler # failure in job https://hydra.nixos.org/build/233250303 at 2023-09-02
- CoreDump # failure in job https://hydra.nixos.org/build/233247860 at 2023-09-02
+ - core-effect-effectful # failure in job https://hydra.nixos.org/build/252723824 at 2024-03-16
- CoreErlang # failure in job https://hydra.nixos.org/build/233199110 at 2023-09-02
- core # failure in job https://hydra.nixos.org/build/233253971 at 2023-09-02
- core-haskell # failure in job https://hydra.nixos.org/build/233222588 at 2023-09-02
@@ -964,7 +1014,9 @@ broken-packages:
- cozo-hs # failure in job https://hydra.nixos.org/build/241432654 at 2023-11-19
- cparsing # failure in job https://hydra.nixos.org/build/233192377 at 2023-09-02
- cpio-conduit # failure in job https://hydra.nixos.org/build/233220518 at 2023-09-02
+ - CPL # failure in job https://hydra.nixos.org/build/252731771 at 2024-03-16
- cplusplus-th # failure in job https://hydra.nixos.org/build/233204461 at 2023-09-02
+ - cps-except # failure in job https://hydra.nixos.org/build/252711064 at 2024-03-16
- cpuperf # failure in job https://hydra.nixos.org/build/233252964 at 2023-09-02
- cpython # failure in job https://hydra.nixos.org/build/233255188 at 2023-09-02
- cql-io # failure in job https://hydra.nixos.org/build/233245286 at 2023-09-02
@@ -987,6 +1039,7 @@ broken-packages:
- criterion-compare # failure in job https://hydra.nixos.org/build/233257858 at 2023-09-02
- criterion-plus # failure in job https://hydra.nixos.org/build/233194095 at 2023-09-02
- criterion-to-html # failure in job https://hydra.nixos.org/build/233209983 at 2023-09-02
+ - criu-rpc-types # failure in job https://hydra.nixos.org/build/252715844 at 2024-03-16
- crjdt-haskell # failure in job https://hydra.nixos.org/build/233199652 at 2023-09-02
- crockford # failure in job https://hydra.nixos.org/build/233210759 at 2023-09-02
- crocodile # failure in job https://hydra.nixos.org/build/233222277 at 2023-09-02
@@ -996,16 +1049,20 @@ broken-packages:
- crypto-cipher-benchmarks # failure in job https://hydra.nixos.org/build/233195297 at 2023-09-02
- cryptocompare # failure in job https://hydra.nixos.org/build/233192898 at 2023-09-02
- cryptoconditions # failure in job https://hydra.nixos.org/build/233211816 at 2023-09-02
+ - crypto-enigma # failure in job https://hydra.nixos.org/build/252722224 at 2024-03-16
+ - Crypto # failure in job https://hydra.nixos.org/build/252738609 at 2024-03-16
- cryptoids-types # failure in job https://hydra.nixos.org/build/233242596 at 2023-09-02
- crypto-keys-ssh # failure in job https://hydra.nixos.org/build/233222227 at 2023-09-02
- crypto-multihash # failure in job https://hydra.nixos.org/build/233220770 at 2023-09-02
- crypto-numbers # failure in job https://hydra.nixos.org/build/233225721 at 2023-09-02
- crypto-pubkey-openssh # failure in job https://hydra.nixos.org/build/233207007 at 2023-09-02
- crypto-random-effect # failure in job https://hydra.nixos.org/build/233236324 at 2023-09-02
+ - crypto-random # failure in job https://hydra.nixos.org/build/252715261 at 2024-03-16
- crypto-simple # failure in job https://hydra.nixos.org/build/233192703 at 2023-09-02
- cryptsy-api # failure in job https://hydra.nixos.org/build/233195814 at 2023-09-02
- csa # failure in job https://hydra.nixos.org/build/233233907 at 2023-09-02
- cse-ghc-plugin # failure in job https://hydra.nixos.org/build/233251963 at 2023-09-02
+ - csg # failure in job https://hydra.nixos.org/build/252719752 at 2024-03-16
- CSPM-Frontend # failure in job https://hydra.nixos.org/build/233219295 at 2023-09-02
- cspretty # failure in job https://hydra.nixos.org/build/233246796 at 2023-09-02
- css-easings # failure in job https://hydra.nixos.org/build/233203513 at 2023-09-02
@@ -1013,13 +1070,16 @@ broken-packages:
- css-selectors # failure in job https://hydra.nixos.org/build/233247710 at 2023-09-02
- css-simple # failure in job https://hydra.nixos.org/build/233220768 at 2023-09-02
- C-structs # failure in job https://hydra.nixos.org/build/233247498 at 2023-09-02
+ - csv-conduit # failure in job https://hydra.nixos.org/build/252718484 at 2024-03-16
- csv-nptools # failure in job https://hydra.nixos.org/build/233215773 at 2023-09-02
- csv-sip # failure in job https://hydra.nixos.org/build/233211292 at 2023-09-02
- ctemplate # failure in job https://hydra.nixos.org/build/233257909 at 2023-09-02
- ctkl # failure in job https://hydra.nixos.org/build/233219516 at 2023-09-02
+ - cubical # failure in job https://hydra.nixos.org/build/246186233 at 2024-01-13
- cuboid # failure in job https://hydra.nixos.org/build/234462220 at 2023-09-13
- cuckoo # failure in job https://hydra.nixos.org/build/233210915 at 2023-09-02
- cuckoo-filter # failure in job https://hydra.nixos.org/build/233226484 at 2023-09-02
+ - cudd # failure in job https://hydra.nixos.org/build/252716117 at 2024-03-16
- curl-aeson # failure in job https://hydra.nixos.org/build/233210106 at 2023-09-02
- curl-runnings # failure in job https://hydra.nixos.org/build/233258680 at 2023-09-02
- curly-expander # failure in job https://hydra.nixos.org/build/233250838 at 2023-09-02
@@ -1031,10 +1091,13 @@ broken-packages:
- curryrs # failure in job https://hydra.nixos.org/build/233250943 at 2023-09-02
- cursedcsv # failure in job https://hydra.nixos.org/build/233253732 at 2023-09-02
- curves # failure in job https://hydra.nixos.org/build/233237354 at 2023-09-02
+ - custom-interpolation # failure in job https://hydra.nixos.org/build/252711669 at 2024-03-16
- custom-prelude # failure in job https://hydra.nixos.org/build/233216882 at 2023-09-02
- cut-the-crap # failure in job https://hydra.nixos.org/build/233238478 at 2023-09-02
- CV # failure in job https://hydra.nixos.org/build/233223571 at 2023-09-02
+ - d10 # failure in job https://hydra.nixos.org/build/252720183 at 2024-03-16
- d3js # failure in job https://hydra.nixos.org/build/233251474 at 2023-09-02
+ - daemonize-doublefork # failure in job https://hydra.nixos.org/build/252714429 at 2024-03-16
- dag # failure in job https://hydra.nixos.org/build/233220719 at 2023-09-02
- DAG-Tournament # failure in job https://hydra.nixos.org/build/233218747 at 2023-09-02
- dahdit # failure in job https://hydra.nixos.org/build/233245113 at 2023-09-02
@@ -1046,6 +1109,7 @@ broken-packages:
- darcs2dot # failure in job https://hydra.nixos.org/build/233209236 at 2023-09-02
- darcs-buildpackage # failure in job https://hydra.nixos.org/build/233213566 at 2023-09-02
- darcs-cabalized # failure in job https://hydra.nixos.org/build/233234765 at 2023-09-02
+ - darcs # doesn't support unix >= 2.8, 2024-01-13
- darcs-graph # failure in job https://hydra.nixos.org/build/233245230 at 2023-09-02
- darcs-monitor # failure in job https://hydra.nixos.org/build/233249455 at 2023-09-02
- darkplaces-rcon # failure in job https://hydra.nixos.org/build/233247609 at 2023-09-02
@@ -1061,6 +1125,7 @@ broken-packages:
- database-study # failure in job https://hydra.nixos.org/build/233222466 at 2023-09-02
- data-check # failure in job https://hydra.nixos.org/build/233210384 at 2023-09-02
- data-combinator-gen # failure in job https://hydra.nixos.org/build/233193769 at 2023-09-02
+ - data-compat # failure in job https://hydra.nixos.org/build/252715428 at 2024-03-16
- data-concurrent-queue # failure in job https://hydra.nixos.org/build/233252841 at 2023-09-02
- data-construction # failure in job https://hydra.nixos.org/build/233251464 at 2023-09-02
- data-constructors # failure in job https://hydra.nixos.org/build/233241097 at 2023-09-02
@@ -1070,6 +1135,7 @@ broken-packages:
- data-diverse-lens # failure in job https://hydra.nixos.org/build/233221672 at 2023-09-02
- datadog # failure in job https://hydra.nixos.org/build/233191124 at 2023-09-02
- data-easy # failure in job https://hydra.nixos.org/build/233250802 at 2023-09-02
+ - data-elevator # failure in job https://hydra.nixos.org/build/252730351 at 2024-03-16
- data-embed # failure in job https://hydra.nixos.org/build/233201230 at 2023-09-02
- data-emoticons # failure in job https://hydra.nixos.org/build/233255035 at 2023-09-02
- data-filepath # failure in job https://hydra.nixos.org/build/233243231 at 2023-09-02
@@ -1152,6 +1218,7 @@ broken-packages:
- deepseq-instances # failure in job https://hydra.nixos.org/build/233236748 at 2023-09-02
- deepseq-magic # failure in job https://hydra.nixos.org/build/233228993 at 2023-09-02
- deepseq-th # failure in job https://hydra.nixos.org/build/233233106 at 2023-09-02
+ - defaultable-map # failure in job https://hydra.nixos.org/build/252731762 at 2024-03-16
- definitive-base # failure in job https://hydra.nixos.org/build/233255489 at 2023-09-02
- defun-bool # failure in job https://hydra.nixos.org/build/245696015 at 2024-01-07
- deiko-config # failure in job https://hydra.nixos.org/build/233210895 at 2023-09-02
@@ -1162,6 +1229,7 @@ broken-packages:
- denominate # failure in job https://hydra.nixos.org/build/233214619 at 2023-09-02
- dense # failure in job https://hydra.nixos.org/build/233205807 at 2023-09-02
- dense-int-set # failure in job https://hydra.nixos.org/build/233214797 at 2023-09-02
+ - dependency # failure in job https://hydra.nixos.org/build/252727325 at 2024-03-16
- dependent-hashmap # failure in job https://hydra.nixos.org/build/233202881 at 2023-09-02
- dependent-monoidal-map # failure in job https://hydra.nixos.org/build/233212829 at 2023-09-02
- dep-t # failure in job https://hydra.nixos.org/build/233217847 at 2023-09-02
@@ -1171,11 +1239,14 @@ broken-packages:
- derive-enumerable # failure in job https://hydra.nixos.org/build/233254290 at 2023-09-02
- derive # failure in job https://hydra.nixos.org/build/233209166 at 2023-09-02
- derive-gadt # failure in job https://hydra.nixos.org/build/233220430 at 2023-09-02
+ - derive-has-field # failure in job https://hydra.nixos.org/build/252735604 at 2024-03-16
- deriveJsonNoPrefix # failure in job https://hydra.nixos.org/build/233242453 at 2023-09-02
- derive-lifted-instances # failure in job https://hydra.nixos.org/build/233194868 at 2023-09-02
- derive-monoid # failure in job https://hydra.nixos.org/build/233205670 at 2023-09-02
- derive-trie # failure in job https://hydra.nixos.org/build/233207961 at 2023-09-02
+ - deriving-openapi3 # failure in job https://hydra.nixos.org/build/252718489 at 2024-03-16
- derp-lib # failure in job https://hydra.nixos.org/build/233199053 at 2023-09-02
+ - derulo # failure in job https://hydra.nixos.org/build/252725148 at 2024-03-16
- describe # failure in job https://hydra.nixos.org/build/233207296 at 2023-09-02
- descriptive # failure building library in job https://hydra.nixos.org/build/237623359 at 2023-10-21
- desktop-portal # failure in job https://hydra.nixos.org/build/233241462 at 2023-09-02
@@ -1200,6 +1271,7 @@ broken-packages:
- dia-base # failure in job https://hydra.nixos.org/build/233230896 at 2023-09-02
- diagnose # failure in job https://hydra.nixos.org/build/233231767 at 2023-09-02
- diagrams-boolean # failure in job https://hydra.nixos.org/build/233202036 at 2023-09-02
+ - diagrams-gi-cairo # failure in job https://hydra.nixos.org/build/252724017 at 2024-03-16
- diagrams-pdf # failure in job https://hydra.nixos.org/build/233197864 at 2023-09-02
- diagrams-qrcode # failure in job https://hydra.nixos.org/build/233229542 at 2023-09-02
- diagrams-rubiks-cube # failure in job https://hydra.nixos.org/build/233213426 at 2023-09-02
@@ -1220,7 +1292,9 @@ broken-packages:
- digestive-bootstrap # failure in job https://hydra.nixos.org/build/233208165 at 2023-09-02
- digestive-functors-aeson # failure in job https://hydra.nixos.org/build/233207417 at 2023-09-02
- digestive-functors-happstack # failure in job https://hydra.nixos.org/build/233222641 at 2023-09-02
+ - digestive-functors-heist # failure in job https://hydra.nixos.org/build/252718667 at 2024-03-16
- digestive-functors-lucid # failure in job https://hydra.nixos.org/build/233209397 at 2023-09-02
+ - digestive-functors-scotty # failure in job https://hydra.nixos.org/build/252719811 at 2024-03-16
- digestive-functors-snap # failure in job https://hydra.nixos.org/build/233238117 at 2023-09-02
- digest-pure # failure in job https://hydra.nixos.org/build/233194135 at 2023-09-02
- DigitalOcean # failure in job https://hydra.nixos.org/build/233231291 at 2023-09-02
@@ -1228,6 +1302,7 @@ broken-packages:
- digit # failure in job https://hydra.nixos.org/build/237241758 at 2023-10-21
- dijkstra-simple # failure in job https://hydra.nixos.org/build/233218373 at 2023-09-02
- DimensionalHash # failure in job https://hydra.nixos.org/build/233230945 at 2023-09-02
+ - dino # failure in job https://hydra.nixos.org/build/252725815 at 2024-03-16
- diophantine # failure in job https://hydra.nixos.org/build/233229215 at 2023-09-02
- diplomacy # failure in job https://hydra.nixos.org/build/233207895 at 2023-09-02
- direct-binary-files # failure in job https://hydra.nixos.org/build/233246387 at 2023-09-02
@@ -1246,6 +1321,7 @@ broken-packages:
- disjoint-containers # failure in job https://hydra.nixos.org/build/233219391 at 2023-09-02
- disjoint-set # failure in job https://hydra.nixos.org/build/233201934 at 2023-09-02
- disjoint-set-stateful # failure in job https://hydra.nixos.org/build/233253300 at 2023-09-02
+ - disk-bytes # failure in job https://hydra.nixos.org/build/252722796 at 2024-03-16
- diskhash # failure in job https://hydra.nixos.org/build/233230362 at 2023-09-02
- disposable # timeout
- distance # failure in job https://hydra.nixos.org/build/233255082 at 2023-09-02
@@ -1281,6 +1357,7 @@ broken-packages:
- domaindriven-core # failure in job https://hydra.nixos.org/build/233234739 at 2023-09-02
- domain-optics # failure in job https://hydra.nixos.org/build/233255232 at 2023-09-02
- dom-events # failure in job https://hydra.nixos.org/build/233231199 at 2023-09-02
+ - dominion # failure in job https://hydra.nixos.org/build/252714022 at 2024-03-16
- dom-parser # failure in job https://hydra.nixos.org/build/233235797 at 2023-09-02
- domplate # failure in job https://hydra.nixos.org/build/233241400 at 2023-09-02
- dom-selector # failure in job https://hydra.nixos.org/build/233212663 at 2023-09-02
@@ -1291,6 +1368,8 @@ broken-packages:
- dot-linker # failure in job https://hydra.nixos.org/build/233237512 at 2023-09-02
- doublezip # failure in job https://hydra.nixos.org/build/233219270 at 2023-09-02
- doublify-toolkit # failure in job https://hydra.nixos.org/build/233223302 at 2023-09-02
+ - dovin # failure in job https://hydra.nixos.org/build/252714139 at 2024-03-16
+ - downhill # failure in job https://hydra.nixos.org/build/252719666 at 2024-03-16
- downloader # failure in job https://hydra.nixos.org/build/233195131 at 2023-09-02
- dozenal # failure in job https://hydra.nixos.org/build/233255439 at 2023-09-02
- dozens # failure in job https://hydra.nixos.org/build/233200638 at 2023-09-02
@@ -1306,11 +1385,13 @@ broken-packages:
- DrIFT-cabalized # failure in job https://hydra.nixos.org/build/233221273 at 2023-09-02
- drifter-postgresql # failure in job https://hydra.nixos.org/build/233248442 at 2023-09-02
- DrIFT # failure in job https://hydra.nixos.org/build/233220463 at 2023-09-02
+ - driving-classes-plugin # failure in job https://hydra.nixos.org/build/252724273 at 2024-03-16
- drmaa # failure in job https://hydra.nixos.org/build/233241074 at 2023-09-02
- drone # failure in job https://hydra.nixos.org/build/233207037 at 2023-09-02
- dropbox # failure in job https://hydra.nixos.org/build/233598046 at 2023-09-02
- dsc # failure in job https://hydra.nixos.org/build/233243851 at 2023-09-02
- ds-kanren # failure in job https://hydra.nixos.org/build/233216074 at 2023-09-02
+ - dsmc # failure in job https://hydra.nixos.org/build/252711940 at 2024-03-16
- dson # failure in job https://hydra.nixos.org/build/233210971 at 2023-09-02
- dson-parsec # failure in job https://hydra.nixos.org/build/233236453 at 2023-09-02
- dstring # failure in job https://hydra.nixos.org/build/233238408 at 2023-09-02
@@ -1318,12 +1399,15 @@ broken-packages:
- DTC # failure in job https://hydra.nixos.org/build/233252009 at 2023-09-02
- dtd-text # failure in job https://hydra.nixos.org/build/233203050 at 2023-09-02
- dtw # failure in job https://hydra.nixos.org/build/233198932 at 2023-09-02
+ - dual # failure in job https://hydra.nixos.org/build/252724683 at 2024-03-16
- dual-game # failure in job https://hydra.nixos.org/build/234439752 at 2023-09-13
- dualizer # failure in job https://hydra.nixos.org/build/233237592 at 2023-09-02
- duckling # failure in job https://hydra.nixos.org/build/233247880 at 2023-09-02
- duet # failure in job https://hydra.nixos.org/build/233219004 at 2023-09-02
+ - dumb-cas # failure in job https://hydra.nixos.org/build/252730634 at 2024-03-16
- dump-core # failure in job https://hydra.nixos.org/build/233244428 at 2023-09-02
- dunai-core # failure in job https://hydra.nixos.org/build/233255804 at 2023-09-02
+ - dunai # failure in job https://hydra.nixos.org/build/252732304 at 2024-03-16
- Dung # failure in job https://hydra.nixos.org/build/233206343 at 2023-09-02
- dupIO # failure in job https://hydra.nixos.org/build/236688265 at 2023-10-04
- duplo # failure in job https://hydra.nixos.org/build/233237341 at 2023-09-02
@@ -1336,6 +1420,7 @@ broken-packages:
- dwarf # failure in job https://hydra.nixos.org/build/233244074 at 2023-09-02
- dyckword # failure in job https://hydra.nixos.org/build/233256385 at 2023-09-02
- dyna-brick # failure in job https://hydra.nixos.org/build/233193254 at 2023-09-02
+ - dynamic-graph # failure in job https://hydra.nixos.org/build/252718318 at 2024-03-16
- dynamic-graphs # failure in job https://hydra.nixos.org/build/233210231 at 2023-09-02
- dynamic-mvector # failure in job https://hydra.nixos.org/build/233252826 at 2023-09-02
- dynamic-object # failure in job https://hydra.nixos.org/build/233216605 at 2023-09-02
@@ -1347,6 +1432,7 @@ broken-packages:
- dywapitchtrack # failure in job https://hydra.nixos.org/build/233195810 at 2023-09-02
- dzen-dhall # failure in job https://hydra.nixos.org/build/233245800 at 2023-09-02
- dzen-utils # failure in job https://hydra.nixos.org/build/233217831 at 2023-09-02
+ - e11y # failure in job https://hydra.nixos.org/build/252717308 at 2024-03-16
- each # failure in job https://hydra.nixos.org/build/233259543 at 2023-09-02
- eager-sockets # failure in job https://hydra.nixos.org/build/233212504 at 2023-09-02
- earclipper # failure in job https://hydra.nixos.org/build/233212721 at 2023-09-02
@@ -1357,6 +1443,7 @@ broken-packages:
- easy-bitcoin # failure in job https://hydra.nixos.org/build/233201882 at 2023-09-02
- easyjson # failure in job https://hydra.nixos.org/build/233199317 at 2023-09-02
- easyplot # failure in job https://hydra.nixos.org/build/233213312 at 2023-09-02
+ - easyrender # failure in job https://hydra.nixos.org/build/252710524 at 2024-03-16
- easytest # failure in job https://hydra.nixos.org/build/233209710 at 2023-09-02
- ebeats # failure in job https://hydra.nixos.org/build/233235039 at 2023-09-02
- ebnf-bff # failure in job https://hydra.nixos.org/build/233221694 at 2023-09-02
@@ -1387,6 +1474,8 @@ broken-packages:
- eigen # failure in job https://hydra.nixos.org/build/233204115 at 2023-09-02
- Eight-Ball-Pool-Hack-Cheats # failure in job https://hydra.nixos.org/build/233211937 at 2023-09-02
- eio # failure in job https://hydra.nixos.org/build/233256103 at 2023-09-02
+ - either-both # failure in job https://hydra.nixos.org/build/252717090 at 2024-03-16
+ - either-list-functions # failure in job https://hydra.nixos.org/build/252717276 at 2024-03-16
- EitherT # failure in job https://hydra.nixos.org/build/233217056 at 2023-09-02
- either-unwrap # failure in job https://hydra.nixos.org/build/233254495 at 2023-09-02
- ejdb2-binding # failure in job https://hydra.nixos.org/build/233253666 at 2023-09-02
@@ -1403,6 +1492,7 @@ broken-packages:
- elevator # failure in job https://hydra.nixos.org/build/233213794 at 2023-09-02
- elision # failure in job https://hydra.nixos.org/build/233218969 at 2023-09-02
- elm-export-persistent # failure in job https://hydra.nixos.org/build/233248910 at 2023-09-02
+ - elminator # failure in job https://hydra.nixos.org/build/252729949 at 2024-03-16
- elm-websocket # failure in job https://hydra.nixos.org/build/233192201 at 2023-09-02
- elocrypt # failure in job https://hydra.nixos.org/build/233229627 at 2023-09-02
- ema-generics # failure in job https://hydra.nixos.org/build/233200038 at 2023-09-02
@@ -1416,6 +1506,7 @@ broken-packages:
- Empty # failure in job https://hydra.nixos.org/build/233228745 at 2023-09-02
- empty-monad # failure in job https://hydra.nixos.org/build/233259244 at 2023-09-02
- enchant # failure in job https://hydra.nixos.org/build/233196992 at 2023-09-02
+ - Encode # failure in job https://hydra.nixos.org/build/252712962 at 2024-03-16
- encode-string # failure in job https://hydra.nixos.org/build/233251833 at 2023-09-02
- encoding # failure in job https://hydra.nixos.org/build/233216476 at 2023-09-02
- encoding-io # failure in job https://hydra.nixos.org/build/233208714 at 2023-09-02
@@ -1435,20 +1526,25 @@ broken-packages:
- env-parser # failure in job https://hydra.nixos.org/build/233237933 at 2023-09-02
- envstatus # failure in job https://hydra.nixos.org/build/233257940 at 2023-09-02
- envy-extensible # failure in job https://hydra.nixos.org/build/233229313 at 2023-09-02
+ - eo-phi-normalizer # failure in job https://hydra.nixos.org/build/252736993 at 2024-03-16
- epanet-haskell # failure in job https://hydra.nixos.org/build/233197331 at 2023-09-02
- epass # failure in job https://hydra.nixos.org/build/233194117 at 2023-09-02
- epic # failure in job https://hydra.nixos.org/build/233204921 at 2023-09-02
- epi-sim # failure in job https://hydra.nixos.org/build/233246076 at 2023-09-02
- epoll # failure in job https://hydra.nixos.org/build/233247564 at 2023-09-02
+ - eprocess # failure in job https://hydra.nixos.org/build/252722826 at 2024-03-16
- epubname # failure in job https://hydra.nixos.org/build/233198937 at 2023-09-02
+ - epub-tools # failure in job https://hydra.nixos.org/build/252717848 at 2024-03-16
- Eq # failure in job https://hydra.nixos.org/build/233200216 at 2023-09-02
- EqualitySolver # failure in job https://hydra.nixos.org/build/233190999 at 2023-09-02
- equational-reasoning-induction # failure in job https://hydra.nixos.org/build/233235280 at 2023-09-02
- equeue # failure in job https://hydra.nixos.org/build/233237274 at 2023-09-02
- erd # failure in job https://hydra.nixos.org/build/233215438 at 2023-09-02
+ - erebos # failure in job https://hydra.nixos.org/build/252716136 at 2024-03-16
- erlang # failure in job https://hydra.nixos.org/build/233195837 at 2023-09-02
- erlang-ffi # failure in job https://hydra.nixos.org/build/233233314 at 2023-09-02
- eros # failure in job https://hydra.nixos.org/build/233247983 at 2023-09-02
+ - errno # failure in job https://hydra.nixos.org/build/252725782 at 2024-03-16
- error-context # failure in job https://hydra.nixos.org/build/233245027 at 2023-09-02
- error-continuations # failure in job https://hydra.nixos.org/build/233232357 at 2023-09-02
- error-list # failure in job https://hydra.nixos.org/build/233237173 at 2023-09-02
@@ -1467,16 +1563,20 @@ broken-packages:
- Etage # failure in job https://hydra.nixos.org/build/233219527 at 2023-09-02
- etcd # failure in job https://hydra.nixos.org/build/233196041 at 2023-09-02
- etc # failure in job https://hydra.nixos.org/build/233199192 at 2023-09-02
+ - ethereum-analyzer-deps # failure in job https://hydra.nixos.org/build/252732846 at 2024-03-16
- ethereum-rlp # failure in job https://hydra.nixos.org/build/233236392 at 2023-09-02
- eurofxref # failure in job https://hydra.nixos.org/build/233230942 at 2023-09-02
- evdev # failure in job https://hydra.nixos.org/build/236692293 at 2023-10-04
- eve-cli # failure in job https://hydra.nixos.org/build/233254555 at 2023-09-02
- eved # failure in job https://hydra.nixos.org/build/233194319 at 2023-09-02
+ - eve # failure in job https://hydra.nixos.org/build/252715362 at 2024-03-16
- event-driven # failure in job https://hydra.nixos.org/build/233233946 at 2023-09-02
- event # failure in job https://hydra.nixos.org/build/233209756 at 2023-09-02
- eventsource-api # failure in job https://hydra.nixos.org/build/233243220 at 2023-09-02
- eventsourced # failure in job https://hydra.nixos.org/build/233192731 at 2023-09-02
- eventstore # failure in job https://hydra.nixos.org/build/233202734 at 2023-09-02
+ - eventuo11y-dsl # failure in job https://hydra.nixos.org/build/252711345 at 2024-03-16
+ - eventuo11y # failure in job https://hydra.nixos.org/build/252711747 at 2024-03-16
- evoke # failure in job https://hydra.nixos.org/build/233213948 at 2023-09-02
- EVP # failure in job https://hydra.nixos.org/build/237241792 at 2023-10-21
- ewe # failure in job https://hydra.nixos.org/build/233217228 at 2023-09-02
@@ -1486,7 +1586,9 @@ broken-packages:
- exceptional # failure in job https://hydra.nixos.org/build/233214345 at 2023-09-02
- exceptionfree-readfile # failure in job https://hydra.nixos.org/build/233222995 at 2023-09-02
- exception-monads-fd # failure in job https://hydra.nixos.org/build/233224946 at 2023-09-02
+ - exception-monads-tf # failure in job https://hydra.nixos.org/build/252739085 at 2024-03-16
- exception-via # failure in job https://hydra.nixos.org/build/233197670 at 2023-09-02
+ - exceptiot # failure in job https://hydra.nixos.org/build/252711579 at 2024-03-16
- exchangerates # failure in job https://hydra.nixos.org/build/233230944 at 2023-09-02
- execs # failure in job https://hydra.nixos.org/build/233210274 at 2023-09-02
- executor # failure in job https://hydra.nixos.org/build/233250118 at 2023-09-02
@@ -1500,6 +1602,7 @@ broken-packages:
- exists # failure in job https://hydra.nixos.org/build/233243541 at 2023-09-02
- exitcode # failure in job https://hydra.nixos.org/build/233238454 at 2023-09-02
- exp-cache # failure in job https://hydra.nixos.org/build/233220561 at 2023-09-02
+ - experimenter # failure in job https://hydra.nixos.org/build/252726011 at 2024-03-16
- exp-extended # failure in job https://hydra.nixos.org/build/233236139 at 2023-09-02
- explain # failure in job https://hydra.nixos.org/build/233207210 at 2023-09-02
- explicit-constraint-lens # failure in job https://hydra.nixos.org/build/233230188 at 2023-09-02
@@ -1529,21 +1632,29 @@ broken-packages:
- factory # failure in job https://hydra.nixos.org/build/233222084 at 2023-09-02
- facts # failure in job https://hydra.nixos.org/build/233194410 at 2023-09-02
- Facts # failure in job https://hydra.nixos.org/build/233224533 at 2023-09-02
+ - fad # failure in job https://hydra.nixos.org/build/252716941 at 2024-03-16
- fadno-braids # failure in job https://hydra.nixos.org/build/233246763 at 2023-09-02
- fadno-xml # failure in job https://hydra.nixos.org/build/233228192 at 2023-09-02
+ - failable # failure in job https://hydra.nixos.org/build/252731566 at 2024-03-16
- failable-list # failure in job https://hydra.nixos.org/build/233198924 at 2023-09-02
- failure-detector # failure in job https://hydra.nixos.org/build/233244451 at 2023-09-02
+ - failure # failure in job https://hydra.nixos.org/build/252738855 at 2024-03-16
- fake # failure in job https://hydra.nixos.org/build/233199052 at 2023-09-02
- fake-type # failure in job https://hydra.nixos.org/build/233197081 at 2023-09-02
- faktory # failure in job https://hydra.nixos.org/build/233240158 at 2023-09-02
- f-algebra-gen # failure in job https://hydra.nixos.org/build/233194303 at 2023-09-02
+ - falling-turnip # failure in job https://hydra.nixos.org/build/252737877 at 2024-03-16
- fastbayes # failure in job https://hydra.nixos.org/build/233223718 at 2023-09-02
- fast-combinatorics # failure in job https://hydra.nixos.org/build/233250615 at 2023-09-02
+ - fast-downward # failure in job https://hydra.nixos.org/build/252721794 at 2024-03-16
- fastedit # failure in job https://hydra.nixos.org/build/233213468 at 2023-09-02
+ - faster-megaparsec # failure in job https://hydra.nixos.org/build/252713238 at 2024-03-16
- fastly # failure in job https://hydra.nixos.org/build/233213136 at 2023-09-02
+ - fastmemo # failure in job https://hydra.nixos.org/build/252739389 at 2024-03-16
- fast-nats # failure in job https://hydra.nixos.org/build/233238596 at 2023-09-02
- fastpbkdf2 # failure in job https://hydra.nixos.org/build/233218574 at 2023-09-02
- FastPush # failure in job https://hydra.nixos.org/build/233224507 at 2023-09-02
+ - fastsum # failure in job https://hydra.nixos.org/build/252716407 at 2024-03-16
- fast-tagsoup-utf8-only # failure in job https://hydra.nixos.org/build/233202322 at 2023-09-02
- FastxPipe # failure in job https://hydra.nixos.org/build/233232889 at 2023-09-02
- fathead-util # failure in job https://hydra.nixos.org/build/233255882 at 2023-09-02
@@ -1553,6 +1664,7 @@ broken-packages:
- fcache # failure in job https://hydra.nixos.org/build/233258505 at 2023-09-02
- fca # failure in job https://hydra.nixos.org/build/233205050 at 2023-09-02
- fcf-composite # failure in job https://hydra.nixos.org/build/233252957 at 2023-09-02
+ - fcf-containers # failure in job https://hydra.nixos.org/build/252729702 at 2024-03-16
- fcf-graphs # failure in job https://hydra.nixos.org/build/233254885 at 2023-09-02
- fcg # failure in job https://hydra.nixos.org/build/233228656 at 2023-09-02
- fckeditor # failure in job https://hydra.nixos.org/build/233226949 at 2023-09-02
@@ -1565,6 +1677,7 @@ broken-packages:
- feed-cli # failure in job https://hydra.nixos.org/build/233234086 at 2023-09-02
- feed-collect # failure in job https://hydra.nixos.org/build/233203100 at 2023-09-02
- feed-crawl # failure in job https://hydra.nixos.org/build/233227566 at 2023-09-02
+ - fei-cocoapi # failure in job https://hydra.nixos.org/build/252726625 at 2024-03-16
- fenfire # failure in job https://hydra.nixos.org/build/233254038 at 2023-09-02
- fernet # failure in job https://hydra.nixos.org/build/233228830 at 2023-09-02
- FerryCore # failure in job https://hydra.nixos.org/build/233198667 at 2023-09-02
@@ -1585,12 +1698,12 @@ broken-packages:
- file-command-qq # failure in job https://hydra.nixos.org/build/233205913 at 2023-09-02
- filediff # failure in job https://hydra.nixos.org/build/233256056 at 2023-09-02
- file-embed-poly # failure in job https://hydra.nixos.org/build/233252504 at 2023-09-02
- - file-io # failure in job https://hydra.nixos.org/build/233192040 at 2023-09-02
- file-location # failure in job https://hydra.nixos.org/build/233202456 at 2023-09-02
- FileManipCompat # failure in job https://hydra.nixos.org/build/233254887 at 2023-09-02
- FileManip # failure in job https://hydra.nixos.org/build/233237292 at 2023-09-02
- fileneglect # failure in job https://hydra.nixos.org/build/233209920 at 2023-09-02
- filepather # failure in job https://hydra.nixos.org/build/237242258 at 2023-10-21
+ - FilePather # failure in job https://hydra.nixos.org/build/252715750 at 2024-03-16
- Files # failure in job https://hydra.nixos.org/build/233207543 at 2023-09-02
- filesystem-conduit # failure in job https://hydra.nixos.org/build/233239975 at 2023-09-02
- FileSystem # failure in job https://hydra.nixos.org/build/233230289 at 2023-09-02
@@ -1605,9 +1718,11 @@ broken-packages:
- fingertree-tf # failure in job https://hydra.nixos.org/build/233259910 at 2023-09-02
- finite # failure in job https://hydra.nixos.org/build/233226313 at 2023-09-02
- finite-fields # failure in job https://hydra.nixos.org/build/233191530 at 2023-09-02
+ - finito # failure in job https://hydra.nixos.org/build/252721862 at 2024-03-16
- firefly-example # failure in job https://hydra.nixos.org/build/233259350 at 2023-09-02
- first-and-last # failure in job https://hydra.nixos.org/build/233256888 at 2023-09-02
- first-class-instances # failure in job https://hydra.nixos.org/build/233207181 at 2023-09-02
+ - first-class-patterns # failure in job https://hydra.nixos.org/build/252739352 at 2024-03-16
- FirstPrelude # failure in job https://hydra.nixos.org/build/233256065 at 2023-09-02
- fit # failure in job https://hydra.nixos.org/build/233239893 at 2023-09-02
- fitsio # failure in job https://hydra.nixos.org/build/233246119 at 2023-09-02
@@ -1629,15 +1744,19 @@ broken-packages:
- flaccuraterip # failure in job https://hydra.nixos.org/build/233255839 at 2023-09-02
- flamethrower # failure in job https://hydra.nixos.org/build/233258696 at 2023-09-02
- flamingra # failure in job https://hydra.nixos.org/build/233242907 at 2023-09-02
+ - flatbuffers # failure in job https://hydra.nixos.org/build/252718245 at 2024-03-16
- flat-maybe # failure in job https://hydra.nixos.org/build/233197544 at 2023-09-02
- flat-mcmc # failure in job https://hydra.nixos.org/build/233234404 at 2023-09-02
- flay # failure in job https://hydra.nixos.org/build/233235511 at 2023-09-02
- flexible-time # failure in job https://hydra.nixos.org/build/233208099 at 2023-09-02
- flickr # failure in job https://hydra.nixos.org/build/233212718 at 2023-09-02
+ - flink-statefulfun # failure in job https://hydra.nixos.org/build/252724456 at 2024-03-16
- Flint2 # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/239246414 at 2023-11-10
+ - float128 # failure in job https://hydra.nixos.org/build/252733549 at 2024-03-16
- float-binstring # failure in job https://hydra.nixos.org/build/233203257 at 2023-09-02
- floating-bits # failure in job https://hydra.nixos.org/build/233223171 at 2023-09-02
- flock # failure in job https://hydra.nixos.org/build/233245164 at 2023-09-02
+ - flow2dot # failure in job https://hydra.nixos.org/build/252733511 at 2024-03-16
- flowdock-api # failure in job https://hydra.nixos.org/build/233215251 at 2023-09-02
- flowdock # failure in job https://hydra.nixos.org/build/233206736 at 2023-09-02
- flowdock-rest # failure in job https://hydra.nixos.org/build/233240090 at 2023-09-02
@@ -1651,12 +1770,15 @@ broken-packages:
- flux-monoid # failure in job https://hydra.nixos.org/build/233202845 at 2023-09-02
- fmark # failure in job https://hydra.nixos.org/build/233196159 at 2023-09-02
- FModExRaw # failure in job https://hydra.nixos.org/build/233259032 at 2023-09-02
+ - fn-extra # failure in job https://hydra.nixos.org/build/252737996 at 2024-03-16
- fold-debounce-conduit # failure in job https://hydra.nixos.org/build/233195249 at 2023-09-02
+ - foldl-exceptions # failure in job https://hydra.nixos.org/build/252719821 at 2024-03-16
- foldl-incremental # failure in job https://hydra.nixos.org/build/233201921 at 2023-09-02
- foldl-statistics # failure in job https://hydra.nixos.org/build/233243724 at 2023-09-02
- foldl-transduce # failure in job https://hydra.nixos.org/build/233192486 at 2023-09-02
- folds-common # failure in job https://hydra.nixos.org/build/233237316 at 2023-09-02
- follow # failure in job https://hydra.nixos.org/build/233255423 at 2023-09-02
+ - follow-file # failure in job https://hydra.nixos.org/build/252732901 at 2024-03-16
- fontconfig-pure # failure in job https://hydra.nixos.org/build/233254573 at 2023-09-02
- font-opengl-basic4x6 # failure in job https://hydra.nixos.org/build/233200978 at 2023-09-02
- forbidden-fruit # failure in job https://hydra.nixos.org/build/233258954 at 2023-09-02
@@ -1688,8 +1810,11 @@ broken-packages:
- Frames-beam # failure in job https://hydra.nixos.org/build/241429730 at 2023-11-19
- Frames-streamly # failure in job https://hydra.nixos.org/build/241420906 at 2023-11-19
- franchise # failure in job https://hydra.nixos.org/build/233256790 at 2023-09-02
+ - franz # failure in job https://hydra.nixos.org/build/252725109 at 2024-03-16
- fraxl # failure in job https://hydra.nixos.org/build/233219345 at 2023-09-02
- freddy # failure in job https://hydra.nixos.org/build/233208999 at 2023-09-02
+ - free-algebras # failure in job https://hydra.nixos.org/build/252728477 at 2024-03-16
+ - free-applicative-t # failure in job https://hydra.nixos.org/build/252715728 at 2024-03-16
- free-concurrent # failure in job https://hydra.nixos.org/build/233257070 at 2023-09-02
- f-ree-hack-cheats-free-v-bucks-generator # failure in job https://hydra.nixos.org/build/233225159 at 2023-09-02
- free-http # failure in job https://hydra.nixos.org/build/233227362 at 2023-09-02
@@ -1700,12 +1825,14 @@ broken-packages:
- freesect # failure in job https://hydra.nixos.org/build/233218671 at 2023-09-02
- freesound # failure in job https://hydra.nixos.org/build/233240464 at 2023-09-02
- free-theorems-counterexamples # failure in job https://hydra.nixos.org/build/233231989 at 2023-09-02
+ - free-theorems # failure in job https://hydra.nixos.org/build/252725016 at 2024-03-16
- free-theorems-seq # failure in job https://hydra.nixos.org/build/233207326 at 2023-09-02
- free-theorems-webui # failure in job https://hydra.nixos.org/build/233255034 at 2023-09-02
- FreeTypeGL # failure in job https://hydra.nixos.org/build/233212222 at 2023-09-02
- freetype-simple # failure in job https://hydra.nixos.org/build/233249707 at 2023-09-02
- free-v-bucks-generator-no-survey # failure in job https://hydra.nixos.org/build/233208419 at 2023-09-02
- free-v-bucks-generator-ps4-no-survey # failure in job https://hydra.nixos.org/build/233190747 at 2023-09-02
+ - fregel # failure in job https://hydra.nixos.org/build/252734327 at 2024-03-16
- freq # failure in job https://hydra.nixos.org/build/233247154 at 2023-09-02
- fresh # failure in job https://hydra.nixos.org/build/233196569 at 2023-09-02
- fresnel # failure in job https://hydra.nixos.org/build/233214198 at 2023-09-02
@@ -1730,10 +1857,12 @@ broken-packages:
- ftp-client # failure in job https://hydra.nixos.org/build/233227664 at 2023-09-02
- ftp-conduit # failure in job https://hydra.nixos.org/build/233244330 at 2023-09-02
- ftphs # failure in job https://hydra.nixos.org/build/233215550 at 2023-09-02
+ - FULE # failure in job https://hydra.nixos.org/build/252719910 at 2024-03-16
- full-sessions # failure in job https://hydra.nixos.org/build/233254332 at 2023-09-02
- funbot-client # failure in job https://hydra.nixos.org/build/233255739 at 2023-09-02
- funcons-values # failure in job https://hydra.nixos.org/build/233194179 at 2023-09-02
- function-instances-algebra # failure in job https://hydra.nixos.org/build/233202209 at 2023-09-02
+ - functor-combinators # failure in job https://hydra.nixos.org/build/252714438 at 2024-03-16
- functor-friends # failure in job https://hydra.nixos.org/build/233208108 at 2023-09-02
- functor-infix # failure in job https://hydra.nixos.org/build/233228794 at 2023-09-02
- functorm # failure in job https://hydra.nixos.org/build/233212335 at 2023-09-02
@@ -1743,6 +1872,7 @@ broken-packages:
- funpat # failure in job https://hydra.nixos.org/build/233222123 at 2023-09-02
- funspection # failure in job https://hydra.nixos.org/build/233227352 at 2023-09-02
- fused-effects-exceptions # failure in job https://hydra.nixos.org/build/233203744 at 2023-09-02
+ - fused-effects # failure in job https://hydra.nixos.org/build/252724943 at 2024-03-16
- fused-effects-mwc-random # failure in job https://hydra.nixos.org/build/233253228 at 2023-09-02
- fused-effects-resumable # failure in job https://hydra.nixos.org/build/233242479 at 2023-09-02
- fused-effects-th # failure in job https://hydra.nixos.org/build/233192186 at 2023-09-02
@@ -1752,28 +1882,35 @@ broken-packages:
- future # failure in job https://hydra.nixos.org/build/233224844 at 2023-09-02
- futures # failure in job https://hydra.nixos.org/build/233230206 at 2023-09-02
- fuzzyfind # failure in job https://hydra.nixos.org/build/233206269 at 2023-09-02
+ - fuzzy-parse # failure in job https://hydra.nixos.org/build/252728734 at 2024-03-16
+ - fuzzy-time-gen # failure in job https://hydra.nixos.org/build/252737793 at 2024-03-16
- fuzzy-timings # failure in job https://hydra.nixos.org/build/233235765 at 2023-09-02
- fvars # failure in job https://hydra.nixos.org/build/234461649 at 2023-09-13
- fwgl # failure in job https://hydra.nixos.org/build/233246210 at 2023-09-02
- g4ip # failure in job https://hydra.nixos.org/build/233248315 at 2023-09-02
+ - gambler # failure in job https://hydra.nixos.org/build/252732701 at 2024-03-16
- gameclock # failure in job https://hydra.nixos.org/build/233234964 at 2023-09-02
- game-probability # failure in job https://hydra.nixos.org/build/233191255 at 2023-09-02
- gamgee # failure in job https://hydra.nixos.org/build/233249846 at 2023-09-02
- Gamgine # failure in job https://hydra.nixos.org/build/233242510 at 2023-09-02
+ - gang-of-threads # failure in job https://hydra.nixos.org/build/252716251 at 2024-03-16
- Ganymede # failure in job https://hydra.nixos.org/build/233248892 at 2023-09-02
- garepinoh # failure in job https://hydra.nixos.org/build/233238111 at 2023-09-02
- gargoyle # failure in job https://hydra.nixos.org/build/233196445 at 2023-09-02
- gas # failure in job https://hydra.nixos.org/build/233233966 at 2023-09-02
+ - gasp # failure in job https://hydra.nixos.org/build/252731457 at 2024-03-16
- gather # failure in job https://hydra.nixos.org/build/233208848 at 2023-09-02
- gc-monitoring-wai # failure in job https://hydra.nixos.org/build/233209449 at 2023-09-02
- gconf # failure in job https://hydra.nixos.org/build/233259023 at 2023-09-02
- gdiff-th # failure in job https://hydra.nixos.org/build/233215065 at 2023-09-02
+ - gearhash # failure in job https://hydra.nixos.org/build/252728216 at 2024-03-16
- gelatin # failure in job https://hydra.nixos.org/build/233249394 at 2023-09-02
- gemcap # failure in job https://hydra.nixos.org/build/233202506 at 2023-09-02
+ - gemini-server # failure in job https://hydra.nixos.org/build/252717988 at 2024-03-16
+ - gemmula-altera # failure in job https://hydra.nixos.org/build/252721416 at 2024-03-16
- gemstone # failure in job https://hydra.nixos.org/build/233202246 at 2023-09-02
- gender # failure in job https://hydra.nixos.org/build/233235712 at 2023-09-02
- genders # failure in job https://hydra.nixos.org/build/233238566 at 2023-09-02
- - general-allocate # failure in job https://hydra.nixos.org/build/233214648 at 2023-09-02
- general-prelude # failure in job https://hydra.nixos.org/build/233248628 at 2023-09-02
- GeneralTicTacToe # failure in job https://hydra.nixos.org/build/233207939 at 2023-09-02
- generator # failure in job https://hydra.nixos.org/build/233213384 at 2023-09-02
@@ -1793,16 +1930,19 @@ broken-packages:
- generic-pretty # failure in job https://hydra.nixos.org/build/233205583 at 2023-09-02
- generic-pretty-instances # failure in job https://hydra.nixos.org/build/233243114 at 2023-09-02
- generic-records # failure in job https://hydra.nixos.org/build/233237235 at 2023-09-02
+ - generics-eot # failure in job https://hydra.nixos.org/build/252717610 at 2024-03-16
- genericserialize # failure in job https://hydra.nixos.org/build/233253092 at 2023-09-02
- generic-server # failure in job https://hydra.nixos.org/build/233259385 at 2023-09-02
- generics-mrsop # failure in job https://hydra.nixos.org/build/233233310 at 2023-09-02
- generic-storable # failure in job https://hydra.nixos.org/build/233213225 at 2023-09-02
- generic-tree # failure in job https://hydra.nixos.org/build/233219539 at 2023-09-02
+ - generic-trie # failure in job https://hydra.nixos.org/build/252733076 at 2024-03-16
- generic-xmlpickler # failure in job https://hydra.nixos.org/build/233246507 at 2023-09-02
- genetics # failure in job https://hydra.nixos.org/build/233219599 at 2023-09-02
- genifunctors # failure in job https://hydra.nixos.org/build/233255126 at 2023-09-02
- gen-imports # failure in job https://hydra.nixos.org/build/233216588 at 2023-09-02
- geniplate # failure in job https://hydra.nixos.org/build/233233607 at 2023-09-02
+ - geniplate-mirror # failure in job https://hydra.nixos.org/build/252731252 at 2024-03-16
- gen-passwd # failure in job https://hydra.nixos.org/build/233224836 at 2023-09-02
- genprog # failure in job https://hydra.nixos.org/build/233198970 at 2023-09-02
- gentlemark # failure in job https://hydra.nixos.org/build/233202158 at 2023-09-02
@@ -1837,12 +1977,12 @@ broken-packages:
- ghc-gc-hook # failure in job https://hydra.nixos.org/build/233195053 at 2023-09-02
- ghc-generic-instances # failure in job https://hydra.nixos.org/build/233259298 at 2023-09-02
- ghc-hotswap # failure in job https://hydra.nixos.org/build/233220146 at 2023-09-02
- - ghcide-test-utils # failure in job https://hydra.nixos.org/build/233209491 at 2023-09-02
- ghci-diagrams # failure in job https://hydra.nixos.org/build/233194407 at 2023-09-02
- ghci-haskeline # failure in job https://hydra.nixos.org/build/233216940 at 2023-09-02
- ghci-history-parser # failure in job https://hydra.nixos.org/build/233204448 at 2023-09-02
- ghci-lib # failure in job https://hydra.nixos.org/build/233216644 at 2023-09-02
- ghci-ng # failure in job https://hydra.nixos.org/build/233229533 at 2023-09-02
+ - ghcitui # failure in job https://hydra.nixos.org/build/252737339 at 2024-03-16
- ghcjs-base-stub # timeout
- ghcjs-dom-jsffi # failure in job https://hydra.nixos.org/build/233215225 at 2023-09-02
- ghcjs-fetch # timeout
@@ -1858,21 +1998,20 @@ broken-packages:
- ghc-plugin-non-empty # failure in job https://hydra.nixos.org/build/233229023 at 2023-09-02
- ghc-proofs # failure in job https://hydra.nixos.org/build/233230633 at 2023-09-02
- ghc-simple # failure in job https://hydra.nixos.org/build/233201423 at 2023-09-02
- - ghc-source-gen # failure in job https://hydra.nixos.org/build/233223566 at 2023-09-02
- ghc-srcspan-plugin # failure in job https://hydra.nixos.org/build/233231564 at 2023-09-02
- ghc-syb # failure in job https://hydra.nixos.org/build/233236783 at 2023-09-02
- ghc-syb-utils # failure in job https://hydra.nixos.org/build/233229196 at 2023-09-02
+ - ghc-symbol # failure in job https://hydra.nixos.org/build/252710738 at 2024-03-16
+ - ghc-tags # failure in job https://hydra.nixos.org/build/252730131 at 2024-03-16
- ghc-tags-plugin # failure in job https://hydra.nixos.org/build/233229916 at 2023-09-02
- ghc-time-alloc-prof # failure in job https://hydra.nixos.org/build/233242289 at 2023-09-02
- ghc-usage # failure in job https://hydra.nixos.org/build/233199565 at 2023-09-02
- gh-labeler # failure in job https://hydra.nixos.org/build/233233139 at 2023-09-02
- - gi-adwaita # failure in compileBuildDriverPhase in job https://hydra.nixos.org/build/239685049 at 2023-11-10
- giak # failure in job https://hydra.nixos.org/build/233242229 at 2023-09-02
- gi-clutter # failure in job https://hydra.nixos.org/build/233252753 at 2023-09-02
- gi-coglpango # failure in job https://hydra.nixos.org/build/233194401 at 2023-09-02
- Gifcurry # failure in job https://hydra.nixos.org/build/233200204 at 2023-09-02
- gi-gio-hs-list-model # failure in job https://hydra.nixos.org/build/233241640 at 2023-09-02
- - gi-gsk # failure in compileBuildDriverPhase in job https://hydra.nixos.org/build/239849990 at 2023-11-10
- gi-gsttag # failure in job https://hydra.nixos.org/build/233197576 at 2023-09-02
- gi-gtk-declarative # failure in job https://hydra.nixos.org/build/233217494 at 2023-09-02
- gi-gtksheet # failure in job https://hydra.nixos.org/build/233211386 at 2023-09-02
@@ -1907,11 +2046,13 @@ broken-packages:
- git-vogue # failure in job https://hydra.nixos.org/build/233249420 at 2023-09-02
- gi-webkitwebprocessextension # failure in job https://hydra.nixos.org/build/233227647 at 2023-09-02
- glade # failure in job https://hydra.nixos.org/build/233229566 at 2023-09-02
+ - glambda # failure in job https://hydra.nixos.org/build/252728236 at 2024-03-16
- glapp # failure in job https://hydra.nixos.org/build/233213499 at 2023-09-02
- glaze # failure in job https://hydra.nixos.org/build/233210047 at 2023-09-02
- Gleam # failure in job https://hydra.nixos.org/build/233228018 at 2023-09-02
- GLFW-b-demo # failure in job https://hydra.nixos.org/build/233230505 at 2023-09-02
- GLFW # failure in job https://hydra.nixos.org/build/233234389 at 2023-09-02
+ - glib-stopgap # needs https://github.com/YoshikuniJujo/glib-stopgap/pull/1 to be merged
- glicko # failure in job https://hydra.nixos.org/build/233200868 at 2023-09-02
- glider-nlp # failure in job https://hydra.nixos.org/build/233229600 at 2023-09-02
- gli # failure in job https://hydra.nixos.org/build/233210279 at 2023-09-02
@@ -1920,12 +2061,14 @@ broken-packages:
- glob-posix # failure in job https://hydra.nixos.org/build/233253059 at 2023-09-02
- GlomeTrace # failure in job https://hydra.nixos.org/build/233211872 at 2023-09-02
- gloss-banana # failure in job https://hydra.nixos.org/build/234464253 at 2023-09-13
+ - gloss-examples # failure in job https://hydra.nixos.org/build/252718124 at 2024-03-16
- gloss-export # failure in job https://hydra.nixos.org/build/234444988 at 2023-09-13
- gloss-game # failure in job https://hydra.nixos.org/build/234460935 at 2023-09-13
- glsl # failure in job https://hydra.nixos.org/build/233224139 at 2023-09-02
- gltf-codec # failure in job https://hydra.nixos.org/build/233205342 at 2023-09-02
- glue # failure in job https://hydra.nixos.org/build/233233587 at 2023-09-02
- g-npm # failure in job https://hydra.nixos.org/build/233215965 at 2023-09-02
+ - gnutls # failure in job https://hydra.nixos.org/build/252734570 at 2024-03-16
- goa # failure in job https://hydra.nixos.org/build/233193916 at 2023-09-02
- goal-core # failure in job https://hydra.nixos.org/build/233242261 at 2023-09-02
- goatee # failure in job https://hydra.nixos.org/build/233220875 at 2023-09-02
@@ -1933,6 +2076,7 @@ broken-packages:
- godot-haskell # failure in job https://hydra.nixos.org/build/233213405 at 2023-09-02
- godot-megaparsec # failure in job https://hydra.nixos.org/build/233252882 at 2023-09-02
- gofer-prelude # failure in job https://hydra.nixos.org/build/233237015 at 2023-09-02
+ - goggles-gcs # failure in job https://hydra.nixos.org/build/252711128 at 2024-03-16
- gogol-core # failure in job https://hydra.nixos.org/build/233245807 at 2023-09-02
- gooey # failure in job https://hydra.nixos.org/build/233192207 at 2023-09-02
- google-cloud # failure in job https://hydra.nixos.org/build/233218503 at 2023-09-02
@@ -1958,6 +2102,7 @@ broken-packages:
- GPipe # failure in job https://hydra.nixos.org/build/233202480 at 2023-09-02
- gpmf # failure in job https://hydra.nixos.org/build/233245964 at 2023-09-02
- gpx-conduit # failure in job https://hydra.nixos.org/build/233245487 at 2023-09-02
+ - grab # failure in job https://hydra.nixos.org/build/252727759 at 2024-03-16
- graceful # failure in job https://hydra.nixos.org/build/233199650 at 2023-09-02
- grafana # failure in job https://hydra.nixos.org/build/233206262 at 2023-09-02
- Grafos # failure in job https://hydra.nixos.org/build/233201494 at 2023-09-02
@@ -1996,6 +2141,7 @@ broken-packages:
- GroteTrap # failure in job https://hydra.nixos.org/build/233203176 at 2023-09-02
- groundhog # failure in job https://hydra.nixos.org/build/233199269 at 2023-09-02
- grouped-list # failure in job https://hydra.nixos.org/build/233240891 at 2023-09-02
+ - groups-generic # failure in job https://hydra.nixos.org/build/252733900 at 2024-03-16
- group-theory # failure in job https://hydra.nixos.org/build/233235833 at 2023-09-02
- group-with # failure in job https://hydra.nixos.org/build/233196589 at 2023-09-02
- growable-vector # failure in job https://hydra.nixos.org/build/233253891 at 2023-09-02
@@ -2031,6 +2177,7 @@ broken-packages:
- hackage-mirror # failure in job https://hydra.nixos.org/build/233240852 at 2023-09-02
- hackage-processing # failure in job https://hydra.nixos.org/build/233243914 at 2023-09-02
- hackage-proxy # failure in job https://hydra.nixos.org/build/233221269 at 2023-09-02
+ - hackage-repo-tool # failure in job https://hydra.nixos.org/build/252731529 at 2024-03-16
- hackager # failure in job https://hydra.nixos.org/build/233247230 at 2023-09-02
- hackage-server # failure in job https://hydra.nixos.org/build/233254343 at 2023-09-02
- hackage-whatsnew # failure in job https://hydra.nixos.org/build/233194915 at 2023-09-02
@@ -2075,7 +2222,6 @@ broken-packages:
- hakyll-shortcode # failure in job https://hydra.nixos.org/build/233240216 at 2023-09-02
- hakyll-typescript # failure in job https://hydra.nixos.org/build/233218630 at 2023-09-02
- HaLeX # failure in job https://hydra.nixos.org/build/233233225 at 2023-09-02
- - hal # failure in job https://hydra.nixos.org/build/233243847 at 2023-09-02
- halfs # failure in job https://hydra.nixos.org/build/233235337 at 2023-09-02
- halide-haskell # failure in job https://hydra.nixos.org/build/233244282 at 2023-09-02
- halipeto # failure in job https://hydra.nixos.org/build/233223245 at 2023-09-02
@@ -2092,6 +2238,7 @@ broken-packages:
- handsy # failure in job https://hydra.nixos.org/build/233192732 at 2023-09-02
- HangmanAscii # failure in job https://hydra.nixos.org/build/233192660 at 2023-09-02
- Hangman # failure in job https://hydra.nixos.org/build/233257262 at 2023-09-02
+ - hangman # failure in job https://hydra.nixos.org/build/252718314 at 2024-03-16
- hannahci # failure in job https://hydra.nixos.org/build/233201493 at 2023-09-02
- hans # failure in job https://hydra.nixos.org/build/233214027 at 2023-09-02
- hanspell # failure in job https://hydra.nixos.org/build/233238153 at 2023-09-02
@@ -2104,6 +2251,7 @@ broken-packages:
- happstack-clientsession # failure in job https://hydra.nixos.org/build/233224969 at 2023-09-02
- happstack # failure in job https://hydra.nixos.org/build/233196813 at 2023-09-02
- happstack-hamlet # failure in job https://hydra.nixos.org/build/233227835 at 2023-09-02
+ - happstack-heist # failure in job https://hydra.nixos.org/build/252723609 at 2024-03-16
- happstack-hstringtemplate # failure in job https://hydra.nixos.org/build/233201171 at 2023-09-02
- happstack-lite # failure in job https://hydra.nixos.org/build/233196392 at 2023-09-02
- happstack-monad-peel # failure in job https://hydra.nixos.org/build/233247282 at 2023-09-02
@@ -2113,6 +2261,7 @@ broken-packages:
- HAppS-Util # failure in job https://hydra.nixos.org/build/233237912 at 2023-09-02
- happybara # failure in job https://hydra.nixos.org/build/233236198 at 2023-09-02
- happybara-webkit-server # failure in job https://hydra.nixos.org/build/233247667 at 2023-09-02
+ - happy-hour # failure in job https://hydra.nixos.org/build/252732958 at 2024-03-16
- HappyTree # failure in job https://hydra.nixos.org/build/233249720 at 2023-09-02
- hapstone # failure in job https://hydra.nixos.org/build/233190701 at 2023-09-02
- HaPy # failure in job https://hydra.nixos.org/build/233256693 at 2023-09-02
@@ -2123,6 +2272,7 @@ broken-packages:
- HARM # failure in job https://hydra.nixos.org/build/233232650 at 2023-09-02
- HarmTrace-Base # failure in job https://hydra.nixos.org/build/233213843 at 2023-09-02
- haroonga # failure in job https://hydra.nixos.org/build/233226376 at 2023-09-02
+ - harp # failure in job https://hydra.nixos.org/build/252730015 at 2024-03-16
- harpy # failure in job https://hydra.nixos.org/build/233225779 at 2023-09-02
- harvest-api # failure in job https://hydra.nixos.org/build/233213054 at 2023-09-02
- hasbolt-extras # failure in job https://hydra.nixos.org/build/233211734 at 2023-09-02
@@ -2133,8 +2283,11 @@ broken-packages:
- has # failure in job https://hydra.nixos.org/build/233193689 at 2023-09-02
- hashable-extras # failure in job https://hydra.nixos.org/build/233191748 at 2023-09-02
- hashable-generics # failure in job https://hydra.nixos.org/build/233209175 at 2023-09-02
+ - hashable-orphans # failure in job https://hydra.nixos.org/build/252738804 at 2024-03-16
- hashabler # failure in job https://hydra.nixos.org/build/233236154 at 2023-09-02
+ - hashable-time # failure in job https://hydra.nixos.org/build/252733552 at 2024-03-16
- hashed-storage # failure in job https://hydra.nixos.org/build/233193382 at 2023-09-02
+ - hasherize # failure in job https://hydra.nixos.org/build/252712987 at 2024-03-16
- hash # failure in job https://hydra.nixos.org/build/233219137 at 2023-09-02
- hashring # failure in job https://hydra.nixos.org/build/233231092 at 2023-09-02
- hashtables-plus # failure in job https://hydra.nixos.org/build/233234463 at 2023-09-02
@@ -2161,6 +2314,7 @@ broken-packages:
- haskelldb # failure in job https://hydra.nixos.org/build/233248300 at 2023-09-02
- haskelldb-wx # failure in job https://hydra.nixos.org/build/233197525 at 2023-09-02
- haskell-disque # failure in job https://hydra.nixos.org/build/233226200 at 2023-09-02
+ - haskell-docs-cli # failure in job https://hydra.nixos.org/build/252718877 at 2024-03-16
- HaskellForMaths # failure in job https://hydra.nixos.org/build/233237608 at 2023-09-02
- haskell-formatter # failure in job https://hydra.nixos.org/build/233237167 at 2023-09-02
- haskell-generate # failure in job https://hydra.nixos.org/build/233197927 at 2023-09-02
@@ -2209,7 +2363,6 @@ broken-packages:
- hask # failure in job https://hydra.nixos.org/build/233215974 at 2023-09-02
- haskheap # failure in job https://hydra.nixos.org/build/233231657 at 2023-09-02
- haskhol-core # failure in job https://hydra.nixos.org/build/233232550 at 2023-09-02
- - haskintex # failure in job https://hydra.nixos.org/build/233238166 at 2023-09-02
- haskmon # failure in job https://hydra.nixos.org/build/233228390 at 2023-09-02
- haskoin # failure in job https://hydra.nixos.org/build/233201668 at 2023-09-02
- haskoin-store # failure in job https://hydra.nixos.org/build/238048371 at 2023-10-21
@@ -2229,6 +2382,7 @@ broken-packages:
- hasql-backend # failure in job https://hydra.nixos.org/build/233255310 at 2023-09-02
- hasql-class # failure in job https://hydra.nixos.org/build/233191053 at 2023-09-02
- hasql-cursor-transaction # failure in job https://hydra.nixos.org/build/233240886 at 2023-09-02
+ - hasql-effectful # failure in job https://hydra.nixos.org/build/252721674 at 2024-03-16
- hasql-explain-tests # failure in job https://hydra.nixos.org/build/233247034 at 2023-09-02
- hasql-generic # failure in job https://hydra.nixos.org/build/233204654 at 2023-09-02
- hasql-resource-pool # failure in job https://hydra.nixos.org/build/233217667 at 2023-09-02
@@ -2299,20 +2453,23 @@ broken-packages:
- heckle # failure in job https://hydra.nixos.org/build/233228954 at 2023-09-02
- heddit # failure in job https://hydra.nixos.org/build/233229058 at 2023-09-02
- hedgehog-checkers # failure in job https://hydra.nixos.org/build/233229405 at 2023-09-02
+ - hedgehog-fakedata # failure in job https://hydra.nixos.org/build/252721345 at 2024-03-16
- hedgehog-generic # failure in job https://hydra.nixos.org/build/233204695 at 2023-09-02
- hedgehog-gen # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/237243271 at 2023-10-21
- hedgehog-golden # failure in job https://hydra.nixos.org/build/233219619 at 2023-09-02
- hedgehog-lens # failure in job https://hydra.nixos.org/build/233251825 at 2023-09-02
+ - hedgehog-optics # failure in job https://hydra.nixos.org/build/252734832 at 2024-03-16
- hedgehog-servant # failure in job https://hydra.nixos.org/build/233258223 at 2023-09-02
- hedis-config # failure in job https://hydra.nixos.org/build/233198326 at 2023-09-02
+ - hedis-monadic # failure in job https://hydra.nixos.org/build/252738915 at 2024-03-16
- hedis-namespace # failure in job https://hydra.nixos.org/build/233256546 at 2023-09-02
- hedis-simple # failure in job https://hydra.nixos.org/build/233231466 at 2023-09-02
- hedis-tags # failure in job https://hydra.nixos.org/build/233246456 at 2023-09-02
- hedra # failure in job https://hydra.nixos.org/build/233217122 at 2023-09-02
- heidi # failure in job https://hydra.nixos.org/build/233199241 at 2023-09-02
- hein # failure in job https://hydra.nixos.org/build/233241797 at 2023-09-02
+ - heist-async # failure in job https://hydra.nixos.org/build/252736889 at 2024-03-16
- heist-emanote # failure in job https://hydra.nixos.org/build/233242732 at 2023-09-02
- - heist # failure in job https://hydra.nixos.org/build/233217810 at 2023-09-02
- helisp # failure in job https://hydra.nixos.org/build/233221194 at 2023-09-02
- helix # failure in job https://hydra.nixos.org/build/233254335 at 2023-09-02
- helm # failure in job https://hydra.nixos.org/build/233251620 at 2023-09-02
@@ -2344,6 +2501,7 @@ broken-packages:
- hexstring # failure in job https://hydra.nixos.org/build/233193009 at 2023-09-02
- hext # failure in job https://hydra.nixos.org/build/233221345 at 2023-09-02
- hextra # failure in job https://hydra.nixos.org/build/233238071 at 2023-09-02
+ - hextream # failure in job https://hydra.nixos.org/build/252712270 at 2024-03-16
- heyefi # failure in job https://hydra.nixos.org/build/233221712 at 2023-09-02
- heyting-algebras # failure in job https://hydra.nixos.org/build/233259836 at 2023-09-02
- hF2 # failure in job https://hydra.nixos.org/build/233208978 at 2023-09-02
@@ -2386,15 +2544,21 @@ broken-packages:
- hierarchy # failure in job https://hydra.nixos.org/build/233203253 at 2023-09-02
- hiernotify # failure in job https://hydra.nixos.org/build/233223185 at 2023-09-02
- hifi # failure in job https://hydra.nixos.org/build/233192325 at 2023-09-02
+ - higgledy # failure in job https://hydra.nixos.org/build/252718176 at 2024-03-16
- higher-leveldb # failure in job https://hydra.nixos.org/build/233237992 at 2023-09-02
- higherorder # failure in job https://hydra.nixos.org/build/233201392 at 2023-09-02
- highjson # failure in job https://hydra.nixos.org/build/233221080 at 2023-09-02
+ - highlighter # failure in job https://hydra.nixos.org/build/252735594 at 2024-03-16
+ - highlighting-kate # failure in job https://hydra.nixos.org/build/252739418 at 2024-03-16
- highlight-versions # failure in job https://hydra.nixos.org/build/233240502 at 2023-09-02
+ - highs-lp # failure in job https://hydra.nixos.org/build/252734029 at 2024-03-16
- highWaterMark # failure in job https://hydra.nixos.org/build/233244731 at 2023-09-02
- hills # failure in job https://hydra.nixos.org/build/233215201 at 2023-09-02
- himg # failure in job https://hydra.nixos.org/build/233213810 at 2023-09-02
- hindley-milner # failure in job https://hydra.nixos.org/build/233195252 at 2023-09-02
- hindley-milner-type-check # failure in job https://hydra.nixos.org/build/233216545 at 2023-09-02
+ - hinotify-bytestring # failure in job https://hydra.nixos.org/build/252716847 at 2024-03-16
+ - hinotify-conduit # failure in job https://hydra.nixos.org/build/252710760 at 2024-03-16
- hinquire # failure in job https://hydra.nixos.org/build/233235549 at 2023-09-02
- hinstaller # failure in job https://hydra.nixos.org/build/233244650 at 2023-09-02
- hinterface # failure in job https://hydra.nixos.org/build/233250383 at 2023-09-02
@@ -2402,7 +2566,9 @@ broken-packages:
- hint-server # failure in job https://hydra.nixos.org/build/233240346 at 2023-09-02
- hipchat-hs # failure in job https://hydra.nixos.org/build/233198550 at 2023-09-02
- Hipmunk # failure in job https://hydra.nixos.org/build/233259272 at 2023-09-02
+ - hips # failure in job https://hydra.nixos.org/build/252728413 at 2024-03-16
- hipsql-api # failure in job https://hydra.nixos.org/build/233222961 at 2023-09-02
+ - hipsql-monad # failure in job https://hydra.nixos.org/build/252731820 at 2024-03-16
- hircules # failure in job https://hydra.nixos.org/build/233221393 at 2023-09-02
- Hish # failure in job https://hydra.nixos.org/build/233226443 at 2023-09-02
- hissmetrics # failure in job https://hydra.nixos.org/build/233206890 at 2023-09-02
@@ -2420,6 +2586,7 @@ broken-packages:
- hkd-lens # failure in job https://hydra.nixos.org/build/233242120 at 2023-09-02
- hkd-records # failure in job https://hydra.nixos.org/build/233234491 at 2023-09-02
- hkt # failure in job https://hydra.nixos.org/build/233244808 at 2023-09-02
+ - hlatex # failure in job https://hydra.nixos.org/build/252732433 at 2024-03-16
- hlbfgsb # failure in job https://hydra.nixos.org/build/233260021 at 2023-09-02
- hleap # failure in job https://hydra.nixos.org/build/233229908 at 2023-09-02
- hledger-chart # failure in job https://hydra.nixos.org/build/233205387 at 2023-09-02
@@ -2438,6 +2605,7 @@ broken-packages:
- hlogger # failure in job https://hydra.nixos.org/build/233197314 at 2023-09-02
- HLogger # failure in job https://hydra.nixos.org/build/233247351 at 2023-09-02
- hlongurl # failure in job https://hydra.nixos.org/build/233227204 at 2023-09-02
+ - hlrdb-core # failure in job https://hydra.nixos.org/build/252728012 at 2024-03-16
- hls-brittany-plugin # failure in job https://hydra.nixos.org/build/233201998 at 2023-09-02
- hls-haddock-comments-plugin # failure in job https://hydra.nixos.org/build/233233944 at 2023-09-02
- hls-refine-imports-plugin # failure in job https://hydra.nixos.org/build/233211155 at 2023-09-02
@@ -2445,6 +2613,7 @@ broken-packages:
- hls-tactics-plugin # failure in job https://hydra.nixos.org/build/233238907 at 2023-09-02
- hlwm # failure in job https://hydra.nixos.org/build/233235119 at 2023-09-02
- hly # failure in job https://hydra.nixos.org/build/233206910 at 2023-09-02
+ - HMarkov # failure in job https://hydra.nixos.org/build/252712651 at 2024-03-16
- hmarkup # failure in job https://hydra.nixos.org/build/233234817 at 2023-09-02
- hmatrix-banded # failure in job https://hydra.nixos.org/build/233244249 at 2023-09-02
- hmatrix-mmap # failure in job https://hydra.nixos.org/build/233233046 at 2023-09-02
@@ -2463,6 +2632,7 @@ broken-packages:
- hmp3 # failure in job https://hydra.nixos.org/build/233240864 at 2023-09-02
- Hmpf # failure in job https://hydra.nixos.org/build/233212948 at 2023-09-02
- hmumps # failure in job https://hydra.nixos.org/build/233209336 at 2023-09-02
+ - hnetcdf # failure in job https://hydra.nixos.org/build/252727915 at 2024-03-16
- hnn # failure in job https://hydra.nixos.org/build/233253882 at 2023-09-02
- hnock # failure in job https://hydra.nixos.org/build/233247419 at 2023-09-02
- hnop # failure in job https://hydra.nixos.org/build/233214340 at 2023-09-02
@@ -2482,6 +2652,7 @@ broken-packages:
- hogg # failure in job https://hydra.nixos.org/build/233257874 at 2023-09-02
- hoggl # failure in job https://hydra.nixos.org/build/233194252 at 2023-09-02
- hois # failure in job https://hydra.nixos.org/build/233250712 at 2023-09-02
+ - hoist-error # failure in job https://hydra.nixos.org/build/252731498 at 2024-03-16
- hold-em # failure in job https://hydra.nixos.org/build/233236968 at 2023-09-02
- hole # failure in job https://hydra.nixos.org/build/233226698 at 2023-09-02
- holmes # failure in job https://hydra.nixos.org/build/233257690 at 2023-09-02
@@ -2501,6 +2672,7 @@ broken-packages:
- hopencc # failure in job https://hydra.nixos.org/build/233192954 at 2023-09-02
- hopencl # failure in job https://hydra.nixos.org/build/233249443 at 2023-09-02
- HOpenCV # failure in job https://hydra.nixos.org/build/233255422 at 2023-09-02
+ - hopenpgp-tools # failure in job https://hydra.nixos.org/build/252729842 at 2024-03-16
- hopfield # failure in job https://hydra.nixos.org/build/233598214 at 2023-09-02
- hoppy-generator # failure in job https://hydra.nixos.org/build/233240608 at 2023-09-02
- hops # failure in job https://hydra.nixos.org/build/233207172 at 2023-09-02
@@ -2549,6 +2721,7 @@ broken-packages:
- hruby # failure in job https://hydra.nixos.org/build/233210664 at 2023-09-02
- hs2bf # failure in job https://hydra.nixos.org/build/233251996 at 2023-09-02
- hs2ps # failure in job https://hydra.nixos.org/build/233258362 at 2023-09-02
+ - hsakamai # failure in job https://hydra.nixos.org/build/252722933 at 2024-03-16
- hsaml2 # failure in job https://hydra.nixos.org/build/233252618 at 2023-09-02
- hsay # failure in job https://hydra.nixos.org/build/233218925 at 2023-09-02
- hsbc # failure in job https://hydra.nixos.org/build/233206310 at 2023-09-02
@@ -2566,6 +2739,7 @@ broken-packages:
- hscaffold # failure in job https://hydra.nixos.org/build/233207380 at 2023-09-02
- hscamwire # failure in job https://hydra.nixos.org/build/233194329 at 2023-09-02
- hs-carbon-examples # failure in job https://hydra.nixos.org/build/234440337 at 2023-09-13
+ - hs-carbon # failure in job https://hydra.nixos.org/build/252722544 at 2024-03-16
- hscd # failure in job https://hydra.nixos.org/build/233195644 at 2023-09-02
- hscdio # failure in job https://hydra.nixos.org/build/233195843 at 2023-09-02
- hschema-aeson # failure in job https://hydra.nixos.org/build/233196871 at 2023-09-02
@@ -2593,6 +2767,7 @@ broken-packages:
- hsgnutls-yj # failure in job https://hydra.nixos.org/build/233220680 at 2023-09-02
- hsgsom # failure in job https://hydra.nixos.org/build/233192340 at 2023-09-02
- HsHaruPDF # failure in job https://hydra.nixos.org/build/233220916 at 2023-09-02
+ - HSH # failure in job https://hydra.nixos.org/build/252713464 at 2024-03-16
- HsHyperEstraier # failure in job https://hydra.nixos.org/build/233253787 at 2023-09-02
- hsI2C # failure in job https://hydra.nixos.org/build/233247520 at 2023-09-02
- hSimpleDB # failure in job https://hydra.nixos.org/build/233236736 at 2023-09-02
@@ -2624,7 +2799,6 @@ broken-packages:
- hsp-cgi # failure in job https://hydra.nixos.org/build/233254927 at 2023-09-02
- hspear # failure in job https://hydra.nixos.org/build/233192286 at 2023-09-02
- hspec2 # failure in job https://hydra.nixos.org/build/233251459 at 2023-09-02
- - hspec-api # failure in job https://hydra.nixos.org/build/233201204 at 2023-09-02
- hspec-expectations-match # failure in job https://hydra.nixos.org/build/233210221 at 2023-09-02
- hspec-experimental # failure in job https://hydra.nixos.org/build/233216119 at 2023-09-02
- hspec-jenkins # failure in job https://hydra.nixos.org/build/233213269 at 2023-09-02
@@ -2632,9 +2806,11 @@ broken-packages:
- hspec-monad-control # failure in job https://hydra.nixos.org/build/233223942 at 2023-09-02
- hspec-need-env # failure in job https://hydra.nixos.org/build/233208310 at 2023-09-02
- hspec-slow # failure in job https://hydra.nixos.org/build/233248782 at 2023-09-02
+ - hspec-snap # failure in job https://hydra.nixos.org/build/252725428 at 2024-03-16
- hspec-structured-formatter # failure in job https://hydra.nixos.org/build/233199636 at 2023-09-02
- hspec-tables # failure in job https://hydra.nixos.org/build/233221785 at 2023-09-02
- HsPerl5 # failure in job https://hydra.nixos.org/build/233256038 at 2023-09-02
+ - hsp # failure in job https://hydra.nixos.org/build/252718609 at 2024-03-16
- hs-pgms # failure in job https://hydra.nixos.org/build/233237693 at 2023-09-02
- hspkcs11 # failure in job https://hydra.nixos.org/build/233225806 at 2023-09-02
- hs-pkg-config # failure in job https://hydra.nixos.org/build/233240839 at 2023-09-02
@@ -2667,11 +2843,14 @@ broken-packages:
- hsudoku # failure in job https://hydra.nixos.org/build/233214738 at 2023-09-02
- hs-vcard # failure in job https://hydra.nixos.org/build/233228457 at 2023-09-02
- hs-watchman # failure in job https://hydra.nixos.org/build/233217591 at 2023-09-02
+ - hsx2hs # failure in job https://hydra.nixos.org/build/252733316 at 2024-03-16
- hsXenCtrl # failure in job https://hydra.nixos.org/build/233193837 at 2023-09-02
- hsx # failure in job https://hydra.nixos.org/build/233211907 at 2023-09-02
- hsyscall # failure in job https://hydra.nixos.org/build/233190699 at 2023-09-02
- hsyslog-udp # failure in job https://hydra.nixos.org/build/233205524 at 2023-09-02
- hszephyr # failure in job https://hydra.nixos.org/build/233204818 at 2023-09-02
+ - htags # failure in job https://hydra.nixos.org/build/252726582 at 2024-03-16
+ - htalkat # failure in job https://hydra.nixos.org/build/252714463 at 2024-03-16
- hTalos # failure in job https://hydra.nixos.org/build/233246583 at 2023-09-02
- htar # failure in job https://hydra.nixos.org/build/233218013 at 2023-09-02
- htdp-image # failure in job https://hydra.nixos.org/build/234460283 at 2023-09-13
@@ -2713,11 +2892,14 @@ broken-packages:
- http-rfc7807 # failure in job https://hydra.nixos.org/build/233191433 at 2023-09-02
- http-server # failure in job https://hydra.nixos.org/build/233209925 at 2023-09-02
- http-shed # failure in job https://hydra.nixos.org/build/233236325 at 2023-09-02
+ - http-slim # failure in job https://hydra.nixos.org/build/252734524 at 2024-03-16
- httpstan # failure in job https://hydra.nixos.org/build/233202072 at 2023-09-02
+ - http-test # failure in job https://hydra.nixos.org/build/252738556 at 2024-03-16
- http-wget # failure in job https://hydra.nixos.org/build/233236793 at 2023-09-02
- htune # failure in job https://hydra.nixos.org/build/234447885 at 2023-09-13
- htvm # failure in job https://hydra.nixos.org/build/233218993 at 2023-09-02
- htzaar # failure in job https://hydra.nixos.org/build/233197602 at 2023-09-02
+ - hub # failure in job https://hydra.nixos.org/build/252715988 at 2024-03-16
- huck # failure in job https://hydra.nixos.org/build/233235354 at 2023-09-02
- HueAPI # failure in job https://hydra.nixos.org/build/233234528 at 2023-09-02
- huff # failure in job https://hydra.nixos.org/build/233194394 at 2023-09-02
@@ -2734,6 +2916,7 @@ broken-packages:
- hunit-rematch # failure in job https://hydra.nixos.org/build/233232138 at 2023-09-02
- hup # failure in job https://hydra.nixos.org/build/233246919 at 2023-09-02
- hurriyet # failure in job https://hydra.nixos.org/build/233200609 at 2023-09-02
+ - husk-scheme # failure in job https://hydra.nixos.org/build/252730154 at 2024-03-16
- husky # failure in job https://hydra.nixos.org/build/233214877 at 2023-09-02
- hutton # failure in job https://hydra.nixos.org/build/233211451 at 2023-09-02
- huttons-razor # failure in job https://hydra.nixos.org/build/233224736 at 2023-09-02
@@ -2741,12 +2924,19 @@ broken-packages:
- hwall-auth-iitk # failure in job https://hydra.nixos.org/build/233217629 at 2023-09-02
- hw-aws-sqs-conduit # failure building library in job https://hydra.nixos.org/build/237235400 at 2023-10-21
- hw-ci-assist # failure in job https://hydra.nixos.org/build/233207990 at 2023-09-02
+ - hw-conduit # failure in job https://hydra.nixos.org/build/252713114 at 2024-03-16
+ - hw-diagnostics # failure in job https://hydra.nixos.org/build/252734742 at 2024-03-16
- hw-dsv # failure in job https://hydra.nixos.org/build/233252280 at 2023-09-02
- hw-dump # failure in job https://hydra.nixos.org/build/233208818 at 2023-09-02
- hweblib # failure in job https://hydra.nixos.org/build/233250183 at 2023-09-02
+ - hw-fingertree-strict # failure in job https://hydra.nixos.org/build/252718249 at 2024-03-16
- hwhile # failure in job https://hydra.nixos.org/build/233206114 at 2023-09-02
- hw-json-simd # failure in job https://hydra.nixos.org/build/233240490 at 2023-09-02
+ - hw-kafka-conduit # failure in job https://hydra.nixos.org/build/252714760 at 2024-03-16
+ - hw-lazy # failure in job https://hydra.nixos.org/build/252722974 at 2024-03-16
+ - hw-mquery # failure in job https://hydra.nixos.org/build/252719440 at 2024-03-16
- hworker # failure in job https://hydra.nixos.org/build/233220098 at 2023-09-02
+ - hw-packed-vector # failure in job https://hydra.nixos.org/build/252735800 at 2024-03-16
- hw-playground-linear # failure in job https://hydra.nixos.org/build/233208994 at 2023-09-02
- hw-prim-bits # failure in job https://hydra.nixos.org/build/233246627 at 2023-09-02
- hw-simd-cli # failure in job https://hydra.nixos.org/build/233223251 at 2023-09-02
@@ -2772,6 +2962,7 @@ broken-packages:
- hyperion # failure in job https://hydra.nixos.org/build/233218339 at 2023-09-02
- hyperloglogplus # failure in job https://hydra.nixos.org/build/233259772 at 2023-09-02
- hyperscript # failure in job https://hydra.nixos.org/build/233222333 at 2023-09-02
+ - hypertypes # failure in job https://hydra.nixos.org/build/252735804 at 2024-03-16
- hypher # failure in job https://hydra.nixos.org/build/233233236 at 2023-09-02
- hyraxAbif # failure in job https://hydra.nixos.org/build/233195246 at 2023-09-02
- hzulip # failure in job https://hydra.nixos.org/build/233191086 at 2023-09-02
@@ -2782,6 +2973,7 @@ broken-packages:
- iap-verifier # failure in job https://hydra.nixos.org/build/233202491 at 2023-09-02
- iban # failure in job https://hydra.nixos.org/build/233236424 at 2023-09-02
- ib-api # failure in job https://hydra.nixos.org/build/233223054 at 2023-09-02
+ - iCalendar # failure in job https://hydra.nixos.org/build/252886686 at 2024-03-16
- ical # failure in job https://hydra.nixos.org/build/233200229 at 2023-09-02
- icepeak # failure in job https://hydra.nixos.org/build/233242326 at 2023-09-02
- icfpc2020-galaxy # failure in job https://hydra.nixos.org/build/233208746 at 2023-09-02
@@ -2803,6 +2995,7 @@ broken-packages:
- ignore # failure in job https://hydra.nixos.org/build/233238874 at 2023-09-02
- igraph # failure in job https://hydra.nixos.org/build/233192875 at 2023-09-02
- ihaskell-charts # failure in job https://hydra.nixos.org/build/233193328 at 2023-09-02
+ - ihaskell-diagrams # failure in job https://hydra.nixos.org/build/252723470 at 2024-03-16
- ihaskell-gnuplot # failure in job https://hydra.nixos.org/build/233223675 at 2023-09-02
- ihaskell-graphviz # failure in job https://hydra.nixos.org/build/233200671 at 2023-09-02
- ihaskell-hvega # failure in job https://hydra.nixos.org/build/241514418 at 2023-11-20
@@ -2820,6 +3013,7 @@ broken-packages:
- immortal-worker # failure in job https://hydra.nixos.org/build/233246961 at 2023-09-02
- ImperativeHaskell # failure in job https://hydra.nixos.org/build/233240920 at 2023-09-02
- impl # failure in job https://hydra.nixos.org/build/233203425 at 2023-09-02
+ - implicit-hie-cradle # failure in job https://hydra.nixos.org/build/252710698 at 2024-03-16
- implicit-logging # failure in job https://hydra.nixos.org/build/233194358 at 2023-09-02
- implicit-params # failure in job https://hydra.nixos.org/build/233201527 at 2023-09-02
- imports # failure in job https://hydra.nixos.org/build/233227469 at 2023-09-02
@@ -2827,10 +3021,12 @@ broken-packages:
- imprint # failure in job https://hydra.nixos.org/build/233246314 at 2023-09-02
- impure-containers # failure in job https://hydra.nixos.org/build/233251408 at 2023-09-02
- inchworm # failure in job https://hydra.nixos.org/build/233219017 at 2023-09-02
+ - incremental-sat-solver # failure in job https://hydra.nixos.org/build/252738274 at 2024-03-16
- indentation-core # failure in job https://hydra.nixos.org/build/233257946 at 2023-09-02
- index-core # failure in job https://hydra.nixos.org/build/233255196 at 2023-09-02
- indexed-containers # failure in job https://hydra.nixos.org/build/233236806 at 2023-09-02
- indexed-do-notation # failure in job https://hydra.nixos.org/build/233259970 at 2023-09-02
+ - indexed-extras # failure in job https://hydra.nixos.org/build/252739554 at 2024-03-16
- indextype # failure in job https://hydra.nixos.org/build/233230257 at 2023-09-02
- indices # failure in job https://hydra.nixos.org/build/233198223 at 2023-09-02
- infernal # failure in job https://hydra.nixos.org/build/233228571 at 2023-09-02
@@ -2847,12 +3043,15 @@ broken-packages:
- inj-base # failure in job https://hydra.nixos.org/build/233198720 at 2023-09-02
- inject-function # failure in job https://hydra.nixos.org/build/233252462 at 2023-09-02
- injections # failure in job https://hydra.nixos.org/build/233207796 at 2023-09-02
+ - inline-asm # failure in job https://hydra.nixos.org/build/252725932 at 2024-03-16
- inline-c-cuda # failure in job https://hydra.nixos.org/build/237234701 at 2023-10-21
- inline-c-objc # failure in job https://hydra.nixos.org/build/233223704 at 2023-09-02
- inline-r # failure in job https://hydra.nixos.org/build/233210520 at 2023-09-02
+ - in-other-words # failure in job https://hydra.nixos.org/build/252711798 at 2024-03-16
- in-other-words-plugin # failure in job https://hydra.nixos.org/build/233202396 at 2023-09-02
- inserts # failure in job https://hydra.nixos.org/build/233198758 at 2023-09-02
- instana-haskell-trace-sdk # failure in job https://hydra.nixos.org/build/233211339 at 2023-09-02
+ - instance-control # failure in job https://hydra.nixos.org/build/252726549 at 2024-03-16
- instance-map # failure in job https://hydra.nixos.org/build/233209014 at 2023-09-02
- instant-generics # failure in job https://hydra.nixos.org/build/233209385 at 2023-09-02
- instapaper-sender # failure in job https://hydra.nixos.org/build/233225390 at 2023-09-02
@@ -2860,6 +3059,7 @@ broken-packages:
- intcode # failure in job https://hydra.nixos.org/build/233198813 at 2023-09-02
- integer-pure # failure in job https://hydra.nixos.org/build/233216037 at 2023-09-02
- integer-simple # failure in job https://hydra.nixos.org/build/233192893 at 2023-09-02
+ - integer-types # failure in job https://hydra.nixos.org/build/252734448 at 2024-03-16
- intensional-datatys # failure in job https://hydra.nixos.org/build/233218337 at 2023-09-02
- interact # failure in job https://hydra.nixos.org/build/233228146 at 2023-09-02
- interactive-plot # failure in job https://hydra.nixos.org/build/233212280 at 2023-09-02
@@ -2873,14 +3073,17 @@ broken-packages:
- interpolator # failure in job https://hydra.nixos.org/build/233218382 at 2023-09-02
- interpol # failure in job https://hydra.nixos.org/build/233218430 at 2023-09-02
- interruptible # failure in job https://hydra.nixos.org/build/233209873 at 2023-09-02
+ - interspersed # failure in job https://hydra.nixos.org/build/252722645 at 2024-03-16
- interval-algebra # failure in job https://hydra.nixos.org/build/233208487 at 2023-09-02
- interval # failure in job https://hydra.nixos.org/build/233239434 at 2023-09-02
+ - interval-functor # failure in job https://hydra.nixos.org/build/252729855 at 2024-03-16
- interval-patterns # failure in job https://hydra.nixos.org/build/239259401 at 2023-11-10
- interval-tree-clock # failure in job https://hydra.nixos.org/build/233234316 at 2023-09-02
- IntFormats # failure in job https://hydra.nixos.org/build/233195190 at 2023-09-02
- int-interval-map # failure in job https://hydra.nixos.org/build/233244556 at 2023-09-02
- int-like # failure in job https://hydra.nixos.org/build/233245537 at 2023-09-02
- int-multimap # failure in job https://hydra.nixos.org/build/233210427 at 2023-09-02
+ - intricacy # failure in job https://hydra.nixos.org/build/252711846 at 2024-03-16
- intrinsic-superclasses # failure in job https://hydra.nixos.org/build/233228909 at 2023-09-02
- introduction # failure in job https://hydra.nixos.org/build/233223585 at 2023-09-02
- intro # failure in job https://hydra.nixos.org/build/233192297 at 2023-09-02
@@ -2896,7 +3099,7 @@ broken-packages:
- iotransaction # failure in job https://hydra.nixos.org/build/233226259 at 2023-09-02
- ip2location # failure in job https://hydra.nixos.org/build/233208648 at 2023-09-02
- ip2proxy # failure in job https://hydra.nixos.org/build/233218808 at 2023-09-02
- - ipa # failure in job https://hydra.nixos.org/build/233242228 at 2023-09-02
+ - ipcvar # failure in job https://hydra.nixos.org/build/252724626 at 2024-03-16
- ipfs # failure in job https://hydra.nixos.org/build/233598054 at 2023-09-02
- ipopt-hs # failure in job https://hydra.nixos.org/build/233210102 at 2023-09-02
- ip-quoter # failure in job https://hydra.nixos.org/build/233234581 at 2023-09-02
@@ -2916,9 +3119,11 @@ broken-packages:
- IsNull # failure in job https://hydra.nixos.org/build/233233011 at 2023-09-02
- iso8601-duration # failure in job https://hydra.nixos.org/build/233190968 at 2023-09-02
- isobmff # failure in job https://hydra.nixos.org/build/233237273 at 2023-09-02
+ - iso-deriving # failure in job https://hydra.nixos.org/build/252738238 at 2024-03-16
- isotope # failure in job https://hydra.nixos.org/build/233204650 at 2023-09-02
- itcli # failure in job https://hydra.nixos.org/build/233201572 at 2023-09-02
- itemfield # failure in job https://hydra.nixos.org/build/233203571 at 2023-09-02
+ - iterable # failure in job https://hydra.nixos.org/build/252729530 at 2024-03-16
- iteratee # failure in job https://hydra.nixos.org/build/233241290 at 2023-09-02
- iterative-forward-search # failure in job https://hydra.nixos.org/build/233256255 at 2023-09-02
- iterIO # failure in job https://hydra.nixos.org/build/233199156 at 2023-09-02
@@ -2952,14 +3157,18 @@ broken-packages:
- jdi # failure in job https://hydra.nixos.org/build/233238540 at 2023-09-02
- jenga # failure in job https://hydra.nixos.org/build/233191848 at 2023-09-02
- jenkinsPlugins2nix # failure in job https://hydra.nixos.org/build/233259520 at 2023-09-02
+ - jet # failure in job https://hydra.nixos.org/build/252729255 at 2024-03-16
- jet-stream # failure in job https://hydra.nixos.org/build/233242983 at 2023-09-02
+ - j # failure in job https://hydra.nixos.org/build/252716408 at 2024-03-16
- Jikka # failure in job https://hydra.nixos.org/build/233204253 at 2023-09-02
- jinquantities # failure in job https://hydra.nixos.org/build/233205834 at 2023-09-02
+ - jl # failure in job https://hydra.nixos.org/build/252726423 at 2024-03-16
- jmacro-rpc # failure in job https://hydra.nixos.org/build/233236314 at 2023-09-02
- jml-web-service # failure in job https://hydra.nixos.org/build/233225466 at 2023-09-02
- jni # failure in job https://hydra.nixos.org/build/233201106 at 2023-09-02
- join-api # failure in job https://hydra.nixos.org/build/233195265 at 2023-09-02
- joinlist # failure in job https://hydra.nixos.org/build/233248471 at 2023-09-02
+ - joint # failure in job https://hydra.nixos.org/build/252724466 at 2024-03-16
- jonathanscard # failure in job https://hydra.nixos.org/build/233234239 at 2023-09-02
- jordan # failure in job https://hydra.nixos.org/build/233224727 at 2023-09-02
- jort # failure in job https://hydra.nixos.org/build/233195250 at 2023-09-02
@@ -2984,6 +3193,7 @@ broken-packages:
- json-fu # failure in job https://hydra.nixos.org/build/233221469 at 2023-09-02
- json-litobj # failure in job https://hydra.nixos.org/build/233234388 at 2023-09-02
- jsonlogic-aeson # failure in job https://hydra.nixos.org/build/233246828 at 2023-09-02
+ - jsonlogic # failure in job https://hydra.nixos.org/build/252726075 at 2024-03-16
- jsonnet # failure in job https://hydra.nixos.org/build/233254884 at 2023-09-02
- json-pointer # failure in job https://hydra.nixos.org/build/233229617 at 2023-09-02
- json-pointy # failure in job https://hydra.nixos.org/build/233255533 at 2023-09-02
@@ -2999,6 +3209,7 @@ broken-packages:
- json-spec # failure in job https://hydra.nixos.org/build/236684808 at 2023-10-04
- jsonsql # failure in job https://hydra.nixos.org/build/233255704 at 2023-09-02
- json-syntax # failure in job https://hydra.nixos.org/build/233250639 at 2023-09-02
+ - json-to-haskell # failure in job https://hydra.nixos.org/build/252711573 at 2024-03-16
- json-tools # failure in job https://hydra.nixos.org/build/233247019 at 2023-09-02
- json-tracer # failure in job https://hydra.nixos.org/build/233196632 at 2023-09-02
- jsontsv # failure in job https://hydra.nixos.org/build/233234129 at 2023-09-02
@@ -3037,6 +3248,7 @@ broken-packages:
- katip-syslog # failure in job https://hydra.nixos.org/build/233238787 at 2023-09-02
- katt # failure in job https://hydra.nixos.org/build/233241058 at 2023-09-02
- katydid # failure in job https://hydra.nixos.org/build/233227524 at 2023-09-02
+ - kawa # failure in job https://hydra.nixos.org/build/252728326 at 2024-03-16
- kawaii # failure in job https://hydra.nixos.org/build/233205134 at 2023-09-02
- Kawaii-Parser # failure in job https://hydra.nixos.org/build/233222574 at 2023-09-02
- kawhi # failure in job https://hydra.nixos.org/build/233193086 at 2023-09-02
@@ -3054,12 +3266,14 @@ broken-packages:
- kewar # failure in job https://hydra.nixos.org/build/233237574 at 2023-09-02
- keycloak-hs # failure in job https://hydra.nixos.org/build/233193019 at 2023-09-02
- keyed # failure in job https://hydra.nixos.org/build/233251186 at 2023-09-02
+ - key # failure in job https://hydra.nixos.org/build/252710595 at 2024-03-16
- khph # failure in job https://hydra.nixos.org/build/233258109 at 2023-09-02
- kickass-torrents-dump-parser # failure in job https://hydra.nixos.org/build/233210355 at 2023-09-02
- kickchan # failure in job https://hydra.nixos.org/build/233216091 at 2023-09-02
- kind-integer # failure in job https://hydra.nixos.org/build/233250066 at 2023-09-02
- kleene-list # failure in job https://hydra.nixos.org/build/233237651 at 2023-09-02
- kmn-programming # failure in job https://hydra.nixos.org/build/233258328 at 2023-09-02
+ - kmonad # failure in job https://hydra.nixos.org/build/252717089 at 2024-03-16
- kmp-dfa # failure in job https://hydra.nixos.org/build/233237266 at 2023-09-02
- knots # failure in job https://hydra.nixos.org/build/233209153 at 2023-09-02
- koellner-phonetic # failure in job https://hydra.nixos.org/build/233217750 at 2023-09-02
@@ -3067,9 +3281,11 @@ broken-packages:
- Konf # failure in job https://hydra.nixos.org/build/233244880 at 2023-09-02
- konnakol # failure in job https://hydra.nixos.org/build/233221792 at 2023-09-02
- kontra-config # failure in job https://hydra.nixos.org/build/233193800 at 2023-09-02
+ - kontrakcja-templates # failure in job https://hydra.nixos.org/build/252739334 at 2024-03-16
- koofr-client # failure in job https://hydra.nixos.org/build/233255749 at 2023-09-02
- korea-holidays # failure in job https://hydra.nixos.org/build/233222677 at 2023-09-02
- kraken # failure in job https://hydra.nixos.org/build/233202384 at 2023-09-02
+ - krank # failure in job https://hydra.nixos.org/build/252715958 at 2024-03-16
- krapsh # failure in job https://hydra.nixos.org/build/233219887 at 2023-09-02
- Kriens # failure in job https://hydra.nixos.org/build/233251673 at 2023-09-02
- krpc # failure in job https://hydra.nixos.org/build/233231587 at 2023-09-02
@@ -3097,6 +3313,7 @@ broken-packages:
- lambda-cube # failure in job https://hydra.nixos.org/build/233226812 at 2023-09-02
- lambdacube-ir # failure in job https://hydra.nixos.org/build/233210019 at 2023-09-02
- LambdaDesigner # failure in job https://hydra.nixos.org/build/233216338 at 2023-09-02
+ - lambda # failure in job https://hydra.nixos.org/build/252740018 at 2024-03-16
- Lambdajudge # failure in job https://hydra.nixos.org/build/233227016 at 2023-09-02
- LambdaNet # failure in job https://hydra.nixos.org/build/233197999 at 2023-09-02
- lambda-sampler # failure in job https://hydra.nixos.org/build/233205734 at 2023-09-02
@@ -3108,12 +3325,14 @@ broken-packages:
- lame # failure in job https://hydra.nixos.org/build/233250932 at 2023-09-02
- laminar # failure in job https://hydra.nixos.org/build/241426331 at 2023-11-19
- language-asn # failure in job https://hydra.nixos.org/build/233227929 at 2023-09-02
+ - language-bash # failure in job https://hydra.nixos.org/build/252732929 at 2024-03-16
- language-c-comments # failure in job https://hydra.nixos.org/build/233234112 at 2023-09-02
- language-c-inline # failure in job https://hydra.nixos.org/build/233245990 at 2023-09-02
- language-conf # failure in job https://hydra.nixos.org/build/233208178 at 2023-09-02
- language-csharp # failure in job https://hydra.nixos.org/build/233240911 at 2023-09-02
- language-dart # failure in job https://hydra.nixos.org/build/233220340 at 2023-09-02
- language-dockerfile # failure in job https://hydra.nixos.org/build/233197924 at 2023-09-02
+ - language-ecmascript # failure in job https://hydra.nixos.org/build/252712957 at 2024-03-16
- language-elm # failure in job https://hydra.nixos.org/build/233214012 at 2023-09-02
- language-fortran # failure in job https://hydra.nixos.org/build/233205480 at 2023-09-02
- language-gcl # failure in job https://hydra.nixos.org/build/233218957 at 2023-09-02
@@ -3135,6 +3354,7 @@ broken-packages:
- language-sh # failure in job https://hydra.nixos.org/build/233249709 at 2023-09-02
- language-sqlite # failure in job https://hydra.nixos.org/build/233248845 at 2023-09-02
- language-sygus # failure in job https://hydra.nixos.org/build/233192608 at 2023-09-02
+ - language-thrift # failure in job https://hydra.nixos.org/build/252721081 at 2024-03-16
- language-typescript # failure in job https://hydra.nixos.org/build/233247703 at 2023-09-02
- language-webidl # failure in job https://hydra.nixos.org/build/233194656 at 2023-09-02
- laop # failure in job https://hydra.nixos.org/build/233204106 at 2023-09-02
@@ -3147,6 +3367,7 @@ broken-packages:
- LATS # failure in job https://hydra.nixos.org/build/233248029 at 2023-09-02
- launchdarkly-server-sdk # failure in job https://hydra.nixos.org/build/233190791 at 2023-09-02
- launchpad-control # failure in job https://hydra.nixos.org/build/233219502 at 2023-09-02
+ - lawful-classes-types # failure in job https://hydra.nixos.org/build/252727845 at 2024-03-16
- lawless-concurrent-machines # failure in job https://hydra.nixos.org/build/233225327 at 2023-09-02
- layers # failure in job https://hydra.nixos.org/build/233196766 at 2023-09-02
- layout-bootstrap # failure in job https://hydra.nixos.org/build/233223699 at 2023-09-02
@@ -3154,7 +3375,9 @@ broken-packages:
- layout-rules # failure in job https://hydra.nixos.org/build/233250716 at 2023-09-02
- lazify # failure in job https://hydra.nixos.org/build/233203655 at 2023-09-02
- lazyarray # failure in job https://hydra.nixos.org/build/233192440 at 2023-09-02
+ - lazy-async # failure in job https://hydra.nixos.org/build/252730698 at 2024-03-16
- lazyboy # failure in job https://hydra.nixos.org/build/233201158 at 2023-09-02
+ - lazy-bracket # failure in job https://hydra.nixos.org/build/252727186 at 2024-03-16
- lazy-hash # failure in job https://hydra.nixos.org/build/233211405 at 2023-09-02
- lazy-priority-queue # failure in job https://hydra.nixos.org/build/233211457 at 2023-09-02
- lazyset # failure in job https://hydra.nixos.org/build/233248383 at 2023-09-02
@@ -3168,6 +3391,7 @@ broken-packages:
- learn # failure in job https://hydra.nixos.org/build/233247385 at 2023-09-02
- Learning # failure in job https://hydra.nixos.org/build/233237137 at 2023-09-02
- learn-physics-examples # failure in job https://hydra.nixos.org/build/234444204 at 2023-09-13
+ - leb128 # failure in job https://hydra.nixos.org/build/252731801 at 2024-03-16
- leetify # failure in job https://hydra.nixos.org/build/233200752 at 2023-09-02
- lendingclub # failure in job https://hydra.nixos.org/build/233239123 at 2023-09-02
- lens-datetime # failure in job https://hydra.nixos.org/build/233252409 at 2023-09-02
@@ -3178,6 +3402,7 @@ broken-packages:
- lens-process # failure in job https://hydra.nixos.org/build/233242948 at 2023-09-02
- lensref # failure in job https://hydra.nixos.org/build/233205479 at 2023-09-02
- lens-simple # failure in job https://hydra.nixos.org/build/233245452 at 2023-09-02
+ - lens-sop # failure in job https://hydra.nixos.org/build/252721754 at 2024-03-16
- lens-tell # failure in job https://hydra.nixos.org/build/233234619 at 2023-09-02
- lens-text-encoding # failure in job https://hydra.nixos.org/build/233222713 at 2023-09-02
- lens-th-rewrite # failure in job https://hydra.nixos.org/build/233201025 at 2023-09-02
@@ -3189,6 +3414,7 @@ broken-packages:
- lenz-template # failure in job https://hydra.nixos.org/build/233206822 at 2023-09-02
- less-arbitrary # failure in job https://hydra.nixos.org/build/233231412 at 2023-09-02
- Level0 # failure in job https://hydra.nixos.org/build/233220758 at 2023-09-02
+ - leveldb-haskell # failure in job https://hydra.nixos.org/build/252739424 at 2024-03-16
- level-monad # failure in job https://hydra.nixos.org/build/233257036 at 2023-09-02
- levmar # failure in job https://hydra.nixos.org/build/233254731 at 2023-09-02
- lfst # failure in job https://hydra.nixos.org/build/233240622 at 2023-09-02
@@ -3198,6 +3424,7 @@ broken-packages:
- LibClang # failure in job https://hydra.nixos.org/build/233194732 at 2023-09-02
- libexpect # failure in job https://hydra.nixos.org/build/233226545 at 2023-09-02
- libGenI # failure in job https://hydra.nixos.org/build/233240857 at 2023-09-02
+ - libgit # failure in job https://hydra.nixos.org/build/252729283 at 2024-03-16
- libhbb # failure in job https://hydra.nixos.org/build/233232186 at 2023-09-02
- libinfluxdb # failure in job https://hydra.nixos.org/build/233199457 at 2023-09-02
- libjenkins # failure in job https://hydra.nixos.org/build/233198788 at 2023-09-02
@@ -3220,6 +3447,7 @@ broken-packages:
- libxslt # failure in job https://hydra.nixos.org/build/233248464 at 2023-09-02
- libyaml-streamly # failure in job https://hydra.nixos.org/build/233203611 at 2023-09-02
- libzfs # failure in job https://hydra.nixos.org/build/233662945 at 2023-09-02
+ - LibZip # failure in job https://hydra.nixos.org/build/252734735 at 2024-03-16
- licensor # failure in job https://hydra.nixos.org/build/233248660 at 2023-09-02
- lie # failure in job https://hydra.nixos.org/build/233228953 at 2023-09-02
- life-sync # failure in job https://hydra.nixos.org/build/233241402 at 2023-09-02
@@ -3256,10 +3484,12 @@ broken-packages:
- linux-blkid # failure in job https://hydra.nixos.org/build/233220151 at 2023-09-02
- linux-cgroup # failure in job https://hydra.nixos.org/build/233224448 at 2023-09-02
- linux-evdev # failure in job https://hydra.nixos.org/build/233259196 at 2023-09-02
+ - linux-framebuffer # failure in job https://hydra.nixos.org/build/252721503 at 2024-03-16
- linux-kmod # failure in job https://hydra.nixos.org/build/233205933 at 2023-09-02
- linux-perf # failure in job https://hydra.nixos.org/build/233193731 at 2023-09-02
- linux-xattr # failure in job https://hydra.nixos.org/build/233226493 at 2023-09-02
- linx-gateway # failure in job https://hydra.nixos.org/build/233220744 at 2023-09-02
+ - lio-fs # failure in job https://hydra.nixos.org/build/252736678 at 2024-03-16
- lio-simple # failure in job https://hydra.nixos.org/build/233200711 at 2023-09-02
- lipsum-gen # failure in job https://hydra.nixos.org/build/233233734 at 2023-09-02
- liquid # failure in job https://hydra.nixos.org/build/233255883 at 2023-09-02
@@ -3271,6 +3501,7 @@ broken-packages:
- list-mux # failure in job https://hydra.nixos.org/build/233206407 at 2023-09-02
- list-prompt # failure in job https://hydra.nixos.org/build/233235855 at 2023-09-02
- list-shuffle # failure in job https://hydra.nixos.org/build/241416196 at 2023-11-19
+ - list-singleton # failure in job https://hydra.nixos.org/build/252723010 at 2024-03-16
- list-t-http-client # failure in job https://hydra.nixos.org/build/233239262 at 2023-09-02
- list-t-libcurl # failure in job https://hydra.nixos.org/build/233237389 at 2023-09-02
- list-tries # failure in job https://hydra.nixos.org/build/233250041 at 2023-09-02
@@ -3285,6 +3516,7 @@ broken-packages:
- llvm-base # failure in job https://hydra.nixos.org/build/233244366 at 2023-09-02
- llvm-general-pure # failure in job https://hydra.nixos.org/build/233246430 at 2023-09-02
- llvm-hs # failure in job https://hydra.nixos.org/build/233205149 at 2023-09-02
+ - llvm-hs-pure # failure in job https://hydra.nixos.org/build/252721738 at 2024-03-16
- llvm-ht # failure in job https://hydra.nixos.org/build/233203770 at 2023-09-02
- llvm-party # failure in job https://hydra.nixos.org/build/233221113 at 2023-09-02
- llvm-pretty # failure in job https://hydra.nixos.org/build/233206445 at 2023-09-02
@@ -3308,6 +3540,7 @@ broken-packages:
- logging-effect-extra-handler # failure in job https://hydra.nixos.org/build/233232054 at 2023-09-02
- Logic # failure in job https://hydra.nixos.org/build/233206217 at 2023-09-02
- logicst # failure in job https://hydra.nixos.org/build/233250253 at 2023-09-02
+ - logic-TPTP # failure in job https://hydra.nixos.org/build/252729601 at 2024-03-16
- logict-sequence # failure in job https://hydra.nixos.org/build/233224789 at 2023-09-02
- logplex-parse # failure in job https://hydra.nixos.org/build/233248727 at 2023-09-02
- log-warper # failure in job https://hydra.nixos.org/build/233220417 at 2023-09-02
@@ -3337,6 +3570,7 @@ broken-packages:
- lsfrom # failure in job https://hydra.nixos.org/build/233211705 at 2023-09-02
- lsh # failure in job https://hydra.nixos.org/build/233256686 at 2023-09-02
- lsp-client # failure in job https://hydra.nixos.org/build/233219871 at 2023-09-02
+ - lti13 # failure in job https://hydra.nixos.org/build/252715722 at 2024-03-16
- ltiv1p1 # failure in job https://hydra.nixos.org/build/233200883 at 2023-09-02
- ltk # failure in job https://hydra.nixos.org/build/233244152 at 2023-09-02
- LTS # failure in job https://hydra.nixos.org/build/233206427 at 2023-09-02
@@ -3346,6 +3580,7 @@ broken-packages:
- lucid-alpine # failure in job https://hydra.nixos.org/build/233199500 at 2023-09-02
- lucid-aria # failure in job https://hydra.nixos.org/build/233231489 at 2023-09-02
- lucid-hyperscript # failure in job https://hydra.nixos.org/build/233191616 at 2023-09-02
+ - lucid-svg # failure in job https://hydra.nixos.org/build/252722737 at 2024-03-16
- luhn # failure in job https://hydra.nixos.org/build/233237661 at 2023-09-02
- luis-client # failure in job https://hydra.nixos.org/build/233244540 at 2023-09-02
- luka # failure in job https://hydra.nixos.org/build/233235403 at 2023-09-02
@@ -3452,6 +3687,7 @@ broken-packages:
- meep # failure in job https://hydra.nixos.org/build/233193144 at 2023-09-02
- megalisp # failure in job https://hydra.nixos.org/build/233234992 at 2023-09-02
- megastore # failure in job https://hydra.nixos.org/build/233222876 at 2023-09-02
+ - melf # failure in job https://hydra.nixos.org/build/252723248 at 2024-03-16
- mellon-core # failure in job https://hydra.nixos.org/build/233221666 at 2023-09-02
- melody # failure in job https://hydra.nixos.org/build/233223241 at 2023-09-02
- membrain # failure in job https://hydra.nixos.org/build/233248480 at 2023-09-02
@@ -3480,6 +3716,7 @@ broken-packages:
- method # failure in job https://hydra.nixos.org/build/233230721 at 2023-09-02
- metric # failure in job https://hydra.nixos.org/build/233224295 at 2023-09-02
- metricsd-client # failure in job https://hydra.nixos.org/build/233217951 at 2023-09-02
+ - metro-transport-tls # failure in job https://hydra.nixos.org/build/252717619 at 2024-03-16
- mezzo # failure in job https://hydra.nixos.org/build/233223341 at 2023-09-02
- mezzolens # failure in job https://hydra.nixos.org/build/233248307 at 2023-09-02
- mgeneric # failure in job https://hydra.nixos.org/build/233197809 at 2023-09-02
@@ -3490,6 +3727,7 @@ broken-packages:
- microgroove # failure in job https://hydra.nixos.org/build/233196933 at 2023-09-02
- microlens-each # failure in job https://hydra.nixos.org/build/233253621 at 2023-09-02
- microlens-process # failure in job https://hydra.nixos.org/build/233190805 at 2023-09-02
+ - microlens-pro # failure in job https://hydra.nixos.org/build/252733422 at 2024-03-16
- micrologger # failure in job https://hydra.nixos.org/build/233196212 at 2023-09-02
- micro-recursion-schemes # failure in job https://hydra.nixos.org/build/233214118 at 2023-09-02
- microsoft-translator # failure in job https://hydra.nixos.org/build/233235928 at 2023-09-02
@@ -3505,7 +3743,9 @@ broken-packages:
- miku # failure in job https://hydra.nixos.org/build/233212186 at 2023-09-02
- milena # failure in job https://hydra.nixos.org/build/233257533 at 2023-09-02
- mime-directory # failure in job https://hydra.nixos.org/build/233209691 at 2023-09-02
+ - mines # failure in job https://hydra.nixos.org/build/252722834 at 2024-03-16
- MiniAgda # failure in job https://hydra.nixos.org/build/233259586 at 2023-09-02
+ - minicurl # failure in job https://hydra.nixos.org/build/252710787 at 2024-03-16
- miniforth # failure in job https://hydra.nixos.org/build/233220853 at 2023-09-02
- minilens # failure in job https://hydra.nixos.org/build/233191347 at 2023-09-02
- minions # failure in job https://hydra.nixos.org/build/233246840 at 2023-09-02
@@ -3536,6 +3776,7 @@ broken-packages:
- Mobile-Legends-Hack-Cheats # failure in job https://hydra.nixos.org/build/233194849 at 2023-09-02
- mockazo # failure in job https://hydra.nixos.org/build/233234923 at 2023-09-02
- mock-httpd # failure in job https://hydra.nixos.org/build/233191481 at 2023-09-02
+ - mock-time # failure in job https://hydra.nixos.org/build/252737870 at 2024-03-16
- modbus-tcp # failure in job https://hydra.nixos.org/build/233230661 at 2023-09-02
- model # failure in job https://hydra.nixos.org/build/233211330 at 2023-09-02
- modelicaparser # failure in job https://hydra.nixos.org/build/233207575 at 2023-09-02
@@ -3551,20 +3792,27 @@ broken-packages:
- monadacme # failure in job https://hydra.nixos.org/build/233218330 at 2023-09-02
- monad-atom # failure in job https://hydra.nixos.org/build/233243367 at 2023-09-02
- monad-atom-simple # failure in job https://hydra.nixos.org/build/233259038 at 2023-09-02
+ - monad-bayes # failure in job https://hydra.nixos.org/build/252725686 at 2024-03-16
- monad-branch # failure in job https://hydra.nixos.org/build/233251253 at 2023-09-02
- MonadCatchIO-mtl # failure in job https://hydra.nixos.org/build/233228214 at 2023-09-02
+ - MonadCatchIO-transformers # failure in job https://hydra.nixos.org/build/252729237 at 2024-03-16
- MonadCatchIO-transformers-foreign # failure in job https://hydra.nixos.org/build/233251635 at 2023-09-02
- monad-choice # failure in job https://hydra.nixos.org/build/233255987 at 2023-09-02
- MonadCompose # failure in job https://hydra.nixos.org/build/233204478 at 2023-09-02
- monad-control-aligned # failure in job https://hydra.nixos.org/build/233240714 at 2023-09-02
- monadcryptorandom # failure in job https://hydra.nixos.org/build/233235604 at 2023-09-02
- monad-fork # failure in job https://hydra.nixos.org/build/233206855 at 2023-09-02
+ - monad-gen # failure in job https://hydra.nixos.org/build/252730194 at 2024-03-16
+ - monadic-arrays # failure in job https://hydra.nixos.org/build/252726939 at 2024-03-16
- monadiccp # failure in job https://hydra.nixos.org/build/233191794 at 2023-09-02
- monad-introspect # failure in job https://hydra.nixos.org/build/233248261 at 2023-09-02
+ - monadio-unwrappable # failure in job https://hydra.nixos.org/build/252736153 at 2024-03-16
- Monadius # failure in job https://hydra.nixos.org/build/234456746 at 2023-09-13
+ - monad-journal # failure in job https://hydra.nixos.org/build/252714543 at 2024-03-16
- monad-levels # failure in job https://hydra.nixos.org/build/233230433 at 2023-09-02
- monad-lgbt # failure in job https://hydra.nixos.org/build/233207652 at 2023-09-02
- monadLib-compose # failure in job https://hydra.nixos.org/build/233237652 at 2023-09-02
+ - monadloc # failure in job https://hydra.nixos.org/build/252715531 at 2024-03-16
- monadloc-pp # failure in job https://hydra.nixos.org/build/233229067 at 2023-09-02
- monadlog # failure in job https://hydra.nixos.org/build/233210391 at 2023-09-02
- monad-log # failure in job https://hydra.nixos.org/build/233235588 at 2023-09-02
@@ -3577,18 +3825,24 @@ broken-packages:
- monad-open # failure in job https://hydra.nixos.org/build/233242922 at 2023-09-02
- monad-parallel-progressbar # failure in job https://hydra.nixos.org/build/233240035 at 2023-09-02
- monad-param # failure in job https://hydra.nixos.org/build/233257283 at 2023-09-02
+ - monad-peel # failure in job https://hydra.nixos.org/build/252723606 at 2024-03-16
- monad-persist # failure in job https://hydra.nixos.org/build/233214035 at 2023-09-02
+ - monad-primitive # failure in job https://hydra.nixos.org/build/252713027 at 2024-03-16
+ - monad-products # failure in job https://hydra.nixos.org/build/252738477 at 2024-03-16
- MonadRandomLazy # failure in job https://hydra.nixos.org/build/233247540 at 2023-09-02
- monad-ran # failure in job https://hydra.nixos.org/build/233251596 at 2023-09-02
- monad-recorder # failure in job https://hydra.nixos.org/build/233222665 at 2023-09-02
+ - monads-fd # failure in job https://hydra.nixos.org/build/252731103 at 2024-03-16
- monad-skeleton # failure in job https://hydra.nixos.org/build/233234306 at 2023-09-02
- MonadStack # failure in job https://hydra.nixos.org/build/233239920 at 2023-09-02
- monad-statevar # failure in job https://hydra.nixos.org/build/233215896 at 2023-09-02
- monad-ste # failure in job https://hydra.nixos.org/build/233226514 at 2023-09-02
- monad-stlike-io # failure in job https://hydra.nixos.org/build/233254724 at 2023-09-02
+ - monad-supply # failure in job https://hydra.nixos.org/build/252721130 at 2024-03-16
- monad-task # failure in job https://hydra.nixos.org/build/233199661 at 2023-09-02
- monad-throw-exit # failure in job https://hydra.nixos.org/build/233205411 at 2023-09-02
- monad-timing # failure in job https://hydra.nixos.org/build/233213441 at 2023-09-02
+ - monadtransform # failure in job https://hydra.nixos.org/build/252727336 at 2024-03-16
- monad-tree # failure in job https://hydra.nixos.org/build/233259264 at 2023-09-02
- monad-tx # failure in job https://hydra.nixos.org/build/233232176 at 2023-09-02
- monad-unify # failure in job https://hydra.nixos.org/build/233202833 at 2023-09-02
@@ -3607,6 +3861,7 @@ broken-packages:
- monoid-owns # failure in job https://hydra.nixos.org/build/233259043 at 2023-09-02
- monoidplus # failure in job https://hydra.nixos.org/build/233226759 at 2023-09-02
- monoids # failure in job https://hydra.nixos.org/build/233231684 at 2023-09-02
+ - monomer-hagrid # failure in job https://hydra.nixos.org/build/252729072 at 2024-03-16
- monopati # failure in job https://hydra.nixos.org/build/233234119 at 2023-09-02
- monus # failure in job https://hydra.nixos.org/build/233252424 at 2023-09-02
- monus-weighted-search # timeout
@@ -3614,6 +3869,7 @@ broken-packages:
- morfette # failure in job https://hydra.nixos.org/build/233249575 at 2023-09-02
- morfeusz # failure in job https://hydra.nixos.org/build/233232351 at 2023-09-02
- morpheus-graphql-cli # failure in job https://hydra.nixos.org/build/233249063 at 2023-09-02
+ - morpheus-graphql-code-gen # failure in job https://hydra.nixos.org/build/252733361 at 2024-03-16
- morphisms-functors # failure in job https://hydra.nixos.org/build/233255311 at 2023-09-02
- morphisms-objects # failure in job https://hydra.nixos.org/build/233216076 at 2023-09-02
- morte # failure in job https://hydra.nixos.org/build/233212193 at 2023-09-02
@@ -3642,8 +3898,11 @@ broken-packages:
- mtl-evil-instances # failure in job https://hydra.nixos.org/build/233239976 at 2023-09-02
- mtl-extras # failure in job https://hydra.nixos.org/build/233199852 at 2023-09-02
- mtl-tf # failure in job https://hydra.nixos.org/build/233254943 at 2023-09-02
+ - mtl-unleashed # failure in job https://hydra.nixos.org/build/252714086 at 2024-03-16
+ - mtl-uplift # failure in job https://hydra.nixos.org/build/252739478 at 2024-03-16
- mtlx # failure in job https://hydra.nixos.org/build/233221631 at 2023-09-02
- mtp # failure in job https://hydra.nixos.org/build/233212895 at 2023-09-02
+ - mtree # failure in job https://hydra.nixos.org/build/252722593 at 2024-03-16
- mtsl # failure in job https://hydra.nixos.org/build/233207653 at 2023-09-02
- MuCheck # failure in job https://hydra.nixos.org/build/233254207 at 2023-09-02
- mudbath # failure in job https://hydra.nixos.org/build/233198648 at 2023-09-02
@@ -3652,11 +3911,13 @@ broken-packages:
- multext-east-msd # failure in job https://hydra.nixos.org/build/233191007 at 2023-09-02
- multiaddr # failure in job https://hydra.nixos.org/build/233223452 at 2023-09-02
- multiarg # failure in job https://hydra.nixos.org/build/233238633 at 2023-09-02
+ - multicurryable # failure in job https://hydra.nixos.org/build/252731222 at 2024-03-16
- multihash # failure in job https://hydra.nixos.org/build/233203263 at 2023-09-02
- multi-instance # failure in job https://hydra.nixos.org/build/233203186 at 2023-09-02
- multilinear # failure in job https://hydra.nixos.org/build/233260046 at 2023-09-02
- multipass # failure in job https://hydra.nixos.org/build/233252587 at 2023-09-02
- multipath # failure in job https://hydra.nixos.org/build/233248692 at 2023-09-02
+ - multiplate # failure in job https://hydra.nixos.org/build/252739560 at 2024-03-16
- multiplate-simplified # failure in job https://hydra.nixos.org/build/233241999 at 2023-09-02
- multipool # failure in job https://hydra.nixos.org/build/233234384 at 2023-09-02
- multirec # failure in job https://hydra.nixos.org/build/233242079 at 2023-09-02
@@ -3671,6 +3932,7 @@ broken-packages:
- mustache2hs # failure in job https://hydra.nixos.org/build/233229095 at 2023-09-02
- mustache-haskell # failure in job https://hydra.nixos.org/build/233235667 at 2023-09-02
- mutable # failure in job https://hydra.nixos.org/build/233204639 at 2023-09-02
+ - mvar-lock # failure in job https://hydra.nixos.org/build/252735681 at 2024-03-16
- mvc # failure in job https://hydra.nixos.org/build/233205036 at 2023-09-02
- mxnet # failure in job https://hydra.nixos.org/build/233212365 at 2023-09-02
- mxnet-nnvm # failure in job https://hydra.nixos.org/build/233236073 at 2023-09-02
@@ -3678,6 +3940,7 @@ broken-packages:
- myo # failure in job https://hydra.nixos.org/build/233251998 at 2023-09-02
- my-package-testing # failure in job https://hydra.nixos.org/build/233201843 at 2023-09-02
- MyPrimes # failure in job https://hydra.nixos.org/build/233247934 at 2023-09-02
+ - mysnapsession # failure in job https://hydra.nixos.org/build/252732102 at 2024-03-16
- mysql-effect # failure in job https://hydra.nixos.org/build/233248718 at 2023-09-02
- mysql-haskell # failure in job https://hydra.nixos.org/build/233195978 at 2023-09-02
- mysql-pure # failure in job https://hydra.nixos.org/build/233250825 at 2023-09-02
@@ -3689,6 +3952,7 @@ broken-packages:
- n2o-protocols # failure in job https://hydra.nixos.org/build/233233132 at 2023-09-02
- nagios-plugin-ekg # failure in job https://hydra.nixos.org/build/233203188 at 2023-09-02
- named-binary-tag # failure in job https://hydra.nixos.org/build/233225138 at 2023-09-02
+ - named-formlet # failure in job https://hydra.nixos.org/build/252711265 at 2024-03-16
- named-lock # failure in job https://hydra.nixos.org/build/233247307 at 2023-09-02
- named-servant # failure in job https://hydra.nixos.org/build/233205979 at 2023-09-02
- named-sop # failure in job https://hydra.nixos.org/build/233233523 at 2023-09-02
@@ -3710,6 +3974,7 @@ broken-packages:
- naqsha # failure in job https://hydra.nixos.org/build/233256844 at 2023-09-02
- narc # failure in job https://hydra.nixos.org/build/233215853 at 2023-09-02
- nationstates # failure in job https://hydra.nixos.org/build/233243640 at 2023-09-02
+ - nat-optics # failure in job https://hydra.nixos.org/build/252729307 at 2024-03-16
- nats-client # failure in job https://hydra.nixos.org/build/233241313 at 2023-09-02
- nat-sized-numbers # failure in job https://hydra.nixos.org/build/233244238 at 2023-09-02
- natural # failure in job https://hydra.nixos.org/build/233232490 at 2023-09-02
@@ -3764,6 +4029,7 @@ broken-packages:
- network-simple-sockaddr # failure in job https://hydra.nixos.org/build/233204221 at 2023-09-02
- network-socket-options # failure in job https://hydra.nixos.org/build/233252466 at 2023-09-02
- network-transport-amqp # failure in job https://hydra.nixos.org/build/233224582 at 2023-09-02
+ - network-transport-tests # failure in job https://hydra.nixos.org/build/252719526 at 2024-03-16
- network-voicetext # failure in job https://hydra.nixos.org/build/233204992 at 2023-09-02
- network-wai-router # failure in job https://hydra.nixos.org/build/233219167 at 2023-09-02
- neural-network-blashs # failure in job https://hydra.nixos.org/build/233244174 at 2023-09-02
@@ -3809,6 +4075,7 @@ broken-packages:
- non-empty-containers # failure in job https://hydra.nixos.org/build/233201102 at 2023-09-02
- NonEmpty # failure in job https://hydra.nixos.org/build/233244009 at 2023-09-02
- nonempty-lift # failure in job https://hydra.nixos.org/build/233237065 at 2023-09-02
+ - nonemptymap # failure in job https://hydra.nixos.org/build/252711949 at 2024-03-16
- non-empty-zipper # failure in job https://hydra.nixos.org/build/233244341 at 2023-09-02
- nonlinear-optimization # failure in job https://hydra.nixos.org/build/233204674 at 2023-09-02
- noodle # failure in job https://hydra.nixos.org/build/233195125 at 2023-09-02
@@ -3822,6 +4089,7 @@ broken-packages:
- np-linear # failure in job https://hydra.nixos.org/build/233257696 at 2023-09-02
- nptools # failure in job https://hydra.nixos.org/build/233234905 at 2023-09-02
- nqe # failure in job https://hydra.nixos.org/build/243814217 at 2024-01-01
+ - ntha # failure in job https://hydra.nixos.org/build/252730602 at 2024-03-16
- ntp-control # failure in job https://hydra.nixos.org/build/233231061 at 2023-09-02
- ntrip-client # failure in job https://hydra.nixos.org/build/233230605 at 2023-09-02
- n-tuple # failure in job https://hydra.nixos.org/build/233225021 at 2023-09-02
@@ -3832,6 +4100,8 @@ broken-packages:
- NumberSieves # failure in job https://hydra.nixos.org/build/233255007 at 2023-09-02
- NumberTheory # failure in job https://hydra.nixos.org/build/233215395 at 2023-09-02
- number-wall # failure in job https://hydra.nixos.org/build/233197764 at 2023-09-02
+ - numeric-logarithms # failure in job https://hydra.nixos.org/build/252727978 at 2024-03-16
+ - numeric-optimization-backprop # failure in job https://hydra.nixos.org/build/252733863 at 2024-03-16
- numeric-qq # failure in job https://hydra.nixos.org/build/233207127 at 2023-09-02
- numeric-ranges # failure in job https://hydra.nixos.org/build/233191878 at 2023-09-02
- numhask-free # failure in job https://hydra.nixos.org/build/233214800 at 2023-09-02
@@ -3880,10 +4150,12 @@ broken-packages:
- onama # failure in job https://hydra.nixos.org/build/233241430 at 2023-09-02
- ONC-RPC # failure in job https://hydra.nixos.org/build/233225207 at 2023-09-02
- on-demand-ssh-tunnel # failure in job https://hydra.nixos.org/build/233197181 at 2023-09-02
+ - one-line-aeson-text # failure in job https://hydra.nixos.org/build/252732148 at 2024-03-16
- oneormore # failure in job https://hydra.nixos.org/build/233242475 at 2023-09-02
- online # failure in job https://hydra.nixos.org/build/233195360 at 2023-09-02
- onpartitions # failure in job https://hydra.nixos.org/build/233226163 at 2023-09-02
- onu-course # failure in job https://hydra.nixos.org/build/233233153 at 2023-09-02
+ - oops # failure in job https://hydra.nixos.org/build/252738443 at 2024-03-16
- opaleye-classy # failure in job https://hydra.nixos.org/build/233214120 at 2023-09-02
- opaleye-sqlite # failure in job https://hydra.nixos.org/build/233191474 at 2023-09-02
- opaleye-trans # failure in job https://hydra.nixos.org/build/233210536 at 2023-09-02
@@ -3891,7 +4163,6 @@ broken-packages:
- OpenAFP # failure in job https://hydra.nixos.org/build/233249295 at 2023-09-02
- openai-hs # failure in job https://hydra.nixos.org/build/233598196 at 2023-09-02
- openapi3-code-generator # failure in job https://hydra.nixos.org/build/233255628 at 2023-09-02
- - openapi3 # failure in job https://hydra.nixos.org/build/233208815 at 2023-09-02
- openapi-petstore # failure in job https://hydra.nixos.org/build/233221722 at 2023-09-02
- openapi-typed # failure in job https://hydra.nixos.org/build/233226830 at 2023-09-02
- opencascade-hs # failure in job https://hydra.nixos.org/build/243821447 at 2024-01-01
@@ -3901,6 +4172,7 @@ broken-packages:
- OpenCLRaw # failure in job https://hydra.nixos.org/build/233247133 at 2023-09-02
- OpenCLWrappers # failure in job https://hydra.nixos.org/build/233222391 at 2023-09-02
- opencog-atomspace # failure in job https://hydra.nixos.org/build/233237785 at 2023-09-02
+ - opencv # failure in job https://hydra.nixos.org/build/252717564 at 2024-03-16
- opencv-raw # failure in job https://hydra.nixos.org/build/233211286 at 2023-09-02
- opendatatable # failure in job https://hydra.nixos.org/build/233254960 at 2023-09-02
- openexchangerates # failure in job https://hydra.nixos.org/build/233203238 at 2023-09-02
@@ -3922,6 +4194,7 @@ broken-packages:
- OpenVGRaw # failure in job https://hydra.nixos.org/build/233254457 at 2023-09-02
- Operads # failure in job https://hydra.nixos.org/build/233233055 at 2023-09-02
- operate-do # failure in job https://hydra.nixos.org/build/233257129 at 2023-09-02
+ - operational-class # failure in job https://hydra.nixos.org/build/252715658 at 2024-03-16
- operational-extra # failure in job https://hydra.nixos.org/build/233232454 at 2023-09-02
- op # failure in job https://hydra.nixos.org/build/233201812 at 2023-09-02
- oplang # failure in job https://hydra.nixos.org/build/233208221 at 2023-09-02
@@ -3930,7 +4203,9 @@ broken-packages:
- optimization # failure in job https://hydra.nixos.org/build/233191078 at 2023-09-02
- optional # failure in job https://hydra.nixos.org/build/233241818 at 2023-09-02
- options-time # failure in job https://hydra.nixos.org/build/233194289 at 2023-09-02
+ - optparse-applicative-cmdline-util # failure in job https://hydra.nixos.org/build/252739738 at 2024-03-16
- optparse-applicative-simple # failure in job https://hydra.nixos.org/build/233236802 at 2023-09-02
+ - optparse-declarative # failure in job https://hydra.nixos.org/build/252718969 at 2024-03-16
- optparse-helper # failure in job https://hydra.nixos.org/build/233248522 at 2023-09-02
- optstream # failure in job https://hydra.nixos.org/build/233200326 at 2023-09-02
- orc # failure in job https://hydra.nixos.org/build/233216448 at 2023-09-02
@@ -3949,6 +4224,7 @@ broken-packages:
- orion-hs # failure in job https://hydra.nixos.org/build/233210586 at 2023-09-02
- orizentic # failure in job https://hydra.nixos.org/build/233197904 at 2023-09-02
- OrPatterns # failure in job https://hydra.nixos.org/build/233191538 at 2023-09-02
+ - orthotope-hmatrix # failure in job https://hydra.nixos.org/build/252726654 at 2024-03-16
- orville-postgresql # failure in job https://hydra.nixos.org/build/241433010 at 2023-11-19
- ory-hydra-client # failure in job https://hydra.nixos.org/build/233197456 at 2023-09-02
- ory-kratos # failure in job https://hydra.nixos.org/build/233191249 at 2023-09-02
@@ -3968,7 +4244,6 @@ broken-packages:
- overture # failure in job https://hydra.nixos.org/build/233245959 at 2023-09-02
- owoify-hs # failure in job https://hydra.nixos.org/build/233213422 at 2023-09-02
- package-description-remote # failure in job https://hydra.nixos.org/build/233221358 at 2023-09-02
- - package-version # failure in job https://hydra.nixos.org/build/233191665 at 2023-09-02
- package-vt # failure in job https://hydra.nixos.org/build/233225831 at 2023-09-02
- packdeps # failure in job https://hydra.nixos.org/build/233216607 at 2023-09-02
- packed-dawg # failure in job https://hydra.nixos.org/build/233207332 at 2023-09-02
@@ -3991,19 +4266,22 @@ broken-packages:
- pandoc-citeproc # failure in job https://hydra.nixos.org/build/233198462 at 2023-09-02
- pandoc-columns # failure in job https://hydra.nixos.org/build/233234538 at 2023-09-02
- pandoc-csv2table # failure in job https://hydra.nixos.org/build/233229925 at 2023-09-02
+ - pandoc-emphasize-code # failure in job https://hydra.nixos.org/build/252733347 at 2024-03-16
- pandoc-filter-graphviz # failure in job https://hydra.nixos.org/build/233233372 at 2023-09-02
- pandoc-filter-indent # failure in job https://hydra.nixos.org/build/233235439 at 2023-09-02
+ - pandoc-include-code # failure in job https://hydra.nixos.org/build/252710408 at 2024-03-16
- pandoc-include # failure in job https://hydra.nixos.org/build/233199152 at 2023-09-02
- pandoc-include-plus # failure in job https://hydra.nixos.org/build/233198059 at 2023-09-02
- pandoc-lens # failure in job https://hydra.nixos.org/build/233251239 at 2023-09-02
- pandoc-linear-table # failure in job https://hydra.nixos.org/build/233254813 at 2023-09-02
- - pandoc-link-context # failure in job https://hydra.nixos.org/build/233254006 at 2023-09-02
- pandoc-logic-proof # failure in job https://hydra.nixos.org/build/233223409 at 2023-09-02
- pandoc-markdown-ghci-filter # failure in job https://hydra.nixos.org/build/233213731 at 2023-09-02
- pandoc-placetable # failure in job https://hydra.nixos.org/build/233243163 at 2023-09-02
- pandoc-plantuml-diagrams # failure in job https://hydra.nixos.org/build/233221778 at 2023-09-02
- pandoc-pyplot # failure in job https://hydra.nixos.org/build/233248205 at 2023-09-02
+ - pandoc-query # failure in job https://hydra.nixos.org/build/252730669 at 2024-03-16
- pandoc-select-code # failure in job https://hydra.nixos.org/build/233238415 at 2023-09-02
+ - pandoc-symreg # failure in job https://hydra.nixos.org/build/252726624 at 2024-03-16
- pandoc-unlit # failure in job https://hydra.nixos.org/build/233219811 at 2023-09-02
- pandoc-utils # failure in job https://hydra.nixos.org/build/233203436 at 2023-09-02
- pandora # failure in job https://hydra.nixos.org/build/233211349 at 2023-09-02
@@ -4022,6 +4300,7 @@ broken-packages:
- papa-prelude-lens # failure in job https://hydra.nixos.org/build/233213147 at 2023-09-02
- papa-prelude-semigroupoids # failure in job https://hydra.nixos.org/build/233250360 at 2023-09-02
- papa-prelude-semigroups # failure in job https://hydra.nixos.org/build/233199275 at 2023-09-02
+ - papa-semigroupoids-export # failure in job https://hydra.nixos.org/build/252729218 at 2024-03-16
- papa-semigroupoids-implement # failure in job https://hydra.nixos.org/build/233234835 at 2023-09-02
- paphragen # failure in job https://hydra.nixos.org/build/233206378 at 2023-09-02
- papillon # failure in job https://hydra.nixos.org/build/233195439 at 2023-09-02
@@ -4040,6 +4319,8 @@ broken-packages:
- Parry # failure in job https://hydra.nixos.org/build/233230444 at 2023-09-02
- parsec2 # failure in job https://hydra.nixos.org/build/233225643 at 2023-09-02
- parsec3 # failure in job https://hydra.nixos.org/build/233221004 at 2023-09-02
+ - parsec-extra # failure in job https://hydra.nixos.org/build/252728153 at 2024-03-16
+ - parsec-free # failure in job https://hydra.nixos.org/build/252735408 at 2024-03-16
- parsec-parsers # failure in job https://hydra.nixos.org/build/233219781 at 2023-09-02
- parsec-pratt # failure in job https://hydra.nixos.org/build/233194863 at 2023-09-02
- parseerror-eq # failure in job https://hydra.nixos.org/build/233250555 at 2023-09-02
@@ -4058,6 +4339,7 @@ broken-packages:
- parsnip # failure in job https://hydra.nixos.org/build/233229093 at 2023-09-02
- partial-lens # failure in job https://hydra.nixos.org/build/233234761 at 2023-09-02
- partial-records # failure in job https://hydra.nixos.org/build/233205143 at 2023-09-02
+ - partial-semigroup-hedgehog # failure in job https://hydra.nixos.org/build/252731350 at 2024-03-16
- partly # failure in job https://hydra.nixos.org/build/233229003 at 2023-09-02
- passage # failure in job https://hydra.nixos.org/build/233192945 at 2023-09-02
- passman-core # failure in job https://hydra.nixos.org/build/233217997 at 2023-09-02
@@ -4071,9 +4353,11 @@ broken-packages:
- patches-vector # failure in job https://hydra.nixos.org/build/233244862 at 2023-09-02
- Pathfinder # failure in job https://hydra.nixos.org/build/233236573 at 2023-09-02
- path-formatting # failure in job https://hydra.nixos.org/build/233199358 at 2023-09-02
+ - paths # failure in job https://hydra.nixos.org/build/252731256 at 2024-03-16
- path-sing # failure in job https://hydra.nixos.org/build/237234354 at 2023-10-21
- PathTree # failure in job https://hydra.nixos.org/build/233216203 at 2023-09-02
- patronscraper # failure in job https://hydra.nixos.org/build/233258571 at 2023-09-02
+ - pattern-arrows # failure in job https://hydra.nixos.org/build/252718352 at 2024-03-16
- pattern-trie # failure in job https://hydra.nixos.org/build/233237252 at 2023-09-02
- paynow-zw # failure in job https://hydra.nixos.org/build/233221916 at 2023-09-02
- paypal-adaptive-hoops # failure in job https://hydra.nixos.org/build/233244557 at 2023-09-02
@@ -4114,14 +4398,17 @@ broken-packages:
- Persistence # failure in job https://hydra.nixos.org/build/233226467 at 2023-09-02
- persistent-cereal # failure in job https://hydra.nixos.org/build/233240762 at 2023-09-02
- persistent-database-url # failure in job https://hydra.nixos.org/build/233233601 at 2023-09-02
+ - persistent-documentation # failure in job https://hydra.nixos.org/build/252727244 at 2024-03-16
- persistent-equivalence # failure in job https://hydra.nixos.org/build/233208713 at 2023-09-02
- persistent-generic # failure in job https://hydra.nixos.org/build/233220060 at 2023-09-02
- persistent-mongoDB # failure in job https://hydra.nixos.org/build/233207971 at 2023-09-02
- persistent-odbc # failure in job https://hydra.nixos.org/build/233191221 at 2023-09-02
- persistent-postgresql-streaming # failure in job https://hydra.nixos.org/build/233194038 at 2023-09-02
- persistent-ratelimit # failure in job https://hydra.nixos.org/build/233224537 at 2023-09-02
+ - persistent-refs # failure in job https://hydra.nixos.org/build/252722090 at 2024-03-16
- persistent-stm # failure in job https://hydra.nixos.org/build/233234706 at 2023-09-02
- persistent-template-classy # failure in job https://hydra.nixos.org/build/233230341 at 2023-09-02
+ - persistent-vector # failure in job https://hydra.nixos.org/build/252721689 at 2024-03-16
- persist # failure in job https://hydra.nixos.org/build/233234953 at 2023-09-02
- persist-state # failure in job https://hydra.nixos.org/build/233249568 at 2023-09-02
- persona # failure in job https://hydra.nixos.org/build/233209614 at 2023-09-02
@@ -4132,6 +4419,7 @@ broken-packages:
- pgf2 # failure in job https://hydra.nixos.org/build/233234332 at 2023-09-02
- pg-harness # failure in job https://hydra.nixos.org/build/233219805 at 2023-09-02
- pg-harness-server # failure in job https://hydra.nixos.org/build/233236493 at 2023-09-02
+ - pgp-wordlist # failure in job https://hydra.nixos.org/build/252723543 at 2024-03-16
- pg-recorder # failure in job https://hydra.nixos.org/build/233241248 at 2023-09-02
- pgsql-simple # failure in job https://hydra.nixos.org/build/233228421 at 2023-09-02
- pg-store # failure in job https://hydra.nixos.org/build/233208603 at 2023-09-02
@@ -4151,6 +4439,7 @@ broken-packages:
- phonetic-languages-simplified-properties-array # failure in job https://hydra.nixos.org/build/233195530 at 2023-09-02
- phonetic-languages-simplified-properties-array-old # failure in job https://hydra.nixos.org/build/233258258 at 2023-09-02
- phonetic-languages-vector # failure in job https://hydra.nixos.org/build/233258156 at 2023-09-02
+ - photoname # failure in job https://hydra.nixos.org/build/252736965 at 2024-03-16
- phraskell # failure in job https://hydra.nixos.org/build/233202906 at 2023-09-02
- Phsu # failure in job https://hydra.nixos.org/build/233243595 at 2023-09-02
- pia-forward # failure in job https://hydra.nixos.org/build/233219067 at 2023-09-02
@@ -4159,7 +4448,10 @@ broken-packages:
- pickle # failure in job https://hydra.nixos.org/build/233255980 at 2023-09-02
- picologic # failure in job https://hydra.nixos.org/build/233202882 at 2023-09-02
- picoparsec # failure in job https://hydra.nixos.org/build/233251453 at 2023-09-02
+ - picosat # failure in job https://hydra.nixos.org/build/252732655 at 2024-03-16
- pictikz # failure in job https://hydra.nixos.org/build/233240766 at 2023-09-02
+ - pid # failure in job https://hydra.nixos.org/build/252711742 at 2024-03-16
+ - pidfile # failure in job https://hydra.nixos.org/build/252735609 at 2024-03-16
- pier-core # failure in job https://hydra.nixos.org/build/233234325 at 2023-09-02
- piet # failure in job https://hydra.nixos.org/build/233259843 at 2023-09-02
- pi-forall # failure in job https://hydra.nixos.org/build/233247486 at 2023-09-02
@@ -4169,7 +4461,9 @@ broken-packages:
- pinboard # failure in job https://hydra.nixos.org/build/233239482 at 2023-09-02
- pinch-gen # failure in job https://hydra.nixos.org/build/233212466 at 2023-09-02
- pinchot # failure in job https://hydra.nixos.org/build/233230585 at 2023-09-02
+ - piped # failure in job https://hydra.nixos.org/build/252729903 at 2024-03-16
- Pipe # failure in job https://hydra.nixos.org/build/233243604 at 2023-09-02
+ - pipes-aeson # failure in job https://hydra.nixos.org/build/252733735 at 2024-03-16
- pipes-async # failure in job https://hydra.nixos.org/build/233194729 at 2023-09-02
- pipes-bgzf # failure in job https://hydra.nixos.org/build/233238921 at 2023-09-02
- pipes-break # failure in job https://hydra.nixos.org/build/233250730 at 2023-09-02
@@ -4179,8 +4473,10 @@ broken-packages:
- pipes-cereal # failure in job https://hydra.nixos.org/build/233195413 at 2023-09-02
- pipes-core # failure in job https://hydra.nixos.org/build/233213024 at 2023-09-02
- pipes-errors # failure in job https://hydra.nixos.org/build/233214912 at 2023-09-02
+ - pipes-extras # failure in job https://hydra.nixos.org/build/252732291 at 2024-03-16
- pipes-interleave # failure in job https://hydra.nixos.org/build/233247428 at 2023-09-02
- pipes-io # failure in job https://hydra.nixos.org/build/233243253 at 2023-09-02
+ - pipes-kafka # failure in job https://hydra.nixos.org/build/252727228 at 2024-03-16
- pipes-lines # failure in job https://hydra.nixos.org/build/233243979 at 2023-09-02
- pipes-lzma # failure in job https://hydra.nixos.org/build/233256730 at 2023-09-02
- pipes-network-ws # failure in job https://hydra.nixos.org/build/233245816 at 2023-09-02
@@ -4218,17 +4514,21 @@ broken-packages:
- plist # failure in job https://hydra.nixos.org/build/233233906 at 2023-09-02
- plivo # failure in job https://hydra.nixos.org/build/233256647 at 2023-09-02
- ploterific # failure in job https://hydra.nixos.org/build/233228102 at 2023-09-02
+ - plot # failure in job https://hydra.nixos.org/build/252721447 at 2024-03-16
- plot-gtk3 # failure in job https://hydra.nixos.org/build/233202048 at 2023-09-02
- plot-gtk # failure in job https://hydra.nixos.org/build/233241286 at 2023-09-02
- plot-gtk-ui # failure in job https://hydra.nixos.org/build/233205192 at 2023-09-02
- plot-lab # failure in job https://hydra.nixos.org/build/233242283 at 2023-09-02
- plots # failure in job https://hydra.nixos.org/build/233207279 at 2023-09-02
- plow-log-async # failure in job https://hydra.nixos.org/build/233201736 at 2023-09-02
+ - plow-log # failure in job https://hydra.nixos.org/build/252717614 at 2024-03-16
+ - plucky # failure in job https://hydra.nixos.org/build/252718793 at 2024-03-16
- plugins # failure in job https://hydra.nixos.org/build/233239631 at 2023-09-02
- plugins-multistage # failure in job https://hydra.nixos.org/build/233205493 at 2023-09-02
- plumbers # failure in job https://hydra.nixos.org/build/233221866 at 2023-09-02
- plural # failure in job https://hydra.nixos.org/build/233198934 at 2023-09-02
- plur # failure in job https://hydra.nixos.org/build/233229752 at 2023-09-02
+ - ply-loader # failure in job https://hydra.nixos.org/build/252720663 at 2024-03-16
- plzwrk # failure in job https://hydra.nixos.org/build/233219630 at 2023-09-02
- pngload-fixed # failure in job https://hydra.nixos.org/build/233233956 at 2023-09-02
- pocket # failure in job https://hydra.nixos.org/build/233244120 at 2023-09-02
@@ -4282,11 +4582,13 @@ broken-packages:
- portager # failure in job https://hydra.nixos.org/build/233192963 at 2023-09-02
- porte # failure in job https://hydra.nixos.org/build/233224391 at 2023-09-02
- PortFusion # failure in job https://hydra.nixos.org/build/233248354 at 2023-09-02
+ - portray # failure in job https://hydra.nixos.org/build/252733999 at 2024-03-16
- posable # failure in job https://hydra.nixos.org/build/233217897 at 2023-09-02
- posit # failure in job https://hydra.nixos.org/build/233229714 at 2023-09-02
- positron # failure in job https://hydra.nixos.org/build/233256252 at 2023-09-02
- posix-acl # failure in job https://hydra.nixos.org/build/233222892 at 2023-09-02
- posix-api # failure in job https://hydra.nixos.org/build/233240089 at 2023-09-02
+ - posix-filelock # failure in job https://hydra.nixos.org/build/252726822 at 2024-03-16
- posix-realtime # failure in job https://hydra.nixos.org/build/233191463 at 2023-09-02
- posix-waitpid # failure in job https://hydra.nixos.org/build/233206551 at 2023-09-02
- posplyu # failure in job https://hydra.nixos.org/build/233230437 at 2023-09-02
@@ -4304,9 +4606,10 @@ broken-packages:
- postgresql-replicant # failure in job https://hydra.nixos.org/build/233247943 at 2023-09-02
- postgresql-resilient # failure in job https://hydra.nixos.org/build/233212362 at 2023-09-02
- postgresql-simple-bind # failure in job https://hydra.nixos.org/build/233220640 at 2023-09-02
- - postgresql-simple-migration # failure in job https://hydra.nixos.org/build/233222723 at 2023-09-02
- postgresql-simple-named # failure in job https://hydra.nixos.org/build/233202481 at 2023-09-02
+ - postgresql-simple-opts # failure in job https://hydra.nixos.org/build/252718901 at 2024-03-16
- postgresql-simple-sop # failure in job https://hydra.nixos.org/build/233249757 at 2023-09-02
+ - postgresql-tx # failure in job https://hydra.nixos.org/build/252717914 at 2024-03-16
- postgresql-tx-monad-logger # failure in job https://hydra.nixos.org/build/233227034 at 2023-09-02
- postgresql-tx-simple # failure in job https://hydra.nixos.org/build/233242850 at 2023-09-02
- postgresql-typed-lifted # failure in job https://hydra.nixos.org/build/233215141 at 2023-09-02
@@ -4348,7 +4651,9 @@ broken-packages:
- pretty-ghci # failure in job https://hydra.nixos.org/build/233236777 at 2023-09-02
- pretty-loc # failure in job https://hydra.nixos.org/build/233198098 at 2023-09-02
- pretty-ncols # failure in job https://hydra.nixos.org/build/233220264 at 2023-09-02
+ - prettyprinter-convert-ansi-wl-pprint # failure in job https://hydra.nixos.org/build/252718603 at 2024-03-16
- prettyprinter-vty # failure in job https://hydra.nixos.org/build/233251729 at 2023-09-02
+ - pretty-types # failure in job https://hydra.nixos.org/build/252727063 at 2024-03-16
- primal # failure in job https://hydra.nixos.org/build/233260049 at 2023-09-02
- prim-array # failure in job https://hydra.nixos.org/build/233220574 at 2023-09-02
- prime # failure in job https://hydra.nixos.org/build/233197550 at 2023-09-02
@@ -4391,7 +4696,6 @@ broken-packages:
- product-isomorphic # failure in job https://hydra.nixos.org/build/233230736 at 2023-09-02
- prof2pretty # failure in job https://hydra.nixos.org/build/233240665 at 2023-09-02
- prof-flamegraph # failure in job https://hydra.nixos.org/build/233254675 at 2023-09-02
- - profiteur # failure in job https://hydra.nixos.org/build/233216916 at 2023-09-02
- profunctor-monad # failure in job https://hydra.nixos.org/build/233190940 at 2023-09-02
- progression # failure in job https://hydra.nixos.org/build/233256355 at 2023-09-02
- progressive # failure in job https://hydra.nixos.org/build/233228075 at 2023-09-02
@@ -4405,6 +4709,7 @@ broken-packages:
- prometheus-effect # failure in job https://hydra.nixos.org/build/233215984 at 2023-09-02
- prometheus-wai-middleware # failure in job https://hydra.nixos.org/build/233239230 at 2023-09-02
- promise # failure in job https://hydra.nixos.org/build/233228578 at 2023-09-02
+ - prompt # failure in job https://hydra.nixos.org/build/252732746 at 2024-03-16
- pronounce # failure in job https://hydra.nixos.org/build/233234844 at 2023-09-02
- proof-assistant-bot # failure in job https://hydra.nixos.org/build/234974688 at 2023-09-13
- proof-combinators # failure in job https://hydra.nixos.org/build/233210521 at 2023-09-02
@@ -4422,6 +4727,7 @@ broken-packages:
- protocol-buffers-fork # failure in job https://hydra.nixos.org/build/233228361 at 2023-09-02
- protocol # failure in job https://hydra.nixos.org/build/233224436 at 2023-09-02
- proto-lens-arbitrary # failure in job https://hydra.nixos.org/build/233239393 at 2023-09-02
+ - proto-lens-combinators # failure in job https://hydra.nixos.org/build/252726979 at 2024-03-16
- protolude-lifted # failure in job https://hydra.nixos.org/build/233196312 at 2023-09-02
- proton-haskell # failure in job https://hydra.nixos.org/build/233214383 at 2023-09-02
- prototype # failure in job https://hydra.nixos.org/build/233238810 at 2023-09-02
@@ -4445,6 +4751,7 @@ broken-packages:
- pugs-DrIFT # failure in job https://hydra.nixos.org/build/233216584 at 2023-09-02
- pugs-HsSyck # failure in job https://hydra.nixos.org/build/233242766 at 2023-09-02
- PUH-Project # failure in job https://hydra.nixos.org/build/233238918 at 2023-09-02
+ - punycode # failure in job https://hydra.nixos.org/build/252727599 at 2024-03-16
- Pup-Events-Server # failure in job https://hydra.nixos.org/build/233198287 at 2023-09-02
- pure-io # failure in job https://hydra.nixos.org/build/233235168 at 2023-09-02
- pure-priority-queue # failure in job https://hydra.nixos.org/build/233258014 at 2023-09-02
@@ -4458,13 +4765,16 @@ broken-packages:
- pusher-ws # failure in job https://hydra.nixos.org/build/233204133 at 2023-09-02
- pushme # failure in job https://hydra.nixos.org/build/233212481 at 2023-09-02
- push-notifications # failure in job https://hydra.nixos.org/build/233199364 at 2023-09-02
+ - pushover # failure in job https://hydra.nixos.org/build/252739908 at 2024-03-16
- putlenses # failure in job https://hydra.nixos.org/build/233197372 at 2023-09-02
- puzzle-draw # failure in job https://hydra.nixos.org/build/233204953 at 2023-09-02
+ - pvar # failure in job https://hydra.nixos.org/build/252711515 at 2024-03-16
- pvector # failure in job https://hydra.nixos.org/build/233217965 at 2023-09-02
- pyffi # failure in job https://hydra.nixos.org/build/233260156 at 2023-09-02
- pyfi # failure in job https://hydra.nixos.org/build/233214389 at 2023-09-02
- python-pickle # failure in job https://hydra.nixos.org/build/233230321 at 2023-09-02
- q4c12-twofinger # failure in job https://hydra.nixos.org/build/233190771 at 2023-09-02
+ - qbe # failure in job https://hydra.nixos.org/build/252729841 at 2024-03-16
- qc-oi-testgenerator # failure in job https://hydra.nixos.org/build/233197822 at 2023-09-02
- qd # failure in job https://hydra.nixos.org/build/233213936 at 2023-09-02
- qed # failure in job https://hydra.nixos.org/build/233249635 at 2023-09-02
@@ -4487,6 +4797,7 @@ broken-packages:
- quenya-verb # failure in job https://hydra.nixos.org/build/233209006 at 2023-09-02
- querystring-pickle # failure in job https://hydra.nixos.org/build/233246108 at 2023-09-02
- questioner # failure in job https://hydra.nixos.org/build/233213704 at 2023-09-02
+ - queue-sheet # failure in job https://hydra.nixos.org/build/252717053 at 2024-03-16
- quibble-core # failure in job https://hydra.nixos.org/build/233200635 at 2023-09-02
- quic # failure in job https://hydra.nixos.org/build/233238400 at 2023-09-02
- QuickAnnotate # failure in job https://hydra.nixos.org/build/233197428 at 2023-09-02
@@ -4498,9 +4809,11 @@ broken-packages:
- quickcheck-property-monad # failure in job https://hydra.nixos.org/build/233228775 at 2023-09-02
- quickcheck-rematch # failure in job https://hydra.nixos.org/build/233205449 at 2023-09-02
- quickcheck-report # failure in job https://hydra.nixos.org/build/233214523 at 2023-09-02
+ - quickcheck-state-machine # failure in job https://hydra.nixos.org/build/252730381 at 2024-03-16
- QuickCheckVariant # failure in job https://hydra.nixos.org/build/233239276 at 2023-09-02
- quickcheck-webdriver # failure in job https://hydra.nixos.org/build/233228000 at 2023-09-02
- quickjs-hs # failure in job https://hydra.nixos.org/build/233248440 at 2023-09-02
+ - QuickPlot # failure in job https://hydra.nixos.org/build/252731670 at 2024-03-16
- quickpull # failure in job https://hydra.nixos.org/build/233238642 at 2023-09-02
- quick-schema # failure in job https://hydra.nixos.org/build/233256519 at 2023-09-02
- quickset # failure in job https://hydra.nixos.org/build/233236904 at 2023-09-02
@@ -4531,6 +4844,7 @@ broken-packages:
- RandomDotOrg # failure in job https://hydra.nixos.org/build/233229709 at 2023-09-02
- random-eff # failure in job https://hydra.nixos.org/build/233255496 at 2023-09-02
- Randometer # failure in job https://hydra.nixos.org/build/233231023 at 2023-09-02
+ - random-fu-multivariate # failure in job https://hydra.nixos.org/build/252715951 at 2024-03-16
- random-source # failure in job https://hydra.nixos.org/build/233254664 at 2023-09-02
- random-stream # failure in job https://hydra.nixos.org/build/233240384 at 2023-09-02
- random-string # failure in job https://hydra.nixos.org/build/233223504 at 2023-09-02
@@ -4580,6 +4894,7 @@ broken-packages:
- records-sop # failure in job https://hydra.nixos.org/build/233251652 at 2023-09-02
- record-wrangler # failure in job https://hydra.nixos.org/build/233212838 at 2023-09-02
- rec-smallarray # failure in job https://hydra.nixos.org/build/233258592 at 2023-09-02
+ - recursive-line-count # failure in job https://hydra.nixos.org/build/252736942 at 2024-03-16
- recursors # failure in job https://hydra.nixos.org/build/233234451 at 2023-09-02
- red-black-record # failure in job https://hydra.nixos.org/build/233194275 at 2023-09-02
- redis-hs # failure in job https://hydra.nixos.org/build/233191943 at 2023-09-02
@@ -4595,6 +4910,7 @@ broken-packages:
- ref # failure in job https://hydra.nixos.org/build/233256479 at 2023-09-02
- refined-http-api-data # failure in job https://hydra.nixos.org/build/233231753 at 2023-09-02
- refined-with # failure in job https://hydra.nixos.org/build/233258564 at 2023-09-02
+ - refinery # failure in job https://hydra.nixos.org/build/252717003 at 2024-03-16
- reflection-extras # failure in job https://hydra.nixos.org/build/233226544 at 2023-09-02
- reflex-backend-socket # failure in job https://hydra.nixos.org/build/233254514 at 2023-09-02
- reflex-basic-host # failure in job https://hydra.nixos.org/build/233210837 at 2023-09-02
@@ -4606,27 +4922,28 @@ broken-packages:
- reflex-dom-retractable # failure in job https://hydra.nixos.org/build/233198362 at 2023-09-02
- reflex-dom-svg # failure in job https://hydra.nixos.org/build/233193544 at 2023-09-02
- reflex-external-ref # failure in job https://hydra.nixos.org/build/233215834 at 2023-09-02
- - reflex-gi-gtk # failure in job https://hydra.nixos.org/build/233213103 at 2023-09-02
- reflex-gloss # failure in job https://hydra.nixos.org/build/234457448 at 2023-09-13
- reflex-jsx # failure in job https://hydra.nixos.org/build/233207137 at 2023-09-02
- reflex-orphans # failure in job https://hydra.nixos.org/build/233249128 at 2023-09-02
- reflex-sdl2 # failure in job https://hydra.nixos.org/build/233233947 at 2023-09-02
- reflex-test-host # failure in job https://hydra.nixos.org/build/233220665 at 2023-09-02
- reflex-transformers # failure in job https://hydra.nixos.org/build/233243647 at 2023-09-02
- - reflex-vty # failure in job https://hydra.nixos.org/build/233225875 at 2023-09-02
- ref-mtl # failure in job https://hydra.nixos.org/build/233260152 at 2023-09-02
- reformat # failure in job https://hydra.nixos.org/build/233212381 at 2023-09-02
+ - reform # failure in job https://hydra.nixos.org/build/252717808 at 2024-03-16
- reform-hamlet # failure in job https://hydra.nixos.org/build/233230013 at 2023-09-02
- reform-hsp # failure in job https://hydra.nixos.org/build/233228737 at 2023-09-02
- reform-lucid # failure in job https://hydra.nixos.org/build/233257636 at 2023-09-02
- refresht # failure in job https://hydra.nixos.org/build/233245243 at 2023-09-02
- refty # failure in job https://hydra.nixos.org/build/233215083 at 2023-09-02
- reg-alloc # failure in job https://hydra.nixos.org/build/233195081 at 2023-09-02
+ - regex-applicative-text # failure in job https://hydra.nixos.org/build/252717881 at 2024-03-16
- regex-dfa # failure in job https://hydra.nixos.org/build/233242994 at 2023-09-02
- regexdot # failure in job https://hydra.nixos.org/build/233217389 at 2023-09-02
- regex-generator # failure in job https://hydra.nixos.org/build/233239502 at 2023-09-02
- regex-parsec # failure in job https://hydra.nixos.org/build/233223781 at 2023-09-02
- regex-posix-unittest # failure in job https://hydra.nixos.org/build/233249685 at 2023-09-02
+ - regexpr # failure in job https://hydra.nixos.org/build/252711170 at 2024-03-16
- regexpr-symbolic # failure in job https://hydra.nixos.org/build/233254451 at 2023-09-02
- regexqq # failure in job https://hydra.nixos.org/build/233233149 at 2023-09-02
- regex-tdfa-pipes # failure in job https://hydra.nixos.org/build/233247416 at 2023-09-02
@@ -4657,8 +4974,11 @@ broken-packages:
- remote-monad # failure in job https://hydra.nixos.org/build/233247733 at 2023-09-02
- reorderable # failure in job https://hydra.nixos.org/build/233256477 at 2023-09-02
- reorder-expression # failure in job https://hydra.nixos.org/build/233215573 at 2023-09-02
+ - repa-bytestring # failure in job https://hydra.nixos.org/build/252723812 at 2024-03-16
+ - repa-devil # failure in job https://hydra.nixos.org/build/252724171 at 2024-03-16
- repa-eval # failure in job https://hydra.nixos.org/build/233259486 at 2023-09-02
- - repa # failure in job https://hydra.nixos.org/build/233219888 at 2023-09-02
+ - repa-examples # failure in job https://hydra.nixos.org/build/252712195 at 2024-03-16
+ - repa-linear-algebra # failure in job https://hydra.nixos.org/build/252713634 at 2024-03-16
- repa-scalar # failure in job https://hydra.nixos.org/build/233213694 at 2023-09-02
- repa-series # failure in job https://hydra.nixos.org/build/233200085 at 2023-09-02
- ReplaceUmlaut # failure in job https://hydra.nixos.org/build/233228662 at 2023-09-02
@@ -4674,12 +4994,14 @@ broken-packages:
- request # failure in job https://hydra.nixos.org/build/233256702 at 2023-09-02
- request-monad # failure in job https://hydra.nixos.org/build/233204896 at 2023-09-02
- require # failure in job https://hydra.nixos.org/build/233203170 at 2023-09-02
+ - requirements # failure in job https://hydra.nixos.org/build/252711740 at 2024-03-16
- req-url-extra # failure in job https://hydra.nixos.org/build/233198488 at 2023-09-02
- rescue # failure in job https://hydra.nixos.org/build/233230073 at 2023-09-02
- reservoir # failure in job https://hydra.nixos.org/build/233194430 at 2023-09-02
- resolve # failure in job https://hydra.nixos.org/build/233224070 at 2023-09-02
- resolve-trivial-conflicts # failure in job https://hydra.nixos.org/build/233237974 at 2023-09-02
- resource-effect # failure in job https://hydra.nixos.org/build/233253816 at 2023-09-02
+ - resource-effectful # failure in job https://hydra.nixos.org/build/252712267 at 2024-03-16
- resource-embed # failure in job https://hydra.nixos.org/build/233209109 at 2023-09-02
- resource-pool-monad # failure in job https://hydra.nixos.org/build/233204199 at 2023-09-02
- resourcet-extra # failure in job https://hydra.nixos.org/build/245696134 at 2024-01-07
@@ -4709,6 +5031,7 @@ broken-packages:
- rigel-viz # failure in job https://hydra.nixos.org/build/233251060 at 2023-09-02
- ring-buffer # failure in job https://hydra.nixos.org/build/233245453 at 2023-09-02
- ring-buffers # failure in job https://hydra.nixos.org/build/233259860 at 2023-09-02
+ - rings # failure in job https://hydra.nixos.org/build/252730924 at 2024-03-16
- riscv-isa # failure in job https://hydra.nixos.org/build/233192811 at 2023-09-02
- rison # failure in job https://hydra.nixos.org/build/233231694 at 2023-09-02
- Ritt-Wu # failure in job https://hydra.nixos.org/build/233221182 at 2023-09-02
@@ -4722,6 +5045,7 @@ broken-packages:
- robin # failure in job https://hydra.nixos.org/build/233205010 at 2023-09-02
- robots-txt # failure in job https://hydra.nixos.org/build/233243090 at 2023-09-02
- roc-cluster # failure in job https://hydra.nixos.org/build/233202517 at 2023-09-02
+ - roc-id # failure in job https://hydra.nixos.org/build/252715473 at 2024-03-16
- roguestar # failure in job https://hydra.nixos.org/build/233233677 at 2023-09-02
- roku-api # failure in job https://hydra.nixos.org/build/233249158 at 2023-09-02
- rollbar-client # failure in job https://hydra.nixos.org/build/233241484 at 2023-09-02
@@ -4731,6 +5055,7 @@ broken-packages:
- ron # failure in job https://hydra.nixos.org/build/233197052 at 2023-09-02
- rope # failure in job https://hydra.nixos.org/build/233198109 at 2023-09-02
- rosebud # failure in job https://hydra.nixos.org/build/233225772 at 2023-09-02
+ - rose # failure in job https://hydra.nixos.org/build/252717613 at 2024-03-16
- rose-trees # timeout
- rosmsg # failure in job https://hydra.nixos.org/build/233248569 at 2023-09-02
- rospkg # failure in job https://hydra.nixos.org/build/233229989 at 2023-09-02
@@ -4765,14 +5090,16 @@ broken-packages:
- rustls # failure in job https://hydra.nixos.org/build/233249545 at 2023-09-02
- rws # failure in job https://hydra.nixos.org/build/233237887 at 2023-09-02
- RxHaskell # failure in job https://hydra.nixos.org/build/233248784 at 2023-09-02
+ - rzk # failure in job https://hydra.nixos.org/build/252726148 at 2024-03-16
- rz-pipe # failure in job https://hydra.nixos.org/build/233228273 at 2023-09-02
- SableCC2Hs # failure in job https://hydra.nixos.org/build/233213351 at 2023-09-02
+ - safe-access # failure in job https://hydra.nixos.org/build/252736917 at 2024-03-16
- safe-buffer-monad # failure in job https://hydra.nixos.org/build/233192108 at 2023-09-02
- safe-coerce # failure in job https://hydra.nixos.org/build/233244289 at 2023-09-02
- - safe-coloured-text-gen # failure in job https://hydra.nixos.org/build/233225146 at 2023-09-02
- safe-coloured-text-layout # failure in job https://hydra.nixos.org/build/233247031 at 2023-09-02
- safecopy-migrate # failure in job https://hydra.nixos.org/build/233224574 at 2023-09-02
- safecopy-store # failure in job https://hydra.nixos.org/build/233227973 at 2023-09-02
+ - safe-exceptions-checked # failure in job https://hydra.nixos.org/build/252717135 at 2024-03-16
- safe-freeze # failure in job https://hydra.nixos.org/build/233230451 at 2023-09-02
- safe-globals # failure in job https://hydra.nixos.org/build/233201910 at 2023-09-02
- safeint # failure in job https://hydra.nixos.org/build/233257369 at 2023-09-02
@@ -4794,6 +5121,7 @@ broken-packages:
- sandman # failure in job https://hydra.nixos.org/build/233209667 at 2023-09-02
- sarasvati # failure in job https://hydra.nixos.org/build/233208235 at 2023-09-02
- satchmo-backends # failure in job https://hydra.nixos.org/build/233228506 at 2023-09-02
+ - satchmo # failure in job https://hydra.nixos.org/build/252712042 at 2024-03-16
- satchmo-minisat # failure in job https://hydra.nixos.org/build/233229585 at 2023-09-02
- sat # failure in job https://hydra.nixos.org/build/233225713 at 2023-09-02
- Saturnin # failure in job https://hydra.nixos.org/build/233227938 at 2023-09-02
@@ -4801,9 +5129,11 @@ broken-packages:
- savage # failure in job https://hydra.nixos.org/build/233213243 at 2023-09-02
- sax # failure in job https://hydra.nixos.org/build/233218617 at 2023-09-02
- sbv # failure in job https://hydra.nixos.org/build/233210414 at 2023-09-02
+ - sc2-proto # failure in job https://hydra.nixos.org/build/252730301 at 2024-03-16
- scale # failure in job https://hydra.nixos.org/build/233222189 at 2023-09-02
- scaleimage # failure in job https://hydra.nixos.org/build/233240688 at 2023-09-02
- scalendar # failure in job https://hydra.nixos.org/build/233206581 at 2023-09-02
+ - scat # failure in job https://hydra.nixos.org/build/252730427 at 2024-03-16
- scc # failure in job https://hydra.nixos.org/build/233247446 at 2023-09-02
- scgi # failure in job https://hydra.nixos.org/build/233247314 at 2023-09-02
- schedevr # failure in job https://hydra.nixos.org/build/233240124 at 2023-09-02
@@ -4817,11 +5147,14 @@ broken-packages:
- scottish # failure in job https://hydra.nixos.org/build/233251021 at 2023-09-02
- scotty-binding-play # failure in job https://hydra.nixos.org/build/233244465 at 2023-09-02
- scotty-blaze # failure in job https://hydra.nixos.org/build/233190960 at 2023-09-02
+ - scotty-cookie # failure in job https://hydra.nixos.org/build/252738988 at 2024-03-16
- scotty-format # failure in job https://hydra.nixos.org/build/233249643 at 2023-09-02
+ - scotty-path-normalizer # failure in job https://hydra.nixos.org/build/252738625 at 2024-03-16
- scotty-resource # failure in job https://hydra.nixos.org/build/233258457 at 2023-09-02
- scotty-rest # failure in job https://hydra.nixos.org/build/233209040 at 2023-09-02
- scotty-session # failure in job https://hydra.nixos.org/build/233258736 at 2023-09-02
- scotty-tls # failure in job https://hydra.nixos.org/build/233250916 at 2023-09-02
+ - scotty-utils # failure in job https://hydra.nixos.org/build/252727834 at 2024-03-16
- scotty-view # failure in job https://hydra.nixos.org/build/233245343 at 2023-09-02
- scrapbook-core # failure in job https://hydra.nixos.org/build/233222406 at 2023-09-02
- scrape-changes # failure in job https://hydra.nixos.org/build/233225890 at 2023-09-02
@@ -4842,6 +5175,8 @@ broken-packages:
- sdr # failure in job https://hydra.nixos.org/build/243807383 at 2024-01-01
- seacat # failure in job https://hydra.nixos.org/build/233229959 at 2023-09-02
- seakale # failure in job https://hydra.nixos.org/build/233236200 at 2023-09-02
+ - search # failure in job https://hydra.nixos.org/build/252723014 at 2024-03-16
+ - secd # failure in job https://hydra.nixos.org/build/252725214 at 2024-03-16
- secdh # failure in job https://hydra.nixos.org/build/233244391 at 2023-09-02
- sec # failure in job https://hydra.nixos.org/build/233233150 at 2023-09-02
- seclib # failure in job https://hydra.nixos.org/build/233203235 at 2023-09-02
@@ -4854,17 +5189,19 @@ broken-packages:
- secure-sockets # failure in job https://hydra.nixos.org/build/233254170 at 2023-09-02
- secureUDP # failure in job https://hydra.nixos.org/build/233215410 at 2023-09-02
- SegmentTree # failure in job https://hydra.nixos.org/build/233216161 at 2023-09-02
+ - selda # failure in job https://hydra.nixos.org/build/252735635 at 2024-03-16
- selda-postgresql # failure in job https://hydra.nixos.org/build/245539286 at 2024-01-02
- selectors # failure in job https://hydra.nixos.org/build/233227433 at 2023-09-02
- selenium # failure in job https://hydra.nixos.org/build/233214276 at 2023-09-02
- selinux # failure in job https://hydra.nixos.org/build/233192853 at 2023-09-02
- Semantique # failure in job https://hydra.nixos.org/build/233199841 at 2023-09-02
- - semaphore-compat # failure in job https://hydra.nixos.org/build/233225619 at 2023-09-02
- semdoc # failure in job https://hydra.nixos.org/build/233258790 at 2023-09-02
- semialign-indexed # failure in job https://hydra.nixos.org/build/233210150 at 2023-09-02
- semialign-optics # failure in job https://hydra.nixos.org/build/233229100 at 2023-09-02
- semibounded-lattices # failure in job https://hydra.nixos.org/build/233195267 at 2023-09-02
- Semigroup # failure in job https://hydra.nixos.org/build/233201793 at 2023-09-02
+ - semigroupoid-extras # failure in job https://hydra.nixos.org/build/252717980 at 2024-03-16
+ - semigroupoids-do # failure in job https://hydra.nixos.org/build/252727319 at 2024-03-16
- semigroupoids-syntax # failure in job https://hydra.nixos.org/build/233213850 at 2023-09-02
- semigroups-actions # failure in job https://hydra.nixos.org/build/233216317 at 2023-09-02
- semilattices # failure in job https://hydra.nixos.org/build/233223765 at 2023-09-02
@@ -4878,7 +5215,9 @@ broken-packages:
- SeqAlign # failure in job https://hydra.nixos.org/build/233214595 at 2023-09-02
- sequent-core # failure in job https://hydra.nixos.org/build/233202838 at 2023-09-02
- sequential-index # failure in job https://hydra.nixos.org/build/233228686 at 2023-09-02
+ - serdoc-core # failure in job https://hydra.nixos.org/build/252726252 at 2024-03-16
- serf # failure in job https://hydra.nixos.org/build/233251981 at 2023-09-02
+ - serial # failure in job https://hydra.nixos.org/build/252729356 at 2024-03-16
- serialize-instances # failure in job https://hydra.nixos.org/build/233239330 at 2023-09-02
- serialport # failure in job https://hydra.nixos.org/build/233201348 at 2023-09-02
- serokell-util # failure in job https://hydra.nixos.org/build/233209952 at 2023-09-02
@@ -4887,6 +5226,7 @@ broken-packages:
- servant-auth-server # failure in job https://hydra.nixos.org/build/233208742 at 2023-09-02
- servant-avro # failure in job https://hydra.nixos.org/build/233225632 at 2023-09-02
- servant-benchmark # failure in job https://hydra.nixos.org/build/233203748 at 2023-09-02
+ - servant-cassava # failure in job https://hydra.nixos.org/build/252730906 at 2024-03-16
- servant-client-js # failure in job https://hydra.nixos.org/build/233194725 at 2023-09-02
- servant-cli # failure in job https://hydra.nixos.org/build/233259212 at 2023-09-02
- servant-combinators # failure in job https://hydra.nixos.org/build/233249924 at 2023-09-02
@@ -4904,6 +5244,7 @@ broken-packages:
- servant-http-streams # failure in job https://hydra.nixos.org/build/233242852 at 2023-09-02
- servant-iCalendar # failure in job https://hydra.nixos.org/build/233200493 at 2023-09-02
- servant-jquery # failure in job https://hydra.nixos.org/build/233238796 at 2023-09-02
+ - servant-jsonrpc # failure in job https://hydra.nixos.org/build/252716396 at 2024-03-16
- servant-kotlin # failure in job https://hydra.nixos.org/build/233598190 at 2023-09-02
- servant-mock # failure in job https://hydra.nixos.org/build/245788431 at 2024-01-07
- servant-namedargs # failure in job https://hydra.nixos.org/build/233258674 at 2023-09-02
@@ -4912,6 +5253,7 @@ broken-packages:
- servant-polysemy # failure in job https://hydra.nixos.org/build/233218670 at 2023-09-02
- servant-pool # failure in job https://hydra.nixos.org/build/233208935 at 2023-09-02
- servant-prometheus # failure in job https://hydra.nixos.org/build/236685165 at 2023-10-04
+ - servant-proto-lens # failure in job https://hydra.nixos.org/build/252736298 at 2024-03-16
- servant-purescript # failure in job https://hydra.nixos.org/build/233598080 at 2023-09-02
- servant-py # failure in job https://hydra.nixos.org/build/233598104 at 2023-09-02
- servant-quickcheck # failure in job https://hydra.nixos.org/build/233236741 at 2023-09-02
@@ -4926,6 +5268,8 @@ broken-packages:
- servant-streaming # failure in job https://hydra.nixos.org/build/233215168 at 2023-09-02
- servant-streamly # failure in job https://hydra.nixos.org/build/233231404 at 2023-09-02
- servant-tracing # failure in job https://hydra.nixos.org/build/233229308 at 2023-09-02
+ - servant-typed-error # failure in job https://hydra.nixos.org/build/252727241 at 2024-03-16
+ - servant-util # failure in job https://hydra.nixos.org/build/252729690 at 2024-03-16
- servant-wasm # failure in job https://hydra.nixos.org/build/233191644 at 2023-09-02
- servant-xml-conduit # failure in job https://hydra.nixos.org/build/243828707 at 2024-01-01
- servant-yaml # failure in job https://hydra.nixos.org/build/233260010 at 2023-09-02
@@ -4936,16 +5280,20 @@ broken-packages:
- serversession-backend-persistent # failure in job https://hydra.nixos.org/build/233239242 at 2023-09-02
- services # failure in job https://hydra.nixos.org/build/233249029 at 2023-09-02
- serviette # failure in job https://hydra.nixos.org/build/233226968 at 2023-09-02
+ - ses-html-snaplet # failure in job https://hydra.nixos.org/build/252719452 at 2024-03-16
- SessionLogger # failure in job https://hydra.nixos.org/build/233235790 at 2023-09-02
- sessions # failure in job https://hydra.nixos.org/build/233214614 at 2023-09-02
- sessiontypes # failure in job https://hydra.nixos.org/build/233224975 at 2023-09-02
- setdown # failure in job https://hydra.nixos.org/build/241521053 at 2023-12-03
+ - set-extra # failure in job https://hydra.nixos.org/build/252738545 at 2024-03-16
- setgame # failure in job https://hydra.nixos.org/build/233218664 at 2023-09-02
- set-of # failure in job https://hydra.nixos.org/build/233202960 at 2023-09-02
- setoid # failure in job https://hydra.nixos.org/build/233213744 at 2023-09-02
+ - sets # failure in job https://hydra.nixos.org/build/252722613 at 2024-03-16
- setters # failure in job https://hydra.nixos.org/build/233199079 at 2023-09-02
- set-with # failure in job https://hydra.nixos.org/build/233209870 at 2023-09-02
- sexp # failure in job https://hydra.nixos.org/build/233214197 at 2023-09-02
+ - sexpresso # failure in job https://hydra.nixos.org/build/252739111 at 2024-03-16
- sexpr-parser # failure in job https://hydra.nixos.org/build/233208359 at 2023-09-02
- sext # failure in job https://hydra.nixos.org/build/233245441 at 2023-09-02
- sfml-audio # failure in job https://hydra.nixos.org/build/233253560 at 2023-09-02
@@ -4967,6 +5315,7 @@ broken-packages:
- shake-persist # failure in job https://hydra.nixos.org/build/233228771 at 2023-09-02
- shakespeare-babel # failure in job https://hydra.nixos.org/build/233252003 at 2023-09-02
- shakespeare-sass # failure in job https://hydra.nixos.org/build/233195432 at 2023-09-02
+ - shapes # failure in job https://hydra.nixos.org/build/252736910 at 2024-03-16
- shared-buffer # failure in job https://hydra.nixos.org/build/233242275 at 2023-09-02
- shared-fields # failure in job https://hydra.nixos.org/build/233228500 at 2023-09-02
- sha-streams # failure in job https://hydra.nixos.org/build/233257983 at 2023-09-02
@@ -4975,6 +5324,7 @@ broken-packages:
- shellish # failure in job https://hydra.nixos.org/build/233217316 at 2023-09-02
- shellmate # failure in job https://hydra.nixos.org/build/233217636 at 2023-09-02
- shell-pipe # failure in job https://hydra.nixos.org/build/233226605 at 2023-09-02
+ - shentong # failure in job https://hydra.nixos.org/build/252711957 at 2024-03-16
- shikensu # failure in job https://hydra.nixos.org/build/233230883 at 2023-09-02
- shimmer # failure in job https://hydra.nixos.org/build/233192737 at 2023-09-02
- shine-examples # failure in job https://hydra.nixos.org/build/233192871 at 2023-09-02
@@ -4993,6 +5343,8 @@ broken-packages:
- sifflet # failure in job https://hydra.nixos.org/build/233210515 at 2023-09-02
- sifflet-lib # failure in job https://hydra.nixos.org/build/233222675 at 2023-09-02
- sigmacord # failure in job https://hydra.nixos.org/build/233194491 at 2023-09-02
+ - signable-haskell-protoc # failure in job https://hydra.nixos.org/build/252734188 at 2024-03-16
+ - signal-messaging-dbus # failure in job https://hydra.nixos.org/build/252723131 at 2024-03-16
- simd # failure in job https://hydra.nixos.org/build/233206642 at 2023-09-02
- simfin # failure in job https://hydra.nixos.org/build/233226776 at 2023-09-02
- simple-actors # failure in job https://hydra.nixos.org/build/233239183 at 2023-09-02
@@ -5024,17 +5376,20 @@ broken-packages:
- simple-server # failure in job https://hydra.nixos.org/build/233242498 at 2023-09-02
- simplesmtpclient # failure in job https://hydra.nixos.org/build/233235261 at 2023-09-02
- simple-sql-parser # failure in job https://hydra.nixos.org/build/233203075 at 2023-09-02
+ - simplessh # failure in job https://hydra.nixos.org/build/252738958 at 2024-03-16
- simple-stacked-vm # failure in job https://hydra.nixos.org/build/233206051 at 2023-09-02
- simplest-sqlite # failure in job https://hydra.nixos.org/build/233248487 at 2023-09-02
- simple-tabular # failure in job https://hydra.nixos.org/build/233233368 at 2023-09-02
- simple-tar # failure in job https://hydra.nixos.org/build/233206675 at 2023-09-02
- simple-ui # failure in job https://hydra.nixos.org/build/233248287 at 2023-09-02
- simple-units # failure in job https://hydra.nixos.org/build/233215127 at 2023-09-02
+ - simplex # failure in job https://hydra.nixos.org/build/252731268 at 2024-03-16
- simplexmq # failure in job https://hydra.nixos.org/build/233223717 at 2023-09-02
- simple-zipper # failure in job https://hydra.nixos.org/build/233210316 at 2023-09-02
- simplistic-generics # failure in job https://hydra.nixos.org/build/233217412 at 2023-09-02
- singlethongs # failure in job https://hydra.nixos.org/build/233202756 at 2023-09-02
- singleton-dict # failure in job https://hydra.nixos.org/build/233245405 at 2023-09-02
+ - singletons-base # failure in job https://hydra.nixos.org/build/252714303 at 2024-03-16
- singleton-typelits # failure in job https://hydra.nixos.org/build/233250877 at 2023-09-02
- single-tuple # failure in job https://hydra.nixos.org/build/233204418 at 2023-09-02
- singnal # failure in job https://hydra.nixos.org/build/233214111 at 2023-09-02
@@ -5044,6 +5399,7 @@ broken-packages:
- siphash # failure in job https://hydra.nixos.org/build/233199344 at 2023-09-02
- sitepipe # failure in job https://hydra.nixos.org/build/233201989 at 2023-09-02
- sixfiguregroup # failure in job https://hydra.nixos.org/build/233252141 at 2023-09-02
+ - sixty-five-oh-two # failure in job https://hydra.nixos.org/build/252714578 at 2024-03-16
- sized-grid # failure in job https://hydra.nixos.org/build/233239056 at 2023-09-02
- sized-types # failure in job https://hydra.nixos.org/build/233244977 at 2023-09-02
- sized-vector # failure in job https://hydra.nixos.org/build/233227779 at 2023-09-02
@@ -5057,11 +5413,14 @@ broken-packages:
- skopedate # failure in job https://hydra.nixos.org/build/233220634 at 2023-09-02
- skulk # failure in job https://hydra.nixos.org/build/233258672 at 2023-09-02
- skylighting-extensions # failure in job https://hydra.nixos.org/build/233221387 at 2023-09-02
+ - skylighting-lucid # failure in job https://hydra.nixos.org/build/252724701 at 2024-03-16
- skype4hs # failure in job https://hydra.nixos.org/build/233221058 at 2023-09-02
- slack-api # failure in job https://hydra.nixos.org/build/233215701 at 2023-09-02
- slack # failure in job https://hydra.nixos.org/build/233221065 at 2023-09-02
- slack-notify-haskell # failure in job https://hydra.nixos.org/build/233249025 at 2023-09-02
+ - slack-progressbar # failure in job https://hydra.nixos.org/build/252722423 at 2024-03-16
- slack-verify # failure in job https://hydra.nixos.org/build/233206026 at 2023-09-02
+ - slack-web # failure in job https://hydra.nixos.org/build/252724144 at 2024-03-16
- sliceofpy # failure in job https://hydra.nixos.org/build/233224418 at 2023-09-02
- slidemews # failure in job https://hydra.nixos.org/build/233205910 at 2023-09-02
- Slides # failure in job https://hydra.nixos.org/build/233201684 at 2023-09-02
@@ -5098,16 +5457,39 @@ broken-packages:
- smtps-gmail # failure in job https://hydra.nixos.org/build/233191933 at 2023-09-02
- smuggler2 # failure in job https://hydra.nixos.org/build/233233932 at 2023-09-02
- smuggler # failure in job https://hydra.nixos.org/build/233199288 at 2023-09-02
+ - snail # failure in job https://hydra.nixos.org/build/252731890 at 2024-03-16
- snake # failure in job https://hydra.nixos.org/build/233242029 at 2023-09-02
- snake-game # failure in job https://hydra.nixos.org/build/234441416 at 2023-09-13
- snap-accept # failure in job https://hydra.nixos.org/build/233254776 at 2023-09-02
- snap-blaze-clay # failure in job https://hydra.nixos.org/build/233204686 at 2023-09-02
- snap-configuration-utilities # failure in job https://hydra.nixos.org/build/233202496 at 2023-09-02
+ - snap-error-collector # failure in job https://hydra.nixos.org/build/252722251 at 2024-03-16
- snap-language # failure in job https://hydra.nixos.org/build/233257003 at 2023-09-02
+ - snaplet-acid-state # failure in job https://hydra.nixos.org/build/252733993 at 2024-03-16
+ - snaplet-amqp # failure in job https://hydra.nixos.org/build/252722868 at 2024-03-16
+ - snaplet-coffee # failure in job https://hydra.nixos.org/build/252712879 at 2024-03-16
+ - snaplet-css-min # failure in job https://hydra.nixos.org/build/252718032 at 2024-03-16
+ - snaplet-environments # failure in job https://hydra.nixos.org/build/252718495 at 2024-03-16
+ - snaplet-hslogger # failure in job https://hydra.nixos.org/build/252719175 at 2024-03-16
+ - snaplet-influxdb # failure in job https://hydra.nixos.org/build/252717331 at 2024-03-16
+ - snaplet-mandrill # failure in job https://hydra.nixos.org/build/252733169 at 2024-03-16
+ - snaplet-mongodb-minimalistic # failure in job https://hydra.nixos.org/build/252716449 at 2024-03-16
+ - snaplet-persistent # failure in job https://hydra.nixos.org/build/252725821 at 2024-03-16
+ - snaplet-postgresql-simple # failure in job https://hydra.nixos.org/build/252716863 at 2024-03-16
+ - snaplet-purescript # failure in job https://hydra.nixos.org/build/252731136 at 2024-03-16
+ - snaplet-redis # failure in job https://hydra.nixos.org/build/252721419 at 2024-03-16
+ - snaplet-sass # failure in job https://hydra.nixos.org/build/252729370 at 2024-03-16
+ - snaplet-scoped-session # failure in job https://hydra.nixos.org/build/252732443 at 2024-03-16
+ - snaplet-ses-html # failure in job https://hydra.nixos.org/build/252718019 at 2024-03-16
+ - snaplet-sqlite-simple # failure in job https://hydra.nixos.org/build/252738602 at 2024-03-16
+ - snaplet-typed-sessions # failure in job https://hydra.nixos.org/build/252724459 at 2024-03-16
- snap-loader-dynamic # failure in job https://hydra.nixos.org/build/233197376 at 2023-09-02
- snap-predicates # failure in job https://hydra.nixos.org/build/233244904 at 2023-09-02
+ - snappy-c # failure in job https://hydra.nixos.org/build/252728597 at 2024-03-16
- snappy-conduit # failure in job https://hydra.nixos.org/build/233196865 at 2023-09-02
+ - snap-routes # failure in job https://hydra.nixos.org/build/252718562 at 2024-03-16
- snap-stream # failure in job https://hydra.nixos.org/build/233237969 at 2023-09-02
+ - snap-testing # failure in job https://hydra.nixos.org/build/252736070 at 2024-03-16
- SNet # failure in job https://hydra.nixos.org/build/233225638 at 2023-09-02
- snipcheck # failure in job https://hydra.nixos.org/build/233214417 at 2023-09-02
- snorkels # failure in job https://hydra.nixos.org/build/233229705 at 2023-09-02
@@ -5150,6 +5532,7 @@ broken-packages:
- special-keys # failure in job https://hydra.nixos.org/build/233191988 at 2023-09-02
- spectacle # failure in job https://hydra.nixos.org/build/233207488 at 2023-09-02
- speculation # failure in job https://hydra.nixos.org/build/233211559 at 2023-09-02
+ - specup # failure in job https://hydra.nixos.org/build/252712918 at 2024-03-16
- sphinxesc # failure in job https://hydra.nixos.org/build/233194825 at 2023-09-02
- sphinx # failure in job https://hydra.nixos.org/build/233247449 at 2023-09-02
- Spintax # failure in job https://hydra.nixos.org/build/233224001 at 2023-09-02
@@ -5160,8 +5543,10 @@ broken-packages:
- splint # failure in job https://hydra.nixos.org/build/233202156 at 2023-09-02
- split-morphism # failure in job https://hydra.nixos.org/build/233257735 at 2023-09-02
- splitter # failure in job https://hydra.nixos.org/build/233204684 at 2023-09-02
+ - splot # failure in job https://hydra.nixos.org/build/252715661 at 2024-03-16
- Spock-api-ghcjs # failure in job https://hydra.nixos.org/build/233246163 at 2023-09-02
- Spock-auth # failure in job https://hydra.nixos.org/build/233212125 at 2023-09-02
+ - Spock-core # failure in job https://hydra.nixos.org/build/252720122 at 2024-03-16
- spoonutil # failure in job https://hydra.nixos.org/build/233257645 at 2023-09-02
- spotify # failure in job https://hydra.nixos.org/build/233254990 at 2023-09-02
- spoty # failure in job https://hydra.nixos.org/build/233233863 at 2023-09-02
@@ -5172,6 +5557,8 @@ broken-packages:
- sqel # failure in job https://hydra.nixos.org/build/233256622 at 2023-09-02
- sqids # failure in job https://hydra.nixos.org/build/233213849 at 2023-09-02
- sqlcipher # failure in job https://hydra.nixos.org/build/233259217 at 2023-09-02
+ - sqlcli # failure in job https://hydra.nixos.org/build/252719841 at 2024-03-16
+ - sqlite-easy # failure in job https://hydra.nixos.org/build/252728831 at 2024-03-16
- sqlite # failure in job https://hydra.nixos.org/build/233215839 at 2023-09-02
- sqlite-simple-errors # failure in job https://hydra.nixos.org/build/233232977 at 2023-09-02
- sql-simple # failure in job https://hydra.nixos.org/build/233252834 at 2023-09-02
@@ -5179,8 +5566,10 @@ broken-packages:
- sqsd-local # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/237237046 at 2023-10-21
- srcinst # failure in job https://hydra.nixos.org/build/233221356 at 2023-09-02
- srt-attoparsec # failure in job https://hydra.nixos.org/build/233248456 at 2023-09-02
+ - srv # failure in job https://hydra.nixos.org/build/252734755 at 2024-03-16
- sscan # failure in job https://hydra.nixos.org/build/233248144 at 2023-09-02
- ssh # failure in job https://hydra.nixos.org/build/233215512 at 2023-09-02
+ - sshtun # failure in job https://hydra.nixos.org/build/252729199 at 2024-03-16
- ssh-tunnel # failure in job https://hydra.nixos.org/build/233245203 at 2023-09-02
- SSTG # failure in job https://hydra.nixos.org/build/233250677 at 2023-09-02
- st2 # failure in job https://hydra.nixos.org/build/233256469 at 2023-09-02
@@ -5198,6 +5587,7 @@ broken-packages:
- stack-lib # failure in job https://hydra.nixos.org/build/233662933 at 2023-09-02
- stack-prism # failure in job https://hydra.nixos.org/build/233216902 at 2023-09-02
- stack-run # failure in job https://hydra.nixos.org/build/233213318 at 2023-09-02
+ - stack-tag # failure in job https://hydra.nixos.org/build/252737306 at 2024-03-16
- stack-type # failure in job https://hydra.nixos.org/build/233208961 at 2023-09-02
- stack-wrapper # failure in job https://hydra.nixos.org/build/233259663 at 2023-09-02
- staged-gg # failure in job https://hydra.nixos.org/build/233252183 at 2023-09-02
@@ -5214,6 +5604,7 @@ broken-packages:
- state-record # failure in job https://hydra.nixos.org/build/233222199 at 2023-09-02
- static # failure in job https://hydra.nixos.org/build/233217136 at 2023-09-02
- static-ls # failure in job https://hydra.nixos.org/build/233199876 at 2023-09-02
+ - static-resources # failure in job https://hydra.nixos.org/build/252724891 at 2024-03-16
- static-tensor # failure in job https://hydra.nixos.org/build/233217705 at 2023-09-02
- statistics-fusion # failure in job https://hydra.nixos.org/build/233229681 at 2023-09-02
- statistics-hypergeometric-genvar # failure in job https://hydra.nixos.org/build/233193257 at 2023-09-02
@@ -5221,6 +5612,7 @@ broken-packages:
- statsd # failure in job https://hydra.nixos.org/build/233235428 at 2023-09-02
- stats # failure in job https://hydra.nixos.org/build/233255737 at 2023-09-02
- statvfs # failure in job https://hydra.nixos.org/build/233220845 at 2023-09-02
+ - staversion # failure in job https://hydra.nixos.org/build/252719926 at 2024-03-16
- stb-image-redux # failure in job https://hydra.nixos.org/build/233202153 at 2023-09-02
- stc-lang # failure in job https://hydra.nixos.org/build/233241234 at 2023-09-02
- stdata # failure in job https://hydra.nixos.org/build/233255940 at 2023-09-02
@@ -5233,13 +5625,16 @@ broken-packages:
- stepwise # failure in job https://hydra.nixos.org/build/233238388 at 2023-09-02
- stgi # failure in job https://hydra.nixos.org/build/233233567 at 2023-09-02
- STL # failure in job https://hydra.nixos.org/build/233231485 at 2023-09-02
+ - stm-actor # failure in job https://hydra.nixos.org/build/252722896 at 2024-03-16
- stm-chunked-queues # failure in job https://hydra.nixos.org/build/233227966 at 2023-09-02
- stm-firehose # failure in job https://hydra.nixos.org/build/233220943 at 2023-09-02
+ - stm-lifted # failure in job https://hydra.nixos.org/build/252726872 at 2024-03-16
- stm-promise # failure in job https://hydra.nixos.org/build/233204293 at 2023-09-02
- stm-stats # failure in job https://hydra.nixos.org/build/233214914 at 2023-09-02
- stochastic # failure in job https://hydra.nixos.org/build/233242019 at 2023-09-02
- Stomp # failure in job https://hydra.nixos.org/build/233252583 at 2023-09-02
- stooq-api # failure in job https://hydra.nixos.org/build/233200858 at 2023-09-02
+ - stopwatch # failure in job https://hydra.nixos.org/build/252716540 at 2024-03-16
- storable # failure in job https://hydra.nixos.org/build/233228880 at 2023-09-02
- storable-offset # failure in job https://hydra.nixos.org/build/233242993 at 2023-09-02
- storable-static-array # failure in job https://hydra.nixos.org/build/233222724 at 2023-09-02
@@ -5260,27 +5655,37 @@ broken-packages:
- streaming-events # failure in job https://hydra.nixos.org/build/233198216 at 2023-09-02
- streaming-lzma # failure in job https://hydra.nixos.org/build/233239635 at 2023-09-02
- streaming-osm # failure in job https://hydra.nixos.org/build/233229467 at 2023-09-02
+ - streaming-pcap # failure in job https://hydra.nixos.org/build/252731309 at 2024-03-16
- streaming-png # failure in job https://hydra.nixos.org/build/233236752 at 2023-09-02
- streaming-postgresql-simple # failure in job https://hydra.nixos.org/build/233212839 at 2023-09-02
+ - streaming-utils # failure in job https://hydra.nixos.org/build/252725243 at 2024-03-16
- streaming-with # failure in job https://hydra.nixos.org/build/233191184 at 2023-09-02
+ - streamly-archive # failure in job https://hydra.nixos.org/build/252735935 at 2024-03-16
- streamly-binary # failure in job https://hydra.nixos.org/build/233240602 at 2023-09-02
- streamly-cassava # failure in job https://hydra.nixos.org/build/233237843 at 2023-09-02
+ - streamly-examples # failure in job https://hydra.nixos.org/build/252721153 at 2024-03-16
- streamly-fsnotify # failure in job https://hydra.nixos.org/build/233220038 at 2023-09-02
+ - streamly-lmdb # failure in job https://hydra.nixos.org/build/252731414 at 2024-03-16
- streamly-lz4 # failure in job https://hydra.nixos.org/build/233219321 at 2023-09-02
- streamly-posix # failure in job https://hydra.nixos.org/build/233194023 at 2023-09-02
+ - streamly-statistics # failure in job https://hydra.nixos.org/build/252719066 at 2024-03-16
- stream-monad # failure in job https://hydra.nixos.org/build/233222592 at 2023-09-02
- streamproc # failure in job https://hydra.nixos.org/build/233196179 at 2023-09-02
+ - streamt # failure in job https://hydra.nixos.org/build/252724093 at 2024-03-16
- strelka-core # failure in job https://hydra.nixos.org/build/233218594 at 2023-09-02
- str # failure in job https://hydra.nixos.org/build/233227698 at 2023-09-02
- StrictBench # failure in job https://hydra.nixos.org/build/233259575 at 2023-09-02
- StrictCheck # failure in job https://hydra.nixos.org/build/233214649 at 2023-09-02
- strict-containers # failure in job https://hydra.nixos.org/build/233215090 at 2023-09-02
- strict-ghc-plugin # failure in job https://hydra.nixos.org/build/233246830 at 2023-09-02
+ - strict-impl-params # failure in job https://hydra.nixos.org/build/252732248 at 2024-03-16
- strictly # failure in job https://hydra.nixos.org/build/233197142 at 2023-09-02
- strict-tuple-lens # failure in job https://hydra.nixos.org/build/233194548 at 2023-09-02
+ - strict-writer # failure in job https://hydra.nixos.org/build/252725649 at 2024-03-16
- string-class # failure in job https://hydra.nixos.org/build/233230041 at 2023-09-02
- string-conv-tests # failure in job https://hydra.nixos.org/build/233242710 at 2023-09-02
- string-fromto # failure in job https://hydra.nixos.org/build/233223157 at 2023-09-02
+ - string-interpreter # failure in job https://hydra.nixos.org/build/252739490 at 2024-03-16
- string-isos # failure in job https://hydra.nixos.org/build/233252917 at 2023-09-02
- stringlike # failure in job https://hydra.nixos.org/build/233258750 at 2023-09-02
- string-quote # failure in job https://hydra.nixos.org/build/233259595 at 2023-09-02
@@ -5288,26 +5693,33 @@ broken-packages:
- stripe-core # failure in job https://hydra.nixos.org/build/233215702 at 2023-09-02
- stripe # failure in job https://hydra.nixos.org/build/233248173 at 2023-09-02
- stripe-hs # failure in job https://hydra.nixos.org/build/233203500 at 2023-09-02
+ - stripe-scotty # failure in job https://hydra.nixos.org/build/252711778 at 2024-03-16
- strong-path # failure in job https://hydra.nixos.org/build/233225171 at 2023-09-02
+ - strongweak # failure in job https://hydra.nixos.org/build/252724714 at 2024-03-16
+ - struct-inspector # failure in job https://hydra.nixos.org/build/252739623 at 2024-03-16
- structural-traversal # failure in job https://hydra.nixos.org/build/233235730 at 2023-09-02
+ - structured-cli # failure in job https://hydra.nixos.org/build/252734924 at 2024-03-16
- structures # failure in job https://hydra.nixos.org/build/233206488 at 2023-09-02
- stt # failure in job https://hydra.nixos.org/build/233233101 at 2023-09-02
- stunclient # failure in job https://hydra.nixos.org/build/233251136 at 2023-09-02
- stylish-cabal # failure in job https://hydra.nixos.org/build/233202801 at 2023-09-02
- stylist # failure in job https://hydra.nixos.org/build/233223662 at 2023-09-02
- stylized # failure in job https://hydra.nixos.org/build/233211499 at 2023-09-02
+ - styx # failure in job https://hydra.nixos.org/build/252713087 at 2024-03-16
- subG-instances # failure in job https://hydra.nixos.org/build/233216227 at 2023-09-02
- subleq-toolchain # failure in job https://hydra.nixos.org/build/233239415 at 2023-09-02
- submark # failure in job https://hydra.nixos.org/build/233231701 at 2023-09-02
- subsample # failure in job https://hydra.nixos.org/build/233201324 at 2023-09-02
- sub-state # failure in job https://hydra.nixos.org/build/233202687 at 2023-09-02
- subwordgraph # failure in job https://hydra.nixos.org/build/233229131 at 2023-09-02
+ - success # failure in job https://hydra.nixos.org/build/252718360 at 2024-03-16
- suffix-array # failure in job https://hydra.nixos.org/build/233247630 at 2023-09-02
- suffixarray # failure in job https://hydra.nixos.org/build/233256495 at 2023-09-02
- SuffixStructures # failure in job https://hydra.nixos.org/build/233245541 at 2023-09-02
- sugar # failure in job https://hydra.nixos.org/build/233202489 at 2023-09-02
- sugarhaskell # failure in job https://hydra.nixos.org/build/233199879 at 2023-09-02
- suitable # failure in job https://hydra.nixos.org/build/233225075 at 2023-09-02
+ - summer # failure in job https://hydra.nixos.org/build/252728622 at 2024-03-16
- summoner # failure in job https://hydra.nixos.org/build/233232530 at 2023-09-02
- sum-type-boilerplate # failure in job https://hydra.nixos.org/build/233251040 at 2023-09-02
- sunlight # failure in job https://hydra.nixos.org/build/233212375 at 2023-09-02
@@ -5321,6 +5733,7 @@ broken-packages:
- supervisor # failure in job https://hydra.nixos.org/build/233226414 at 2023-09-02
- supervisors # failure in job https://hydra.nixos.org/build/233238299 at 2023-09-02
- supplemented # failure in job https://hydra.nixos.org/build/233237397 at 2023-09-02
+ - supply-chain-core # failure in job https://hydra.nixos.org/build/252715612 at 2024-03-16
- surjective # failure in job https://hydra.nixos.org/build/233242908 at 2023-09-02
- sv2v # failure in job https://hydra.nixos.org/build/233190750 at 2023-09-02
- sv-core # failure in job https://hydra.nixos.org/build/233217245 at 2023-09-02
@@ -5365,11 +5778,13 @@ broken-packages:
- syntax-trees # failure in job https://hydra.nixos.org/build/233209576 at 2023-09-02
- syntax-trees-fork-bairyn # failure in job https://hydra.nixos.org/build/233216989 at 2023-09-02
- synthesizer # failure in job https://hydra.nixos.org/build/233259139 at 2023-09-02
+ - synthesizer-filter # failure in job https://hydra.nixos.org/build/252718079 at 2024-03-16
- Sysmon # failure in job https://hydra.nixos.org/build/233224152 at 2023-09-02
- system-canonicalpath # failure in job https://hydra.nixos.org/build/233254297 at 2023-09-02
- system-command # failure in job https://hydra.nixos.org/build/233239356 at 2023-09-02
- systemd-ntfy # failure in job https://hydra.nixos.org/build/236686880 at 2023-10-04
- system-extra # failure in job https://hydra.nixos.org/build/233203137 at 2023-09-02
+ - system-fileio # failure in job https://hydra.nixos.org/build/252731084 at 2024-03-16
- system-inotify # failure in job https://hydra.nixos.org/build/233206871 at 2023-09-02
- system-lifted # failure in job https://hydra.nixos.org/build/233236013 at 2023-09-02
- system-linux-proc # failure in job https://hydra.nixos.org/build/233209447 at 2023-09-02
@@ -5391,26 +5806,30 @@ broken-packages:
- tagged-timers # failure in job https://hydra.nixos.org/build/233238738 at 2023-09-02
- taggy-lens # failure in job https://hydra.nixos.org/build/233253920 at 2023-09-02
- taglib-api # failure in job https://hydra.nixos.org/build/233259216 at 2023-09-02
+ - tagshare # failure in job https://hydra.nixos.org/build/252729876 at 2024-03-16
- tagsoup-ht # failure in job https://hydra.nixos.org/build/233233672 at 2023-09-02
- tagsoup-megaparsec # failure in job https://hydra.nixos.org/build/233205700 at 2023-09-02
- tagsoup-parsec # failure in job https://hydra.nixos.org/build/233200887 at 2023-09-02
- tagsoup-selection # failure in job https://hydra.nixos.org/build/233228969 at 2023-09-02
- - tagtree # failure in job https://hydra.nixos.org/build/233209409 at 2023-09-02
+ - Tahin # failure in job https://hydra.nixos.org/build/252737178 at 2024-03-16
- tahoe-capabilities # failure in job https://hydra.nixos.org/build/233253813 at 2023-09-02
- tahoe-chk # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/237298038 at 2023-10-21
+ - tahoe-great-black-swamp-types # failure in job https://hydra.nixos.org/build/252721139 at 2024-03-16
- tai64 # failure in job https://hydra.nixos.org/build/233257422 at 2023-09-02
- tai # failure in job https://hydra.nixos.org/build/233210483 at 2023-09-02
- - tailwind # failure in job https://hydra.nixos.org/build/233238757 at 2023-09-02
+ - Tainted # failure in job https://hydra.nixos.org/build/252722384 at 2024-03-16
- tak # failure in job https://hydra.nixos.org/build/233191188 at 2023-09-02
- Takusen # failure in job https://hydra.nixos.org/build/233230088 at 2023-09-02
- takusen-oracle # failure in job https://hydra.nixos.org/build/233197944 at 2023-09-02
- tamarin-prover-utils # failure in job https://hydra.nixos.org/build/233235417 at 2023-09-02
- Tape # failure in job https://hydra.nixos.org/build/233191662 at 2023-09-02
- tapioca # failure in job https://hydra.nixos.org/build/233207781 at 2023-09-02
+ - tart # failure in job https://hydra.nixos.org/build/252723842 at 2024-03-16
- taskell # depends on old version of brick
- TaskMonad # failure in job https://hydra.nixos.org/build/233219257 at 2023-09-02
- tasty-autocollect # failure in job https://hydra.nixos.org/build/233256957 at 2023-09-02
- tasty-auto # failure in job https://hydra.nixos.org/build/233220008 at 2023-09-02
+ - tasty-checklist # failure in job https://hydra.nixos.org/build/252710481 at 2024-03-16
- tasty-fail-fast # failure in job https://hydra.nixos.org/build/233200040 at 2023-09-02
- tasty-grading-system # failure in job https://hydra.nixos.org/build/236673021 at 2023-10-04
- tasty-hedgehog-coverage # failure in job https://hydra.nixos.org/build/233231332 at 2023-09-02
@@ -5421,7 +5840,9 @@ broken-packages:
- TBC # failure in job https://hydra.nixos.org/build/233217773 at 2023-09-02
- TBit # failure in job https://hydra.nixos.org/build/233232991 at 2023-09-02
- tcache-AWS # failure in job https://hydra.nixos.org/build/233250577 at 2023-09-02
+ - tcod-haskell # failure in job https://hydra.nixos.org/build/252730637 at 2024-03-16
- tcp # failure in job https://hydra.nixos.org/build/233249662 at 2023-09-02
+ - tcp-streams # failure in job https://hydra.nixos.org/build/252713034 at 2024-03-16
- tcp-streams-openssl # failure in job https://hydra.nixos.org/build/233258076 at 2023-09-02
- tdigest-Chart # failure in job https://hydra.nixos.org/build/233244784 at 2023-09-02
- tdoc # failure in job https://hydra.nixos.org/build/233250532 at 2023-09-02
@@ -5438,6 +5859,8 @@ broken-packages:
- teleport # failure in job https://hydra.nixos.org/build/233194305 at 2023-09-02
- teleshell # failure in job https://hydra.nixos.org/build/233225954 at 2023-09-02
- tellbot # failure in job https://hydra.nixos.org/build/233200225 at 2023-09-02
+ - tell # failure in job https://hydra.nixos.org/build/252712899 at 2024-03-16
+ - tempered # failure in job https://hydra.nixos.org/build/252732123 at 2024-03-16
- template-default # failure in job https://hydra.nixos.org/build/233238125 at 2023-09-02
- template # failure in job https://hydra.nixos.org/build/233242058 at 2023-09-02
- template-haskell-optics # failure in job https://hydra.nixos.org/build/233203627 at 2023-09-02
@@ -5449,6 +5872,7 @@ broken-packages:
- template-yj # failure in job https://hydra.nixos.org/build/233236245 at 2023-09-02
- templatise # failure in updateAutotoolsGnuConfigScriptsPhase in job https://hydra.nixos.org/build/237235933 at 2023-10-21
- tempodb # failure in job https://hydra.nixos.org/build/233205994 at 2023-09-02
+ - tempo # failure in job https://hydra.nixos.org/build/252731680 at 2024-03-16
- temporal-csound # failure in job https://hydra.nixos.org/build/243818090 at 2024-01-01
- tempus # failure in job https://hydra.nixos.org/build/233245670 at 2023-09-02
- ten # failure in job https://hydra.nixos.org/build/233216705 at 2023-09-02
@@ -5468,12 +5892,14 @@ broken-packages:
- TestExplode # failure in job https://hydra.nixos.org/build/233207327 at 2023-09-02
- test-fixture # failure in job https://hydra.nixos.org/build/233203103 at 2023-09-02
- test-framework-doctest # failure in job https://hydra.nixos.org/build/233256232 at 2023-09-02
+ - test-framework-golden # failure in job https://hydra.nixos.org/build/252724226 at 2024-03-16
- test-framework-quickcheck # failure in job https://hydra.nixos.org/build/233199583 at 2023-09-02
- test-framework-skip # failure in job https://hydra.nixos.org/build/233248465 at 2023-09-02
- test-framework-testing-feat # failure in job https://hydra.nixos.org/build/233227290 at 2023-09-02
- test-framework-th-prime # failure in job https://hydra.nixos.org/build/233241423 at 2023-09-02
- test-lib # failure in job https://hydra.nixos.org/build/233195296 at 2023-09-02
- testloop # failure in job https://hydra.nixos.org/build/233206527 at 2023-09-02
+ - test-monad-laws # failure in job https://hydra.nixos.org/build/252739363 at 2024-03-16
- testpack # failure in job https://hydra.nixos.org/build/233194859 at 2023-09-02
- testpattern # failure in job https://hydra.nixos.org/build/233225860 at 2023-09-02
- testPkg # failure in job https://hydra.nixos.org/build/233221832 at 2023-09-02
@@ -5501,6 +5927,8 @@ broken-packages:
- text-offset # failure in job https://hydra.nixos.org/build/233250030 at 2023-09-02
- text-position # failure in job https://hydra.nixos.org/build/233241860 at 2023-09-02
- text-register-machine # failure in job https://hydra.nixos.org/build/233239758 at 2023-09-02
+ - text-render # failure in job https://hydra.nixos.org/build/252713121 at 2024-03-16
+ - text-replace # failure in job https://hydra.nixos.org/build/252727577 at 2024-03-16
- text-stream-decode # failure in job https://hydra.nixos.org/build/233237533 at 2023-09-02
- text-trie # failure in job https://hydra.nixos.org/build/233231841 at 2023-09-02
- textual # failure in job https://hydra.nixos.org/build/233254230 at 2023-09-02
@@ -5578,6 +6006,7 @@ broken-packages:
- timeutils # failure in job https://hydra.nixos.org/build/233230513 at 2023-09-02
- time-w3c # failure in job https://hydra.nixos.org/build/233225902 at 2023-09-02
- timezone-detect # failure in job https://hydra.nixos.org/build/233205213 at 2023-09-02
+ - tini # failure in job https://hydra.nixos.org/build/252732072 at 2024-03-16
- tinyid # failure in job https://hydra.nixos.org/build/233249999 at 2023-09-02
- TinyLaunchbury # failure in job https://hydra.nixos.org/build/233233671 at 2023-09-02
- tinylog # failure in job https://hydra.nixos.org/build/233198434 at 2023-09-02
@@ -5593,6 +6022,7 @@ broken-packages:
- tkyprof # failure in job https://hydra.nixos.org/build/233205547 at 2023-09-02
- tls-debug # failure in job https://hydra.nixos.org/build/233228426 at 2023-09-02
- TLT # failure in job https://hydra.nixos.org/build/233193495 at 2023-09-02
+ - tmp-postgres # failure in job https://hydra.nixos.org/build/252731301 at 2024-03-16
- tmp-proc-example # failure in job https://hydra.nixos.org/build/233223028 at 2023-09-02
- tmp-proc-zipkin # failure in job https://hydra.nixos.org/build/233210220 at 2023-09-02
- tofromxml # failure in job https://hydra.nixos.org/build/233257072 at 2023-09-02
@@ -5631,15 +6061,20 @@ broken-packages:
- trackit # failure in job https://hydra.nixos.org/build/233243891 at 2023-09-02
- traction # failure in job https://hydra.nixos.org/build/233193894 at 2023-09-02
- tracy # failure in job https://hydra.nixos.org/build/233210215 at 2023-09-02
+ - trade-journal # failure in job https://hydra.nixos.org/build/252737021 at 2024-03-16
- traildb # failure in job https://hydra.nixos.org/build/233223153 at 2023-09-02
- transactional-events # failure in job https://hydra.nixos.org/build/233205740 at 2023-09-02
- transf # failure in job https://hydra.nixos.org/build/233202251 at 2023-09-02
- TransformeR # failure in job https://hydra.nixos.org/build/233255786 at 2023-09-02
- transformers-bifunctors # failure in job https://hydra.nixos.org/build/233258007 at 2023-09-02
- transformers-compose # failure in job https://hydra.nixos.org/build/233212861 at 2023-09-02
+ - transformers-continue # failure in job https://hydra.nixos.org/build/252734162 at 2024-03-16
+ - transformers-fix # failure in job https://hydra.nixos.org/build/252715911 at 2024-03-16
+ - transformers-free # failure in job https://hydra.nixos.org/build/252717332 at 2024-03-16
- transformers-lift # failure in job https://hydra.nixos.org/build/233223136 at 2023-09-02
- transformers-runnable # failure in job https://hydra.nixos.org/build/233209164 at 2023-09-02
- TransformersStepByStep # failure in job https://hydra.nixos.org/build/233243582 at 2023-09-02
+ - transformers-supply # failure in job https://hydra.nixos.org/build/252713362 at 2024-03-16
- trans-fx-core # failure in job https://hydra.nixos.org/build/233219543 at 2023-09-02
- transient # failure in job https://hydra.nixos.org/build/233221557 at 2023-09-02
- translatable-intset # failure in job https://hydra.nixos.org/build/233252531 at 2023-09-02
@@ -5662,10 +6097,12 @@ broken-packages:
- trhsx # failure in job https://hydra.nixos.org/build/233231297 at 2023-09-02
- tries # timeout
- trim # failure in job https://hydra.nixos.org/build/233195034 at 2023-09-02
+ - triplesec # failure in job https://hydra.nixos.org/build/252718437 at 2024-03-16
- tripLL # failure in job https://hydra.nixos.org/build/233217806 at 2023-09-02
- trivia # failure in job https://hydra.nixos.org/build/233234176 at 2023-09-02
- tropical # failure in job https://hydra.nixos.org/build/233212835 at 2023-09-02
- tropical-geometry # failure in job https://hydra.nixos.org/build/234465815 at 2023-09-13
+ - true-name # failure in job https://hydra.nixos.org/build/252712188 at 2024-03-16
- trust-chain # failure in job https://hydra.nixos.org/build/233252622 at 2023-09-02
- tsession # failure in job https://hydra.nixos.org/build/233259005 at 2023-09-02
- tslib # failure in job https://hydra.nixos.org/build/233225813 at 2023-09-02
@@ -5680,6 +6117,7 @@ broken-packages:
- tubes # failure in job https://hydra.nixos.org/build/233245507 at 2023-09-02
- tuntap # failure in job https://hydra.nixos.org/build/233199575 at 2023-09-02
- tuple-append-instances # failure in job https://hydra.nixos.org/build/233256201 at 2023-09-02
+ - tuple-fields # failure in job https://hydra.nixos.org/build/252721117 at 2024-03-16
- tupleinstances # failure in job https://hydra.nixos.org/build/233208004 at 2023-09-02
- tuple-lenses # failure in job https://hydra.nixos.org/build/233239309 at 2023-09-02
- turing-machines # failure in job https://hydra.nixos.org/build/233195604 at 2023-09-02
@@ -5691,6 +6129,7 @@ broken-packages:
- twilio # failure in job https://hydra.nixos.org/build/233199959 at 2023-09-02
- twiml # failure in job https://hydra.nixos.org/build/233219327 at 2023-09-02
- twine # failure in job https://hydra.nixos.org/build/233191924 at 2023-09-02
+ - twirp # failure in job https://hydra.nixos.org/build/252736228 at 2024-03-16
- twisty # failure in job https://hydra.nixos.org/build/233212390 at 2023-09-02
- twitchapi # failure in job https://hydra.nixos.org/build/233245126 at 2023-09-02
- twitch # failure in job https://hydra.nixos.org/build/233198940 at 2023-09-02
@@ -5702,6 +6141,7 @@ broken-packages:
- tyfam-witnesses # failure in job https://hydra.nixos.org/build/233191033 at 2023-09-02
- typalyze # failure in job https://hydra.nixos.org/build/233246228 at 2023-09-02
- typeable-th # failure in job https://hydra.nixos.org/build/233234377 at 2023-09-02
+ - typechain # failure in job https://hydra.nixos.org/build/252734998 at 2024-03-16
- type-combinators # failure in job https://hydra.nixos.org/build/233230024 at 2023-09-02
- type-compare # failure in job https://hydra.nixos.org/build/233207530 at 2023-09-02
- TypeCompose # failure in job https://hydra.nixos.org/build/233212999 at 2023-09-02
@@ -5713,6 +6153,7 @@ broken-packages:
- typed-time # failure in job https://hydra.nixos.org/build/233246930 at 2023-09-02
- typed-wire # failure in job https://hydra.nixos.org/build/233237626 at 2023-09-02
- type-eq # failure in job https://hydra.nixos.org/build/233214388 at 2023-09-02
+ - type-errors # failure in job https://hydra.nixos.org/build/252734319 at 2024-03-16
- type-errors-pretty # failure in job https://hydra.nixos.org/build/233238808 at 2023-09-02
- typehash # failure in job https://hydra.nixos.org/build/233207184 at 2023-09-02
- type-indexed-queues # failure in job https://hydra.nixos.org/build/233197833 at 2023-09-02
@@ -5726,11 +6167,13 @@ broken-packages:
- type-level-sets # failure in job https://hydra.nixos.org/build/233197419 at 2023-09-02
- typelevel-tensor # failure in job https://hydra.nixos.org/build/233190827 at 2023-09-02
- type-list # failure in job https://hydra.nixos.org/build/233234789 at 2023-09-02
+ - typelits-printf # failure in job https://hydra.nixos.org/build/252737641 at 2024-03-16
- typelits-witnesses # failure in job https://hydra.nixos.org/build/233224289 at 2023-09-02
- type-of-html-static # failure in job https://hydra.nixos.org/build/233226924 at 2023-09-02
- type-operators # failure in job https://hydra.nixos.org/build/233232802 at 2023-09-02
- typeparams # failure in job https://hydra.nixos.org/build/233192078 at 2023-09-02
- type-prelude # failure in job https://hydra.nixos.org/build/233221195 at 2023-09-02
+ - type-reflection # failure in job https://hydra.nixos.org/build/252719111 at 2024-03-16
- type-safe-avl # failure in job https://hydra.nixos.org/build/233203946 at 2023-09-02
- types-compat # failure in job https://hydra.nixos.org/build/233249850 at 2023-09-02
- type-settheory # failure in job https://hydra.nixos.org/build/233209513 at 2023-09-02
@@ -5740,6 +6183,8 @@ broken-packages:
- typograffiti # failure in job https://hydra.nixos.org/build/233195076 at 2023-09-02
- typson-core # failure in job https://hydra.nixos.org/build/233257835 at 2023-09-02
- tyro # failure in job https://hydra.nixos.org/build/233200171 at 2023-09-02
+ - tztime # failure in job https://hydra.nixos.org/build/252731958 at 2024-03-16
+ - uacpid # failure in job https://hydra.nixos.org/build/252734266 at 2024-03-16
- uAgda # failure in job https://hydra.nixos.org/build/233252487 at 2023-09-02
- uberlast # failure in job https://hydra.nixos.org/build/233233074 at 2023-09-02
- ucam-webauth-types # failure in job https://hydra.nixos.org/build/233260145 at 2023-09-02
@@ -5757,15 +6202,24 @@ broken-packages:
- unamb-custom # failure in job https://hydra.nixos.org/build/233197458 at 2023-09-02
- unbeliever # failure in job https://hydra.nixos.org/build/233221256 at 2023-09-02
- unbounded-delays-units # failure in job https://hydra.nixos.org/build/233200989 at 2023-09-02
+ - unbound-generics # failure in job https://hydra.nixos.org/build/252713870 at 2024-03-16
- unboxed-containers # failure in job https://hydra.nixos.org/build/233200384 at 2023-09-02
- unboxed # failure in job https://hydra.nixos.org/build/233219555 at 2023-09-02
- unboxed-references # failure in job https://hydra.nixos.org/build/233192713 at 2023-09-02
- unbreak # failure in job https://hydra.nixos.org/build/233242560 at 2023-09-02
+ - unescaping-print # failure in job https://hydra.nixos.org/build/252736030 at 2024-03-16
- unfix-binders # failure in job https://hydra.nixos.org/build/233259262 at 2023-09-02
+ - unfoldable # failure in job https://hydra.nixos.org/build/252721990 at 2024-03-16
+ - unicode-data-names # failure in job https://hydra.nixos.org/build/252723683 at 2024-03-16
+ - unicode-data-scripts # failure in job https://hydra.nixos.org/build/252715720 at 2024-03-16
+ - unicode-data-security # failure in job https://hydra.nixos.org/build/252714713 at 2024-03-16
- unicode-general-category # failure in job https://hydra.nixos.org/build/233250572 at 2023-09-02
- unicode-prelude # failure in job https://hydra.nixos.org/build/233241723 at 2023-09-02
- unicode-symbols # failure in job https://hydra.nixos.org/build/233241639 at 2023-09-02
- unicode-tricks # failure in job https://hydra.nixos.org/build/233258238 at 2023-09-02
+ - unification-fd # failure in job https://hydra.nixos.org/build/252716820 at 2024-03-16
+ - union # failure in job https://hydra.nixos.org/build/252720024 at 2024-03-16
+ - union-find-array # failure in job https://hydra.nixos.org/build/252717893 at 2024-03-16
- union-find # failure in job https://hydra.nixos.org/build/233245476 at 2023-09-02
- union-map # failure in job https://hydra.nixos.org/build/233222765 at 2023-09-02
- uniprot-kb # failure in job https://hydra.nixos.org/build/233209692 at 2023-09-02
@@ -5783,6 +6237,7 @@ broken-packages:
- universe-instances-trans # failure in job https://hydra.nixos.org/build/233235623 at 2023-09-02
- universe-some # failure in job https://hydra.nixos.org/build/233254356 at 2023-09-02
- unix-handle # failure in job https://hydra.nixos.org/build/233233273 at 2023-09-02
+ - unix-memory # failure in job https://hydra.nixos.org/build/252735802 at 2024-03-16
- unix-process-conduit # failure in job https://hydra.nixos.org/build/233191509 at 2023-09-02
- unix-recursive # failure in job https://hydra.nixos.org/build/233194742 at 2023-09-02
- unlifted-list # failure in job https://hydra.nixos.org/build/233205239 at 2023-09-02
@@ -5803,6 +6258,7 @@ broken-packages:
- unsequential # failure in job https://hydra.nixos.org/build/233244400 at 2023-09-02
- unused # failure in job https://hydra.nixos.org/build/233243602 at 2023-09-02
- uom-plugin # failure in job https://hydra.nixos.org/build/233228019 at 2023-09-02
+ - update-monad # failure in job https://hydra.nixos.org/build/252724414 at 2024-03-16
- Updater # failure in job https://hydra.nixos.org/build/233215373 at 2023-09-02
- updo # failure in job https://hydra.nixos.org/build/244399557 at 2024-01-01
- uploadcare # failure in job https://hydra.nixos.org/build/233197403 at 2023-09-02
@@ -5828,6 +6284,7 @@ broken-packages:
- useragents # failure in job https://hydra.nixos.org/build/233203723 at 2023-09-02
- userid # failure in job https://hydra.nixos.org/build/233227019 at 2023-09-02
- users-persistent # failure in job https://hydra.nixos.org/build/233258182 at 2023-09-02
+ - users-postgresql-simple # failure in job https://hydra.nixos.org/build/252737462 at 2024-03-16
- utc # failure in job https://hydra.nixos.org/build/233218307 at 2023-09-02
- utf8-conversions # failure in job https://hydra.nixos.org/build/233245725 at 2023-09-02
- utf8-prelude # failure in job https://hydra.nixos.org/build/233240100 at 2023-09-02
@@ -5837,6 +6294,7 @@ broken-packages:
- util-plus # failure in job https://hydra.nixos.org/build/233231591 at 2023-09-02
- util-primitive # failure in job https://hydra.nixos.org/build/233258861 at 2023-09-02
- uuagc-bootstrap # failure in job https://hydra.nixos.org/build/233254123 at 2023-09-02
+ - uuagc-cabal # failure in job https://hydra.nixos.org/build/252727853 at 2024-03-16
- uuagc-diagrams # failure in job https://hydra.nixos.org/build/233247645 at 2023-09-02
- uu-cco # failure in job https://hydra.nixos.org/build/233259027 at 2023-09-02
- uuid-aeson # failure in job https://hydra.nixos.org/build/233219695 at 2023-09-02
@@ -5850,10 +6308,12 @@ broken-packages:
- validated-types # failure in job https://hydra.nixos.org/build/233258079 at 2023-09-02
- Validation # failure in job https://hydra.nixos.org/build/233253977 at 2023-09-02
- validations # failure in job https://hydra.nixos.org/build/233208976 at 2023-09-02
+ - validationt # failure in job https://hydra.nixos.org/build/252739235 at 2024-03-16
- validators # failure in job https://hydra.nixos.org/build/233235532 at 2023-09-02
- validity-network-uri # failure in job https://hydra.nixos.org/build/233240551 at 2023-09-02
- valid-names # failure in job https://hydra.nixos.org/build/233213115 at 2023-09-02
- value-supply # failure in job https://hydra.nixos.org/build/233190936 at 2023-09-02
+ - ValveValueKeyvalue # failure in job https://hydra.nixos.org/build/252733320 at 2024-03-16
- vampire # failure in job https://hydra.nixos.org/build/233216179 at 2023-09-02
- varan # failure in job https://hydra.nixos.org/build/233232057 at 2023-09-02
- var # failure in job https://hydra.nixos.org/build/233240303 at 2023-09-02
@@ -5913,6 +6373,7 @@ broken-packages:
- visibility # failure in job https://hydra.nixos.org/build/233206672 at 2023-09-02
- visual-prof # failure in job https://hydra.nixos.org/build/233250080 at 2023-09-02
- vitrea # failure in job https://hydra.nixos.org/build/233252038 at 2023-09-02
+ - vivid # failure in job https://hydra.nixos.org/build/252716916 at 2024-03-16
- vk-aws-route53 # failure in job https://hydra.nixos.org/build/233250126 at 2023-09-02
- VKHS # failure in job https://hydra.nixos.org/build/233246557 at 2023-09-02
- vowpal-utils # failure in job https://hydra.nixos.org/build/233251505 at 2023-09-02
@@ -5924,9 +6385,9 @@ broken-packages:
- vty-examples # failure in job https://hydra.nixos.org/build/233235872 at 2023-09-02
- vty-menu # failure in job https://hydra.nixos.org/build/233232391 at 2023-09-02
- vty-ui # failure in job https://hydra.nixos.org/build/233200900 at 2023-09-02
- - vty-unix # failure in job https://hydra.nixos.org/build/241443107 at 2023-11-19
- wacom-daemon # failure in job https://hydra.nixos.org/build/233213077 at 2023-09-02
- waddle # failure in job https://hydra.nixos.org/build/233239973 at 2023-09-02
+ - wai-app-file-cgi # failure in job https://hydra.nixos.org/build/252733772 at 2024-03-16
- wai-git-http # failure in job https://hydra.nixos.org/build/233191513 at 2023-09-02
- wai-graceful # failure in job https://hydra.nixos.org/build/233243180 at 2023-09-02
- wai-handler-devel # failure in job https://hydra.nixos.org/build/233226033 at 2023-09-02
@@ -5948,6 +6409,7 @@ broken-packages:
- wai-middleware-preprocessor # failure in job https://hydra.nixos.org/build/233227365 at 2023-09-02
- wai-middleware-static-caching # failure in job https://hydra.nixos.org/build/233208386 at 2023-09-02
- wai-middleware-travisci # failure in job https://hydra.nixos.org/build/233215805 at 2023-09-02
+ - wai-middleware-validation # failure in job https://hydra.nixos.org/build/252713056 at 2024-03-16
- wai-predicates # failure in job https://hydra.nixos.org/build/245788559 at 2024-01-07
- wai-problem-details # failure in job https://hydra.nixos.org/build/233227727 at 2023-09-02
- wai-rate-limit-postgres # failure in job https://hydra.nixos.org/build/233244097 at 2023-09-02
@@ -5972,6 +6434,7 @@ broken-packages:
- warp-dynamic # failure in job https://hydra.nixos.org/build/233220479 at 2023-09-02
- warp-static # failure in job https://hydra.nixos.org/build/233239581 at 2023-09-02
- warp-systemd # failure in job https://hydra.nixos.org/build/233215956 at 2023-09-02
+ - warp-tls-uid # failure in job https://hydra.nixos.org/build/252725883 at 2024-03-16
- wasm # failure in job https://hydra.nixos.org/build/233249877 at 2023-09-02
- watcher # failure in job https://hydra.nixos.org/build/233245056 at 2023-09-02
- watchit # failure in job https://hydra.nixos.org/build/233199573 at 2023-09-02
@@ -5996,6 +6459,7 @@ broken-packages:
- web-encodings # failure in job https://hydra.nixos.org/build/233199718 at 2023-09-02
- WeberLogic # failure in job https://hydra.nixos.org/build/233209283 at 2023-09-02
- webfinger-client # failure in job https://hydra.nixos.org/build/233252528 at 2023-09-02
+ - web-inv-route # failure in job https://hydra.nixos.org/build/252728701 at 2024-03-16
- webkitgtk3 # failure in job https://hydra.nixos.org/build/233215712 at 2023-09-02
- webkit-javascriptcore # failure in job https://hydra.nixos.org/build/233208424 at 2023-09-02
- webmention # failure in job https://hydra.nixos.org/build/233208899 at 2023-09-02
@@ -6004,17 +6468,20 @@ broken-packages:
- web-plugins # failure in job https://hydra.nixos.org/build/233207596 at 2023-09-02
- web-push # failure in job https://hydra.nixos.org/build/233206721 at 2023-09-02
- Webrexp # failure in job https://hydra.nixos.org/build/233212376 at 2023-09-02
+ - web-routes # failure in job https://hydra.nixos.org/build/252719043 at 2024-03-16
- web-routes-quasi # failure in job https://hydra.nixos.org/build/233222454 at 2023-09-02
- web-routes-transformers # failure in job https://hydra.nixos.org/build/233256428 at 2023-09-02
- webshow # failure in job https://hydra.nixos.org/build/233243842 at 2023-09-02
- web-view # failure in job https://hydra.nixos.org/build/244678837 at 2024-01-01
- webwire # failure in job https://hydra.nixos.org/build/233233892 at 2023-09-02
+ - wedged # failure in job https://hydra.nixos.org/build/252739136 at 2024-03-16
- WEditor # failure in job https://hydra.nixos.org/build/233215233 at 2023-09-02
- weighted-regexp # failure in job https://hydra.nixos.org/build/233243077 at 2023-09-02
- welshy # failure in job https://hydra.nixos.org/build/233224249 at 2023-09-02
- werewolf # failure in job https://hydra.nixos.org/build/233250937 at 2023-09-02
- wgpu-raw-hs # failure in job https://hydra.nixos.org/build/233221814 at 2023-09-02
- Wheb # failure in job https://hydra.nixos.org/build/233258281 at 2023-09-02
+ - wherefrom-compat # failure in job https://hydra.nixos.org/build/252723758 at 2024-03-16
- while-lang-parser # failure in job https://hydra.nixos.org/build/233237507 at 2023-09-02
- whim # failure in job https://hydra.nixos.org/build/234465317 at 2023-09-13
- whiskers # failure in job https://hydra.nixos.org/build/233258941 at 2023-09-02
@@ -6041,6 +6508,7 @@ broken-packages:
- woe # failure in job https://hydra.nixos.org/build/233222792 at 2023-09-02
- woffex # failure in job https://hydra.nixos.org/build/233210566 at 2023-09-02
- wol # failure in job https://hydra.nixos.org/build/233237896 at 2023-09-02
+ - word16 # failure in job https://hydra.nixos.org/build/252737588 at 2024-03-16
- word24 # failure in job https://hydra.nixos.org/build/233259494 at 2023-09-02
- word2vec-model # failure in job https://hydra.nixos.org/build/233209500 at 2023-09-02
- word8set # failure in job https://hydra.nixos.org/build/233246039 at 2023-09-02
@@ -6051,20 +6519,26 @@ broken-packages:
- WordNet-ghc74 # failure in job https://hydra.nixos.org/build/233192586 at 2023-09-02
- wordn # failure in job https://hydra.nixos.org/build/233238840 at 2023-09-02
- wordpass # failure in job https://hydra.nixos.org/build/233202954 at 2023-09-02
+ - wordpress-auth # failure in job https://hydra.nixos.org/build/252724354 at 2024-03-16
- wordsearch # failure in job https://hydra.nixos.org/build/233203803 at 2023-09-02
- workdays # failure in job https://hydra.nixos.org/build/233209994 at 2023-09-02
- Workflow # failure in job https://hydra.nixos.org/build/233203463 at 2023-09-02
- workflow-osx # failure in job https://hydra.nixos.org/build/233235315 at 2023-09-02
- workflow-windows # failure in job https://hydra.nixos.org/build/233257774 at 2023-09-02
- work-time # failure in job https://hydra.nixos.org/build/233245304 at 2023-09-02
+ - world-peace # failure in job https://hydra.nixos.org/build/252710711 at 2024-03-16
- worldturtle # failure in job https://hydra.nixos.org/build/234448293 at 2023-09-13
- wp-archivebot # failure in job https://hydra.nixos.org/build/233195749 at 2023-09-02
+ - wrapped-generic-default # failure in job https://hydra.nixos.org/build/252718811 at 2024-03-16
- wreq-helper # failure in job https://hydra.nixos.org/build/233228914 at 2023-09-02
- wreq-patchable # failure in job https://hydra.nixos.org/build/233237832 at 2023-09-02
- wreq-sb # failure in job https://hydra.nixos.org/build/233259269 at 2023-09-02
+ - write-buffer-core # failure in job https://hydra.nixos.org/build/252727715 at 2024-03-16
+ - writer-cps-exceptions # failure in job https://hydra.nixos.org/build/252717755 at 2024-03-16
- writer-cps-lens # failure in job https://hydra.nixos.org/build/233238466 at 2023-09-02
- writer-cps-monads-tf # failure in job https://hydra.nixos.org/build/233218245 at 2023-09-02
- writer-cps-morph # failure in job https://hydra.nixos.org/build/233241891 at 2023-09-02
+ - writer-cps-mtl # failure in job https://hydra.nixos.org/build/252713593 at 2024-03-16
- wsdl # failure in job https://hydra.nixos.org/build/233208187 at 2023-09-02
- wsedit # failure in job https://hydra.nixos.org/build/233232333 at 2023-09-02
- ws # failure building executable 'ws' in job https://hydra.nixos.org/build/237237311 at 2023-10-21
@@ -6072,10 +6546,13 @@ broken-packages:
- wtk # failure in job https://hydra.nixos.org/build/233220668 at 2023-09-02
- wumpus-core # failure in job https://hydra.nixos.org/build/233244405 at 2023-09-02
- wxdirect # failure in job https://hydra.nixos.org/build/233255519 at 2023-09-02
+ - wybor # failure in job https://hydra.nixos.org/build/252729784 at 2024-03-16
- X11-extras # failure in job https://hydra.nixos.org/build/233226031 at 2023-09-02
- X11-rm # failure in job https://hydra.nixos.org/build/233242806 at 2023-09-02
- X11-xdamage # failure in job https://hydra.nixos.org/build/233194342 at 2023-09-02
- X11-xfixes # failure in job https://hydra.nixos.org/build/233256494 at 2023-09-02
+ - x86-64bit # failure in job https://hydra.nixos.org/build/252737465 at 2024-03-16
+ - xcb-types # failure in job https://hydra.nixos.org/build/252721031 at 2024-03-16
- xchat-plugin # failure in job https://hydra.nixos.org/build/233238679 at 2023-09-02
- xcp # failure in job https://hydra.nixos.org/build/233208926 at 2023-09-02
- x-dsp # failure in job https://hydra.nixos.org/build/233218091 at 2023-09-02
@@ -6101,9 +6578,11 @@ broken-packages:
- xml-conduit-parse # failure in job https://hydra.nixos.org/build/233200360 at 2023-09-02
- xml-conduit-selectors # failure in job https://hydra.nixos.org/build/233223331 at 2023-09-02
- xml-conduit-stylist # failure in job https://hydra.nixos.org/build/233226507 at 2023-09-02
+ - xml-extractors # failure in job https://hydra.nixos.org/build/252718569 at 2024-03-16
- xml-html-conduit-lens # failure in job https://hydra.nixos.org/build/233238471 at 2023-09-02
- XmlHtmlWriter # failure in job https://hydra.nixos.org/build/233213597 at 2023-09-02
- xml-parsec # failure in job https://hydra.nixos.org/build/233208461 at 2023-09-02
+ - xml-parser # failure in job https://hydra.nixos.org/build/252721082 at 2024-03-16
- xml-prettify # failure in job https://hydra.nixos.org/build/233225974 at 2023-09-02
- xml-prettify-text # failure in job https://hydra.nixos.org/build/233202586 at 2023-09-02
- xml-query # failure in job https://hydra.nixos.org/build/233194795 at 2023-09-02
@@ -6140,10 +6619,12 @@ broken-packages:
- yall # failure in job https://hydra.nixos.org/build/233254805 at 2023-09-02
- yam-app # failure in job https://hydra.nixos.org/build/233250535 at 2023-09-02
- yam-config # failure in job https://hydra.nixos.org/build/233194454 at 2023-09-02
+ - yamemo # failure in job https://hydra.nixos.org/build/252739552 at 2024-03-16
- yaml-combinators # failure in job https://hydra.nixos.org/build/233225265 at 2023-09-02
- yaml-config # failure in job https://hydra.nixos.org/build/233242910 at 2023-09-02
- yamlkeysdiff # failure in job https://hydra.nixos.org/build/233234710 at 2023-09-02
- yaml-light-lens # failure in job https://hydra.nixos.org/build/233251688 at 2023-09-02
+ - yamlparse-applicative # failure in job https://hydra.nixos.org/build/252718434 at 2024-03-16
- yaml-pretty-extras # failure in job https://hydra.nixos.org/build/233219040 at 2023-09-02
- YamlReference # failure in job https://hydra.nixos.org/build/233222700 at 2023-09-02
- yaml-rpc # failure in job https://hydra.nixos.org/build/233192097 at 2023-09-02
@@ -6153,6 +6634,7 @@ broken-packages:
- yampa-glut # failure in job https://hydra.nixos.org/build/234458324 at 2023-09-13
- yampa-sdl2 # failure in job https://hydra.nixos.org/build/233246927 at 2023-09-02
- YampaSynth # failure in job https://hydra.nixos.org/build/233226486 at 2023-09-02
+ - yampa-test # failure in job https://hydra.nixos.org/build/252726579 at 2024-03-16
- yandex-translate # failure in job https://hydra.nixos.org/build/233225152 at 2023-09-02
- yaop # failure in job https://hydra.nixos.org/build/233215867 at 2023-09-02
- yapb # failure in job https://hydra.nixos.org/build/233246177 at 2023-09-02
@@ -6177,6 +6659,7 @@ broken-packages:
- yesod-auth-ldap-mediocre # failure in job https://hydra.nixos.org/build/233195322 at 2023-09-02
- yesod-auth-ldap-native # failure in job https://hydra.nixos.org/build/233218681 at 2023-09-02
- yesod-auth-nopassword # failure in job https://hydra.nixos.org/build/233197722 at 2023-09-02
+ - yesod-auth-oidc # failure in job https://hydra.nixos.org/build/252727671 at 2024-03-16
- yesod-auth-pam # failure in job https://hydra.nixos.org/build/233207688 at 2023-09-02
- yesod-auth-smbclient # failure in job https://hydra.nixos.org/build/233234879 at 2023-09-02
- yesod-auth-zendesk # failure in job https://hydra.nixos.org/build/233212653 at 2023-09-02
@@ -6226,6 +6709,7 @@ broken-packages:
- yoctoparsec # failure in job https://hydra.nixos.org/build/233192019 at 2023-09-02
- yoda # failure in job https://hydra.nixos.org/build/233200530 at 2023-09-02
- Yogurt # failure in job https://hydra.nixos.org/build/233212103 at 2023-09-02
+ - ytl # failure in job https://hydra.nixos.org/build/252731628 at 2024-03-16
- yu-core # failure in job https://hydra.nixos.org/build/233202551 at 2023-09-02
- yuiGrid # failure in job https://hydra.nixos.org/build/233223402 at 2023-09-02
- yu-tool # failure in job https://hydra.nixos.org/build/233216535 at 2023-09-02
@@ -6250,6 +6734,7 @@ broken-packages:
- ZFS # failure in job https://hydra.nixos.org/build/233257824 at 2023-09-02
- zifter # failure in job https://hydra.nixos.org/build/233196342 at 2023-09-02
- zigbee-znet25 # failure in job https://hydra.nixos.org/build/233235729 at 2023-09-02
+ - zio # failure in job https://hydra.nixos.org/build/252730492 at 2024-03-16
- zip-conduit # failure in job https://hydra.nixos.org/build/233259721 at 2023-09-02
- zipedit # failure in job https://hydra.nixos.org/build/233218886 at 2023-09-02
- zipkin # failure in job https://hydra.nixos.org/build/233249243 at 2023-09-02
diff --git a/pkgs/development/haskell-modules/configuration-hackage2nix/main.yaml b/pkgs/development/haskell-modules/configuration-hackage2nix/main.yaml
index f8957475256f..384136f22a9e 100644
--- a/pkgs/development/haskell-modules/configuration-hackage2nix/main.yaml
+++ b/pkgs/development/haskell-modules/configuration-hackage2nix/main.yaml
@@ -28,33 +28,10 @@ default-package-overrides:
- gi-gdkx11 < 4
# 2021-11-09: ghc-bignum is bundled starting with 9.0.1; only 1.0 builds with GHCs prior to 9.2.1
- ghc-bignum == 1.0
- # needs http-client >= 0.7.11 which isn't part of Stackage LTS 18
- - http-client-restricted < 0.0.5
- # Downgrade hasql-dynamic-statements until hasql 1.6 is in Stackage
- - hasql-dynamic-statements < 0.3.1.2
- - rope-utf16-splay < 0.4.0.0
-
- # 2023-07-06: ghcide-2.0.0.1 explicitly needs implicit-hie < 0.1.3, because some sort of
- # breaking change was introduced in implicit-hie-0.1.3.0.
- # https://github.com/haskell/haskell-language-server/blob/feb596592de95f09cf4ee885f3e74178161919f1/ghcide/ghcide.cabal#L107-L111
- - implicit-hie < 0.1.3
- - hie-bios < 0.13
-
- # pandoc-crossref 0.3.17 needs pandoc >= 3.1.8
- - pandoc-crossref < 0.3.17.0
-
- # 2023-09-17: reflex-dom 0.6.3.0 is broken https://github.com/reflex-frp/reflex-dom/issues/462
- - reflex-dom < 0.6.2.0
-
- # Only an older version of dependent-sum-template is compatible with ghc 9.4
- # https://github.com/obsidiansystems/dependent-sum-template/issues/5
- - dependent-sum-template < 0.1.2
-
- # hls-floskell-plugin 2.4 does not yet support floskell 0.11
- - floskell < 0.11
-
- # Newer daemons requires GHC 9.6
- - daemons == 0.3.0
+ # 2024-02-22: Needed for haskell-language-server-2.6.0.0
+ - lsp < 2.4.0.0
+ # 2024-02-22: Needed for hls-fourmolu-plugin-2.6.0.0 and others
+ - lsp-test < 0.17.0.0
extra-packages:
- Cabal-syntax == 3.6.* # Dummy package that ensures packages depending on Cabal-syntax can work for Cabal < 3.8
@@ -71,8 +48,7 @@ extra-packages:
- base16-bytestring < 1 # required for cabal-install etc.
- basement < 0.0.15 # 2022-08-30: last version to support GHC < 8.10
- bower-json == 1.0.0.1 # 2022-05-21: Needed for spago 0.20.9
- - brick == 0.70.* # 2022-08-13: needed by matterhorn-50200.17.0
- - brick-skylighting < 1.0 # 2022-08-13: needed by matterhorn-50200.17.0 to match brick
+ - brick == 0.70.* # 2022-08-13: needed by taskell
- brittany == 0.13.1.2 # 2022-09-20: needed for hls on ghc 8.8
- crackNum < 3.0 # 2021-05-21: 3.0 removed the lib which sbv 7.13 uses
- dependent-map == 0.2.4.0 # required by Hasura 1.3.1, 2020-08-20
@@ -84,18 +60,9 @@ extra-packages:
- ghc-exactprint == 0.6.* # 2022-12-12: needed for GHC < 9.2
- ghc-exactprint == 1.5.* # 2023-03-30: needed for GHC == 9.2
- ghc-exactprint == 1.6.* # 2023-03-30: needed for GHC == 9.4
- - ghc-lib == 8.10.7.* # 2022-02-17: preserve for GHC 8.10.7
- ghc-lib == 9.2.* # 2022-02-17: preserve for GHC 9.2
- - ghc-lib == 9.4.* # 2023-03-17: preserve for GHC 9.4
- - ghc-lib == 9.6.* # 2023-03-17: preserve for GHC 9.6
- - ghc-lib-parser == 8.10.7.* # 2022-02-17: preserve for GHC 8.10.7
- ghc-lib-parser == 9.2.* # 2022-02-17: preserve for GHC 9.2
- - ghc-lib-parser == 9.4.* # 2023-03-17: preserve for GHC 9.4
- - ghc-lib-parser == 9.6.* # 2023-10-24: preserve for GHC 9.6
- - ghc-lib-parser-ex == 8.10.* # 2022-02-17: preserve for GHC 8.10.7
- ghc-lib-parser-ex == 9.2.* # 2022-07-13: preserve for GHC 9.2
- - ghc-lib-parser-ex == 9.4.* # 2023-03-17: preserve for GHC 9.4
- - ghc-lib-parser-ex == 9.6.* # 2023-10-24: preserve for GHC 9.6
- ghc-syntax-highlighter == 0.0.10.* # 2023-11-20:
- gi-soup == 2.4.28 # 2023-04-05: the last version to support libsoup-2.4 (and thus be compatible with our other gi- packages)
- haddock == 2.23.* # required on GHC < 8.10.x
@@ -117,8 +84,8 @@ extra-packages:
- language-docker == 11.0.0 # required by hadolint 2.12.0, 2022-11-16
- language-javascript == 0.7.0.0 # required by purescript
- lens-aeson < 1.2 # 2022-12-17: For aeson < 2.0 compat
- - lsp == 1.4.0.0 # 2022-09-18: need for dhall-lsp-server 1.1.2
- - lsp-types == 1.4.0.1 # 2022-09-18: need for dhall-lsp-server 1.1.2
+ - lsp == 2.1.0.0 # 2024-02-28: need for dhall-lsp-server unstable
+ - lsp-types == 2.0.2.0 # 2024-02-28: need for dhall-lsp-server unstable
- mmorph == 1.1.3 # Newest working version of mmorph on ghc 8.6.5. needed for hls
- network == 2.6.3.1 # required by pkgs/games/hedgewars/default.nix, 2020-11-15
- optparse-applicative < 0.16 # needed for niv-0.2.19
@@ -126,6 +93,7 @@ extra-packages:
- fourmolu == 0.14.0.0 # 2023-11-13: for ghc-lib-parser 9.6 compat
- ormolu == 0.5.2.0 # 2023-08-08: for hls on ghc 9.0 and 9.2
- ormolu == 0.7.2.0 # 2023-11-13: for ghc-lib-parser 9.6 compat
+ - primitive-unlifted == 0.1.3.1 # 2024-03-16: Needed for hls on ghc 9.2
- path == 0.9.0 # 2021-12-03: path version building with stackage genvalidity and GHC 9.0.2
- resolv < 0.2 # required to build cabal-install-3.10.1.0 with Stackage LTS 21
- sbv == 7.13 # required for pkgs.petrinizer
@@ -137,12 +105,10 @@ extra-packages:
- weeder == 2.2.* # 2022-02-21: preserve for GHC 8.10.7
- weeder == 2.3.* # 2022-05-31: preserve for GHC 9.0.2
- weeder == 2.4.* # 2023-02-02: preserve for GHC 9.2.*
- - commonmark-extensions < 0.2.3.3 # 2022-12-17: required by emanote 1.0.0.0 (to avoid a bug in 0.2.3.3)
- retrie < 1.2.0.0 # 2022-12-30: required for hls on ghc < 9.2
- ghc-tags == 1.5.* # 2023-02-18: preserve for ghc-lib == 9.2.*
- ghc-tags == 1.6.* # 2023-02-18: preserve for ghc-lib == 9.4.*
- shake-cabal < 0.2.2.3 # 2023-07-01: last version to support Cabal 3.6.*
- - unix-compat < 0.7 # 2023-07-04: Need System.PosixCompat.User for git-annex
- algebraic-graphs < 0.7 # 2023-08-14: Needed for building weeder < 2.6.0
- fuzzyset == 0.2.4 # 2023-12-20: Needed for building postgrest > 10
@@ -180,6 +146,7 @@ package-maintainers:
danielrolls:
- byte-count-reader
- shellify
+ - specup
domenkozar:
- cachix
- cachix-api
@@ -300,13 +267,11 @@ package-maintainers:
- hlint
- hmatrix
- hspec-discover
- - iCalendar
- matrix-client
- optics
- pandoc
- pandoc-cli
- pandoc-crossref
- - paths
- postgresql-simple
- purebred-email
- reflex-dom
@@ -392,6 +357,14 @@ package-maintainers:
shlok:
- streamly-archive
- streamly-lmdb
+ slotThe:
+ - X11
+ - X11-xft
+ - html-parse-util
+ - optparse-applicative-cmdline-util
+ - xmonad
+ - xmonad-contrib
+ - xmonad-extras
sorki:
- cayenne-lpp
- blockfrost-client
@@ -773,6 +746,7 @@ supported-platforms:
swisstable: [ platforms.x86_64 ] # Needs AVX2
systemd-api: [ platforms.linux ]
tasty-papi: [ platforms.linux ] # limited by pkgs.papi
+ tcod-haskell: [ platforms.linux ] # limited by pkgs.libtcod
udev: [ platforms.linux ]
vty-windows: [ platforms.windows ] # depends on Win32
Win32-console: [ platforms.windows ]
diff --git a/pkgs/development/haskell-modules/configuration-hackage2nix/stackage.yaml b/pkgs/development/haskell-modules/configuration-hackage2nix/stackage.yaml
index 64484da35449..a7a122028dd7 100644
--- a/pkgs/development/haskell-modules/configuration-hackage2nix/stackage.yaml
+++ b/pkgs/development/haskell-modules/configuration-hackage2nix/stackage.yaml
@@ -1,4 +1,4 @@
-# Stackage LTS 21.25
+# Stackage LTS 22.8
# This file is auto-generated by
# maintainers/scripts/haskell/update-stackage.sh
default-package-overrides:
@@ -11,35 +11,37 @@ default-package-overrides:
- acid-state ==0.16.1.3
- action-permutations ==0.0.0.1
- active ==0.2.1
- - ad ==4.5.4
- - ad-delcont ==0.3.0.0
+ - ad ==4.5.5
+ - ad-delcont ==0.5.0.0
- adjunctions ==4.4.2
- adler32 ==0.1.2.0
- - advent-of-code-api ==0.2.9.1
- aern2-mp ==0.2.15.1
- aern2-real ==0.2.15
- aeson ==2.1.2.1
- aeson-attoparsec ==0.0.0
- aeson-casing ==0.2.0.0
- - aeson-combinators ==0.1.1.0
+ - aeson-combinators ==0.1.2.1
- aeson-diff ==1.1.0.13
- - aeson-extra ==0.5.1.2
+ - aeson-extra ==0.5.1.3
- aeson-generic-compat ==0.0.2.0
- aeson-iproute ==0.3.0
- aeson-optics ==1.2.1
- aeson-picker ==0.1.0.6
- - aeson-pretty ==0.8.9
+ - aeson-pretty ==0.8.10
- aeson-qq ==0.8.4
- - aeson-schemas ==1.4.1.0
- - aeson-typescript ==0.6.1.0
+ - aeson-schemas ==1.4.2.1
+ - aeson-typescript ==0.6.2.0
+ - aeson-unqualified-ast ==1.0.0.3
- aeson-value-parser ==0.19.7.2
+ - aeson-warning-parser ==0.1.1
- aeson-yak ==0.1.1.3
- aeson-yaml ==1.1.0.1
- agda2lagda ==0.2023.6.9
- - al ==0.1.4.2
+ - agreeing ==0.2.2.0
- alarmclock ==0.7.0.6
- - alex ==3.3.0.0
+ - alex ==3.4.0.1
- alex-meta ==0.3.0.13
+ - alex-tools ==0.6.1
- algebra ==4.3.1
- algebraic-graphs ==0.7
- align-audio ==0.0.0.1
@@ -52,30 +54,319 @@ default-package-overrides:
- alternative-vector ==0.0.0
- alternators ==1.0.0.0
- ALUT ==2.4.0.3
- - amqp ==0.22.1
- - amqp-utils ==0.6.3.2
+ - amazonka ==2.0
+ - amazonka-accessanalyzer ==2.0
+ - amazonka-account ==2.0
+ - amazonka-amp ==2.0
+ - amazonka-amplify ==2.0
+ - amazonka-amplifybackend ==2.0
+ - amazonka-amplifyuibuilder ==2.0
+ - amazonka-apigateway ==2.0
+ - amazonka-apigatewaymanagementapi ==2.0
+ - amazonka-apigatewayv2 ==2.0
+ - amazonka-appconfig ==2.0
+ - amazonka-appconfigdata ==2.0
+ - amazonka-appflow ==2.0
+ - amazonka-appintegrations ==2.0
+ - amazonka-application-autoscaling ==2.0
+ - amazonka-applicationcostprofiler ==2.0
+ - amazonka-application-insights ==2.0
+ - amazonka-appmesh ==2.0
+ - amazonka-apprunner ==2.0
+ - amazonka-appstream ==2.0
+ - amazonka-arc-zonal-shift ==2.0
+ - amazonka-athena ==2.0
+ - amazonka-auditmanager ==2.0
+ - amazonka-autoscaling ==2.0
+ - amazonka-backup ==2.0
+ - amazonka-backup-gateway ==2.0
+ - amazonka-backupstorage ==2.0
+ - amazonka-billingconductor ==2.0
+ - amazonka-braket ==2.0
+ - amazonka-budgets ==2.0
+ - amazonka-certificatemanager ==2.0
+ - amazonka-chime ==2.0
+ - amazonka-chime-sdk-identity ==2.0
+ - amazonka-chime-sdk-media-pipelines ==2.0
+ - amazonka-chime-sdk-meetings ==2.0
+ - amazonka-chime-sdk-messaging ==2.0
+ - amazonka-chime-sdk-voice ==2.0
+ - amazonka-cloudcontrol ==2.0
+ - amazonka-cloudformation ==2.0
+ - amazonka-cloudfront ==2.0
+ - amazonka-cloudhsm ==2.0
+ - amazonka-cloudsearch ==2.0
+ - amazonka-cloudsearch-domains ==2.0
+ - amazonka-cloudtrail ==2.0
+ - amazonka-cloudwatch ==2.0
+ - amazonka-cloudwatch-events ==2.0
+ - amazonka-cloudwatch-logs ==2.0
+ - amazonka-codeartifact ==2.0
+ - amazonka-codebuild ==2.0
+ - amazonka-codecommit ==2.0
+ - amazonka-codedeploy ==2.0
+ - amazonka-codeguruprofiler ==2.0
+ - amazonka-codeguru-reviewer ==2.0
+ - amazonka-codepipeline ==2.0
+ - amazonka-codestar-connections ==2.0
+ - amazonka-codestar-notifications ==2.0
+ - amazonka-cognito-identity ==2.0
+ - amazonka-cognito-idp ==2.0
+ - amazonka-cognito-sync ==2.0
+ - amazonka-comprehendmedical ==2.0
+ - amazonka-compute-optimizer ==2.0
+ - amazonka-config ==2.0
+ - amazonka-connectcampaigns ==2.0
+ - amazonka-connectcases ==2.0
+ - amazonka-connect-contact-lens ==2.0
+ - amazonka-connectparticipant ==2.0
+ - amazonka-controltower ==2.0
+ - amazonka-core ==2.0
+ - amazonka-customer-profiles ==2.0
+ - amazonka-databrew ==2.0
+ - amazonka-dataexchange ==2.0
+ - amazonka-datapipeline ==2.0
+ - amazonka-datasync ==2.0
+ - amazonka-detective ==2.0
+ - amazonka-devicefarm ==2.0
+ - amazonka-devops-guru ==2.0
+ - amazonka-directconnect ==2.0
+ - amazonka-discovery ==2.0
+ - amazonka-dlm ==2.0
+ - amazonka-dms ==2.0
+ - amazonka-docdb ==2.0
+ - amazonka-docdb-elastic ==2.0
+ - amazonka-drs ==2.0
+ - amazonka-ds ==2.0
+ - amazonka-dynamodb ==2.0
+ - amazonka-dynamodb-streams ==2.0
+ - amazonka-ebs ==2.0
+ - amazonka-ec2 ==2.0
+ - amazonka-ec2-instance-connect ==2.0
+ - amazonka-ecr ==2.0
+ - amazonka-ecr-public ==2.0
+ - amazonka-ecs ==2.0
+ - amazonka-efs ==2.0
+ - amazonka-eks ==2.0
+ - amazonka-elasticache ==2.0
+ - amazonka-elasticbeanstalk ==2.0
+ - amazonka-elastic-inference ==2.0
+ - amazonka-elasticsearch ==2.0
+ - amazonka-elastictranscoder ==2.0
+ - amazonka-elb ==2.0
+ - amazonka-elbv2 ==2.0
+ - amazonka-emr ==2.0
+ - amazonka-emr-containers ==2.0
+ - amazonka-emr-serverless ==2.0
+ - amazonka-evidently ==2.0
+ - amazonka-finspace ==2.0
+ - amazonka-finspace-data ==2.0
+ - amazonka-fis ==2.0
+ - amazonka-forecast ==2.0
+ - amazonka-forecastquery ==2.0
+ - amazonka-frauddetector ==2.0
+ - amazonka-fsx ==2.0
+ - amazonka-gamelift ==2.0
+ - amazonka-gamesparks ==2.0
+ - amazonka-glacier ==2.0
+ - amazonka-globalaccelerator ==2.0
+ - amazonka-glue ==2.0
+ - amazonka-grafana ==2.0
+ - amazonka-greengrassv2 ==2.0
+ - amazonka-groundstation ==2.0
+ - amazonka-health ==2.0
+ - amazonka-healthlake ==2.0
+ - amazonka-honeycode ==2.0
+ - amazonka-iam ==2.0
+ - amazonka-identitystore ==2.0
+ - amazonka-imagebuilder ==2.0
+ - amazonka-importexport ==2.0
+ - amazonka-inspector ==2.0
+ - amazonka-inspector2 ==2.0
+ - amazonka-iot1click-devices ==2.0
+ - amazonka-iot1click-projects ==2.0
+ - amazonka-iot ==2.0
+ - amazonka-iot-dataplane ==2.0
+ - amazonka-iotdeviceadvisor ==2.0
+ - amazonka-iotevents ==2.0
+ - amazonka-iotevents-data ==2.0
+ - amazonka-iotfleethub ==2.0
+ - amazonka-iotfleetwise ==2.0
+ - amazonka-iot-roborunner ==2.0
+ - amazonka-iotsecuretunneling ==2.0
+ - amazonka-iotsitewise ==2.0
+ - amazonka-iotthingsgraph ==2.0
+ - amazonka-iottwinmaker ==2.0
+ - amazonka-iotwireless ==2.0
+ - amazonka-ivs ==2.0
+ - amazonka-ivschat ==2.0
+ - amazonka-kafka ==2.0
+ - amazonka-kafkaconnect ==2.0
+ - amazonka-kendra ==2.0
+ - amazonka-keyspaces ==2.0
+ - amazonka-kinesis ==2.0
+ - amazonka-kinesis-analytics ==2.0
+ - amazonka-kinesisanalyticsv2 ==2.0
+ - amazonka-kinesis-firehose ==2.0
+ - amazonka-kinesis-video-signaling ==2.0
+ - amazonka-kinesis-video-webrtc-storage ==2.0
+ - amazonka-kms ==2.0
+ - amazonka-lakeformation ==2.0
+ - amazonka-lambda ==2.0
+ - amazonka-lexv2-models ==2.0
+ - amazonka-license-manager ==2.0
+ - amazonka-license-manager-linux-subscriptions ==2.0
+ - amazonka-license-manager-user-subscriptions ==2.0
+ - amazonka-lightsail ==2.0
+ - amazonka-location ==2.0
+ - amazonka-lookoutequipment ==2.0
+ - amazonka-lookoutmetrics ==2.0
+ - amazonka-lookoutvision ==2.0
+ - amazonka-m2 ==2.0
+ - amazonka-macie ==2.0
+ - amazonka-maciev2 ==2.0
+ - amazonka-managedblockchain ==2.0
+ - amazonka-marketplace-analytics ==2.0
+ - amazonka-marketplace-catalog ==2.0
+ - amazonka-marketplace-metering ==2.0
+ - amazonka-mediaconnect ==2.0
+ - amazonka-mediapackage-vod ==2.0
+ - amazonka-mediatailor ==2.0
+ - amazonka-memorydb ==2.0
+ - amazonka-mgn ==2.0
+ - amazonka-migrationhub-config ==2.0
+ - amazonka-migrationhuborchestrator ==2.0
+ - amazonka-migration-hub-refactor-spaces ==2.0
+ - amazonka-migrationhubstrategy ==2.0
+ - amazonka-ml ==2.0
+ - amazonka-mwaa ==2.0
+ - amazonka-neptune ==2.0
+ - amazonka-network-firewall ==2.0
+ - amazonka-networkmanager ==2.0
+ - amazonka-nimble ==2.0
+ - amazonka-oam ==2.0
+ - amazonka-omics ==2.0
+ - amazonka-opensearch ==2.0
+ - amazonka-opensearchserverless ==2.0
+ - amazonka-opsworks ==2.0
+ - amazonka-opsworks-cm ==2.0
+ - amazonka-outposts ==2.0
+ - amazonka-panorama ==2.0
+ - amazonka-personalize ==2.0
+ - amazonka-personalize-events ==2.0
+ - amazonka-personalize-runtime ==2.0
+ - amazonka-pi ==2.0
+ - amazonka-pinpoint ==2.0
+ - amazonka-pinpoint-email ==2.0
+ - amazonka-pinpoint-sms-voice ==2.0
+ - amazonka-pinpoint-sms-voice-v2 ==2.0
+ - amazonka-pipes ==2.0
+ - amazonka-polly ==2.0
+ - amazonka-privatenetworks ==2.0
+ - amazonka-proton ==2.0
+ - amazonka-qldb ==2.0
+ - amazonka-qldb-session ==2.0
+ - amazonka-quicksight ==2.0
+ - amazonka-ram ==2.0
+ - amazonka-rbin ==2.0
+ - amazonka-rds ==2.0
+ - amazonka-rds-data ==2.0
+ - amazonka-redshift ==2.0
+ - amazonka-redshift-data ==2.0
+ - amazonka-redshift-serverless ==2.0
+ - amazonka-rekognition ==2.0
+ - amazonka-resiliencehub ==2.0
+ - amazonka-resource-explorer-v2 ==2.0
+ - amazonka-robomaker ==2.0
+ - amazonka-rolesanywhere ==2.0
+ - amazonka-route53 ==2.0
+ - amazonka-route53-domains ==2.0
+ - amazonka-route53-recovery-cluster ==2.0
+ - amazonka-route53-recovery-control-config ==2.0
+ - amazonka-route53-recovery-readiness ==2.0
+ - amazonka-route53resolver ==2.0
+ - amazonka-rum ==2.0
+ - amazonka-s3 ==2.0
+ - amazonka-s3outposts ==2.0
+ - amazonka-sagemaker-a2i-runtime ==2.0
+ - amazonka-sagemaker-edge ==2.0
+ - amazonka-sagemaker-featurestore-runtime ==2.0
+ - amazonka-sagemaker-geospatial ==2.0
+ - amazonka-sagemaker-metrics ==2.0
+ - amazonka-savingsplans ==2.0
+ - amazonka-scheduler ==2.0
+ - amazonka-schemas ==2.0
+ - amazonka-sdb ==2.0
+ - amazonka-securityhub ==2.0
+ - amazonka-securitylake ==2.0
+ - amazonka-servicecatalog ==2.0
+ - amazonka-servicecatalog-appregistry ==2.0
+ - amazonka-service-quotas ==2.0
+ - amazonka-ses ==2.0
+ - amazonka-sesv2 ==2.0
+ - amazonka-shield ==2.0
+ - amazonka-signer ==2.0
+ - amazonka-simspaceweaver ==2.0
+ - amazonka-sms ==2.0
+ - amazonka-sms-voice ==2.0
+ - amazonka-snowball ==2.0
+ - amazonka-snow-device-management ==2.0
+ - amazonka-sns ==2.0
+ - amazonka-sqs ==2.0
+ - amazonka-ssm ==2.0
+ - amazonka-ssm-contacts ==2.0
+ - amazonka-ssm-incidents ==2.0
+ - amazonka-ssm-sap ==2.0
+ - amazonka-sso ==2.0
+ - amazonka-sso-admin ==2.0
+ - amazonka-sso-oidc ==2.0
+ - amazonka-stepfunctions ==2.0
+ - amazonka-storagegateway ==2.0
+ - amazonka-sts ==2.0
+ - amazonka-support ==2.0
+ - amazonka-support-app ==2.0
+ - amazonka-swf ==2.0
+ - amazonka-synthetics ==2.0
+ - amazonka-test ==2.0
+ - amazonka-textract ==2.0
+ - amazonka-timestream-query ==2.0
+ - amazonka-timestream-write ==2.0
+ - amazonka-transfer ==2.0
+ - amazonka-voice-id ==2.0
+ - amazonka-waf ==2.0
+ - amazonka-wafv2 ==2.0
+ - amazonka-wellarchitected ==2.0
+ - amazonka-wisdom ==2.0
+ - amazonka-worklink ==2.0
+ - amazonka-workmailmessageflow ==2.0
+ - amazonka-workspaces ==2.0
+ - amazonka-workspaces-web ==2.0
+ - amazonka-xray ==2.0
+ - amqp ==0.22.2
+ - amqp-utils ==0.6.4.0
- annotated-exception ==0.2.0.5
- annotated-wl-pprint ==0.7.0
- - ansi-terminal ==0.11.5
+ - ansi-terminal ==1.0.2
- ansi-terminal-game ==1.9.2.0
- ansi-terminal-types ==0.11.5
- - ansi-wl-pprint ==0.6.9
+ - ansi-wl-pprint ==1.0.2
- ANum ==0.2.0.2
- aos-signature ==0.1.1
- apecs ==0.9.5
+ - apecs-gloss ==0.2.4
+ - apecs-physics ==0.4.6
- api-field-json-th ==0.1.0.2
- - api-maker ==0.1.0.6
- ap-normalize ==0.1.0.1
- appar ==0.1.8
- appendful ==0.1.0.0
+ - appendful-persistent ==0.1.0.1
- appendmap ==0.1.5
- apply-refact ==0.13.0.0
- apportionment ==0.0.0.4
- approximate ==0.3.5
- approximate-equality ==1.1.0.2
- - app-settings ==0.2.0.12
- arbor-lru-cache ==0.1.1.1
- - arithmoi ==0.12.1.0
+ - arithmoi ==0.13.0.0
- array-memoize ==0.6.0
- arrow-extras ==0.1.0.1
- arrows ==0.4.4.2
@@ -83,7 +374,7 @@ default-package-overrides:
- ascii-case ==1.0.1.3
- ascii-caseless ==0.0.0.1
- ascii-char ==1.0.1.0
- - ascii-group ==1.0.0.16
+ - ascii-group ==1.0.0.17
- ascii-numbers ==1.2.0.1
- ascii-predicates ==1.0.1.3
- ascii-progress ==0.3.3.0
@@ -97,10 +388,12 @@ default-package-overrides:
- astro ==0.4.3.0
- async ==2.2.5
- async-extra ==0.2.0.0
+ - async-pool ==0.9.2
- async-refresh ==0.3.0.0
- async-refresh-tokens ==0.4.0.0
- atom-basic ==0.2.5
- atom-conduit ==0.9.0.1
+ - atomic-counter ==0.1.2.1
- atomic-primops ==0.8.4
- atomic-write ==0.2.0.7
- attoparsec ==0.14.4
@@ -110,11 +403,12 @@ default-package-overrides:
- attoparsec-data ==1.0.5.4
- attoparsec-expr ==0.1.1.2
- attoparsec-framer ==0.1.0.1
- - attoparsec-iso8601 ==1.1.0.0
+ - attoparsec-iso8601 ==1.1.0.1
- attoparsec-path ==0.0.0.1
- attoparsec-run ==0.0.2.0
- attoparsec-time ==1.0.3.1
- - audacity ==0.0.2.1
+ - attoparsec-uri ==0.0.9
+ - audacity ==0.0.2.2
- authenticate ==1.3.5.2
- authenticate-oauth ==1.7
- autodocodec ==0.2.2.0
@@ -126,18 +420,18 @@ default-package-overrides:
- avro ==0.6.1.2
- aws ==0.24.1
- aws-cloudfront-signed-cookies ==0.2.0.12
- - aws-lambda-haskell-runtime ==4.1.2
- - aws-lambda-haskell-runtime-wai ==2.0.2
- - aws-sns-verify ==0.0.0.2
+ - aws-sns-verify ==0.0.0.3
- aws-xray-client ==0.1.0.2
- aws-xray-client-persistent ==0.1.0.5
- aws-xray-client-wai ==0.1.0.2
+ - backprop ==0.2.6.5
- backtracking ==0.1.0
- bank-holidays-england ==0.2.0.9
- barbies ==2.0.5.0
- - base16 ==0.3.2.1
+ - barrier ==0.1.1
+ - base16 ==1.0
- base16-bytestring ==1.0.2.0
- - base32 ==0.3.1.0
+ - base32 ==0.4
- base32string ==0.9.1
- base58-bytestring ==0.1.0
- base58string ==0.10.0
@@ -145,8 +439,8 @@ default-package-overrides:
- base64-bytestring ==1.2.1.0
- base64-bytestring-type ==1.0.1
- base64-string ==0.2
- - base-compat ==0.12.3
- - base-compat-batteries ==0.12.3
+ - base-compat ==0.13.1
+ - base-compat-batteries ==0.13.1
- basement ==0.0.16
- base-orphans ==0.9.1
- base-prelude ==1.6.1.1
@@ -158,21 +452,18 @@ default-package-overrides:
- bcp47 ==0.2.0.6
- bcp47-orphans ==0.1.0.6
- bcrypt ==0.0.11
- - bech32 ==1.1.3
+ - beam-core ==0.10.1.0
+ - bech32 ==1.1.4
- bech32-th ==1.1.1
- - bench ==1.0.12
- benchpress ==0.2.2.23
- bencode ==0.6.1.1
- - bencoding ==0.4.5.4
- benri-hspec ==0.1.0.1
- between ==0.11.0.0
- - bhoogle ==0.1.4.2
- bibtex ==0.1.0.7
- bifunctor-classes-compat ==0.1
- - bifunctors ==5.5.15
+ - bifunctors ==5.6.1
- bimap ==0.5.0
- bimaps ==0.1.0.2
- - bimap-server ==0.1.0.1
- bin ==0.1.3
- binance-exports ==0.1.2.0
- binary-conduit ==1.3.1
@@ -182,22 +473,22 @@ default-package-overrides:
- binary-instances ==1.0.4
- binary-list ==1.1.1.2
- binary-orphans ==1.0.4.1
- - binary-parser ==0.5.7.5
+ - binary-parser ==0.5.7.6
- binary-search ==2.0.0
- binary-shared ==0.8.3
- binary-tagged ==0.3.1
- bindings-DSL ==1.0.25
- - bindings-GLFW ==3.3.2.0
+ - bindings-GLFW ==3.3.9.1
- bindings-libzip ==1.0.1
- bindings-uname ==0.1
- BiobaseEnsembl ==0.2.0.1
+ - BiobaseNewick ==0.0.0.2
- bitarray ==0.0.1.1
- bits ==0.6
- bitset-word8 ==0.1.1.2
- - bits-extra ==0.0.2.3
- bitvec ==1.1.5.0
- bitwise-enum ==1.0.1.2
- - blake2 ==0.3.0
+ - blake2 ==0.3.0.1
- Blammo ==1.1.2.1
- blank-canvas ==0.7.4
- blanks ==0.5.0
@@ -213,6 +504,7 @@ default-package-overrides:
- blaze-svg ==0.3.7
- blaze-textual ==0.2.3.1
- bloodhound ==0.21.0.0
+ - bloomfilter ==2.0.1.2
- bm ==0.2.0.0
- bmp ==1.2.6.3
- bnb-staking-csvs ==0.2.1.0
@@ -223,74 +515,81 @@ default-package-overrides:
- boltzmann-samplers ==0.1.1.0
- Boolean ==0.2.4
- boolsimplifier ==0.1.8
- - boomerang ==1.4.9
- - boots ==0.2.0.1
+ - boomerang ==1.4.9.1
+ - boomwhacker ==0.0.1
- bordacount ==0.1.0.0
- boring ==0.2.1
- bound ==2.0.7
- BoundedChan ==1.0.3.0
+ - bounded-qsem ==0.1.0.2
- bounded-queue ==1.0.0
- boundingboxes ==0.2.3
- - box ==0.9.2.1
+ - box ==0.9.3.1
- boxes ==0.1.5
- - breakpoint ==0.1.2.2
- - brick ==1.9
+ - breakpoint ==0.1.3.0
+ - brick ==2.1.1
- broadcast-chan ==0.2.1.2
- brotli ==0.0.0.1
- brotli-streams ==0.0.0.0
- bsb-http-chunked ==0.0.0.4
- bson ==0.4.0.1
- bson-lens ==0.1.1
+ - btrfs ==0.2.1.0
- buffer-builder ==0.2.4.8
- buffer-pipe ==0.0
- - bugsnag ==1.0.0.1
+ - bugsnag ==1.1.0.0
- bugsnag-haskell ==0.0.4.4
- bugsnag-hs ==0.2.0.12
- bugsnag-wai ==1.0.0.1
- bugsnag-yesod ==1.0.1.0
- bugzilla-redhat ==1.0.1.1
- - burrito ==2.0.1.7
+ - burrito ==2.0.1.8
- bv ==0.5
+ - bv-little ==1.3.2
- byteable ==0.1.1
- - bytebuild ==0.3.14.0
- - byte-count-reader ==0.10.1.10
+ - bytebuild ==0.3.15.0
+ - byte-count-reader ==0.10.1.11
- bytedump ==1.0
- - bytehash ==0.1.0.0
+ - bytehash ==0.1.1.0
- byte-order ==0.1.3.0
- byteorder ==1.0.4
- bytes ==0.17.3
- byteset ==0.1.1.1
- - byteslice ==0.2.12.0
- - bytesmith ==0.3.10.0
+ - byteslice ==0.2.13.0
+ - bytesmith ==0.3.11.0
- bytestring-builder ==0.10.8.2.0
+ - bytestring-conversion ==0.3.2
- bytestring-lexing ==0.5.0.11
- - bytestring-mmap ==0.2.2
- bytestring-strict-builder ==0.4.5.7
- bytestring-to-vector ==0.3.0.1
- - bytestring-tree-builder ==0.2.7.11
+ - bytestring-tree-builder ==0.2.7.12
- bytestring-trie ==0.2.7.2
- bz2 ==1.0.1.0
+ - bzlib ==0.5.1.0
- bzlib-conduit ==0.3.0.2
- c14n ==0.1.0.3
- c2hs ==0.28.8
- cabal2spec ==2.7.0
- cabal-appimage ==0.4.0.2
- cabal-clean ==0.2.20230609
+ - cabal-debian ==5.2.2
- cabal-doctest ==1.0.9
- cabal-file ==0.1.1
- - cabal-install-solver ==3.8.1.0
+ - cabal-install-solver ==3.10.2.1
+ - cabal-plan ==0.7.3.0
- cabal-rpm ==2.1.5
+ - cabal-sort ==0.1.2
- cache ==0.1.3.0
- cached-json-file ==0.1.1
- cacophony ==0.10.1
- cairo ==0.13.10.0
+ - cairo-image ==0.1.0.3
- calendar-recycling ==0.0.0.1
- - call-alloy ==0.4.0.3
+ - call-alloy ==0.4.1.1
- calligraphy ==0.1.6
- call-plantuml ==0.0.1.3
- call-stack ==0.4.0
- can-i-haz ==0.3.1.1
- - capability ==0.5.0.1
- ca-province-codes ==1.0.0.0
- cardano-coin-selection ==1.0.1
- carray ==0.1.6.8
@@ -298,10 +597,10 @@ default-package-overrides:
- casa-types ==0.0.2
- cased ==0.1.0.0
- case-insensitive ==1.2.1.0
- - cases ==0.1.4.2
+ - cases ==0.1.4.3
- casing ==0.1.4.1
- cassava ==0.5.3.0
- - cassava-conduit ==0.6.5
+ - cassava-conduit ==0.6.6
- cassava-megaparsec ==2.0.4
- cast ==0.1.0.2
- cborg ==0.2.10.0
@@ -316,11 +615,14 @@ default-package-overrides:
- cfenv ==0.1.0.0
- cgi ==3001.5.0.1
- chan ==0.0.4.1
+ - ChannelT ==0.0.0.7
- character-cases ==0.1.0.6
- charset ==0.3.10
- charsetdetect-ae ==1.1.0.4
- Chart ==1.9.5
+ - Chart-cairo ==1.9.4.1
- Chart-diagrams ==1.9.5.1
+ - chart-svg ==0.5.2.0
- ChasingBottoms ==1.3.1.12
- check-email ==1.0.2
- checkers ==0.6.0
@@ -337,9 +639,11 @@ default-package-overrides:
- circle-packing ==0.1.0.6
- circular ==0.4.0.3
- citeproc ==0.8.1
+ - clash-prelude ==1.8.1
- classy-prelude ==1.5.0.3
- classy-prelude-conduit ==1.5.0
- classy-prelude-yesod ==1.5.0
+ - clay ==0.14.0
- cleff ==0.3.3.0
- clientsession ==0.9.2.0
- Clipboard ==2.3.2.0
@@ -347,16 +651,20 @@ default-package-overrides:
- closed ==0.2.0.2
- clumpiness ==0.17.0.2
- ClustalParser ==1.3.0
+ - cmark ==0.6.1
- cmark-gfm ==0.2.6
+ - cmark-lucid ==0.1.0.0
- cmdargs ==0.10.22
- codec-beam ==0.2.0
- - code-conjure ==0.5.6
+ - code-conjure ==0.5.8
- code-page ==0.2.1
- - coinor-clp ==0.0.0.1
+ - coinor-clp ==0.0.0.2
- cointracking-imports ==0.1.0.2
- collect-errors ==0.1.5.0
+ - co-log ==0.6.0.2
- co-log-concurrent ==0.5.1.0
- co-log-core ==0.3.2.1
+ - co-log-polysemy ==0.0.1.4
- colonnade ==1.2.0.2
- Color ==0.3.3
- colorful-monoids ==0.2.1.3
@@ -365,9 +673,9 @@ default-package-overrides:
- colourista ==0.1.0.2
- columnar ==1.0.0.0
- combinatorial ==0.1.1
- - comfort-array ==0.5.3
+ - comfort-array ==0.5.4.2
- comfort-array-shape ==0.0
- - comfort-blas ==0.0.1
+ - comfort-blas ==0.0.2
- comfort-fftw ==0.0.0.1
- comfort-glpk ==0.1
- comfort-graph ==0.0.4
@@ -375,29 +683,34 @@ default-package-overrides:
- commonmark-extensions ==0.2.5.1
- commonmark-pandoc ==0.2.2
- commutative ==0.0.2
- - commutative-semigroups ==0.1.0.1
+ - commutative-semigroups ==0.1.0.2
- comonad ==5.0.8
- - comonad-extras ==4.0.1
+ - compact ==0.2.0.0
- compactmap ==0.1.4.3
+ - companion ==0.1.0
- compdata ==0.13.1
- compensated ==0.8.3
- compiler-warnings ==0.1.0
- componentm ==0.0.0.2
- componentm-devel ==0.0.0.2
- composable-associations ==0.1.0.0
+ - composite-base ==0.8.2.1
+ - composite-binary ==0.8.2.2
+ - composite-hashable ==0.8.2.2
+ - composite-tuple ==0.1.2.0
+ - composite-xstep ==0.1.0.0
- composition ==1.0.2.2
- - composition-extra ==2.0.0
+ - composition-extra ==2.1.0
- composition-prelude ==3.0.0.2
- concise ==0.1.0.1
- concurrency ==1.11.0.3
- concurrent-extra ==0.7.0.12
- concurrent-output ==1.10.20
- concurrent-split ==0.0.1.1
- - cond ==0.4.1.1
- - conduino ==0.2.4.0
+ - concurrent-supply ==0.1.8
+ - cond ==0.5.1
- conduit ==1.3.5
- conduit-aeson ==0.1.0.1
- - conduit-algorithms ==0.0.13.0
- conduit-combinators ==1.3.0
- conduit-concurrent-map ==0.1.3
- conduit-extra ==1.3.6
@@ -406,21 +719,20 @@ default-package-overrides:
- conferer ==1.1.0.0
- conferer-aeson ==1.1.0.2
- conferer-warp ==1.1.0.1
- - ConfigFile ==1.1.4
- config-ini ==0.2.7.0
- - configuration-tools ==0.6.1
+ - configuration-tools ==0.7.0
- configurator ==0.3.0.0
- configurator-export ==0.1.0.1
- - connection ==0.3.1
- - console-style ==0.0.2.1
- - constraints ==0.13.4
+ - configurator-pg ==0.2.9
+ - constraints ==0.14
- constraints-extras ==0.4.0.0
- constraint-tuples ==0.1.2
+ - construct ==0.3.1.2
- context ==0.2.0.3
- context-http-client ==0.2.0.2
- context-resource ==0.2.0.2
- context-wai-middleware ==0.2.0.2
- - contiguous ==0.6.3.0
+ - contiguous ==0.6.4.0
- contravariant ==1.5.5
- contravariant-extras ==0.3.5.4
- control-bool ==0.2.1
@@ -429,16 +741,15 @@ default-package-overrides:
- control-monad-omega ==0.3.2
- convertible ==1.1.1.1
- cookie ==0.4.6
- - copr-api ==0.1.0
+ - copr-api ==0.2.0
- core-data ==0.3.9.1
- - core-program ==0.6.9.4
+ - core-program ==0.7.0.0
- core-telemetry ==0.2.9.4
- core-text ==0.3.8.1
- countable ==1.2
- - country ==0.2.3.1
+ - country ==0.2.4.1
- covariance ==0.2.0.1
- cpphs ==1.20.9.1
- - cprng-aes ==0.6.1
- cpu ==0.1.2
- cpuinfo ==0.1.0.2
- cql ==4.0.4
@@ -446,28 +757,30 @@ default-package-overrides:
- crackNum ==3.4
- crc32c ==0.1.0
- credential-store ==0.1.2
- - criterion ==1.6.1.0
+ - criterion ==1.6.3.0
- criterion-measurement ==0.2.1.0
- - cron ==0.7.0
- crypto-api ==0.13.3
- crypto-api-tests ==0.3
- crypto-cipher-tests ==0.0.11
- crypto-cipher-types ==0.0.9
- cryptocompare ==0.1.2
- - crypto-enigma ==0.1.1.6
- cryptohash ==0.11.9
- cryptohash-cryptoapi ==0.1.4
- cryptohash-md5 ==0.11.101.0
- cryptohash-sha1 ==0.11.101.0
- cryptohash-sha256 ==0.11.102.1
- cryptohash-sha512 ==0.11.102.0
- - crypton ==0.32
+ - crypton ==0.34
- crypton-conduit ==0.2.3
+ - crypton-connection ==0.3.1
- cryptonite ==0.30
- cryptonite-conduit ==0.2.2
- cryptonite-openssl ==0.7
+ - crypton-x509 ==1.7.6
+ - crypton-x509-store ==1.6.9
+ - crypton-x509-system ==1.6.7
+ - crypton-x509-validation ==1.6.12
- crypto-pubkey-types ==0.4.3
- - crypto-random ==0.0.9
- crypto-random-api ==0.2.0
- cryptostore ==0.3.0.1
- crypt-sha512 ==0
@@ -476,22 +789,20 @@ default-package-overrides:
- css-text ==0.1.3.0
- c-struct ==0.1.3.0
- csv ==0.1.2
- - csv-conduit ==0.7.3.0
- ctrie ==0.2
- cubicbezier ==0.6.0.7
- cubicspline ==0.1.2
+ - cuda ==0.11.0.1
- cue-sheet ==2.0.2
- curl ==1.3.8
- - curl-runnings ==0.17.0
- currency ==0.2.0.0
- - currycarbon ==0.2.1.2
+ - currycarbon ==0.3.0.1
- cursor ==0.3.2.0
- cursor-brick ==0.1.0.1
- cursor-fuzzy-time ==0.0.0.0
- cursor-gen ==0.4.0.0
- cutter ==0.0
- cyclotomic ==1.1.2
- - d10 ==1.0.1.3
- data-accessor ==0.2.3.1
- data-accessor-mtl ==0.2.0.5
- data-accessor-transformers ==0.2.1.8
@@ -500,7 +811,6 @@ default-package-overrides:
- data-bword ==0.1.0.2
- data-checked ==0.3
- data-clist ==0.2
- - data-compat ==0.1.0.4
- data-default ==0.7.1.1
- data-default-class ==0.1.2.0
- data-default-instances-base ==0.1.0.1
@@ -517,9 +827,10 @@ default-package-overrides:
- data-endian ==0.1.1
- data-fix ==0.3.2
- data-forest ==0.1.0.12
+ - data-functor-logistic ==0.0
- data-has ==0.4.0.0
- data-hash ==0.2.0.1
- - data-interval ==2.1.1
+ - data-interval ==2.1.2
- data-inttrie ==0.1.4
- data-lens-light ==0.1.2.4
- data-memocombinators ==0.5.1
@@ -537,7 +848,7 @@ default-package-overrides:
- DAV ==1.3.4
- dbcleaner ==0.1.3
- DBFunctor ==0.1.2.1
- - dbus ==1.2.29
+ - dbus ==1.3.2
- dbus-hslogger ==0.1.0.1
- debian ==4.0.5
- debian-build ==0.10.2.1
@@ -551,6 +862,7 @@ default-package-overrides:
- dense-linear-algebra ==0.1.0.0
- dependent-map ==0.4.0.0
- dependent-sum ==0.7.2.0
+ - dependent-sum-template ==0.1.1.1
- depq ==0.4.2
- deque ==0.4.4.1
- deriveJsonNoPrefix ==0.1.0.1
@@ -558,15 +870,19 @@ default-package-overrides:
- derive-topdown ==0.0.3.0
- deriving-aeson ==0.2.9
- deriving-compat ==0.6.5
- - deriving-trans ==0.5.2.0
+ - deriving-trans ==0.9.1.0
- detour-via-sci ==1.0.0
- df1 ==0.4.2
+ - dhall ==1.42.1
+ - dhall-bash ==1.0.41
- di ==1.3
- diagrams ==1.4.1
+ - diagrams-builder ==0.8.0.6
- diagrams-cairo ==1.4.2.1
- diagrams-canvas ==1.4.1.2
- diagrams-contrib ==1.4.5.1
- diagrams-core ==1.5.1.1
+ - diagrams-gtk ==1.4
- diagrams-html5 ==1.4.2
- diagrams-lib ==1.4.6
- diagrams-postscript ==1.5.1.1
@@ -584,32 +900,34 @@ default-package-overrides:
- di-handle ==1.0.1
- dimensional ==1.5
- di-monad ==1.3.5
+ - directory-ospath-streaming ==0.1.0.1
- directory-tree ==0.12.1
- - direct-sqlite ==2.3.28
+ - direct-sqlite ==2.3.29
- dirichlet ==0.1.0.7
- discount ==0.1.1
- discover-instances ==0.1.0.0
- discrimination ==0.5
- disk-free-space ==0.1.0.1
+ - distributed-closure ==0.5.0.0
- distributed-static ==0.3.9
- distribution-opensuse ==1.1.4
- distributive ==0.6.2.1
- diversity ==0.8.1.0
- djinn-lib ==0.0.1.4
- - dl-fedora ==0.9.6
+ - dl-fedora ==1.0
- dlist ==1.0
- dlist-instances ==0.1.1.1
- dlist-nonempty ==0.1.3
- - dns ==4.1.1
+ - dns ==4.2.0
- dockerfile ==0.2.0
- doclayout ==0.4.0.1
- doctemplates ==0.11
- - doctest ==0.20.1
+ - doctest ==0.22.2
- doctest-discover ==0.2.0.0
- doctest-driver-gen ==0.3.0.8
- doctest-exitcode-stdio ==0.0
- - doctest-extract ==0.1.1.1
- - doctest-lib ==0.1
+ - doctest-extract ==0.1.2
+ - doctest-lib ==0.1.1
- doctest-parallel ==0.3.1
- doldol ==0.4.1.2
- do-list ==1.0.1
@@ -627,14 +945,13 @@ default-package-overrides:
- download ==0.3.2.7
- download-curl ==0.1.4
- DPutils ==0.1.1.0
+ - drawille ==0.1.3.0
- drifter ==0.3.0
- drifter-postgresql ==0.2.1
- drifter-sqlite ==0.1.0.0
- dsp ==0.2.5.2
- - dual ==0.1.1.1
- dual-tree ==0.2.3.1
- dublincore-xml-conduit ==0.1.0.3
- - dunai ==0.11.2
- duration ==0.2.0.0
- dvorak ==0.1.0.0
- dynamic-state ==0.3.1
@@ -647,32 +964,31 @@ default-package-overrides:
- echo ==0.1.4
- ecstasy ==0.2.1.0
- ed25519 ==0.0.5.0
- - ede ==0.3.3.0
- edit-distance ==0.2.2.1
- edit-distance-vector ==1.0.0.4
- editor-open ==0.6.0.0
- - effectful ==2.2.2.0
- - effectful-core ==2.2.2.2
+ - effectful ==2.3.0.0
+ - effectful-core ==2.3.0.1
- effectful-plugin ==1.1.0.2
- effectful-th ==1.0.0.1
+ - egison-pattern-src ==0.2.1.2
- either ==5.0.2
- - either-both ==0.1.1.1
- either-unwrap ==1.1
- ekg-core ==0.1.1.7
- elerea ==2.9.0
- elf ==0.31
- - eliminators ==0.9.2
- - elm2nix ==0.3.1
- - elm-bridge ==0.8.2
+ - eliminators ==0.9.3
+ - elm-bridge ==0.8.3
- elm-core-sources ==1.0.0
- elm-export ==0.6.0.1
+ - elm-street ==0.2.2.0
- elynx ==0.7.2.2
- elynx-markov ==0.7.2.2
- elynx-nexus ==0.7.2.2
- elynx-seq ==0.7.2.2
- - elynx-tools ==0.7.2.1
+ - elynx-tools ==0.7.2.2
- elynx-tree ==0.7.2.2
- - emacs-module ==0.1.1.1
+ - emacs-module ==0.2.1
- email-validate ==2.3.2.19
- emojis ==0.1.3
- enclosed-exceptions ==1.0.3
@@ -685,73 +1001,77 @@ default-package-overrides:
- envelope ==0.2.2.0
- envparse ==0.5.0
- envy ==2.1.2.0
+ - epub-metadata ==5.2
- eq ==4.3
- equal-files ==0.0.5.4
- - equational-reasoning ==0.7.0.1
+ - equational-reasoning ==0.7.0.2
- equivalence ==0.4.1
- erf ==2.0.0.0
+ - errata ==0.4.0.2
- error ==1.0.0.0
- errorcall-eq-instance ==0.3.0
- error-or ==0.3.0
- error-or-utils ==0.2.0
- errors ==2.3.0
- errors-ext ==0.4.2
- - ersatz ==0.4.13
- - esqueleto ==3.5.11.0
+ - ersatz ==0.5
+ - esqueleto ==3.5.11.1
- event-list ==0.1.2.1
- - eventstore ==1.4.2
- every ==0.0.1
- evm-opcodes ==0.1.2
- exact-combinatorics ==0.2.0.11
- exact-pi ==0.5.0.2
- - exception-hierarchy ==0.1.0.10
+ - exception-hierarchy ==0.1.0.11
- exception-mtl ==0.4.0.2
- exception-transformers ==0.4.0.12
+ - exception-via ==0.2.0.0
- executable-hash ==0.2.0.4
- executable-path ==0.0.3.1
- exinst ==0.9
- exit-codes ==1.0.0
- exomizer ==1.0.0
- - experimenter ==0.1.0.14
+ - exon ==1.6.1.1
- expiring-cache-map ==0.0.6.1
- explainable-predicates ==0.1.2.4
- explicit-exception ==0.2
- exp-pairs ==0.2.1.0
- - express ==1.0.12
+ - express ==1.0.14
- extended-reals ==0.2.4.0
- extensible ==0.9
- extensible-effects ==5.0.0.1
- extensible-exceptions ==0.1.1.4
- extra ==1.7.14
- extractable-singleton ==0.0.1
+ - extra-data-yj ==0.1.0.0
- extrapolate ==0.4.6
- fail ==4.9.0.0
- - failable ==1.2.4.0
- FailT ==0.1.2.0
- fakedata ==1.0.3
- fakedata-parser ==0.1.0.0
- fakedata-quickcheck ==0.2.0
- fakefs ==0.3.0.2
- fakepull ==0.3.0.2
- - faktory ==1.1.2.4
+ - faktory ==1.1.2.6
- fasta ==0.10.4.2
+ - fast-digits ==0.3.2.0
- fast-logger ==3.2.2
- fast-math ==1.0.2
- - fastmemo ==0.1.1
- fast-myers-diff ==0.0.0
- fb ==2.1.1.1
- - fcf-family ==0.2.0.0
+ - fcf-family ==0.2.0.1
+ - fclabels ==2.0.5.1
- fdo-notify ==0.3.1
- feature-flags ==0.1.0.1
- fedora-dists ==2.1.1
- - fedora-haskell-tools ==1.0
+ - fedora-haskell-tools ==1.1
- feed ==1.3.2.1
- FenwickTree ==0.1.2.1
- fft ==0.1.8.7
- fftw-ffi ==0.1
- - fgl ==5.8.0.0
+ - fgl ==5.8.2.0
+ - fgl-arbitrary ==0.2.0.6
- fields-json ==0.4.0.0
- - file-embed ==0.0.15.0
+ - file-embed ==0.0.16.0
- file-embed-lzma ==0.0.1
- filelock ==0.1.1.7
- filemanip ==0.3.6.3
@@ -767,19 +1087,20 @@ default-package-overrides:
- fingertree ==0.1.5.0
- finite-typelits ==0.1.6.0
- first-class-families ==0.8.0.1
- - first-class-patterns ==0.3.2.5
+ - fits-parse ==0.3.6
- fitspec ==0.4.10
- fixed ==0.3
- fixed-length ==0.2.3.1
- fixed-vector ==1.2.3.0
- fixed-vector-hetero ==0.6.1.1
- - fix-whitespace ==0.0.11
+ - fix-whitespace ==0.1
- flac ==0.2.1
- - flac-picture ==0.1.2
+ - flac-picture ==0.1.3
- flags-applicative ==0.1.0.3
- flat ==0.6
- - flatparse ==0.4.1.0
+ - flatparse ==0.5.0.1
- flay ==0.4
+ - flexible-defaults ==0.0.3
- FloatingHex ==0.5
- floatshow ==0.2.4
- flow ==2.0.0.4
@@ -793,20 +1114,22 @@ default-package-overrides:
- fold-debounce ==0.2.0.11
- foldl ==1.4.15
- folds ==0.7.8
- - follow-file ==0.0.3
- FontyFruity ==0.5.3.5
- force-layout ==0.4.0.6
- foreign-store ==0.2
- ForestStructures ==0.0.1.1
- forkable-monad ==0.2.0.3
+ - forma ==1.2.0
- formatn ==0.3.0.1
- format-numbers ==0.1.0.1
- formatting ==7.2.0
- foundation ==0.0.30
- - fourmolu ==0.11.0.0
- - free ==5.1.10
+ - fourmolu ==0.14.0.0
+ - Frames ==0.7.4.2
+ - free ==5.2
- free-categories ==0.2.0.2
- freenect ==1.2.1
+ - freer-par-monad ==0.1.0.0
- freetype2 ==0.2.0
- free-vl ==0.1.4
- friday ==0.2.3.2
@@ -816,17 +1139,16 @@ default-package-overrides:
- from-sum ==0.2.3.0
- frontmatter ==0.1.0.2
- fsnotify ==0.4.1.0
- - ftp-client ==0.5.1.4
- funcmp ==1.9
- function-builder ==0.3.0.1
- functor-classes-compat ==2.0.0.2
- - functor-combinators ==0.4.1.2
- fused-effects ==1.1.2.2
- fusion-plugin ==0.2.7
- fusion-plugin-types ==0.1.0
- fuzzcheck ==0.1.1
- - fuzzy ==0.1.0.1
+ - fuzzy ==0.1.1.0
- fuzzy-dates ==0.1.1.2
+ - fuzzyset ==0.3.1
- fuzzy-time ==0.2.0.3
- gauge ==0.2.5
- gd ==3000.7.3
@@ -849,7 +1171,6 @@ default-package-overrides:
- generics-eot ==0.4.0.1
- generics-sop ==0.5.1.3
- generics-sop-lens ==0.2.0.1
- - geniplate-mirror ==0.7.9
- genvalidity ==1.1.0.0
- genvalidity-aeson ==1.0.0.1
- genvalidity-appendful ==0.1.0.0
@@ -866,6 +1187,8 @@ default-package-overrides:
- genvalidity-hspec-persistent ==1.0.0.0
- genvalidity-mergeful ==0.3.0.1
- genvalidity-mergeless ==0.3.0.0
+ - genvalidity-network-uri ==0.0.0.0
+ - genvalidity-path ==1.0.0.1
- genvalidity-persistent ==1.0.0.2
- genvalidity-property ==1.0.0.0
- genvalidity-scientific ==1.0.0.0
@@ -881,32 +1204,36 @@ default-package-overrides:
- genvalidity-uuid ==1.0.0.1
- genvalidity-vector ==1.0.0.0
- geodetics ==0.1.2
+ - geojson ==4.1.1
- getopt-generics ==0.13.1.0
+ - ghc-bignum-orphans ==0.1.1
- ghc-byteorder ==4.11.0.0.10
- ghc-check ==0.5.0.8
+ - ghc-compact ==0.1.0.0
- ghc-core ==0.5.6
- ghc-events ==0.19.0.1
- - ghc-exactprint ==1.6.1.3
+ - ghc-exactprint ==1.7.1.0
+ - ghc-hs-meta ==0.1.3.0
- ghcid ==0.8.9
- ghci-hexcalc ==0.1.1.0
- ghcjs-codemirror ==0.0.0.2
- ghcjs-perch ==0.3.3.3
- - ghc-lib ==9.4.8.20231111
- - ghc-lib-parser ==9.4.8.20231111
- - ghc-lib-parser-ex ==9.4.0.0
+ - ghc-lib ==9.6.4.20240109
+ - ghc-lib-parser ==9.6.4.20240109
+ - ghc-lib-parser-ex ==9.6.0.2
+ - ghc-parser ==0.2.6.0
- ghc-paths ==0.1.0.12
- - ghc-prof ==1.4.1.12
- - ghc-syntax-highlighter ==0.0.9.0
+ - ghc-syntax-highlighter ==0.0.10.0
- ghc-tcplugins-extra ==0.4.5
- - ghc-trace-events ==0.1.2.7
+ - ghc-trace-events ==0.1.2.8
- ghc-typelits-extra ==0.4.6
- ghc-typelits-knownnat ==0.7.10
- ghc-typelits-natnormalise ==0.7.9
- - ghc-typelits-presburger ==0.7.2.0
+ - ghc-typelits-presburger ==0.7.3.0
- ghost-buster ==0.1.1.0
+ - ghostscript-parallel ==0.0.1
- gi-atk ==2.0.27
- gi-cairo ==1.0.29
- - gi-cairo-connector ==0.1.1
- gi-cairo-render ==0.1.2
- gi-dbusmenu ==0.4.13
- gi-dbusmenugtk3 ==0.4.14
@@ -921,28 +1248,33 @@ default-package-overrides:
- gi-graphene ==1.0.7
- gi-gtk ==3.0.41
- gi-gtk-hs ==0.3.16
+ - gi-gtksource ==3.0.28
- gi-harfbuzz ==0.0.9
- gi-javascriptcore ==4.0.27
+ - ginger ==0.10.5.2
- gio ==0.13.10.0
- gi-pango ==1.0.29
- gi-soup ==2.4.28
+ - git-annex ==10.20231129
- githash ==0.1.7.0
- - github ==0.28.0.1
- - github-release ==2.0.0.9
+ - github ==0.29
+ - github-release ==2.0.0.10
- github-rest ==1.1.4
- github-types ==0.2.1
- github-webhooks ==0.17.0
- - gitlab-haskell ==1.0.0.3
+ - git-lfs ==1.2.1
- gitlib ==3.1.3
+ - git-mediate ==1.0.9
- gitrev ==1.3.1
- - gi-vte ==2.91.31
+ - gi-vte ==2.91.32
- gi-webkit2 ==4.0.30
- gi-xlib ==2.0.13
- gl ==0.9
- - glabrous ==2.0.6.2
+ - glabrous ==2.0.6.3
- glasso ==0.1.0
- - GLFW-b ==3.3.0.0
+ - GLFW-b ==3.3.9.0
- glib ==0.13.10.0
+ - glib-stopgap ==0.1.0.0
- Glob ==0.10.2
- glob-posix ==0.2.0.1
- gloss ==1.13.2.2
@@ -959,10 +1291,10 @@ default-package-overrides:
- gpolyline ==0.1.0.1
- graph-core ==0.3.0.0
- graphite ==0.10.0.1
- - graphql ==1.2.0.1
- - graphql-client ==1.2.2
+ - graphql ==1.2.0.3
+ - graphql-client ==1.2.4
- graphs ==0.7.2
- - graphula ==2.0.2.2
+ - graphula ==2.1.0.0
- graphviz ==2999.20.2.0
- graph-wrapper ==0.2.6.0
- gravatar ==0.8.1
@@ -973,15 +1305,16 @@ default-package-overrides:
- gtk ==0.15.8
- gtk2hs-buildtools ==0.13.10.0
- gtk3 ==0.15.8
- - gtk-sni-tray ==0.1.8.1
- gtk-strut ==0.1.3.2
- guarded-allocation ==0.0.1
- H ==1.0.0
- hackage-cli ==0.1.0.1
- - hackage-security ==0.6.2.3
+ - hackage-security ==0.6.2.4
- haddock-library ==1.11.0
- haha ==0.3.1.1
- hakyll ==4.16.2.0
+ - hakyllbars ==1.0.1.0
+ - hakyll-convert ==0.3.0.4
- hal ==1.0.1
- half ==0.3.1
- hall-symbols ==0.1.0.6
@@ -989,16 +1322,14 @@ default-package-overrides:
- hamtsolo ==1.0.4
- HandsomeSoup ==0.4.2
- handwriting ==0.1.0.3
- - happstack-hsp ==7.3.7.7
- - happstack-jmacro ==7.0.12.5
+ - happstack-jmacro ==7.0.12.6
- happstack-server ==7.8.0.2
- - happstack-server-tls ==7.2.1.3
+ - happstack-server-tls ==7.2.1.5
- happy ==1.20.1.1
- happy-meta ==0.2.1.0
- - harp ==0.4.3.6
- HasBigDecimal ==0.2.0.0
- - hasbolt ==0.1.7.0
- hashable ==1.4.3.0
+ - hashids ==1.1.1.0
- hashing ==0.1.1.0
- hashmap ==1.3.3
- hashtables ==1.3.1
@@ -1007,28 +1338,27 @@ default-package-overrides:
- haskell-gi-overloading ==1.0
- haskell-lexer ==1.1.1
- HaskellNet ==0.6.1.2
- - HaskellNet-SSL ==0.3.4.4
- haskell-src ==1.0.4
- haskell-src-exts ==1.23.1
- haskell-src-exts-simple ==1.23.0.0
- haskell-src-exts-util ==0.2.5
- haskell-src-meta ==0.8.13
- - haskoin-core ==0.21.2
- - haskoin-node ==0.18.1
- - haskoin-store-data ==0.65.5
- - hasktags ==0.72.0
- - hasql ==1.6.3.4
- - hasql-dynamic-statements ==0.3.1.2
- - hasql-implicits ==0.1.1
- - hasql-interpolate ==0.1.0.4
- - hasql-listen-notify ==0.1.0
+ - haskintex ==0.8.0.2
+ - haskoin-core ==1.0.2
+ - haskoin-node ==1.0.1
+ - haskoin-store-data ==1.2.2
+ - hasktags ==0.73.0
+ - hasql ==1.6.4.1
+ - hasql-dynamic-statements ==0.3.1.4
+ - hasql-implicits ==0.1.1.2
+ - hasql-interpolate ==0.2.1.0
+ - hasql-listen-notify ==0.1.0.1
- hasql-migration ==0.3.0
- hasql-notifications ==0.2.0.6
- - hasql-optparse-applicative ==0.7
- - hasql-pool ==0.9.0.1
- - hasql-queue ==1.2.0.2
+ - hasql-optparse-applicative ==0.7.1.3
+ - hasql-pool ==0.10.0.1
- hasql-th ==0.4.0.19
- - hasql-transaction ==1.0.1.2
+ - hasql-transaction ==1.0.1.4
- has-transformers ==0.1.0.4
- hasty-hamiltonian ==1.3.4
- HaTeX ==3.22.4.1
@@ -1043,20 +1373,19 @@ default-package-overrides:
- heaps ==0.4
- heatshrink ==0.1.0.0
- hebrew-time ==0.1.2
- - hedgehog ==1.2
+ - hedgehog ==1.4
- hedgehog-classes ==0.2.5.4
- hedgehog-corpus ==0.2.0
- - hedgehog-fakedata ==0.0.1.5
- hedgehog-fn ==1.0
- - hedgehog-optics ==1.0.0.3
- hedgehog-quickcheck ==0.1.1
- hedis ==0.15.2
- hedn ==0.3.0.4
+ - hegg ==0.5.0.0
- heist ==1.1.1.2
- here ==1.2.14
- heredoc ==0.2.0.0
- heterocephalus ==1.0.5.7
- - hetzner ==0.2.1.1
+ - hetzner ==0.6.0.0
- hex ==0.2.0
- hexml ==0.3.4
- hexml-lens ==0.2.2
@@ -1067,8 +1396,7 @@ default-package-overrides:
- hgal ==2.0.0.3
- hidapi ==0.1.8
- hi-file-parser ==0.1.6.0
- - highlighting-kate ==0.6.4
- - hindent ==6.0.0
+ - hindent ==6.1.1
- hinfo ==0.0.3.0
- hinotify ==0.4.1
- hint ==0.9.0.8
@@ -1076,25 +1404,29 @@ default-package-overrides:
- hjsmin ==0.2.1
- hkd-default ==1.1.0.0
- hkgr ==0.4.3.2
- - hledger ==1.30.1
+ - hledger ==1.32.3
+ - hledger-iadd ==1.3.20
- hledger-interest ==1.6.6
- - hledger-lib ==1.30
+ - hledger-lib ==1.32.3
- hledger-stockquotes ==0.1.2.1
- - hledger-ui ==1.30
- - hledger-web ==1.30
+ - hledger-web ==1.32.3
- hlibcpuid ==0.2.0
- hlibgit2 ==0.18.0.16
- hlibsass ==0.1.10.1
- - hlint ==3.5
+ - hlint ==3.6.1
- hmatrix ==0.20.2
+ - hmatrix-backprop ==0.1.3.0
- hmatrix-gsl ==0.19.0.1
- hmatrix-gsl-stats ==0.4.1.8
- hmatrix-morpheus ==0.1.1.2
- hmatrix-special ==0.19.0.0
+ - hmatrix-vector-sized ==0.1.3.0
- hmm-lapack ==0.5.0.1
+ - HMock ==0.5.1.2
- hmpfr ==0.4.5
- - hoauth2 ==2.8.0
- - hoogle ==5.0.18.3
+ - hnix-store-core ==0.7.0.0
+ - hoauth2 ==2.10.0
+ - hOpenPGP ==2.9.8
- hopenssl ==2.2.5
- hopfli ==0.2.2.1
- horizontal-rule ==0.6.0.0
@@ -1104,10 +1436,9 @@ default-package-overrides:
- hourglass ==0.2.12
- hourglass-orphans ==0.1.0.0
- hp2pretty ==0.10
- - hpack ==0.35.2
- - hpack-dhall ==0.5.7
- - hpc-codecov ==0.3.0.0
- - hpc-lcov ==1.1.1
+ - hpack ==0.36.0
+ - hpc-codecov ==0.5.0.0
+ - hpc-lcov ==1.1.2
- HPDF ==1.6.2
- hpp ==0.6.5
- hpqtypes ==1.11.1.2
@@ -1118,65 +1449,68 @@ default-package-overrides:
- hsass ==0.8.0
- hs-bibutils ==6.10.0.0
- hsc2hs ==0.68.10
- - hscolour ==1.24.4
+ - hscolour ==1.25
- hsdns ==1.8
- hse-cpp ==0.2
- hsemail ==2.2.1
+ - HSet ==0.0.2
- hset ==2.2.0
+ - hsexif ==0.6.1.10
- hs-GeoIP ==0.3
- hsignal ==0.2.7.5
- hsini ==0.5.2.2
- hsinstall ==2.8
- HSlippyMap ==3.0.1
- hslogger ==1.3.1.0
- - hslua ==2.3.0
- - hslua-aeson ==2.3.0.1
- - hslua-classes ==2.3.0
- - hslua-core ==2.3.1
+ - hslua ==2.3.1
+ - hslua-aeson ==2.3.1
+ - hslua-classes ==2.3.1
+ - hslua-cli ==1.4.2
+ - hslua-core ==2.3.2
- hslua-list ==1.1.1
- - hslua-marshalling ==2.3.0
- - hslua-module-doclayout ==1.1.0
- - hslua-module-path ==1.1.0
- - hslua-module-system ==1.1.0.1
- - hslua-module-text ==1.1.0.1
- - hslua-module-version ==1.1.0
- - hslua-objectorientation ==2.3.0
- - hslua-packaging ==2.3.0
- - hslua-typing ==0.1.0
+ - hslua-marshalling ==2.3.1
+ - hslua-module-doclayout ==1.1.1
+ - hslua-module-path ==1.1.1
+ - hslua-module-system ==1.1.1
+ - hslua-module-text ==1.1.1
+ - hslua-module-version ==1.1.1
+ - hslua-module-zip ==1.1.1
+ - hslua-objectorientation ==2.3.1
+ - hslua-packaging ==2.3.1
+ - hslua-repl ==0.1.2
+ - hslua-typing ==0.1.1
- hsndfile ==0.8.0
- hsndfile-vector ==0.5.2
- HsOpenSSL ==0.11.7.6
- HsOpenSSL-x509-system ==0.1.0.4
- - hsp ==0.10.0
- - hspec ==2.10.10
+ - hspec ==2.11.7
+ - hspec-api ==2.11.7
- hspec-attoparsec ==0.1.0.2
- hspec-checkers ==0.1.0.2
- hspec-contrib ==0.5.2
- - hspec-core ==2.10.10
- - hspec-discover ==2.10.10
- - hspec-expectations ==0.8.2
+ - hspec-core ==2.11.7
+ - hspec-discover ==2.11.7
+ - hspec-expectations ==0.8.4
- hspec-expectations-json ==1.0.2.1
- hspec-expectations-lifted ==0.10.0
- hspec-expectations-pretty-diff ==0.7.2.6
- hspec-golden ==0.2.1.0
- hspec-golden-aeson ==0.9.0.0
- - hspec-hedgehog ==0.0.1.2
+ - hspec-hedgehog ==0.1.1.0
- hspec-junit-formatter ==1.1.0.2
- hspec-leancheck ==0.0.6
- hspec-megaparsec ==2.2.1
- - hspec-meta ==2.10.5
+ - hspec-meta ==2.11.7
- hspec-parsec ==0
- hspec-smallcheck ==0.5.3
- - hspec-tmp-proc ==0.5.1.2
+ - hspec-tmp-proc ==0.5.2.0
- hspec-wai ==0.11.1
- hspec-wai-json ==0.11.0
- hspec-webdriver ==1.2.2
- hs-php-session ==0.0.9.3
- hstatistics ==0.3.1
- HStringTemplate ==0.8.8
- - HSvm ==0.1.1.3.25
- - hsx2hs ==0.14.1.11
- - hsx-jmacro ==7.3.8.2
+ - HSvm ==0.1.2.3.32
- HsYAML ==0.2.1.3
- HsYAML-aeson ==0.2.0.1
- hsyslog ==5.0.2
@@ -1185,26 +1519,24 @@ default-package-overrides:
- html ==1.0.1.2
- html-conduit ==1.3.2.2
- html-email-validate ==0.2.0.0
- - html-entities ==1.1.4.6
+ - html-entities ==1.1.4.7
- html-entity-map ==0.1.0.0
- - htoml-megaparsec ==2.1.0.4
- - htoml-parse ==0.1.0.1
- - http2 ==4.1.4
+ - http2 ==5.0.1
- HTTP ==4000.4.1
- - http-api-data ==0.5
+ - http-api-data ==0.5.1
- http-api-data-qq ==0.1.0.0
- - http-client ==0.7.15
+ - http-client ==0.7.16
- http-client-openssl ==0.3.3
- http-client-overrides ==0.1.1.0
- - http-client-restricted ==0.0.5
- - http-client-tls ==0.3.6.1
+ - http-client-restricted ==0.1.0
+ - http-client-tls ==0.3.6.3
- http-common ==0.8.3.4
- - http-conduit ==2.3.8.1
+ - http-conduit ==2.3.8.3
- http-date ==0.0.11
- http-directory ==0.1.10
- - http-download ==0.2.0.0
+ - http-download ==0.2.1.0
- httpd-shed ==0.4.1.1
- - http-io-streams ==0.1.6.3
+ - http-io-streams ==0.1.6.4
- http-link-header ==1.2.1
- http-media ==0.8.1.1
- http-query ==0.1.3
@@ -1217,35 +1549,21 @@ default-package-overrides:
- hunit-dejafu ==2.0.0.6
- hvect ==0.4.0.1
- hvega ==0.12.0.7
- - hw-balancedparens ==0.4.1.3
- hw-bits ==0.7.2.2
- hw-conduit ==0.2.1.1
- hw-conduit-merges ==0.2.1.0
- hw-diagnostics ==0.0.1.0
- hweblib ==0.6.3
- - hw-eliasfano ==0.1.2.1
- - hw-excess ==0.2.3.0
- hw-fingertree ==0.1.2.1
- hw-fingertree-strict ==0.1.2.1
- - hw-hedgehog ==0.1.1.1
- hw-hspec-hedgehog ==0.1.1.1
- hw-int ==0.0.2.0
- - hw-ip ==2.4.2.1
- - hw-json-simd ==0.1.1.2
- - hw-json-simple-cursor ==0.1.1.1
- - hw-json-standard-cursor ==0.2.3.2
- hwk ==0.6
- - hw-kafka-client ==4.0.3
- - hw-mquery ==0.2.1.1
+ - hw-kafka-client ==5.3.0
- hworker ==0.1.0.1
- - hw-packed-vector ==0.2.1.1
- hw-parser ==0.1.1.0
- hw-prim ==0.6.3.2
- - hw-rankselect ==0.13.4.1
- - hw-rankselect-base ==0.3.4.1
- - hw-simd ==0.1.2.2
- hw-string-parse ==0.0.0.5
- - hw-succinct ==0.1.0.1
- hxt ==9.3.1.22
- hxt-charproperties ==9.5.0.0
- hxt-css ==0.1.0.3
@@ -1259,12 +1577,17 @@ default-package-overrides:
- hyper ==0.2.1.1
- hyperloglog ==0.4.6
- hyphenation ==0.8.2
- - identicon ==0.2.2
+ - hyraxAbif ==0.2.4.5
+ - iconv ==0.4.1.3
+ - identicon ==0.2.3
- ieee754 ==0.8.0
- if ==0.1.0.0
- IfElse ==0.85
- iff ==0.0.6.1
+ - ihaskell ==0.10.4.0
+ - ihaskell-hvega ==0.5.0.5
- ihs ==0.1.0.3
+ - ilist ==0.4.0.1
- imagesize-conduit ==1.1
- Imlib ==0.1.2
- immortal ==0.3
@@ -1274,6 +1597,7 @@ default-package-overrides:
- incipit-core ==0.5.1.0
- include-file ==0.1.0.4
- incremental ==0.3.1
+ - incremental-parser ==0.5.1
- indents ==0.5.0.1
- indexed ==0.1.3
- indexed-containers ==0.1.0.2
@@ -1283,7 +1607,9 @@ default-package-overrides:
- indexed-traversable-instances ==0.1.1.2
- inf-backprop ==0.1.0.2
- infer-license ==0.2.0
- - infinite-list ==0.1
+ - infinite-list ==0.1.1
+ - inflections ==0.4.0.7
+ - influxdb ==1.9.3
- ini ==0.4.2
- inj ==1.0
- inline-c ==0.9.1.10
@@ -1291,8 +1617,9 @@ default-package-overrides:
- inline-r ==1.0.1
- input-parsers ==0.3.0.2
- insert-ordered-containers ==0.2.5.3
- - inspection-testing ==0.5.0.2
- - instance-control ==0.1.2.0
+ - inspection-testing ==0.5.0.3
+ - int-cast ==0.2.0.0
+ - integer-conversion ==0.1.0.1
- integer-logarithms ==1.0.3.1
- integer-roots ==1.0.2.0
- integer-types ==0.1.4.0
@@ -1305,8 +1632,10 @@ default-package-overrides:
- IntervalMap ==0.6.2.1
- intervals ==0.9.2
- intset-imperative ==0.1.0.0
+ - int-supply ==1.0.0
- invariant ==0.6.2
- invert ==1.0.0.4
+ - invertible ==0.2.0.8
- invertible-grammar ==0.1.3.5
- io-machine ==0.2.0.0
- io-manager ==0.1.0.4
@@ -1316,38 +1645,40 @@ default-package-overrides:
- io-streams ==1.5.2.2
- io-streams-haproxy ==1.0.1.0
- ip ==1.7.7
- - ip6addr ==1.0.3
+ - ip6addr ==1.0.4
- iproute ==1.7.12
- - IPv6Addr ==2.0.5.1
+ - IPv6Addr ==2.0.6
- ipynb ==0.2
- - ipython-kernel ==0.10.3.0
+ - ipython-kernel ==0.11.0.0
- irc ==0.6.1.1
- irc-ctcp ==0.1.3.1
- - isbn ==1.1.0.4
+ - isbn ==1.1.0.5
- islink ==0.1.0.0
- iso3166-country-codes ==0.20140203.8
- iso639 ==0.1.0.3
- iso8601-time ==0.1.5
- isocline ==1.0.9
- isomorphism-class ==0.1.0.12
- - iterable ==3.0
+ - ixset-typed ==0.5.1.0
+ - ixset-typed-binary-instance ==0.1.0.2
+ - ixset-typed-hashable-instance ==0.1.0.2
- ix-shapable ==0.1.0
- jack ==0.7.2.2
- jalaali ==1.0.0.0
- - java-adt ==0.2018.11.4
+ - java-adt ==1.0.20231204
- jira-wiki-markup ==1.5.1
- - jl ==0.1.0
- jmacro ==0.6.18
- - jose ==0.10.0.1
+ - jose ==0.11
- jose-jwt ==0.9.6
- - journalctl-stream ==0.6.0.5
+ - journalctl-stream ==0.6.0.6
+ - jsaddle ==0.9.8.3
- js-chart ==2.9.4.1
- js-dgtable ==0.5.2
- js-flot ==0.8.3
- js-jquery ==3.3.1
- - json ==0.10
- - json-feed ==2.0.0.10
- - jsonifier ==0.2.1.2
+ - json ==0.11
+ - json-feed ==2.0.0.11
+ - jsonifier ==0.2.1.3
- jsonpath ==0.3.0.0
- json-rpc ==1.0.4
- json-stream ==0.4.5.3
@@ -1361,16 +1692,16 @@ default-package-overrides:
- kansas-comet ==0.4.2
- katip ==0.8.8.0
- katip-logstash ==0.1.0.2
- - katip-wai ==0.1.2.2
+ - katip-wai ==0.1.2.3
- kazura-queue ==0.1.0.4
- kdt ==0.2.5
- keep-alive ==0.2.1.0
- - keter ==2.1.2
+ - keter ==2.1.3
- keycode ==0.2.2
- - keyed-vals ==0.2.2.0
- - keyed-vals-hspec-tests ==0.2.2.0
- - keyed-vals-mem ==0.2.2.0
- - keyed-vals-redis ==0.2.2.0
+ - keyed-vals ==0.2.3.0
+ - keyed-vals-hspec-tests ==0.2.3.0
+ - keyed-vals-mem ==0.2.3.0
+ - keyed-vals-redis ==0.2.3.0
- keys ==3.12.3
- ki ==1.0.1.1
- kind-apply ==0.4.0.0
@@ -1381,24 +1712,25 @@ default-package-overrides:
- kmeans ==0.1.3
- knob ==0.2.2
- koji ==0.0.2
- - krank ==0.3.0
+ - koji-tool ==1.1.1
- labels ==0.3.3
- lackey ==2.0.0.7
+ - lambdabot-core ==5.3.1.2
+ - lambdabot-irc-plugins ==5.3.1.2
- LambdaHack ==0.11.0.1
- lame ==0.2.2
- language-avro ==0.1.4.0
- - language-bash ==0.9.2
- - language-c ==0.9.2
+ - language-c ==0.9.3
- language-c-quote ==0.13.0.1
- language-docker ==12.1.0
- language-dot ==0.1.2
- language-glsl ==0.3.0
- language-java ==0.2.9
- language-javascript ==0.7.1.0
+ - language-lua ==0.11.0.1
- language-protobuf ==1.0.1
- language-python ==0.5.8
- - language-thrift ==0.12.0.1
- - lapack ==0.5.1
+ - lapack ==0.5.1.1
- lapack-carray ==0.0.3
- lapack-comfort-array ==0.0.1
- lapack-ffi ==0.0.3
@@ -1406,16 +1738,16 @@ default-package-overrides:
- lapack-hmatrix ==0.0.0.2
- largeword ==1.2.5
- latex ==0.1.0.4
- - lattices ==2.1
+ - lattices ==2.2
- lawful ==0.1.0.0
- lazy-csv ==0.5.1
- lazyio ==0.1.0.4
- lazysmallcheck ==0.6
- lca ==0.4
- - leancheck ==1.0.0
+ - leancheck ==1.0.2
- leancheck-instances ==0.0.5
- leapseconds-announced ==2017.1.0.1
- - learn-physics ==0.6.5
+ - learn-physics ==0.6.6
- leb128-cereal ==1.2
- lens ==5.2.3
- lens-action ==0.2.6
@@ -1433,7 +1765,6 @@ default-package-overrides:
- lexer-applicative ==2.1.0.2
- libBF ==0.6.7
- libffi ==0.2.1
- - libgit ==0.3.1
- liboath-hs ==0.0.1.2
- libyaml ==0.1.2
- lifted-async ==0.10.2.5
@@ -1442,26 +1773,29 @@ default-package-overrides:
- lift-type ==0.1.1.1
- line ==4.0.1
- linear ==1.22
- - linear-base ==0.3.1
+ - linear-base ==0.4.0
- linear-circuit ==0.1.0.4
- - linear-generics ==0.2.1
- - linear-programming ==0.0.0.1
+ - linear-generics ==0.2.3
+ - linear-programming ==0.0.1
- linebreak ==1.1.0.4
- linux-capabilities ==0.1.1.0
- - linux-file-extents ==0.2.0.0
- - linux-namespaces ==0.1.3.0
+ - linux-file-extents ==0.2.0.1
+ - linux-namespaces ==0.1.3.1
- List ==0.6.2
- ListLike ==4.7.8.2
- list-predicate ==0.1.0.1
- listsafe ==0.1.0.1
+ - list-shuffle ==1.0.0
- list-t ==1.0.5.7
- - list-transformer ==1.0.9
+ - list-transformer ==1.1.0
- ListTree ==0.2.3
- ListZipper ==1.2.0.2
- literatex ==0.3.0.0
+ - little-logger ==1.0.2
+ - little-rio ==2.0.1
- lmdb ==0.2.5
- load-env ==0.2.1.0
- - loc ==0.1.4.1
+ - loc ==0.2.0.0
- locators ==0.3.0.3
- loch-th ==0.2.2
- lockfree-queue ==0.2.4
@@ -1473,12 +1807,14 @@ default-package-overrides:
- logging-effect ==1.4.0
- logging-facade ==0.3.1
- logging-facade-syslog ==1
- - logict ==0.8.0.0
+ - logict ==0.8.1.0
- logstash ==0.1.0.4
- loop ==0.3.0
- lpeg ==1.0.4
+ - LPFP ==1.1.1
+ - LPFP-core ==1.1.1
- lrucache ==1.2.0.1
- - lua ==2.3.1
+ - lua ==2.3.2
- lua-arbitrary ==1.0.1.1
- lucid2 ==0.0.20230706
- lucid ==2.11.20230408
@@ -1489,20 +1825,21 @@ default-package-overrides:
- lz4-frame-conduit ==0.1.0.1
- lzma ==0.0.1.0
- lzma-clib ==5.2.2
- - lzma-conduit ==1.2.3
- machines ==0.7.3
- magic ==1.1
- magico ==0.0.2.3
- - mail-pool ==2.2.3
+ - mailtrap ==0.1.2.0
- mainland-pretty ==0.7.1
- main-tester ==0.2.0.1
- managed ==1.0.10
- mandrill ==0.5.7.0
+ - mappings ==0.3.0.0
- map-syntax ==0.3
- markdown ==0.1.17.5
- - markdown-unlit ==0.5.1
+ - markdown-unlit ==0.6.0
- markov-chain ==0.0.3.4
- markov-chain-usage-model ==0.0.0
+ - markup-parse ==0.1.1
- mason ==0.2.6
- massiv ==1.0.4.0
- massiv-io ==1.0.0.1
@@ -1525,8 +1862,8 @@ default-package-overrides:
- mcmc-types ==1.0.3
- median-stream ==0.7.0.0
- med-module ==0.1.3
- - megaparsec ==9.3.1
- - megaparsec-tests ==9.3.1
+ - megaparsec ==9.5.0
+ - megaparsec-tests ==9.5.0
- mega-sdist ==0.4.3.0
- membership ==0.0.1
- memcache ==0.3.0.1
@@ -1534,7 +1871,9 @@ default-package-overrides:
- memory ==0.18.0
- MemoTrie ==0.6.11
- mergeful ==0.3.0.0
+ - mergeful-persistent ==0.3.0.1
- mergeless ==0.4.0.0
+ - mergeless-persistent ==0.1.0.1
- merkle-tree ==0.1.1
- mersenne-random ==1.0.0.1
- mersenne-random-pure64 ==0.2.2.0
@@ -1547,7 +1886,7 @@ default-package-overrides:
- microlens-contra ==0.1.0.3
- microlens-ghc ==0.4.14.2
- microlens-mtl ==0.2.0.3
- - microlens-platform ==0.4.3.4
+ - microlens-platform ==0.4.3.5
- microlens-th ==0.4.3.14
- microspec ==0.2.1.3
- microstache ==1.0.2.3
@@ -1561,70 +1900,71 @@ default-package-overrides:
- mime-types ==0.1.2.0
- minimal-configuration ==0.1.4
- minimorph ==0.3.0.1
- - minio-hs ==1.7.0
- minisat-solver ==0.1
+ - miniterion ==0.1.1.0
- miniutter ==0.5.1.2
- min-max-pqueue ==0.1.0.2
- mintty ==0.1.4
- misfortune ==0.1.2.1
+ - miso ==1.8.3.0
- missing-foreign ==0.1.1
- MissingH ==1.6.0.1
- mixed-types-num ==0.5.12
- mmap ==0.5.9
- mmark ==0.0.7.6
- - mmark-cli ==0.0.5.1
+ - mmark-cli ==0.0.5.2
- mmark-ext ==0.2.1.5
- mmorph ==1.2.0
- mnist-idx ==0.1.3.2
- mnist-idx-conduit ==0.4.0.0
- mockery ==0.3.5
- - mock-time ==0.1.0
- mod ==0.2.0.1
- - model ==0.5
- modern-uri ==0.3.6.1
- modular ==0.1.0.8
+ - moffy ==0.1.1.0
+ - moffy-samples ==0.1.0.3
+ - moffy-samples-events ==0.2.2.5
- monad-chronicle ==1.0.1
- monad-control ==1.0.3.1
+ - monad-control-aligned ==0.0.2.1
- monad-control-identity ==0.2.0.0
- monad-coroutine ==0.9.2
- monad-extras ==0.6.0
- - monadic-arrays ==0.2.2
- - monad-journal ==0.8.1
+ - monad-interleave ==0.2.0.1
- monadlist ==0.0.2
- - monadloc ==0.7.1
- monad-logger ==0.3.40
- monad-logger-aeson ==0.4.1.2
- monad-logger-json ==0.1.0.0
- monad-logger-logstash ==0.2.0.2
- monad-loops ==0.4.3
- monad-memo ==0.5.4
+ - monad-metrics ==0.2.2.1
- monadoid ==0.0.3
- - monadology ==0.1
+ - monadology ==0.3
- monad-par ==0.3.6
- monad-parallel ==0.8
- monad-par-extras ==0.3.3
- - monad-peel ==0.2.1.2
- - monad-primitive ==0.1
- - monad-products ==4.0.1
+ - monad-peel ==0.3
- MonadPrompt ==1.0.0.5
- MonadRandom ==0.6
- monad-resumption ==0.1.4.0
+ - monad-schedule ==0.1.2.1
- monad-st ==0.2.4.1
- - monads-tf ==0.1.0.3
+ - monads-tf ==0.3.0.1
- monad-time ==0.4.0.0
- mongoDB ==2.7.1.2
- monoidal-containers ==0.6.4.0
+ - monoidal-functors ==0.2.3.0
- monoid-extras ==0.6.2
- monoid-subclasses ==1.2.4.1
- monoid-transformer ==0.0.4
+ - monomer ==1.6.0.1
- mono-traversable ==1.0.15.3
- mono-traversable-instances ==0.1.1.0
- mono-traversable-keys ==0.3.0
- more-containers ==0.2.2.2
- - morpheus-graphql ==0.27.3
- morpheus-graphql-app ==0.27.3
- morpheus-graphql-client ==0.27.3
- - morpheus-graphql-code-gen ==0.27.3
- morpheus-graphql-code-gen-utils ==0.27.3
- morpheus-graphql-core ==0.27.3
- morpheus-graphql-server ==0.27.3
@@ -1635,7 +1975,7 @@ default-package-overrides:
- mpi-hs ==0.7.2.0
- mpi-hs-binary ==0.1.1.0
- mpi-hs-cereal ==0.1.0.0
- - mstate ==0.2.8
+ - msgpack ==1.0.1.0
- mtl-compat ==0.2.2
- mtl-prelude ==2.0.3.2
- multiarg ==0.30.0.10
@@ -1644,6 +1984,7 @@ default-package-overrides:
- multipart ==0.2.1
- MultipletCombiner ==0.0.7
- multiset ==0.3.4.3
+ - multistate ==0.8.0.4
- murmur3 ==1.0.5
- murmur-hash ==0.1.0.10
- MusicBrainz ==0.4.1
@@ -1651,9 +1992,12 @@ default-package-overrides:
- mutable-containers ==0.3.4.1
- mwc-probability ==2.3.1
- mwc-random ==0.15.0.2
- - mwc-random-monad ==0.7.3.1
- mx-state-codes ==1.0.0.0
+ - myers-diff ==0.3.0.0
- mysql ==0.2.1
+ - mysql-haskell ==1.1.3
+ - mysql-haskell-nem ==0.1.0.0
+ - mysql-json-table ==0.1.2.0
- mysql-simple ==0.4.9
- n2o ==0.11.1
- n2o-nitro ==0.11.2
@@ -1674,27 +2018,25 @@ default-package-overrides:
- netlib-carray ==0.1
- netlib-comfort-array ==0.0.0.2
- netlib-ffi ==0.1.1
- - net-mqtt ==0.8.3.0
+ - net-mqtt ==0.8.6.0
- net-mqtt-lens ==0.1.1.0
- netpbm ==1.0.4
- netrc ==0.2.0.0
- nettle ==0.3.0
- netwire ==5.0.3
- netwire-input ==0.0.7
- - netwire-input-glfw ==0.0.11
- network ==3.1.4.0
- network-bsd ==2.8.1.0
- network-byte-order ==0.1.7
- - network-conduit-tls ==1.3.2
+ - network-conduit-tls ==1.4.0
+ - network-control ==0.0.2
- network-info ==0.2.1
- network-ip ==0.3.0.3
- network-messagepack-rpc ==0.1.2.0
- network-messagepack-rpc-websocket ==0.1.1.1
- network-multicast ==0.3.2
- - Network-NineP ==0.4.7.3
- network-run ==0.2.6
- network-simple ==0.4.5
- - network-simple-tls ==0.4.1
- network-transport ==0.5.6
- network-uri ==2.6.4.2
- network-wait ==0.2.0.0
@@ -1710,39 +2052,40 @@ default-package-overrides:
- nondeterminism ==1.5
- non-empty ==0.3.5
- nonempty-containers ==0.3.4.5
- - nonemptymap ==0.0.6.0
- non-empty-sequence ==0.2.0.4
- nonempty-vector ==0.2.3
- nonempty-zipper ==1.0.0.4
- non-negative ==0.1.2
- normaldistribution ==1.1.0.3
- - not-gloss ==0.7.7.0
- nothunks ==0.1.5
- no-value ==1.0.0.0
- nowdoc ==0.1.1.0
- nqe ==0.6.5
- nsis ==0.3.3
+ - n-tuple ==0.0.3
- numbers ==3000.2.0.2
- numeric-extras ==0.1
- numeric-limits ==0.1.0.0
- numeric-prelude ==0.4.4
- numeric-quest ==0.2.0.2
- - numhask ==0.10.1.1
- - numhask-array ==0.10.2
+ - numhask ==0.11.1.0
+ - numhask-array ==0.11.0.1
+ - numhask-space ==0.11.1.0
- NumInstances ==1.4
- numtype-dk ==0.5.0.3
- nuxeo ==0.3.2
- nvim-hs ==2.3.2.3
- nvim-hs-contrib ==2.0.0.2
- nvim-hs-ghcid ==2.0.1.0
- - oauthenticated ==0.3.0.0
+ - nvvm ==0.10.0.1
- ObjectName ==1.1.0.2
- oblivious-transfer ==0.1.0
- - o-clock ==1.3.0
+ - o-clock ==1.4.0
+ - ods2csv ==0.1
- ofx ==0.4.4.0
- - oidc-client ==0.7.0.1
- old-locale ==1.0.0.7
- old-time ==1.1.0.4
+ - om-elm ==2.0.0.5
- once ==0.4
- one-liner ==2.1
- one-liner-instances ==0.1.3.0
@@ -1750,7 +2093,7 @@ default-package-overrides:
- Only ==0.1
- oo-prototypes ==0.1.0.0
- oops ==0.2.0.1
- - opaleye ==0.9.7.0
+ - opaleye ==0.10.2.0
- OpenAL ==1.7.0.5
- openapi3 ==3.2.4
- open-browser ==0.2.1.0
@@ -1766,7 +2109,6 @@ default-package-overrides:
- opentelemetry-wai ==0.8.0
- open-witness ==0.6
- operational ==0.2.4.2
- - operational-class ==0.3.0.0
- opml-conduit ==0.9.0.0
- optics ==0.4.2.1
- optics-core ==0.4.1.1
@@ -1776,15 +2118,15 @@ default-package-overrides:
- optics-vl ==0.2.1
- optima ==0.4.0.5
- optional-args ==1.0.2
- - options ==1.2.1.1
- - optparse-applicative ==0.17.1.0
+ - options ==1.2.1.2
+ - optparse-applicative ==0.18.1.0
- optparse-enum ==1.0.0.0
- - optparse-generic ==1.4.9
+ - optparse-generic ==1.5.2
- optparse-simple ==0.1.1.4
- optparse-text ==0.1.1.0
- OrderedBits ==0.0.2.0
- ordered-containers ==0.2.3
- - ormolu ==0.5.3.0
+ - ormolu ==0.7.2.0
- overhang ==1.0.0
- packcheck ==0.6.0
- pager ==0.1.1.0
@@ -1792,18 +2134,21 @@ default-package-overrides:
- pagure ==0.1.1
- pagure-cli ==0.2.1
- palette ==0.3.0.3
- - pandoc ==3.0.1
+ - pandoc ==3.1.11.1
+ - pandoc-cli ==3.1.11.1
- pandoc-dhall-decoder ==0.1.0.1
- - pandoc-lua-marshal ==0.2.2
- - pandoc-plot ==1.7.0
- - pandoc-symreg ==0.2.0.0
+ - pandoc-lua-engine ==0.2.1.2
+ - pandoc-lua-marshal ==0.2.4
+ - pandoc-plot ==1.8.0
+ - pandoc-server ==0.1.0.4
- pandoc-throw ==0.1.0.0
- pandoc-types ==1.23.1
- pango ==0.13.10.0
- - pantry ==0.8.3
+ - pantry ==0.9.3.1
- parallel ==3.2.2.0
- parallel-io ==0.3.5
- parameterized ==0.5.0.0
+ - park-bench ==0.1.1.0
- parseargs ==0.2.0.9
- parsec-class ==1.0.0.0
- parsec-numbers ==0.1.0
@@ -1814,25 +2159,24 @@ default-package-overrides:
- parsers ==0.12.11
- partial-handler ==1.0.3
- partial-isomorphisms ==0.2.3.0
+ - partialord ==0.0.2
- partial-order ==0.2.0.0
- partial-semigroup ==0.6.0.2
- - password ==3.0.2.1
+ - password ==3.0.4.0
- password-instances ==3.0.0.0
- password-types ==1.0.0.0
- path ==0.9.5
- path-binary-instance ==0.1.0.1
- path-dhall-instance ==0.2.1.0
- path-extensions ==0.1.1.0
- - path-extra ==0.2.0
+ - path-extra ==0.3.1
- path-io ==1.8.1
- path-like ==0.2.0.2
- path-pieces ==0.2.1
- - path-text-utf8 ==0.0.1.12
- pathtype ==0.8.1.2
- path-utils ==0.1.1.0
- pathwalk ==0.3.1.2
- - patrol ==1.0.0.6
- - pattern-arrows ==0.0.2
+ - patrol ==1.0.0.7
- pava ==0.1.1.4
- pcf-font ==0.2.2.1
- pcg-random ==0.1.4.0
@@ -1848,34 +2192,35 @@ default-package-overrides:
- pedersen-commitment ==0.2.0
- pem ==0.2.4
- percent-format ==0.0.4
+ - peregrin ==0.4.2
+ - perf ==0.12.0.1
- perfect-hash-generator ==1.0.0
+ - persistable-record ==0.6.0.6
+ - persistable-types-HDBC-pg ==0.0.3.5
- persistent ==2.14.6.0
- persistent-discover ==0.1.0.7
- - persistent-documentation ==0.1.0.4
- persistent-iproute ==0.2.5
- persistent-lens ==1.0.0
- persistent-mongoDB ==2.13.0.1
- - persistent-mtl ==0.5.0.1
+ - persistent-mtl ==0.5.1
- persistent-mysql ==2.13.1.5
- persistent-pagination ==0.1.1.2
- persistent-postgresql ==2.13.6.1
- persistent-qq ==2.12.0.6
- persistent-redis ==2.13.0.1
- - persistent-refs ==0.4
- persistent-sqlite ==2.13.3.0
- persistent-template ==2.12.0.0
- persistent-test ==2.13.1.3
- persistent-typed-db ==0.1.0.7
- pg-harness-client ==0.6.0
- - pgp-wordlist ==0.1.0.3
- pg-transact ==0.3.2.0
- phantom-state ==0.2.1.4
- phatsort ==0.6.0.0
- - picosat ==0.1.6
- pid1 ==0.1.3.1
- - pinch ==0.4.3.0
+ - pinch ==0.5.1.0
- pipes ==4.3.16
- pipes-attoparsec ==0.6.0
+ - pipes-binary ==0.4.4
- pipes-bytestring ==2.1.7
- pipes-concurrency ==2.0.14
- pipes-csv ==1.4.3
@@ -1895,21 +2240,21 @@ default-package-overrides:
- place-cursor-at ==1.0.1
- placeholders ==0.1
- plaid ==0.1.0.4
- - plot ==0.2.3.11
- plotlyhs ==0.2.3
- Plural ==0.0.2
- pointed ==5.0.4
- pointedlist ==0.6.1
- pointless-fun ==1.1.0.8
- poll ==0.0.0.2
+ - poly ==0.5.1.0
- poly-arity ==0.1.0
- polynomials-bernstein ==1.1.2
- polyparse ==1.13
- polysemy ==1.9.1.3
- polysemy-fs ==0.1.0.0
- - polysemy-plugin ==0.4.5.1
+ - polysemy-plugin ==0.4.5.2
- polysemy-webserver ==0.2.1.2
- - pontarius-xmpp ==0.5.6.6
+ - pontarius-xmpp ==0.5.6.8
- pooled-io ==0.0.2.3
- portable-lines ==0.1
- port-utils ==0.2.1.0
@@ -1917,19 +2262,20 @@ default-package-overrides:
- posix-pty ==0.2.2
- possibly ==1.0.0.0
- postgres-options ==0.2.1.0
- - postgresql-binary ==0.13.1.2
- - postgresql-libpq ==0.9.5.0
+ - postgresql-binary ==0.13.1.3
+ - postgresql-libpq ==0.10.0.0
- postgresql-libpq-notify ==0.2.0.0
- postgresql-migration ==0.2.1.7
+ - postgresql-query ==3.10.0
- postgresql-schema ==0.1.14
- - postgresql-simple ==0.6.5.1
+ - postgresql-simple ==0.7.0.0
- postgresql-simple-url ==0.2.1.0
- postgresql-syntax ==0.4.1.1
- - postgresql-typed ==0.6.2.2
+ - postgresql-typed ==0.6.2.5
- post-mess-age ==0.2.1.0
- pptable ==0.3.0.0
- - pqueue ==1.4.3.0
- - prairie ==0.0.2.0
+ - pqueue ==1.5.0.0
+ - pred-set ==0.0.1
- prefix-units ==0.3.0.1
- prelude-compat ==0.0.0.2
- prelude-safeenum ==0.1.1.3
@@ -1942,52 +2288,55 @@ default-package-overrides:
- prettyprinter-compat-annotated-wl-pprint ==1.1
- prettyprinter-compat-ansi-wl-pprint ==1.0.2
- prettyprinter-compat-wl-pprint ==1.0.1
- - prettyprinter-convert-ansi-wl-pprint ==1.1.2
+ - prettyprinter-interp ==0.2.0.0
- pretty-relative-time ==0.3.0.0
- pretty-show ==1.10
- pretty-simple ==4.1.2.0
- pretty-sop ==0.2.0.3
- pretty-terminal ==0.1.0.0
- - pretty-types ==0.4.0.0
+ - primecount ==0.1.0.1
- primes ==0.2.1.0
- primitive ==0.8.0.0
- primitive-addr ==0.1.0.2
- primitive-extras ==0.10.1.10
- primitive-offset ==0.2.0.0
+ - primitive-serial ==0.1
- primitive-unaligned ==0.1.1.2
- - primitive-unlifted ==0.1.3.1
+ - primitive-unlifted ==2.1.0.0
- prim-uniq ==0.2
- print-console-colors ==0.1.0.0
- probability ==0.2.8
- process-extras ==0.7.4
+ - product-isomorphic ==0.0.3.4
- product-profunctors ==0.11.1.1
- - profiterole ==0.1
- profunctors ==5.6.2
- projectroot ==0.2.0.1
- project-template ==0.2.1.0
+ - prometheus ==2.2.4
- prometheus-client ==1.1.1
- prometheus-metrics-ghc ==1.0.1.2
- promises ==0.3
- - prompt ==0.1.1.2
- prospect ==0.1.0.0
- protobuf ==0.2.1.3
- protobuf-simple ==0.1.1.1
- protocol-radius ==0.0.1.1
- protocol-radius-test ==0.1.0.1
- - proto-lens ==0.7.1.3
- - proto-lens-optparse ==0.1.1.10
- - proto-lens-runtime ==0.7.0.4
+ - proto-lens ==0.7.1.4
+ - proto-lens-arbitrary ==0.1.2.12
+ - proto-lens-optparse ==0.1.1.11
+ - proto-lens-runtime ==0.7.0.5
- protolude ==0.3.3
- proxied ==0.3.1
- psql-helpers ==0.1.0.0
- PSQueue ==1.2.0
- psqueues ==0.2.8.0
- pthread ==0.2.1
- - ptr ==0.16.8.5
+ - ptr ==0.16.8.6
- ptr-poker ==0.1.2.14
- pulse-simple ==0.1.14
- pureMD5 ==2.1.4
- purescript-bridge ==0.15.0.0
+ - purview ==0.2.0.2
- pusher-http-haskell ==2.1.0.17
- pvar ==1.0.0.0
- pwstore-fast ==2.4.4
@@ -2004,14 +2353,14 @@ default-package-overrides:
- quickcheck-assertions ==0.3.0
- quickcheck-classes ==0.6.5.0
- quickcheck-classes-base ==0.6.2.0
- - quickcheck-groups ==0.0.0.0
+ - quickcheck-groups ==0.0.1.1
- quickcheck-higherorder ==0.1.0.1
- quickcheck-instances ==0.3.30
- quickcheck-io ==0.2.0
- - quickcheck-monoid-subclasses ==0.1.0.0
+ - quickcheck-monoid-subclasses ==0.3.0.1
- quickcheck-simple ==0.1.1.1
- quickcheck-special ==0.1.0.6
- - quickcheck-state-machine ==0.7.3
+ - quickcheck-state-machine ==0.8.0
- quickcheck-text ==0.1.2.1
- quickcheck-transformer ==0.3.1.2
- quickcheck-unicode ==1.0.1.0
@@ -2036,19 +2385,21 @@ default-package-overrides:
- ranges ==0.2.4
- range-set-list ==0.1.3.1
- rank1dynamic ==0.4.1
+ - rank2classes ==1.5.3
- Rasterific ==0.7.5.4
- rasterific-svg ==0.3.3.2
- - ratel ==2.0.0.10
+ - ratel ==2.0.0.11
- rate-limit ==1.4.3
- - ratel-wai ==2.0.0.5
+ - ratel-wai ==2.0.0.6
- ratio-int ==0.1.2
- rattle ==0.2
- - rattletrap ==12.0.3
+ - rattletrap ==12.1.3
- Rattus ==0.5.1.1
- - rawfilepath ==1.0.1
+ - rawfilepath ==1.1.0
- rawstring-qm ==0.2.3.0
- raw-strings-qq ==1.1
- rcu ==0.2.7
+ - rdf ==0.1.0.8
- rdtsc ==1.3.0.1
- re2 ==0.3
- reactive-balsa ==0.4.0.1
@@ -2059,29 +2410,25 @@ default-package-overrides:
- readable ==0.3.1
- read-editor ==0.1.0.2
- read-env-var ==1.0.0.0
- - rebase ==1.19
+ - rebase ==1.20.2
- rec-def ==0.2.2
- - record-dot-preprocessor ==0.2.16
- - record-hasfield ==1.0
+ - record-hasfield ==1.0.1
+ - records-sop ==0.1.1.1
- recursion-schemes ==5.2.2.5
- recv ==0.1.0
- redact ==0.5.0.0
- reddit-scrape ==0.0.1
- - redis-glob ==0.1.0.6
+ - redis-glob ==0.1.0.7
+ - redis-resp ==1.0.0
- reducers ==3.12.4
- refact ==0.3.0.2
- ref-fd ==0.5.0.1
- refined ==0.8.1
- - refinery ==0.4.0.0
- reflection ==2.1.7
- - reform ==0.2.7.5
- - reform-blaze ==0.2.4.4
- - reform-happstack ==0.2.5.6
- RefSerialize ==0.4.0
- ref-tf ==0.5.0.1
- regex ==1.1.0.2
- regex-applicative ==0.3.4
- - regex-applicative-text ==0.1.0.1
- regex-base ==0.94.0.2
- regex-compat ==0.95.2.1
- regex-pcre ==0.95.0.0
@@ -2090,37 +2437,41 @@ default-package-overrides:
- regex-posix-clib ==2.7
- regex-tdfa ==1.3.2.2
- regex-with-pcre ==1.1.0.2
+ - regression-simple ==0.2.1
- reinterpret-cast ==0.1.0
- - rel8 ==1.4.1.0
- relapse ==1.0.0.1
+ - relational-query ==0.12.3.1
+ - relational-query-HDBC ==0.7.2.1
+ - relational-record ==0.2.2.0
+ - relational-schemas ==0.1.8.1
- reliable-io ==0.0.2
- relude ==1.2.1.0
- renderable ==0.2.0.1
- replace-attoparsec ==1.5.0.0
- replace-megaparsec ==1.5.0.1
- repline ==0.4.2.0
- - req ==3.13.0
- - req-conduit ==1.0.1
- - rerebase ==1.19
+ - req ==3.13.2
+ - req-conduit ==1.0.2
+ - rerebase ==1.20.2
- reroute ==0.7.0.0
- resistor-cube ==0.0.1.4
- resolv ==0.2.0.2
- resource-pool ==0.4.0.0
- - resourcet ==1.2.6
+ - resourcet ==1.3.0
- rest-rewrite ==0.4.2
- result ==0.2.6.0
- retry ==0.9.3.1
- - rev-state ==0.1.2
- rex ==0.6.2
- rfc1751 ==0.1.3
- rfc5051 ==0.2
- rg ==1.4.0.0
- - rhbzquery ==0.4.4
- riak-protobuf ==0.25.0.0
+ - richenv ==0.1.0.1
- rio ==0.1.22.0
- rio-orphans ==0.1.2.0
- - rio-prettyprint ==0.1.7.0
+ - rio-prettyprint ==0.1.8.0
- rng-utils ==0.3.1
+ - roc-id ==0.2.0.0
- rocksdb-haskell ==1.0.1
- rocksdb-haskell-jprupp ==2.1.6
- rocksdb-query ==0.4.2
@@ -2139,10 +2490,11 @@ default-package-overrides:
- rss-conduit ==0.6.0.1
- run-haskell-module ==0.0.2
- runmemo ==1.0.0.1
- - run-st ==0.1.3.0
+ - run-st ==0.1.3.2
- rvar ==0.3.0.2
+ - rzk ==0.7.3
- s3-signer ==0.5.0.0
- - safe ==0.3.19
+ - safe ==0.3.21
- safe-coloured-text ==0.2.0.1
- safe-coloured-text-gen ==0.0.0.2
- safe-coloured-text-layout ==0.0.0.0
@@ -2151,11 +2503,10 @@ default-package-overrides:
- safecopy ==0.10.4.2
- safe-decimal ==0.2.1.0
- safe-exceptions ==0.1.7.4
- - safe-exceptions-checked ==0.1.0
- safe-foldable ==0.1.0.0
- safe-gen ==1.0.1
- safeio ==0.0.6.0
- - safe-json ==1.1.4.0
+ - safe-json ==1.2.0.1
- safe-money ==0.9.1
- SafeSemaphore ==0.10.1
- saltine ==0.2.1.0
@@ -2164,13 +2515,13 @@ default-package-overrides:
- sample-frame-np ==0.0.5
- sampling ==0.3.5
- sandi ==0.5
- - sandwich ==0.1.5.2
+ - sandwich ==0.2.2.0
- sandwich-hedgehog ==0.1.3.0
- sandwich-quickcheck ==0.1.0.7
- sandwich-slack ==0.1.2.0
- sandwich-webdriver ==0.2.3.1
- say ==0.1.0.1
- - sbp ==4.15.0
+ - sbp ==5.0.5
- sbv ==10.2
- scalpel ==0.6.2.2
- scalpel-core ==0.6.2.2
@@ -2180,7 +2531,7 @@ default-package-overrides:
- SciBaseTypes ==0.1.1.0
- scientific ==0.3.7.0
- scientist ==0.0.0.0
- - scotty ==0.12.1
+ - scotty ==0.20.1
- scrypt ==0.5.0
- sdl2 ==2.5.5.0
- sdl2-gfx ==0.3.0.0
@@ -2188,13 +2539,12 @@ default-package-overrides:
- sdl2-mixer ==1.2.0.0
- sdl2-ttf ==2.1.3
- search-algorithms ==0.3.2
- - secp256k1-haskell ==0.6.1
+ - secp256k1-haskell ==1.1.0
- securemem ==0.1.10
- selections ==0.3.0.0
- selective ==0.7
- semialign ==1.3
- - semigroupoid-extras ==5
- - semigroupoids ==5.3.7
+ - semigroupoids ==6.0.0.1
- semigroups ==0.20
- semirings ==0.6
- semiring-simple ==1.0.0.1
@@ -2204,42 +2554,44 @@ default-package-overrides:
- seqalign ==0.2.0.4
- seqid ==0.6.3
- seqid-streams ==0.7.2
- - sequence-formats ==1.7.1
+ - sequence-formats ==1.8.0.0
- sequenceTools ==1.5.3.1
- serialise ==0.2.6.1
- - servant ==0.19.1
+ - servant ==0.20.1
- servant-auth ==0.4.1.0
- servant-auth-client ==0.4.1.1
- - servant-auth-docs ==0.2.10.0
- - servant-auth-wordpress ==1.0.0.2
+ - servant-auth-docs ==0.2.10.1
+ - servant-auth-server ==0.4.8.0
+ - servant-auth-swagger ==0.2.10.2
- servant-blaze ==0.9.1
- - servant-cassava ==0.10.2
- servant-checked-exceptions ==2.2.0.1
- servant-checked-exceptions-core ==2.2.0.1
- - servant-client ==0.19
- - servant-client-core ==0.19
- - servant-conduit ==0.15.1
- - servant-docs ==0.12
+ - servant-client ==0.20
+ - servant-client-core ==0.20
+ - servant-conduit ==0.16
+ - servant-docs ==0.13
- servant-elm ==0.7.3
- servant-exceptions ==0.2.1
- servant-exceptions-server ==0.2.1
- - servant-foreign ==0.15.4
- - servant-http-streams ==0.18.4
+ - servant-foreign ==0.16
+ - servant-http-streams ==0.20
+ - servant-JuicyPixels ==0.3.1.1
- servant-lucid ==0.9.0.6
- - servant-machines ==0.15.1
+ - servant-machines ==0.16
- servant-multipart ==0.12.1
- servant-multipart-api ==0.12.1
- servant-multipart-client ==0.12.2
- servant-openapi3 ==2.0.1.6
- - servant-pipes ==0.15.3
+ - servant-pipes ==0.16
- servant-rate-limit ==0.2.0.0
- servant-rawm ==1.0.0.0
- - servant-server ==0.19.2
+ - servant-server ==0.20
- servant-static-th ==1.0.0.0
- servant-subscriber ==0.7.0.0
- - servant-swagger ==1.1.11
+ - servant-swagger ==1.2
- servant-swagger-ui ==0.3.5.5.0.0
- servant-swagger-ui-core ==0.3.5
+ - servant-swagger-ui-redoc ==0.3.4.1.22.3
- servant-websockets ==2.0.0
- servant-xml ==1.0.2
- serversession ==1.0.3
@@ -2252,34 +2604,38 @@ default-package-overrides:
- setenv ==0.1.1.3
- setlocale ==1.0.0.10
- set-monad ==0.3.0.0
- - sets ==0.0.6.2
- sexp-grammar ==2.3.4.2
- SHA ==1.6.4.4
- - shake ==0.19.7
+ - shake ==0.19.8
+ - shake-language-c ==0.12.0
- shake-plus ==0.3.4.0
+ - shake-plus-extended ==0.4.1.0
- shakespeare ==2.1.0.1
- shakespeare-text ==1.1.0
- shared-memory ==0.2.0.1
- shell-conduit ==5.0.0
- shell-escape ==0.2.0
- - shelltestrunner ==1.9.0.1
+ - shellify ==0.11.0.1
+ - shellmet ==0.0.4.1
+ - shelltestrunner ==1.10
- shell-utility ==0.1
- shellwords ==0.1.3.1
- shelly ==1.12.1
- should-not-typecheck ==2.1.0
- show-combinators ==0.2.0.0
- - shower ==0.2.0.3
- siggy-chardust ==1.0.0
- signal ==0.1.0.4
- silently ==1.2.5.3
- simple ==2.0.0
- simple-affine-space ==0.2.1
- simple-cabal ==0.1.3.1
+ - simple-cairo ==0.1.0.6
- simple-cmd ==0.2.7
- simple-cmd-args ==0.1.8
- simple-expr ==0.1.1.0
- simple-media-timestamp ==0.2.1.0
- simple-media-timestamp-attoparsec ==0.1.0.0
+ - simple-pango ==0.1.0.1
- simple-prompt ==0.2.2
- simple-reflect ==0.3.3
- simple-sendfile ==0.2.32
@@ -2287,82 +2643,78 @@ default-package-overrides:
- simple-templates ==2.0.0
- simple-vec3 ==0.6.0.1
- since ==0.0.0
- - singleton-bool ==0.1.6
+ - singleton-bool ==0.1.7
- singleton-nats ==0.4.7
- singletons ==3.0.2
- - singletons-base ==3.1.1
- - singletons-presburger ==0.7.2.0
- - singletons-th ==3.1.1
- - Sit ==0.2022.3.18
+ - singletons-base ==3.2
+ - singletons-presburger ==0.7.3.0
+ - singletons-th ==3.2
+ - Sit ==0.2023.8.3
- sitemap-gen ==0.1.0.0
- size-based ==0.1.3.2
- - sized ==1.1.0.0
+ - sized ==1.1.0.1
- skein ==1.0.9.4
- skews ==0.1.0.3
- skip-var ==0.1.1.0
- - skylighting ==0.13.4.1
- - skylighting-core ==0.13.4.1
+ - skylighting ==0.14.1
+ - skylighting-core ==0.14.1
- skylighting-format-ansi ==0.1
- skylighting-format-blaze-html ==0.1.1.1
- skylighting-format-context ==0.1.0.2
- skylighting-format-latex ==0.1
- - slack-progressbar ==0.1.0.1
- slave-thread ==1.1.0.3
- slick ==1.2.1.0
- slist ==0.2.1.0
- slynx ==0.7.2.2
- smallcheck ==1.2.1.1
- - smtp-mail ==0.3.0.0
+ - snap ==1.1.3.3
- snap-blaze ==0.2.1.5
- snap-core ==1.0.5.1
+ - snap-server ==1.1.2.1
- snowflake ==0.1.1.1
- socket ==0.8.3.0
- socks ==0.6.1
- solana-staking-csvs ==0.1.2.0
- - some ==1.0.4.1
+ - some ==1.0.6
- some-dict-of ==0.1.0.2
- sop-core ==0.5.0.2
- sort ==1.0.0.0
- - sorted-list ==0.2.1.2
+ - sorted-list ==0.2.2.0
- sound-collage ==0.2.1
- sourcemap ==0.1.7
- sox ==0.2.3.2
- soxlib ==0.0.3.2
- spacecookie ==1.0.0.2
- - spatial-math ==0.2.7.0
+ - SpatialMath ==0.2.7.1
- special-values ==0.1.0.0
- speculate ==0.4.14
- speedy-slice ==0.3.2
- splice ==0.6.1.1
- - split ==0.2.3.5
+ - split ==0.2.5
- splitmix ==0.1.0.5
- splitmix-distributions ==1.0.0
- split-record ==0.1.1.4
- - Spock ==0.14.0.0
- Spock-api ==0.14.0.0
- - Spock-api-server ==0.14.0.0
- - Spock-core ==0.14.0.1
- - Spock-lucid ==0.4.0.1
- - Spock-worker ==0.3.1.0
- spoon ==0.3.1
- spreadsheet ==0.1.3.10
- - sqlcli ==0.2.2.0
- - sqlcli-odbc ==0.2.0.1
- - sqlite-simple ==0.4.18.2
+ - sqids ==0.2.1.0
+ - sqlite-simple ==0.4.19.0
- sql-words ==0.1.6.5
+ - squeal-postgresql ==0.9.1.3
- squeather ==0.8.0.0
- srcloc ==0.6.0.1
- srt ==0.1.2.0
- srtree ==1.0.0.5
- stache ==2.3.4
- stack-all ==0.4.2
- - stack-clean-old ==0.4.8
+ - stack-clean-old ==0.5.1
- stack-templatizer ==0.1.1.0
- state-codes ==0.1.3
- stateref ==0.3
- statestack ==0.3.1.1
- StateVar ==1.2.2
- stateWriter ==0.4.0
+ - static-bytes ==0.1.0
- static-canvas ==0.2.0.3
- static-text ==0.2.0.7
- statistics ==0.16.2.1
@@ -2376,10 +2728,9 @@ default-package-overrides:
- stm-delay ==0.1.1.1
- stm-extras ==0.1.0.3
- stm-hamt ==1.2.0.14
- - stm-lifted ==2.5.0.0
- - STMonadTrans ==0.4.7
+ - STMonadTrans ==0.4.8
- stm-split ==0.0.2.1
- - stopwatch ==0.1.0.6
+ - stm-supply ==0.2.0.0
- storable-complex ==0.2.3.0
- storable-endian ==0.2.6.1
- storable-record ==0.0.7
@@ -2395,12 +2746,9 @@ default-package-overrides:
- streaming-bytestring ==0.3.2
- streaming-commons ==0.2.2.6
- streaming-wai ==0.1.1
- - streamly ==0.9.0
- - streamly-core ==0.1.0
- - streamly-examples ==0.1.3
- - streamly-process ==0.3.0
+ - streamly ==0.10.1
+ - streamly-core ==0.2.2
- streams ==3.3.2
- - streamt ==0.5.0.1
- strict ==0.5
- strict-base-types ==0.8
- strict-concurrency ==0.2.4.3
@@ -2410,31 +2758,30 @@ default-package-overrides:
- strict-wrapper ==0.0.0.0
- stringable ==0.1.3
- stringbuilder ==0.5.1
+ - string-class ==0.1.7.1
- string-combinators ==0.6.0.5
- string-conv ==0.2.0
- string-conversions ==0.4.0.1
- - string-interpolate ==0.3.2.1
+ - string-interpolate ==0.3.3.0
- stringprep ==1.0.0
- string-qq ==0.0.5
- string-random ==0.1.4.3
- stringsearch ==0.3.6.6
- string-transform ==1.1.1
- - string-variants ==0.2.2.0
- stripe-concepts ==1.0.3.3
- - stripe-scotty ==1.1.0.4
- stripe-signature ==1.0.0.16
- stripe-wreq ==1.0.1.16
- - strive ==6.0.0.10
+ - strive ==6.0.0.11
- structs ==0.1.9
- structured ==0.1.1
- - structured-cli ==2.7.0.1
- - subcategories ==0.2.0.1
+ - stylish-haskell ==0.14.5.0
+ - subcategories ==0.2.1.0
- sundown ==0.6
- superbuffer ==0.3.1.2
- svg-builder ==0.1.1
- SVGFonts ==1.8.0.1
- svg-tree ==0.6.2.4
- - swagger2 ==2.8.7
+ - swagger2 ==2.8.8
- swish ==0.10.7.0
- syb ==0.7.2.4
- sydtest ==0.15.1.1
@@ -2444,9 +2791,9 @@ default-package-overrides:
- sydtest-discover ==0.0.0.4
- sydtest-hedgehog ==0.4.0.0
- sydtest-hedis ==0.0.0.0
+ - sydtest-hspec ==0.4.0.2
- sydtest-mongo ==0.0.0.0
- sydtest-persistent ==0.0.0.2
- - sydtest-persistent-postgresql ==0.2.0.3
- sydtest-persistent-sqlite ==0.2.0.3
- sydtest-process ==0.0.0.0
- sydtest-rabbitmq ==0.1.0.0
@@ -2471,40 +2818,40 @@ default-package-overrides:
- system-fileio ==0.3.16.4
- system-filepath ==0.4.14
- system-info ==0.5.2
+ - system-linux-proc ==0.1.1.1
- tabular ==0.2.2.8
- tagchup ==0.4.1.2
- - tagged ==0.8.7
+ - tagged ==0.8.8
- tagged-binary ==0.2.0.1
- tagged-identity ==0.1.4
- tagged-transformer ==0.8.2
- - tagshare ==0.0
- tagsoup ==0.14.8
- tagstream-conduit ==0.5.6
- tao ==1.0.0
- tao-example ==1.0.0
- tar ==0.5.1.1
- - tar-conduit ==0.3.2.1
- - tardis ==0.4.4.0
+ - tar-conduit ==0.4.1
- tasty ==1.4.3
- tasty-ant-xml ==1.1.9
- - tasty-autocollect ==0.4.1
+ - tasty-autocollect ==0.4.2
- tasty-bench ==0.3.5
+ - tasty-bench-fit ==0.1
- tasty-dejafu ==2.1.0.1
- tasty-discover ==5.0.0
- tasty-expected-failure ==0.12.3
- tasty-fail-fast ==0.0.3
- tasty-focus ==1.0.1
- tasty-golden ==2.3.5
- - tasty-hedgehog ==1.4.0.1
- - tasty-hslua ==1.1.0
- - tasty-hspec ==1.2.0.3
+ - tasty-hedgehog ==1.4.0.2
+ - tasty-hslua ==1.1.1
+ - tasty-hspec ==1.2.0.4
- tasty-html ==0.4.2.1
- tasty-hunit ==0.10.1
- tasty-hunit-compat ==0.2.0.1
- - tasty-inspection-testing ==0.2
+ - tasty-inspection-testing ==0.2.1
- tasty-kat ==0.0.3
- tasty-leancheck ==0.0.2
- - tasty-lua ==1.1.0
+ - tasty-lua ==1.1.1
- tasty-program ==1.1.0
- tasty-quickcheck ==0.10.2
- tasty-rerun ==1.1.19
@@ -2513,23 +2860,23 @@ default-package-overrides:
- tasty-tap ==0.1.0
- tasty-th ==0.1.7
- tasty-wai ==0.1.2.0
+ - TCache ==0.13.3
- tce-conf ==1.3
- - tcp-streams ==1.0.1.1
- tdigest ==0.3
- teardown ==0.5.0.1
- - telegram-bot-api ==6.7.1
- - telegram-bot-simple ==0.12
+ - tempgres-client ==1.0.0
+ - template ==0.2.0.10
- template-haskell-compat-v0208 ==0.1.9.3
- temporary ==1.3
- temporary-rc ==1.2.0.3
- temporary-resourcet ==0.1.0.1
- tensorflow-test ==0.1.0.0
- tensors ==0.1.5
- - termbox ==1.1.0.2
- - termbox-banana ==1.0.0
+ - termbox ==2.0.0.1
+ - termbox-banana ==2.0.0
- termbox-bindings-c ==0.1.0.1
- - termbox-bindings-hs ==0.1.1
- - termbox-tea ==0.1.0.1
+ - termbox-bindings-hs ==1.0.0
+ - termbox-tea ==1.0.0
- terminal-progress-bar ==0.4.2
- terminal-size ==0.3.4
- termonad ==4.5.0.0
@@ -2541,15 +2888,16 @@ default-package-overrides:
- test-fun ==0.1.0.0
- testing-feat ==1.1.1.1
- testing-type-modifiers ==0.1.0.1
- - texmath ==0.12.8.4
- - text-ansi ==0.2.1.1
+ - texmath ==0.12.8.6
+ - text-ansi ==0.3.0.1
- text-binary ==0.2.1.1
- - text-builder ==0.6.7
- - text-builder-dev ==0.3.3.2
+ - text-builder ==0.6.7.2
+ - text-builder-dev ==0.3.4.2
- text-builder-linear ==0.1.2
- text-conversions ==0.3.1.1
- text-format ==0.3.2.1
- text-icu ==0.8.0.4
+ - text-iso8601 ==0.1
- text-latin1 ==0.3.1
- text-ldap ==0.1.1.14
- textlocal ==0.1.0.5
@@ -2565,29 +2913,31 @@ default-package-overrides:
- text-zipper ==0.13
- tfp ==1.0.2
- tf-random ==0.5
- - th-abstraction ==0.4.5.0
+ - th-abstraction ==0.5.0.0
- th-bang-compat ==0.0.1.0
- th-compat ==0.1.4
- th-constraint-compat ==0.0.1.0
- - th-data-compat ==0.1.3.0
- - th-desugar ==1.14
+ - th-data-compat ==0.1.3.1
+ - th-desugar ==1.15
- th-env ==0.1.1
- these ==1.2
- these-lens ==1.0.1.3
- these-optics ==1.0.1.2
- these-skinny ==0.7.5
- th-expand-syns ==0.4.11.0
+ - th-extras ==0.0.0.7
- th-lego ==0.3.0.3
- th-lift ==0.8.4
- th-lift-instances ==0.1.20
- th-nowq ==0.1.0.5
- th-orphans ==0.13.14
- - th-printf ==0.7
+ - th-printf ==0.8
- thread-hierarchy ==0.3.0.2
- thread-local-storage ==0.2
- threads ==0.5.1.8
- threads-extras ==0.1.0.3
- thread-supervisor ==0.2.0.0
+ - threepenny-gui ==0.9.4.0
- th-reify-compat ==0.0.1.5
- th-reify-many ==0.1.10
- th-strict-compat ==0.1.0.1
@@ -2598,7 +2948,7 @@ default-package-overrides:
- tidal-link ==1.0.2
- tile ==0.3.0.0
- time-compat ==1.9.6.1
- - time-domain ==0.1.0.2
+ - time-domain ==0.1.0.3
- timeit ==2.0
- timelens ==0.2.0.2
- time-lens ==0.4.0.2
@@ -2608,7 +2958,7 @@ default-package-overrides:
- time-parsers ==0.2
- timerep ==2.1.0.0
- timers-tick ==0.5.0.4
- - timer-wheel ==0.4.0.1
+ - timer-wheel ==1.0.0
- timespan ==0.4.0.0
- time-units ==1.0.0
- time-units-types ==0.2.0.1
@@ -2616,17 +2966,18 @@ default-package-overrides:
- timezone-olson-th ==0.1.0.11
- timezone-series ==0.1.13
- titlecase ==1.0.1
- - tls ==1.6.0
+ - tldr ==0.9.2
+ - tls ==1.8.0
- tls-session-manager ==0.0.4
- tlynx ==0.7.2.2
- tmapchan ==0.0.3
- tmapmvar ==0.0.4
- - tmp-postgres ==1.34.1.0
- - tmp-proc ==0.5.1.4
- - tmp-proc-postgres ==0.5.2.3
- - tmp-proc-rabbitmq ==0.5.1.4
- - tmp-proc-redis ==0.5.1.4
+ - tmp-proc ==0.5.3.0
+ - tmp-proc-postgres ==0.5.3.1
+ - tmp-proc-rabbitmq ==0.5.3.1
+ - tmp-proc-redis ==0.5.3.1
- token-bucket ==0.1.0.1
+ - toml-parser ==1.3.2.0
- toml-reader ==0.2.1.0
- toml-reader-parse ==0.1.1.1
- tophat ==1.0.7.0
@@ -2634,14 +2985,11 @@ default-package-overrides:
- torrent ==10000.1.3
- torsor ==0.1
- tostring ==0.2.1.1
- - tracing ==0.0.7.3
- - tracing-control ==0.0.7.3
+ - tracing ==0.0.7.4
- transaction ==0.1.1.4
- transformers-base ==0.4.6
- transformers-compat ==0.7.2
- transformers-either ==0.1.4
- - transformers-fix ==1.0
- - transient ==0.7.0.0
- traverse-with-class ==1.0.1.1
- tree-diff ==0.3.0.1
- tree-fun ==0.8.1.0
@@ -2649,17 +2997,16 @@ default-package-overrides:
- trie-simple ==0.4.2
- trifecta ==2.1.3
- trimdent ==0.1.0.0
- - triplesec ==0.2.2.1
- trivial-constraint ==0.7.0.0
- tsv2csv ==0.1.0.2
- - ttc ==1.2.1.0
+ - ttc ==1.4.0.0
- ttrie ==0.1.2.2
- tuple ==0.3.0.2
- tuples ==0.1.0.0
- tuples-homogenous-h98 ==0.1.1.0
- tuple-sop ==0.3.1.0
- tuple-th ==0.2.5
- - turtle ==1.6.1
+ - turtle ==1.6.2
- twitter-conduit ==0.6.1
- twitter-types ==0.11.0
- twitter-types-lens ==0.11.0
@@ -2668,46 +3015,47 @@ default-package-overrides:
- typed-uuid ==0.2.0.0
- type-equality ==1
- type-errors ==0.2.0.2
+ - type-flip ==0.1.0.0
- type-fun ==0.1.3
- type-hint ==0.1
- type-level-integers ==0.0.1
- type-level-kv-list ==2.0.2.0
- type-level-natural-number ==2.0
- type-level-numbers ==0.1.1.2
+ - typelits-witnesses ==0.4.0.1
- type-map ==0.1.7.0
- - type-natural ==1.3.0.0
+ - type-natural ==1.3.0.1
- typenums ==0.1.4
- type-of-html ==1.6.2.0
- type-of-html-static ==0.1.0.2
- type-rig ==0.1
+ - type-set ==0.1.0.0
- type-spec ==0.4.0.0
- typography-geometry ==1.0.1.0
- - typst-symbols ==0.1.4
+ - typst ==0.5
+ - typst-symbols ==0.1.5
- tz ==0.1.3.6
- - tzdata ==0.2.20230322.0
+ - tzdata ==0.2.20231222.0
- tztime ==0.1.1.0
- ua-parser ==0.7.7.0
- uglymemo ==0.1.0.1
- ulid ==0.3.2.0
- unagi-chan ==0.4.1.4
- unbounded-delays ==0.1.1.1
- - unbound-generics ==0.4.3
- unboxed-ref ==0.4.0.0
- unboxing-vector ==0.2.0.0
- uncaught-exception ==0.1.0
- unconstrained ==0.1.0.2
- unexceptionalio ==0.5.1
- - unexceptionalio-trans ==0.5.1
- unfork ==1.0.0.1
- unicode ==0.0.1.1
- - unicode-collation ==0.1.3.5
+ - unicode-collation ==0.1.3.6
- unicode-data ==0.4.0.1
- unicode-show ==0.1.1.1
- unicode-transforms ==0.4.0.1
- unidecode ==0.1.0.4
- - unification-fd ==0.11.2
- - union ==0.1.2
- union-angle ==0.1.0.1
+ - union-color ==0.1.2.1
- unipatterns ==0.0.0.0
- uniplate ==1.6.13
- uniq-deep ==1.2.1
@@ -2730,16 +3078,17 @@ default-package-overrides:
- unliftio ==0.2.25.0
- unliftio-core ==0.2.1.0
- unliftio-path ==0.0.2.0
- - unliftio-pool ==0.4.2.0
+ - unliftio-pool ==0.4.3.0
+ - unliftio-streams ==0.2.0.0
- unlit ==0.4.0.0
- - unordered-containers ==0.2.19.1
+ - unordered-containers ==0.2.20
- unsafe ==0.0
- uri-bytestring ==0.3.3.1
- uri-bytestring-aeson ==0.1.0.8
- uri-encode ==1.5.0.7
- url ==2.1.3
+ - urlpath ==11.0.2
- users ==0.5.0.0
- - users-postgresql-simple ==0.5.0.2
- users-test ==0.5.0.1
- utf8-light ==0.4.4.0
- utf8-string ==1.0.2
@@ -2749,12 +3098,14 @@ default-package-overrides:
- valida ==1.1.0
- valida-base ==0.2.0
- validate-input ==0.5.0.0
- - validationt ==0.3.0
+ - validation ==1.1.3
- validity ==0.12.0.2
- validity-aeson ==0.2.0.5
- validity-bytestring ==0.4.1.1
- validity-case-insensitive ==0.0.0.0
- validity-containers ==0.5.0.4
+ - validity-network-uri ==0.0.0.1
+ - validity-path ==0.4.0.1
- validity-persistent ==0.0.0.0
- validity-primitive ==0.0.0.1
- validity-scientific ==0.2.0.3
@@ -2782,20 +3133,23 @@ default-package-overrides:
- vector-sized ==1.5.0
- vector-space ==0.16
- vector-split ==1.0.0.3
- - vector-stream ==0.1.0.0
+ - vector-stream ==0.1.0.1
- vector-th-unbox ==0.2.2
- verbosity ==0.4.0.0
- - versions ==6.0.3
+ - verset ==0.0.1.8
+ - versions ==6.0.5
- vformat ==0.14.1.0
- vformat-time ==0.1.0.0
- ViennaRNAParser ==1.3.3
- vinyl ==0.14.3
- vinyl-loeb ==0.0.1.0
- - vivid ==0.5.2.0
+ - Vis ==0.7.7.0
- vivid-osc ==0.5.0.0
- vivid-supercollider ==0.4.1.2
- void ==0.7.3
- - vty ==5.38
+ - vty ==6.1
+ - vty-crossplatform ==0.4.0.0
+ - vty-unix ==0.2.0.0
- wai ==3.2.4
- wai-app-static ==3.1.8
- wai-cli ==0.2.3
@@ -2804,7 +3158,7 @@ default-package-overrides:
- wai-cors ==0.2.7
- wai-enforce-https ==1.0.0.0
- wai-eventsource ==3.0.0
- - wai-extra ==3.1.13.0
+ - wai-extra ==3.1.14
- wai-feature-flags ==0.1.0.7
- wai-handler-launch ==3.0.3.1
- wai-logger ==2.4.0
@@ -2813,45 +3167,39 @@ default-package-overrides:
- wai-middleware-caching-lru ==0.1.0.0
- wai-middleware-caching-redis ==0.2.0.0
- wai-middleware-clacks ==0.1.0.1
- - wai-middleware-delegate ==0.1.3.1
+ - wai-middleware-delegate ==0.1.4.0
- wai-middleware-metrics ==0.2.4
- wai-middleware-prometheus ==1.0.0.1
- wai-middleware-static ==0.9.2
- wai-middleware-throttle ==0.3.0.1
- wai-rate-limit ==0.3.0.0
- wai-rate-limit-redis ==0.2.0.1
- - wai-saml2 ==0.4
+ - wai-saml2 ==0.5
- wai-session ==0.3.3
- wai-session-postgresql ==0.2.1.3
- wai-session-redis ==0.1.0.5
- wai-slack-middleware ==0.2.0
+ - wai-transformers ==0.1.0
- wai-websockets ==3.0.1.2
- wakame ==0.1.0.0
- - warp ==3.3.25
- - warp-tls ==3.3.6
- - warp-tls-uid ==0.2.0.6
+ - warp ==3.3.31
+ - warp-tls ==3.4.3
- wave ==0.2.1
- wcwidth ==0.0.2
- - webdriver ==0.11.0.0
+ - webdriver ==0.12.0.0
- webex-teams-api ==0.2.0.1
- webex-teams-conduit ==0.2.0.1
- - webgear-core ==1.0.5
- - webgear-openapi ==1.0.5
- webpage ==0.0.5.1
- - web-routes ==0.27.15
- - web-routes-boomerang ==0.28.4.4
- - web-routes-happstack ==0.23.12.3
- - web-routes-hsp ==0.24.6.2
- - web-routes-th ==0.22.8.1
- - web-routes-wai ==0.24.3.2
- webrtc-vad ==0.1.0.3
- websockets ==0.12.7.3
- - weigh ==0.0.16
+ - websockets-simple ==0.2.0
+ - websockets-snap ==0.10.3.1
+ - weigh ==0.0.17
- welford-online-mean-variance ==0.2.0.0
- wide-word ==0.1.6.0
- Win32-notify ==0.3.0.3
- windns ==0.1.0.1
- - witch ==1.2.0.3
+ - witch ==1.2.0.4
- withdependencies ==0.3.0
- witherable ==0.4.2
- within ==0.2.0.1
@@ -2864,18 +3212,17 @@ default-package-overrides:
- wl-pprint-text ==1.2.0.2
- word8 ==0.1.3
- word-compat ==0.0.6
- - wordpress-auth ==1.0.0.1
- word-trie ==0.3.0
- word-wrap ==0.5
- world-peace ==1.0.2.0
- wrap ==0.0.0
+ - wraxml ==0.5
- wreq ==0.5.4.2
- wreq-stringless ==0.5.9.1
- - writer-cps-exceptions ==0.1.0.1
- - writer-cps-mtl ==0.1.1.6
- writer-cps-transformers ==0.5.6.1
+ - ws ==0.0.6
- wss-client ==0.3.0.0
- - wuss ==2.0.1.3
+ - wuss ==2.0.1.7
- X11 ==1.10.3
- X11-xft ==0.3.4
- x11-xim ==0.0.9.0
@@ -2885,17 +3232,15 @@ default-package-overrides:
- x509-validation ==1.6.12
- Xauth ==0.1
- xdg-basedir ==0.2.2
- - xdg-desktop-entry ==0.1.1.1
- xdg-userdirs ==0.1.0.2
- xeno ==0.6
- - xlsx ==1.1.1
+ - xlsx ==1.1.2.1
- xml ==1.3.14
- xml-basic ==0.1.3.2
- xmlbf ==0.7
- xmlbf-xeno ==0.2.2
- xmlbf-xmlhtml ==0.2.2
- xml-conduit ==1.9.1.3
- - xml-conduit-writer ==0.1.1.4
- xmlgen ==0.6.2.2
- xml-hamlet ==0.5.0.2
- xml-helpers ==1.0.0
@@ -2903,17 +3248,15 @@ default-package-overrides:
- xml-html-qq ==0.1.0.1
- xml-indexed-cursor ==0.1.1.0
- xml-lens ==0.3.1
- - xml-parser ==0.1.1.1
- xml-picklers ==0.3.6
- xml-to-json-fast ==2.0.0
- xml-types ==0.3.8
- xmonad ==0.17.2
- - xmonad-contrib ==0.17.1
- xor ==0.0.1.2
- xss-sanitize ==0.3.7.2
- xxhash-ffi ==0.2.0.0
- yaml ==0.11.11.2
- - yaml-unscrambler ==0.1.0.18
+ - yaml-unscrambler ==0.1.0.19
- Yampa ==0.14.6
- yarn-lock ==0.6.5
- yeshql-core ==4.2.0.0
@@ -2922,8 +3265,6 @@ default-package-overrides:
- yesod-auth-basic ==0.1.0.3
- yesod-auth-hashdb ==1.7.1.7
- yesod-auth-oauth2 ==0.7.1.3
- - yesod-auth-oidc ==0.1.4
- - yesod-bin ==1.6.2.2
- yesod-core ==1.6.25.1
- yesod-eventsource ==1.6.0.1
- yesod-fb ==0.6.1
@@ -2947,7 +3288,7 @@ default-package-overrides:
- yi-rope ==0.11
- yjsvg ==0.2.0.1
- yjtools ==0.9.18
- - yoga ==0.0.0.5
+ - yoga ==0.0.0.8
- youtube ==0.2.1.1
- zenacy-html ==2.1.0
- zenacy-unicode ==1.0.2
@@ -2955,13 +3296,12 @@ default-package-overrides:
- zeromq4-patterns ==0.3.1.0
- zigzag ==0.0.1.0
- zim-parser ==0.2.1.0
- - zio ==0.1.0.2
- zip ==2.0.0
- zip-archive ==0.4.3
- - zipper-extra ==0.1.3.2
- zippers ==0.3.2
- zip-stream ==0.2.2.0
- zlib ==0.6.3.0
- zlib-bindings ==0.1.1.5
- zot ==0.0.3
- zstd ==0.1.3.0
+ - zxcvbn-hs ==0.3.6
diff --git a/pkgs/development/haskell-modules/configuration-hackage2nix/transitive-broken.yaml b/pkgs/development/haskell-modules/configuration-hackage2nix/transitive-broken.yaml
index 6b9bf78a40d0..d315bce3bf6b 100644
--- a/pkgs/development/haskell-modules/configuration-hackage2nix/transitive-broken.yaml
+++ b/pkgs/development/haskell-modules/configuration-hackage2nix/transitive-broken.yaml
@@ -68,6 +68,7 @@ dont-distribute-packages:
- Chart-fltkhs
- ClustalParser
- Coadjute
+ - Color
- Combinatorrent
- ComonadSheet
- Condor
@@ -212,6 +213,7 @@ dont-distribute-packages:
- Haschoo
- Hashell
- HaskRel
+ - HaskellNet-SSL
- Hate
- Hawk
- Hayoo
@@ -241,7 +243,6 @@ dont-distribute-packages:
- JsContracts
- JsonGrammar
- JuPyTer-notebook
- - JuicyPixels-repa
- JunkDB-driver-gdbm
- JunkDB-driver-hashtables
- KiCS
@@ -294,6 +295,7 @@ dont-distribute-packages:
- NaCl
- NaperianNetCDF
- NearContextAlgebra
+ - Network-NineP
- Ninjas
- NoSlow
- Nomyx
@@ -325,7 +327,6 @@ dont-distribute-packages:
- Pup-Events
- Pup-Events-Demo
- Quelea
- - QuickPlot
- RESTng
- RJson
- RMP
@@ -382,6 +383,11 @@ dont-distribute-packages:
- SourceGraph
- SpacePrivateers
- SpinCounter
+ - Spock
+ - Spock-api-server
+ - Spock-digestive
+ - Spock-lucid
+ - Spock-worker
- StockholmAlignment
- Strafunski-Sdf2Haskell
- SybWidget
@@ -414,6 +420,7 @@ dont-distribute-packages:
- WebCont
- Wired
- WordAlignment
+ - WringTwistree
- WxGeneric
- XML
- XMPP
@@ -456,7 +463,9 @@ dont-distribute-packages:
- adict
- adp-multi-monadiccp
- aeson-native
+ - aeson_1_5_6_0
- affine
+ - afis
- afv
- agda-server
- agda-snippets-hakyll
@@ -468,12 +477,14 @@ dont-distribute-packages:
- algebra-driven-design
- algebra-sql
- algebraic
+ - algebraic-graphs-io
- algolia
- algorithmic-composition-additional
- algorithmic-composition-basic
- algorithmic-composition-complex
- algorithmic-composition-frequency-shift
- algorithmic-composition-overtones
+ - alloy-proxy-fd
- alms
- alpha
- alsa-gui
@@ -485,6 +496,8 @@ dont-distribute-packages:
- ampersand
- amqp-streamly
- analyze-client
+ - anansi
+ - anansi-hscolour
- anatomy
- animate-example
- animate-frames
@@ -500,8 +513,6 @@ dont-distribute-packages:
- antiope-s3
- antiope-shell
- antlrc
- - apecs-gloss
- - apecs-physics-gloss
- apelsin
- api-rpc-accumulate
- api-rpc-pegnet
@@ -553,6 +564,7 @@ dont-distribute-packages:
- atom-msp430
- atomic-primops-foreign
- atp
+ - attenuation-profunctors
- attoparsec-enumerator
- attoparsec-iteratee
- attoparsec-text-enumerator
@@ -560,7 +572,7 @@ dont-distribute-packages:
- audiovisual
- aura
- authoring
- - autodocodec-openapi3
+ - autoapply
- automata
- autonix-deps-kf5
- avers
@@ -581,6 +593,8 @@ dont-distribute-packages:
- aws-kinesis-client
- aws-kinesis-reshard
- aws-lambda
+ - aws-lambda-haskell-runtime
+ - aws-lambda-haskell-runtime-wai
- aws-mfa-credentials
- aws-sdk
- aws-sdk-xml-unordered
@@ -621,12 +635,13 @@ dont-distribute-packages:
- battleships
- bayes-stack
- bbi
- - bcp47
- - bcp47-orphans
- bdcs
- bdcs-api
- beam-automigrate
+ - beam-postgres
+ - beam-sqlite
- beam-th
+ - bearriver
- beautifHOL
- bech32-th
- beeminder-api
@@ -649,6 +664,7 @@ dont-distribute-packages:
- bindings-ppdev
- bindynamic
- binembed-example
+ - binrep
- bioace
- bioalign
- biofasta
@@ -661,6 +677,7 @@ dont-distribute-packages:
- bip32
- birch-beer
- bird
+ - bisc
- biscuit-servant
- bishbosh
- bit-array
@@ -675,6 +692,7 @@ dont-distribute-packages:
- bitmaps
- bittorrent
- bla
+ - blacktip
- blakesum-demo
- blastxml
- blatex
@@ -702,8 +720,10 @@ dont-distribute-packages:
- boots-web
- borel
- both
+ - bound-gen
+ - bower-json
+ - bower-json_1_0_0_1
- breakout
- - brick_2_1_1
- bricks
- bricks-internal-test
- bricks-parsec
@@ -725,17 +745,15 @@ dont-distribute-packages:
- buster-network
- butterflies
- bytable
- - bytehash
- bytelog
- bytestring-builder-varword
- bytestring-read
+ - bytetrie
- ca
- cabal-bounds
- cabal-cache
- cabal-cargs
- - cabal-flatpak
- - cabal-helper
- - cabal-plan-bounds
+ - cabal-fix
- cabal-query
- cabal-test
- cabal2arch
@@ -746,6 +764,7 @@ dont-distribute-packages:
- cakyrespa
- cal3d-examples
- cal3d-opengl
+ - calamity-commands
- calc
- calculator
- caldims
@@ -805,8 +824,10 @@ dont-distribute-packages:
- chart-cli
- chart-svg
- chart-svg-various
+ - chart-svg_0_6_0_0
- chart-unit
- chassis
+ - chatty
- cheapskate-highlight
- cheapskate-lucid
- cheapskate-terminal
@@ -826,7 +847,6 @@ dont-distribute-packages:
- chu2
- chuchu
- chunks
- - circle
- citation-resolve
- citeproc-hs-pandoc-filter
- clac
@@ -861,6 +881,7 @@ dont-distribute-packages:
- clckwrks-theme-bootstrap
- clckwrks-theme-clckwrks
- clckwrks-theme-geo-bootstrap
+ - clean-home
- cleff-plugin
- cless
- cleveland
@@ -885,6 +906,7 @@ dont-distribute-packages:
- cmv
- cnc-spec-compiler
- co-feldspar
+ - co-log-polysemy
- cobot-io
- codec
- codec-libevent
@@ -907,6 +929,7 @@ dont-distribute-packages:
- columnar
- comark
- combinat-diagrams
+ - comic
- commsec
- commsec-keyexchange
- comonad-random
@@ -964,6 +987,10 @@ dont-distribute-packages:
- continuum-client
- control
- control-monad-attempt
+ - control-monad-exception
+ - control-monad-exception-monadsfd
+ - control-monad-exception-monadstf
+ - control-monad-exception-mtl
- conversions
- convert
- convert-annotation
@@ -977,14 +1004,14 @@ dont-distribute-packages:
- copilot-libraries
- copilot-sbv
- copilot-theorem
+ - copilot-verifier
- corenlp-parser
- coroutine-enumerator
- coroutine-iteratee
- couch-simple
- couchdb-enumerator
- - country
- - country_0_2_4_1
- cpkg
+ - cprng-aes
- cprng-aes-effect
- cql-io-tinylog
- cqrs-example
@@ -994,6 +1021,7 @@ dont-distribute-packages:
- cqrs-test
- cqrs-testkit
- crackNum
+ - crackNum_3_6
- craft
- craftwerk-cairo
- craftwerk-gtk
@@ -1004,8 +1032,12 @@ dont-distribute-packages:
- crf-chain2-generic
- crf-chain2-tiers
- criu-rpc
- - criu-rpc-types
- cron-compat
+ - crucible
+ - crucible-llvm
+ - crucible-symio
+ - crux
+ - crux-llvm
- crypto-classical
- crypto-conduit
- crypto-pubkey
@@ -1016,14 +1048,15 @@ dont-distribute-packages:
- cryptol
- cryptonite-cd
- crystalfontz
- - csg
- cspmchecker
- csv-enumerator
- ctpl
- cube
- curryer-rpc
+ - cursor-fuzzy-time-gen
- cv-combinators
- cypher
+ - dahdit-midi
- dahdit-network
- dahdit-test
- daino
@@ -1073,6 +1106,7 @@ dont-distribute-packages:
- ddc-tools
- ddc-war
- ddci-core
+ - debian-binary
- debug
- decidable
- decimal-arithmetic
@@ -1105,13 +1139,14 @@ dont-distribute-packages:
- deptrack-dot
- dequeue
- derive-IG
- - deriving-openapi3
- descript-lang
- detour-via-uom
- devtools
- dewdrop
- dfinity-radix-tree
+ - dhall-lex
- dhall-secret
+ - di-polysemy
- dia-functions
- diagrams-reflex
- diagrams-wx
@@ -1120,8 +1155,8 @@ dont-distribute-packages:
- difference-monoid
- differential
- digestive-foundation-lucid
- - digestive-functors-heist
- digestive-functors-hsp
+ - digraph
- dimensional-tf
- dimensions
- dingo-core
@@ -1131,6 +1166,7 @@ dont-distribute-packages:
- direct-rocksdb
- directory-contents
- dirfiles
+ - dirstream
- discogs-haskell
- discord-gateway
- discord-hs
@@ -1161,7 +1197,6 @@ dont-distribute-packages:
- distribution-plot
- dixi
- dl-fedora
- - dl-fedora_1_0
- dmenu-pkill
- dmenu-pmount
- dmenu-search
@@ -1192,15 +1227,16 @@ dont-distribute-packages:
- dropbox-sdk
- dropsolve
- dsh-sql
- - dsmc
- dsmc-tools
- dtd
+ - dunai-test
- dvda
- dynamic-cabal
- dynamic-pipeline
- dynamic-plot
- dynamic-pp
- dynobud
+ - e11y-otel
- easytensor
- easytensor-vulkan
- ecdsa
@@ -1224,13 +1260,14 @@ dont-distribute-packages:
- elasticsearch-interchange
- electrs-client
- elerea-examples
+ - eliminators
+ - eliminators_0_9_4
- elliptic-curve
- elsa
- ema-extra
- emacs-keys
- email
- emailparse
- - emanote
- embroidery
- emd
- engine-io-growler
@@ -1287,13 +1324,17 @@ dont-distribute-packages:
- eventsource-geteventstore-store
- eventsource-store-specs
- eventsource-stub-store
- - eventuo11y
- eventuo11y-batteries
- eventuo11y-json
- eventuo11y-otel
- eventuo11y-prometheus
- every-bit-counts
- exference
+ - exinst-aeson
+ - exinst-base
+ - exinst-bytes
+ - exinst-cereal
+ - exinst-serialise
- exist
- exist-instances
- expand
@@ -1311,7 +1352,6 @@ dont-distribute-packages:
- factual-api
- fadno
- fair
- - falling-turnip
- fallingblocks
- family-tree
- fast-arithmetic
@@ -1343,7 +1383,6 @@ dont-distribute-packages:
- feed2twitter
- feedback
- fei-base
- - fei-cocoapi
- fei-dataiter
- fei-datasets
- fei-examples
@@ -1374,10 +1413,8 @@ dont-distribute-packages:
- fixed-point-vector-space
- fixhs
- flashblast
- - flatbuffers
- flexiwrap
- flexiwrap-smallcheck
- - flink-statefulfun
- flite
- flower
- flowsim
@@ -1389,7 +1426,6 @@ dont-distribute-packages:
- fluent-logger
- fluent-logger-conduit
- fmt-for-rio
- - fn-extra
- foldable1
- foldl-transduce-attoparsec
- follower
@@ -1403,6 +1439,8 @@ dont-distribute-packages:
- forms-data-format
- forsyde-deep
- forth-hll
+ - fortran-src
+ - fortran-src-extras
- foscam-directory
- foscam-sort
- fpco-api
@@ -1410,6 +1448,7 @@ dont-distribute-packages:
- fpnla-examples
- frame-markdown
- freckle-app
+ - free-category
- free-functors
- free-game
- free-theorems-seq-webui
@@ -1443,11 +1482,16 @@ dont-distribute-packages:
- functional-arrow
- functor
- functor-combo
+ - functor-monad
- funflow
- funflow-nix
- funion
- funnyprint
- funsat
+ - fused-effects-lens
+ - fused-effects-optics
+ - fused-effects-random
+ - fused-effects-readline
- fused-effects-squeal
- fwgl-glfw
- fwgl-javascript
@@ -1465,7 +1509,6 @@ dont-distribute-packages:
- gbu
- gdax
- gdiff-ig
- - gearhash
- gedcom
- geek
- geek-server
@@ -1475,6 +1518,7 @@ dont-distribute-packages:
- gelatin-gl
- gelatin-sdl2
- gelatin-shaders
+ - gemini-router
- gemini-textboard
- gencheck
- generic-accessors
@@ -1494,13 +1538,10 @@ dont-distribute-packages:
- ghc-dump-util
- ghc-imported-from
- ghc-instances
- - ghc-lib_9_8_1_20231121
- ghc-mod
- ghc-plugs-out
- ghc-session
- - ghc_9_8_1
- ghci-pretty
- - ghcide-bench
- ghcjs-dom-hello
- ghcjs-dom-webkit
- ghcjs-hplay
@@ -1510,7 +1551,6 @@ dont-distribute-packages:
- gi-ges
- gi-gstpbutils
- gi-gtk-declarative-app-simple
- - gi-gtk_4_0_8
- git-config
- git-fmt
- git-gpush
@@ -1536,11 +1576,12 @@ dont-distribute-packages:
- glome-hs
- gloss-accelerate
- gloss-devil
- - gloss-examples
- - gloss-raster
- gloss-raster-accelerate
+ - gloss-raster-massiv
- gloss-sodium
+ - glpk-hs
- gltf-loader
+ - glualint
- gmap
- gmndl
- gnome-desktop
@@ -1749,6 +1790,7 @@ dont-distribute-packages:
- gore-and-ash-sync
- gps
- gps2htmlReport
+ - grab-form
- graflog
- grammar-combinators
- grapefruit-examples
@@ -1768,6 +1810,7 @@ dont-distribute-packages:
- graphicsFormats
- graphicstools
- graphtype
+ - graphula
- greencard-lib
- grenade
- greskell
@@ -1810,6 +1853,7 @@ dont-distribute-packages:
- hOff-display
- hPDB
- hPDB-examples
+ - hS3
- habit
- hablo
- hablog
@@ -1827,7 +1871,6 @@ dont-distribute-packages:
- hack2-handler-happstack-server
- hack2-handler-mongrel2-http
- hack2-handler-snap-server
- - hackage-cli
- hackage2twitter
- hackmanager
- haddock
@@ -1838,6 +1881,7 @@ dont-distribute-packages:
- hailgun-send
- hailgun-simple
- hails-bin
+ - hake
- hakyll-elm
- hakyll-ogmarkup
- hakyll-shortcut-links
@@ -1864,8 +1908,8 @@ dont-distribute-packages:
- happstack-fay
- happstack-fay-ajax
- happstack-foundation
- - happstack-heist
- happstack-helpers
+ - happstack-hsp
- happstack-ixset
- happstack-plugins
- happstack-state
@@ -1877,12 +1921,14 @@ dont-distribute-packages:
- harmony
- haroonga-httpd
- has-th
+ - hasbolt
- hascat
- hascat-lib
- hascat-setup
- hascat-system
+ - hash-addressed
+ - hash-addressed-cli
- hashable-accelerate
- - hasherize
- hashflare
- hask-home
- haskanoid
@@ -1946,7 +1992,6 @@ dont-distribute-packages:
- haskoin-bitcoind
- haskoin-crypto
- haskoin-node
- - haskoin-node_1_0_1
- haskoin-protocol
- haskoin-script
- haskoon
@@ -1970,6 +2015,7 @@ dont-distribute-packages:
- hasql-cursor-query
- hasql-postgres
- hasql-postgres-options
+ - hasql-queue
- hasql-streams-conduit
- hasql-streams-core
- hasql-streams-example
@@ -2021,8 +2067,6 @@ dont-distribute-packages:
- heftia
- heftia-effects
- heist-aeson
- - heist-async
- - heist-extra
- helic
- helics
- helics-wai
@@ -2042,8 +2086,7 @@ dont-distribute-packages:
- hesh
- hesql
- heterolist
- - hetzner
- - hetzner_0_6_0_0
+ - hevm
- hevolisa
- hevolisa-dph
- hexpat-conduit
@@ -2070,9 +2113,9 @@ dont-distribute-packages:
- hinduce-classifier
- hinduce-classifier-decisiontree
- hinduce-examples
+ - hinit
- hinvaders
- hinze-streams
- - hip
- hipbot
- hipe
- hipsql-client
@@ -2090,16 +2133,15 @@ dont-distribute-packages:
- hjugement-cli
- hlcm
- hledger-api
+ - hlrdb
- hls
- hls-exactprint-utils
- hmark
- - hmatrix-repa
- hmatrix-sundials
- hmeap
- hmeap-utils
- hmep
- hmt-diagrams
- - hnetcdf
- hnormalise
- hoauth2-demo
- hoauth2-providers-tutorial
@@ -2149,9 +2191,13 @@ dont-distribute-packages:
- hs
- hs-blake2
- hs-ffmpeg
+ - hs-functors
- hs-gen-iface
+ - hs-ix
- hs-pkpass
+ - hs-profunctors
- hs-sdl-term-emulator
+ - hs2ats
- hs2dot
- hsautogui
- hsbackup
@@ -2174,12 +2220,11 @@ dont-distribute-packages:
- hsinspect-lsp
- hslogstash
- hsparql
+ - hspec-dirstream
- hspec-expectations-pretty
- - hspec-formatter-github
- hspec-pg-transact
- hspec-setup
- hspec-shouldbe
- - hspec-snap
- hspecVariant
- hsprocess
- hsql-mysql
@@ -2190,6 +2235,7 @@ dont-distribute-packages:
- hsqml-demo-morris
- hsqml-morris
- hsreadability
+ - hssourceinfo
- hssqlppp-th
- hstar
- hstox
@@ -2197,10 +2243,12 @@ dont-distribute-packages:
- hstzaar
- hsubconvert
- hswip
+ - hsx-jmacro
- hsx-xhtml
- hsyslog-tcp
- html-kure
- html-parse-util
+ - html2hamlet
- htoml-parse
- htsn-import
- http-client-auth
@@ -2208,7 +2256,6 @@ dont-distribute-packages:
- http-enumerator
- http-exchange
- http-exchange-instantiations
- - http-io-streams
- http-response-decoder
- http2-client-exe
- http2-client-grpc
@@ -2222,17 +2269,22 @@ dont-distribute-packages:
- hugs2yc
- hulk
- hunit-gui
+ - hunp
- hunt-searchengine
- hunt-server
- hurdle
+ - hurl
- hurl-xml
+ - husk-scheme-libs
- huzzy
- hw-all
+ - hw-eliasfano
- hw-json
- hw-json-demo
- hw-json-lens
- hw-json-simple-cursor
- hw-json-standard-cursor
+ - hw-kafka-avro
- hw-uri
- hworker-ses
- hwormhole
@@ -2268,14 +2320,16 @@ dont-distribute-packages:
- ideas-math-types
- ideas-statistics
- identicon-style-squares
+ - idna
- idris
+ - ifscs
- ige-mac-integration
- igrf
- ihaskell-inline-r
- ihaskell-rlangqq
+ - ihaskell-widgets
- ihttp
- imap
- - imbib
- imgurder
- imj-animation
- imj-base
@@ -2289,6 +2343,8 @@ dont-distribute-packages:
- improve
- inch
- incipit
+ - incipit-core
+ - incipit-core_0_6_0_0
- incremental-computing
- incremental-maps
- increments
@@ -2357,8 +2413,8 @@ dont-distribute-packages:
- ivy-web
- ix
- ixset
+ - ixset-typed-conversions
- iyql
- - j
- j2hs
- java-bridge-extras
- java-character
@@ -2389,9 +2445,11 @@ dont-distribute-packages:
- json-query
- json-rpc-client
- json-schema
+ - json-sop
- json-spec-elm
- json-spec-elm-servant
- json-spec-openapi
+ - json-state
- json-togo
- json-tokens
- json2-hdbc
@@ -2437,6 +2495,7 @@ dont-distribute-packages:
- key-vault
- keyring
- keysafe
+ - keystore
- keyvaluehash
- keyword-args
- kicad-data
@@ -2482,7 +2541,10 @@ dont-distribute-packages:
- landlock
- lang
- language-Modula2
+ - language-ats
+ - language-avro
- language-boogie
+ - language-ecmascript-analysis
- language-eiffel
- language-kort
- language-ninja
@@ -2499,16 +2561,20 @@ dont-distribute-packages:
- latex-svg-hakyll
- latex-svg-pandoc
- launchdarkly-server-sdk-redis-hedis
+ - lawful-classes-hedgehog
+ - lawful-classes-quickcheck
- layered-state
- layers-game
- layouting
- lazy-hash-cache
- lda
+ - ldap-client
+ - ldap-client-og
- ldap-scim-bridge
- ldapply
- leaky
- lean
- - learn-physics_0_6_6
+ - learn-physics
- learning-hmm
- legion
- legion-discovery
@@ -2518,6 +2584,8 @@ dont-distribute-packages:
- leksah-server
- lens-accelerate
- lens-utils
+ - lenz
+ - lenz-mtl
- levmar-chart
- lex-applicative
- lgtk
@@ -2532,6 +2600,7 @@ dont-distribute-packages:
- liblinear-enumerator
- libmolude
- libraft
+ - librarian
- librato
- libxml-enumerator
- lifted-base-tf
@@ -2585,6 +2654,7 @@ dont-distribute-packages:
- lmonad-yesod
- lnd-client
- lnurl-authenticator
+ - loc
- local-search
- localize
- locked-poll
@@ -2610,10 +2680,10 @@ dont-distribute-packages:
- lorentz
- lostcities
- loup
+ - lp-diagrams
- lrucaching-haxl
- ls-usb
- lsystem
- - ltext
- luachunk
- lucid-colonnade
- lucienne
@@ -2633,6 +2703,7 @@ dont-distribute-packages:
- magicbane
- mahoro
- maid
+ - mail-pool
- mailgun
- majordomo
- majority
@@ -2665,8 +2736,12 @@ dont-distribute-packages:
- marmalade-upload
- marquise
- marvin
+ - marxup
- masakazu-bot
+ - massiv
+ - massiv-io
- massiv-persist
+ - massiv-test
- matchers
- math-programming-glpk
- math-programming-tests
@@ -2680,12 +2755,14 @@ dont-distribute-packages:
- medea
- mediabus-fdk-aac
- mediabus-rtp
+ - mediawiki2latex
- mellon-gpio
- mellon-web
- memcache-conduit
- memory-cd
- memory-hexstring
- merkle-patricia-db
+ - message-db-temp
- meta-par-accelerate
- metaplug
- metar
@@ -2694,12 +2771,6 @@ dont-distribute-packages:
- micro-gateway
- microformats2-types
- midimory
- - mig
- - mig-client
- - mig-extra
- - mig-server
- - mig-swagger-ui
- - mig-wai
- mighttpd
- minecraft-data
- minesweeper
@@ -2707,6 +2778,7 @@ dont-distribute-packages:
- minilight
- minilight-lua
- minimung
+ - minio-hs
- minioperational
- minirotate
- mismi-kernel
@@ -2730,14 +2802,18 @@ dont-distribute-packages:
- modular-prelude-classy
- modularity
- modulo
+ - moffy-samples-gtk3
+ - moffy-samples-gtk3-run
- moffy-samples-gtk4
- moffy-samples-gtk4-run
- mole
+ - monad-connect
- monad-exception
- monad-http
- monad-metrics-extensible
- monad-state
- monad-stlike-stm
+ - monadic-bang
- monadiccp-gecode
- monarch
- monetdb-mapi
@@ -2753,7 +2829,11 @@ dont-distribute-packages:
- morley-prelude
- morley-upgradeable
- morloc
+ - morpheus-graphql
- morphisms-functors-inventory
+ - moto
+ - moto-postgresql
+ - motor
- motor-diagrams
- mp
- mp3decoder
@@ -2764,6 +2844,7 @@ dont-distribute-packages:
- mps
- mptcp-pm
- mptcpanalyzer
+ - ms-tds
- msgpack-aeson
- msgpack-arbitrary
- msgpack-binary
@@ -2773,6 +2854,8 @@ dont-distribute-packages:
- msgpack-rpc-conduit
- msgpack-testsuite
- msi-kb-backlit
+ - mssql-simple
+ - mstate
- mtgoxapi
- mu-avro
- mu-graphql
@@ -2817,21 +2900,23 @@ dont-distribute-packages:
- mvc-updates
- mvclient
- mwc-random-accelerate
+ - mwc-random-monad
- mxnet-dataiter
- mxnet-examples
- mxnet-nn
- myTestlll
- - mysnapsession
- mysnapsession-example
- mysql-haskell-nem
- mysql-haskell-openssl
- mysql-simple-typed
- mywatch
- mywork
+ - n-m
- n2o-web
- nakadi-client
- named-servant-client
- named-servant-server
+ - named-text
- nanq
- national-australia-bank
- nats-queue
@@ -2861,9 +2946,11 @@ dont-distribute-packages:
- network-minihttp
- network-netpacket
- network-pgi
+ - network-protocol-xmpp
- network-rpca
- network-stream
- network-topic-models
+ - network-transport-inmemory
- network-unexceptional
- network-uri-json
- network-websocket
@@ -2871,7 +2958,6 @@ dont-distribute-packages:
- neuron
- newsletter-mailgun
- newsynth
- - ngx-export-distribution
- ngx-export-tools-extra
- nikepub
- nirum
@@ -2886,6 +2972,9 @@ dont-distribute-packages:
- nomyx-server
- nonlinear-optimization-ad
- nonlinear-optimization-backprop
+ - not-gloss
+ - not-gloss-examples
+ - nothunks_0_2_1_0
- notmuch-web
- now-haskell
- nri-env-parser
@@ -2896,7 +2985,6 @@ dont-distribute-packages:
- nri-prelude
- nri-redis
- nri-test-encoding
- - numerals-base
- numeric-kinds
- numeric-ode
- numerical
@@ -2932,10 +3020,10 @@ dont-distribute-packages:
- om-kubernetes
- om-legion
- online-csv
+ - oops-examples
- opc-xml-da-client
- open-adt-tutorial
- open-typerep
- - opencv
- opencv-extra
- openpgp-Crypto
- openpgp-crypto-api
@@ -2952,6 +3040,7 @@ dont-distribute-packages:
- optimal-blocks
- optimusprime
- optparse-enum
+ - orbits
- orchid
- orchid-demo
- order-maintenance
@@ -2961,6 +3050,8 @@ dont-distribute-packages:
- outsort
- overeasy
- overload
+ - pa-field-parser
+ - pa-json
- package-o-tron
- padKONTROL
- pairing
@@ -2988,9 +3079,9 @@ dont-distribute-packages:
- parsley
- parsley-garnish
- partage
+ - partial-semigroup-test
- passman-cli
- patch-image
- - path-text-utf8_0_0_2_0
- pathfindingcore
- patterns
- paypal-rest-client
@@ -3008,7 +3099,6 @@ dont-distribute-packages:
- penny-lib
- penrose
- peparser
- - perceptual-hash
- perdure
- perf
- perf-analysis
@@ -3085,14 +3175,18 @@ dont-distribute-packages:
- poke
- poker
- polh-lexicon
+ - poly-rec
- polydata
+ - polysemy
- polysemy-RandomFu
- polysemy-account
- polysemy-account-api
+ - polysemy-check
- polysemy-chronos
- polysemy-conc
- polysemy-db
- polysemy-extra
+ - polysemy-fs
- polysemy-fskvstore
- polysemy-hasql
- polysemy-hasql-test
@@ -3104,13 +3198,17 @@ dont-distribute-packages:
- polysemy-methodology
- polysemy-methodology-co-log
- polysemy-methodology-composite
+ - polysemy-mocks
- polysemy-path
+ - polysemy-plugin
- polysemy-resume
- polysemy-scoped-fs
- polysemy-time
- polysemy-uncontrolled
- polysemy-video
- polysemy-vinyl
+ - polysemy-webserver
+ - polysemy-zoo
- polytypeable-utils
- pomodoro
- pontarius-mediaserver
@@ -3118,6 +3216,11 @@ dont-distribute-packages:
- porcupine-core
- porcupine-http
- porcupine-s3
+ - portray-diff
+ - portray-diff-hunit
+ - portray-diff-quickcheck
+ - portray-pretty
+ - portray-prettyprinter
- ports
- poseidon
- poseidon-postgis
@@ -3165,14 +3268,12 @@ dont-distribute-packages:
- prolog-graph-lib
- prologue
- prolude
+ - prometheus-proc
- propane
+ - propellor
- proplang
- prosidyc
- - proto-lens-combinators
- proto-lens-descriptors
- - proto-lens-protobuf-types
- - proto-lens-protoc
- - proto-lens-setup
- proto3-suite
- protobuf-native
- protocol-buffers-descriptor
@@ -3180,6 +3281,7 @@ dont-distribute-packages:
- proton
- psc-ide
- psql
+ - publicsuffixlist
- puffytools
- pugs-compat
- pugs-hsregex
@@ -3208,6 +3310,7 @@ dont-distribute-packages:
- qtah-generator
- qtah-qt5
- quantfin
+ - quantification-aeson
- quantum-random
- queryparser
- queryparser-demo
@@ -3220,7 +3323,6 @@ dont-distribute-packages:
- quickcheck-poly
- quickcheck-regex
- quickcheck-relaxng
- - quickcheck-state-machine
- quickcheck-state-machine-distributed
- quicktest
- quipper
@@ -3303,14 +3405,14 @@ dont-distribute-packages:
- reflex-dom-colonnade
- reflex-dynamic-containers
- reflex-gadt-api
- - reflex-ghci
- reflex-gloss-scene
- reflex-libtelnet
- reflex-localize
- reflex-localize-dom
- reflex-monad-auth
- reflex-potatoes
- - reflex-process
+ - reform-blaze
+ - reform-happstack
- refractor
- refurb
- reg-alloc-graph-color
@@ -3334,6 +3436,7 @@ dont-distribute-packages:
- regular-web
- regular-xmlpickler
- reheat
+ - rel8
- relational-postgresql8
- relational-query
- relational-query-HDBC
@@ -3346,18 +3449,10 @@ dont-distribute-packages:
- remote-json-client
- remote-json-server
- remotion
- - repa-algorithms
- repa-array
- - repa-bytestring
- repa-convert
- - repa-devil
- - repa-examples
- - repa-fftw
- repa-flow
- - repa-io
- - repa-linear-algebra
- repa-plugin
- - repa-sndfile
- repa-stream
- repa-v4l2
- replicant
@@ -3366,6 +3461,7 @@ dont-distribute-packages:
- representable-tries
- reserve
- resin
+ - resource-pool-catchio
- resource-simple
- respond
- rest-client
@@ -3378,6 +3474,7 @@ dont-distribute-packages:
- rest-types
- rest-wai
- restful-snap
+ - restless-git
- restricted-workers
- rethinkdb-model
- rethinkdb-wereHamster
@@ -3448,6 +3545,8 @@ dont-distribute-packages:
- s-expression
- safe-coloured-text-layout-gen
- safe-coupling
+ - safe-failure
+ - safe-failure-cme
- safe-plugins
- safer-file-handles
- safer-file-handles-bytestring
@@ -3466,7 +3565,6 @@ dont-distribute-packages:
- samtools-conduit
- samtools-enumerator
- samtools-iteratee
- - sandwich_0_2_1_0
- sarsi
- sasha
- sasl
@@ -3478,7 +3576,6 @@ dont-distribute-packages:
- sbv-program
- sbvPlugin
- sc2-lowlevel
- - sc2-proto
- sc2-support
- sc2hs
- sc3-rdu
@@ -3487,6 +3584,7 @@ dont-distribute-packages:
- scalpel-search
- scan-metadata
- scan-vector-machine
+ - scheduler
- scheduling
- schematic
- scholdoc
@@ -3520,6 +3618,8 @@ dont-distribute-packages:
- secrm
- sednaDBXML
- seitz-symbol
+ - selda-json
+ - selda-sqlite
- selenium-server
- semantic-source
- semantic-version
@@ -3534,6 +3634,8 @@ dont-distribute-packages:
- seqloc
- seqloc-datafiles
- sequor
+ - serdoc-binary
+ - serial-test-generators
- serpentine
- serv
- serv-wai
@@ -3545,6 +3647,9 @@ dont-distribute-packages:
- servant-auth-token-leveldb
- servant-auth-token-persistent
- servant-auth-token-rocksdb
+ - servant-auth-wordpress
+ - servant-checked-exceptions
+ - servant-checked-exceptions-core
- servant-client-namedargs
- servant-csharp
- servant-db-postgresql
@@ -3553,14 +3658,14 @@ dont-distribute-packages:
- servant-examples
- servant-haxl-client
- servant-http2-client
+ - servant-js
+ - servant-jsonrpc-client
+ - servant-jsonrpc-server
- servant-matrix-param
- servant-oauth2
- servant-oauth2-examples
- - servant-openapi3
- servant-postgresql
- - servant-proto-lens
- servant-pushbullet-client
- - servant-queryparam-openapi3
- servant-rate-limit
- servant-reason
- servant-serialization
@@ -3571,25 +3676,24 @@ dont-distribute-packages:
- servant-streaming-server
- servant-swagger-tags
- servant-to-elm
- - servant-util
- servant-util-beam-pg
- servant-waargonaut
- servant-zeppelin-client
- servant-zeppelin-server
- servant-zeppelin-swagger
- - serversession-frontend-snap
- - ses-html-snaplet
- sessiontypes-distributed
- sgf
- sgrep
- shady-gen
- shady-graphics
+ - shake-ats
- shake-bindist
- shake-minify-css
- shakebook
- shaker
- shapefile
- shapely-data
+ - shapes-demo
- sheets
- shelduck
- shellmate-extras
@@ -3603,7 +3707,6 @@ dont-distribute-packages:
- sibe
- sigma-ij
- signable
- - signable-haskell-protoc
- signals
- signature
- silvi
@@ -3617,6 +3720,8 @@ dont-distribute-packages:
- simple-postgresql-orm
- simpleirc-lens
- simseq
+ - singleton-nats
+ - singletons-presburger
- siphon
- siren-json
- sirkel
@@ -3643,56 +3748,33 @@ dont-distribute-packages:
- smtlib2-pipe
- smtlib2-quickcheck
- smtlib2-timing
+ - smtp-mail
- smtp2mta
- - snap
- snap-auth-cli
- snap-elm
- - snap-error-collector
- snap-extras
- - snap-routes
- - snap-testing
- snap-utils
- snap-web-routes
- - snaplet-acid-state
- snaplet-actionlog
- - snaplet-amqp
- snaplet-auth-acid
- - snaplet-coffee
- - snaplet-css-min
- snaplet-customauth
- - snaplet-environments
- snaplet-fay
- - snaplet-ghcjs
- snaplet-hasql
- snaplet-haxl
- snaplet-hdbc
- - snaplet-hslogger
- - snaplet-i18n
- - snaplet-influxdb
- snaplet-lss
- - snaplet-mandrill
- snaplet-mongoDB
- - snaplet-mongodb-minimalistic
- snaplet-mysql-simple
- snaplet-oauth
- - snaplet-persistent
- - snaplet-postgresql-simple
- snaplet-postmark
- - snaplet-purescript
- snaplet-recaptcha
- - snaplet-redis
- snaplet-redson
- snaplet-rest
- snaplet-riak
- - snaplet-sass
- - snaplet-scoped-session
- snaplet-sedna
- - snaplet-ses-html
- - snaplet-sqlite-simple
- snaplet-sqlite-simple-jwt-auth
- snaplet-stripe
- snaplet-tasks
- - snaplet-typed-sessions
- snaplet-wordpress
- snappy-iteratee
- sndfile-enumerators
@@ -3704,9 +3786,11 @@ dont-distribute-packages:
- snowflake-core
- snowflake-server
- snumber
+ - soap-tls
- sock2stream
- socket-io
- sockets
+ - sockets-and-pipes
- socketson
- solga-swagger
- solr
@@ -3718,7 +3802,7 @@ dont-distribute-packages:
- sparsebit
- spartacon
- spata
- - spatial-math_0_5_0_1
+ - spatial-math
- specialize-th
- spectral-clustering
- speculation-transformers
@@ -3728,7 +3812,6 @@ dont-distribute-packages:
- sphinx-cli
- spice
- spike
- - spline3
- splines
- sprinkles
- sproxy
@@ -3738,6 +3821,8 @@ dont-distribute-packages:
- sql-simple-pool
- sql-simple-postgresql
- sql-simple-sqlite
+ - sqlcli-odbc
+ - sqlite-simple-interpolate
- sqlite-simple-typed
- squeal-postgresql
- squeal-postgresql-ltree
@@ -3812,6 +3897,8 @@ dont-distribute-packages:
- supercollider-midi
- superconstraints
- supernova
+ - supply-chain
+ - supply-next
- sv
- sv-cassava
- sv-svfactor
@@ -3852,6 +3939,7 @@ dont-distribute-packages:
- tagsoup-navigate
- tahoe-directory
- tahoe-great-black-swamp
+ - tahoe-great-black-swamp-testing
- tahoe-ssk
- tak-ai
- takahashi
@@ -3866,7 +3954,6 @@ dont-distribute-packages:
- task-distribution
- tasty-bdd
- tasty-groundhog-converters
- - tasty-hspec_1_2_0_4
- tasty-integrate
- tasty-jenkins-xml
- tasty-laws
@@ -3875,15 +3962,15 @@ dont-distribute-packages:
- tateti-tateti
- tbox
- tccli
- - tcod-haskell
- tdd-util
+ - tdlib
+ - tdlib-types
- techlab
- telegram-bot
- telegram-raw-api
- ten-lens
- ten-unordered-containers
- - tensorflow-opgen
- - tensorflow-proto
+ - term-rewriting
- terminal-text
- terrahs
- test-sandbox-compose
@@ -3945,6 +4032,7 @@ dont-distribute-packages:
- toysolver
- tpar
- tpb
+ - tracing-control
- trajectory
- trans-fx-data
- trans-fx-io
@@ -4025,6 +4113,8 @@ dont-distribute-packages:
- ukrainian-phonetics-basic
- unagi-bloomfilter
- unbound
+ - unbound-kind-generics
+ - unfoldable-restricted
- uni-events
- uni-graphs
- uni-htk
@@ -4040,6 +4130,8 @@ dont-distribute-packages:
- uniqueness-periods-vector-filters
- uniqueness-periods-vector-general
- uniqueness-periods-vector-properties
+ - units
+ - units-defs
- unitym-servant
- unitym-yesod
- universal
@@ -4074,7 +4166,9 @@ dont-distribute-packages:
- uu-cco-hut-parsing
- uu-cco-uu-parsinglib
- uu-options
+ - uuagc
- uuid-crypto
+ - uuid-orphans
- uvector-algorithms
- v4l2
- v4l2-examples
@@ -4090,6 +4184,7 @@ dont-distribute-packages:
- vault-tool-server
- vault-trans
- vaultaire-common
+ - vaultenv
- vcache-trie
- vcard
- vcsgui
@@ -4120,13 +4215,11 @@ dont-distribute-packages:
- voicebase
- vorbiscomment
- vpq
- - vty-crossplatform
- vty-ui-extras
- waargonaut
- wahsp
- wai-devel
- wai-dispatch
- - wai-handler-hal
- wai-handler-snap
- wai-hastache
- wai-middleware-brotli
@@ -4136,7 +4229,6 @@ dont-distribute-packages:
- wai-middleware-content-type
- wai-middleware-rollbar
- wai-middleware-route
- - wai-middleware-validation
- wai-middleware-verbs
- wai-route
- wai-routing
@@ -4153,9 +4245,15 @@ dont-distribute-packages:
- wavesurfer
- wavy
- weatherhs
- - web-fpco
- web-mongrel2
+ - web-routes-boomerang
+ - web-routes-generics
+ - web-routes-happstack
+ - web-routes-hsp
+ - web-routes-mtl
- web-routes-regular
+ - web-routes-th
+ - web-routes-wai
- web-routing
- web3
- web3-bignum
@@ -4166,7 +4264,6 @@ dont-distribute-packages:
- web3-solidity
- webcrank-wai
- webdriver-w3c
- - webgear-openapi
- webify
- webserver
- websockets-rpc
@@ -4203,8 +4300,10 @@ dont-distribute-packages:
- wrecker
- wrecker-ui
- wright
+ - write-buffer-stm
- writer-cps-full
- wsjtx-udp
+ - wstunnel
- wtk-gtk
- wu-wei
- wumpus-basic
@@ -4220,7 +4319,9 @@ dont-distribute-packages:
- wxhnotepad
- wxturtle
- wyvern
+ - xcffib
- xdcc
+ - xdg-basedir-compliant
- xhb-atom-cache
- xhb-ewmh
- xml-catalog
@@ -4268,6 +4369,7 @@ dont-distribute-packages:
- yesod-alerts
- yesod-articles
- yesod-auth-ldap
+ - yesod-auth-lti13
- yesod-colonnade
- yesod-continuations
- yesod-examples
@@ -4279,6 +4381,7 @@ dont-distribute-packages:
- yesod-raml-bin
- yesod-raml-docs
- yesod-raml-mock
+ - yesod-routes-flow
- yesod-routes-typescript
- yesod-session-redis
- yesod-worker
@@ -4322,6 +4425,7 @@ dont-distribute-packages:
- zifter-hlint
- zifter-stack
- zipper
+ - zipper-extra
- zippo
- ziptastic-client
- zlib-enum
diff --git a/pkgs/development/haskell-modules/configuration-nix.nix b/pkgs/development/haskell-modules/configuration-nix.nix
index abfd6ad38a5b..9897a6f2be0c 100644
--- a/pkgs/development/haskell-modules/configuration-nix.nix
+++ b/pkgs/development/haskell-modules/configuration-nix.nix
@@ -114,7 +114,6 @@ self: super: builtins.intersectAttrs super {
}))
super)
hls-brittany-plugin
- hls-stan-plugin
hls-floskell-plugin
hls-fourmolu-plugin
hls-overloaded-record-dot-plugin
@@ -123,6 +122,9 @@ self: super: builtins.intersectAttrs super {
# PLUGINS WITH DISABLED TESTS
# 2023-04-01: TODO: We should reenable all these tests to figure if they are still broken.
inherit (pkgs.lib.mapAttrs (_: dontCheck) super)
+ # Tests require ghcide-test-utils which is broken
+ hls-semantic-tokens-plugin
+
# Tests have file permissions expections that don’t work with the nix store.
hls-gadt-plugin
@@ -173,6 +175,9 @@ self: super: builtins.intersectAttrs super {
# 2023-04-03: https://github.com/haskell/haskell-language-server/issues/3549
hls-retrie-plugin
+
+ # 2024-01-25: Golden files are missing
+ hls-stan-plugin
;
###########################################
@@ -428,7 +433,6 @@ self: super: builtins.intersectAttrs super {
hasql-interpolate = dontCheck super.hasql-interpolate;
hasql-notifications = dontCheck super.hasql-notifications;
hasql-pool = dontCheck super.hasql-pool;
- hasql-pool_0_10_0_1 = doDistribute (dontCheck super.hasql-pool_0_10_0_1);
hasql-transaction = dontCheck super.hasql-transaction;
# Test suite requires a running postgresql server,
@@ -466,6 +470,7 @@ self: super: builtins.intersectAttrs super {
wxcore = super.wxcore.override { wxGTK = pkgs.wxGTK32; };
shellify = enableSeparateBinOutput super.shellify;
+ specup = enableSeparateBinOutput super.specup;
# Test suite wants to connect to $DISPLAY.
bindings-GLFW = dontCheck super.bindings-GLFW;
@@ -652,6 +657,8 @@ self: super: builtins.intersectAttrs super {
# tests require working stack installation with all-cabal-hashes cloned in $HOME
stackage-curator = dontCheck super.stackage-curator;
+ stack = self.generateOptparseApplicativeCompletions [ "stack" ] super.stack;
+
# hardcodes /usr/bin/tr: https://github.com/snapframework/io-streams/pull/59
io-streams = enableCabalFlag "NoInteractiveTests" super.io-streams;
@@ -791,6 +798,7 @@ self: super: builtins.intersectAttrs super {
substituteInPlace Test.hs \
--replace ', testCase "crypto" test_crypto' ""
'' + (drv.postPatch or "");
+
# Ensure git-annex uses the exact same coreutils it saw at build-time.
# This is especially important on Darwin but also in Linux environments
# where non-GNU coreutils are used by default.
@@ -801,6 +809,19 @@ self: super: builtins.intersectAttrs super {
buildTools = [
pkgs.buildPackages.makeWrapper
] ++ (drv.buildTools or []);
+
+ # Git annex provides a restricted login shell. Setting
+ # passthru.shellPath here allows a user's login shell to be set to
+ # `git-annex-shell` by making `shell = haskellPackages.git-annex`.
+ # https://git-annex.branchable.com/git-annex-shell/
+ passthru.shellPath = "/bin/git-annex-shell";
+
+ # Install man pages which is no longer done by Setup.hs
+ # TODO(@sternenseemann): figure out why install-desktops wants to create /usr
+ # and run that, too.
+ postInstall = drv.postInstall or "" + ''
+ make install-mans "DESTDIR=$out" PREFIX=
+ '';
}) (super.git-annex.override {
dbus = if pkgs.stdenv.isLinux then self.dbus else null;
fdo-notify = if pkgs.stdenv.isLinux then self.fdo-notify else null;
@@ -1082,7 +1103,7 @@ self: super: builtins.intersectAttrs super {
rel8 = pkgs.lib.pipe super.rel8 [
(addTestToolDepend pkgs.postgresql)
# https://github.com/NixOS/nixpkgs/issues/198495
- (overrideCabal { doCheck = pkgs.postgresql.doCheck; })
+ (dontCheckIf (!pkgs.postgresql.doCheck))
];
# Wants running postgresql database accessible over ip, so postgresqlTestHook
@@ -1097,7 +1118,7 @@ self: super: builtins.intersectAttrs super {
hercules-ci-cnix-store = overrideCabal
(old: {
passthru = old.passthru or { } // {
- nixPackage = pkgs.nixVersions.nix_2_16;
+ nixPackage = pkgs.nixVersions.nix_2_19;
};
})
(super.hercules-ci-cnix-store.override {
@@ -1157,10 +1178,7 @@ self: super: builtins.intersectAttrs super {
# Some hash implementations are x86 only, but part of the test suite.
# So executing and building it on non-x86 platforms will always fail.
- hashes = overrideCabal {
- doCheck = with pkgs.stdenv; hostPlatform == buildPlatform
- && buildPlatform.isx86;
- } super.hashes;
+ hashes = dontCheckIf (!pkgs.stdenv.hostPlatform.isx86) super.hashes;
# Tries to access network
aws-sns-verify = dontCheck super.aws-sns-verify;
@@ -1198,11 +1216,9 @@ self: super: builtins.intersectAttrs super {
{
fourmolu = fourmoluTestFix super.fourmolu;
- fourmolu_0_14_0_0 = fourmoluTestFix super.fourmolu_0_14_0_0;
fourmolu_0_14_1_0 = fourmoluTestFix super.fourmolu_0_14_1_0;
})
fourmolu
- fourmolu_0_14_0_0
fourmolu_0_14_1_0
;
@@ -1339,6 +1355,10 @@ self: super: builtins.intersectAttrs super {
webkit2gtk3-javascriptcore
gi-webkit2
gi-webkit2webextension
+ gi-gtk_4_0_8
+ gi-gdk_4_0_7
+ gi-gsk
+ gi-adwaita
;
# Makes the mpi-hs package respect the choice of mpi implementation in Nixpkgs.
@@ -1360,4 +1380,12 @@ self: super: builtins.intersectAttrs super {
mpi-hs-cereal
mpi-hs-binary
;
+
+ postgresql-libpq = overrideCabal (drv: {
+ # Using use-pkg-config flag, because pg_config won't work when cross-compiling.
+ configureFlags = drv.configureFlags or [] ++ [ "-fuse-pkg-config" ];
+ # Move postgresql from SystemDepends to PkgconfigDepends
+ libraryPkgconfigDepends = drv.librarySystemDepends;
+ librarySystemDepends = [];
+ }) super.postgresql-libpq;
}
diff --git a/pkgs/development/haskell-modules/default.nix b/pkgs/development/haskell-modules/default.nix
index e766203bd1f0..3f8a0bb750c7 100644
--- a/pkgs/development/haskell-modules/default.nix
+++ b/pkgs/development/haskell-modules/default.nix
@@ -29,7 +29,7 @@ let
];
extensions = lib.composeManyExtensions ([
- nonHackagePackages
+ (nonHackagePackages { inherit pkgs haskellLib; })
(configurationNix { inherit pkgs haskellLib; })
(configurationCommon { inherit pkgs haskellLib; })
] ++ platformConfigurations ++ [
diff --git a/pkgs/development/haskell-modules/generic-builder.nix b/pkgs/development/haskell-modules/generic-builder.nix
index 1819b29da460..cda49e0f8752 100644
--- a/pkgs/development/haskell-modules/generic-builder.nix
+++ b/pkgs/development/haskell-modules/generic-builder.nix
@@ -27,10 +27,10 @@ in
, buildFlags ? []
, haddockFlags ? []
, description ? null
-, doCheck ? !isCross && lib.versionOlder "7.4" ghc.version
+, doCheck ? !isCross
, doBenchmark ? false
, doHoogle ? true
-, doHaddockQuickjump ? doHoogle && lib.versionAtLeast ghc.version "8.6"
+, doHaddockQuickjump ? doHoogle
, doInstallIntermediates ? false
, editedCabalFile ? null
, enableLibraryProfiling ? !(ghc.isGhcjs or false)
@@ -41,7 +41,7 @@ in
, enableSharedLibraries ? !stdenv.hostPlatform.isStatic && (ghc.enableShared or false)
, enableDeadCodeElimination ? (!stdenv.isDarwin) # TODO: use -dead_strip for darwin
, enableStaticLibraries ? !(stdenv.hostPlatform.isWindows or stdenv.hostPlatform.isWasm)
-, enableHsc2hsViaAsm ? stdenv.hostPlatform.isWindows && lib.versionAtLeast ghc.version "8.4"
+, enableHsc2hsViaAsm ? stdenv.hostPlatform.isWindows
, extraLibraries ? [], librarySystemDepends ? [], executableSystemDepends ? []
# On macOS, statically linking against system frameworks is not supported;
# see https://developer.apple.com/library/content/qa/qa1118/_index.html
@@ -125,23 +125,17 @@ assert stdenv.hostPlatform.isWasm -> enableStaticLibraries == false;
let
- inherit (lib) optional optionals optionalString versionOlder versionAtLeast
+ inherit (lib) optional optionals optionalString versionAtLeast
concatStringsSep enableFeature optionalAttrs;
isGhcjs = ghc.isGhcjs or false;
isHaLVM = ghc.isHaLVM or false;
- packageDbFlag = if isGhcjs || isHaLVM || versionOlder "7.6" ghc.version
- then "package-db"
- else "package-conf";
# GHC used for building Setup.hs
#
# Same as our GHC, unless we're cross, in which case it is native GHC with the
# same version, or ghcjs, in which case its the ghc used to build ghcjs.
nativeGhc = buildHaskellPackages.ghc;
- nativePackageDbFlag = if versionOlder "7.6" nativeGhc.version
- then "package-db"
- else "package-conf";
# the target dir for haddock documentation
docdir = docoutput: docoutput + "/share/doc/" + pname + "-" + version;
@@ -233,23 +227,20 @@ let
(optionalString (enableSharedExecutables && stdenv.isDarwin) "--ghc-option=-optl=-Wl,-headerpad_max_install_names")
(optionalString enableParallelBuilding "--ghc-options=${parallelBuildingFlags}")
(optionalString useCpphs "--with-cpphs=${cpphs}/bin/cpphs --ghc-options=-cpp --ghc-options=-pgmP${cpphs}/bin/cpphs --ghc-options=-optP--cpp")
- (enableFeature (enableDeadCodeElimination && !stdenv.hostPlatform.isAarch32 && !stdenv.hostPlatform.isAarch64 && (versionAtLeast "8.0.1" ghc.version)) "split-objs")
(enableFeature enableLibraryProfiling "library-profiling")
- (optionalString ((enableExecutableProfiling || enableLibraryProfiling) && versionOlder "8" ghc.version) "--profiling-detail=${profilingDetail}")
- (enableFeature enableExecutableProfiling (if versionOlder ghc.version "8" then "executable-profiling" else "profiling"))
+ (optionalString (enableExecutableProfiling || enableLibraryProfiling) "--profiling-detail=${profilingDetail}")
+ (enableFeature enableExecutableProfiling "profiling")
(enableFeature enableSharedLibraries "shared")
- (optionalString (versionAtLeast ghc.version "7.10") (enableFeature doCoverage "coverage"))
- (optionalString (versionOlder "8.4" ghc.version) (enableFeature enableStaticLibraries "static"))
- (optionalString (isGhcjs || versionOlder "7.4" ghc.version) (enableFeature enableSharedExecutables "executable-dynamic"))
- (optionalString (isGhcjs || versionOlder "7" ghc.version) (enableFeature doCheck "tests"))
+ (enableFeature doCoverage "coverage")
+ (enableFeature enableStaticLibraries "static")
+ (enableFeature enableSharedExecutables "executable-dynamic")
+ (enableFeature doCheck "tests")
(enableFeature doBenchmark "benchmarks")
"--enable-library-vanilla" # TODO: Should this be configurable?
(enableFeature enableLibraryForGhci "library-for-ghci")
- ] ++ optionals (enableDeadCodeElimination && (lib.versionOlder "8.0.1" ghc.version)) [
- "--ghc-option=-split-sections"
- ] ++ optionals dontStrip [
- "--disable-library-stripping"
- "--disable-executable-stripping"
+ (enableFeature enableDeadCodeElimination "split-sections")
+ (enableFeature (!dontStrip) "library-stripping")
+ (enableFeature (!dontStrip) "executable-stripping")
] ++ optionals isGhcjs [
"--ghcjs"
] ++ optionals isCross ([
@@ -264,7 +255,7 @@ let
postPhases = optional doInstallIntermediates "installIntermediatesPhase";
setupCompileFlags = [
- (optionalString (!coreSetup) "-${nativePackageDbFlag}=$setupPackageConfDir")
+ (optionalString (!coreSetup) "-package-db=$setupPackageConfDir")
(optionalString enableParallelBuilding parallelBuildingFlags)
"-threaded" # https://github.com/haskell/cabal/issues/2398
"-rtsopts" # allow us to pass RTS flags to the generated Setup executable
@@ -433,7 +424,7 @@ stdenv.mkDerivation ({
for p in "''${pkgsBuildBuild[@]}" "''${pkgsBuildHost[@]}" "''${pkgsBuildTarget[@]}"; do
${buildPkgDb nativeGhc "$setupPackageConfDir"}
done
- ${nativeGhcCommand}-pkg --${nativePackageDbFlag}="$setupPackageConfDir" recache
+ ${nativeGhcCommand}-pkg --package-db="$setupPackageConfDir" recache
''
# For normal components
+ ''
@@ -445,9 +436,6 @@ stdenv.mkDerivation ({
if [ -d "$p/lib" ]; then
configureFlags+=" --extra-lib-dirs=$p/lib"
fi
- ''
- # It is not clear why --extra-framework-dirs does work fine on Linux
- + optionalString (!stdenv.buildPlatform.isDarwin || versionAtLeast nativeGhc.version "8.0") ''
if [[ -d "$p/Library/Frameworks" ]]; then
configureFlags+=" --extra-framework-dirs=$p/Library/Frameworks"
fi
@@ -490,7 +478,7 @@ stdenv.mkDerivation ({
sed -i "s,dynamic-library-dirs: .*,dynamic-library-dirs: $dynamicLinksDir," "$f"
done
'') + ''
- ${ghcCommand}-pkg --${packageDbFlag}="$packageConfDir" recache
+ ${ghcCommand}-pkg --package-db="$packageConfDir" recache
runHook postSetupCompilerEnvironment
'';
@@ -622,11 +610,6 @@ stdenv.mkDerivation ({
done
''}
${optionalString doCoverage "mkdir -p $out/share && cp -r dist/hpc $out/share"}
- ${optionalString (enableSharedExecutables && isExecutable && !isGhcjs && stdenv.isDarwin && lib.versionOlder ghc.version "7.10") ''
- for exe in "${binDir}/"* ; do
- install_name_tool -add_rpath "$out/${ghcLibdir}/${pname}-${version}" "$exe"
- done
- ''}
${optionalString enableSeparateDocOutput ''
for x in ${docdir "$doc"}"/html/src/"*.html; do
@@ -817,12 +800,5 @@ stdenv.mkDerivation ({
// lib.optionalAttrs (stdenv.hasCC && stdenv.cc.isClang) {
NIX_CFLAGS_COMPILE = "-Wno-error=int-conversion";
}
-
-# Ensure libc++abi is linked even when clang is invoked as just `clang` or `cc`.
-# Works around https://github.com/NixOS/nixpkgs/issues/166205.
-# This can be dropped once a fix has been committed to cc-wrapper.
-// lib.optionalAttrs (stdenv.hasCC && stdenv.cc.isClang && stdenv.cc.libcxx != null) {
- NIX_LDFLAGS = "-l${stdenv.cc.libcxx.cxxabi.libName}";
-}
)
)
diff --git a/pkgs/development/haskell-modules/hackage-packages.nix b/pkgs/development/haskell-modules/hackage-packages.nix
index 5feb1a002585..03301c25a7da 100644
--- a/pkgs/development/haskell-modules/hackage-packages.nix
+++ b/pkgs/development/haskell-modules/hackage-packages.nix
@@ -824,6 +824,8 @@ self: {
pname = "Agda";
version = "2.6.4.1";
sha256 = "106hrg4kpqslddl054jsd9xn2i3159psc60mfnj1xj2h7jdql913";
+ revision = "2";
+ editedCabalFile = "156l2w391jsff6q9xg2zgk6q3aanbcvqkzvmmk2r5f4vkkd1bjgk";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -1172,8 +1174,8 @@ self: {
}:
mkDerivation {
pname = "AsyncRattus";
- version = "0.1.0.3";
- sha256 = "19f915akipzx94qvd5p6dm2wvr6l07fl1qgql2xz0m8axbl6083r";
+ version = "0.2";
+ sha256 = "08ags0nyhxfya4f29f8ksjylaf1b595k4n606xy927q5kx9f0bqj";
setupHaskellDepends = [ base Cabal ];
libraryHaskellDepends = [
base containers ghc ghc-boot hashtables simple-affine-space
@@ -1307,6 +1309,8 @@ self: {
pname = "BCMtools";
version = "0.1.1";
sha256 = "1693kg8hxr1jl8h0ri11dbrbyhyznipkvcp0cvvx9chn97761ys4";
+ revision = "1";
+ editedCabalFile = "0iwzbryjjwrm7qjksydhga43x9qi1cahzlln3fgz13pfdr14lkxf";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -2426,6 +2430,8 @@ self: {
libraryHaskellDepends = [ base mtl ];
description = "Delimited continuations and dynamically scoped variables";
license = "unknown";
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"CC-delcont-alt" = callPackage
@@ -2643,7 +2649,9 @@ self: {
];
description = "An interpreter of Hagino's Categorical Programming Language (CPL)";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "cpl";
+ broken = true;
}) {};
"CSPM-CoreLanguage" = callPackage
@@ -2861,6 +2869,8 @@ self: {
pname = "Cabal";
version = "3.10.2.1";
sha256 = "0jgm3q9dl6lrczwcc0mzqfqwxwdnk2m2lr6zkhygn2w982c651w8";
+ revision = "1";
+ editedCabalFile = "0ckflq94cjh8dqxxf9m9j1k7k38qlmkp5ja9w964c60cghycfz0g";
setupHaskellDepends = [ mtl parsec ];
libraryHaskellDepends = [
array base bytestring Cabal-syntax containers deepseq directory
@@ -2940,6 +2950,8 @@ self: {
pname = "Cabal-syntax";
version = "3.10.2.0";
sha256 = "0j2j4r93rjidrgfjgwfm25l369yq3zklcqvgq4891k9ik98irkvs";
+ revision = "1";
+ editedCabalFile = "05kwy3nvl9g628bvrihghl3gx248afilp8q5k0jjda332zb5n8xj";
libraryHaskellDepends = [
array base binary bytestring containers deepseq directory filepath
mtl parsec pretty text time transformers unix
@@ -3260,8 +3272,8 @@ self: {
pname = "ChasingBottoms";
version = "1.3.1.12";
sha256 = "1vy9yq07p95qiap1pcp2bbbn1mqvp3spyrswpdz0qfcn06656650";
- revision = "2";
- editedCabalFile = "12sdz0xdifdl9gaz8nc7smdv6ldjzg423i5r5cw8myshh8s63rga";
+ revision = "3";
+ editedCabalFile = "0qkmazdz559fkn06ghmykwirl4inq3221c7y0gkacq33ax4080hp";
libraryHaskellDepends = [
base containers mtl QuickCheck random syb
];
@@ -3272,6 +3284,25 @@ self: {
license = lib.licenses.mit;
}) {};
+ "ChasingBottoms_1_3_1_13" = callPackage
+ ({ mkDerivation, array, base, containers, mtl, QuickCheck, random
+ , syb
+ }:
+ mkDerivation {
+ pname = "ChasingBottoms";
+ version = "1.3.1.13";
+ sha256 = "1kpzvx7dwzsw4jj4r4sk0vdhj0kcsfflkbq3acvp2wyvlq343as6";
+ libraryHaskellDepends = [
+ base containers mtl QuickCheck random syb
+ ];
+ testHaskellDepends = [
+ array base containers mtl QuickCheck random syb
+ ];
+ description = "For testing partial and infinite values";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"CheatSheet" = callPackage
({ mkDerivation, base, containers, directory }:
mkDerivation {
@@ -3500,6 +3531,7 @@ self: {
benchmarkHaskellDepends = [ base colour criterion deepseq random ];
description = "Color spaces and conversions between them";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"Combinatorrent" = callPackage
@@ -3985,6 +4017,8 @@ self: {
testHaskellDepends = [ base HUnit pretty QuickCheck ];
description = "Common Cryptographic Algorithms in Pure Haskell";
license = "BSD-3-Clause AND GPL-2.0-or-later";
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"CurryDB" = callPackage
@@ -5349,28 +5383,24 @@ self: {
}) {};
"EdisonAPI" = callPackage
- ({ mkDerivation, base, mtl }:
+ ({ mkDerivation, base }:
mkDerivation {
pname = "EdisonAPI";
- version = "1.3.3";
- sha256 = "0lq4yfnwlgcd6igccfb7182y1zmflr6zf5fqf786h4lw7s4aznry";
- libraryHaskellDepends = [ base mtl ];
+ version = "1.3.3.1";
+ sha256 = "0ggn5rqr9mrsfm099k22lkrs7fnw4211xxsv7i6mmz0krm8gmxiq";
+ libraryHaskellDepends = [ base ];
description = "A library of efficient, purely-functional data structures (API)";
license = lib.licenses.mit;
}) {};
"EdisonCore" = callPackage
- ({ mkDerivation, array, base, containers, EdisonAPI, mtl
- , QuickCheck
- }:
+ ({ mkDerivation, array, base, containers, EdisonAPI, QuickCheck }:
mkDerivation {
pname = "EdisonCore";
- version = "1.3.3";
- sha256 = "128y1myk517vmv7md0gq91wrdhyif2ki74hydlx3ls3f4xbzjhl4";
- revision = "1";
- editedCabalFile = "0zgy59mdjnrd7m3cgdw2yn45dya8qg7sgi96hwfz4q5vjp7az24m";
+ version = "1.3.3.1";
+ sha256 = "1x43y9ih5i4w5n2kwiiid0gffv0z6j0ns7x5hpn2k51zax9wqjkb";
libraryHaskellDepends = [
- array base containers EdisonAPI mtl QuickCheck
+ array base containers EdisonAPI QuickCheck
];
description = "A library of efficient, purely-functional data structures (Core Implementations)";
license = lib.licenses.mit;
@@ -5522,6 +5552,8 @@ self: {
executableHaskellDepends = [ base Cabal containers mtl ];
description = "Encoding character data";
license = "GPL";
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"EntrezHTTP" = callPackage
@@ -5970,12 +6002,16 @@ self: {
pname = "FULE";
version = "0.3.0";
sha256 = "1ir8kq8mndvbm99jm9wdd967b3424i4cpj5m56qd1052xi47q8vl";
+ revision = "1";
+ editedCabalFile = "17klcqdfrszks3ld4vbjxm5q88y0x33q783qvry9gyych6qdj89r";
libraryHaskellDepends = [
base containers deepseq mtl transformers
];
testHaskellDepends = [ base containers deepseq mtl transformers ];
description = "Functional UI Layout Engine";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"Facebook-Password-Hacker-Online-Latest-Version" = callPackage
@@ -6213,6 +6249,8 @@ self: {
];
description = "Functions on System.FilePath";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"FileSystem" = callPackage
@@ -6320,8 +6358,8 @@ self: {
}:
mkDerivation {
pname = "FiniteCategories";
- version = "0.5.0.0";
- sha256 = "022cli3n96389dkcff85kbg95a2v4zmr66pmv36g1pjxvgsr6ffn";
+ version = "0.6.3.0";
+ sha256 = "0kwfv6hvxi4mk4jxbpa7laxjfxfjxgxvbpfpc5alv43n7rijhvw3";
libraryHaskellDepends = [
base containers directory filepath random text WeakSets
];
@@ -6998,8 +7036,8 @@ self: {
}:
mkDerivation {
pname = "GLFW-b";
- version = "3.3.0.0";
- sha256 = "1xh6nnm4c7bjvbr62rw7vv86p0r76vrqhdbm89vmcs51jk92yxv4";
+ version = "3.3.9.0";
+ sha256 = "12n75mh0szw43y1fqsf95cbwvqy9gmmq7h3lii7gs02mv45fysrc";
libraryHaskellDepends = [ array base bindings-GLFW deepseq ];
testHaskellDepends = [
array base bindings-GLFW deepseq HUnit test-framework
@@ -7117,8 +7155,8 @@ self: {
pname = "GLUT";
version = "2.7.0.16";
sha256 = "0vdkfj4wjzigdpzgr5l001y9wkhwgl00mclr26gf93kps14fkymn";
- revision = "1";
- editedCabalFile = "0y8lif1xq62ca3w3cf56z2b37nz2yzr3s6r9q8q24gyxl60yvsl9";
+ revision = "2";
+ editedCabalFile = "17vwn7v1ia98q4kwnac1qlk4gxw6pg2mr9av6gw4a7mymjccdmra";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -8587,6 +8625,8 @@ self: {
pname = "HGL";
version = "3.2.3.2";
sha256 = "087k8i0bha3mzpqa3z3c6npl5vmccg7xcwl28lbv0yzbvj1qkg38";
+ revision = "1";
+ editedCabalFile = "12m6x0li99xsyil80wcz6a5ijd1fwmafbg8zmsngn66y1qlnplpn";
libraryHaskellDepends = [ array base stm X11 ];
description = "A simple graphics library based on X11 or Win32";
license = lib.licenses.bsd3;
@@ -9208,6 +9248,8 @@ self: {
];
description = "Markov-generated sequences";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"HMock" = callPackage
@@ -9673,6 +9715,8 @@ self: {
];
description = "Library to mix shell scripting with Haskell programs";
license = "LGPL";
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"HSHHelpers" = callPackage
@@ -9812,13 +9856,25 @@ self: {
({ mkDerivation, base, containers }:
mkDerivation {
pname = "HSvm";
- version = "0.1.1.3.25";
- sha256 = "1n97frz0hbc85wlyflihnj9w5hvg7x4cbawqjimr12zlsqh26iag";
+ version = "0.1.2.3.32";
+ sha256 = "1gz67dw2px9ys93xl152cv75f8237qal9wqnzwr624qm44xp4c0d";
libraryHaskellDepends = [ base containers ];
description = "Haskell Bindings for libsvm";
license = lib.licenses.bsd3;
}) {};
+ "HSvm_1_0_3_32" = callPackage
+ ({ mkDerivation, base, containers }:
+ mkDerivation {
+ pname = "HSvm";
+ version = "1.0.3.32";
+ sha256 = "1azbvnq13js2k3mvkmhdp9pjibkshyjigsc9krl9v26gkicmz47y";
+ libraryHaskellDepends = [ base containers ];
+ description = "Haskell Bindings for libsvm";
+ license = lib.licenses.bsd2;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"HTF" = callPackage
({ mkDerivation, aeson, aeson-pretty, array, base
, base64-bytestring, bytestring, Cabal, containers, cpphs, Diff
@@ -10674,6 +10730,7 @@ self: {
];
description = "Helpers to connect to SSL/TLS mail servers with HaskellNet";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"HaskellTorrent" = callPackage
@@ -11603,6 +11660,21 @@ self: {
mainProgram = "Hydrogen-exe";
}) {};
+ "HyloDP" = callPackage
+ ({ mkDerivation, base, containers, hspec, MemoTrie }:
+ mkDerivation {
+ pname = "HyloDP";
+ version = "1.0.0";
+ sha256 = "0bkjzv4nhhc9d1yhh8d67dfm6y6rdqmizalds673iiw350yxizdg";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [ base MemoTrie ];
+ executableHaskellDepends = [ base containers MemoTrie ];
+ testHaskellDepends = [ base containers hspec MemoTrie ];
+ description = "A package for solving dynamic programming problems in Haskell";
+ license = lib.licenses.bsd3;
+ }) {};
+
"I1M" = callPackage
({ mkDerivation, array, base, QuickCheck, tasty, tasty-hunit
, tasty-quickcheck
@@ -11721,8 +11793,8 @@ self: {
}:
mkDerivation {
pname = "IPv6Addr";
- version = "2.0.5.1";
- sha256 = "1w0chaq6nf6xbvfgfwbjw4vm695nbpsr5hqcx927i2kvxr956dp7";
+ version = "2.0.6";
+ sha256 = "162qi2jczpxwyv0y4r9zkyr6hkdy8k38nmy9db0pa1dm48xfsd4p";
libraryHaskellDepends = [
aeson attoparsec base iproute network network-info random text
];
@@ -12364,7 +12436,6 @@ self: {
];
description = "Convenience functions to obtain array representations of images";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"JuicyPixels-scale-dct" = callPackage
@@ -13266,6 +13337,8 @@ self: {
];
description = "Bindings to libzip, a library for manipulating zip archives";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"Limit" = callPackage
@@ -14134,16 +14207,18 @@ self: {
"MicroHs" = callPackage
({ mkDerivation, base, containers, deepseq, directory, ghc-prim
- , mtl, pretty, process, time
+ , haskeline, mtl, pretty, process, time
}:
mkDerivation {
pname = "MicroHs";
- version = "0.8.5.0";
- sha256 = "0l9rwzpia71f2m9mmfklyihhmpc5dk6kc02bq0nsrmd14i9ldip2";
+ version = "0.9.5.0";
+ sha256 = "08pkxmvx0dkcgsy1b8n0lrc0dcjgxfx789wqiiy2cmhnnhqr0vip";
isLibrary = false;
isExecutable = true;
+ enableSeparateDataOutput = true;
executableHaskellDepends = [
- base containers deepseq directory ghc-prim mtl pretty process time
+ base containers deepseq directory ghc-prim haskeline mtl pretty
+ process time
];
description = "A compiler for a subset of Haskell";
license = lib.licenses.asl20;
@@ -14366,6 +14441,8 @@ self: {
];
description = "Monad-transformer compatible version of the Control.Exception module";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"MonadCatchIO-transformers-foreign" = callPackage
@@ -15025,8 +15102,8 @@ self: {
}:
mkDerivation {
pname = "NanoID";
- version = "3.4.0";
- sha256 = "0j2z1rb6jny4kpn43d7pp1kdj1djpmai95k6glhydb9ls7k9zpc0";
+ version = "3.4.0.1";
+ sha256 = "1g32iiwrs2fhf7l4sjc2l0s51yc3a102scvbwq1ip7532bvmwy23";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -15235,6 +15312,7 @@ self: {
];
description = "High-level abstraction over 9P protocol";
license = "unknown";
+ hydraPlatforms = lib.platforms.none;
}) {};
"NewBinary" = callPackage
@@ -15816,9 +15894,7 @@ self: {
}) {};
"OneTuple" = callPackage
- ({ mkDerivation, base, foldable1-classes-compat, ghc-prim, hashable
- , template-haskell
- }:
+ ({ mkDerivation, base, ghc-prim, hashable, template-haskell }:
mkDerivation {
pname = "OneTuple";
version = "0.4.1.1";
@@ -15826,9 +15902,7 @@ self: {
revision = "1";
editedCabalFile = "10p6wvv3z8xp2zgai9ay2dnzp8zzry5mglr61sgzp1i02znzsbb3";
libraryHaskellDepends = [ base ghc-prim template-haskell ];
- testHaskellDepends = [
- base foldable1-classes-compat hashable template-haskell
- ];
+ testHaskellDepends = [ base hashable template-haskell ];
description = "Singleton Tuple";
license = lib.licenses.bsd3;
}) {};
@@ -15955,8 +16029,8 @@ self: {
pname = "OpenGL";
version = "3.0.3.0";
sha256 = "069fg8jcxqq2z9iikynd8vi3jxm2b5y3qywdh4bdviyzab3zy1as";
- revision = "2";
- editedCabalFile = "1nhlswxgxn8l1ysjq3fp3w5pvx6651d33036i8dlbqygzrn6iwmh";
+ revision = "3";
+ editedCabalFile = "0fa64dn7wyxvi7vbz7lha64v9ld3xn2mqd3xlhm9rknhkzh3vbm9";
libraryHaskellDepends = [
base bytestring containers GLURaw ObjectName OpenGLRaw StateVar
text transformers
@@ -15987,8 +16061,8 @@ self: {
pname = "OpenGLRaw";
version = "3.3.4.1";
sha256 = "07nk0rgm6jcxz6yshwhv5lj5frs6371w3hdjxwa4biws2kmbs6hj";
- revision = "1";
- editedCabalFile = "15abvqkxc08lx9d44323izccfp7bqfiljnd587zn80vdvmkzs6zc";
+ revision = "2";
+ editedCabalFile = "1wrkv4ll7iag0a1liw6jqffhqg2nbfpdg1sa9dy88n9jli1jmikd";
libraryHaskellDepends = [
base bytestring containers fixed half text transformers
];
@@ -17431,6 +17505,7 @@ self: {
description = "Quick and easy data visualization with Haskell";
license = lib.licenses.gpl3Only;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"Quickson" = callPackage
@@ -18723,10 +18798,8 @@ self: {
}:
mkDerivation {
pname = "STMonadTrans";
- version = "0.4.7";
- sha256 = "1x83f48wbzx6rzbls6h8walfayzdv4j3j1mqbk8lfnkdqff9ri5d";
- revision = "1";
- editedCabalFile = "01zfv7jdqf8wfmgxx2gdb1nik93iqvkmblrd18py5hy5mpbxp9dy";
+ version = "0.4.8";
+ sha256 = "0ldqsl5axz1xlzha4b58kzpf35nr81ki3psy91zx7lpiv4agz7l3";
libraryHaskellDepends = [ array base mtl transformers ];
testHaskellDepends = [
array base tasty tasty-hunit tasty-quickcheck transformers
@@ -19727,28 +19800,6 @@ self: {
}) {};
"Sit" = callPackage
- ({ mkDerivation, alex, array, base, containers, data-lens-light
- , happy, mtl
- }:
- mkDerivation {
- pname = "Sit";
- version = "0.2022.3.18";
- sha256 = "03q784w5wf8rvc173skh1cmdsvw5450cxc9jymqs23xv9wyp8b4z";
- isLibrary = true;
- isExecutable = true;
- enableSeparateDataOutput = true;
- libraryHaskellDepends = [
- array base containers data-lens-light mtl
- ];
- libraryToolDepends = [ alex happy ];
- executableHaskellDepends = [ base ];
- testHaskellDepends = [ base ];
- description = "Prototypical type checker for Type Theory with Sized Natural Numbers";
- license = "unknown";
- mainProgram = "Sit.bin";
- }) {};
-
- "Sit_0_2023_8_3" = callPackage
({ mkDerivation, alex, array, base, containers, data-lens-light
, happy, mtl
}:
@@ -19767,7 +19818,6 @@ self: {
testHaskellDepends = [ base ];
description = "Prototypical type checker for Type Theory with Sized Natural Numbers";
license = "unknown";
- hydraPlatforms = lib.platforms.none;
mainProgram = "Sit.bin";
}) {};
@@ -20090,6 +20140,7 @@ self: {
];
description = "Another Haskell web framework for rapid development";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"Spock-api" = callPackage
@@ -20129,6 +20180,7 @@ self: {
libraryHaskellDepends = [ base hvect mtl Spock-api Spock-core ];
description = "Another Haskell web framework for rapid development";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"Spock-auth" = callPackage
@@ -20172,6 +20224,8 @@ self: {
];
description = "Another Haskell web framework for rapid development";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"Spock-digestive" = callPackage
@@ -20188,6 +20242,7 @@ self: {
];
description = "Digestive functors support for Spock";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"Spock-lucid" = callPackage
@@ -20199,6 +20254,7 @@ self: {
libraryHaskellDepends = [ base lucid Spock transformers ];
description = "Lucid support for Spock";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"Spock-worker" = callPackage
@@ -20216,6 +20272,7 @@ self: {
testHaskellDepends = [ base containers HTF stm vector ];
description = "Background workers for Spock";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"SpreadsheetML" = callPackage
@@ -20798,7 +20855,9 @@ self: {
];
description = "Tahin Password Generator";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "tahin";
+ broken = true;
}) {};
"Tainted" = callPackage
@@ -20810,6 +20869,8 @@ self: {
libraryHaskellDepends = [ base mtl ];
description = "Tainted type, and associated operations";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"Takusen" = callPackage
@@ -21597,10 +21658,8 @@ self: {
}:
mkDerivation {
pname = "Unixutils";
- version = "1.54.2";
- sha256 = "040wj8mr2k7spwns3vnadcgynqq4h7zy3lf62lvx7gasjmaj5m4w";
- revision = "1";
- editedCabalFile = "1rhr1isy8vq8ys29p4hcjh889dpfandqm2q5zcxyw4szl068jqc0";
+ version = "1.54.3";
+ sha256 = "1if3nv74gil9l06ra7q6is7z4xx1llg8gc9qvgjbg4pbzib55j6w";
libraryHaskellDepends = [
base bytestring directory exceptions filepath mtl process
process-extras pureMD5 regex-tdfa unix zlib
@@ -21753,6 +21812,8 @@ self: {
libraryHaskellDepends = [ base parsec ];
description = "A Valve Value-keyvalue parser for Haskell made with Parsec";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"Vec" = callPackage
@@ -22334,10 +22395,8 @@ self: {
({ mkDerivation }:
mkDerivation {
pname = "Win32";
- version = "2.13.4.0";
- sha256 = "1nm8nx595cndbni2arbg0q27k5ghdsgzg2nvp711f6ah9svk0iji";
- revision = "1";
- editedCabalFile = "16bxm73r4q94vk3040xh81lsmh76dgwgazmpqxdal565a789j4ka";
+ version = "2.14.0.0";
+ sha256 = "1rb65bhma4dhs6gprr3k195fysx56k89s3v5cdhj2wghb3dwv7ag";
description = "A binding to Windows Win32 API";
license = lib.licenses.bsd3;
platforms = lib.platforms.windows;
@@ -22607,6 +22666,35 @@ self: {
broken = true;
}) {};
+ "WringTwistree" = callPackage
+ ({ mkDerivation, arithmoi, array, base, bytestring, containers
+ , deepseq, mod, multiarg, parallel, sort, split, tasty, tasty-hunit
+ , tasty-quickcheck, utf8-string, vector
+ }:
+ mkDerivation {
+ pname = "WringTwistree";
+ version = "0.0.1.1";
+ sha256 = "10hbg89y1bs4b8nmw3v5amffgmjmija89c639mk9g8hs3zddgb53";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ arithmoi array base bytestring containers mod parallel split
+ utf8-string vector
+ ];
+ executableHaskellDepends = [
+ arithmoi array base bytestring containers deepseq multiarg parallel
+ sort split utf8-string vector
+ ];
+ testHaskellDepends = [
+ array base bytestring containers tasty tasty-hunit tasty-quickcheck
+ utf8-string vector
+ ];
+ description = "Whole-message cipher and tree hash";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ mainProgram = "WringTwistree";
+ }) {};
+
"WxGeneric" = callPackage
({ mkDerivation, base, containers, mtl, SybWidget, wx, wxcore, xtc
}:
@@ -23031,6 +23119,25 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "Yampa_0_14_7" = callPackage
+ ({ mkDerivation, base, criterion, deepseq, filepath, random
+ , simple-affine-space, time
+ }:
+ mkDerivation {
+ pname = "Yampa";
+ version = "0.14.7";
+ sha256 = "0y9aagh532xdp2qq5xfk8qzf326pjs5x3lvrfby2d2qbbh724nav";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ base deepseq random simple-affine-space
+ ];
+ benchmarkHaskellDepends = [ base criterion filepath time ];
+ description = "Elegant Functional Reactive Programming Language for Hybrid Systems";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"Yampa-core" = callPackage
({ mkDerivation, base, deepseq, random, vector-space }:
mkDerivation {
@@ -24946,6 +25053,8 @@ self: {
libraryHaskellDepends = [ base mtl ];
description = "A Haskell port of the C/PHP strtok function";
license = lib.licenses.publicDomain;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"acme-this" = callPackage
@@ -25044,8 +25153,8 @@ self: {
({ mkDerivation, base, mtl, transformers }:
mkDerivation {
pname = "acquire";
- version = "0.3.4";
- sha256 = "1sf35mmf1dsl6ridzcrs1fajrjd9ic60fbx2356iggm2sn5bi7k5";
+ version = "0.3.5";
+ sha256 = "1xxr94rnywg7mnf6fq9gbvakglnr1qbyjgvclrsrifjmzmdpbr9p";
libraryHaskellDepends = [ base mtl transformers ];
description = "Abstraction over management of resources";
license = lib.licenses.mit;
@@ -25189,10 +25298,8 @@ self: {
}:
mkDerivation {
pname = "ad";
- version = "4.5.4";
- sha256 = "034n4zabzbbxc8bbc6drc0pam0cn12ijad0z2i3gdcqqn93bcap1";
- revision = "1";
- editedCabalFile = "1ngiimld502c4lhp5fba5lbcv007kqhwipmrk1918rdj722hxkvg";
+ version = "4.5.5";
+ sha256 = "15sncm5rm2aryyyngwjqadx6czjgbjlw4ksx5w5jrfr02rs2yrbq";
libraryHaskellDepends = [
adjunctions array base comonad containers data-reify erf free nats
reflection semigroups transformers
@@ -25204,17 +25311,6 @@ self: {
}) {};
"ad-delcont" = callPackage
- ({ mkDerivation, base, transformers }:
- mkDerivation {
- pname = "ad-delcont";
- version = "0.3.0.0";
- sha256 = "1gdnvl4f4m95jp2p2wdival48d3j4ymizxwp9phf0f698baxs28k";
- libraryHaskellDepends = [ base transformers ];
- description = "Reverse-mode automatic differentiation with delimited continuations";
- license = lib.licenses.bsd3;
- }) {};
-
- "ad-delcont_0_5_0_0" = callPackage
({ mkDerivation, ad, base, hspec, transformers }:
mkDerivation {
pname = "ad-delcont";
@@ -25224,7 +25320,6 @@ self: {
testHaskellDepends = [ ad base hspec ];
description = "Reverse-mode automatic differentiation with delimited continuations";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"adaptive-containers" = callPackage
@@ -25287,8 +25382,8 @@ self: {
}:
mkDerivation {
pname = "adblock2privoxy";
- version = "2.1.1";
- sha256 = "0vax5x1d2lf10fdrbp11n5gg0gp6qbsshrdm12f6smv8vw4sk5nv";
+ version = "2.2.0";
+ sha256 = "0r7cr20bnasw0wmxpjl1v35qva9lijxmd99xdj55092fkqkxfbwr";
isLibrary = false;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -25372,6 +25467,8 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Manage fine grained fixtures";
license = lib.licenses.isc;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"adhoc-network" = callPackage
@@ -25833,6 +25930,8 @@ self: {
];
description = "Better error messages when decoding JSON values";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"aeson-bson" = callPackage
@@ -25881,17 +25980,18 @@ self: {
}) {};
"aeson-combinators" = callPackage
- ({ mkDerivation, aeson, base, bytestring, containers, criterion
- , deepseq, fail, hspec, scientific, text, time, time-compat
- , unordered-containers, utf8-string, uuid-types, vector, void
+ ({ mkDerivation, aeson, attoparsec-aeson, base, bytestring
+ , containers, criterion, deepseq, fail, hspec, scientific, text
+ , time, time-compat, unordered-containers, utf8-string, uuid-types
+ , vector, void
}:
mkDerivation {
pname = "aeson-combinators";
- version = "0.1.1.0";
- sha256 = "09cig73947z1z76m572s2cs8kjz6lj3h923rcpmysi36f8hn8q9f";
+ version = "0.1.2.1";
+ sha256 = "10r3k8hi0rcvpi2868m4282zrnn57q7gj25ldhkja7mvz199wddz";
libraryHaskellDepends = [
- aeson base bytestring containers fail scientific text time
- time-compat unordered-containers uuid-types vector void
+ aeson attoparsec-aeson base bytestring containers fail scientific
+ text time time-compat unordered-containers uuid-types vector void
];
testHaskellDepends = [
aeson base bytestring hspec text utf8-string
@@ -26078,30 +26178,6 @@ self: {
}) {};
"aeson-extra" = callPackage
- ({ mkDerivation, aeson, attoparsec, base, base-compat-batteries
- , bytestring, containers, deepseq, quickcheck-instances
- , recursion-schemes, scientific, semialign, tasty, tasty-hunit
- , tasty-quickcheck, template-haskell, text, these
- , unordered-containers, vector
- }:
- mkDerivation {
- pname = "aeson-extra";
- version = "0.5.1.2";
- sha256 = "1slrwcnr0zmhxk8vjf1vpadrcch0gc7gssxanw5s6bqiih5kzxfi";
- libraryHaskellDepends = [
- aeson attoparsec base base-compat-batteries bytestring deepseq
- recursion-schemes scientific semialign template-haskell text these
- unordered-containers vector
- ];
- testHaskellDepends = [
- aeson base base-compat-batteries containers quickcheck-instances
- tasty tasty-hunit tasty-quickcheck unordered-containers vector
- ];
- description = "Extra goodies for aeson";
- license = lib.licenses.bsd3;
- }) {};
-
- "aeson-extra_0_5_1_3" = callPackage
({ mkDerivation, aeson, attoparsec, attoparsec-aeson, base
, base-compat-batteries, bytestring, containers, deepseq
, quickcheck-instances, recursion-schemes, scientific, semialign
@@ -26125,7 +26201,6 @@ self: {
];
description = "Extra goodies for aeson";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"aeson-filthy" = callPackage
@@ -26464,6 +26539,25 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "aeson-possible" = callPackage
+ ({ mkDerivation, aeson, base, QuickCheck, tasty, tasty-hunit
+ , tasty-quickcheck, tasty-quickcheck-laws
+ }:
+ mkDerivation {
+ pname = "aeson-possible";
+ version = "0.1.0.0";
+ sha256 = "0m360yvah04d7wi9g50r4bg7g3m86z47l7x09dk2rbdzwfzdzn15";
+ libraryHaskellDepends = [ aeson base ];
+ testHaskellDepends = [
+ aeson base QuickCheck tasty tasty-hunit tasty-quickcheck
+ tasty-quickcheck-laws
+ ];
+ description = "Possible values for aeson";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {};
+
"aeson-prefix" = callPackage
({ mkDerivation, aeson, base, bytestring, hspec, mtl, text
, unordered-containers, vector
@@ -26483,30 +26577,6 @@ self: {
}) {};
"aeson-pretty" = callPackage
- ({ mkDerivation, aeson, attoparsec, base, base-compat, bytestring
- , cmdargs, scientific, text, unordered-containers, vector
- }:
- mkDerivation {
- pname = "aeson-pretty";
- version = "0.8.9";
- sha256 = "021az9az6xik9c9s3rnar5fr1lgy2h3igibf5ixnc7ps3m2lzg2x";
- revision = "2";
- editedCabalFile = "1895w56jl4c06wfhv5zf8ayqpzkxgva2rz5xxz8fvfdiza781cgp";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- aeson base base-compat bytestring scientific text
- unordered-containers vector
- ];
- executableHaskellDepends = [
- aeson attoparsec base bytestring cmdargs
- ];
- description = "JSON pretty-printing library and command-line tool";
- license = lib.licenses.bsd3;
- mainProgram = "aeson-pretty";
- }) {};
-
- "aeson-pretty_0_8_10" = callPackage
({ mkDerivation, aeson, attoparsec, attoparsec-aeson, base
, base-compat, bytestring, cmdargs, scientific, text
, unordered-containers, vector
@@ -26526,7 +26596,6 @@ self: {
];
description = "JSON pretty-printing library and command-line tool";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
mainProgram = "aeson-pretty";
}) {};
@@ -26623,21 +26692,21 @@ self: {
"aeson-schemas" = callPackage
({ mkDerivation, aeson, aeson-qq, base, criterion, deepseq
- , directory, filepath, first-class-families, hashable, interpolate
+ , filepath, first-class-families, hashable, hint, interpolate
, megaparsec, process, QuickCheck, raw-strings-qq, tasty
, tasty-golden, tasty-hunit, tasty-quickcheck, template-haskell
, temporary, text, th-orphans, th-test-utils, unordered-containers
}:
mkDerivation {
pname = "aeson-schemas";
- version = "1.4.1.0";
- sha256 = "0l9qdzcx6fs661zn9vrkpflr5fl92s1w16nb94cabh8v1xzbagxh";
+ version = "1.4.2.1";
+ sha256 = "1rsbkav2c76g6b2cbhjwhlhnwz0w9fwiq1bf2cbikgbrabbi9h7c";
libraryHaskellDepends = [
aeson base first-class-families hashable megaparsec
template-haskell text unordered-containers
];
testHaskellDepends = [
- aeson aeson-qq base deepseq directory filepath interpolate process
+ aeson aeson-qq base deepseq filepath hint interpolate process
QuickCheck raw-strings-qq tasty tasty-golden tasty-hunit
tasty-quickcheck template-haskell temporary text th-orphans
th-test-utils
@@ -26768,8 +26837,8 @@ self: {
}:
mkDerivation {
pname = "aeson-typescript";
- version = "0.6.1.0";
- sha256 = "1ylxh4fbx01rwv1ipk1a6yfziwp1v3hy9wmpbml0s9613bwqxdvl";
+ version = "0.6.2.0";
+ sha256 = "14lya37640qrsz792xcpm21r7pixfxs72kq19165zjwcg4vv2v4n";
libraryHaskellDepends = [
aeson base bytestring containers mtl string-interpolate
template-haskell text th-abstraction transformers
@@ -26784,6 +26853,31 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "aeson-typescript_0_6_3_0" = callPackage
+ ({ mkDerivation, aeson, base, bytestring, containers, directory
+ , filepath, hspec, mtl, process, string-interpolate
+ , template-haskell, temporary, text, th-abstraction, transformers
+ , unordered-containers
+ }:
+ mkDerivation {
+ pname = "aeson-typescript";
+ version = "0.6.3.0";
+ sha256 = "1bmhjl10adms07l2fg7qpjr3qsqdbwdfmj5psh6vi5s13w0sjxz9";
+ libraryHaskellDepends = [
+ aeson base bytestring containers mtl string-interpolate
+ template-haskell text th-abstraction transformers
+ unordered-containers
+ ];
+ testHaskellDepends = [
+ aeson base bytestring containers directory filepath hspec mtl
+ process string-interpolate template-haskell temporary text
+ th-abstraction transformers unordered-containers
+ ];
+ description = "Generate TypeScript definition files from your ADTs";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"aeson-unqualified-ast" = callPackage
({ mkDerivation, aeson, base, scientific, text, vector }:
mkDerivation {
@@ -27003,6 +27097,7 @@ self: {
];
description = "Anti-forensic Information Splitter";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"afv" = callPackage
@@ -27674,6 +27769,8 @@ self: {
libraryToolDepends = [ c2hs ];
description = "OpenAL 1.1 raw API.";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {inherit (pkgs) openal;};
"alarmclock" = callPackage
@@ -27749,8 +27846,8 @@ self: {
}:
mkDerivation {
pname = "alex";
- version = "3.3.0.0";
- sha256 = "0qws6axn8a9iijhy6x8j3hjvm80sgw6ndxqhp9yc71vbxa2qw3w1";
+ version = "3.4.0.1";
+ sha256 = "0dlz0izzawy1x9p2xpfblkma7w20f280r44h67kfggclx3yfcf2c";
isLibrary = false;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -27762,13 +27859,13 @@ self: {
mainProgram = "alex";
}) {};
- "alex_3_4_0_1" = callPackage
+ "alex_3_5_0_0" = callPackage
({ mkDerivation, array, base, containers, directory, happy, process
}:
mkDerivation {
pname = "alex";
- version = "3.4.0.1";
- sha256 = "0dlz0izzawy1x9p2xpfblkma7w20f280r44h67kfggclx3yfcf2c";
+ version = "3.5.0.0";
+ sha256 = "1vczh12dagp1w27j78dr3bc6xa3bp05rmdw3h1pxs75wnhirzvfa";
isLibrary = false;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -28116,6 +28213,7 @@ self: {
];
description = "I/O utilities and datasets for algebraic-graphs";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"algebraic-prelude" = callPackage
@@ -28403,7 +28501,9 @@ self: {
benchmarkHaskellDepends = [ base containers criterion mtl vector ];
description = "A monadic way of calculating relations between intervals of time";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "allen-calculator";
+ broken = true;
}) {};
"allocated-processor" = callPackage
@@ -28426,6 +28526,8 @@ self: {
libraryHaskellDepends = [ base containers mtl syb vector ];
description = "Generic programming library";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"alloy-proxy-fd" = callPackage
@@ -28437,6 +28539,7 @@ self: {
libraryHaskellDepends = [ alloy base mtl ];
description = "Some add-on instances for the Alloy library";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"ally-invest" = callPackage
@@ -28553,6 +28656,8 @@ self: {
];
description = "A character between a-z";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"alpino-tools" = callPackage
@@ -35201,34 +35306,6 @@ self: {
}) {};
"amqp" = callPackage
- ({ mkDerivation, base, binary, bytestring, clock, connection
- , containers, data-binary-ieee754, hspec, hspec-expectations
- , monad-control, network, network-uri, split, stm, text, vector
- , xml
- }:
- mkDerivation {
- pname = "amqp";
- version = "0.22.1";
- sha256 = "0z1w35ar0bswbjzgana52nh4ilxbidnfkvli29w2zr7cak9dgzg1";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- base binary bytestring clock connection containers
- data-binary-ieee754 monad-control network network-uri split stm
- text vector
- ];
- executableHaskellDepends = [ base containers xml ];
- testHaskellDepends = [
- base binary bytestring clock connection containers
- data-binary-ieee754 hspec hspec-expectations network network-uri
- split stm text vector
- ];
- description = "Client library for AMQP servers (currently only RabbitMQ)";
- license = lib.licenses.bsd3;
- mainProgram = "amqp-builder";
- }) {};
-
- "amqp_0_22_2" = callPackage
({ mkDerivation, base, binary, bytestring, clock, containers
, crypton-connection, data-binary-ieee754, hspec
, hspec-expectations, monad-control, network, network-uri, split
@@ -35253,7 +35330,6 @@ self: {
];
description = "Client library for AMQP servers (currently only RabbitMQ)";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
mainProgram = "amqp-builder";
}) {};
@@ -35297,29 +35373,6 @@ self: {
}) {};
"amqp-utils" = callPackage
- ({ mkDerivation, amqp, base, bytestring, connection, containers
- , data-default-class, directory, filepath, filepath-bytestring
- , hinotify, magic, network, process, rawfilepath, text, time, tls
- , unix, utf8-string, x509-system
- }:
- mkDerivation {
- pname = "amqp-utils";
- version = "0.6.3.2";
- sha256 = "1qdhp96zqcjd8yd2hmg7c2cl9gdvywp1p3v1xjcax9si5pr83w1i";
- revision = "1";
- editedCabalFile = "062l0xawak87hp6xni7mz4w5dr9za30nm1shzpc88jm7618hndl9";
- isLibrary = false;
- isExecutable = true;
- executableHaskellDepends = [
- amqp base bytestring connection containers data-default-class
- directory filepath filepath-bytestring hinotify magic network
- process rawfilepath text time tls unix utf8-string x509-system
- ];
- description = "AMQP toolset for the command line";
- license = lib.licenses.gpl3Only;
- }) {};
-
- "amqp-utils_0_6_4_0" = callPackage
({ mkDerivation, amqp, base, bytestring, containers
, crypton-connection, crypton-x509-system, data-default-class
, directory, filepath, filepath-bytestring, hinotify, magic
@@ -35339,7 +35392,6 @@ self: {
];
description = "AMQP toolset for the command line";
license = lib.licenses.gpl3Only;
- hydraPlatforms = lib.platforms.none;
}) {};
"amqp-worker" = callPackage
@@ -35469,6 +35521,7 @@ self: {
];
description = "Simple literate programming preprocessor";
license = lib.licenses.gpl3Only;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "anansi";
}) {};
@@ -35485,6 +35538,7 @@ self: {
];
description = "Colorized looms for Anansi";
license = lib.licenses.gpl3Only;
+ hydraPlatforms = lib.platforms.none;
}) {};
"anansi-pandoc" = callPackage
@@ -35965,21 +36019,21 @@ self: {
({ mkDerivation, ansi-terminal-types, base, colour }:
mkDerivation {
pname = "ansi-terminal";
- version = "0.11.5";
- sha256 = "1jwpq3l7ipzjpd6b8gc2df2k5hsh3b9w555ny20q6mgbapfcwjjv";
+ version = "1.0.2";
+ sha256 = "0d6qm3ph6drim7g81yx46nmgspxsf4nnr2d91fa0fy3cyv5idra6";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [ ansi-terminal-types base colour ];
- description = "Simple ANSI terminal support, with Windows compatibility";
+ description = "Simple ANSI terminal support";
license = lib.licenses.bsd3;
}) {};
- "ansi-terminal_1_0" = callPackage
+ "ansi-terminal_1_1" = callPackage
({ mkDerivation, ansi-terminal-types, base, colour }:
mkDerivation {
pname = "ansi-terminal";
- version = "1.0";
- sha256 = "1jfgsnywzyipxg64xqgiyvq4af7wjnx4g8hazysn57hqg67sk607";
+ version = "1.1";
+ sha256 = "0lxjf546x2b382hhg8ws2ay9yhva9kk07ki8lmgpbndsprbn4paj";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [ ansi-terminal-types base colour ];
@@ -36015,6 +36069,34 @@ self: {
license = lib.licenses.gpl3Only;
}) {};
+ "ansi-terminal-game_1_9_3_0" = callPackage
+ ({ mkDerivation, ansi-terminal, array, base, bytestring, cereal
+ , clock, colour, containers, exceptions, file-embed, hspec
+ , hspec-discover, linebreak, mintty, mtl, QuickCheck, random, split
+ , terminal-size, timers-tick, unidecode
+ }:
+ mkDerivation {
+ pname = "ansi-terminal-game";
+ version = "1.9.3.0";
+ sha256 = "1yy7hzdcawdmwl8wqzabbamzjdg260xbwryj0hdjn7b0n6qlqymk";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ ansi-terminal array base bytestring cereal clock colour containers
+ exceptions file-embed linebreak mintty mtl QuickCheck random split
+ terminal-size timers-tick unidecode
+ ];
+ testHaskellDepends = [
+ ansi-terminal array base bytestring cereal clock colour containers
+ exceptions file-embed hspec linebreak mintty mtl QuickCheck random
+ split terminal-size timers-tick unidecode
+ ];
+ testToolDepends = [ hspec-discover ];
+ description = "cross-platform library for terminal games";
+ license = lib.licenses.gpl3Only;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"ansi-terminal-types" = callPackage
({ mkDerivation, base, colour }:
mkDerivation {
@@ -36028,22 +36110,19 @@ self: {
license = lib.licenses.bsd3;
}) {};
- "ansi-wl-pprint" = callPackage
- ({ mkDerivation, ansi-terminal, base }:
+ "ansi-terminal-types_1_1" = callPackage
+ ({ mkDerivation, base, colour }:
mkDerivation {
- pname = "ansi-wl-pprint";
- version = "0.6.9";
- sha256 = "1b2fg8px98dzbaqyns10kvs8kn6cl1hdq5wb9saz40izrpkyicm7";
- revision = "4";
- editedCabalFile = "04ljsk64bzwsczh0zc5w3r98qr1zlzbwwm2xb6nk9vradv4pnwzv";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [ ansi-terminal base ];
- description = "The Wadler/Leijen Pretty Printer for colored ANSI terminal output";
+ pname = "ansi-terminal-types";
+ version = "1.1";
+ sha256 = "09axg8lpyyzm436lfmk21hawqxljln0hrjhg6gfz99cdnwz37rgj";
+ libraryHaskellDepends = [ base colour ];
+ description = "Types and functions used to represent SGR aspects";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
- "ansi-wl-pprint_1_0_2" = callPackage
+ "ansi-wl-pprint" = callPackage
({ mkDerivation, base, prettyprinter-compat-ansi-wl-pprint }:
mkDerivation {
pname = "ansi-wl-pprint";
@@ -36056,7 +36135,6 @@ self: {
];
description = "The Wadler/Leijen Pretty Printer for colored ANSI terminal output";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"ansigraph" = callPackage
@@ -36570,13 +36648,15 @@ self: {
"any-pat" = callPackage
({ mkDerivation, base, haskell-src-exts, haskell-src-meta, hspec
, hspec-discover, parsec, QuickCheck, template-haskell
+ , unordered-containers
}:
mkDerivation {
pname = "any-pat";
- version = "0.2.0.0";
- sha256 = "1h6fxbyzfbx936br0795jbs25ci0bjbik5dgdgw40rs7y2mavxkm";
+ version = "0.4.0.0";
+ sha256 = "1hdlvpq0hlar65gg3m9narfapwjgy1c6d2ihzvsq5p1h36h2v0nd";
libraryHaskellDepends = [
base haskell-src-exts haskell-src-meta template-haskell
+ unordered-containers
];
testHaskellDepends = [ base hspec parsec QuickCheck ];
testToolDepends = [ hspec-discover ];
@@ -36760,6 +36840,8 @@ self: {
libraryHaskellDepends = [ apecs base effectful-core vector ];
description = "Adaptation of the apecs library for the effectful ecosystem";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"apecs-gloss" = callPackage
@@ -36775,7 +36857,6 @@ self: {
];
description = "Simple gloss renderer for apecs";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"apecs-physics" = callPackage
@@ -36791,8 +36872,6 @@ self: {
];
description = "2D physics for apecs";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- broken = true;
}) {};
"apecs-physics-gloss" = callPackage
@@ -36804,7 +36883,6 @@ self: {
libraryHaskellDepends = [ apecs apecs-physics base gloss ];
description = "Gloss rendering for apecs-physics";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"apecs-stm" = callPackage
@@ -36906,6 +36984,8 @@ self: {
];
description = "Package to make APIs";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"api-monobank" = callPackage
@@ -37060,6 +37140,8 @@ self: {
benchmarkHaskellDepends = [ base criterion text time ];
description = "DSL for generating API boilerplate and docs";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"api-yoti" = callPackage
@@ -37495,6 +37577,8 @@ self: {
];
description = "A library to manage application settings (INI file-like)";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"appar" = callPackage
@@ -37741,7 +37825,7 @@ self: {
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
- base containers directory extra filemanip ghc ghc-boot-th
+ base containers directory extra filemanip ghc-boot-th
ghc-exactprint ghc-paths process refact syb transformers uniplate
unix-compat
];
@@ -37795,6 +37879,40 @@ self: {
mainProgram = "refactor";
}) {};
+ "apply-refact_0_14_0_0" = callPackage
+ ({ mkDerivation, base, containers, directory, extra, filemanip
+ , filepath, ghc, ghc-boot-th, ghc-exactprint, ghc-paths
+ , optparse-applicative, process, refact, silently, syb, tasty
+ , tasty-expected-failure, tasty-golden, transformers, uniplate
+ , unix-compat
+ }:
+ mkDerivation {
+ pname = "apply-refact";
+ version = "0.14.0.0";
+ sha256 = "17dndhrpli0149rqbcyygb4w3lpmz8068pyrkcpwvfkd21p8yikk";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ base containers directory extra filemanip ghc ghc-boot-th
+ ghc-exactprint process refact syb transformers uniplate unix-compat
+ ];
+ executableHaskellDepends = [
+ base containers directory extra filemanip filepath ghc ghc-boot-th
+ ghc-exactprint ghc-paths optparse-applicative process refact syb
+ transformers uniplate unix-compat
+ ];
+ testHaskellDepends = [
+ base containers directory extra filemanip filepath ghc ghc-boot-th
+ ghc-exactprint ghc-paths optparse-applicative process refact
+ silently syb tasty tasty-expected-failure tasty-golden transformers
+ uniplate unix-compat
+ ];
+ description = "Perform refactorings specified by the refact library";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ mainProgram = "refactor";
+ }) {};
+
"apply-unordered" = callPackage
({ mkDerivation, base, fin, ghc, ghc-tcplugins-extra, hspec
, should-not-typecheck, syb
@@ -38370,14 +38488,16 @@ self: {
}:
mkDerivation {
pname = "archive-tar";
- version = "0.2.2.1";
- sha256 = "0pwdjx2f78cs3z81hr9ax0iaq6fk6bdvywdp2q8vpjagrfbjcn8k";
+ version = "1.0.0.0";
+ sha256 = "0gcpcgw8vniq1kz8f7xwj6kqr7zl85m3jr9qns277bq2i58jmd0w";
libraryHaskellDepends = [
base bytestring composition-prelude tar
];
libraryToolDepends = [ cpphs ];
description = "Common interface using the tar package";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"archive-tar-bytestring" = callPackage
@@ -38858,36 +38978,6 @@ self: {
}) {};
"arithmoi" = callPackage
- ({ mkDerivation, array, base, chimera, constraints, containers
- , deepseq, exact-pi, integer-gmp, integer-logarithms, integer-roots
- , mod, QuickCheck, quickcheck-classes, random, semirings
- , smallcheck, tasty, tasty-bench, tasty-hunit, tasty-quickcheck
- , tasty-rerun, tasty-smallcheck, transformers, vector
- }:
- mkDerivation {
- pname = "arithmoi";
- version = "0.12.1.0";
- sha256 = "1g8jswfjcy8q7fg7qf5d2yq1amxwwvpai97n96cgl6hrfz6y1ghf";
- configureFlags = [ "-f-llvm" ];
- libraryHaskellDepends = [
- array base chimera constraints containers deepseq exact-pi
- integer-gmp integer-logarithms integer-roots mod random semirings
- transformers vector
- ];
- testHaskellDepends = [
- base containers exact-pi integer-gmp integer-roots mod QuickCheck
- quickcheck-classes random semirings smallcheck tasty tasty-hunit
- tasty-quickcheck tasty-rerun tasty-smallcheck transformers vector
- ];
- benchmarkHaskellDepends = [
- array base constraints containers deepseq integer-logarithms mod
- random semirings tasty-bench vector
- ];
- description = "Efficient basic number-theoretic functions";
- license = lib.licenses.mit;
- }) {};
-
- "arithmoi_0_13_0_0" = callPackage
({ mkDerivation, array, base, chimera, constraints, containers
, deepseq, exact-pi, ghc-bignum, infinite-list, integer-logarithms
, integer-roots, mod, QuickCheck, quickcheck-classes, random
@@ -38899,8 +38989,8 @@ self: {
pname = "arithmoi";
version = "0.13.0.0";
sha256 = "0bcxfx8gm0vd07pg417yid11dqakgw5w1hndmg8667g92ar5njsc";
- revision = "2";
- editedCabalFile = "0fxzpwvn8n2sfnjl6qqsh556sjyng4gw2ddfbvhzf346xb3nrnzv";
+ revision = "3";
+ editedCabalFile = "1v8d7dpzlqqmlfmwb0jylic09yr3f69wpkqxp8kyy1hgbczaig3l";
configureFlags = [ "-f-llvm" ];
libraryHaskellDepends = [
array base chimera constraints containers deepseq exact-pi
@@ -38918,7 +39008,6 @@ self: {
];
description = "Efficient basic number-theoretic functions";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"arity-generic-liftA" = callPackage
@@ -39032,8 +39121,8 @@ self: {
}:
mkDerivation {
pname = "array-builder";
- version = "0.1.4.0";
- sha256 = "03ry85miknhj8r21wbnmdixg114l5jg7dssvalnlykr8m6p0h3lf";
+ version = "0.1.4.1";
+ sha256 = "11hzfx6h0xrgbj8hginp91yj01kzyx8wh35bx22cb5ydfl7wpdcv";
libraryHaskellDepends = [
array-chunks base bytebuild byteslice bytestring natural-arithmetic
primitive run-st text-short
@@ -39049,8 +39138,8 @@ self: {
}:
mkDerivation {
pname = "array-chunks";
- version = "0.1.4.0";
- sha256 = "0m2719qyfpv5d9sm5wyyxcaq48fyggabjya9m5zx7idh94sqqm3p";
+ version = "0.1.4.2";
+ sha256 = "04aw1322vys216xil2knmvhx15d9f8kwxaprwgxy3njii75b40ik";
libraryHaskellDepends = [ base primitive run-st ];
testHaskellDepends = [
base primitive QuickCheck quickcheck-classes tasty tasty-hunit
@@ -39379,8 +39468,8 @@ self: {
}:
mkDerivation {
pname = "asana";
- version = "1.0.1.0";
- sha256 = "14ppgjjqxylkpy24bkaqlbcsbklrdgrlnm7dqalk1nzv37zqijys";
+ version = "1.0.1.1";
+ sha256 = "01117n142magfi3vywdyp9ackp26lwbab8zyazgxkvfk79a494vb";
libraryHaskellDepends = [
aeson aeson-casing base bytestring hashable http-conduit
iso8601-time microlens microlens-mtl monad-logger mtl scientific
@@ -39486,8 +39575,8 @@ self: {
pname = "ascii-char";
version = "1.0.1.0";
sha256 = "1fls3yw3gs36hwqp32pn7mfibkspx5a80k32wybzc3hfp4qyymlv";
- revision = "1";
- editedCabalFile = "1f4v2vxpj2f3783xlqm1iay46wj78m1r0byiw01s5f81j49ldpgf";
+ revision = "2";
+ editedCabalFile = "1x0ci7j3bdlrrza78n53xw4y1dl4py3gqrym0lb6l9w5n7l138gs";
libraryHaskellDepends = [ base hashable ];
testHaskellDepends = [ base hspec ];
description = "A Char type representing an ASCII character";
@@ -39524,13 +39613,13 @@ self: {
}) {};
"ascii-group" = callPackage
- ({ mkDerivation, ascii-char, base, hashable, hedgehog }:
+ ({ mkDerivation, ascii-char, base, hashable, hspec }:
mkDerivation {
pname = "ascii-group";
- version = "1.0.0.16";
- sha256 = "11hh56b7zl7866n600s0hmwwvrrvldjrkz9zscds9gcvvz6xmhnq";
+ version = "1.0.0.17";
+ sha256 = "1cn2xz0lxa0izp7x5g9mdvk7fjqbad934fra87syss1vxalhnjxb";
libraryHaskellDepends = [ ascii-char base hashable ];
- testHaskellDepends = [ ascii-char base hedgehog ];
+ testHaskellDepends = [ ascii-char base hspec ];
description = "ASCII character groups";
license = lib.licenses.asl20;
}) {};
@@ -40245,6 +40334,8 @@ self: {
testHaskellDepends = [ base bytestring hspec mtl QuickCheck text ];
description = "Core functionality for an SMTP client";
license = lib.licenses.bsd2;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"ast-monad" = callPackage
@@ -40312,6 +40403,8 @@ self: {
libraryHaskellDepends = [ base logict mtl ];
testHaskellDepends = [ base hspec lens logict mtl ];
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"astrds" = callPackage
@@ -41194,6 +41287,8 @@ self: {
libraryHaskellDepends = [ base constraints ];
description = "Subtyping relations and variance roles";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"attenuation-profunctors" = callPackage
@@ -41209,6 +41304,7 @@ self: {
];
description = "Attenuation support for Profunctors";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
}) {};
"attic-schedule" = callPackage
@@ -41517,23 +41613,6 @@ self: {
}) {};
"attoparsec-iso8601" = callPackage
- ({ mkDerivation, attoparsec, base, base-compat-batteries, text
- , time, time-compat
- }:
- mkDerivation {
- pname = "attoparsec-iso8601";
- version = "1.1.0.0";
- sha256 = "0ji6rcz49caqpj85dg8gs90cnc15500qyyh4b3n598a8qhbsh28i";
- revision = "1";
- editedCabalFile = "1h84bvjji5kwk54fr8q2gs8qhb39xwsm585s3472vvjh54dllf31";
- libraryHaskellDepends = [
- attoparsec base base-compat-batteries text time time-compat
- ];
- description = "Parsing of ISO 8601 dates, originally from aeson";
- license = lib.licenses.bsd3;
- }) {};
-
- "attoparsec-iso8601_1_1_0_1" = callPackage
({ mkDerivation, attoparsec, base, integer-conversion, text, time
, time-compat
}:
@@ -41546,7 +41625,6 @@ self: {
];
description = "Parsing of ISO 8601 dates, originally from aeson";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"attoparsec-iteratee" = callPackage
@@ -41740,10 +41818,8 @@ self: {
}:
mkDerivation {
pname = "audacity";
- version = "0.0.2.1";
- sha256 = "04r36gy8z0d2fz1l5px6yajp7izf3zpda9vci6q0wc273pxc8ck6";
- revision = "2";
- editedCabalFile = "0b4avhc577n7r43lw2zg360ndx8cqp39ghz63xpzxdc9dlsqyii0";
+ version = "0.0.2.2";
+ sha256 = "1glvk4mkq8j48s0xm86xb1l3xrb6m3cijcckdm48zq3pz7yg3hd8";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -42053,6 +42129,7 @@ self: {
testHaskellDepends = [ base doctest ];
description = "Template Haskell to automatically pass values to functions";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
maintainers = [ lib.maintainers.expipiplus1 ];
}) {};
@@ -42089,7 +42166,6 @@ self: {
];
description = "Autodocodec interpreters for openapi3";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"autodocodec-schema" = callPackage
@@ -42328,7 +42404,9 @@ self: {
libraryHaskellDepends = [ base directory mtl process unix ];
description = "EDSL for Procmail scripts";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "autoproc";
+ broken = true;
}) {};
"avahi" = callPackage
@@ -42631,6 +42709,8 @@ self: {
];
description = "Avro serialization support for Haskell";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"avro-piper" = callPackage
@@ -42785,6 +42865,45 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "aws_0_24_2" = callPackage
+ ({ mkDerivation, aeson, attoparsec, attoparsec-aeson, base
+ , base16-bytestring, base64-bytestring, blaze-builder, byteable
+ , bytestring, case-insensitive, cereal, conduit, conduit-extra
+ , containers, cryptonite, data-default, directory, errors
+ , exceptions, filepath, http-client, http-client-tls, http-conduit
+ , http-types, lifted-base, memory, monad-control, mtl, network
+ , network-bsd, old-locale, QuickCheck, quickcheck-instances
+ , resourcet, safe, scientific, tagged, tasty, tasty-hunit
+ , tasty-quickcheck, text, time, transformers, transformers-base
+ , unordered-containers, utf8-string, vector, xml-conduit
+ }:
+ mkDerivation {
+ pname = "aws";
+ version = "0.24.2";
+ sha256 = "0bk8pc6zs514dg7bm97y6969rzndf3mvbdrdgbv2vwn70k1n1r66";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ aeson attoparsec attoparsec-aeson base base16-bytestring
+ base64-bytestring blaze-builder byteable bytestring
+ case-insensitive cereal conduit conduit-extra containers cryptonite
+ data-default directory exceptions filepath http-client-tls
+ http-conduit http-types lifted-base memory monad-control mtl
+ network network-bsd old-locale resourcet safe scientific tagged
+ text time transformers unordered-containers utf8-string vector
+ xml-conduit
+ ];
+ testHaskellDepends = [
+ aeson base bytestring conduit errors http-client http-client-tls
+ http-types lifted-base monad-control mtl QuickCheck
+ quickcheck-instances resourcet tagged tasty tasty-hunit
+ tasty-quickcheck text time transformers transformers-base
+ ];
+ description = "Amazon Web Services (AWS) for Haskell";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"aws-arn" = callPackage
({ mkDerivation, base, deriving-compat, hashable, profunctors
, tagged, tasty, tasty-discover, tasty-hunit, text
@@ -42829,7 +42948,9 @@ self: {
testHaskellDepends = [ base hedgehog neat-interpolation ];
description = "Generate signed cookies for AWS CloudFront";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "aws-cloudfront-signed-cookies";
+ broken = true;
}) {};
"aws-cloudfront-signer" = callPackage
@@ -43172,6 +43293,7 @@ self: {
testHaskellDepends = [ base hspec ];
description = "Haskell runtime for AWS Lambda";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
}) {};
"aws-lambda-haskell-runtime-wai" = callPackage
@@ -43195,6 +43317,7 @@ self: {
];
description = "Run wai applications on AWS Lambda";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"aws-lambda-runtime" = callPackage
@@ -43495,27 +43618,6 @@ self: {
}) {};
"aws-sns-verify" = callPackage
- ({ mkDerivation, aeson, aeson-qq, async, base, bytestring, errors
- , hspec, http-conduit, http-types, memory, network-uri, pem
- , regex-tdfa, text, wai, warp, x509, x509-validation
- }:
- mkDerivation {
- pname = "aws-sns-verify";
- version = "0.0.0.2";
- sha256 = "0v05hca43v12g4x2ffwqla9pmf9gx8l85vv88cljn808qbsj5h4v";
- libraryHaskellDepends = [
- aeson base bytestring errors http-conduit memory network-uri pem
- regex-tdfa text x509 x509-validation
- ];
- testHaskellDepends = [
- aeson-qq async base hspec http-types regex-tdfa text wai warp
- x509-validation
- ];
- description = "Parse and verify AWS SNS messages";
- license = lib.licenses.mit;
- }) {};
-
- "aws-sns-verify_0_0_0_3" = callPackage
({ mkDerivation, aeson, aeson-qq, async, base, bytestring
, crypton-x509, crypton-x509-validation, errors, hspec
, http-conduit, http-types, memory, network-uri, pem, regex-tdfa
@@ -43537,7 +43639,6 @@ self: {
];
description = "Parse and verify AWS SNS messages";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"aws-transcribe-ws" = callPackage
@@ -44414,6 +44515,8 @@ self: {
testHaskellDepends = [ base ];
description = "For when a type should never be an instance of a class";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"bank-holiday-usa" = callPackage
@@ -44477,6 +44580,23 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "barbies_2_1_1_0" = callPackage
+ ({ mkDerivation, base, distributive, QuickCheck, tasty, tasty-hunit
+ , tasty-quickcheck, transformers
+ }:
+ mkDerivation {
+ pname = "barbies";
+ version = "2.1.1.0";
+ sha256 = "1dyjsjal1ffdscm3y1wzrczlv56hpf50bwdmmvdfiy55ys9j15vk";
+ libraryHaskellDepends = [ base distributive transformers ];
+ testHaskellDepends = [
+ base distributive QuickCheck tasty tasty-hunit tasty-quickcheck
+ ];
+ description = "Classes for working with types that can change clothes";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"barbies-layered" = callPackage
({ mkDerivation, barbies, base, doctest, doctest-discover, hspec
, hspec-discover, transformers
@@ -44677,17 +44797,6 @@ self: {
}) {};
"base-compat" = callPackage
- ({ mkDerivation, base, ghc-prim, unix }:
- mkDerivation {
- pname = "base-compat";
- version = "0.12.3";
- sha256 = "13dcrwihqn57js1ylj9vbw2snx90kfwikanvs1bj77zm22grj9nv";
- libraryHaskellDepends = [ base ghc-prim unix ];
- description = "A compatibility layer for base";
- license = lib.licenses.mit;
- }) {};
-
- "base-compat_0_13_1" = callPackage
({ mkDerivation, base, ghc-prim, unix }:
mkDerivation {
pname = "base-compat";
@@ -44696,7 +44805,6 @@ self: {
libraryHaskellDepends = [ base ghc-prim unix ];
description = "A compatibility layer for base";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"base-compat-batteries" = callPackage
@@ -44705,8 +44813,8 @@ self: {
}:
mkDerivation {
pname = "base-compat-batteries";
- version = "0.12.3";
- sha256 = "1bsz3bi1mnp60p90n5av76knscgssqvphc9f2jy1nhyr6ap7jxi0";
+ version = "0.13.1";
+ sha256 = "00m8lx2raldr6xvlc92za11a2sj3x0031vi6v3as0cnvl58mnliy";
libraryHaskellDepends = [ base base-compat ghc-prim ];
testHaskellDepends = [ base hspec QuickCheck ];
testToolDepends = [ hspec-discover ];
@@ -44714,24 +44822,6 @@ self: {
license = lib.licenses.mit;
}) {};
- "base-compat-batteries_0_13_1" = callPackage
- ({ mkDerivation, base, base-compat, foldable1-classes-compat
- , ghc-prim, hspec, hspec-discover, OneTuple, QuickCheck
- }:
- mkDerivation {
- pname = "base-compat-batteries";
- version = "0.13.1";
- sha256 = "00m8lx2raldr6xvlc92za11a2sj3x0031vi6v3as0cnvl58mnliy";
- libraryHaskellDepends = [
- base base-compat foldable1-classes-compat ghc-prim OneTuple
- ];
- testHaskellDepends = [ base hspec QuickCheck ];
- testToolDepends = [ hspec-discover ];
- description = "base-compat with extra batteries";
- license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
- }) {};
-
"base-compat-migrate" = callPackage
({ mkDerivation, base, base-compat }:
mkDerivation {
@@ -44855,32 +44945,6 @@ self: {
}) {};
"base16" = callPackage
- ({ mkDerivation, base, base16-bytestring, bytestring, criterion
- , deepseq, primitive, QuickCheck, random-bytestring, tasty
- , tasty-hunit, tasty-quickcheck, text, text-short
- }:
- mkDerivation {
- pname = "base16";
- version = "0.3.2.1";
- sha256 = "0ybmcik5nlly7s9bfwlaqqk8jpgwxp5ac4bhdiq4lckbfynvm0qf";
- revision = "1";
- editedCabalFile = "0qjaz2kkcmrfzbr6f44jkb1zdgbayh0yahp06j8gb281783ng6d8";
- libraryHaskellDepends = [
- base bytestring deepseq primitive text text-short
- ];
- testHaskellDepends = [
- base base16-bytestring bytestring QuickCheck random-bytestring
- tasty tasty-hunit tasty-quickcheck text text-short
- ];
- benchmarkHaskellDepends = [
- base base16-bytestring bytestring criterion deepseq
- random-bytestring text
- ];
- description = "Fast RFC 4648-compliant Base16 encoding";
- license = lib.licenses.bsd3;
- }) {};
-
- "base16_1_0" = callPackage
({ mkDerivation, base, base16-bytestring, bytestring, criterion
, deepseq, primitive, QuickCheck, random-bytestring, tasty
, tasty-hunit, tasty-quickcheck, text, text-short
@@ -44889,6 +44953,8 @@ self: {
pname = "base16";
version = "1.0";
sha256 = "1plwc4yrkvd5j6y09fjvyzhr05mzhzwz6z41fyb60y0bj5j66dl6";
+ revision = "1";
+ editedCabalFile = "00r0j3l3af912b33mlsr5a48rr0l31gh34cmj8jf247c4a332rlk";
libraryHaskellDepends = [
base bytestring deepseq primitive text text-short
];
@@ -44902,7 +44968,6 @@ self: {
];
description = "Fast RFC 4648-compliant Base16 encoding";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"base16-bytestring_0_1_1_7" = callPackage
@@ -44958,29 +45023,6 @@ self: {
}) {};
"base32" = callPackage
- ({ mkDerivation, base, bytestring, criterion, deepseq, memory
- , QuickCheck, random-bytestring, tasty, tasty-hunit
- , tasty-quickcheck, text, text-short
- }:
- mkDerivation {
- pname = "base32";
- version = "0.3.1.0";
- sha256 = "1cp2xs1kl5bqs09jpyak4kfjr4pnqgbv5rksxx1z6r8hmi9akjrg";
- libraryHaskellDepends = [
- base bytestring deepseq text text-short
- ];
- testHaskellDepends = [
- base bytestring memory QuickCheck random-bytestring tasty
- tasty-hunit tasty-quickcheck text text-short
- ];
- benchmarkHaskellDepends = [
- base bytestring criterion deepseq memory random-bytestring text
- ];
- description = "Fast RFC 4648-compliant Base32 encoding";
- license = lib.licenses.bsd3;
- }) {};
-
- "base32_0_4" = callPackage
({ mkDerivation, base, bytestring, criterion, deepseq, memory
, QuickCheck, random-bytestring, tasty, tasty-hunit
, tasty-quickcheck, text, text-short
@@ -45001,7 +45043,6 @@ self: {
];
description = "Fast RFC 4648-compliant Base32 encoding";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"base32-bytestring" = callPackage
@@ -45124,20 +45165,20 @@ self: {
}) {};
"base62" = callPackage
- ({ mkDerivation, base, bytebuild, byteslice, bytestring, doctest
+ ({ mkDerivation, base, bytebuild, byteslice, bytestring
, natural-arithmetic, primitive, tasty, tasty-hunit
, tasty-quickcheck, text, text-short, wide-word
}:
mkDerivation {
pname = "base62";
- version = "0.1.1.0";
- sha256 = "0cwq9iqcps0f1b8sbjghfb9q3rr8alhjhwx0py6ll9gp98dv57qf";
+ version = "0.1.1.2";
+ sha256 = "0kwz0asfc6lg96g14d58a64rvp2194z67y53nbb6y10hrbwq1j7j";
libraryHaskellDepends = [
base bytebuild byteslice bytestring natural-arithmetic primitive
text text-short wide-word
];
testHaskellDepends = [
- base byteslice doctest primitive tasty tasty-hunit tasty-quickcheck
+ base byteslice primitive tasty tasty-hunit tasty-quickcheck
wide-word
];
description = "Base62 encoding and decoding";
@@ -45172,6 +45213,31 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "base64_1_0" = callPackage
+ ({ mkDerivation, base, base64-bytestring, bytestring, criterion
+ , deepseq, QuickCheck, random-bytestring, tasty, tasty-hunit
+ , tasty-quickcheck, text, text-short
+ }:
+ mkDerivation {
+ pname = "base64";
+ version = "1.0";
+ sha256 = "1dmjy4pkz66s3wa99lkc0wc4bdjkdkr57a8rsgb5z50432gj6hkr";
+ libraryHaskellDepends = [
+ base bytestring deepseq text text-short
+ ];
+ testHaskellDepends = [
+ base base64-bytestring bytestring QuickCheck random-bytestring
+ tasty tasty-hunit tasty-quickcheck text text-short
+ ];
+ benchmarkHaskellDepends = [
+ base base64-bytestring bytestring criterion deepseq
+ random-bytestring text
+ ];
+ description = "A modern Base64 library";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"base64-bytes" = callPackage
({ mkDerivation, base, base64, base64-bytestring, byte-order
, bytebuild, byteslice, bytestring, gauge, natural-arithmetic
@@ -45179,10 +45245,8 @@ self: {
}:
mkDerivation {
pname = "base64-bytes";
- version = "0.1.1.0";
- sha256 = "0f0a0lj6k4k5b2mk9fbdl28x09h3mah5j44sj04k4ckdifkx3qw3";
- revision = "1";
- editedCabalFile = "1m4igcn7bxc2ym4ba22dkz2dh6rbka20da5a19vxpm0hwypfd0jc";
+ version = "0.1.1.1";
+ sha256 = "0gvh2yg7mqwrswcq5p0h35bifsvm18cdvsjzazz37yrwan0i31vs";
libraryHaskellDepends = [
base byte-order bytebuild byteslice natural-arithmetic primitive
run-st word-compat
@@ -45453,6 +45517,8 @@ self: {
];
description = "Bash generation library";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"basic" = callPackage
@@ -45491,6 +45557,8 @@ self: {
libraryHaskellDepends = [ base ];
description = "Basic implementation of General Problem Solver algorithm";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"basic-lens" = callPackage
@@ -45794,6 +45862,8 @@ self: {
pname = "battleship-combinatorics";
version = "0.0.1";
sha256 = "0pr1vcq69ndkln5jd6kxd0gn8jvc8n7aiqanxsrqnjpx4kkixph4";
+ revision = "1";
+ editedCabalFile = "0fw9dyylyvhsbzyslbm0r5k3l3sxbxmh0rq3cy8hkw204gm9x844";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -45903,8 +45973,8 @@ self: {
}:
mkDerivation {
pname = "bbcode";
- version = "0.1.0.2";
- sha256 = "1bnmjca7gjv4df5hbyniiy4s6n8llshiwxxc0pknc73wjjb8nmm8";
+ version = "0.2.0.1";
+ sha256 = "0d8ilximw08wws7m5y6mwn1pgix3ph8cni34vnnlsp3iph5kjqpj";
libraryHaskellDepends = [
base containers lens megaparsec mtl text transformers
];
@@ -45967,7 +46037,6 @@ self: {
];
description = "Language tags as specified by BCP 47";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"bcp47-orphans" = callPackage
@@ -45991,7 +46060,6 @@ self: {
];
description = "BCP47 orphan instances";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"bcrypt" = callPackage
@@ -46174,8 +46242,8 @@ self: {
}:
mkDerivation {
pname = "beam-automigrate";
- version = "0.1.4.0";
- sha256 = "0miifsbppqqad9v6mvd30mxanqz6fddxal6d6jxpynmx4wnk8i8p";
+ version = "0.1.6.0";
+ sha256 = "09pq0i3zb68ad20qznvf4kqf3y3zz0pjfi84g87rxay6y4sj6vi1";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -46243,6 +46311,8 @@ self: {
];
description = "SQL DDL support and migrations support library for Beam";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"beam-mysql" = callPackage
@@ -46306,6 +46376,7 @@ self: {
];
description = "Connection layer between beam and postgres";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"beam-sqlite" = callPackage
@@ -46330,6 +46401,7 @@ self: {
];
description = "Beam driver for SQLite";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"beam-th" = callPackage
@@ -46386,13 +46458,14 @@ self: {
}:
mkDerivation {
pname = "bearriver";
- version = "0.14.5";
- sha256 = "1ai026mhk42pw96v3p0imlrz9hfnr7cv21019bkqakrc3pmc0y6n";
+ version = "0.14.6";
+ sha256 = "01jkfp1z3dk744qbyhjpvsjiikfmfvf34dqzz2hdiisfvpxwmmqy";
libraryHaskellDepends = [
base deepseq dunai MonadRandom mtl simple-affine-space transformers
];
description = "FRP Yampa replacement implemented with Monadic Stream Functions";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"beautifHOL" = callPackage
@@ -46411,36 +46484,6 @@ self: {
}) {};
"bech32" = callPackage
- ({ mkDerivation, array, base, base58-bytestring, bytestring
- , containers, deepseq, extra, hspec, hspec-discover, memory
- , optparse-applicative, process, QuickCheck, text, vector
- }:
- mkDerivation {
- pname = "bech32";
- version = "1.1.3";
- sha256 = "0pzsaj606hgm2j4c2jynnv46w6a13g2z8vsfywxkz90asy91mgwy";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- array base bytestring containers extra text
- ];
- executableHaskellDepends = [
- base base58-bytestring bytestring extra memory optparse-applicative
- text
- ];
- testHaskellDepends = [
- base base58-bytestring bytestring containers deepseq extra hspec
- memory process QuickCheck text vector
- ];
- testToolDepends = [ hspec-discover ];
- description = "Implementation of the Bech32 cryptocurrency address format (BIP 0173)";
- license = lib.licenses.asl20;
- hydraPlatforms = lib.platforms.none;
- mainProgram = "bech32";
- broken = true;
- }) {};
-
- "bech32_1_1_4" = callPackage
({ mkDerivation, array, base, base58-bytestring, bytestring
, containers, deepseq, extra, hspec, hspec-discover, memory
, optparse-applicative, prettyprinter, prettyprinter-ansi-terminal
@@ -46636,8 +46679,8 @@ self: {
pname = "bench";
version = "1.0.12";
sha256 = "1sy97qpv6paar2d5syppk6lc06wjx6qyz5aidsmh30jq853nydx6";
- revision = "7";
- editedCabalFile = "1q1n7mfvma66zm9q8r896nhw3hrlffnys5dng3dakc43gjv9561h";
+ revision = "8";
+ editedCabalFile = "1xsvw5mid9f4gildrw4hdxp77hqx33vkp6x015ig0rm8b2cpp0r7";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
@@ -46676,6 +46719,8 @@ self: {
pname = "bench-show";
version = "0.3.2";
sha256 = "1f0zx572d54dyyjifv4p550ci90gdr4mrifhwww4z6p87fbdi32j";
+ revision = "1";
+ editedCabalFile = "1qq1ppj0x1d1rl0dbhpx6h2xj359rh2l6g63wkxcjzyqf2lzlx3q";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -46770,10 +46815,8 @@ self: {
}:
mkDerivation {
pname = "bencoding";
- version = "0.4.5.4";
- sha256 = "01ncsvlay03h4cnj19mvrwbhmx0mksrvyq96qq8r5f7i8l0l9z8r";
- revision = "1";
- editedCabalFile = "1v28888fmflqny69rc7g6cf3nd64msb69pkkx62gra0zq1xzhsbq";
+ version = "0.4.5.5";
+ sha256 = "1mgqn8ais9pshsy8gsqrd559rgck1sm5zw0jyrl5902ns11jacx3";
libraryHaskellDepends = [
attoparsec base bytestring deepseq ghc-prim integer-gmp mtl pretty
text
@@ -47027,6 +47070,8 @@ self: {
libraryHaskellDepends = [ base containers mtl ];
description = "\"Bidirectionalization for Free\" for Monomorphic Transformations";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"bglib" = callPackage
@@ -47099,7 +47144,9 @@ self: {
];
description = "Simple terminal GUI for local hoogle";
license = "(BSD-3-Clause OR Apache-2.0)";
+ hydraPlatforms = lib.platforms.none;
mainProgram = "bhoogle";
+ broken = true;
}) {};
"bibdb" = callPackage
@@ -47269,16 +47316,18 @@ self: {
}) {};
"bifunctors" = callPackage
- ({ mkDerivation, base, base-orphans, comonad, containers, hspec
+ ({ mkDerivation, assoc, base, comonad, containers, hspec
, hspec-discover, QuickCheck, tagged, template-haskell
, th-abstraction, transformers, transformers-compat
}:
mkDerivation {
pname = "bifunctors";
- version = "5.5.15";
- sha256 = "1dz3v6qdilm6z9vl25xrma2if6i151v6rmgnvd461parsd89sdfn";
+ version = "5.6.1";
+ sha256 = "1z2p9l2c0i6irbhfib5z7089jg8s22jj2jrc3dm525mynmqi8f06";
+ revision = "2";
+ editedCabalFile = "0ma7m6as59vdmk1ysip0gslrc2sc84van5z1blvzl2wzyvc7xyl2";
libraryHaskellDepends = [
- base base-orphans comonad containers tagged template-haskell
+ assoc base comonad containers tagged template-haskell
th-abstraction transformers
];
testHaskellDepends = [
@@ -47290,32 +47339,6 @@ self: {
license = lib.licenses.bsd3;
}) {};
- "bifunctors_5_6_1" = callPackage
- ({ mkDerivation, assoc, base, comonad, containers
- , foldable1-classes-compat, hspec, hspec-discover, QuickCheck
- , tagged, template-haskell, th-abstraction, transformers
- , transformers-compat
- }:
- mkDerivation {
- pname = "bifunctors";
- version = "5.6.1";
- sha256 = "1z2p9l2c0i6irbhfib5z7089jg8s22jj2jrc3dm525mynmqi8f06";
- revision = "2";
- editedCabalFile = "0ma7m6as59vdmk1ysip0gslrc2sc84van5z1blvzl2wzyvc7xyl2";
- libraryHaskellDepends = [
- assoc base comonad containers foldable1-classes-compat tagged
- template-haskell th-abstraction transformers
- ];
- testHaskellDepends = [
- base hspec QuickCheck template-haskell transformers
- transformers-compat
- ];
- testToolDepends = [ hspec-discover ];
- description = "Bifunctors";
- license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- }) {};
-
"bighugethesaurus" = callPackage
({ mkDerivation, base, HTTP, split }:
mkDerivation {
@@ -47852,8 +47875,8 @@ self: {
}:
mkDerivation {
pname = "binary-parser";
- version = "0.5.7.5";
- sha256 = "07ywb3z9k0hcs38617470h2y2gwgi49wp4m6a0fzvs7mnxv46bj7";
+ version = "0.5.7.6";
+ sha256 = "0s7d332iip9pj5sc00li9lnnmaszy63a6iz59013dbj55xbw0bba";
libraryHaskellDepends = [ base bytestring mtl text transformers ];
testHaskellDepends = [
base-prelude bytestring tasty tasty-hunit tasty-quickcheck
@@ -48260,8 +48283,8 @@ self: {
}:
mkDerivation {
pname = "bindings-GLFW";
- version = "3.3.2.0";
- sha256 = "0frvqybvy6bk79drhdmv4m754g4db3ys63ib8kw6aw5d3bmhjdbw";
+ version = "3.3.9.1";
+ sha256 = "0j0vka9i2b8nl3xyfx3wzhhyyx0zqd4gxd25rrna5k0wvnqk27w5";
libraryHaskellDepends = [ base bindings-DSL ];
librarySystemDepends = [
libGL libX11 libXcursor libXext libXfixes libXi libXinerama
@@ -49098,6 +49121,7 @@ self: {
];
description = "Encode precise binary representations directly in types";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
maintainers = [ lib.maintainers.raehik ];
}) {};
@@ -49489,6 +49513,7 @@ self: {
];
description = "A small tool that clears cookies (and more)";
license = lib.licenses.gpl3Only;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "bisc";
}) {};
@@ -49787,6 +49812,8 @@ self: {
];
description = "BIP 158 compact block filters";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"bitcoin-hash" = callPackage
@@ -49952,6 +49979,8 @@ self: {
];
description = "Resources for working with miniscript, and script descriptors";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"bitcoin-tx" = callPackage
@@ -50115,6 +50144,8 @@ self: {
pname = "bitmaps";
version = "0.2.6.3";
sha256 = "1cbfbbyvmdlfwn6pjhxkd8f4ajkp9cm18apzmrqffrj58gmzr1p0";
+ revision = "1";
+ editedCabalFile = "1h21j5np4cnawda05fjl9k75z7ayqh0k6ndrr3l9ayrdxmflcaab";
libraryHaskellDepends = [
array base binary bitmap bytestring cereal containers monad-state
stb-image string-class tagged zlib
@@ -50212,8 +50243,8 @@ self: {
pname = "bits-extra";
version = "0.0.2.3";
sha256 = "1lyrr5jg8yg9cb97pn1pd4qgc7qn3irv8k5ra5j48fyn1rb6z4r7";
- revision = "2";
- editedCabalFile = "1szy6g9a0sqz6cb1pcrxwypr8xf6nvgwvchxr7j5ax5jnh6xrk8h";
+ revision = "3";
+ editedCabalFile = "0cmss27g52vcw8vx8y5smvmiqrr1r6s1b9vihw4qrjj91x7w8sf9";
libraryHaskellDepends = [ base ghc-prim vector ];
testHaskellDepends = [
base doctest doctest-discover ghc-prim hedgehog hspec hw-hedgehog
@@ -50251,6 +50282,8 @@ self: {
testHaskellDepends = [ base hspec ];
description = "Showing data as strings of 0 and 1";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"bitset" = callPackage
@@ -50436,8 +50469,8 @@ self: {
pname = "bitwise";
version = "1.0.0.1";
sha256 = "03xyzdkyb99gvm9g5chl07rqbnm7qrxba7wgmrfmal0rkwm0ibkn";
- revision = "8";
- editedCabalFile = "0jmix0f28cvxg328b4wnf33sx36aa9j921hx3hd51by85lywkvrn";
+ revision = "9";
+ editedCabalFile = "1xc1p66ykfz59v4dh84pg4fzdlmibix68qn1cn33k04xrlyfnnl0";
libraryHaskellDepends = [ array base bytestring ];
testHaskellDepends = [ base QuickCheck ];
benchmarkHaskellDepends = [ array base bytestring criterion ];
@@ -50494,16 +50527,12 @@ self: {
}) {};
"bizzlelude" = callPackage
- ({ mkDerivation, base-noprelude, containers, directory, regexpr
- , text
- }:
+ ({ mkDerivation, base, containers, directory, regexpr, text }:
mkDerivation {
pname = "bizzlelude";
- version = "1.7.0";
- sha256 = "0r9vrcq52civpspnznpfhm87337i6fn39xjnf6vi3j5xdx8nw2fz";
- libraryHaskellDepends = [
- base-noprelude containers directory regexpr text
- ];
+ version = "4.17.2.1";
+ sha256 = "07p2h7n3zysir4cfd8pn9yph43wbb1p2ar7cipxz1ysz9saxvgam";
+ libraryHaskellDepends = [ base containers directory regexpr text ];
description = "A lousy Prelude replacement by a lousy dude";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
@@ -50627,6 +50656,7 @@ self: {
];
description = "Decentralized, k-ordered unique ID generator";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
}) {};
"blagda" = callPackage
@@ -50658,26 +50688,6 @@ self: {
}) {};
"blake2" = callPackage
- ({ mkDerivation, base, base16-bytestring, bytestring, criterion
- , hlint, QuickCheck, tasty, tasty-quickcheck
- }:
- mkDerivation {
- pname = "blake2";
- version = "0.3.0";
- sha256 = "0y937kr3dp87likwrl4wpaw80jhf383k89zn8li1yj3zp1vb6niv";
- revision = "1";
- editedCabalFile = "11ivz5b9mfmlcqavdnkvmn2zr6ymik8k1xrl1p945bjl4iiyh43b";
- libraryHaskellDepends = [ base bytestring ];
- testHaskellDepends = [
- base base16-bytestring bytestring hlint QuickCheck tasty
- tasty-quickcheck
- ];
- benchmarkHaskellDepends = [ base bytestring criterion ];
- description = "A library providing BLAKE2";
- license = lib.licenses.publicDomain;
- }) {};
-
- "blake2_0_3_0_1" = callPackage
({ mkDerivation, base, base16-bytestring, bytestring, criterion
, hlint, QuickCheck, tasty, tasty-quickcheck
}:
@@ -50693,7 +50703,6 @@ self: {
benchmarkHaskellDepends = [ base bytestring criterion ];
description = "A library providing BLAKE2";
license = lib.licenses.unlicense;
- hydraPlatforms = lib.platforms.none;
}) {};
"blake3" = callPackage
@@ -50752,6 +50761,8 @@ self: {
pname = "blank-canvas";
version = "0.7.4";
sha256 = "1qm0ms3jk8m5zv81lh3pn5n04afyq7bpn9lc137ddmm9blnkzndi";
+ revision = "1";
+ editedCabalFile = "0rpafc1zmq73dilwqdhqxk74xg3fxzq8jk0i8xgbfwg388gdlf23";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
aeson base base-compat-batteries base64-bytestring bytestring
@@ -51143,6 +51154,8 @@ self: {
pname = "blaze-svg";
version = "0.3.7";
sha256 = "0pqnx1zrvm2pliya76f4m8d6aycncfp08c2n1fiyzvl1fgk62z2c";
+ revision = "1";
+ editedCabalFile = "0szq7fnck2fchhck7984zar92da2lc63gjy4p7zx0975jxx0xrg9";
libraryHaskellDepends = [ base blaze-markup mtl ];
description = "SVG combinator library";
license = lib.licenses.bsd3;
@@ -51369,8 +51382,8 @@ self: {
}:
mkDerivation {
pname = "blockfrost-api";
- version = "0.8.1.0";
- sha256 = "12rsch1ind86fn97518ypycqvrj86cs7q8sykfj3ikzfkn12cssg";
+ version = "0.9.0.0";
+ sha256 = "14p910nrzcypw66jsqgjmzj206vqghpca88qvid2vahqabbwnwrd";
libraryHaskellDepends = [
aeson base bytestring containers data-default-class deriving-aeson
lens safe-money servant servant-docs servant-multipart-api
@@ -51393,8 +51406,8 @@ self: {
}:
mkDerivation {
pname = "blockfrost-client";
- version = "0.7.1.1";
- sha256 = "1k795lar667y5ai484cmrv5cvzdcca165cgvai9234b721by80jx";
+ version = "0.8.0.1";
+ sha256 = "19qziw3n75ilrhdgi982dwcz29dmfp4wzbrz99p2b1lfd0ir10lj";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -51418,8 +51431,8 @@ self: {
}:
mkDerivation {
pname = "blockfrost-client-core";
- version = "0.6.0.0";
- sha256 = "1jdi134grb6giqn53asl0593yzrnpa89nnakaishr24ma4ga2xkd";
+ version = "0.6.0.1";
+ sha256 = "00xy5dkqb657ihc3ac1w9hii1dyv1f3b1r3l1319ri71sx636czn";
libraryHaskellDepends = [
aeson base blockfrost-api bytestring case-insensitive containers
data-default http-client http-client-tls http-types servant
@@ -51688,8 +51701,8 @@ self: {
}:
mkDerivation {
pname = "blucontrol";
- version = "0.7.0.0";
- sha256 = "1h22r8l1hsdlzjcg7bdv5m9mlscpilcfg0brar0dy5rs7wghs4wj";
+ version = "0.7.1.0";
+ sha256 = "0dn1p06sd0dxyjkfc2iacvqds6q33r0id8rr7lzc9y8gyr6c46v1";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -51863,8 +51876,8 @@ self: {
pname = "bmp";
version = "1.2.6.3";
sha256 = "1k6s5z520dadj38y7ja0m4syrg094gyq14c63i6wx2701zj3viiw";
- revision = "1";
- editedCabalFile = "0ghc3klxfz5v8rb3rwblrnxmxfafplxrd9gc0y975g8k1q71p44k";
+ revision = "2";
+ editedCabalFile = "05ywlglsg9jw1cgdhrz9f4vbm775yvyysmmvjs0xj0dm2ljyskrx";
libraryHaskellDepends = [ base binary bytestring ];
description = "Read and write uncompressed BMP image files";
license = lib.licenses.mit;
@@ -51896,6 +51909,31 @@ self: {
mainProgram = "bnb-staking-csvs";
}) {};
+ "bnb-staking-csvs_0_2_2_0" = callPackage
+ ({ mkDerivation, aeson, base, bytestring, cassava, cmdargs
+ , cointracking-imports, containers, hedgehog, req, scientific
+ , tasty, tasty-hedgehog, tasty-hunit, text, time
+ }:
+ mkDerivation {
+ pname = "bnb-staking-csvs";
+ version = "0.2.2.0";
+ sha256 = "1qx6wiqsjaz6hd5s4m1dnjbpay5g4snk8whfdwndkj7pxjblzrxj";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ aeson base bytestring cassava cmdargs cointracking-imports
+ containers req scientific text time
+ ];
+ executableHaskellDepends = [ base ];
+ testHaskellDepends = [
+ base hedgehog tasty tasty-hedgehog tasty-hunit
+ ];
+ description = "Generate CSV Exports of Your BNB Staking Rewards";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ mainProgram = "bnb-staking-csvs";
+ }) {};
+
"bno055-haskell" = callPackage
({ mkDerivation, base, bytestring, cereal, h2c, mtl, resourcet }:
mkDerivation {
@@ -51921,6 +51959,8 @@ self: {
pname = "board-games";
version = "0.4";
sha256 = "05lrjgxdg836ik7ry5h9m9diirfc55086winssr9y0g6vbgbifpc";
+ revision = "1";
+ editedCabalFile = "03rlyzd46cxq1n1qgq7gyxv6x61w6wlnyv9zrsphgkg7invk64s9";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -52474,8 +52514,8 @@ self: {
}:
mkDerivation {
pname = "boomerang";
- version = "1.4.9";
- sha256 = "0z1lnzskvna5wdc45iwwybrsgv302gfja8s9zlvhdyvjlrxv5sjw";
+ version = "1.4.9.1";
+ sha256 = "0hcs9gwwmsq7m3hkk6akjdv6wiqdj2h6nmyjps6ilrcn14qbk5dp";
libraryHaskellDepends = [
base mtl semigroups template-haskell text th-abstraction
];
@@ -52570,6 +52610,8 @@ self: {
testHaskellDepends = [ base exceptions hspec mtl ];
description = "IoC Monad in Haskell";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"boots-app" = callPackage
@@ -52759,6 +52801,8 @@ self: {
];
description = "Implementation of BORSH serialisation";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"bot" = callPackage
@@ -52882,6 +52926,7 @@ self: {
libraryHaskellDepends = [ base bound monad-gen mtl ];
description = "Unwrap Scope's with globally fresh values";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"bound-simple" = callPackage
@@ -52917,8 +52962,8 @@ self: {
({ mkDerivation, base }:
mkDerivation {
pname = "bounded-qsem";
- version = "0.1.0.1";
- sha256 = "0pds88mz4rarx4qx4mkwn6ya7fijicks6v2p1ic08ynp5vg6npdf";
+ version = "0.1.0.2";
+ sha256 = "0arqwky5s64xz2sl0032vbczd4lh84yv059g0rj5nbswns0gdrhy";
libraryHaskellDepends = [ base ];
description = "Bounded quantity semaphores";
license = lib.licenses.mit;
@@ -52999,6 +53044,7 @@ self: {
];
description = "Read bower.json from Haskell";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"bowntz" = callPackage
@@ -53019,6 +53065,23 @@ self: {
broken = true;
}) {};
+ "bowtie" = callPackage
+ ({ mkDerivation, base, bifunctors, comonad, mtl
+ , nonempty-containers, prettyprinter, recursion-schemes
+ , semigroupoids
+ }:
+ mkDerivation {
+ pname = "bowtie";
+ version = "0.3.1";
+ sha256 = "04g44lfvbnh84vqwf3mvqwgnxfbc4w8lhx2a6g9jxl79fbsdzpwa";
+ libraryHaskellDepends = [
+ base bifunctors comonad mtl nonempty-containers prettyprinter
+ recursion-schemes semigroupoids
+ ];
+ description = "Tying knots in polynomial functors";
+ license = lib.licenses.bsd3;
+ }) {};
+
"box" = callPackage
({ mkDerivation, async, base, bytestring, containers, contravariant
, dlist, exceptions, kan-extensions, mtl, profunctors
@@ -53026,8 +53089,8 @@ self: {
}:
mkDerivation {
pname = "box";
- version = "0.9.2.1";
- sha256 = "0qw7byh3a3zxwkkfm31ng4dl4gfg8w8c998r62ba43z9an61y8di";
+ version = "0.9.3.1";
+ sha256 = "09mqz1ifq9sbq2h5wqy0lfvlc3v9m2nsw2f7gdss93qx8bz5cxmy";
libraryHaskellDepends = [
async base bytestring containers contravariant dlist exceptions
kan-extensions mtl profunctors semigroupoids stm text time
@@ -53050,20 +53113,20 @@ self: {
}) {};
"box-socket" = callPackage
- ({ mkDerivation, async, base, box, bytestring, exceptions, network
- , network-simple, optparse-generic, text, websockets
+ ({ mkDerivation, async, base, box, bytestring, network-simple
+ , optparse-applicative, profunctors, text, websockets
}:
mkDerivation {
pname = "box-socket";
- version = "0.4.1";
- sha256 = "111xbdyavpwjjzyn4y5gmva0izzinjfmb5qs1id4z2qvx43cjk8q";
+ version = "0.5.1.0";
+ sha256 = "0bm3csgz72fv41pilbwn0f2dx9gplin7qxi5i8mrwflaix156sy5";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
- async base box bytestring exceptions network network-simple text
+ async base box bytestring network-simple profunctors text
websockets
];
- executableHaskellDepends = [ base optparse-generic ];
+ executableHaskellDepends = [ base optparse-applicative ];
description = "Box websockets";
license = lib.licenses.bsd3;
mainProgram = "box-socket";
@@ -53230,17 +53293,17 @@ self: {
({ mkDerivation, aeson, attoparsec-aeson, base, bytestring, conduit
, conduit-extra, containers, criterion, deepseq, file-embed
, megaparsec, mtl, optparse-applicative, parser-combinators, split
- , tasty, tasty-golden, text, transformers, utf8-string
+ , tasty, tasty-golden, text, transformers, utf8-string, vector
}:
mkDerivation {
pname = "brassica";
- version = "0.1.1";
- sha256 = "0dc3qwyyz94qv1fhpyypcwys48i3zx3137yrh1gx3wby2gf2rzb9";
+ version = "0.2.0";
+ sha256 = "11myl3ir4vla6d6cvhfrjxvsfxvasj109ljxa0kjiyrqjhrv0s1z";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
base containers deepseq megaparsec mtl parser-combinators split
- transformers
+ transformers vector
];
executableHaskellDepends = [
aeson attoparsec-aeson base bytestring conduit conduit-extra
@@ -53288,24 +53351,6 @@ self: {
}) {};
"breakpoint" = callPackage
- ({ mkDerivation, ansi-terminal, base, containers, deepseq, ghc
- , haskeline, mtl, pretty-simple, tasty, tasty-hunit
- , template-haskell, text, transformers
- }:
- mkDerivation {
- pname = "breakpoint";
- version = "0.1.2.2";
- sha256 = "02x2hak0kf9fg5fgr0365fjrnlbfkp3mqdpvkmxfvfp5hmvpa6mm";
- libraryHaskellDepends = [
- ansi-terminal base containers deepseq ghc haskeline mtl
- pretty-simple template-haskell text transformers
- ];
- testHaskellDepends = [ base containers tasty tasty-hunit ];
- description = "Set breakpoints using a GHC plugin";
- license = lib.licenses.mit;
- }) {};
-
- "breakpoint_0_1_3_0" = callPackage
({ mkDerivation, ansi-terminal, base, containers, deepseq, ghc
, haskeline, mtl, pretty-simple, tasty, tasty-hunit
, template-haskell, text, transformers
@@ -53321,7 +53366,6 @@ self: {
testHaskellDepends = [ base containers tasty tasty-hunit ];
description = "Set breakpoints using a GHC plugin";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"breve" = callPackage
@@ -53345,7 +53389,9 @@ self: {
];
description = "a url shortener";
license = lib.licenses.gpl3Only;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "breve";
+ broken = true;
}) {};
"brians-brain" = callPackage
@@ -53392,32 +53438,6 @@ self: {
}) {};
"brick" = callPackage
- ({ mkDerivation, base, bimap, bytestring, config-ini, containers
- , data-clist, deepseq, directory, exceptions, filepath, microlens
- , microlens-mtl, microlens-th, mtl, QuickCheck, stm
- , template-haskell, text, text-zipper, unix, vector, vty, word-wrap
- }:
- mkDerivation {
- pname = "brick";
- version = "1.9";
- sha256 = "023fmgq8bgv05jpsr5saisz1jpkimkjycm1xza9gx5sxf6z6sjz3";
- revision = "1";
- editedCabalFile = "07kpxpxizmifl7jpavv6ahars3hm7jbs93i2hl81ri93h6ac69i1";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- base bimap bytestring config-ini containers data-clist deepseq
- directory exceptions filepath microlens microlens-mtl microlens-th
- mtl stm template-haskell text text-zipper unix vector vty word-wrap
- ];
- testHaskellDepends = [
- base containers microlens QuickCheck vector vty
- ];
- description = "A declarative terminal user interface library";
- license = lib.licenses.bsd3;
- }) {};
-
- "brick_2_1_1" = callPackage
({ mkDerivation, base, bimap, bytestring, config-ini, containers
, data-clist, deepseq, directory, exceptions, filepath, microlens
, microlens-mtl, microlens-th, mtl, QuickCheck, stm
@@ -53441,6 +53461,32 @@ self: {
];
description = "A declarative terminal user interface library";
license = lib.licenses.bsd3;
+ }) {};
+
+ "brick_2_3_1" = callPackage
+ ({ mkDerivation, base, bimap, bytestring, config-ini, containers
+ , data-clist, deepseq, directory, exceptions, filepath, microlens
+ , microlens-mtl, microlens-th, mtl, QuickCheck, stm
+ , template-haskell, text, text-zipper, unix-compat, vector, vty
+ , vty-crossplatform, word-wrap
+ }:
+ mkDerivation {
+ pname = "brick";
+ version = "2.3.1";
+ sha256 = "160np0bz1mcfkp077yc936i026s3zv1czn8lj3k3qr6scldavw35";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ base bimap bytestring config-ini containers data-clist deepseq
+ directory exceptions filepath microlens microlens-mtl microlens-th
+ mtl stm template-haskell text text-zipper unix-compat vector vty
+ vty-crossplatform word-wrap
+ ];
+ testHaskellDepends = [
+ base containers microlens QuickCheck vector vty vty-crossplatform
+ ];
+ description = "A declarative terminal user interface library";
+ license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
}) {};
@@ -53504,8 +53550,8 @@ self: {
({ mkDerivation, base, brick, containers, microlens, vector }:
mkDerivation {
pname = "brick-list-skip";
- version = "0.1.1.9";
- sha256 = "1dmvpnv904vqyv0z5lf36kdsxvdb9r2k637lrsbis1jvmg9719cm";
+ version = "0.1.1.11";
+ sha256 = "10xay8r334lzlzyfdq7prd2dxx82cb9sriwi82r5awfzf9ybac8n";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [ base brick containers microlens vector ];
@@ -53519,8 +53565,8 @@ self: {
}:
mkDerivation {
pname = "brick-panes";
- version = "1.0.0.4";
- sha256 = "10rsd1ayk63x73dk7f3dvj83dv717w8nbvymrym1lyqk2p0fh7xv";
+ version = "1.0.1.0";
+ sha256 = "0ngw5mwnv92w6hyyz6jcjsz2cs4ix4dyd6z41ggjy0lzjxd40gsq";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [ base brick containers microlens vty ];
@@ -53536,24 +53582,6 @@ self: {
broken = true;
}) {};
- "brick-skylighting_0_3" = callPackage
- ({ mkDerivation, base, brick, containers, skylighting-core, text
- , vty
- }:
- mkDerivation {
- pname = "brick-skylighting";
- version = "0.3";
- sha256 = "1wjl5ff9c7czg7azj2pi17b3kzbgb5rmwb4nkxdy86xn6d68adi1";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- base brick containers skylighting-core text vty
- ];
- description = "Show syntax-highlighted text in your Brick UI";
- license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- }) {};
-
"brick-skylighting" = callPackage
({ mkDerivation, base, brick, containers, skylighting-core, text
, vty
@@ -53577,8 +53605,8 @@ self: {
}:
mkDerivation {
pname = "brick-tabular-list";
- version = "2.2.0.7";
- sha256 = "12qn87lzvic12d7g84a23vddiwsk8qi5r5by9569pra3zi7790kc";
+ version = "2.2.0.9";
+ sha256 = "16y2qw6xcfm2ayn376ayc48qd3wn3pbwqwb5r79ab3400dpcbj0x";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -54527,28 +54555,6 @@ self: {
}) {};
"bugsnag" = callPackage
- ({ mkDerivation, base, bugsnag-hs, bytestring, containers, Glob
- , hspec, http-client, http-client-tls, parsec, template-haskell
- , text, th-lift-instances, ua-parser, unliftio
- , unordered-containers
- }:
- mkDerivation {
- pname = "bugsnag";
- version = "1.0.0.1";
- sha256 = "1sbm85r2ia5k4rdbz8yqgd5x01b2l5kw0p4knj8mr8cr37fqzp8b";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- base bugsnag-hs bytestring containers Glob http-client
- http-client-tls parsec template-haskell text th-lift-instances
- ua-parser unordered-containers
- ];
- testHaskellDepends = [ base hspec unliftio ];
- description = "Bugsnag error reporter for Haskell";
- license = lib.licenses.mit;
- }) {};
-
- "bugsnag_1_1_0_0" = callPackage
({ mkDerivation, aeson, annotated-exception, base, bugsnag-hs
, bytestring, containers, Glob, hspec, http-client, http-client-tls
, parsec, template-haskell, text, th-lift-instances, ua-parser
@@ -54568,7 +54574,6 @@ self: {
testHaskellDepends = [ annotated-exception base hspec unliftio ];
description = "Bugsnag error reporter for Haskell";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"bugsnag-haskell" = callPackage
@@ -54734,7 +54739,9 @@ self: {
];
description = "Compute, fetch and install Cabal build plans into a local environment";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "build-env";
+ broken = true;
}) {};
"buildable" = callPackage
@@ -54955,6 +54962,32 @@ self: {
broken = true;
}) {};
+ "bureaucromancy" = callPackage
+ ({ mkDerivation, aeson, base, bytestring, containers, directory
+ , file-embed, file-embed-lzma, filepath, ginger, hourglass
+ , html-conduit, http-types, mtl, network-uri, regex-tdfa, text, tz
+ , wai, warp, xml-conduit
+ }:
+ mkDerivation {
+ pname = "bureaucromancy";
+ version = "0.1.0.2";
+ sha256 = "1ab56izmmr33gya76bngbxjjyr2f172bh5h0cjrs51km1kggs789";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ aeson base bytestring containers directory file-embed
+ file-embed-lzma filepath ginger hourglass mtl network-uri
+ regex-tdfa text tz xml-conduit
+ ];
+ executableHaskellDepends = [
+ base html-conduit http-types text wai warp
+ ];
+ testHaskellDepends = [ base ];
+ description = "Parse webforms & render to interactive hypertext";
+ license = lib.licenses.gpl3Only;
+ mainProgram = "bureaucromancy";
+ }) {};
+
"burnt-explorer" = callPackage
({ mkDerivation, aeson, base, bitcoin-script, bytestring, cassava
, process, scientific
@@ -54980,8 +55013,8 @@ self: {
}:
mkDerivation {
pname = "burrito";
- version = "2.0.1.7";
- sha256 = "1b7xijr8ry4q1k3ix2nzbrvaiwrk4gdzbl79kxl3d7s2zxgnngww";
+ version = "2.0.1.8";
+ sha256 = "0hr70nh6jqykm4shklgm58jv83xpna2x2k2d1lcyj1p3h5npsh5w";
libraryHaskellDepends = [
base bytestring containers parsec template-haskell text
transformers
@@ -55109,7 +55142,9 @@ self: {
description = "Draw sequence diagrams of D-Bus traffic";
license = lib.licenses.lgpl21Plus;
badPlatforms = lib.platforms.darwin;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "bustle";
+ broken = true;
}) {gio-unix = null; inherit (pkgs) libpcap;
system-glib = pkgs.glib;};
@@ -55266,8 +55301,6 @@ self: {
doHaddock = false;
description = "Efficient little-endian bit vector library";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- broken = true;
}) {};
"bv-sized" = callPackage
@@ -55279,6 +55312,8 @@ self: {
pname = "bv-sized";
version = "1.0.5";
sha256 = "1ydldi9q9rxggjh6mncg7mwggi0wpigld96nqqgw33ldcid8b7as";
+ revision = "1";
+ editedCabalFile = "17khx2nq5gp3b2sbrwgswvywf8sj7kas84qlppdsj2isr3w2v8gn";
libraryHaskellDepends = [
base bitwise bytestring deepseq panic parameterized-utils random
th-lift
@@ -55318,6 +55353,8 @@ self: {
testHaskellDepends = [ aeson base doctest tasty tasty-hunit ];
description = "Give aliases to record fields";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"byline" = callPackage
@@ -55357,13 +55394,29 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
+ "byte-containers" = callPackage
+ ({ mkDerivation, base, byteslice, primitive, quickcheck-classes
+ , run-st, tasty, tasty-quickcheck, wide-word
+ }:
+ mkDerivation {
+ pname = "byte-containers";
+ version = "0.1.0.1";
+ sha256 = "0j6yjkfl2yra85vy43bihz96wlaasrmz054fzz0lv6ahy56raw60";
+ libraryHaskellDepends = [ base primitive run-st wide-word ];
+ testHaskellDepends = [
+ base byteslice primitive quickcheck-classes tasty tasty-quickcheck
+ ];
+ description = "Sets and maps with 8-bit words for keys";
+ license = lib.licenses.bsd3;
+ }) {};
+
"byte-count-reader" = callPackage
({ mkDerivation, base, extra, hspec, parsec, parsec-numbers, text
}:
mkDerivation {
pname = "byte-count-reader";
- version = "0.10.1.10";
- sha256 = "0g9l8razsdy4y4s81p4w7djck6266y6p592a5vycg9z5521wayj4";
+ version = "0.10.1.11";
+ sha256 = "1mi0560rcajp9q83y6vsw7ld1n429jdsmswassnyhh1z4hs6ihv7";
libraryHaskellDepends = [ base extra parsec parsec-numbers text ];
testHaskellDepends = [
base extra hspec parsec parsec-numbers text
@@ -55389,6 +55442,21 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "byte-order_0_1_3_1" = callPackage
+ ({ mkDerivation, base, primitive, primitive-unaligned, wide-word }:
+ mkDerivation {
+ pname = "byte-order";
+ version = "0.1.3.1";
+ sha256 = "0yhz0rh3a3yq0c3ypjr3aj674qfjpcyngqy56rpijsqsim3ym67b";
+ libraryHaskellDepends = [
+ base primitive primitive-unaligned wide-word
+ ];
+ testHaskellDepends = [ base primitive wide-word ];
+ description = "Portable big-endian and little-endian conversions";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"byteable" = callPackage
({ mkDerivation, base, bytestring }:
mkDerivation {
@@ -55424,10 +55492,8 @@ self: {
}:
mkDerivation {
pname = "bytebuild";
- version = "0.3.14.0";
- sha256 = "0ql3fyd0l4gm3wbziky8r3bgd97kazpqbmiqwhrxvznf201zkhfy";
- revision = "1";
- editedCabalFile = "0pgm553m4abfq7bhrz4r0hymww32sqy4ln0j3v3ydb93x6l1fp1v";
+ version = "0.3.15.0";
+ sha256 = "1hdypq9jmsgg8ccvh9giz2ccazlrmnvjrbm773v206h0mbchi5ig";
libraryHaskellDepends = [
base byteslice bytestring haskell-src-meta integer-logarithms
natural-arithmetic primitive primitive-offset run-st
@@ -55446,6 +55512,35 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "bytebuild_0_3_16_2" = callPackage
+ ({ mkDerivation, base, byteslice, bytestring, gauge
+ , haskell-src-meta, integer-logarithms, natural-arithmetic
+ , primitive, primitive-offset, QuickCheck, quickcheck-instances
+ , run-st, tasty, tasty-hunit, tasty-quickcheck, template-haskell
+ , text, text-short, wide-word, zigzag
+ }:
+ mkDerivation {
+ pname = "bytebuild";
+ version = "0.3.16.2";
+ sha256 = "11wnsj71dd8p5ag7z8kb9pav8l86plg2jq6mrj5y6bk8ajw3zd9c";
+ libraryHaskellDepends = [
+ base byteslice bytestring haskell-src-meta integer-logarithms
+ natural-arithmetic primitive primitive-offset run-st
+ template-haskell text text-short wide-word zigzag
+ ];
+ testHaskellDepends = [
+ base byteslice bytestring natural-arithmetic primitive QuickCheck
+ quickcheck-instances tasty tasty-hunit tasty-quickcheck text
+ text-short wide-word
+ ];
+ benchmarkHaskellDepends = [
+ base byteslice gauge natural-arithmetic primitive text-short
+ ];
+ description = "Build byte arrays";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"bytedump" = callPackage
({ mkDerivation, base, bytestring }:
mkDerivation {
@@ -55461,35 +55556,6 @@ self: {
}) {};
"bytehash" = callPackage
- ({ mkDerivation, base, byte-order, byteslice, bytesmith, bytestring
- , entropy, gauge, hedgehog, primitive, primitive-checked
- , primitive-unlifted, split, tasty, tasty-hedgehog, tasty-hunit
- , transformers, unordered-containers
- }:
- mkDerivation {
- pname = "bytehash";
- version = "0.1.0.0";
- sha256 = "1jc8fz8rv7072is0srcp730ff37xkb68xl6s6yssba4anxc8s5nm";
- revision = "2";
- editedCabalFile = "0b840m5ykjgqzxd8sfzjgbs86qm87lzcf477xnl8zlmf11yhjyqg";
- libraryHaskellDepends = [
- base byte-order byteslice bytestring entropy primitive
- primitive-unlifted transformers
- ];
- testHaskellDepends = [
- base byteslice entropy hedgehog primitive-checked tasty
- tasty-hedgehog tasty-hunit
- ];
- benchmarkHaskellDepends = [
- base byteslice bytesmith bytestring entropy gauge primitive
- primitive-unlifted split unordered-containers
- ];
- description = "Universal hashing of bytes";
- license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- }) {};
-
- "bytehash_0_1_1_0" = callPackage
({ mkDerivation, base, byte-order, byteslice, bytesmith, bytestring
, entropy, gauge, hedgehog, primitive, primitive-unlifted, split
, tasty, tasty-hedgehog, tasty-hunit, transformers
@@ -55513,6 +55579,34 @@ self: {
];
description = "Universal hashing of bytes";
license = lib.licenses.bsd3;
+ }) {};
+
+ "bytehash_0_1_1_1" = callPackage
+ ({ mkDerivation, base, byte-order, byteslice, bytesmith, bytestring
+ , containers, entropy, gauge, hedgehog, primitive
+ , primitive-unlifted, split, tasty, tasty-hedgehog, tasty-hunit
+ , transformers, unordered-containers
+ }:
+ mkDerivation {
+ pname = "bytehash";
+ version = "0.1.1.1";
+ sha256 = "1b43d89b10fa9wx1z19vv1wsslvs25cqn7v2s2514fdghsqq89j9";
+ revision = "1";
+ editedCabalFile = "0hd1qz4i4kjbvpk800wnifjnq6l78qjf36gy9m24w8l6pvp9ap4r";
+ libraryHaskellDepends = [
+ base byte-order byteslice bytestring containers entropy primitive
+ primitive-unlifted transformers
+ ];
+ testHaskellDepends = [
+ base byteslice entropy hedgehog primitive tasty tasty-hedgehog
+ tasty-hunit
+ ];
+ benchmarkHaskellDepends = [
+ base byteslice bytesmith bytestring entropy gauge primitive
+ primitive-unlifted split unordered-containers
+ ];
+ description = "Universal hashing of bytes";
+ license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
}) {};
@@ -55522,8 +55616,8 @@ self: {
}:
mkDerivation {
pname = "bytelog";
- version = "0.1.1.0";
- sha256 = "06z3m5rimwswkiimgva896vqs7i92pdslgqaxk80iy1as04z218i";
+ version = "0.1.3.1";
+ sha256 = "0gylk7zm60i2cb7g5mhzs5hl66wgxlc7aqp66pn1ilxf3wzqd8sn";
libraryHaskellDepends = [
base bytebuild byteslice natural-arithmetic posix-api primitive
];
@@ -55602,6 +55696,39 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "bytes-metrics" = callPackage
+ ({ mkDerivation, base, byteslice, contiguous, gauge, primitive
+ , quickcheck-classes-base, random, tasty, tasty-hunit
+ , tasty-quickcheck
+ }:
+ mkDerivation {
+ pname = "bytes-metrics";
+ version = "0.1.0.0";
+ sha256 = "0w2qj1sxzgvp1yx80khs6dvk3kichsklic5zz8n349674kdwwyap";
+ libraryHaskellDepends = [ base byteslice contiguous primitive ];
+ testHaskellDepends = [
+ base byteslice primitive quickcheck-classes-base tasty tasty-hunit
+ tasty-quickcheck
+ ];
+ benchmarkHaskellDepends = [ base byteslice gauge random ];
+ description = "Calculate string metrics on Bytes efficiently";
+ license = lib.licenses.bsd3;
+ }) {};
+
+ "bytes-patterns" = callPackage
+ ({ mkDerivation, base, byteslice, tasty, tasty-hunit
+ , template-haskell
+ }:
+ mkDerivation {
+ pname = "bytes-patterns";
+ version = "0.1.0.0";
+ sha256 = "1qkkds6s74k64gc5pbx40j7r1bc8cg140zdyf9qz9zcwck5li7bq";
+ libraryHaskellDepends = [ base byteslice template-haskell ];
+ testHaskellDepends = [ base byteslice tasty tasty-hunit ];
+ description = "Template haskell macro for casing on Bytes";
+ license = lib.licenses.mit;
+ }) {};
+
"byteset" = callPackage
({ mkDerivation, base, binary }:
mkDerivation {
@@ -55621,8 +55748,8 @@ self: {
}:
mkDerivation {
pname = "byteslice";
- version = "0.2.12.0";
- sha256 = "1r6ad6ib1fk4bhld3vkzwm1z74px562h7dwsz5gl8582igi9z2mk";
+ version = "0.2.13.0";
+ sha256 = "1jp61krqfk5nqqbk2a4h8pz15hdm2kd8bvmpa29vvhx96qdp19cx";
libraryHaskellDepends = [
base bytestring natural-arithmetic primitive primitive-addr
primitive-unlifted run-st text text-short tuples vector
@@ -55636,20 +55763,42 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "byteslice_0_2_13_2" = callPackage
+ ({ mkDerivation, base, bytestring, gauge, natural-arithmetic
+ , primitive, primitive-addr, primitive-unlifted, quickcheck-classes
+ , run-st, tasty, tasty-hunit, tasty-quickcheck, text, text-short
+ , transformers, tuples, vector
+ }:
+ mkDerivation {
+ pname = "byteslice";
+ version = "0.2.13.2";
+ sha256 = "1z1zjhhnsrnr2qbldl6kk756cy5mim1pv02zy3p1vb9ahq7v7iv7";
+ libraryHaskellDepends = [
+ base bytestring natural-arithmetic primitive primitive-addr
+ primitive-unlifted run-st text text-short tuples vector
+ ];
+ testHaskellDepends = [
+ base bytestring primitive quickcheck-classes tasty tasty-hunit
+ tasty-quickcheck text transformers
+ ];
+ benchmarkHaskellDepends = [ base gauge ];
+ description = "Slicing managed and unmanaged memory";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"bytesmith" = callPackage
({ mkDerivation, base, byte-order, byteslice, bytestring
- , contiguous, gauge, primitive, run-st, tasty, tasty-hunit
- , tasty-quickcheck, text-short, wide-word
+ , contiguous, gauge, natural-arithmetic, primitive, run-st, tasty
+ , tasty-hunit, tasty-quickcheck, text-short, wide-word
}:
mkDerivation {
pname = "bytesmith";
- version = "0.3.10.0";
- sha256 = "0n0218mrnf6qy7h82apxgpdd868hdbmvn1cghif267xmd0qc3pcp";
- revision = "1";
- editedCabalFile = "1lw7ivh995mgpvra2s3klka9n3zc0j8w43mkgi068hlzcj69km0z";
+ version = "0.3.11.0";
+ sha256 = "0c9sgpdrcxsfqgk23sqsni36q12pqx33c1qdw74jh56bgnvx2svz";
libraryHaskellDepends = [
- base byteslice bytestring contiguous primitive run-st text-short
- wide-word
+ base byteslice bytestring contiguous natural-arithmetic primitive
+ run-st text-short wide-word
];
testHaskellDepends = [
base byte-order byteslice primitive tasty tasty-hunit
@@ -55816,6 +55965,8 @@ self: {
pname = "bytestring-encoding";
version = "0.1.2.0";
sha256 = "1j1gli2aa7kflirvnaqdwg212s85v4j2fak5qy79y8zsb3l62p44";
+ revision = "1";
+ editedCabalFile = "0lqw3nfbhzw1x5r98w0wyk77axhxzbli7931nm7dmk0k3h5p1lp1";
libraryHaskellDepends = [ base bytestring text ];
testHaskellDepends = [
base bytestring deepseq QuickCheck tasty tasty-hunit
@@ -55903,6 +56054,8 @@ self: {
libraryHaskellDepends = [ base bytestring unix ];
description = "mmap support for strict ByteStrings";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"bytestring-nums" = callPackage
@@ -56089,8 +56242,8 @@ self: {
}:
mkDerivation {
pname = "bytestring-tree-builder";
- version = "0.2.7.11";
- sha256 = "1kwj10nibw6bd0nkwg090nn27ayaq85x211ss2zvi63qs37cjmxp";
+ version = "0.2.7.12";
+ sha256 = "0dwj8ljmi0apjqj8lvk9wx1gisaaswdrihwp5nn5646ja09j7n4h";
libraryHaskellDepends = [ base bytestring text ];
testHaskellDepends = [
base-prelude bytestring quickcheck-instances tasty tasty-hunit
@@ -56181,6 +56334,29 @@ self: {
broken = true;
}) {};
+ "bytetrie" = callPackage
+ ({ mkDerivation, base, bifunctors, byte-containers, bytebuild
+ , byteslice, primitive, primitive-unlifted, quickcheck-classes
+ , tasty, tasty-hunit, tasty-quickcheck, unpacked-maybe
+ }:
+ mkDerivation {
+ pname = "bytetrie";
+ version = "0.1.0.1";
+ sha256 = "0b86h79fszzsdq47anqinv31y67mkdayzcydrpm07qsmvjl9kgws";
+ libraryHaskellDepends = [
+ base bifunctors byte-containers bytebuild byteslice primitive
+ unpacked-maybe
+ ];
+ testHaskellDepends = [
+ base byte-containers byteslice primitive primitive-unlifted
+ quickcheck-classes tasty tasty-hunit tasty-quickcheck
+ unpacked-maybe
+ ];
+ description = "Tries with Bytes as keys";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"byteunits" = callPackage
({ mkDerivation, base, Cabal, HUnit, QuickCheck, safe }:
mkDerivation {
@@ -56426,6 +56602,8 @@ self: {
pname = "c10k";
version = "0.5.0";
sha256 = "1i62ilk95p1vjyk7gl1fv7lwq6yk3ysfn3v1bbyfpabf97gzr0d9";
+ revision = "1";
+ editedCabalFile = "1hdkhwjm9dskq9lv08dj92i9navsy6dppk36ilr91ci5gxdphd0n";
libraryHaskellDepends = [ base network unix ];
description = "C10k server library using prefork";
license = lib.licenses.bsd3;
@@ -56439,6 +56617,8 @@ self: {
pname = "c14n";
version = "0.1.0.3";
sha256 = "1az81fzblbp2c811grz4l318p99w1xd1kn0cirf9hfgbgdbrfkx8";
+ revision = "1";
+ editedCabalFile = "0j7jrm2v8pjwwrd10r56ras4r5n1viy9qccv2hs9jir44p42z8m8";
libraryHaskellDepends = [ base bytestring ];
librarySystemDepends = [ libxml2 ];
libraryPkgconfigDepends = [ libxml2 ];
@@ -56875,6 +57055,37 @@ self: {
broken = true;
}) {};
+ "cabal-debian_5_2_3" = callPackage
+ ({ mkDerivation, ansi-wl-pprint, base, bifunctors, Cabal
+ , containers, data-default, debian, deepseq, Diff, directory
+ , exceptions, filepath, hsemail, HUnit, lens, mtl, network-uri
+ , optparse-applicative, parsec, pretty, process, pureMD5
+ , regex-tdfa, syb, text, unix, unliftio, utf8-string
+ }:
+ mkDerivation {
+ pname = "cabal-debian";
+ version = "5.2.3";
+ sha256 = "0gj6w5r07bmij41flx7rw4ad0qjl2fmbxp9jrdkl8k33b045lfc7";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ ansi-wl-pprint base bifunctors Cabal containers data-default debian
+ deepseq Diff directory exceptions filepath hsemail HUnit lens mtl
+ network-uri optparse-applicative parsec pretty process pureMD5
+ regex-tdfa syb text unix unliftio utf8-string
+ ];
+ executableHaskellDepends = [ base Cabal debian lens mtl pretty ];
+ testHaskellDepends = [
+ base Cabal containers debian Diff directory filepath hsemail HUnit
+ lens pretty process text
+ ];
+ description = "Create a Debianization for a Cabal package";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ mainProgram = "cabal-debian";
+ broken = true;
+ }) {};
+
"cabal-dependency-licenses" = callPackage
({ mkDerivation, base, Cabal, containers, directory, filepath }:
mkDerivation {
@@ -56902,6 +57113,8 @@ self: {
libraryHaskellDepends = [ base Cabal QuickCheck ];
description = "QuickCheck for Cabal tests";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"cabal-dev" = callPackage
@@ -57022,29 +57235,53 @@ self: {
broken = true;
}) {};
+ "cabal-fix" = callPackage
+ ({ mkDerivation, algebraic-graphs, base, bytestring, Cabal-syntax
+ , containers, directory, dotparse, filepath, flatparse
+ , optics-extra, optparse-applicative, pretty, pretty-simple
+ , string-interpolate, tar, text, these, tree-diff, vector
+ }:
+ mkDerivation {
+ pname = "cabal-fix";
+ version = "0.0.0.2";
+ sha256 = "050003nvqc0x44jik7x5gsljqsrandpsl77xkqbhrh3xglw8cydb";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ algebraic-graphs base bytestring Cabal-syntax containers directory
+ dotparse flatparse optics-extra pretty pretty-simple
+ string-interpolate tar these tree-diff vector
+ ];
+ executableHaskellDepends = [
+ base bytestring directory filepath optparse-applicative
+ pretty-simple text tree-diff
+ ];
+ description = "Fix for cabal files";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ mainProgram = "cabal-fix";
+ }) {};
+
"cabal-flatpak" = callPackage
({ mkDerivation, aeson, aeson-pretty, base, bytestring, cabal-plan
, containers, cryptohash-sha256, http-client, http-client-tls
, http-types, optparse-applicative, pathtype, shell-utility, tar
- , text, utility-ht, zlib
+ , text, utility-ht, yaml, zlib
}:
mkDerivation {
pname = "cabal-flatpak";
- version = "0.1.0.4";
- sha256 = "0whdqki7jm7b2km9b8rc8gdi2ciw2ajkxsay3lspky519xzhmy59";
- revision = "1";
- editedCabalFile = "0p7n2ylb2vlyg4vl4qdksiqasq76mc66pxl6vka9m20hdvfkr76v";
+ version = "0.1.1";
+ sha256 = "0p54np4q1xfqja0vxpin61pr6imligscxwpqk0fjz38idqa2ys56";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
aeson aeson-pretty base bytestring cabal-plan containers
cryptohash-sha256 http-client http-client-tls http-types
optparse-applicative pathtype shell-utility tar text utility-ht
- zlib
+ yaml zlib
];
description = "Generate a FlatPak manifest from a Cabal package description";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
mainProgram = "cabal-flatpak";
maintainers = [ lib.maintainers.thielema ];
}) {};
@@ -57057,8 +57294,8 @@ self: {
}:
mkDerivation {
pname = "cabal-fmt";
- version = "0.1.9";
- sha256 = "00bcyx7i7h04hn2s8j7p4fsa64l48zizsdmmzqrhfiafwapmfg4n";
+ version = "0.1.10";
+ sha256 = "16h90yjchld3fqxwgm2qc7a9jnrrvadn3vrsbgcx8vjrccb7dg5m";
isLibrary = false;
isExecutable = true;
libraryHaskellDepends = [
@@ -57112,6 +57349,33 @@ self: {
broken = true;
}) {};
+ "cabal-gild" = callPackage
+ ({ mkDerivation, base, bytestring, Cabal-syntax, containers
+ , directory, exceptions, filepath, mtl, parsec, pretty, QuickCheck
+ , tasty, tasty-hunit, tasty-quickcheck
+ }:
+ mkDerivation {
+ pname = "cabal-gild";
+ version = "0.2.1.1";
+ sha256 = "1qrcbipn9c6rwqywhr19blk4dlr1skl92kkqasy6v1qgsm43i03l";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ base bytestring Cabal-syntax containers directory exceptions
+ filepath mtl parsec pretty
+ ];
+ executableHaskellDepends = [ base ];
+ testHaskellDepends = [
+ base bytestring Cabal-syntax containers filepath QuickCheck tasty
+ tasty-hunit tasty-quickcheck
+ ];
+ description = "Format .cabal files";
+ license = "GPL-3.0-or-later AND BSD-3-Clause";
+ hydraPlatforms = lib.platforms.none;
+ mainProgram = "cabal-gild";
+ broken = true;
+ }) {};
+
"cabal-graphdeps" = callPackage
({ mkDerivation, base, containers, directory, options, parsec
, process, split, temporary
@@ -57161,6 +57425,7 @@ self: {
description = "Give Haskell development tools access to Cabal project environment";
license = lib.licenses.asl20;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"cabal-hoogle" = callPackage
@@ -57360,28 +57625,6 @@ self: {
}) {};
"cabal-install-solver" = callPackage
- ({ mkDerivation, array, base, bytestring, Cabal, Cabal-syntax
- , containers, edit-distance, filepath, mtl, pretty, tasty
- , tasty-hunit, tasty-quickcheck, transformers
- }:
- mkDerivation {
- pname = "cabal-install-solver";
- version = "3.8.1.0";
- sha256 = "1rzzi3jx5ivxy43vdg460fsbn1p2v5br1havcara65vmqgv6j8yz";
- revision = "1";
- editedCabalFile = "1g487j20pj03pc10yaha18v73wh3ackxjgfpfqaj7xznqcbm5xwm";
- libraryHaskellDepends = [
- array base bytestring Cabal Cabal-syntax containers edit-distance
- filepath mtl pretty transformers
- ];
- testHaskellDepends = [
- base Cabal Cabal-syntax tasty tasty-hunit tasty-quickcheck
- ];
- description = "The command-line interface for Cabal and Hackage";
- license = lib.licenses.bsd3;
- }) {};
-
- "cabal-install-solver_3_10_2_1" = callPackage
({ mkDerivation, array, base, bytestring, Cabal, Cabal-syntax
, containers, edit-distance, filepath, mtl, pretty, tasty
, tasty-hunit, tasty-quickcheck, transformers
@@ -57399,7 +57642,6 @@ self: {
];
description = "The command-line interface for Cabal and Hackage";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"cabal-lenses" = callPackage
@@ -57550,9 +57792,7 @@ self: {
];
description = "Library and utility for processing cabal's plan.json file";
license = lib.licenses.gpl2Plus;
- hydraPlatforms = lib.platforms.none;
mainProgram = "cabal-plan";
- broken = true;
}) {};
"cabal-plan-bounds" = callPackage
@@ -57571,7 +57811,6 @@ self: {
];
description = "Derives cabal bounds from build plans";
license = lib.licenses.bsd2;
- hydraPlatforms = lib.platforms.none;
mainProgram = "cabal-plan-bounds";
maintainers = [ lib.maintainers.nomeata ];
}) {};
@@ -57814,7 +58053,9 @@ self: {
executableHaskellDepends = [ base directory filepath mtl process ];
description = "Uninstall cabal packages";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "cabal-uninstall";
+ broken = true;
}) {};
"cabal-upload" = callPackage
@@ -58304,39 +58545,43 @@ self: {
}) {};
"cachix" = callPackage
- ({ mkDerivation, aeson, ascii-progress, async, base
- , base64-bytestring, bytestring, cachix-api, concurrent-extra
- , conduit, conduit-concurrent-map, conduit-extra, conduit-zstd
- , containers, cryptonite, deepseq, dhall, directory, ed25519
- , either, extra, filepath, fsnotify, hercules-ci-cnix-store, here
- , hnix-store-core, hspec, hspec-discover, http-client
- , http-client-tls, http-conduit, http-types, immortal, katip, lukko
- , lzma-conduit, megaparsec, memory, netrc, network, nix
- , optparse-applicative, pretty-terminal, prettyprinter, process
- , protolude, resourcet, retry, safe-exceptions, servant
- , servant-auth, servant-auth-client, servant-client
- , servant-client-core, servant-conduit, stm, stm-chans, stm-conduit
- , systemd, temporary, text, time, unix, unordered-containers
+ ({ mkDerivation, aeson, amazonka, amazonka-core, amazonka-s3
+ , ascii-progress, async, attoparsec, base, base64-bytestring
+ , bytestring, cachix-api, concurrent-extra, conduit
+ , conduit-concurrent-map, conduit-extra, conduit-zstd, containers
+ , crypton, deepseq, dhall, directory, ed25519, either, exceptions
+ , extra, filepath, fsnotify, generic-lens, hercules-ci-cnix-store
+ , here, hnix-store-core, hspec, hspec-discover, http-client
+ , http-client-tls, http-conduit, http-types, immortal, inline-c-cpp
+ , katip, lukko, lzma-conduit, megaparsec, memory, microlens, netrc
+ , network, nix, nix-narinfo, optparse-applicative, pretty-terminal
+ , prettyprinter, process, protolude, resourcet, retry
+ , safe-exceptions, servant, servant-auth, servant-auth-client
+ , servant-client, servant-client-core, servant-conduit, stm
+ , stm-chans, stm-conduit, systemd, temporary, text, time
+ , transformers, unix, unliftio, unliftio-core, unordered-containers
, uri-bytestring, uuid, vector, versions, websockets, wuss
}:
mkDerivation {
pname = "cachix";
- version = "1.6.1";
- sha256 = "1xha1m9cik1sm877mk2vk96z4qc3570663cw1b4dw51v1qn57pbr";
+ version = "1.7";
+ sha256 = "1shjam831qqyd6fvf7idi3z480ay103dc08dpclard4rp4hvfw2z";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
- aeson ascii-progress async base base64-bytestring bytestring
- cachix-api concurrent-extra conduit conduit-concurrent-map
- conduit-extra conduit-zstd containers cryptonite deepseq dhall
- directory ed25519 either extra filepath fsnotify
+ aeson amazonka amazonka-core amazonka-s3 ascii-progress async
+ attoparsec base base64-bytestring bytestring cachix-api
+ concurrent-extra conduit conduit-concurrent-map conduit-extra
+ conduit-zstd containers crypton deepseq dhall directory ed25519
+ either exceptions extra filepath fsnotify generic-lens
hercules-ci-cnix-store here hnix-store-core http-client
- http-client-tls http-conduit http-types immortal katip lukko
- lzma-conduit megaparsec memory netrc network optparse-applicative
- pretty-terminal prettyprinter process protolude resourcet retry
- safe-exceptions servant servant-auth servant-auth-client
- servant-client servant-conduit stm stm-chans stm-conduit systemd
- temporary text time unix unordered-containers uri-bytestring uuid
+ http-client-tls http-conduit http-types immortal inline-c-cpp katip
+ lukko lzma-conduit megaparsec memory microlens netrc network
+ nix-narinfo optparse-applicative pretty-terminal prettyprinter
+ process protolude resourcet retry safe-exceptions servant
+ servant-auth servant-auth-client servant-client servant-conduit stm
+ stm-chans stm-conduit systemd temporary text time transformers unix
+ unliftio unliftio-core unordered-containers uri-bytestring uuid
vector versions websockets wuss
];
libraryPkgconfigDepends = [ nix ];
@@ -58345,8 +58590,9 @@ self: {
stm-chans stm-conduit time uuid websockets
];
testHaskellDepends = [
- aeson base bytestring cachix-api dhall directory extra here hspec
- protolude servant-auth-client servant-client-core temporary
+ aeson base bytestring cachix-api containers dhall directory extra
+ here hspec protolude retry servant-auth-client servant-client-core
+ temporary time
];
testToolDepends = [ hspec-discover ];
description = "Command-line client for Nix binary cache hosting https://cachix.org";
@@ -58363,8 +58609,8 @@ self: {
}:
mkDerivation {
pname = "cachix-api";
- version = "1.6.1";
- sha256 = "07axz6yj1058807zwd6l6nqnklxia2rph7af5cghrxpvnsnk4vcc";
+ version = "1.7";
+ sha256 = "1xv784bylzlzs345y3xx02bf2d0yp3q0fh92rk59q4wg4z8zb733";
libraryHaskellDepends = [
aeson async base bytestring conduit cryptonite deriving-aeson
exceptions http-media jose memory nix-narinfo protolude resourcet
@@ -58421,6 +58667,8 @@ self: {
];
description = "That rabbit's got a vicious streak a mile wide!";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"caf" = callPackage
@@ -58474,6 +58722,8 @@ self: {
pname = "cairo";
version = "0.13.10.0";
sha256 = "16dwjqg8gqk2dzp3qpcvhwdgn16rxxasll8qs2fl2gf84z41h0mf";
+ revision = "2";
+ editedCabalFile = "1irj5yrz74j6wypni9pk9mbd7v2mzcy3ndyl7irada8szgdl3kkv";
enableSeparateDataOutput = true;
setupHaskellDepends = [ base Cabal gtk2hs-buildtools ];
libraryHaskellDepends = [
@@ -58510,6 +58760,8 @@ self: {
libraryHaskellDepends = [ base cairo linear mtl random time ];
description = "Simpler drawing API for Cairo";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"cairo-core" = callPackage
@@ -58539,8 +58791,8 @@ self: {
}:
mkDerivation {
pname = "cairo-image";
- version = "0.1.0.2";
- sha256 = "1wslqg3gg4iiw8fjrk6nf6kfskjis8n2cxd5ksp2nw487ydijfim";
+ version = "0.1.0.3";
+ sha256 = "0yppvcnsd78cdls67lmz9bbxiqxhl9hxl2n742gls5q1bmi93np2";
libraryHaskellDepends = [ base c-enum primitive template-haskell ];
libraryPkgconfigDepends = [ cairo ];
testHaskellDepends = [ base c-enum primitive template-haskell ];
@@ -58726,6 +58978,7 @@ self: {
];
description = "A library for declaring, parsing, and invoking text-input based commands";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"calc" = callPackage
@@ -58876,10 +59129,8 @@ self: {
}:
mkDerivation {
pname = "call-alloy";
- version = "0.4.0.3";
- sha256 = "0aabh7a43cyprwywv8622q860ys2i7mlasigbxaklyj558xma59f";
- revision = "2";
- editedCabalFile = "0hwlxg2nmi3wq316wb20f0kv077v9lrjb7705acnnl2a099jx7j5";
+ version = "0.4.1.1";
+ sha256 = "0ykq7vp9qm538q61crl3hbzd3kjia8q5alf5db62zpv80ffsj1pg";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
async base bytestring containers directory extra filepath mtl
@@ -59094,8 +59345,8 @@ self: {
}:
mkDerivation {
pname = "candid";
- version = "0.5";
- sha256 = "1va9n08m6y9avbjxgclhasmnbf41bqx0j1s4j12rsblhci5cxqpp";
+ version = "0.5.0.1";
+ sha256 = "1jml5q7ka7jcp9cy8s8zd126lk06c7g5qbgi58s70jypkilh552w";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -59355,8 +59606,8 @@ self: {
pname = "capability";
version = "0.5.0.1";
sha256 = "0sksd42ywaq5av7a1h9y66pclsk1fd9qx46q38kgs3av88zhzqci";
- revision = "2";
- editedCabalFile = "1kap52pv98sgr2mqxcd66wgxxyjp94p8w1b7b3gqwvk9jcanfwxl";
+ revision = "3";
+ editedCabalFile = "1gh147z0rmxan40wglls6dkm9p0y44wb4h6124g3afkcgpn5vnp0";
libraryHaskellDepends = [
base constraints dlist exceptions generic-lens lens monad-control
mtl mutable-containers primitive reflection safe-exceptions
@@ -59571,8 +59822,8 @@ self: {
}:
mkDerivation {
pname = "car-pool";
- version = "0.0.1.1";
- sha256 = "0xbaaynw0bi18lbdxa0n0ypd8qkn6x4y7i7vsan2zawwbkn264sg";
+ version = "0.0.1.2";
+ sha256 = "0yljcxazwbls2k4k19d47x8672v8sa85m9cpmmb1n1sz6zbq9hz0";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
@@ -60132,16 +60383,15 @@ self: {
}) {};
"cases" = callPackage
- ({ mkDerivation, attoparsec, base, gauge, HTF, HUnit, mwc-random
- , QuickCheck, rerebase, text
+ ({ mkDerivation, attoparsec, base, criterion, hspec, rerebase, text
}:
mkDerivation {
pname = "cases";
- version = "0.1.4.2";
- sha256 = "0sla22xr1b8b1655l2rzhy31lxirahypgri0bphd9l6jy874mr40";
+ version = "0.1.4.3";
+ sha256 = "0fsaprw6q708hpgr8v5kvksa1f0wgjfwckpgds6qxzpaikh73gk4";
libraryHaskellDepends = [ attoparsec base text ];
- testHaskellDepends = [ HTF HUnit QuickCheck rerebase ];
- benchmarkHaskellDepends = [ gauge mwc-random rerebase ];
+ testHaskellDepends = [ hspec rerebase ];
+ benchmarkHaskellDepends = [ criterion rerebase ];
description = "A converter for spinal, snake and camel cases";
license = lib.licenses.mit;
}) {};
@@ -60419,8 +60669,8 @@ self: {
}:
mkDerivation {
pname = "cassava-conduit";
- version = "0.6.5";
- sha256 = "0qhyqv0p42p1pkmfrv8sl2mcmmqahrg15yp40ymawp0j997z54v3";
+ version = "0.6.6";
+ sha256 = "1kx90j4rikh9wfvhliixfh4gbvckhb4ka77d891p8ibdlls9xwwh";
libraryHaskellDepends = [
array base bifunctors bytestring cassava conduit containers mtl
text
@@ -60629,8 +60879,8 @@ self: {
({ mkDerivation, base, byteslice, bytestring, primitive, text }:
mkDerivation {
pname = "castagnoli";
- version = "0.2.0.1";
- sha256 = "02kpplvfgiq7qlsd5xq9a5bnvjza3n8zalaakz2y9wxilajsnfp4";
+ version = "0.2.0.2";
+ sha256 = "1cgl3f1rfwn04ib4b3ns2m0kg3r719mws1880wzlwlkxy07r11wx";
libraryHaskellDepends = [ base byteslice primitive ];
testHaskellDepends = [ base bytestring primitive text ];
description = "Portable CRC-32C";
@@ -60975,8 +61225,8 @@ self: {
}:
mkDerivation {
pname = "cayley-client";
- version = "0.4.19.2";
- sha256 = "0p8s4r4wgscqll2jd1k3plfq8v89jf344vq5bz5fg9r66kbryjxs";
+ version = "0.4.19.3";
+ sha256 = "1qdjd20axridvb55x3j7bmqmfj4qkbpvz917lb10rxm2fzkyiyvn";
libraryHaskellDepends = [
aeson attoparsec base binary bytestring exceptions http-client
http-conduit lens lens-aeson mtl text transformers
@@ -61838,8 +62088,8 @@ self: {
pname = "cgi";
version = "3001.5.0.1";
sha256 = "044gfqfdw5xdr6mzp5i3956a5fcj15j32zwzzd6ym15nxgrvjqh3";
- revision = "1";
- editedCabalFile = "0bl9lnqsm93hic23mdqfd9gykrk9fr3bbfm88qgyj07ycm6jbdaf";
+ revision = "2";
+ editedCabalFile = "1vx49bxgiip1mb8lh5qcvdb9qww474x44a8x5kj087a3npad0xxw";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -62270,8 +62520,8 @@ self: {
({ mkDerivation, base, bytestring }:
mkDerivation {
pname = "charsetdetect";
- version = "1.1.0.2";
- sha256 = "1rnm36lvh1g4i9pz87jqqznn8d9pmxlin549ch0nacpi0bn3k0sy";
+ version = "1.1.0.3";
+ sha256 = "1455sw5wl04s3jiqg5rzc80rgs5dqj7clyv93scsqfz4m8wzwwp0";
libraryHaskellDepends = [ base bytestring ];
description = "Character set detection using Mozilla's Universal Character Set Detector";
license = "LGPL";
@@ -62343,6 +62593,26 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
+ "chart-svg_0_6_0_0" = callPackage
+ ({ mkDerivation, adjunctions, attoparsec, base, bytestring, Color
+ , containers, cubicbezier, flatparse, foldl, formatn, markup-parse
+ , mtl, numhask, numhask-array, numhask-space, optics-core, random
+ , string-interpolate, text, time
+ }:
+ mkDerivation {
+ pname = "chart-svg";
+ version = "0.6.0.0";
+ sha256 = "0map3ja2x1mzyqgv0d19wh8s2b4dp0k9pddk9nlp2w9bjcjialxf";
+ libraryHaskellDepends = [
+ adjunctions attoparsec base bytestring Color containers cubicbezier
+ flatparse foldl formatn markup-parse mtl numhask numhask-array
+ numhask-space optics-core random string-interpolate text time
+ ];
+ description = "Charting library targetting SVGs";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"chart-svg-various" = callPackage
({ mkDerivation, base, box, box-csv, box-socket, chart-svg
, concurrency, doctest, foldl, lens, lucid, mealy, numhask
@@ -62515,6 +62785,7 @@ self: {
];
description = "Some monad transformers and typeclasses for text in- and output abstraction";
license = lib.licenses.agpl3Only;
+ hydraPlatforms = lib.platforms.none;
}) {};
"chatty-text" = callPackage
@@ -62541,6 +62812,8 @@ self: {
libraryHaskellDepends = [ base mtl text transformers ];
description = "Some utilities every serious chatty-based application may need";
license = lib.licenses.agpl3Only;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"chatwork" = callPackage
@@ -62974,8 +63247,8 @@ self: {
}:
mkDerivation {
pname = "chiasma";
- version = "0.10.0.0";
- sha256 = "116780x6a6avs2w62r32319w6fqhn2iwvxbfrl83h01iskr57x53";
+ version = "0.10.1.0";
+ sha256 = "09nsi5lksmbwqxy76h122kzp23632gvfbngs1vilmqhcf6zrbbg5";
libraryHaskellDepends = [
attoparsec base bytestring composition containers exon extra
first-class-families lens parsec parsers path polysemy
@@ -62997,8 +63270,8 @@ self: {
}:
mkDerivation {
pname = "chiasma-test";
- version = "0.10.0.0";
- sha256 = "0244xxn0j53v0jcvj9d11wfrxjwjpr9247nbgqj8ipz5v56amih3";
+ version = "0.10.1.0";
+ sha256 = "0gyr4im2f8x335q3svvyr7lrf5z6ypln764spz986qf5ibg4arrb";
libraryHaskellDepends = [
base bytestring chiasma chronos exon hedgehog path path-io polysemy
polysemy-chronos polysemy-conc polysemy-log polysemy-plugin
@@ -63009,7 +63282,7 @@ self: {
base chiasma hedgehog lens path-io polysemy polysemy-chronos
polysemy-plugin polysemy-test prelate tasty tasty-hedgehog
];
- description = "A tmux client for Polysemy";
+ description = "Testing tools for chiasma";
license = "BSD-2-Clause-Patent";
hydraPlatforms = lib.platforms.none;
}) {};
@@ -63037,6 +63310,32 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "chimera_0_4_0_0" = callPackage
+ ({ mkDerivation, adjunctions, base, distributive, infinite-list
+ , mtl, primitive, QuickCheck, random, tasty, tasty-bench
+ , tasty-hunit, tasty-quickcheck, tasty-smallcheck, transformers
+ , vector
+ }:
+ mkDerivation {
+ pname = "chimera";
+ version = "0.4.0.0";
+ sha256 = "0fwwirx911421d48p0hsd8r2hhik1hsf8z7gqysd0cr2riz4b6q7";
+ libraryHaskellDepends = [
+ adjunctions base distributive infinite-list mtl primitive
+ transformers vector
+ ];
+ testHaskellDepends = [
+ base QuickCheck tasty tasty-hunit tasty-quickcheck tasty-smallcheck
+ vector
+ ];
+ benchmarkHaskellDepends = [
+ base mtl random tasty tasty-bench vector
+ ];
+ description = "Lazy infinite streams with O(1) indexing and applications for memoization";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"chiphunk" = callPackage
({ mkDerivation, base, c2hs, hashable, safe-exceptions, StateVar
, vector-space
@@ -63413,6 +63712,36 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "chronos_1_1_6_0" = callPackage
+ ({ mkDerivation, aeson, attoparsec, base, bytebuild, byteslice
+ , bytesmith, bytestring, criterion, deepseq, hashable, HUnit
+ , natural-arithmetic, old-locale, primitive, QuickCheck, semigroups
+ , test-framework, test-framework-hunit, test-framework-quickcheck2
+ , text, text-short, thyme, time, torsor, vector
+ }:
+ mkDerivation {
+ pname = "chronos";
+ version = "1.1.6.0";
+ sha256 = "1pd97xlkgyv8q9ig80qh6kdsmxpnlccs1rrnkpj5mwvfffdsf61s";
+ libraryHaskellDepends = [
+ aeson attoparsec base bytebuild byteslice bytesmith bytestring
+ deepseq hashable natural-arithmetic primitive semigroups text
+ text-short torsor vector
+ ];
+ testHaskellDepends = [
+ aeson attoparsec base bytestring deepseq HUnit QuickCheck
+ test-framework test-framework-hunit test-framework-quickcheck2 text
+ torsor
+ ];
+ benchmarkHaskellDepends = [
+ attoparsec base bytestring criterion deepseq old-locale QuickCheck
+ text text-short thyme time vector
+ ];
+ description = "A high-performance time library";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"chronos-bench" = callPackage
({ mkDerivation, ansi-terminal, base, bytestring, chronos
, containers, deepseq, optparse-applicative, process, terminal-size
@@ -63682,25 +64011,26 @@ self: {
"cimple" = callPackage
({ mkDerivation, aeson, alex, ansi-wl-pprint, array, base
- , bytestring, containers, data-fix, filepath, groom, happy, hspec
- , hspec-discover, monad-parallel, mtl, recursion-schemes, split
- , text, transformers-compat
+ , bytestring, containers, data-fix, extra, file-embed, filepath
+ , groom, happy, hspec, hspec-discover, monad-parallel, mtl
+ , QuickCheck, split, text, transformers-compat
}:
mkDerivation {
pname = "cimple";
- version = "0.0.17";
- sha256 = "0brzkxa09b5ammfm6qlkxffmhlgxfnf115lzdx3xvbq5ciar902x";
+ version = "0.0.20";
+ sha256 = "0p3z5ipg9j8qlajxc4rq0jnnc1qawlh0dpd0n9bjhn801d9x2qai";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
aeson ansi-wl-pprint array base bytestring containers data-fix
- filepath monad-parallel mtl recursion-schemes split text
+ file-embed filepath monad-parallel mtl split text
transformers-compat
];
libraryToolDepends = [ alex happy ];
executableHaskellDepends = [ base bytestring groom text ];
testHaskellDepends = [
- ansi-wl-pprint base data-fix hspec text transformers-compat
+ ansi-wl-pprint base data-fix extra hspec QuickCheck text
+ transformers-compat
];
testToolDepends = [ hspec-discover ];
description = "Simple C-like programming language";
@@ -63948,6 +64278,7 @@ self: {
description = "Circle API client for Haskell";
license = lib.licenses.mit;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"circle-packing" = callPackage
@@ -65035,6 +65366,21 @@ self: {
maintainers = [ lib.maintainers.maralorn ];
}) {};
+ "clay_0_15_0" = callPackage
+ ({ mkDerivation, base, hspec, hspec-discover, mtl, text }:
+ mkDerivation {
+ pname = "clay";
+ version = "0.15.0";
+ sha256 = "1gp7x76cw15jmd3ahqf1q0bc2p6ix182x6s2pzyh8yr4b29b9r3v";
+ libraryHaskellDepends = [ base mtl text ];
+ testHaskellDepends = [ base hspec hspec-discover mtl text ];
+ testToolDepends = [ hspec-discover ];
+ description = "CSS preprocessor as embedded Haskell";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ maintainers = [ lib.maintainers.maralorn ];
+ }) {};
+
"clckwrks" = callPackage
({ mkDerivation, acid-state, aeson, aeson-qq, attoparsec, base
, blaze-html, bytestring, cereal, containers, directory, filepath
@@ -65343,6 +65689,7 @@ self: {
];
description = "Keep your home dir clean by finding old conf files";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "clean-home";
}) {};
@@ -65368,8 +65715,8 @@ self: {
pname = "cleff";
version = "0.3.3.0";
sha256 = "0fnpk28nhafypp7p1ay1760sin9hh06dz23r68gmm93i879ayl9b";
- revision = "4";
- editedCabalFile = "07js52a0fjrbd4w653ix0l5xyxjnm46nj7ca5qvacjz0f1sfkgfj";
+ revision = "5";
+ editedCabalFile = "1v33096f5ddn6d3i9x10llj9w9dfp9qfgr2wcjvma02qbpms7x04";
libraryHaskellDepends = [
atomic-primops base containers exceptions microlens monad-control
primitive template-haskell th-abstraction transformers-base
@@ -65423,6 +65770,8 @@ self: {
testToolDepends = [ lima ];
description = "Declaratively describe spreadsheets";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"cless" = callPackage
@@ -65800,8 +66149,8 @@ self: {
}:
mkDerivation {
pname = "climb";
- version = "0.4.1";
- sha256 = "1h3a3ghynd1k08jd8fb02rsbf9a1y8j9gz822mrc23p11zh4qcp3";
+ version = "0.5.0";
+ sha256 = "0bb2pnh3wb78gym5jyzy9dsw6cvqcmlmy79gbvwyalrx237krvl8";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -66359,7 +66708,9 @@ self: {
executableHaskellDepends = [ base curl mtl random tagsoup ];
description = "Command line Twitter utility";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "cltw";
+ broken = true;
}) {};
"clua" = callPackage
@@ -66508,6 +66859,8 @@ self: {
pname = "cmark";
version = "0.6.1";
sha256 = "0ajwb2azv57q4240f76h9xqivkfi16vhi4g2sr4nasr4rmkns789";
+ revision = "1";
+ editedCabalFile = "11c07f13fwn2cdcrary8bs1ym2hjqkm58l9pcdq8avi8dpayb52r";
libraryHaskellDepends = [ base bytestring text ];
testHaskellDepends = [ base HUnit text ];
description = "Fast, accurate CommonMark (Markdown) parser and renderer";
@@ -67004,6 +67357,7 @@ self: {
executableHaskellDepends = [ base co-log-core polysemy ];
description = "Composable Contravariant Comonadic Logging Library";
license = lib.licenses.mpl20;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "play-colog-poly";
}) {};
@@ -67056,20 +67410,20 @@ self: {
}) {};
"coalpit" = callPackage
- ({ mkDerivation, base, generic-random, megaparsec, network-uri
- , scientific, tasty, tasty-quickcheck, tasty-travis, time
+ ({ mkDerivation, base, generic-random, network-uri, parsec
+ , scientific, tasty, tasty-quickcheck, time
}:
mkDerivation {
pname = "coalpit";
- version = "0.1.1.1";
- sha256 = "0fv5xr71yldqwsm5n69akdc7jm1v9xhskjq5sjq7y72ghblcs6jl";
+ version = "0.2.0.0";
+ sha256 = "18jkw7adlvr8gry71mhz4ykk5qscarws0rm682104r950mxanby2";
libraryHaskellDepends = [
- base megaparsec network-uri scientific time
+ base network-uri parsec scientific time
];
testHaskellDepends = [
- base generic-random tasty tasty-quickcheck tasty-travis
+ base generic-random tasty tasty-quickcheck
];
- description = "Command-line options and DSV parsing and printing";
+ description = "DSV (de)serialization";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
broken = true;
@@ -67172,8 +67526,8 @@ self: {
}:
mkDerivation {
pname = "code-conjure";
- version = "0.5.6";
- sha256 = "1spkh1ahjjxv46dw799kb9ax1mhp1lqg73dw5gv66snillqbz2a7";
+ version = "0.5.8";
+ sha256 = "00gc0fi6aavga32f1mzsr77sb7c9p3gchimz6mrj6fns0bm04ngc";
libraryHaskellDepends = [
base express leancheck speculate template-haskell
];
@@ -67182,6 +67536,23 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "code-conjure_0_5_10" = callPackage
+ ({ mkDerivation, base, express, leancheck, speculate
+ , template-haskell
+ }:
+ mkDerivation {
+ pname = "code-conjure";
+ version = "0.5.10";
+ sha256 = "0313b06lmymfhn76nra8msrhxqrvw7hzxsibdsb2vndf9pvah2cf";
+ libraryHaskellDepends = [
+ base express leancheck speculate template-haskell
+ ];
+ testHaskellDepends = [ base express leancheck speculate ];
+ description = "synthesize Haskell functions out of partial definitions";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"code-page" = callPackage
({ mkDerivation, base }:
mkDerivation {
@@ -67408,6 +67779,8 @@ self: {
];
description = "Graphics library for CodeWorld";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"codex" = callPackage
@@ -67729,19 +68102,19 @@ self: {
}) {};
"coinor-clp" = callPackage
- ({ mkDerivation, base, clp, comfort-array, deepseq
+ ({ mkDerivation, base, clp, coinutils, comfort-array, deepseq
, doctest-exitcode-stdio, doctest-lib, linear-programming
, non-empty, QuickCheck, random, transformers, utility-ht
}:
mkDerivation {
pname = "coinor-clp";
- version = "0.0.0.1";
- sha256 = "02jrmb0fbgwzhgcnhv4hh1flz87rvs1xq3sbjrjac7cxxyl3inba";
+ version = "0.0.0.2";
+ sha256 = "0bcwpzmsmf9s8nn7vkwfynjb75x1x8x6bpffmrs55yqc6rvdsn32";
libraryHaskellDepends = [
base comfort-array deepseq linear-programming non-empty QuickCheck
transformers utility-ht
];
- libraryPkgconfigDepends = [ clp ];
+ libraryPkgconfigDepends = [ clp coinutils ];
testHaskellDepends = [
base comfort-array doctest-exitcode-stdio doctest-lib
linear-programming non-empty QuickCheck random transformers
@@ -67750,8 +68123,10 @@ self: {
description = "Linear Programming using COIN-OR/CLP and comfort-array";
license = lib.licenses.bsd3;
badPlatforms = [ "aarch64-linux" ];
+ hydraPlatforms = lib.platforms.none;
maintainers = [ lib.maintainers.thielema ];
- }) {inherit (pkgs) clp;};
+ broken = true;
+ }) {inherit (pkgs) clp; coinutils = null;};
"cointracking-imports" = callPackage
({ mkDerivation, base, base-compat-batteries, bytestring, cassava
@@ -68231,6 +68606,8 @@ self: {
];
description = "Print and parse colors";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"colourista" = callPackage
@@ -68239,8 +68616,8 @@ self: {
pname = "colourista";
version = "0.1.0.2";
sha256 = "0g06116kjg9pbp0l7n33agqbks3kw5z4rjqyhylha8miah5sxbwn";
- revision = "3";
- editedCabalFile = "1q07rz48xrs68gg3pywdivw2m97xbgcb4337jfzdsb0wv6n8z2r5";
+ revision = "4";
+ editedCabalFile = "18g0am78dw8h3xpl01ilzii0hdrm2a4hc7qnn6pwag9wasbc1dl7";
libraryHaskellDepends = [ ansi-terminal base bytestring text ];
testHaskellDepends = [ base bytestring hspec text ];
description = "Convenient interface for printing colourful messages";
@@ -68491,6 +68868,8 @@ self: {
pname = "combinatorial";
version = "0.1.1";
sha256 = "0sz5x3776fr736mwsn582ih1pflkirsk6bqygagsg8jq0nh83v5g";
+ revision = "1";
+ editedCabalFile = "1g68vf9yj87qbmbazlasq11wcb1dd9rx83p95f4lqaji1ipzvq1y";
libraryHaskellDepends = [
array base containers transformers utility-ht
];
@@ -68557,8 +68936,8 @@ self: {
}:
mkDerivation {
pname = "comfort-array";
- version = "0.5.3";
- sha256 = "18s3yg8d2mfj2gkkxg1m2w3lrv1zdgv3bh2fsxzwnygr2p9451r1";
+ version = "0.5.4.2";
+ sha256 = "1fyv4m3qb6rbz1inswzwkbrza1wr3x5gr8p4qbh3bvfqfkj3mh4i";
libraryHaskellDepends = [
base containers deepseq guarded-allocation non-empty prelude-compat
primitive QuickCheck semigroups storable-record storablevector
@@ -68566,7 +68945,7 @@ self: {
];
testHaskellDepends = [
base ChasingBottoms containers deepseq doctest-exitcode-stdio
- doctest-lib QuickCheck tagged
+ doctest-lib QuickCheck tagged utility-ht
];
description = "Arrays where the index type is a function of the shape type";
license = lib.licenses.bsd3;
@@ -68582,6 +68961,8 @@ self: {
pname = "comfort-array-shape";
version = "0.0";
sha256 = "0caw0d9566qi9difgprfg3g9yqfiks2ycqjbr7wx7xcplagqdcn7";
+ revision = "1";
+ editedCabalFile = "0pp391srnrapr13bl1pkpdi5162m2qqw1bfsbis24rn78d50s674";
libraryHaskellDepends = [
base comfort-array fixed-length non-empty tfp utility-ht
];
@@ -68598,15 +68979,17 @@ self: {
"comfort-blas" = callPackage
({ mkDerivation, base, blas-ffi, comfort-array, containers, deepseq
, doctest-exitcode-stdio, doctest-lib, guarded-allocation
- , netlib-ffi, QuickCheck, semigroups, transformers, utility-ht
+ , netlib-ffi, non-empty, QuickCheck, semigroups, storablevector
+ , transformers, utility-ht
}:
mkDerivation {
pname = "comfort-blas";
- version = "0.0.1";
- sha256 = "1yfmhv712dnnwlh62gcq2vchmg8vcv54l50jy1mibpx1is7kjcr9";
+ version = "0.0.2";
+ sha256 = "1sw24ai7vlhxvb22y9qf71ak1l55chxdc6x1j5p7m40q5zmahpx6";
libraryHaskellDepends = [
base blas-ffi comfort-array containers deepseq guarded-allocation
- netlib-ffi semigroups transformers utility-ht
+ netlib-ffi non-empty semigroups storablevector transformers
+ utility-ht
];
testHaskellDepends = [
base comfort-array containers doctest-exitcode-stdio doctest-lib
@@ -68650,6 +69033,8 @@ self: {
pname = "comfort-glpk";
version = "0.1";
sha256 = "06396jgbaxvm2gwksra6gjwqczsvdlif9lal46a8q5sc5cyhcpx1";
+ revision = "1";
+ editedCabalFile = "0hd4i7s68kfky7l6mdka4p144x4h7fbl619ikwq8fg3fdljvihmq";
libraryHaskellDepends = [
base comfort-array deepseq glpk-headers linear-programming
non-empty transformers utility-ht
@@ -68703,6 +69088,7 @@ self: {
];
description = "A format for describing comics";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"comma" = callPackage
@@ -68843,6 +69229,29 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "commonmark_0_2_5" = callPackage
+ ({ mkDerivation, base, bytestring, containers, parsec, tasty
+ , tasty-bench, tasty-hunit, tasty-quickcheck, text, transformers
+ , unicode-data, unicode-transforms
+ }:
+ mkDerivation {
+ pname = "commonmark";
+ version = "0.2.5";
+ sha256 = "1gznvwpcaklglz6hzcpz58x502is6y0axq8cicnbv9410vhzw24a";
+ libraryHaskellDepends = [
+ base bytestring containers parsec text transformers unicode-data
+ unicode-transforms
+ ];
+ testHaskellDepends = [
+ base parsec tasty tasty-hunit tasty-quickcheck text
+ unicode-transforms
+ ];
+ benchmarkHaskellDepends = [ base tasty-bench text ];
+ description = "Pure Haskell commonmark parser";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"commonmark-cli" = callPackage
({ mkDerivation, aeson, ansi-terminal, base, bytestring, commonmark
, commonmark-extensions, commonmark-pandoc, containers, mtl
@@ -68864,28 +69273,6 @@ self: {
mainProgram = "commonmark";
}) {};
- "commonmark-extensions_0_2_3_2" = callPackage
- ({ mkDerivation, base, commonmark, containers, emojis, filepath
- , network-uri, parsec, tasty, tasty-bench, tasty-hunit, text
- , transformers
- }:
- mkDerivation {
- pname = "commonmark-extensions";
- version = "0.2.3.2";
- sha256 = "1k5rlh2grg6g1waszhp565m360n1iynjvbkjz8xmap8y234g1bjj";
- libraryHaskellDepends = [
- base commonmark containers emojis filepath network-uri parsec text
- transformers
- ];
- testHaskellDepends = [
- base commonmark parsec tasty tasty-hunit text
- ];
- benchmarkHaskellDepends = [ base commonmark tasty-bench text ];
- description = "Pure Haskell commonmark parser";
- license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- }) {};
-
"commonmark-extensions" = callPackage
({ mkDerivation, base, commonmark, containers, emojis, filepath
, network-uri, parsec, tasty, tasty-bench, tasty-hunit, text
@@ -68938,8 +69325,6 @@ self: {
];
description = "Simple interface to commonmark-hs";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
- broken = true;
}) {};
"commonmark-wikilink" = callPackage
@@ -69015,8 +69400,8 @@ self: {
({ mkDerivation, base, containers }:
mkDerivation {
pname = "commutative-semigroups";
- version = "0.1.0.1";
- sha256 = "1bmafx363gfsd9wwrf3xyrw9mnw6anmc1zdfv0p8597y4lxxach7";
+ version = "0.1.0.2";
+ sha256 = "0r8kagn44ms59qsni71igbryiwb8hv3swq81a1jnac7smfj3l51l";
libraryHaskellDepends = [ base containers ];
description = "Commutative semigroups";
license = lib.licenses.bsd3;
@@ -69054,6 +69439,8 @@ self: {
];
description = "Exotic comonad transformers";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"comonad-random" = callPackage
@@ -69833,6 +70220,33 @@ self: {
}) {};
"composite-base" = callPackage
+ ({ mkDerivation, base, deepseq, exceptions, hspec, lens
+ , monad-control, mtl, profunctors, QuickCheck, template-haskell
+ , text, transformers, transformers-base, unliftio-core, vinyl
+ }:
+ mkDerivation {
+ pname = "composite-base";
+ version = "0.8.2.1";
+ sha256 = "0i2mamh5gz7ay1cm5nkmdbh2lnaph42pfi2aa9jb2baxi0jgxdri";
+ revision = "1";
+ editedCabalFile = "1fww7f7z583vp7kfrf6xi6y0plpm4jsh3j72xbgarprlz25j1aip";
+ libraryHaskellDepends = [
+ base deepseq exceptions lens monad-control mtl profunctors
+ template-haskell text transformers transformers-base unliftio-core
+ vinyl
+ ];
+ testHaskellDepends = [
+ base deepseq exceptions hspec lens monad-control mtl profunctors
+ QuickCheck template-haskell text transformers transformers-base
+ unliftio-core vinyl
+ ];
+ description = "Shared utilities for composite-* packages";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {};
+
+ "composite-base_0_8_2_2" = callPackage
({ mkDerivation, base, deepseq, exceptions, hspec, lens
, monad-control, mtl, profunctors, QuickCheck, template-haskell
, text, transformers, transformers-base, unliftio-core, vinyl
@@ -70071,17 +70485,6 @@ self: {
}) {};
"composition-extra" = callPackage
- ({ mkDerivation, base, composition, contravariant }:
- mkDerivation {
- pname = "composition-extra";
- version = "2.0.0";
- sha256 = "0abipab6bx138rynpkh4daj53bv5yqbw94zfswysyq6iix529669";
- libraryHaskellDepends = [ base composition contravariant ];
- description = "Combinators for unorthodox structure composition";
- license = lib.licenses.bsd3;
- }) {};
-
- "composition-extra_2_1_0" = callPackage
({ mkDerivation, base, composition, contravariant }:
mkDerivation {
pname = "composition-extra";
@@ -70090,7 +70493,6 @@ self: {
libraryHaskellDepends = [ base composition contravariant ];
description = "Combinators for unorthodox structure composition";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"composition-prelude" = callPackage
@@ -70887,17 +71289,6 @@ self: {
}) {};
"cond" = callPackage
- ({ mkDerivation, base }:
- mkDerivation {
- pname = "cond";
- version = "0.4.1.1";
- sha256 = "12xcjxli1scd4asr4zc77i5q9qka2100gx97hv3vv12l7gj7d703";
- libraryHaskellDepends = [ base ];
- description = "Basic conditional and boolean operators with monadic variants";
- license = lib.licenses.bsd3;
- }) {};
-
- "cond_0_5_1" = callPackage
({ mkDerivation, base }:
mkDerivation {
pname = "cond";
@@ -70906,7 +71297,6 @@ self: {
libraryHaskellDepends = [ base ];
description = "Basic conditional and boolean operators with monadic variants";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"conditional-restriction-parser" = callPackage
@@ -71184,6 +71574,8 @@ self: {
];
description = "conduit-audio interface to the libsndfile audio file library";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"conduit-combinators" = callPackage
@@ -71588,8 +71980,8 @@ self: {
pname = "conferer";
version = "1.1.0.0";
sha256 = "1hkdrqxrac1mbzvd29f6ds4cbihdv0j0daai7yc282myv0varh09";
- revision = "4";
- editedCabalFile = "09qw98sy1w80cackq4mncgj4l6faqhh5c49fli3al4sivw1xvr9l";
+ revision = "5";
+ editedCabalFile = "1zg5qxjimmcxqzzi7mpiby8kh39zn9dmxmlidd1wiq6qlmg8l0a6";
libraryHaskellDepends = [
base bytestring containers directory filepath text
];
@@ -71609,8 +72001,8 @@ self: {
pname = "conferer-aeson";
version = "1.1.0.2";
sha256 = "07rdal3smq1s14zmsn7g26vc6sqj21rsa2a1vcbrwrfgh9x36jkn";
- revision = "4";
- editedCabalFile = "0g5bkl5lgy4a2cp2vjhwf8w2gwjl3687r2ckcjz7dmnqpgx06zla";
+ revision = "6";
+ editedCabalFile = "16hf29pszmgfvhb15jm8728sdvmxa38xkappjqljcva3ki73hfbz";
libraryHaskellDepends = [
aeson base bytestring conferer directory text unordered-containers
vector
@@ -71631,8 +72023,8 @@ self: {
pname = "conferer-dhall";
version = "1.1.0.0";
sha256 = "0whxxjz5askw1qxcxdn5094bqm2hy3zp49567v57gqikgv6rcnp1";
- revision = "2";
- editedCabalFile = "1d8136m9mq06drs5k903gr0mm79z4898mgq3grzfl821d9bkcgi1";
+ revision = "3";
+ editedCabalFile = "0g0ca2s18swlixpcspdnjmljffnnzw55af3whz59vbrgz6i6l5hq";
libraryHaskellDepends = [
base bytestring conferer conferer-aeson dhall dhall-json directory
text
@@ -71653,8 +72045,8 @@ self: {
pname = "conferer-hedis";
version = "1.1.0.0";
sha256 = "10rk5w3f99ql46yvzg7a0ac59dvpyfhdpv138w0w5ghgz5azcd19";
- revision = "1";
- editedCabalFile = "1idrgx558fcxpa76v1lp9fmja0mdgyvwv614id16kpw1yc32nlw8";
+ revision = "2";
+ editedCabalFile = "0nj4i199k1d6x49hg72rsds0zi3ml0vg95qwmgiv3gmf7p2zshr3";
libraryHaskellDepends = [ base conferer hedis text ];
testHaskellDepends = [ base conferer hedis hspec text ];
description = "conferer's FromConfig instances for hedis settings";
@@ -71747,8 +72139,8 @@ self: {
pname = "conferer-snap";
version = "1.0.0.0";
sha256 = "15gz77b5jf35hmcnd6kza1wgzpbgk3pcvhi7mp7yk64ybksld98r";
- revision = "2";
- editedCabalFile = "1xm45qifvkvjxfi6b82qmjbk3hgrqynxjn9vhfcf5q9m5m6w5ffx";
+ revision = "3";
+ editedCabalFile = "1003bs7v68gafav7skvrbjnj21hk4lcdgjnmwc53k4nzp80nd367";
libraryHaskellDepends = [
base conferer snap-core snap-server text
];
@@ -72072,40 +72464,6 @@ self: {
}) {};
"configuration-tools" = callPackage
- ({ mkDerivation, aeson, ansi-wl-pprint, attoparsec, base
- , base-unicode-symbols, bytestring, Cabal, case-insensitive
- , deepseq, directory, dlist, filepath, mtl, network-uri
- , optparse-applicative, process, profunctors, semigroupoids
- , semigroups, text, transformers, unordered-containers, vector
- , yaml
- }:
- mkDerivation {
- pname = "configuration-tools";
- version = "0.6.1";
- sha256 = "1gindjq9vd0fmsw6smwd3syz3k3bfkzsgmchqg6jllr6bhgvykjy";
- isLibrary = true;
- isExecutable = true;
- setupHaskellDepends = [
- base bytestring Cabal directory filepath process
- ];
- libraryHaskellDepends = [
- aeson ansi-wl-pprint attoparsec base base-unicode-symbols
- bytestring Cabal case-insensitive deepseq directory dlist filepath
- mtl network-uri optparse-applicative process profunctors
- semigroupoids semigroups text transformers unordered-containers
- vector yaml
- ];
- executableHaskellDepends = [ base base-unicode-symbols Cabal mtl ];
- testHaskellDepends = [
- base base-unicode-symbols bytestring Cabal mtl text transformers
- unordered-containers yaml
- ];
- description = "Tools for specifying and parsing configurations";
- license = lib.licenses.mit;
- mainProgram = "example";
- }) {};
-
- "configuration-tools_0_7_0" = callPackage
({ mkDerivation, aeson, attoparsec, base, base-unicode-symbols
, bytestring, Cabal, case-insensitive, deepseq, directory, dlist
, filepath, mtl, network-uri, optparse-applicative, prettyprinter
@@ -72135,7 +72493,6 @@ self: {
];
description = "Tools for specifying and parsing configurations";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
mainProgram = "example";
}) {};
@@ -72385,6 +72742,8 @@ self: {
];
description = "Simple and easy network connections API";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"connection-pool" = callPackage
@@ -72502,6 +72861,8 @@ self: {
libraryHaskellDepends = [ base mtl transformers ];
description = "Styled console text output using ANSI escape sequences";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"const" = callPackage
@@ -72744,27 +73105,6 @@ self: {
}) {};
"constraints" = callPackage
- ({ mkDerivation, base, binary, deepseq, ghc-prim, hashable, hspec
- , hspec-discover, mtl, transformers, transformers-compat
- , type-equality
- }:
- mkDerivation {
- pname = "constraints";
- version = "0.13.4";
- sha256 = "0d248szyp70k1qlivsimk0j5vz9hdx1alhismry5v35qyinr91j1";
- revision = "1";
- editedCabalFile = "0dpxj110kl9msci5czqlvysp3mi6bhah0p4m6z4qlydngcq3920d";
- libraryHaskellDepends = [
- base binary deepseq ghc-prim hashable mtl transformers
- transformers-compat type-equality
- ];
- testHaskellDepends = [ base hspec ];
- testToolDepends = [ hspec-discover ];
- description = "Constraint manipulation";
- license = lib.licenses.bsd2;
- }) {};
-
- "constraints_0_14" = callPackage
({ mkDerivation, base, binary, boring, deepseq, ghc-prim, hashable
, hspec, hspec-discover, mtl, transformers, type-equality
}:
@@ -72780,7 +73120,6 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Constraint manipulation";
license = lib.licenses.bsd2;
- hydraPlatforms = lib.platforms.none;
}) {};
"constraints-deriving" = callPackage
@@ -72858,8 +73197,8 @@ self: {
}:
mkDerivation {
pname = "construct";
- version = "0.3.1.1";
- sha256 = "0ja4xxlr9pyd2gq3w07847zz3glcx3ygd9bygjhr3ppi2n3fiwx0";
+ version = "0.3.1.2";
+ sha256 = "0z5am4j8s60mwcrzm6bjjdwm09iylxmlf5v6qahyb6bwl1nchsdp";
enableSeparateDataOutput = true;
setupHaskellDepends = [ base Cabal cabal-doctest ];
libraryHaskellDepends = [
@@ -73076,8 +73415,6 @@ self: {
libraryHaskellDepends = [ base base-unicode-symbols containers ];
description = "Unicode alternatives for common functions and operators";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- broken = true;
}) {};
"containers-verified" = callPackage
@@ -73254,10 +73591,8 @@ self: {
}:
mkDerivation {
pname = "contiguous";
- version = "0.6.3.0";
- sha256 = "1vqzv5xr6dkvw0789rz3z39b7ldm9xrk2sv8c9k2fk14yxl7qibx";
- revision = "1";
- editedCabalFile = "1q1yihx7caa639mfmk0a2n881qrj3g3gm9mb6m23bv5xkkbklrmp";
+ version = "0.6.4.0";
+ sha256 = "06s0rx95h2hczs0bp9sqxjmsp84gfzsi6acf088f9p97hw4cvqz9";
libraryHaskellDepends = [
base deepseq primitive primitive-unlifted run-st
];
@@ -73272,15 +73607,15 @@ self: {
license = lib.licenses.bsd3;
}) {};
- "contiguous_0_6_4_0" = callPackage
+ "contiguous_0_6_4_2" = callPackage
({ mkDerivation, base, deepseq, primitive, primitive-unlifted
, QuickCheck, quickcheck-classes, quickcheck-instances, random
, random-shuffle, run-st, vector, weigh
}:
mkDerivation {
pname = "contiguous";
- version = "0.6.4.0";
- sha256 = "06s0rx95h2hczs0bp9sqxjmsp84gfzsi6acf088f9p97hw4cvqz9";
+ version = "0.6.4.2";
+ sha256 = "0ay0hrdi2gj3b2z81cswmcaphkyy57w532svyc5mm3mncd0ddsm8";
libraryHaskellDepends = [
base deepseq primitive primitive-unlifted run-st
];
@@ -73288,9 +73623,7 @@ self: {
base primitive QuickCheck quickcheck-classes quickcheck-instances
vector
];
- benchmarkHaskellDepends = [
- base primitive random random-shuffle weigh
- ];
+ benchmarkHaskellDepends = [ base random random-shuffle weigh ];
description = "Unified interface for primitive arrays";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
@@ -73596,6 +73929,7 @@ self: {
];
description = "Explicitly typed, checked exceptions with stack traces";
license = lib.licenses.publicDomain;
+ hydraPlatforms = lib.platforms.none;
}) {};
"control-monad-exception-monadsfd" = callPackage
@@ -73611,6 +73945,7 @@ self: {
];
description = "Monads-fd instances for the EMT exceptions monad transformer";
license = lib.licenses.publicDomain;
+ hydraPlatforms = lib.platforms.none;
}) {};
"control-monad-exception-monadstf" = callPackage
@@ -73626,6 +73961,7 @@ self: {
];
description = "Monads-tf instances for the EMT exceptions monad transformer";
license = lib.licenses.publicDomain;
+ hydraPlatforms = lib.platforms.none;
}) {};
"control-monad-exception-mtl" = callPackage
@@ -73638,6 +73974,7 @@ self: {
doHaddock = false;
description = "MTL instances for the EMT exceptions monad transformer";
license = lib.licenses.publicDomain;
+ hydraPlatforms = lib.platforms.none;
}) {};
"control-monad-failure" = callPackage
@@ -74016,6 +74353,22 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "cooklang-hs" = callPackage
+ ({ mkDerivation, base, hspec, megaparsec }:
+ mkDerivation {
+ pname = "cooklang-hs";
+ version = "0.1.1";
+ sha256 = "056zzp0zyq7i9phjkadd9sr402jb9g23afqf6p3m0g0vwyy5lgb3";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [ base megaparsec ];
+ executableHaskellDepends = [ base megaparsec ];
+ testHaskellDepends = [ base hspec megaparsec ];
+ description = "Parser for the Cook markup language";
+ license = lib.licenses.mit;
+ mainProgram = "cooklang-hs";
+ }) {};
+
"coordinate" = callPackage
({ mkDerivation, base, HUnit, lens, papa, transformers }:
mkDerivation {
@@ -74036,8 +74389,8 @@ self: {
}:
mkDerivation {
pname = "copilot";
- version = "3.17";
- sha256 = "1vam9nwkpdh43p34ni6kwjpzbdrw16d6wyq02kc2s513zkh46755";
+ version = "3.18.1";
+ sha256 = "1k5fxpa8ww31ggbdkc3j4qjk9mc1jr1i53f71q2wrdiwwlw7km49";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -74058,8 +74411,8 @@ self: {
}:
mkDerivation {
pname = "copilot-c99";
- version = "3.17";
- sha256 = "1zq87sj9s7n6cgsgdz3sk9fjpkns25w8f6f2f6036f972l204vl3";
+ version = "3.18.1";
+ sha256 = "074zyyq37r2d7bxxywpzji56pg642visasjmq3qdfkw6chgckcjb";
libraryHaskellDepends = [
base copilot-core directory filepath language-c99
language-c99-simple mtl pretty
@@ -74097,8 +74450,8 @@ self: {
}:
mkDerivation {
pname = "copilot-core";
- version = "3.17";
- sha256 = "1hzvcvla69y13qwbaq52qb20hk7sln7fv7gz07n36827bp1swjbc";
+ version = "3.18.1";
+ sha256 = "03h8c3kxshbxpli0j2xi6sz4dq0c8vgi0ql5kk89812y993xbsvl";
libraryHaskellDepends = [ base ];
testHaskellDepends = [
base HUnit QuickCheck test-framework test-framework-hunit
@@ -74132,8 +74485,8 @@ self: {
}:
mkDerivation {
pname = "copilot-interpreter";
- version = "3.17";
- sha256 = "1ywh4pnr69dd2h3siiwgvbbs9na2l125lipd93y5lhm6ziylm3n5";
+ version = "3.18.1";
+ sha256 = "0mfj78w2rby6h48cv18ql5xg24xsjzghigy7gii1d158rfm4clhg";
libraryHaskellDepends = [ base copilot-core pretty ];
testHaskellDepends = [
base copilot-core copilot-prettyprinter pretty QuickCheck
@@ -74151,8 +74504,8 @@ self: {
}:
mkDerivation {
pname = "copilot-language";
- version = "3.17";
- sha256 = "1z4d6ryg1i47w7cj4awm9iml2fg4bsjfdxmw6zx9i8fbwifz27rs";
+ version = "3.18.1";
+ sha256 = "1kkkyl939ryiddn93ar5vnmc1blg6q6c79fns5jp7216sp7bz332";
libraryHaskellDepends = [
array base containers copilot-core copilot-interpreter
copilot-theorem data-reify mtl
@@ -74167,14 +74520,21 @@ self: {
}) {};
"copilot-libraries" = callPackage
- ({ mkDerivation, base, containers, copilot-language, mtl, parsec }:
+ ({ mkDerivation, base, containers, copilot-interpreter
+ , copilot-language, copilot-theorem, mtl, parsec, QuickCheck
+ , test-framework, test-framework-quickcheck2
+ }:
mkDerivation {
pname = "copilot-libraries";
- version = "3.17";
- sha256 = "1ma1yz0n2437y1yzmy9xa77y1739q6jh2203wbmdpk844yac4ki2";
+ version = "3.18.1";
+ sha256 = "1h8vcxhmxws2mrk25h59wf2nijxhk2ckq2rlg8hzbr0z1qbb8ps3";
libraryHaskellDepends = [
base containers copilot-language mtl parsec
];
+ testHaskellDepends = [
+ base copilot-interpreter copilot-language copilot-theorem
+ QuickCheck test-framework test-framework-quickcheck2
+ ];
description = "Libraries for the Copilot language";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
@@ -74184,8 +74544,8 @@ self: {
({ mkDerivation, base, copilot-core, pretty }:
mkDerivation {
pname = "copilot-prettyprinter";
- version = "3.17";
- sha256 = "1mafaidkcw1a0726drkwn6w6vvw3sxyjpg9d9hdxbqszva1hr1nw";
+ version = "3.18.1";
+ sha256 = "0z3jrk58jii5l34bwnr9x5j38bkia0lz3bj1q0qs2kln05kwbw2k";
libraryHaskellDepends = [ base copilot-core pretty ];
description = "A prettyprinter of Copilot Specifications";
license = lib.licenses.bsd3;
@@ -74210,23 +74570,73 @@ self: {
"copilot-theorem" = callPackage
({ mkDerivation, base, bimap, bv-sized, containers, copilot-core
, copilot-prettyprinter, data-default, directory, libBF, mtl, panic
- , parameterized-utils, parsec, pretty, process, random
- , transformers, what4, xml
+ , parameterized-utils, parsec, pretty, process, QuickCheck, random
+ , test-framework, test-framework-quickcheck2, transformers, what4
+ , xml
}:
mkDerivation {
pname = "copilot-theorem";
- version = "3.17";
- sha256 = "1541x96dkdndc0v2g50h27bg887bx4lxdpq8gh5sd878laqm785m";
+ version = "3.18.1";
+ sha256 = "14s4409p72sgm6q291x3lf4xmklirak26dxcp837cvidvdfkqabr";
libraryHaskellDepends = [
base bimap bv-sized containers copilot-core copilot-prettyprinter
data-default directory libBF mtl panic parameterized-utils parsec
pretty process random transformers what4 xml
];
+ testHaskellDepends = [
+ base copilot-core QuickCheck test-framework
+ test-framework-quickcheck2
+ ];
description = "k-induction for Copilot";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
}) {};
+ "copilot-verifier" = callPackage
+ ({ mkDerivation, aeson, base, bv-sized, bytestring
+ , case-insensitive, containers, copilot, copilot-c99, copilot-core
+ , copilot-language, copilot-libraries, copilot-prettyprinter
+ , copilot-theorem, crucible, crucible-llvm, crux, crux-llvm
+ , filepath, lens, llvm-pretty, mtl, optparse-applicative, panic
+ , parameterized-utils, prettyprinter, silently, tasty
+ , tasty-expected-failure, tasty-hunit, text, transformers, vector
+ , what4
+ }:
+ mkDerivation {
+ pname = "copilot-verifier";
+ version = "0.1";
+ sha256 = "1vyws26bqfdfbp3yynx6qrdm1r7snilci6wynhqzaa41fn9h0s75";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ aeson base bv-sized bytestring case-insensitive containers copilot
+ copilot-c99 copilot-core copilot-language copilot-libraries
+ copilot-prettyprinter copilot-theorem crucible crucible-llvm crux
+ crux-llvm filepath lens llvm-pretty mtl panic parameterized-utils
+ prettyprinter text transformers vector what4
+ ];
+ executableHaskellDepends = [
+ aeson base bv-sized bytestring case-insensitive containers copilot
+ copilot-c99 copilot-core copilot-language copilot-libraries
+ copilot-prettyprinter copilot-theorem crucible crucible-llvm crux
+ crux-llvm filepath lens llvm-pretty mtl optparse-applicative panic
+ parameterized-utils prettyprinter text transformers vector what4
+ ];
+ testHaskellDepends = [
+ aeson base bv-sized bytestring case-insensitive containers copilot
+ copilot-c99 copilot-core copilot-language copilot-libraries
+ copilot-prettyprinter copilot-theorem crucible crucible-llvm crux
+ crux-llvm filepath lens llvm-pretty mtl panic parameterized-utils
+ prettyprinter silently tasty tasty-expected-failure tasty-hunit
+ text transformers vector what4
+ ];
+ doHaddock = false;
+ description = "System for verifying the correctness of generated Copilot programs";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ mainProgram = "verify-examples";
+ }) {};
+
"copr" = callPackage
({ mkDerivation, aeson, base, bytestring, containers, hlint
, HsOpenSSL, http-streams, io-streams, semigroups, text
@@ -74249,22 +74659,6 @@ self: {
}) {};
"copr-api" = callPackage
- ({ mkDerivation, aeson, base, bytestring, directory, http-query
- , text, unordered-containers
- }:
- mkDerivation {
- pname = "copr-api";
- version = "0.1.0";
- sha256 = "0v28y0xnjk9hl66hsi17g5h6mrsg1a6b9p6r05r41cnd8a093vb0";
- libraryHaskellDepends = [
- aeson base bytestring directory http-query text
- unordered-containers
- ];
- description = "Copr API client libary";
- license = lib.licenses.gpl3Only;
- }) {};
-
- "copr-api_0_2_0" = callPackage
({ mkDerivation, aeson, base, http-query, text
, unordered-containers
}:
@@ -74277,7 +74671,6 @@ self: {
];
description = "Copr API client libary";
license = lib.licenses.gpl3Only;
- hydraPlatforms = lib.platforms.none;
}) {};
"coquina" = callPackage
@@ -74288,8 +74681,8 @@ self: {
}:
mkDerivation {
pname = "coquina";
- version = "0.1.0.0";
- sha256 = "1ag3r4p9v5vbkwh808swsz1f6ii6jk0rri8m9li7qdiixlnhykj1";
+ version = "0.1.0.1";
+ sha256 = "1dgh4i38k8mxw6glinqpxyqcmr850jscmc0sq3pnyfj8gzfllndk";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -74374,6 +74767,8 @@ self: {
];
description = "Interoperability with the effectful effects system";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"core-haskell" = callPackage
@@ -74395,27 +74790,6 @@ self: {
}) {};
"core-program" = callPackage
- ({ mkDerivation, base, bytestring, core-data, core-text, directory
- , exceptions, filepath, fsnotify, githash, hashable, hourglass, mtl
- , prettyprinter, process, safe-exceptions, stm, template-haskell
- , terminal-size, text, text-short, transformers, typed-process
- , unix, unliftio-core
- }:
- mkDerivation {
- pname = "core-program";
- version = "0.6.9.4";
- sha256 = "0pi3jp58rvff714zzazi5qkc7p708wk9xyd22i0vyjwiznnmpnyn";
- libraryHaskellDepends = [
- base bytestring core-data core-text directory exceptions filepath
- fsnotify githash hashable hourglass mtl prettyprinter process
- safe-exceptions stm template-haskell terminal-size text text-short
- transformers typed-process unix unliftio-core
- ];
- description = "Opinionated Haskell Interoperability";
- license = lib.licenses.mit;
- }) {};
-
- "core-program_0_7_0_0" = callPackage
({ mkDerivation, base, bytestring, core-data, core-text, directory
, exceptions, filepath, fsnotify, githash, hashable, hourglass, mtl
, prettyprinter, process, safe-exceptions, stm, template-haskell
@@ -74434,7 +74808,6 @@ self: {
];
description = "Opinionated Haskell Interoperability";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"core-telemetry" = callPackage
@@ -74833,36 +75206,6 @@ self: {
}) {};
"country" = callPackage
- ({ mkDerivation, aeson, attoparsec, base, bytebuild, bytehash
- , byteslice, bytestring, compact, contiguous, deepseq, entropy
- , gauge, hashable, primitive, primitive-unlifted, QuickCheck
- , quickcheck-classes, scientific, tasty, tasty-quickcheck, text
- , text-short, unordered-containers
- }:
- mkDerivation {
- pname = "country";
- version = "0.2.3.1";
- sha256 = "0c601fa2m6f5b9g7i1azh9aqhnsiqcrpqmngwnhrxf8gm4jh5yi5";
- revision = "1";
- editedCabalFile = "1l8ik38d92xrhfd9a6an4i5zcmvqpxicggdihy6hcj1yl1997qsc";
- libraryHaskellDepends = [
- aeson attoparsec base bytebuild bytehash byteslice bytestring
- contiguous deepseq entropy hashable primitive primitive-unlifted
- scientific text text-short unordered-containers
- ];
- testHaskellDepends = [
- base byteslice primitive QuickCheck quickcheck-classes tasty
- tasty-quickcheck text text-short
- ];
- benchmarkHaskellDepends = [
- base bytehash byteslice bytestring compact gauge primitive text
- ];
- description = "Country data type and functions";
- license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- }) {};
-
- "country_0_2_4_1" = callPackage
({ mkDerivation, aeson, attoparsec, base, bytebuild, bytehash
, byteslice, bytestring, compact, contiguous, deepseq, entropy
, gauge, hashable, primitive, primitive-unlifted, QuickCheck
@@ -74887,7 +75230,6 @@ self: {
];
description = "Country data type and functions";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"country-codes" = callPackage
@@ -75172,6 +75514,7 @@ self: {
];
description = "Crypto Pseudo Random Number Generator using AES in counter mode";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"cprng-aes-effect" = callPackage
@@ -75202,6 +75545,8 @@ self: {
libraryHaskellDepends = [ base mtl mtl-compat ];
description = "ExceptT replacement in CPS style";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"cpsa" = callPackage
@@ -75584,6 +75929,25 @@ self: {
mainProgram = "crackNum";
}) {};
+ "crackNum_3_6" = callPackage
+ ({ mkDerivation, base, directory, filepath, libBF, process, sbv
+ , tasty, tasty-golden
+ }:
+ mkDerivation {
+ pname = "crackNum";
+ version = "3.6";
+ sha256 = "1rnjqc4jphxsh6ax1qhlw6hg4za6wkp28a9r4s5cj7dwva585f2g";
+ isLibrary = false;
+ isExecutable = true;
+ executableHaskellDepends = [
+ base directory filepath libBF process sbv tasty tasty-golden
+ ];
+ description = "Crack various integer and floating-point data formats";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ mainProgram = "crackNum";
+ }) {};
+
"craft" = callPackage
({ mkDerivation, aeson, aeson-pretty, ansi-terminal, async, base
, bytestring, conduit, conduit-combinators, conduit-extra
@@ -75803,8 +76167,8 @@ self: {
}:
mkDerivation {
pname = "crdt-event-fold";
- version = "1.8.0.0";
- sha256 = "0n8s6f6vvgpyv36m28j3r0xa9k2dnlprbakhg68iq814xa4x43h4";
+ version = "1.8.0.2";
+ sha256 = "15w9az68fsg0d19xhl9ayafrqcf9pbjaj2hg06803733lp905gac";
libraryHaskellDepends = [
aeson base binary containers data-default-class data-dword
exceptions monad-logger mtl transformers
@@ -76051,42 +76415,6 @@ self: {
}) {};
"criterion" = callPackage
- ({ mkDerivation, aeson, base, base-compat, base-compat-batteries
- , binary, binary-orphans, bytestring, cassava, code-page
- , containers, criterion-measurement, deepseq, directory, exceptions
- , filepath, Glob, HUnit, js-chart, microstache, mtl, mwc-random
- , optparse-applicative, parsec, QuickCheck, statistics, tasty
- , tasty-hunit, tasty-quickcheck, text, time, transformers
- , transformers-compat, vector, vector-algorithms
- }:
- mkDerivation {
- pname = "criterion";
- version = "1.6.1.0";
- sha256 = "136qrgx0gpjrh5dy3arp0gwk6hnhg4i7pz406xwl1p5cj3acii3r";
- isLibrary = true;
- isExecutable = true;
- enableSeparateDataOutput = true;
- libraryHaskellDepends = [
- aeson base base-compat-batteries binary binary-orphans bytestring
- cassava code-page containers criterion-measurement deepseq
- directory exceptions filepath Glob js-chart microstache mtl
- mwc-random optparse-applicative parsec statistics text time
- transformers transformers-compat vector vector-algorithms
- ];
- executableHaskellDepends = [
- base base-compat-batteries optparse-applicative
- ];
- testHaskellDepends = [
- aeson base base-compat base-compat-batteries bytestring deepseq
- directory HUnit QuickCheck statistics tasty tasty-hunit
- tasty-quickcheck vector
- ];
- description = "Robust, reliable performance measurement and analysis";
- license = lib.licenses.bsd3;
- mainProgram = "criterion-report";
- }) {};
-
- "criterion_1_6_3_0" = callPackage
({ mkDerivation, aeson, base, base-compat, base-compat-batteries
, binary, binary-orphans, bytestring, cassava, code-page
, containers, criterion-measurement, deepseq, directory, exceptions
@@ -76121,7 +76449,6 @@ self: {
];
description = "Robust, reliable performance measurement and analysis";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
mainProgram = "criterion-report";
}) {};
@@ -76264,6 +76591,7 @@ self: {
description = "Criu RPC protocol buffer types";
license = lib.licenses.mit;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {inherit (pkgs) protobuf;};
"crjdt-haskell" = callPackage
@@ -76324,10 +76652,8 @@ self: {
}:
mkDerivation {
pname = "cron";
- version = "0.7.0";
- sha256 = "0f8jb2pxy89hkdnm20yz88b3j3vgh1a9c1dxiym3150izp34ikd5";
- revision = "1";
- editedCabalFile = "1xlfpdb7f5ycdn19f3a8c4lzr7w4cv02g6k83rrm4a8xbdda66hg";
+ version = "0.7.1";
+ sha256 = "1q0ahnb6cxlqdq3g5i082k0aaf23f88ik1mz8066i015r406x07i";
libraryHaskellDepends = [
attoparsec base data-default-class mtl mtl-compat old-locale
semigroups text time
@@ -76396,6 +76722,83 @@ self: {
license = lib.licenses.mit;
}) {};
+ "crucible" = callPackage
+ ({ mkDerivation, base, bimap, bv-sized, containers, exceptions, fgl
+ , hashable, hspec, json, lens, mtl, panic, parameterized-utils
+ , prettyprinter, QuickCheck, tasty, tasty-hspec, tasty-hunit
+ , tasty-quickcheck, template-haskell, text, th-abstraction, time
+ , transformers, unordered-containers, vector, what4
+ }:
+ mkDerivation {
+ pname = "crucible";
+ version = "0.7";
+ sha256 = "0wz71bx59bysylb8w05d59awh6l9bbw3na6xk4j9dprjx5caccjk";
+ libraryHaskellDepends = [
+ base bimap bv-sized containers exceptions fgl hashable json lens
+ mtl panic parameterized-utils prettyprinter template-haskell text
+ th-abstraction time transformers unordered-containers vector what4
+ ];
+ testHaskellDepends = [
+ base containers hspec mtl panic parameterized-utils QuickCheck
+ tasty tasty-hspec tasty-hunit tasty-quickcheck what4
+ ];
+ description = "Crucible is a library for language-agnostic symbolic simulation";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
+ "crucible-llvm" = callPackage
+ ({ mkDerivation, attoparsec, base, bv-sized, bytestring, containers
+ , crucible, crucible-symio, directory, extra, filepath, itanium-abi
+ , lens, llvm-pretty, llvm-pretty-bc-parser, mtl
+ , parameterized-utils, pretty, prettyprinter, process, tasty
+ , tasty-hunit, tasty-quickcheck, tasty-sugar, template-haskell
+ , text, transformers, utf8-string, vector, what4
+ }:
+ mkDerivation {
+ pname = "crucible-llvm";
+ version = "0.6";
+ sha256 = "0mjyldz65qssx31fryv74wmkfz68j735cvcp6qd2z02p73fbbdi7";
+ libraryHaskellDepends = [
+ attoparsec base bv-sized bytestring containers crucible
+ crucible-symio extra itanium-abi lens llvm-pretty mtl
+ parameterized-utils pretty prettyprinter template-haskell text
+ transformers utf8-string vector what4
+ ];
+ testHaskellDepends = [
+ base bv-sized containers crucible directory filepath lens
+ llvm-pretty llvm-pretty-bc-parser parameterized-utils process tasty
+ tasty-hunit tasty-quickcheck tasty-sugar vector what4
+ ];
+ description = "Support for translating and executing LLVM code in Crucible";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
+ "crucible-symio" = callPackage
+ ({ mkDerivation, aeson, base, bv-sized, bytestring, containers
+ , crucible, directory, filemanip, filepath, IntervalMap, lens, mtl
+ , parameterized-utils, tasty, tasty-hunit, text, what4
+ }:
+ mkDerivation {
+ pname = "crucible-symio";
+ version = "0.1";
+ sha256 = "0b6c1sk7lg8qf3v1z4shzqwqcbwqbf4sir28iz52063pakvgr4q8";
+ libraryHaskellDepends = [
+ aeson base bv-sized bytestring containers crucible directory
+ filemanip filepath IntervalMap lens mtl parameterized-utils text
+ what4
+ ];
+ testHaskellDepends = [
+ aeson base bv-sized bytestring containers crucible directory
+ filemanip filepath IntervalMap lens mtl parameterized-utils tasty
+ tasty-hunit text what4
+ ];
+ description = "An implementation of symbolic I/O primitives for Crucible";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"cruncher-types" = callPackage
({ mkDerivation, aeson, base, containers, hlint, lens, text }:
mkDerivation {
@@ -76431,6 +76834,69 @@ self: {
broken = true;
}) {};
+ "crux" = callPackage
+ ({ mkDerivation, aeson, ansi-terminal, async, attoparsec, base
+ , bv-sized, bytestring, config-schema, config-value, containers
+ , contravariant, crucible, directory, filepath, generic-lens, Glob
+ , lens, libBF, lumberjack, parameterized-utils, prettyprinter
+ , raw-strings-qq, semigroupoids, simple-get-opt, split
+ , terminal-size, text, time, vector, what4, xml, yaml
+ }:
+ mkDerivation {
+ pname = "crux";
+ version = "0.7";
+ sha256 = "0bbkg6l5322a50wgfdswq9m75vinm5xfv7lwl4sfy6604ckjy85i";
+ libraryHaskellDepends = [
+ aeson ansi-terminal async attoparsec base bv-sized bytestring
+ config-schema config-value containers contravariant crucible
+ directory filepath generic-lens Glob lens libBF lumberjack
+ parameterized-utils prettyprinter raw-strings-qq semigroupoids
+ simple-get-opt split terminal-size text time vector what4 xml yaml
+ ];
+ description = "Simple top-level library for Crucible Simulation";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
+ "crux-llvm" = callPackage
+ ({ mkDerivation, aeson, attoparsec, base, base16-bytestring
+ , bv-sized, bytestring, config-schema, containers, crucible
+ , crucible-llvm, crucible-symio, crux, cryptohash-sha256, directory
+ , extra, filepath, indexed-traversable, lens, llvm-pretty
+ , llvm-pretty-bc-parser, logict, lumberjack, mtl
+ , parameterized-utils, prettyprinter, process, regex-base
+ , regex-posix, tasty, tasty-hunit, tasty-sugar, text, time, unix
+ , versions, websockets, what4
+ }:
+ mkDerivation {
+ pname = "crux-llvm";
+ version = "0.8";
+ sha256 = "116vgh9h2dmx1cahs2kl7rm46v9wn88x6i1pjp5m1z6mw9694xh4";
+ isLibrary = true;
+ isExecutable = true;
+ enableSeparateDataOutput = true;
+ libraryHaskellDepends = [
+ aeson base bv-sized bytestring config-schema containers crucible
+ crucible-llvm crucible-symio crux directory filepath lens
+ llvm-pretty llvm-pretty-bc-parser logict mtl parameterized-utils
+ prettyprinter process text what4
+ ];
+ executableHaskellDepends = [
+ aeson attoparsec base base16-bytestring bytestring containers
+ crucible crucible-llvm crucible-symio crux cryptohash-sha256
+ directory extra filepath indexed-traversable lens lumberjack
+ process text time unix websockets what4
+ ];
+ testHaskellDepends = [
+ base bytestring containers crucible crucible-llvm crucible-symio
+ crux directory extra filepath lens process regex-base regex-posix
+ tasty tasty-hunit tasty-sugar text versions what4
+ ];
+ description = "A verification tool for C programs";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"crypt-sha512" = callPackage
({ mkDerivation, attoparsec, base, bytestring, cryptohash-sha512
, libxcrypt, quickcheck-instances, tasty, tasty-hunit
@@ -76601,7 +77067,9 @@ self: {
testHaskellDepends = [ base HUnit QuickCheck ];
description = "An Enigma machine simulator with display";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "enigma";
+ broken = true;
}) {};
"crypto-keys-ssh" = callPackage
@@ -76743,6 +77211,8 @@ self: {
libraryHaskellDepends = [ base bytestring securemem unix vector ];
description = "Simple cryptographic random related types";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"crypto-random-api" = callPackage
@@ -76853,12 +77323,18 @@ self: {
}) {};
"crypto-token" = callPackage
- ({ mkDerivation, array, base, crypton, memory }:
+ ({ mkDerivation, array, base, bytestring, crypton, hspec
+ , hspec-discover, memory, network-byte-order
+ }:
mkDerivation {
pname = "crypto-token";
- version = "0.0.2";
- sha256 = "04rdbfdvnf8wdfzqg32rzxjp1gbk7yjzhdiyf5rmzym2qb7y8jpf";
- libraryHaskellDepends = [ array base crypton memory ];
+ version = "0.1.1";
+ sha256 = "1x5xfrdi9y207fvk266wc2b6gj9mzvgsi6sy2zsqg6k8acf61vfc";
+ libraryHaskellDepends = [
+ array base bytestring crypton memory network-byte-order
+ ];
+ testHaskellDepends = [ base bytestring hspec ];
+ testToolDepends = [ hspec-discover ];
description = "crypto tokens";
license = lib.licenses.bsd3;
}) {};
@@ -77143,8 +77619,8 @@ self: {
}:
mkDerivation {
pname = "cryptol";
- version = "3.0.0";
- sha256 = "0kymqn6v2k2v8nyrcbr9kimxjdy6363mxqb1a5vg6w2im3360il4";
+ version = "3.1.0";
+ sha256 = "00qb0pmhmjcfkg6a7x948azp35rriajh4bfa1r8yb78in0ahyngj";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -77172,28 +77648,6 @@ self: {
}) {};
"crypton" = callPackage
- ({ mkDerivation, base, basement, bytestring, deepseq, gauge
- , ghc-prim, integer-gmp, memory, random, tasty, tasty-hunit
- , tasty-kat, tasty-quickcheck
- }:
- mkDerivation {
- pname = "crypton";
- version = "0.32";
- sha256 = "13108lxrnlmh3gi828lmqcz42v9id6pr3v9ph288yx2s6zyr0j2l";
- libraryHaskellDepends = [
- base basement bytestring deepseq ghc-prim integer-gmp memory
- ];
- testHaskellDepends = [
- base bytestring memory tasty tasty-hunit tasty-kat tasty-quickcheck
- ];
- benchmarkHaskellDepends = [
- base bytestring deepseq gauge memory random
- ];
- description = "Cryptography Primitives sink";
- license = lib.licenses.bsd3;
- }) {};
-
- "crypton_0_34" = callPackage
({ mkDerivation, base, basement, bytestring, deepseq, gauge
, ghc-prim, integer-gmp, memory, random, tasty, tasty-hunit
, tasty-kat, tasty-quickcheck
@@ -77213,7 +77667,6 @@ self: {
];
description = "Cryptography Primitives sink";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"crypton-conduit" = callPackage
@@ -77255,6 +77708,25 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "crypton-connection_0_3_2" = callPackage
+ ({ mkDerivation, base, basement, bytestring, containers
+ , crypton-x509, crypton-x509-store, crypton-x509-system
+ , crypton-x509-validation, data-default-class, network, socks, tls
+ }:
+ mkDerivation {
+ pname = "crypton-connection";
+ version = "0.3.2";
+ sha256 = "07lrkv6lwphsyp4797yp8ywnndzd270bk58r8gwyby0hr4xy52r0";
+ libraryHaskellDepends = [
+ base basement bytestring containers crypton-x509 crypton-x509-store
+ crypton-x509-system crypton-x509-validation data-default-class
+ network socks tls
+ ];
+ description = "Simple and easy network connections API";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"crypton-x509" = callPackage
({ mkDerivation, asn1-encoding, asn1-parse, asn1-types, base
, bytestring, containers, crypton, hourglass, memory, mtl, pem
@@ -77547,6 +78019,7 @@ self: {
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
mainProgram = "csg-raycaster";
+ broken = true;
}) {};
"csound-catalog" = callPackage
@@ -77854,6 +78327,8 @@ self: {
];
description = "A flexible, fast, conduit-based CSV parser library for Haskell";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"csv-enumerator" = callPackage
@@ -78047,7 +78522,9 @@ self: {
executableToolDepends = [ alex happy ];
description = "Implementation of Univalence in Cubical Sets";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "cubical";
+ broken = true;
}) {};
"cubicbezier" = callPackage
@@ -78199,6 +78676,8 @@ self: {
libraryToolDepends = [ c2hs ];
description = "Bindings to the CUDD binary decision diagrams library";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {inherit (pkgs) cudd;};
"cue-sheet" = callPackage
@@ -78210,8 +78689,8 @@ self: {
pname = "cue-sheet";
version = "2.0.2";
sha256 = "0n8a5m5qlz8dz03sphj9pybfjfkc0gngpmh56h6idj88frn1z947";
- revision = "2";
- editedCabalFile = "0qri8cm9spcpc0g782b0liq9bv350n6cgblnni2dr3q8m6jvkfxx";
+ revision = "3";
+ editedCabalFile = "1qs26dnfk33bzlvj8mhaw8h1qrcviqyizzwhi58sff01zzcd0r2y";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
base bytestring containers exceptions megaparsec mtl QuickCheck
@@ -78483,25 +78962,6 @@ self: {
}) {};
"currycarbon" = callPackage
- ({ mkDerivation, base, filepath, math-functions
- , optparse-applicative, parsec, vector
- }:
- mkDerivation {
- pname = "currycarbon";
- version = "0.2.1.2";
- sha256 = "0f2c4600058syw3qpy5c7jzxc2q0n2zvvjvad2ffv52zfbvysk20";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- base filepath math-functions parsec vector
- ];
- executableHaskellDepends = [ base filepath optparse-applicative ];
- description = "A package for simple, fast radiocarbon calibration";
- license = lib.licenses.mit;
- mainProgram = "currycarbon";
- }) {};
-
- "currycarbon_0_3_0_1" = callPackage
({ mkDerivation, base, filepath, hspec, hspec-core, math-functions
, MonadRandom, optparse-applicative, parsec, process, random
, vector
@@ -78519,7 +78979,6 @@ self: {
testHaskellDepends = [ base hspec hspec-core process ];
description = "A package for simple, fast radiocarbon calibration";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
mainProgram = "currycarbon";
}) {};
@@ -78545,12 +79004,12 @@ self: {
, criterion, exceptions, fast-builder, hashable, network
, network-byte-order, optparse-generic, stm, stm-containers
, streamly, streamly-bytestring, streamly-core, tasty, tasty-hunit
- , time, uuid, winery
+ , text, time, uuid, winery
}:
mkDerivation {
pname = "curryer-rpc";
- version = "0.3.1";
- sha256 = "0kw2xsiyrpi2qg5fhb2zl7b593qksqpkmb3j28wf39xm7k2gw1m0";
+ version = "0.3.5";
+ sha256 = "0iph39bnk5ymzq81vpvyjf7a2h5a43d90pj7b22hgcahrxl4mz8l";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -78563,7 +79022,7 @@ self: {
];
testHaskellDepends = [
async base bytestring network stm streamly-bytestring streamly-core
- tasty tasty-hunit winery
+ tasty tasty-hunit text winery
];
benchmarkHaskellDepends = [
async base bytestring criterion network winery
@@ -78651,30 +79110,45 @@ self: {
license = lib.licenses.mit;
}) {};
+ "cursor-fuzzy-time_0_1_0_0" = callPackage
+ ({ mkDerivation, base, cursor, deepseq, fuzzy-time, megaparsec
+ , microlens, text, time, validity
+ }:
+ mkDerivation {
+ pname = "cursor-fuzzy-time";
+ version = "0.1.0.0";
+ sha256 = "1ddw4grs4q2gpyyls5r32qhzbllsvfw8k7vfda5hqawam69cg2a3";
+ libraryHaskellDepends = [
+ base cursor deepseq fuzzy-time megaparsec microlens text time
+ validity
+ ];
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"cursor-fuzzy-time-gen" = callPackage
- ({ mkDerivation, base, containers, criterion, cursor-fuzzy-time
- , cursor-gen, deepseq, fuzzy-time, fuzzy-time-gen, genvalidity
- , genvalidity-criterion, genvalidity-hspec
- , genvalidity-hspec-optics, genvalidity-time, hspec, megaparsec
- , QuickCheck, text, time
+ ({ mkDerivation, base, criterion, cursor-fuzzy-time, cursor-gen
+ , fuzzy-time, fuzzy-time-gen, genvalidity, genvalidity-criterion
+ , genvalidity-hspec, genvalidity-hspec-optics, genvalidity-time
+ , hspec, QuickCheck, time
}:
mkDerivation {
pname = "cursor-fuzzy-time-gen";
- version = "0.1.0.0";
- sha256 = "12bwxpghgry3dhlpny4zmyjf4xillrajdr2xas07aknddks5bzn1";
+ version = "0.2.0.0";
+ sha256 = "04cywjp0kjya0shhas6jiyndgshbnkg21f8zj4saw7587mf8nphb";
libraryHaskellDepends = [
- base containers cursor-fuzzy-time cursor-gen deepseq fuzzy-time
- fuzzy-time-gen genvalidity genvalidity-time megaparsec time
+ base cursor-fuzzy-time cursor-gen fuzzy-time-gen genvalidity
+ genvalidity-time
];
testHaskellDepends = [
- base cursor-fuzzy-time fuzzy-time genvalidity genvalidity-hspec
- genvalidity-hspec-optics genvalidity-time hspec megaparsec
- QuickCheck text time
+ base cursor-fuzzy-time fuzzy-time genvalidity-hspec
+ genvalidity-hspec-optics hspec QuickCheck time
];
benchmarkHaskellDepends = [
- base criterion cursor-fuzzy-time genvalidity-criterion QuickCheck
+ base criterion cursor-fuzzy-time genvalidity-criterion
];
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"cursor-gen" = callPackage
@@ -78787,6 +79261,8 @@ self: {
testHaskellDepends = [ base template-haskell ];
description = "Customizable string interpolation quasiquoters";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"custom-prelude" = callPackage
@@ -79023,6 +79499,8 @@ self: {
doHaddock = false;
description = "Digits 0-9";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"d3d11binding" = callPackage
@@ -79069,37 +79547,11 @@ self: {
libraryHaskellDepends = [ base directory unix ];
description = "Start background daemons by double-forking";
license = lib.licenses.gpl3Only;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"daemons" = callPackage
- ({ mkDerivation, base, bytestring, cereal, containers, data-default
- , directory, filepath, ghc-prim, HUnit, network, pipes
- , test-framework, test-framework-hunit, transformers, unix
- }:
- mkDerivation {
- pname = "daemons";
- version = "0.3.0";
- sha256 = "05h03qk31lank60cd0ig9h4rf8zl6p4li5smba919lgpm89lyvy3";
- isLibrary = true;
- isExecutable = true;
- enableSeparateDataOutput = true;
- libraryHaskellDepends = [
- base bytestring cereal data-default directory filepath ghc-prim
- network pipes transformers unix
- ];
- executableHaskellDepends = [
- base bytestring cereal containers data-default ghc-prim network
- pipes transformers
- ];
- testHaskellDepends = [
- base data-default directory ghc-prim HUnit test-framework
- test-framework-hunit unix
- ];
- description = "Daemons in Haskell made fun and easy";
- license = lib.licenses.gpl3Only;
- }) {};
-
- "daemons_0_4_0" = callPackage
({ mkDerivation, base, bytestring, cereal, containers, data-default
, directory, filepath, ghc-prim, HUnit, network, pipes
, test-framework, test-framework-hunit, transformers, unix
@@ -79125,7 +79577,6 @@ self: {
];
description = "Daemons in Haskell made fun and easy";
license = lib.licenses.gpl3Only;
- hydraPlatforms = lib.platforms.none;
}) {};
"dag" = callPackage
@@ -79153,8 +79604,8 @@ self: {
}:
mkDerivation {
pname = "dahdit";
- version = "0.5.0";
- sha256 = "15w57xbiqdcyl51g4lcvwknhn8qwmpcipjgg7zkf44hi12dq77qj";
+ version = "0.5.1";
+ sha256 = "03fc6h3g6w6ymlq3g54ihkwca6jzc6xlhphxadi5xi8di0xsrbla";
libraryHaskellDepends = [
base bytestring containers data-default data-sword free
ghc-typelits-knownnat mtl primitive text transformers vector
@@ -79170,14 +79621,37 @@ self: {
broken = true;
}) {};
+ "dahdit-midi" = callPackage
+ ({ mkDerivation, base, bytestring, containers, dahdit, dahdit-test
+ , data-sword, daytripper, directory, falsify, filepath, hashable
+ , nanotime, newtype, primitive, tasty, text, vector
+ }:
+ mkDerivation {
+ pname = "dahdit-midi";
+ version = "0.5.5";
+ sha256 = "082fjzfnfwydb9x638f3faggd5safwjbkaz67905xi582f01ilg9";
+ libraryHaskellDepends = [
+ base bytestring containers dahdit data-sword hashable nanotime
+ newtype primitive text vector
+ ];
+ testHaskellDepends = [
+ base bytestring containers dahdit dahdit-test data-sword daytripper
+ directory falsify filepath hashable nanotime newtype primitive
+ tasty text vector
+ ];
+ description = "MIDI and OSC parsing/printing with dahdit";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"dahdit-network" = callPackage
({ mkDerivation, async, base, bytestring, dahdit, network
, resourcet, stm, tasty, tasty-hunit, unliftio-core
}:
mkDerivation {
pname = "dahdit-network";
- version = "0.5.0";
- sha256 = "0f732x98bv0n39j4dvgyiac4vv37pi7fp6fasjrpgqmpzlq4ww18";
+ version = "0.5.2";
+ sha256 = "0gsz67y6r02l69jakfiwd7607d6alf0bzhm9binabxqc2h9n439g";
libraryHaskellDepends = [
base bytestring dahdit network resourcet unliftio-core
];
@@ -79196,8 +79670,8 @@ self: {
}:
mkDerivation {
pname = "dahdit-test";
- version = "0.5.0";
- sha256 = "0hvdicx4m339i1hfzvbjpgnhkcv223ci9m2iggrccx3wv96hxgbl";
+ version = "0.5.1";
+ sha256 = "105wvh7x1pcnmzfjknpfycr4r8zcddhc385y3jbgdpbhrjijh1a9";
libraryHaskellDepends = [
base bytestring containers dahdit daytripper directory falsify text
];
@@ -79414,7 +79888,9 @@ self: {
'';
description = "a distributed, interactive, smart revision control system";
license = lib.licenses.gpl2Plus;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "darcs";
+ broken = true;
}) {};
"darcs-benchmark" = callPackage
@@ -80100,6 +80576,8 @@ self: {
libraryHaskellDepends = [ base constraints ];
description = "Define Backwards Compatibility Schemes for Arbitrary Data";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"data-concurrent-queue" = callPackage
@@ -80466,6 +80944,8 @@ self: {
testHaskellDepends = [ base hspec ];
description = "Coerce between unlifted boxed and lifted types";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"data-elf" = callPackage
@@ -80704,6 +81184,8 @@ self: {
pname = "data-forced";
version = "0.3.0.0";
sha256 = "1y97a5n9brqi076anzlhcg61h7r7wmkcplch0y0qh2c6p8ssm91y";
+ revision = "1";
+ editedCabalFile = "141ynrrrhlf42hdwwsjnrwnz3zi9jvip1p0616l7wcgccj9ygar5";
libraryHaskellDepends = [ base data-elevator deepseq ];
testHaskellDepends = [ base containers HUnit ];
description = "Specify that lifted values were forced to WHNF or NF";
@@ -80820,10 +81302,8 @@ self: {
}:
mkDerivation {
pname = "data-interval";
- version = "2.1.1";
- sha256 = "1gxdf1pi54s9fvxgp112b0l9f4q4r29f03caz04wbhv1f6cbs912";
- revision = "2";
- editedCabalFile = "1was8y0bsr36qwqs3kphpncp7jqimvyw27nd9qx6fmyp0s71yjmw";
+ version = "2.1.2";
+ sha256 = "01nr9g5phijlchbfc8h8rc1y54igzshnx5ld4fyls3kw8dsr03jf";
libraryHaskellDepends = [
base containers deepseq extended-reals hashable lattices
];
@@ -81559,6 +82039,33 @@ self: {
mainProgram = "dsinf";
}) {};
+ "data-svd" = callPackage
+ ({ mkDerivation, base, boxes, bytestring, cereal, containers
+ , data-default-class, Diff, directory, hashable, hspec
+ , hspec-discover, hspec-golden, hxt, hxt-xpath, lens, prettyprinter
+ , prettyprinter-ansi-terminal, safe, text
+ }:
+ mkDerivation {
+ pname = "data-svd";
+ version = "0.1.2.0";
+ sha256 = "1n4n0vmyl231mwib8ivin3q05vh29kxr7icxgy84wbffki32hr0j";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ base boxes bytestring cereal containers data-default-class Diff
+ directory hashable hxt hxt-xpath lens prettyprinter
+ prettyprinter-ansi-terminal safe text
+ ];
+ executableHaskellDepends = [ base ];
+ testHaskellDepends = [
+ base data-default-class hspec hspec-golden lens prettyprinter
+ ];
+ testToolDepends = [ hspec-discover ];
+ description = "SVD (System view description) file handling";
+ license = lib.licenses.bsd3;
+ mainProgram = "pretty-svd";
+ }) {};
+
"data-sword" = callPackage
({ mkDerivation, base, data-bword, hashable, tasty
, tasty-quickcheck, template-haskell
@@ -82222,8 +82729,8 @@ self: {
}:
mkDerivation {
pname = "daytripper";
- version = "0.3.0";
- sha256 = "0m5xhr7rngkxl4n1rzwh6fq192mk0c63css72xirjrm0hhpp9jwl";
+ version = "0.3.1";
+ sha256 = "1x9zjfj302fd6r378rw19a7n4jivc71bpi6c37il0w1y5951c462";
libraryHaskellDepends = [
base bytestring directory falsify optparse-applicative tagged tasty
tasty-hunit
@@ -82434,33 +82941,6 @@ self: {
}) {};
"dbus" = callPackage
- ({ mkDerivation, base, bytestring, cereal, conduit, containers
- , criterion, deepseq, directory, exceptions, extra, filepath, lens
- , network, parsec, process, QuickCheck, random, resourcet, split
- , tasty, tasty-hunit, tasty-quickcheck, template-haskell, text
- , th-lift, transformers, unix, vector, xml-conduit, xml-types
- }:
- mkDerivation {
- pname = "dbus";
- version = "1.2.29";
- sha256 = "0c2c2lfckhzgf9n5hf2w39ryyb2q0wxsymrxr54y4j95353wdsn2";
- libraryHaskellDepends = [
- base bytestring cereal conduit containers deepseq exceptions
- filepath lens network parsec random split template-haskell text
- th-lift transformers unix vector xml-conduit xml-types
- ];
- testHaskellDepends = [
- base bytestring cereal containers directory extra filepath network
- parsec process QuickCheck random resourcet tasty tasty-hunit
- tasty-quickcheck text transformers unix vector
- ];
- benchmarkHaskellDepends = [ base criterion ];
- doCheck = false;
- description = "A client library for the D-Bus IPC system";
- license = lib.licenses.asl20;
- }) {};
-
- "dbus_1_3_1" = callPackage
({ mkDerivation, base, bytestring, cereal, conduit, containers
, criterion, deepseq, directory, exceptions, extra, filepath, lens
, network, parsec, process, QuickCheck, random, resourcet, split
@@ -82469,8 +82949,8 @@ self: {
}:
mkDerivation {
pname = "dbus";
- version = "1.3.1";
- sha256 = "0n3ghygqdbhgknv9hr650lv9vfk8jb6qfcw7p39kxjw9qxxbfvm3";
+ version = "1.3.2";
+ sha256 = "0g73fps81nibg9y2lnakrg57ndk4gpcjw3cnqfcns085h8d6dmxg";
libraryHaskellDepends = [
base bytestring cereal conduit containers deepseq exceptions
filepath lens network parsec random split template-haskell text
@@ -82485,7 +82965,6 @@ self: {
doCheck = false;
description = "A client library for the D-Bus IPC system";
license = lib.licenses.asl20;
- hydraPlatforms = lib.platforms.none;
}) {};
"dbus-client" = callPackage
@@ -83097,6 +83576,7 @@ self: {
libraryHaskellDepends = [ base directory filepath HSH ];
description = "Utilities to work with debian binary packages";
license = "unknown";
+ hydraPlatforms = lib.platforms.none;
}) {};
"debian-build" = callPackage
@@ -83477,8 +83957,8 @@ self: {
({ mkDerivation, base, containers, indexed-traversable }:
mkDerivation {
pname = "deep-map";
- version = "0.2.0";
- sha256 = "1qbrhmwd3r7767nqcvmlkl44g852xyh4yaspcym1d5jxpbzgcbpy";
+ version = "0.2.0.1";
+ sha256 = "1qawlz663k1n20wk0qx47mldyydf8glgp8m4b8r2pxhyh99yqf0j";
libraryHaskellDepends = [ base containers indexed-traversable ];
description = "Deeply-nested, multiple key type maps";
license = lib.licenses.bsd3;
@@ -83706,6 +84186,8 @@ self: {
libraryHaskellDepends = [ base containers deepseq semigroupoids ];
description = "Applicative maps";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"deferred-folds" = callPackage
@@ -84352,6 +84834,8 @@ self: {
benchmarkHaskellDepends = [ base containers criterion ];
description = "Dependency resolution for package management";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"dependent-hashmap" = callPackage
@@ -84787,14 +85271,16 @@ self: {
({ mkDerivation, base, hspec, template-haskell, th-abstraction }:
mkDerivation {
pname = "derive-has-field";
- version = "0.0.1.1";
- sha256 = "0aai12n4acvxga6w6jz4npca1581ks7x1y6y337y0n46mqlsy6kf";
+ version = "0.0.1.2";
+ sha256 = "03w0qvs7adgwc676gk0q36bm9s7f7b709siy4dh0y27qblcjb6a4";
libraryHaskellDepends = [ base template-haskell th-abstraction ];
testHaskellDepends = [
base hspec template-haskell th-abstraction
];
description = "Derive HasField instances with Template Haskell";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"derive-lifted-instances" = callPackage
@@ -84962,6 +85448,7 @@ self: {
description = "DerivingVia for OpenAPI 3";
license = lib.licenses.mit;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"deriving-show-simple" = callPackage
@@ -84977,23 +85464,6 @@ self: {
}) {};
"deriving-trans" = callPackage
- ({ mkDerivation, base, exceptions, monad-control
- , monad-control-identity, mtl, primitive, transformers
- , transformers-base, unliftio-core
- }:
- mkDerivation {
- pname = "deriving-trans";
- version = "0.5.2.0";
- sha256 = "0890885anzr9rvgmia5pm7ppxabgkssxg0i4jkfgxsnayj9rhd27";
- libraryHaskellDepends = [
- base exceptions monad-control monad-control-identity mtl primitive
- transformers transformers-base unliftio-core
- ];
- description = "Derive instances for monad transformer stacks";
- license = lib.licenses.bsd3;
- }) {};
-
- "deriving-trans_0_9_1_0" = callPackage
({ mkDerivation, base, exceptions, logict, monad-control
, monad-control-identity, monad-logger, mtl, primitive, random
, resourcet, transformers, transformers-base, unliftio-core
@@ -85009,7 +85479,6 @@ self: {
];
description = "Derive instances for monad transformer stacks";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"derivingvia-extras" = callPackage
@@ -85060,7 +85529,9 @@ self: {
testHaskellDepends = [ base HUnit ];
description = "Parse and render JSON simply";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "derulo";
+ broken = true;
}) {};
"describe" = callPackage
@@ -85177,21 +85648,21 @@ self: {
"desktop-portal" = callPackage
({ mkDerivation, base, binary, bytestring, containers
- , data-default-class, dbus, directory, hspec, hspec-discover
- , hspec-expectations, modern-uri, network, process, random
- , temporary, text, unix
+ , data-default-class, dbus, directory, filepath, hspec
+ , hspec-discover, hspec-expectations, modern-uri, network, process
+ , random, temporary, text, unix
}:
mkDerivation {
pname = "desktop-portal";
- version = "0.4.0.0";
- sha256 = "1h3qrswrlpfd0f6h3fknx47zpii5w1mq026yad5ym3ryp3xs2591";
+ version = "0.6.0.0";
+ sha256 = "18cgwz8cgsj1vjhk4rgv4lakqbnrrb5pspkpg5lnkc9kq7953d1g";
libraryHaskellDepends = [
base binary bytestring containers data-default-class dbus directory
- modern-uri network random text unix
+ filepath modern-uri network random text unix
];
testHaskellDepends = [
base binary bytestring containers data-default-class dbus directory
- hspec hspec-expectations modern-uri network process random
+ filepath hspec hspec-expectations modern-uri network process random
temporary text unix
];
testToolDepends = [ hspec-discover ];
@@ -85307,6 +85778,25 @@ self: {
broken = true;
}) {};
+ "devanagari-transliterations" = callPackage
+ ({ mkDerivation, array, base, containers, deepseq, extra, hedgehog
+ , hspec, hspec-hedgehog, QuickCheck, text
+ }:
+ mkDerivation {
+ pname = "devanagari-transliterations";
+ version = "0.1.0.0";
+ sha256 = "14lj970vfwi6969qj2mjdxbs844zjcwvgnni125b6f64dkkakh7r";
+ libraryHaskellDepends = [
+ array base containers deepseq extra text
+ ];
+ testHaskellDepends = [
+ array base containers deepseq extra hedgehog hspec hspec-hedgehog
+ QuickCheck text
+ ];
+ description = "Conversion between Devanagari Unicode, Harvard-Kyoto, IAST and ISO15919";
+ license = lib.licenses.bsd3;
+ }) {};
+
"devil" = callPackage
({ mkDerivation, aeson, base, bytestring, directory, filepath
, hinotify, process, split, text, unix, unordered-containers
@@ -85674,6 +86164,8 @@ self: {
pname = "dhall-docs";
version = "1.0.11";
sha256 = "0i9czz2p2kv5mw7mnbpqq9nl86aqyp4z0yy115q3nfasl5ys6jlq";
+ revision = "1";
+ editedCabalFile = "04i3v73hgyq57a6010wkd7xhmafy8xxc5vsl9km2r3wqy7505fj9";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -85741,8 +86233,8 @@ self: {
pname = "dhall-json";
version = "1.7.12";
sha256 = "1ynm347ccqgh2jmnq9mwj3mc3zd81pwqja5ivdwxkjw08d1wsj6a";
- revision = "1";
- editedCabalFile = "0rf3zlr75x6g4hl1759j21fnnrp21shc7a35x7c73a0xyzpviqqi";
+ revision = "2";
+ editedCabalFile = "0yii9bknd19l65z56zlsxsf4kzbnhygr9dl6bkzy991qsp8155yy";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -85779,6 +86271,7 @@ self: {
benchmarkHaskellDepends = [ base bytestring criterion ];
description = "Lexer for the Dhall language";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"dhall-lsp-server" = callPackage
@@ -85822,6 +86315,8 @@ self: {
pname = "dhall-nix";
version = "1.1.26";
sha256 = "1xgmmfp6bi3mnd9l335ks6xcgpfy2s2kgpcygi7i7p41f795zgma";
+ revision = "1";
+ editedCabalFile = "1bjy0ngvlg9a6b1bsms894qr23axqzg4xgjb1d0hmdyrvkhniwxq";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -85847,6 +86342,8 @@ self: {
pname = "dhall-nixpkgs";
version = "1.0.10";
sha256 = "09p1zfssqab3p3x3ngidfkf31kk5kvcx8krjiyf18vmq9fn75mm5";
+ revision = "1";
+ editedCabalFile = "19dj1npbcib00zxhiphqg1icbwx9m3qbhcgbp7bciarymy3cqxc3";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
@@ -85870,6 +86367,8 @@ self: {
pname = "dhall-openapi";
version = "1.0.6";
sha256 = "0jx905x17lfwb316hx6mwiwr647688nwwcikv5iadv2qr9s04yc9";
+ revision = "1";
+ editedCabalFile = "1xzzrgjajpr49bi6lpi2hys9la9646mi4f8wp3md5fim305l19pb";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -86021,6 +86520,8 @@ self: {
pname = "dhall-toml";
version = "1.0.3";
sha256 = "0d99msfbd9dxhjh2bc5bnzwn4c5n4viv3q0n4p1mx2rw67jyra80";
+ revision = "1";
+ editedCabalFile = "1v0ibk5zr1nifxvy445xph3w134dmcxki5wnw63nrf2vdn7zjby5";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -86046,8 +86547,8 @@ self: {
pname = "dhall-yaml";
version = "1.2.12";
sha256 = "1sh802maai9vxfrjd0w4k9cv4pklhkxid1s5xdbagywcaqdhk272";
- revision = "1";
- editedCabalFile = "0l408ja5505krp0zpdsh64fccv12firn9q39s9m6rvqzbfzyd1y3";
+ revision = "2";
+ editedCabalFile = "1wbfyi950kpy1ppd1dq465y9amjc47bvzwjiffsbp8azq5j45bx6";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -86218,6 +86719,7 @@ self: {
];
description = "DI logger wrapped for Polysemy";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"dia-base" = callPackage
@@ -86345,6 +86847,8 @@ self: {
pname = "diagrams-builder";
version = "0.8.0.6";
sha256 = "17yi5dmcxx4sgk3wha386zbv9h69pwq72j8i21vmfh35brxhs9f4";
+ revision = "1";
+ editedCabalFile = "1w9bjc6zizp779rk4frfmffzlv4vq4sljdcvql9ma5qy679phiyr";
configureFlags = [ "-fcairo" "-fps" "-frasterific" "-fsvg" ];
isLibrary = true;
isExecutable = true;
@@ -86393,6 +86897,8 @@ self: {
pname = "diagrams-canvas";
version = "1.4.1.2";
sha256 = "165iwjvx17ym5qsrxsj7va4kmmifg8nay1qq7mbyp3crvfvkfgv2";
+ revision = "1";
+ editedCabalFile = "1cj3ckny5xgsr624d1zc4z9kdfw9lfpc4vfzza9nn3jzy3px8z7l";
libraryHaskellDepends = [
base blank-canvas cmdargs containers data-default-class
diagrams-core diagrams-lib lens mtl NumInstances
@@ -86414,6 +86920,8 @@ self: {
pname = "diagrams-contrib";
version = "1.4.5.1";
sha256 = "0whp2p9m7pcb2sgyr8rvhf518f18w5i0vxziganw7qj6ijn9kdyb";
+ revision = "1";
+ editedCabalFile = "08bqpgqcsbiqq1zc07sy6n5k5nyhf63hsrds759fqbr6hb9zwxsx";
libraryHaskellDepends = [
base circle-packing colour containers cubicbezier data-default
data-default-class diagrams-core diagrams-lib diagrams-solve
@@ -86464,6 +86972,8 @@ self: {
];
description = "Cairo backend for diagrams drawing EDSL";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"diagrams-graphviz" = callPackage
@@ -86474,8 +86984,8 @@ self: {
pname = "diagrams-graphviz";
version = "1.4.1.1";
sha256 = "0lscrxd682jvyrl5bj4dxp7593qwyis01sl0p4jm2jfn335wdq40";
- revision = "4";
- editedCabalFile = "0gkj1l3vhyn0haphk8f89qc1ibgxlyprh2jw9yi1m0wmd3whwif4";
+ revision = "5";
+ editedCabalFile = "11kl8sgm3g722wqf734adh5lkhrbpm9cryj19g7zipjg9lgns9q6";
libraryHaskellDepends = [
base containers diagrams-lib fgl graphviz split
];
@@ -86509,6 +87019,8 @@ self: {
pname = "diagrams-haddock";
version = "0.4.1.2";
sha256 = "00g11i1b3bz59jzsnvv9gsxr50593mky8qv4djnhq4xsx6p7i8rj";
+ revision = "1";
+ editedCabalFile = "0wlhy12pfs36vgnnd4ip0i9wlrzn3x7z03nvdpb2awwrfyk3p8mv";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -86603,8 +87115,8 @@ self: {
pname = "diagrams-lib";
version = "1.4.6";
sha256 = "1a0wl8lspwkby5x45j1wa1xq27bs67x5vwj8jzavym56a3f2pjcb";
- revision = "3";
- editedCabalFile = "09b3hdmsk5byjm1jcq03zjb8fz7h3lid747abi3fq9bvsaqsp5ka";
+ revision = "4";
+ editedCabalFile = "1n6v9w2713da1ihfl47hikql033wcx9kjmzry8ykg581qzwb3rag";
libraryHaskellDepends = [
active adjunctions array base bytestring cereal colour containers
data-default-class diagrams-core diagrams-solve directory
@@ -86677,6 +87189,8 @@ self: {
pname = "diagrams-pgf";
version = "1.4.2.1";
sha256 = "1778sjjvggq5ks73489y76f4z0cvzkn9ixn176fm8kf8swaf82ja";
+ revision = "1";
+ editedCabalFile = "0j2hkmhkbqywsc4vkpn2jvh37hdw1lwphlfvid1f90ayq2npc6p0";
libraryHaskellDepends = [
base bytestring bytestring-builder colour containers diagrams-core
diagrams-lib directory filepath hashable JuicyPixels mtl
@@ -86695,8 +87209,8 @@ self: {
pname = "diagrams-postscript";
version = "1.5.1.1";
sha256 = "1kwb100k3qif9gc8kgvglya5by61522128cxsjrxk5a8dzpgwal4";
- revision = "1";
- editedCabalFile = "0h6wkzncxcz8pjqqr696y3m6d3xbsm5n5d5r4pfx7b81kq53l6x8";
+ revision = "2";
+ editedCabalFile = "1gg221ycs2505f43rngaf47j65mh9dk6apfzsmxkdw2zz278cl26";
libraryHaskellDepends = [
base bytestring containers data-default-class diagrams-core
diagrams-lib hashable lens monoid-extras mtl semigroups split
@@ -86732,6 +87246,8 @@ self: {
pname = "diagrams-rasterific";
version = "1.4.2.3";
sha256 = "0n46scybjs8mnhrnh5z3nkrah6f8v1rv4cca8k8mqzsf8ss30q5l";
+ revision = "1";
+ editedCabalFile = "1w1jksdpfra1x76r3dz8lkcbg661ccgi0pwag7kgdi9nj62ahmyh";
libraryHaskellDepends = [
base bytestring containers data-default-class diagrams-core
diagrams-lib file-embed filepath FontyFruity hashable JuicyPixels
@@ -86805,8 +87321,8 @@ self: {
pname = "diagrams-svg";
version = "1.4.3.1";
sha256 = "002lgmq78c6rsvds9bgm6m4w8j6qpg260mc52hf97wj6m050l237";
- revision = "5";
- editedCabalFile = "00hjvv9ncf5il7a09g2gh45bwah4jbnfnhb523jz9lhqqbzxfxny";
+ revision = "6";
+ editedCabalFile = "1m64lzffszyln6hk35zqnrm32qy8skvz754pdk3xvkv8dspmia4s";
libraryHaskellDepends = [
base base64-bytestring bytestring colour containers diagrams-core
diagrams-lib filepath hashable JuicyPixels lens monoid-extras mtl
@@ -87116,8 +87632,8 @@ self: {
pname = "diff-loc";
version = "0.1.0.0";
sha256 = "08sbxkf804bq3lwr9s1k1vigq7yrdjgicrj114zi14cdi4168k3x";
- revision = "1";
- editedCabalFile = "1macpybgwxyak0cgpimkjqzzn0xf4mkyj9n0papjzxjaw3iv4vmd";
+ revision = "2";
+ editedCabalFile = "1wqbrgp1dxvcwlc04l4rx9g2pkjl1spvrlhkfrzm5xkra33fsnqy";
libraryHaskellDepends = [ base fingertree show-combinators ];
description = "Map file locations across diffs";
license = lib.licenses.mit;
@@ -87314,6 +87830,19 @@ self: {
license = lib.licenses.bsd2;
}) {inherit (pkgs) zlib;};
+ "digest_0_0_2_1" = callPackage
+ ({ mkDerivation, base, bytestring, zlib }:
+ mkDerivation {
+ pname = "digest";
+ version = "0.0.2.1";
+ sha256 = "163418p50bqdxn8ajzj6x3455xbch9jq1w6psbkdz5qzw017py6b";
+ libraryHaskellDepends = [ base bytestring ];
+ libraryPkgconfigDepends = [ zlib ];
+ description = "CRC32 and Adler32 hashes for bytestrings";
+ license = lib.licenses.bsd2;
+ hydraPlatforms = lib.platforms.none;
+ }) {inherit (pkgs) zlib;};
+
"digest-pure" = callPackage
({ mkDerivation, array, base, bytestring, digest, QuickCheck }:
mkDerivation {
@@ -87386,6 +87915,8 @@ self: {
pname = "digestive-functors";
version = "0.8.4.2";
sha256 = "0x0mh43rli77vqs9bpqd85w5wwr68qchfl5086nn137358583lrn";
+ revision = "1";
+ editedCabalFile = "0vyl3bkww5c4pgjs79rp7wambn9diggdrh5iddqhz9acxi95wfvp";
libraryHaskellDepends = [
base bytestring containers mtl old-locale semigroups text time
];
@@ -87429,6 +87960,8 @@ self: {
pname = "digestive-functors-blaze";
version = "0.6.2.0";
sha256 = "19019nmzg84mgdvhpkyrd4v9dsnd9yjn3cmgcj3aj7yx4z4m1c24";
+ revision = "1";
+ editedCabalFile = "1jzr0k7d37dgi8x7gl21xnqrl3hbk4g6wrsyh0nfbhipgr72r8gh";
libraryHaskellDepends = [
base blaze-html blaze-markup digestive-functors text
];
@@ -87468,6 +88001,7 @@ self: {
description = "Heist frontend for the digestive-functors library";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"digestive-functors-hsp" = callPackage
@@ -87512,6 +88046,8 @@ self: {
];
description = "Scotty backend for the digestive-functors library";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"digestive-functors-snap" = callPackage
@@ -87602,6 +88138,7 @@ self: {
testHaskellDepends = [ base fgl hashable massiv QuickCheck ];
description = "Directed Graphs";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"dihaa" = callPackage
@@ -87778,30 +88315,32 @@ self: {
];
description = "A convenient tagless EDSL";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"diohsc" = callPackage
({ mkDerivation, asn1-encoding, asn1-types, base, bytestring
- , containers, cryptonite, data-default-class, directory
+ , containers, crypton, crypton-x509, crypton-x509-store
+ , crypton-x509-validation, data-default-class, directory
, drunken-bishop, exceptions, filepath, hashable, haskeline
, hourglass, iconv, memory, mime, mtl, network, network-simple
, network-uri, parsec, pem, process, regex-compat, rset, safe
- , temporary, terminal-size, text, tls, transformers, unix, x509
- , x509-store, x509-validation
+ , temporary, terminal-size, text, tls, transformers, unix
}:
mkDerivation {
pname = "diohsc";
- version = "0.1.14.5";
- sha256 = "1xfn2n2mzxs9r2p7gprqv8r1rmpvafjlc2hww48c87ldlfknw0yq";
+ version = "0.1.14.6";
+ sha256 = "00856rbgk7xq6mq1q58ibzbbkag6jh3zqvyzapjw6baj83gd4br3";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
- asn1-encoding asn1-types base bytestring containers cryptonite
+ asn1-encoding asn1-types base bytestring containers crypton
+ crypton-x509 crypton-x509-store crypton-x509-validation
data-default-class directory drunken-bishop exceptions filepath
hashable haskeline hourglass iconv memory mime mtl network
network-simple network-uri parsec pem process regex-compat rset
- safe temporary terminal-size text tls transformers unix x509
- x509-store x509-validation
+ safe temporary terminal-size text tls transformers unix
];
description = "Gemini client";
license = lib.licenses.gpl3Only;
@@ -87991,8 +88530,8 @@ self: {
}:
mkDerivation {
pname = "direct-sqlite";
- version = "2.3.28";
- sha256 = "0i4a7g8ffsryifv7abg50qcrgbi71sbyhdx4i2vvv0k4srngyi37";
+ version = "2.3.29";
+ sha256 = "1byhnk4jcv83iw7rqw48p8xk6s2dfs1dh6ibwwzkc9m9lwwcwajz";
libraryHaskellDepends = [ base bytestring text ];
testHaskellDepends = [
base base16-bytestring bytestring directory HUnit temporary text
@@ -88034,12 +88573,12 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
- "directory_1_3_8_2" = callPackage
+ "directory_1_3_8_3" = callPackage
({ mkDerivation, base, filepath, time, unix }:
mkDerivation {
pname = "directory";
- version = "1.3.8.2";
- sha256 = "1zx0833zdalz131vwr7vckara0plm16mb86ilpj3mvd5yyvrwzkp";
+ version = "1.3.8.3";
+ sha256 = "1hzn11nairaj1n20znhgnsg43cxl2l1cqb55gixq0bkkkj2ygl6b";
libraryHaskellDepends = [ base filepath time unix ];
testHaskellDepends = [ base filepath time unix ];
description = "Platform-agnostic library for filesystem operations";
@@ -88053,8 +88592,8 @@ self: {
}:
mkDerivation {
pname = "directory-contents";
- version = "0.2.0.1";
- sha256 = "12c157lxqn910x7x3jwzv70fhb01bszxp0r00107igddrd6dqffv";
+ version = "0.2.0.2";
+ sha256 = "0ih1zvy39h43dw412r3353bzfh2czs5pf51x97fzjlx0n4ckc493";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -88116,6 +88655,8 @@ self: {
pname = "directory-ospath-streaming";
version = "0.1.0.1";
sha256 = "0j01kdp8jmi1h40li2fh53iz32gi7hxmlzmx8z3ks2cmp856bv7k";
+ revision = "1";
+ editedCabalFile = "1npawhf6v606phw3qjd1jx9xbpw8yf8gkrykgg2qfm7kpg8k2jmi";
libraryHaskellDepends = [ base filepath unix ];
testHaskellDepends = [
base directory filepath random tasty tasty-hunit unix
@@ -88126,6 +88667,24 @@ self: {
broken = true;
}) {};
+ "directory-ospath-streaming_0_1_0_2" = callPackage
+ ({ mkDerivation, base, directory, filepath, os-string, random
+ , tasty, tasty-hunit, unix
+ }:
+ mkDerivation {
+ pname = "directory-ospath-streaming";
+ version = "0.1.0.2";
+ sha256 = "17a3sqw3sw70bxdw91vq17pbjjdymnivn9gkfvclr5gicvw30d6k";
+ libraryHaskellDepends = [ base filepath os-string unix ];
+ testHaskellDepends = [
+ base directory filepath os-string random tasty tasty-hunit unix
+ ];
+ description = "Stream directory entries in constant memory in vanilla IO";
+ license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {};
+
"directory-tree" = callPackage
({ mkDerivation, base, directory, filepath, process }:
mkDerivation {
@@ -88219,6 +88778,7 @@ self: {
];
description = "Easily stream directory contents in constant memory";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
maintainers = [ lib.maintainers.Gabriella439 ];
}) {};
@@ -88355,8 +88915,8 @@ self: {
}:
mkDerivation {
pname = "discord-haskell";
- version = "1.16.0";
- sha256 = "04zbpb5g9nanpzxnr94z6g0pr3jm74im4r70wz3lwyknl6r106bc";
+ version = "1.16.1";
+ sha256 = "055mr98x8x0z9a20k5k75fwikjrv5837j97klca8lndfbkk8m6h5";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -88603,17 +89163,17 @@ self: {
"disjoint-containers" = callPackage
({ mkDerivation, base, containers, doctest, enum-types, QuickCheck
- , quickcheck-classes, quickcheck-enum-instances, semigroups, tasty
+ , quickcheck-classes, quickcheck-enum-instances, tasty
, tasty-quickcheck, transformers
}:
mkDerivation {
pname = "disjoint-containers";
- version = "0.3.0";
- sha256 = "1r92abcbksyfk4k1mjxj3gyymkbm927wjr6lmcv06pyljcw9vc3r";
+ version = "0.3.0.1";
+ sha256 = "036rzz3m33rq5vg43w0bksyvz1g5wiss0ha76i1gw2d7sw7g9mvz";
libraryHaskellDepends = [ base containers transformers ];
testHaskellDepends = [
base containers doctest enum-types QuickCheck quickcheck-classes
- quickcheck-enum-instances semigroups tasty tasty-quickcheck
+ quickcheck-enum-instances tasty tasty-quickcheck
];
description = "Disjoint containers";
license = lib.licenses.bsd3;
@@ -88678,6 +89238,8 @@ self: {
benchmarkHaskellDepends = [ base bytestring text ];
description = "On-disk storage, but referentially transparent";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"disk-free-space" = callPackage
@@ -89649,30 +90211,6 @@ self: {
}) {};
"dl-fedora" = callPackage
- ({ mkDerivation, ansi-wl-pprint, base, bytestring, directory, extra
- , filepath, http-client, http-client-tls, http-directory
- , http-types, optparse-applicative, regex-posix, simple-cmd
- , simple-cmd-args, text, time, unix, xdg-userdirs
- }:
- mkDerivation {
- pname = "dl-fedora";
- version = "0.9.6";
- sha256 = "18i1vw4k50pavimhdz3ym9wh4ahn2s540i6p9qja05vgbh65wy7l";
- isLibrary = false;
- isExecutable = true;
- executableHaskellDepends = [
- ansi-wl-pprint base bytestring directory extra filepath http-client
- http-client-tls http-directory http-types optparse-applicative
- regex-posix simple-cmd simple-cmd-args text time unix xdg-userdirs
- ];
- testHaskellDepends = [ base simple-cmd ];
- description = "Fedora image download tool";
- license = lib.licenses.gpl3Only;
- hydraPlatforms = lib.platforms.none;
- mainProgram = "dl-fedora";
- }) {};
-
- "dl-fedora_1_0" = callPackage
({ mkDerivation, ansi-wl-pprint, base, bytestring, directory, extra
, filepath, http-client, http-client-tls, http-directory
, http-types, optparse-applicative, regex-posix, simple-cmd
@@ -89724,8 +90262,8 @@ self: {
"dlist-nonempty" = callPackage
({ mkDerivation, base, base-compat, Cabal, criterion, deepseq
- , dlist, dlist-instances, foldable1-classes-compat, QuickCheck
- , quickcheck-instances, semigroupoids
+ , dlist, dlist-instances, QuickCheck, quickcheck-instances
+ , semigroupoids
}:
mkDerivation {
pname = "dlist-nonempty";
@@ -89733,9 +90271,7 @@ self: {
sha256 = "0iypfrnjj9qaiv1xicr310jcham5kym4yr6myy6vbi8nbgwl82kp";
revision = "1";
editedCabalFile = "10fjp19b7kpfavsaxpfpq479yfki6s2y7s5791w3yawpnlbj7g3v";
- libraryHaskellDepends = [
- base deepseq dlist foldable1-classes-compat semigroupoids
- ];
+ libraryHaskellDepends = [ base deepseq dlist semigroupoids ];
testHaskellDepends = [
base Cabal QuickCheck quickcheck-instances
];
@@ -89886,33 +90422,6 @@ self: {
}) {};
"dns" = callPackage
- ({ mkDerivation, array, async, attoparsec, auto-update, base
- , base16-bytestring, base64-bytestring, bytestring
- , case-insensitive, containers, cryptonite, doctest, hourglass
- , hspec, hspec-discover, iproute, mtl, network, psqueues
- , QuickCheck, word8
- }:
- mkDerivation {
- pname = "dns";
- version = "4.1.1";
- sha256 = "0f1i0w509qdzl3lpvkwrmkgfj3z694sac30ac4n46w1wx80b7cm9";
- libraryHaskellDepends = [
- array async attoparsec auto-update base base16-bytestring
- base64-bytestring bytestring case-insensitive containers cryptonite
- hourglass iproute mtl network psqueues
- ];
- testHaskellDepends = [
- base bytestring case-insensitive doctest hspec iproute network
- QuickCheck word8
- ];
- testToolDepends = [ hspec-discover ];
- doHaddock = false;
- testTarget = "spec";
- description = "DNS library in Haskell";
- license = lib.licenses.bsd3;
- }) {};
-
- "dns_4_2_0" = callPackage
({ mkDerivation, array, async, attoparsec, auto-update, base
, base16-bytestring, base64-bytestring, bytestring
, case-insensitive, containers, crypton, hourglass, hspec
@@ -89937,7 +90446,6 @@ self: {
testTarget = "spec";
description = "DNS library in Haskell";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"dns-patterns" = callPackage
@@ -90583,37 +91091,6 @@ self: {
}) {};
"doctest" = callPackage
- ({ mkDerivation, base, base-compat, code-page, deepseq, directory
- , exceptions, filepath, ghc, ghc-paths, hspec, hspec-core
- , hspec-discover, HUnit, mockery, process, QuickCheck, setenv
- , silently, stringbuilder, syb, transformers
- }:
- mkDerivation {
- pname = "doctest";
- version = "0.20.1";
- sha256 = "00jbpqvcqxx1nmf41li947d9d3ifwchzzp37mlag68hgnza6z9a4";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- base base-compat code-page deepseq directory exceptions filepath
- ghc ghc-paths process syb transformers
- ];
- executableHaskellDepends = [
- base base-compat code-page deepseq directory exceptions filepath
- ghc ghc-paths process syb transformers
- ];
- testHaskellDepends = [
- base base-compat code-page deepseq directory exceptions filepath
- ghc ghc-paths hspec hspec-core HUnit mockery process QuickCheck
- setenv silently stringbuilder syb transformers
- ];
- testToolDepends = [ hspec-discover ];
- description = "Test interactive Haskell examples";
- license = lib.licenses.mit;
- mainProgram = "doctest";
- }) {};
-
- "doctest_0_22_2" = callPackage
({ mkDerivation, base, code-page, deepseq, directory, exceptions
, filepath, ghc, ghc-paths, hspec, hspec-core, hspec-discover
, HUnit, mockery, process, QuickCheck, setenv, silently
@@ -90641,7 +91118,6 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Test interactive Haskell examples";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
mainProgram = "doctest";
}) {};
@@ -90735,8 +91211,8 @@ self: {
}:
mkDerivation {
pname = "doctest-extract";
- version = "0.1.1.1";
- sha256 = "025qaisbdvyc5k5b585h8d5c8xm4qyy6r6740czrnq69g6lkksnz";
+ version = "0.1.2";
+ sha256 = "1dizs0r9pdankbv5ijfgqva5ha8p5xxl7x8y1sjql6h7ch8pz0p6";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
@@ -90750,12 +91226,12 @@ self: {
}) {};
"doctest-lib" = callPackage
- ({ mkDerivation, base }:
+ ({ mkDerivation, base, utility-ht }:
mkDerivation {
pname = "doctest-lib";
- version = "0.1";
- sha256 = "1vswam0dhw52dihgnzirh18gqs8rj8h6jd7pl6y1mg2f9f9zmih2";
- libraryHaskellDepends = [ base ];
+ version = "0.1.1";
+ sha256 = "01ppm5qvp77j87l4829fly5mpb3b8c9s2rr6xg19nbygd8jl6g6r";
+ libraryHaskellDepends = [ base utility-ht ];
description = "Parts of doctest exposed as library";
license = lib.licenses.mit;
maintainers = [ lib.maintainers.thielema ];
@@ -91038,15 +91514,15 @@ self: {
"dom-parser" = callPackage
({ mkDerivation, base, case-insensitive, containers, data-default
, hspec, lens, mtl, scientific, semigroups, shakespeare, text
- , transformers, xml-conduit, xml-lens
+ , transformers, xml-conduit, xml-conduit-writer, xml-lens
}:
mkDerivation {
pname = "dom-parser";
- version = "3.1.0";
- sha256 = "1hra4sh34dr1gv4z55dg3f8s2g9l2qb7nlb0j4w0imr71fp5rqfp";
+ version = "3.2.0";
+ sha256 = "1i71gcxwq0pdwkg70l33gaqcf8ihbgw3rgbw6r11p4vri0fl6fr4";
libraryHaskellDepends = [
base case-insensitive containers lens mtl scientific semigroups
- text transformers xml-conduit xml-lens
+ text transformers xml-conduit xml-conduit-writer xml-lens
];
testHaskellDepends = [
base data-default hspec lens semigroups shakespeare text
@@ -91263,7 +91739,9 @@ self: {
testHaskellDepends = [ base containers hspec lens mtl random ];
description = "A simulator for the board game Dominion";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "dominion";
+ broken = true;
}) {};
"domplate" = callPackage
@@ -91424,6 +91902,35 @@ self: {
mainProgram = "dotenv";
}) {};
+ "dotenv_0_12_0_0" = callPackage
+ ({ mkDerivation, base, base-compat, containers, data-default-class
+ , directory, exceptions, hspec, hspec-discover, hspec-megaparsec
+ , megaparsec, mtl, optparse-applicative, process, shellwords, text
+ }:
+ mkDerivation {
+ pname = "dotenv";
+ version = "0.12.0.0";
+ sha256 = "0z21bysa6yq131yx1sp7yx94vq1zj4xg32pfyrff6fvabqg6piwi";
+ isLibrary = true;
+ isExecutable = true;
+ enableSeparateDataOutput = true;
+ libraryHaskellDepends = [
+ base containers data-default-class directory exceptions megaparsec
+ mtl process shellwords text
+ ];
+ executableHaskellDepends = [
+ base base-compat megaparsec optparse-applicative process text
+ ];
+ testHaskellDepends = [
+ base hspec hspec-megaparsec megaparsec process text
+ ];
+ testToolDepends = [ hspec-discover ];
+ description = "Loads environment variables from dotenv files";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ mainProgram = "dotenv";
+ }) {};
+
"dotenv-micro" = callPackage
({ mkDerivation, base, directory }:
mkDerivation {
@@ -91502,8 +92009,8 @@ self: {
}:
mkDerivation {
pname = "dotparse";
- version = "0.0.3";
- sha256 = "024g3fkwp5042m8qmmli0y0dsqr9czvqbcjlvf61d6pi92cbm983";
+ version = "0.1.0.0";
+ sha256 = "06knlrrb2ma57d5rnv2xdqp7avdbcbnd5m6pk45953lmhz217ls6";
libraryHaskellDepends = [
algebraic-graphs base bytestring chart-svg containers flatparse
numhask-space optics-core process-extras string-interpolate
@@ -91536,6 +92043,27 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "double-conversion_2_0_5_0" = callPackage
+ ({ mkDerivation, base, bytestring, ghc-prim, HUnit
+ , system-cxx-std-lib, test-framework, test-framework-hunit
+ , test-framework-quickcheck2, text
+ }:
+ mkDerivation {
+ pname = "double-conversion";
+ version = "2.0.5.0";
+ sha256 = "1fj358zamb5zr1h481wf02c2aijqk3imk7flhpzps9bvwjv9kilq";
+ libraryHaskellDepends = [
+ base bytestring ghc-prim system-cxx-std-lib text
+ ];
+ testHaskellDepends = [
+ base bytestring HUnit test-framework test-framework-hunit
+ test-framework-quickcheck2 text
+ ];
+ description = "Fast conversion between single and double precision floating point and text";
+ license = lib.licenses.bsd2;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"double-extra" = callPackage
({ mkDerivation, aeson, base, bytestring, cassava, deepseq
, double-conversion, rawstring-qm, text
@@ -91667,7 +92195,9 @@ self: {
testToolDepends = [ tasty-discover ];
description = "A proof assistant for Magic: The Gathering puzzles";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "dovin";
+ broken = true;
}) {};
"dow" = callPackage
@@ -91708,6 +92238,8 @@ self: {
];
description = "Reverse mode automatic differentiation";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"download" = callPackage
@@ -91997,18 +92529,18 @@ self: {
"dprox" = callPackage
({ mkDerivation, attoparsec, base, bytestring, bytestring-trie
, containers, dns, fast-logger, hashable, hspec, iproute, network
- , optparse-applicative, psqueues, streaming-commons, time
+ , optparse-applicative, psqueues, streaming-commons, time, unix
}:
mkDerivation {
pname = "dprox";
- version = "0.4.2";
- sha256 = "1l0bnhhprx944kwn3k7acyh1129wi9bim9rikc86raws8nmfqzwp";
+ version = "0.4.3";
+ sha256 = "1cfmfb7kr0598dksb27aj3kpfjgrmiwk8xgrdw050525kxng6icw";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
attoparsec base bytestring bytestring-trie containers dns
fast-logger hashable iproute network optparse-applicative psqueues
- streaming-commons time
+ streaming-commons time unix
];
testHaskellDepends = [
attoparsec base bytestring bytestring-trie containers dns
@@ -92232,6 +92764,8 @@ self: {
testHaskellDepends = [ base ];
description = "Deriving without spelling out \"deriving\"";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"drmaa" = callPackage
@@ -92439,6 +92973,7 @@ self: {
description = "DSMC library for rarefied gas dynamics";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"dsmc-tools" = callPackage
@@ -92652,6 +93187,8 @@ self: {
libraryHaskellDepends = [ base ];
description = "Dual category";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"dual-game" = callPackage
@@ -92828,6 +93365,8 @@ self: {
testHaskellDepends = [ base tasty tasty-hunit ];
description = "A computer “algebra” system that knows nothing about algebra, at the core";
license = lib.licenses.gpl3Only;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"dump" = callPackage
@@ -92876,27 +93415,8 @@ self: {
}:
mkDerivation {
pname = "dunai";
- version = "0.11.2";
- sha256 = "0zyd1dx6g7i8d9iza2y1iljyz8xca1z3nfywjhmjnj2qsarlkw13";
- libraryHaskellDepends = [
- base MonadRandom simple-affine-space transformers transformers-base
- ];
- testHaskellDepends = [ base tasty tasty-hunit transformers ];
- benchmarkHaskellDepends = [ base criterion filepath time ];
- description = "Generalised reactive framework supporting classic, arrowized and monadic FRP";
- license = lib.licenses.bsd3;
- maintainers = [ lib.maintainers.turion ];
- }) {};
-
- "dunai_0_12_0" = callPackage
- ({ mkDerivation, base, criterion, filepath, MonadRandom
- , simple-affine-space, tasty, tasty-hunit, time, transformers
- , transformers-base
- }:
- mkDerivation {
- pname = "dunai";
- version = "0.12.0";
- sha256 = "019332zb3c6abwxpw6qxd6pr0v379aadgd9p9li2la7x8a8qmc00";
+ version = "0.12.1";
+ sha256 = "0j4862aq688cb54yz2di2vs5s3w1xnla21pjdqz9j6n2505vh9ih";
libraryHaskellDepends = [
base MonadRandom simple-affine-space transformers transformers-base
];
@@ -92906,6 +93426,7 @@ self: {
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
maintainers = [ lib.maintainers.turion ];
+ broken = true;
}) {};
"dunai-core" = callPackage
@@ -92928,13 +93449,14 @@ self: {
({ mkDerivation, base, dunai, normaldistribution, QuickCheck }:
mkDerivation {
pname = "dunai-test";
- version = "0.12.0";
- sha256 = "0wzzkqky1bn5kvr23wwax7p7hklal1b80hdy627wr6h39czia391";
+ version = "0.12.1";
+ sha256 = "1jaxdc672z3ng6w8bqxj1991yp4ianpj4w2gg2qf4j9vildm947z";
libraryHaskellDepends = [
base dunai normaldistribution QuickCheck
];
description = "Testing library for Dunai";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"dupIO" = callPackage
@@ -93402,6 +93924,8 @@ self: {
];
description = "Draw and update graphs in real time with OpenGL";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"dynamic-graphs" = callPackage
@@ -93738,6 +94262,51 @@ self: {
broken = true;
}) {};
+ "e11y" = callPackage
+ ({ mkDerivation, base, containers, exceptions, general-allocate
+ , parametric-functor, primitive, strict-identity, sydtest
+ , transformers
+ }:
+ mkDerivation {
+ pname = "e11y";
+ version = "0.1.0.0";
+ sha256 = "1srx8mbij0sylfxcxb88xc8v44iz36qj3g7zphk9h7fzjpf2zqpy";
+ libraryHaskellDepends = [
+ base containers general-allocate parametric-functor primitive
+ transformers
+ ];
+ testHaskellDepends = [
+ base containers exceptions general-allocate strict-identity sydtest
+ transformers
+ ];
+ description = "An event-oriented observability library";
+ license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {};
+
+ "e11y-otel" = callPackage
+ ({ mkDerivation, async, base, e11y, general-allocate
+ , hs-opentelemetry-api, hs-opentelemetry-sdk, parametric-functor
+ , sydtest, text, unordered-containers
+ }:
+ mkDerivation {
+ pname = "e11y-otel";
+ version = "0.1.0.0";
+ sha256 = "0y2g8ig82fkdfv5h3dd4x8k05lshwsdbfpqxc24xxcd4w507lf0q";
+ libraryHaskellDepends = [
+ base e11y hs-opentelemetry-api parametric-functor text
+ unordered-containers
+ ];
+ testHaskellDepends = [
+ async base e11y general-allocate hs-opentelemetry-api
+ hs-opentelemetry-sdk sydtest text unordered-containers
+ ];
+ description = "OpenTelemetry-based rendering for e11y";
+ license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"each" = callPackage
({ mkDerivation, base, dlist, hspec, QuickCheck, template-haskell
}:
@@ -93976,6 +94545,8 @@ self: {
libraryHaskellDepends = [ base bytestring containers mtl zlib ];
description = "User-friendly creation of EPS, PostScript, and PDF files";
license = lib.licenses.gpl3Only;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"easytensor" = callPackage
@@ -94068,8 +94639,8 @@ self: {
}:
mkDerivation {
pname = "ebird-cli";
- version = "0.3.0.0";
- sha256 = "1l0pm1ha2shrm1b2qp8b9c0jrbsg8qjmq0srval1y9bxxzp2wls9";
+ version = "0.3.0.1";
+ sha256 = "0y66hyifcfazb8k9kwss6m40h2ylpg8pzfkb196mdjpamk5v1n8z";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -94088,8 +94659,8 @@ self: {
}:
mkDerivation {
pname = "ebird-client";
- version = "0.2.0.0";
- sha256 = "14pxbpwszfmvndck9xd124g3mqj117nvdzsvqbpkm9mh68zxkvaz";
+ version = "0.2.0.1";
+ sha256 = "07j2gfxl40srq25xr23yjappimvgdv11ydipr5q91yf94p33m2hz";
libraryHaskellDepends = [
base data-default ebird-api http-client-tls optics servant
servant-client text
@@ -94795,30 +95366,6 @@ self: {
}) {};
"effectful" = callPackage
- ({ mkDerivation, async, base, bytestring, containers, directory
- , effectful-core, exceptions, lifted-base, primitive, process, stm
- , tasty, tasty-bench, tasty-hunit, text, time, unix, unliftio
- }:
- mkDerivation {
- pname = "effectful";
- version = "2.2.2.0";
- sha256 = "1j6p28d5701v0a5fhgra1mhnzsqbxj44mpnixrh8jy34rcbq02bi";
- libraryHaskellDepends = [
- async base bytestring directory effectful-core process stm time
- unliftio
- ];
- testHaskellDepends = [
- base containers effectful-core exceptions lifted-base primitive
- tasty tasty-hunit unliftio
- ];
- benchmarkHaskellDepends = [
- async base tasty-bench text unix unliftio
- ];
- description = "An easy to use, performant extensible effects library";
- license = lib.licenses.bsd3;
- }) {};
-
- "effectful_2_3_0_0" = callPackage
({ mkDerivation, async, base, bytestring, containers, directory
, effectful-core, exceptions, lifted-base, primitive, process, stm
, tasty, tasty-bench, tasty-hunit, text, time, unix, unliftio
@@ -94840,26 +95387,9 @@ self: {
];
description = "An easy to use, performant extensible effects library";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"effectful-core" = callPackage
- ({ mkDerivation, base, containers, exceptions, monad-control
- , primitive, transformers-base, unliftio-core
- }:
- mkDerivation {
- pname = "effectful-core";
- version = "2.2.2.2";
- sha256 = "1ykscrp24n6cfwh9n051wj8zcza522jwfcw48fnxh3gvgyn43vaq";
- libraryHaskellDepends = [
- base containers exceptions monad-control primitive
- transformers-base unliftio-core
- ];
- description = "An easy to use, performant extensible effects library";
- license = lib.licenses.bsd3;
- }) {};
-
- "effectful-core_2_3_0_1" = callPackage
({ mkDerivation, base, containers, exceptions, monad-control
, primitive, transformers-base, unliftio-core
}:
@@ -94873,7 +95403,6 @@ self: {
];
description = "An easy to use, performant extensible effects library";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"effectful-plugin" = callPackage
@@ -94909,8 +95438,8 @@ self: {
pname = "effectful-th";
version = "1.0.0.1";
sha256 = "19xbvfsglm4gsji303zj4f1nhhl4gls78cdbl4yalxm8c4m8iqsf";
- revision = "3";
- editedCabalFile = "1v0p0rs241xqvqzvcqk3dyx68zyqbdqvfyprc0s4nhxzry8a9ka5";
+ revision = "4";
+ editedCabalFile = "0dawn69x2zf1wm6zj3vkv1s0naq8820nfi191v1ix5z9ai7nq5kz";
libraryHaskellDepends = [
base containers effectful-core exceptions template-haskell
th-abstraction
@@ -95027,8 +95556,8 @@ self: {
}:
mkDerivation {
pname = "eflint";
- version = "3.1.0.1";
- sha256 = "19l5m01fnm1bgwf7v6sv589xv759sizvq226ip4m9fmx3ihi5qly";
+ version = "3.1.0.2";
+ sha256 = "0iksb6d4cw7lawpk9q7j03nbd4cwzf51b7rc47p42pw39wqr56m2";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -95341,6 +95870,8 @@ self: {
testHaskellDepends = [ base smallcheck tasty tasty-smallcheck ];
description = "Either or both";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"either-list-functions" = callPackage
@@ -95353,6 +95884,8 @@ self: {
testHaskellDepends = [ base doctest ];
description = "Functions involving lists of Either";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"either-result" = callPackage
@@ -95502,8 +96035,8 @@ self: {
pname = "ekg-core";
version = "0.1.1.7";
sha256 = "04nv6iwzrb63fs97ixb0amj8p6zhabg3ggbrg4nbkq7xjhmkz0a5";
- revision = "3";
- editedCabalFile = "1z5rhc6cd1jflax3g5c773vghwavid5va6zxmaxhdcs6lj9pgzdj";
+ revision = "4";
+ editedCabalFile = "1fzjwckb6h6m5n1k9kj63fy2wpjn1mz9xajiri4aqyzlg1wv619c";
libraryHaskellDepends = [
base containers ghc-prim text unordered-containers
];
@@ -95849,8 +96382,10 @@ self: {
}:
mkDerivation {
pname = "eliminators";
- version = "0.9.2";
- sha256 = "0j0k1lw6b5yqz7kxckb5s0phqcnzdis0b469nxryawsv12wvv335";
+ version = "0.9.3";
+ sha256 = "0pmh4ds1xmk464988airlwdj47mbq9xzgvfmpsp7dw84k0226pyg";
+ revision = "2";
+ editedCabalFile = "1h1ajn96s281pbyxz7c9khlc7qn5ddvf8x7v4pagx9fqs08mqaar";
libraryHaskellDepends = [
base extra singleton-nats singletons-base template-haskell text
th-abstraction th-desugar
@@ -95859,6 +96394,7 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Dependently typed elimination functions using singletons";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"eliminators_0_9_4" = callPackage
@@ -95942,8 +96478,8 @@ self: {
}:
mkDerivation {
pname = "elm-bridge";
- version = "0.8.2";
- sha256 = "15nwxz2v678l26hapmphs1aqmnmx89r5j1mf3iys86n73qp3vfjl";
+ version = "0.8.3";
+ sha256 = "0rcpcal9b4i293smgry74wznz15b6vga31l00z3h4vll96r62g9s";
libraryHaskellDepends = [ aeson base template-haskell ];
testHaskellDepends = [
aeson base containers hspec QuickCheck text
@@ -96251,8 +96787,8 @@ self: {
}:
mkDerivation {
pname = "elm-street";
- version = "0.2.1.1";
- sha256 = "0gv4ahpd7ds9yjy33pgqd1gixk36scz2r1h256jiynhgdyl81jlp";
+ version = "0.2.2.0";
+ sha256 = "1wgf5fss6qwgc3q18k5z95658jy4g8gx1v0ys7wwbqix4jhn461s";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -96375,6 +96911,8 @@ self: {
];
description = "Generate ELM types/encoders/decoders from Haskell types";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"elo" = callPackage
@@ -96513,26 +97051,6 @@ self: {
}) {};
"elynx-tools" = callPackage
- ({ mkDerivation, aeson, attoparsec, base, base16-bytestring
- , bytestring, cryptohash-sha256, directory, hmatrix
- , optparse-applicative, random, template-haskell, time
- , transformers, zlib
- }:
- mkDerivation {
- pname = "elynx-tools";
- version = "0.7.2.1";
- sha256 = "01cw6n3br15alrjhwf3bx7mn0vbxkdwn51cdg004i0s35fzb5dxn";
- libraryHaskellDepends = [
- aeson attoparsec base base16-bytestring bytestring
- cryptohash-sha256 directory hmatrix optparse-applicative random
- template-haskell time transformers zlib
- ];
- description = "Tools for ELynx";
- license = lib.licenses.gpl3Plus;
- maintainers = [ lib.maintainers.dschrempf ];
- }) {};
-
- "elynx-tools_0_7_2_2" = callPackage
({ mkDerivation, aeson, attoparsec, base, base16-bytestring
, bytestring, cryptohash-sha256, directory, hmatrix
, optparse-applicative, random, template-haskell, time
@@ -96549,7 +97067,6 @@ self: {
];
description = "Tools for ELynx";
license = lib.licenses.gpl3Plus;
- hydraPlatforms = lib.platforms.none;
maintainers = [ lib.maintainers.dschrempf ];
}) {};
@@ -96668,25 +97185,6 @@ self: {
}) {};
"emacs-module" = callPackage
- ({ mkDerivation, base, bytestring, deepseq, exceptions
- , monad-control, mtl, prettyprinter, resourcet
- , safe-exceptions-checked, template-haskell, text
- , transformers-base, vector, void
- }:
- mkDerivation {
- pname = "emacs-module";
- version = "0.1.1.1";
- sha256 = "1j66b4r4zhqc2j1fqihzqdc0zacjy3fpgf54b7z55n2wqa6x9lgw";
- libraryHaskellDepends = [
- base bytestring deepseq exceptions monad-control mtl prettyprinter
- resourcet safe-exceptions-checked template-haskell text
- transformers-base vector void
- ];
- description = "Utilities to write Emacs dynamic modules";
- license = lib.licenses.asl20;
- }) {};
-
- "emacs-module_0_2_1" = callPackage
({ mkDerivation, base, bytestring, deepseq, exceptions, filepath
, monad-control, monad-interleave, mtl, prettyprinter
, prettyprinter-combinators, primitive, template-haskell, text
@@ -96704,7 +97202,6 @@ self: {
];
description = "Utilities to write Emacs dynamic modules";
license = lib.licenses.asl20;
- hydraPlatforms = lib.platforms.none;
}) {};
"email" = callPackage
@@ -96927,7 +97424,6 @@ self: {
description = "Emanate a structured view of your plain-text notes";
license = lib.licenses.agpl3Only;
badPlatforms = [ "x86_64-darwin" ];
- hydraPlatforms = lib.platforms.none;
mainProgram = "emanote";
maintainers = [ lib.maintainers.srid ];
}) {};
@@ -97056,6 +97552,31 @@ self: {
broken = true;
}) {};
+ "emhell" = callPackage
+ ({ mkDerivation, attoparsec, base, bytestring, data-default-class
+ , data-svd, haskeline, hocd, lens, optparse-applicative
+ , prettyprinter, prettyprinter-ansi-terminal, repline, text
+ , transformers, unix
+ }:
+ mkDerivation {
+ pname = "emhell";
+ version = "0.1.1.0";
+ sha256 = "1nfzqf51cqvc7w5yzazmgyis360xbaf3yzdqvh0m95abzrhiyvib";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ attoparsec base data-svd haskeline lens optparse-applicative
+ prettyprinter prettyprinter-ansi-terminal text unix
+ ];
+ executableHaskellDepends = [
+ base bytestring data-default-class data-svd hocd
+ optparse-applicative prettyprinter prettyprinter-ansi-terminal
+ repline text transformers
+ ];
+ description = "Embedded shell";
+ license = lib.licenses.bsd3;
+ }) {};
+
"emoji" = callPackage
({ mkDerivation, aeson, base, bytestring, template-haskell, text
, unordered-containers
@@ -97230,6 +97751,17 @@ self: {
broken = true;
}) {};
+ "end-of-exe" = callPackage
+ ({ mkDerivation, base, directory }:
+ mkDerivation {
+ pname = "end-of-exe";
+ version = "0.1.2.0";
+ sha256 = "0nh1dw9izhhsgm4dm46nxdijs5rm3xmfv8ijnh261g8yh3j0qzan";
+ libraryHaskellDepends = [ base directory ];
+ description = "A small library to deal with executable endings";
+ license = lib.licenses.mit;
+ }) {};
+
"endo" = callPackage
({ mkDerivation, base, between, data-default-class, mtl
, transformers
@@ -97453,6 +97985,23 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "enum-subset-generate_0_1_0_3" = callPackage
+ ({ mkDerivation, base, generic-random, hspec, microlens, QuickCheck
+ , template-haskell
+ }:
+ mkDerivation {
+ pname = "enum-subset-generate";
+ version = "0.1.0.3";
+ sha256 = "13mj8ph1kb3myy5paqcssk6wmn9fkpxdv5gdjj6lgvfw0vfsp364";
+ libraryHaskellDepends = [ base microlens template-haskell ];
+ testHaskellDepends = [
+ base generic-random hspec microlens QuickCheck template-haskell
+ ];
+ description = "Generate an ADT being a subset of another ADT, and the corresponding mappings";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"enum-text" = callPackage
({ mkDerivation, array, attoparsec, base, bytestring, doctest
, doctest-discover, fmt, hashable, possibly, scientific, text, time
@@ -97696,6 +98245,8 @@ self: {
pname = "enummapset";
version = "0.7.2.0";
sha256 = "00n6nifk5hlp85mv0g68jpx9w19hx1cxqhbf7pqlm5m5f99y29m2";
+ revision = "1";
+ editedCabalFile = "14l25k5jy8lvc936cja46b1zfgmmd9yk1rqqrnznv2xl7xdj8725";
libraryHaskellDepends = [ aeson base containers deepseq ];
testHaskellDepends = [
aeson array base containers deepseq ghc-prim HUnit QuickCheck
@@ -97761,8 +98312,8 @@ self: {
pname = "env-guard";
version = "0.2";
sha256 = "0chgskm1893i17b7r704wh44b7wh0ig2jz7y43vznhnmchrc80al";
- revision = "2";
- editedCabalFile = "1f9gy868wkvkljiv23ibz7r1pk23arri6m3c18fgbip4fzfqrld7";
+ revision = "4";
+ editedCabalFile = "0fax8g5n9hjaifvf20cszgb513ak9777d816cz50hlwfj114rjds";
libraryHaskellDepends = [ base ];
testHaskellDepends = [ base doctest ];
description = "Conditionally running IO actions based on environment variables";
@@ -97891,6 +98442,39 @@ self: {
broken = true;
}) {};
+ "eo-phi-normalizer" = callPackage
+ ({ mkDerivation, aeson, alex, array, base, BNFC, Cabal, directory
+ , filepath, happy, hspec, hspec-discover, mtl, optparse-generic
+ , process, string-interpolate, yaml
+ }:
+ mkDerivation {
+ pname = "eo-phi-normalizer";
+ version = "0.1.0";
+ sha256 = "1cc2zp6245bck2diqrcs7wqvzh1c604m68z442daljf2437iwb0w";
+ isLibrary = true;
+ isExecutable = true;
+ setupHaskellDepends = [ base Cabal process ];
+ libraryHaskellDepends = [
+ aeson array base directory filepath mtl string-interpolate yaml
+ ];
+ libraryToolDepends = [ alex BNFC happy ];
+ executableHaskellDepends = [
+ aeson array base directory filepath mtl optparse-generic
+ string-interpolate yaml
+ ];
+ executableToolDepends = [ alex BNFC happy ];
+ testHaskellDepends = [
+ aeson array base directory filepath hspec hspec-discover mtl
+ string-interpolate yaml
+ ];
+ testToolDepends = [ alex BNFC happy hspec-discover ];
+ description = "Command line normalizer of 𝜑-calculus expressions";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ mainProgram = "normalize-phi";
+ broken = true;
+ }) {};
+
"epanet-haskell" = callPackage
({ mkDerivation, base }:
mkDerivation {
@@ -98005,6 +98589,8 @@ self: {
libraryHaskellDepends = [ base exceptions mtl ];
description = "Basic Erlang-like process support for Haskell";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"epub" = callPackage
@@ -98048,6 +98634,30 @@ self: {
mainProgram = "epub-metadata-example";
}) {};
+ "epub-metadata_5_4" = callPackage
+ ({ mkDerivation, base, bytestring, containers, directory, filepath
+ , HUnit, hxt, mtl, regex-compat, utf8-string, zip-archive
+ }:
+ mkDerivation {
+ pname = "epub-metadata";
+ version = "5.4";
+ sha256 = "1djpa5720s06bla1cadmqp59r5m632mayhcv5aiw4249xcj8s9a2";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ base bytestring containers directory filepath hxt mtl regex-compat
+ utf8-string zip-archive
+ ];
+ executableHaskellDepends = [ base mtl ];
+ testHaskellDepends = [
+ base containers directory filepath HUnit mtl zip-archive
+ ];
+ description = "Library for parsing epub document metadata";
+ license = lib.licenses.isc;
+ hydraPlatforms = lib.platforms.none;
+ mainProgram = "epub-metadata-example";
+ }) {};
+
"epub-tools" = callPackage
({ mkDerivation, base, bytestring, containers, directory
, epub-metadata, filepath, HUnit, mtl, parsec, process
@@ -98055,8 +98665,8 @@ self: {
}:
mkDerivation {
pname = "epub-tools";
- version = "3.1";
- sha256 = "10xzdj59axw2bxv4zanx5ykrbaj6vrs90dp4fycywv7mz0jd2r63";
+ version = "3.2";
+ sha256 = "1ncg2yaw23lqk68ilpks8c3a42hgwqzv0dfgp0p0lz7fxb870dkw";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
@@ -98069,6 +98679,8 @@ self: {
];
description = "Command line utilities for working with epub files";
license = lib.licenses.isc;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"epubname" = callPackage
@@ -98131,10 +98743,8 @@ self: {
}:
mkDerivation {
pname = "equational-reasoning";
- version = "0.7.0.1";
- sha256 = "1xp5vmz19idc29xidr7q65hsnhfnl3ca80hxxfllsqpyyh32qlhn";
- revision = "3";
- editedCabalFile = "06irwil7x5yc0kpnknvx1a2albzq1l0dnkhc2xfzb4421kyzf9gf";
+ version = "0.7.0.2";
+ sha256 = "18pmg7c103yprldbzh0c3wz2mbiidi14ildymsfggnxica6w05qg";
libraryHaskellDepends = [
base containers template-haskell th-desugar void
];
@@ -98225,6 +98835,37 @@ self: {
broken = true;
}) {};
+ "erebos" = callPackage
+ ({ mkDerivation, async, base, binary, bytestring, c2hs, cereal
+ , clock, containers, cryptonite, deepseq, directory, filepath
+ , hashable, hashtables, haskeline, hinotify, iproute, libpjproject
+ , memory, mime, mtl, network, stm, tagged, text, time, transformers
+ , unix, uuid, zlib
+ }:
+ mkDerivation {
+ pname = "erebos";
+ version = "0.1.0";
+ sha256 = "0w8gafi12zchpkp6r83d0ylf4wq2fz14l97ziffsi7862901niif";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ async base binary bytestring cereal clock containers cryptonite
+ deepseq directory filepath hashable hashtables hinotify iproute
+ memory mime mtl network stm tagged text time unix uuid zlib
+ ];
+ libraryPkgconfigDepends = [ libpjproject ];
+ libraryToolDepends = [ c2hs ];
+ executableHaskellDepends = [
+ base bytestring cryptonite haskeline mtl network text time
+ transformers
+ ];
+ description = "Decentralized messaging and synchronization";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ mainProgram = "erebos";
+ broken = true;
+ }) {libpjproject = null;};
+
"erf" = callPackage
({ mkDerivation, base }:
mkDerivation {
@@ -98347,8 +98988,8 @@ self: {
}:
mkDerivation {
pname = "errata";
- version = "0.4.0.1";
- sha256 = "1xj7cg93pi242mx99vw31262sx5m78fd13nzjpzxp5zcw40k1mw2";
+ version = "0.4.0.2";
+ sha256 = "0vr1rijjb25nrsnrix173p4xqg2wqac5iwfwhllgw2fvh3k2jbz8";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [ base containers text ];
@@ -98369,6 +99010,8 @@ self: {
libraryHaskellDepends = [ base mtl ];
description = "a FFI utility";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"error" = callPackage
@@ -98401,8 +99044,8 @@ self: {
}:
mkDerivation {
pname = "error-codes";
- version = "0.1.3.0";
- sha256 = "15i7xyq3jll98cj1dd7rk5dm0aba4sf504f89fqy69ciacd35ckj";
+ version = "0.1.3.1";
+ sha256 = "133qfx6dkl6khpbgj0a6gki9azx71fl1cz10bi1pifzbziskxkbi";
libraryHaskellDepends = [
base bytestring primitive primitive-unlifted text text-short
];
@@ -98613,35 +99256,6 @@ self: {
}) {};
"ersatz" = callPackage
- ({ mkDerivation, array, attoparsec, base, bytestring, containers
- , data-default, fail, lens, mtl, parsec, process, semigroups
- , streams, temporary, transformers, unordered-containers
- }:
- mkDerivation {
- pname = "ersatz";
- version = "0.4.13";
- sha256 = "0ph2ayw4vb4rrgfmm8dhwr18172igx2sczjhv2vf3b6vd5r0z1hy";
- revision = "1";
- editedCabalFile = "1xmmxr1n8mlchlkbl8n93yck4zn5308q5pvp946zr9d7866wl3l5";
- isLibrary = true;
- isExecutable = true;
- enableSeparateDataOutput = true;
- libraryHaskellDepends = [
- array attoparsec base bytestring containers data-default lens mtl
- process semigroups streams temporary transformers
- unordered-containers
- ];
- executableHaskellDepends = [
- array base containers fail lens mtl parsec semigroups
- ];
- testHaskellDepends = [ array base ];
- description = "A monad for expressing SAT or QSAT problems using observable sharing";
- license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- broken = true;
- }) {};
-
- "ersatz_0_5" = callPackage
({ mkDerivation, array, attoparsec, base, bytestring, containers
, data-default, fail, HUnit, lens, mtl, parsec, process, semigroups
, streams, temporary, test-framework, test-framework-hunit
@@ -98816,12 +99430,12 @@ self: {
"espial" = callPackage
({ mkDerivation, aeson, attoparsec, base, base64, bcrypt
, blaze-html, bytestring, case-insensitive, classy-prelude
- , classy-prelude-conduit, classy-prelude-yesod, conduit, connection
- , containers, cryptohash-sha256, data-default, directory, entropy
- , esqueleto, fast-logger, file-embed, foreign-store, hjsmin
- , hscolour, hspec, html-entities, http-api-data, http-client
- , http-client-tls, http-conduit, http-types, iso8601-time
- , microlens, monad-logger, mtl, optparse-applicative
+ , classy-prelude-conduit, classy-prelude-yesod, conduit, containers
+ , cryptohash-sha256, crypton-connection, data-default, directory
+ , entropy, esqueleto, fast-logger, file-embed, foreign-store
+ , hjsmin, hscolour, hspec, html-entities, http-api-data
+ , http-client, http-client-tls, http-conduit, http-types
+ , iso8601-time, microlens, monad-logger, mtl, optparse-applicative
, optparse-generic, parser-combinators, persistent
, persistent-sqlite, persistent-template, pretty-show, safe
, shakespeare, template-haskell, text, time, transformers, unix
@@ -98831,15 +99445,15 @@ self: {
}:
mkDerivation {
pname = "espial";
- version = "0.0.16";
- sha256 = "102pfhl04rhrfxbq24wvbg6gz2dnsj3krskzlb8afmkn1n4wpc8m";
+ version = "0.0.20";
+ sha256 = "0gdwd0yni2wd36zg3hv832x1rvabnq4q424mqmkid9jp9df4951l";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
aeson attoparsec base base64 bcrypt blaze-html bytestring
case-insensitive classy-prelude classy-prelude-conduit
- classy-prelude-yesod conduit connection containers
- cryptohash-sha256 data-default directory entropy esqueleto
+ classy-prelude-yesod conduit containers cryptohash-sha256
+ crypton-connection data-default directory entropy esqueleto
fast-logger file-embed foreign-store hjsmin hscolour html-entities
http-api-data http-client http-client-tls http-conduit http-types
iso8601-time microlens monad-logger mtl parser-combinators
@@ -98851,8 +99465,8 @@ self: {
executableHaskellDepends = [
aeson attoparsec base base64 bcrypt blaze-html bytestring
case-insensitive classy-prelude classy-prelude-conduit
- classy-prelude-yesod conduit connection containers
- cryptohash-sha256 data-default directory entropy esqueleto
+ classy-prelude-yesod conduit containers cryptohash-sha256
+ crypton-connection data-default directory entropy esqueleto
fast-logger file-embed foreign-store hjsmin hscolour html-entities
http-api-data http-client http-client-tls http-conduit http-types
iso8601-time microlens monad-logger mtl optparse-applicative
@@ -98865,8 +99479,8 @@ self: {
testHaskellDepends = [
aeson attoparsec base base64 bcrypt blaze-html bytestring
case-insensitive classy-prelude classy-prelude-conduit
- classy-prelude-yesod conduit connection containers
- cryptohash-sha256 data-default directory entropy esqueleto
+ classy-prelude-yesod conduit containers cryptohash-sha256
+ crypton-connection data-default directory entropy esqueleto
fast-logger file-embed foreign-store hjsmin hscolour hspec
html-entities http-api-data http-client http-client-tls
http-conduit http-types iso8601-time microlens monad-logger mtl
@@ -98891,8 +99505,8 @@ self: {
}:
mkDerivation {
pname = "esqueleto";
- version = "3.5.11.0";
- sha256 = "03vk49q87m85qkld89d1gxf17mah7xkhk0m5y84d4mv1c5is530y";
+ version = "3.5.11.1";
+ sha256 = "144anqiar5pq9ss403sspnicjlad4icnf986fy4zfzqd70r6na0k";
libraryHaskellDepends = [
aeson attoparsec base blaze-html bytestring conduit containers
monad-logger persistent resourcet tagged template-haskell text time
@@ -98909,6 +99523,35 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "esqueleto_3_5_11_2" = callPackage
+ ({ mkDerivation, aeson, attoparsec, base, blaze-html, bytestring
+ , conduit, containers, exceptions, hspec, hspec-core, monad-logger
+ , mtl, mysql, mysql-simple, persistent, persistent-mysql
+ , persistent-postgresql, persistent-sqlite, postgresql-simple
+ , QuickCheck, resourcet, tagged, template-haskell, text, time
+ , transformers, unliftio, unordered-containers
+ }:
+ mkDerivation {
+ pname = "esqueleto";
+ version = "3.5.11.2";
+ sha256 = "0k78rsf98nzbc4ycf3jgari358g661v3rgg6sxm6dch0q70dhaj5";
+ libraryHaskellDepends = [
+ aeson attoparsec base blaze-html bytestring conduit containers
+ monad-logger persistent resourcet tagged template-haskell text time
+ transformers unliftio unordered-containers
+ ];
+ testHaskellDepends = [
+ aeson attoparsec base blaze-html bytestring conduit containers
+ exceptions hspec hspec-core monad-logger mtl mysql mysql-simple
+ persistent persistent-mysql persistent-postgresql persistent-sqlite
+ postgresql-simple QuickCheck resourcet tagged template-haskell text
+ time transformers unliftio unordered-containers
+ ];
+ description = "Type-safe EDSL for SQL queries on persistent backends";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"esqueleto-compat" = callPackage
({ mkDerivation, base, conduit, esqueleto, hspec, persistent
, resourcet, transformers
@@ -99405,6 +100048,8 @@ self: {
];
description = "Stripped dependencies of ethereum-analyzer";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"ethereum-analyzer-webui" = callPackage
@@ -99692,6 +100337,8 @@ self: {
];
description = "An extensible event framework";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"eve-cli" = callPackage
@@ -100003,8 +100650,8 @@ self: {
}:
mkDerivation {
pname = "eventlog2html";
- version = "0.10.0";
- sha256 = "1f22vw4zzkpjhhs5qci5jknyr2vwa9rhkg9zr2rfzlq3yyslahw8";
+ version = "0.11.0";
+ sha256 = "0yj50j9jijw8is19d2afpgc3dv45ji911rlhbjvcz7x441valqx8";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -100186,43 +100833,6 @@ self: {
}) {};
"eventstore" = callPackage
- ({ mkDerivation, aeson, array, async, base, bifunctors, bytestring
- , cereal, clock, connection, containers, dns, dotnet-timespan
- , exceptions, fast-logger, file-embed, hashable, hspec, http-client
- , interpolate, lifted-async, lifted-base, monad-control
- , monad-logger, mono-traversable, mtl, protobuf, random, safe
- , safe-exceptions, semigroups, stm, stm-chans, streaming, tasty
- , tasty-hspec, tasty-hunit, text, time, transformers-base
- , unordered-containers, uuid, vector
- }:
- mkDerivation {
- pname = "eventstore";
- version = "1.4.2";
- sha256 = "1g11asiwnqkzfvflcz0va28kj8b89h5890c9zsa2as9kzgb7zdmr";
- libraryHaskellDepends = [
- aeson array base bifunctors bytestring cereal clock connection
- containers dns dotnet-timespan exceptions fast-logger hashable
- http-client interpolate lifted-async lifted-base monad-control
- monad-logger mono-traversable mtl protobuf random safe
- safe-exceptions semigroups stm stm-chans streaming text time
- transformers-base unordered-containers uuid vector
- ];
- testHaskellDepends = [
- aeson async base bytestring cereal connection containers
- dotnet-timespan exceptions fast-logger file-embed hashable hspec
- lifted-async lifted-base monad-control mono-traversable protobuf
- safe safe-exceptions semigroups stm stm-chans streaming tasty
- tasty-hspec tasty-hunit text time transformers-base
- unordered-containers uuid vector
- ];
- description = "EventStore TCP Client";
- license = lib.licenses.bsd3;
- platforms = lib.platforms.x86_64;
- hydraPlatforms = lib.platforms.none;
- broken = true;
- }) {};
-
- "eventstore_1_4_3" = callPackage
({ mkDerivation, aeson, array, async, base, bifunctors, bytestring
, cereal, clock, containers, crypton-connection, dns
, dotnet-timespan, exceptions, fast-logger, file-embed, hashable
@@ -100275,6 +100885,7 @@ self: {
description = "An event-oriented observability library";
license = lib.licenses.asl20;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"eventuo11y-batteries" = callPackage
@@ -100287,8 +100898,8 @@ self: {
}:
mkDerivation {
pname = "eventuo11y-batteries";
- version = "0.4.0.1";
- sha256 = "1516005y2qjybil094scnv27446fbm588yhb95n7h2sqbdx183ff";
+ version = "0.4.0.2";
+ sha256 = "13si3mph88swf6hbz8sldsvazm2ckvbhk95wr117n8rw784r6ydg";
libraryHaskellDepends = [
aeson base binary bytestring case-insensitive containers eventuo11y
eventuo11y-json general-allocate http-media http-types
@@ -100310,6 +100921,8 @@ self: {
libraryHaskellDepends = [ base template-haskell ];
description = "DSL for defining eventuo11y fields and selectors";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"eventuo11y-json" = callPackage
@@ -100318,8 +100931,8 @@ self: {
}:
mkDerivation {
pname = "eventuo11y-json";
- version = "0.3.0.2";
- sha256 = "1q60hb7zaa3gifbsf1d7zl1bclz21ysmb3g1ww5xz4hr5fy03ksi";
+ version = "0.3.0.3";
+ sha256 = "0qdza7fdwxifls9w4s5ihsygxksaxc45q6365hz96vwg7j6bc19x";
libraryHaskellDepends = [
aeson base bytestring eventuo11y eventuo11y-dsl template-haskell
text time uuid
@@ -100569,8 +101182,8 @@ self: {
({ mkDerivation, base, template-haskell }:
mkDerivation {
pname = "exception-hierarchy";
- version = "0.1.0.10";
- sha256 = "1xvbx4b68hsdj4wsxff2qd5b9342vk3iqjdv9ilxpf3wpg3xq3x2";
+ version = "0.1.0.11";
+ sha256 = "0v7yc7im6g7xy41q459g39b7ri44f7axzzvrbi3vrlwl7c7k3wxz";
libraryHaskellDepends = [ base template-haskell ];
description = "Exception type hierarchy with TemplateHaskell";
license = lib.licenses.bsd3;
@@ -100617,6 +101230,8 @@ self: {
];
description = "Exception monad transformer instances for monads-tf classes";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"exception-mtl" = callPackage
@@ -100703,8 +101318,8 @@ self: {
pname = "exceptions";
version = "0.10.7";
sha256 = "0jb0ah5k2mb4clhb34c59f615vzl54lhx3hf6njqsgdmr3jashls";
- revision = "1";
- editedCabalFile = "05hqkph2rd7vkgbggbpa13i3r8wvb2fgsan40cd8macggwkw5k30";
+ revision = "2";
+ editedCabalFile = "14zz1abaj62p7f0gmnxr2ss1cpciin64zy7yz3vhkcvy1k00ynm8";
libraryHaskellDepends = [
base mtl stm template-haskell transformers
];
@@ -100734,6 +101349,8 @@ self: {
testToolDepends = [ hspec-discover ];
description = "ExceptT, but uses IO instead of Either";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"exchangerates" = callPackage
@@ -101025,6 +101642,7 @@ self: {
];
description = "@exinst@ support for @aeson@ package";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"exinst-base" = callPackage
@@ -101045,6 +101663,7 @@ self: {
];
description = "@exinst@ support for @base@ package";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"exinst-bytes" = callPackage
@@ -101065,6 +101684,7 @@ self: {
];
description = "@exinst@ support for @bytes@ package";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"exinst-cereal" = callPackage
@@ -101085,6 +101705,7 @@ self: {
];
description = "@exinst@ support for @cereal@ package";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"exinst-deepseq" = callPackage
@@ -101132,6 +101753,7 @@ self: {
];
description = "Dependent pairs and their instances";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"exist" = callPackage
@@ -101250,8 +101872,8 @@ self: {
}:
mkDerivation {
pname = "exon";
- version = "1.6.1.0";
- sha256 = "0cdsh3qhbiw4q0nskcwgbbd1zdy0jhy9iblqx5h079drc0faa3zp";
+ version = "1.6.1.1";
+ sha256 = "1cdl7lmlr1ivr1w0b0g26q3lifx9z0a91py1gvd92a4ncawrwk13";
libraryHaskellDepends = [
base ghc incipit-base parsec template-haskell
];
@@ -101402,6 +102024,8 @@ self: {
];
description = "Perform scientific experiments stored in a DB, and generate reports";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"expiring-cache-map" = callPackage
@@ -101615,8 +102239,8 @@ self: {
}:
mkDerivation {
pname = "exploring-interpreters";
- version = "1.4.0.0";
- sha256 = "15dadwf6lpcppc1zcv5mwrfsgffjjwbsprasf0fw9prnyx1srw3v";
+ version = "1.5.0.0";
+ sha256 = "07g32qwv5nfpzs5c7hni2mhzaaryjy3swmarxbc8hdlb4nlysbj5";
libraryHaskellDepends = [
aeson attoparsec base bytestring containers exceptions fgl
haskeline http-types mtl network scientific text transformers
@@ -101653,8 +102277,8 @@ self: {
({ mkDerivation, base, leancheck, template-haskell }:
mkDerivation {
pname = "express";
- version = "1.0.12";
- sha256 = "0b3z91qv780zqrxfdhfadba3vpcnzhg13j7g78m3zcpgc6xw7iyr";
+ version = "1.0.14";
+ sha256 = "0ys5ahams2j6q41gz4wxh97pwjdqv8c1i3hd7ygz8xkz8j794g4y";
libraryHaskellDepends = [ base template-haskell ];
testHaskellDepends = [ base leancheck ];
benchmarkHaskellDepends = [ base leancheck ];
@@ -101662,6 +102286,20 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "express_1_0_16" = callPackage
+ ({ mkDerivation, base, leancheck, template-haskell }:
+ mkDerivation {
+ pname = "express";
+ version = "1.0.16";
+ sha256 = "0xw8z95f0q19x4h4iy8pzbsskd0f9b290g6784bmrrmm95xz6cs2";
+ libraryHaskellDepends = [ base template-haskell ];
+ testHaskellDepends = [ base leancheck ];
+ benchmarkHaskellDepends = [ base leancheck ];
+ description = "Dynamically-typed expressions involving function application and variables";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"expression-parser" = callPackage
({ mkDerivation, base }:
mkDerivation {
@@ -102029,6 +102667,8 @@ self: {
pname = "extensions";
version = "0.1.0.1";
sha256 = "1rf6jhmms6ll7mn9rh81amzb7nd4zjqcnxdzivw50dxcrcfmdiq2";
+ revision = "1";
+ editedCabalFile = "1ixf5bpxy840zdlg3gsl3whzii03fc5j16i591pakprsvprp04hk";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -102064,14 +102704,16 @@ self: {
}) {};
"extism" = callPackage
- ({ mkDerivation, base, bytestring, extism, extism-manifest, HUnit
- , json
+ ({ mkDerivation, base, binary, bytestring, extism, extism-manifest
+ , HUnit, json, uuid
}:
mkDerivation {
pname = "extism";
- version = "0.5.0";
- sha256 = "1yb0lw7bpinnnqllkjxad83g1lh2gx68w9c63jplkivygws800n2";
- libraryHaskellDepends = [ base bytestring extism-manifest json ];
+ version = "1.0.0.1";
+ sha256 = "0zq08pqhr22kmwaiy56nbf1h84xm38bq491n60pd116sag21pf1n";
+ libraryHaskellDepends = [
+ base binary bytestring extism-manifest json uuid
+ ];
librarySystemDepends = [ extism ];
testHaskellDepends = [ base bytestring HUnit ];
description = "Extism bindings";
@@ -102084,8 +102726,8 @@ self: {
({ mkDerivation, base, base64-bytestring, bytestring, json }:
mkDerivation {
pname = "extism-manifest";
- version = "0.3.0";
- sha256 = "0cfp8akz64ama88ls5885dvvxfswy1w709gl2h9qp0ga6fvkx0xf";
+ version = "1.0.0.1";
+ sha256 = "03rk2rc6wlrlnxz2mx3vb4a1i50hsshd2pz4rm0q2m54vv8rpg00";
libraryHaskellDepends = [ base base64-bytestring bytestring json ];
description = "Extism manifest bindings";
license = lib.licenses.bsd3;
@@ -102097,8 +102739,8 @@ self: {
}:
mkDerivation {
pname = "extism-pdk";
- version = "0.2.0.0";
- sha256 = "0aqbzd9vnnfxn0wh5q6axcwq44iq2z5gq276qcbcfppd03vf83mm";
+ version = "1.0.0.0";
+ sha256 = "1fw9jypdxwpx3b4zgdjx6qk24mjdcxsa3zk4rhqlzsz5ga64z65f";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -102407,6 +103049,8 @@ self: {
libraryHaskellDepends = [ base ];
description = "Forward Automatic Differentiation";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"fadno" = callPackage
@@ -102416,8 +103060,8 @@ self: {
}:
mkDerivation {
pname = "fadno";
- version = "1.1.8";
- sha256 = "0f8lx1lg43665s56fwb3mawxjz8g1mrr33hz3rm47jcbb45k25jj";
+ version = "1.1.9.1";
+ sha256 = "1iqsjihrhhikvcb1y25p5nx7l1ac8ka1dmcy30qjlzznvy8pz96s";
libraryHaskellDepends = [
base containers data-default Decimal event-list fadno-xml HUnit
lens midi mtl process safe text unordered-containers vector xml
@@ -102436,8 +103080,8 @@ self: {
}:
mkDerivation {
pname = "fadno-braids";
- version = "0.1.5";
- sha256 = "1lyxxnzc2y4iz1ydyqzm69rd1yjh3grcq8ji1rn9wqbwjbjimf9p";
+ version = "0.2";
+ sha256 = "1dl74zxwzp71pjcmwa8a8wlbxlpvdhq6bkjhvflzw07m5hkp4lg3";
libraryHaskellDepends = [
base containers data-default diagrams diagrams-lib
diagrams-rasterific lens random
@@ -102453,8 +103097,8 @@ self: {
}:
mkDerivation {
pname = "fadno-xml";
- version = "1.2";
- sha256 = "0s7d7ida5xj2118j0zwbrd19pxn8aqqqaxz62kyvybckmhz05h1g";
+ version = "1.2.1";
+ sha256 = "0g07rjsql3w33n3smgrf2cg27380kzb7dh938cxc73m5y8ddfljf";
libraryHaskellDepends = [
base containers Decimal lens mtl parsec xml
];
@@ -102484,6 +103128,8 @@ self: {
libraryHaskellDepends = [ base mtl transformers ];
description = "A 'Failable' error monad class to unify failure across monads that can fail";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"failable-list" = callPackage
@@ -102508,6 +103154,8 @@ self: {
libraryHaskellDepends = [ base transformers ];
description = "A simple type class for success/failure computations. (deprecated)";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"failure-detector" = callPackage
@@ -102693,36 +103341,6 @@ self: {
}) {};
"faktory" = callPackage
- ({ mkDerivation, aeson, aeson-casing, aeson-qq, async, base
- , bytestring, connection, cryptonite, errors, hspec, markdown-unlit
- , megaparsec, memory, mtl, network, random, safe-exceptions
- , scanner, semigroups, text, time, unix, unordered-containers
- }:
- mkDerivation {
- pname = "faktory";
- version = "1.1.2.4";
- sha256 = "0mn888cjk8ag94il7zcbk6q5cq2vf2dxf1b8ba8ki2rypxld5m7a";
- revision = "1";
- editedCabalFile = "10gpavyqdx9kcrp963wgx0jfvm4xf97yg92y4gvk5vkpjipmx5mg";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- aeson aeson-casing base bytestring connection cryptonite errors
- megaparsec memory mtl network random safe-exceptions scanner
- semigroups text time unix unordered-containers
- ];
- executableHaskellDepends = [ aeson base safe-exceptions ];
- testHaskellDepends = [
- aeson aeson-qq async base hspec markdown-unlit mtl time
- ];
- testToolDepends = [ markdown-unlit ];
- description = "Faktory Worker for Haskell";
- license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
- broken = true;
- }) {};
-
- "faktory_1_1_2_5" = callPackage
({ mkDerivation, aeson, aeson-casing, aeson-qq, async, base
, bytestring, crypton-connection, cryptonite, errors, hspec
, markdown-unlit, megaparsec, memory, mtl, network, random
@@ -102731,10 +103349,8 @@ self: {
}:
mkDerivation {
pname = "faktory";
- version = "1.1.2.5";
- sha256 = "0ax5hk98k4v8vnhv6rvbjxhynqkzijb5l7dbaxp15mh6yd2y981f";
- revision = "1";
- editedCabalFile = "072nm86gyqgnrcfdq466nkpkcsp417zibj1ni2s9b7ndw63x4k1f";
+ version = "1.1.2.6";
+ sha256 = "1ddzq4pxx6blvqa2s6y7jmx6s49g9ckywiidi2hvq7r0dympsz03";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -102783,6 +103399,7 @@ self: {
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
mainProgram = "falling-turnip";
+ broken = true;
}) {};
"fallingblocks" = callPackage
@@ -102815,6 +103432,8 @@ self: {
pname = "falsify";
version = "0.2.0";
sha256 = "1fhj70q6kfd98892gxfy3mc8wxxyr80g4rzapaici4zd3zczz2fd";
+ revision = "1";
+ editedCabalFile = "0i740c9nfjpgygdzq6gzjdcaj94m5dyf2bybbdx9xgw0kacmxbc2";
libraryHaskellDepends = [
base base16-bytestring binary bytestring containers data-default
mtl optics-core optparse-applicative selective sop-core splitmix
@@ -102978,6 +103597,8 @@ self: {
];
description = "Solve classical planning problems (STRIPS/SAS+) using Haskell & Fast Downward";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"fast-logger" = callPackage
@@ -103206,6 +103827,8 @@ self: {
];
description = "Speed up Megaparsec parsing when parsing succeeds";
license = lib.licenses.gpl3Only;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"fastirc" = callPackage
@@ -103262,6 +103885,8 @@ self: {
];
description = "Memoize functions on Generic types";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"fastparser" = callPackage
@@ -103321,6 +103946,8 @@ self: {
];
description = "A fast open-union type suitable for 100+ contained alternatives";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"fathead-util" = callPackage
@@ -103698,6 +104325,8 @@ self: {
pname = "fcf-base";
version = "0.1.0.0";
sha256 = "19jrcpj496bpchv8fw30vd5b5w3sj1qkzmh5jlahyvz5wsppzwsq";
+ revision = "1";
+ editedCabalFile = "0j71pgy6cp6jadyx8726daa91j795y9p3r3w0x3jvffpnlk9aibp";
libraryHaskellDepends = [ base fcf-family ];
testHaskellDepends = [ base fcf-family ];
description = "Family-of-families instances for base";
@@ -103746,6 +104375,8 @@ self: {
];
description = "Data structures and algorithms for first-class-families";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"fcf-family" = callPackage
@@ -103754,8 +104385,8 @@ self: {
}:
mkDerivation {
pname = "fcf-family";
- version = "0.2.0.0";
- sha256 = "17v811dfdvgdvhwri34df3sf3n82crd41pi28j8gbdqs4zg0lgln";
+ version = "0.2.0.1";
+ sha256 = "0dgbzin82da8a8j0xm9ijkaj5ifk2ca0cx02x5glr07qzzhpa9k5";
libraryHaskellDepends = [
base containers first-class-families template-haskell
];
@@ -104047,27 +104678,6 @@ self: {
}) {};
"fedora-haskell-tools" = callPackage
- ({ mkDerivation, base, bytestring, csv, directory, extra
- , fedora-dists, filepath, http-conduit, http-types
- , optparse-applicative, process, simple-cmd, simple-cmd-args, split
- , time, unix
- }:
- mkDerivation {
- pname = "fedora-haskell-tools";
- version = "1.0";
- sha256 = "13nqsf4p5cm9zzdryl09hcxsya5qn3h8q8wl1sz02jswkhwlhlzb";
- isLibrary = false;
- isExecutable = true;
- executableHaskellDepends = [
- base bytestring csv directory extra fedora-dists filepath
- http-conduit http-types optparse-applicative process simple-cmd
- simple-cmd-args split time unix
- ];
- description = "Building and maintenance tools for Fedora Haskell";
- license = lib.licenses.gpl3Only;
- }) {};
-
- "fedora-haskell-tools_1_1" = callPackage
({ mkDerivation, base, bytestring, csv, directory, extra
, fedora-dists, filepath, http-conduit, http-types
, optparse-applicative, process, simple-cmd, simple-cmd-args, split
@@ -104086,7 +104696,6 @@ self: {
];
description = "Building and maintenance tools for Fedora Haskell";
license = lib.licenses.gpl3Only;
- hydraPlatforms = lib.platforms.none;
}) {};
"fedora-img-dl" = callPackage
@@ -104143,8 +104752,8 @@ self: {
}:
mkDerivation {
pname = "fedora-repoquery";
- version = "0.3";
- sha256 = "020jsrlhb4dfn4kjkzxm8ix72m3c9ihsr85h23nf1f1kdv15cinv";
+ version = "0.3.1";
+ sha256 = "0sdn2j25gf9k55w5rkzqi66jnmj74zajlal9vc06cx3xhw14rmsl";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
@@ -104185,8 +104794,8 @@ self: {
pname = "feed";
version = "1.3.2.1";
sha256 = "0marh7qmggq1z5339nid3gil7k786d3yk79b0rwfkxxaxmr41xd8";
- revision = "2";
- editedCabalFile = "16k73p6csq9spbiwxwkfwzms71if9fyjj4g4zpvpz8psj0n7wcdj";
+ revision = "3";
+ editedCabalFile = "0ry8qlbbwmh1hi9v21mvq23yh0iv7g0n2fwdqvd4n8npd676jrc9";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
base base-compat bytestring old-locale old-time safe text time
@@ -104369,8 +104978,8 @@ self: {
}:
mkDerivation {
pname = "feedback";
- version = "0.1.0.3";
- sha256 = "1an4w44p1abicjkb22whkrkhrl66p3f2vfrr70q8jbkwvws0wx8w";
+ version = "0.1.0.4";
+ sha256 = "0x7c8jr2mrvkfjxw919fkwngkxzbwly1xi1c1z4i0finkzfz8x6w";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -104442,6 +105051,7 @@ self: {
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
mainProgram = "imageutils";
+ broken = true;
}) {};
"fei-dataiter" = callPackage
@@ -104944,25 +105554,6 @@ self: {
}) {};
"fgl" = callPackage
- ({ mkDerivation, array, base, containers, deepseq, hspec
- , microbench, QuickCheck, transformers
- }:
- mkDerivation {
- pname = "fgl";
- version = "5.8.0.0";
- sha256 = "02cdigf5m3520vh30lld0j5d4al7nmsa4m9v9bjw1fprfaac03nn";
- revision = "1";
- editedCabalFile = "0g96jxn24vmq5y84klh95ng4lm7ghjbgka6rfkjf9kbyn7fqypnp";
- libraryHaskellDepends = [
- array base containers deepseq transformers
- ];
- testHaskellDepends = [ base containers hspec QuickCheck ];
- benchmarkHaskellDepends = [ base deepseq microbench ];
- description = "Martin Erwig's Functional Graph Library";
- license = lib.licenses.bsd3;
- }) {};
-
- "fgl_5_8_2_0" = callPackage
({ mkDerivation, array, base, containers, deepseq, hspec
, microbench, QuickCheck, transformers
}:
@@ -104977,7 +105568,6 @@ self: {
benchmarkHaskellDepends = [ base deepseq microbench ];
description = "Martin Erwig's Functional Graph Library";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"fgl-arbitrary" = callPackage
@@ -105182,8 +105772,8 @@ self: {
}:
mkDerivation {
pname = "file-embed";
- version = "0.0.15.0";
- sha256 = "1pavxj642phrkq67620g10wqykjfhmm9yj2rm8pja83sadfvhrph";
+ version = "0.0.16.0";
+ sha256 = "05glld1cy9yx2g1xlbkl4bpdf18j8l2kj5nxgiamaqwkzwp6f62z";
libraryHaskellDepends = [
base bytestring directory filepath template-haskell
];
@@ -105232,16 +105822,19 @@ self: {
}) {};
"file-io" = callPackage
- ({ mkDerivation, base, bytestring, filepath, unix }:
+ ({ mkDerivation, base, bytestring, deepseq, filepath, tasty
+ , tasty-hunit, temporary, unix
+ }:
mkDerivation {
pname = "file-io";
- version = "0.1.0.2";
- sha256 = "0ifgxiq0qzwdb9zlch0hjz4iq9r0nghmprvl1arf7b10mck618fl";
- libraryHaskellDepends = [ base bytestring filepath unix ];
+ version = "0.1.1";
+ sha256 = "1xqmd342x7ywc000g6dcr3gj9smcgancrrx7qc4faml2yh5sh842";
+ libraryHaskellDepends = [ base bytestring deepseq filepath unix ];
+ testHaskellDepends = [
+ base bytestring filepath tasty tasty-hunit temporary
+ ];
description = "Basic file IO operations via 'OsPath'";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- broken = true;
}) {};
"file-location" = callPackage
@@ -105324,14 +105917,31 @@ self: {
broken = true;
}) {};
+ "file-uri" = callPackage
+ ({ mkDerivation, attoparsec, base, bytestring, tasty, tasty-bench
+ , tasty-hunit
+ }:
+ mkDerivation {
+ pname = "file-uri";
+ version = "0.1.0.0";
+ sha256 = "1bnsc1527qcvnz5ihv1phzbdif6qg01r3qmgj4dk1fzzn79mqnxl";
+ revision = "2";
+ editedCabalFile = "0vysq1q10zp4agcrfgzy7lrjgf2q2nj8fgs17v4n54r4vqmw3p6n";
+ libraryHaskellDepends = [ attoparsec base bytestring ];
+ testHaskellDepends = [ base bytestring tasty tasty-hunit ];
+ benchmarkHaskellDepends = [ base tasty-bench ];
+ description = "File URI parsing";
+ license = lib.licenses.bsd3;
+ }) {};
+
"filecache" = callPackage
({ mkDerivation, base, containers, directory, exceptions, filepath
, fsnotify, hspec, mtl, stm, strict-base-types, temporary, time
}:
mkDerivation {
pname = "filecache";
- version = "0.4.1";
- sha256 = "17fbjdy2cicrd956317jj7fir0bd621c4zb5sb4991ph7jsah0n5";
+ version = "0.5.0";
+ sha256 = "02b2w8ycnks1sqhsiajw51dx4l38f5kv3vh67psr9rrp02q0zh4m";
libraryHaskellDepends = [
base containers directory exceptions filepath fsnotify mtl stm
strict-base-types time
@@ -105410,21 +106020,22 @@ self: {
broken = true;
}) {};
- "filepath_1_5_0_0" = callPackage
- ({ mkDerivation, base, bytestring, deepseq, exceptions, os-string
- , QuickCheck, quickcheck-classes-base, tasty-bench
+ "filepath_1_5_2_0" = callPackage
+ ({ mkDerivation, base, bytestring, deepseq, exceptions
+ , generic-deriving, generic-random, os-string, QuickCheck
+ , quickcheck-classes-base, tasty, tasty-bench, tasty-quickcheck
, template-haskell
}:
mkDerivation {
pname = "filepath";
- version = "1.5.0.0";
- sha256 = "05v49dln4ya56xlgjx6kp43xn163yg52v4ayp8fc8m74j7bkm2bp";
+ version = "1.5.2.0";
+ sha256 = "19vq749100f1nfjn9cpw99wx392x6rf439ly5lm965w5zas6sq6j";
libraryHaskellDepends = [
base bytestring deepseq exceptions os-string template-haskell
];
testHaskellDepends = [
- base bytestring deepseq os-string QuickCheck
- quickcheck-classes-base
+ base bytestring deepseq generic-deriving generic-random os-string
+ QuickCheck quickcheck-classes-base tasty tasty-quickcheck
];
benchmarkHaskellDepends = [
base bytestring deepseq os-string tasty-bench
@@ -105449,6 +106060,22 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "filepath-bytestring_1_5_2_0_0" = callPackage
+ ({ mkDerivation, base, bytestring, criterion, filepath, QuickCheck
+ , unix
+ }:
+ mkDerivation {
+ pname = "filepath-bytestring";
+ version = "1.5.2.0.0";
+ sha256 = "0cb16m54lysjkh51xcbqv0w6b1mrhnlq8sddw705jn3wip6g5hw2";
+ libraryHaskellDepends = [ base bytestring filepath unix ];
+ testHaskellDepends = [ base bytestring filepath QuickCheck ];
+ benchmarkHaskellDepends = [ base criterion filepath ];
+ description = "Library for manipulating RawFilePaths in a cross platform way";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"filepath-crypto" = callPackage
({ mkDerivation, base, binary, bytestring, case-insensitive
, cryptoids, cryptoids-class, cryptoids-types, exceptions, filepath
@@ -106094,6 +106721,8 @@ self: {
];
description = "Constraint Solver for Finite Domains";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"firebase-database" = callPackage
@@ -106241,6 +106870,8 @@ self: {
libraryHaskellDepends = [ base transformers ];
description = "First class patterns and pattern matching, using type families";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"firstify" = callPackage
@@ -106425,24 +107056,6 @@ self: {
}) {};
"fix-whitespace" = callPackage
- ({ mkDerivation, base, directory, extra, filepath, filepattern
- , text, yaml
- }:
- mkDerivation {
- pname = "fix-whitespace";
- version = "0.0.11";
- sha256 = "0q36vr3pfk1x14hl86g4g557299ih0510j7cf37h8n5nv8bs8gq2";
- isLibrary = false;
- isExecutable = true;
- executableHaskellDepends = [
- base directory extra filepath filepattern text yaml
- ];
- description = "Fixes whitespace issues";
- license = "unknown";
- mainProgram = "fix-whitespace";
- }) {};
-
- "fix-whitespace_0_1" = callPackage
({ mkDerivation, base, bytestring, directory, extra, filepath
, filepattern, QuickCheck, tasty, tasty-golden, tasty-quickcheck
, text, transformers, yaml
@@ -106451,6 +107064,8 @@ self: {
pname = "fix-whitespace";
version = "0.1";
sha256 = "0ml8ggis3a4hdgl069864bxqqm74bs9yzbyb7imp02g2zywqsr1g";
+ revision = "1";
+ editedCabalFile = "1sljajray6r3dyj617rsakrf4n7y5wcppl5nf5xxacwv2q21ljhi";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [ base text transformers ];
@@ -106463,7 +107078,6 @@ self: {
];
description = "Fixes whitespace issues";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
mainProgram = "fix-whitespace";
}) {};
@@ -106895,6 +107509,8 @@ self: {
pname = "flac";
version = "0.2.1";
sha256 = "0ca41lyl21x9nrlnj5df4fbhycpsmfrl6fsd8pmza2wklx8zlv9d";
+ revision = "1";
+ editedCabalFile = "1a2qja90bqm6zwfsi6z22zm74rb3sah37j4qlz4lx08zns92bdir";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
base bytestring containers directory exceptions filepath mtl text
@@ -106915,17 +107531,15 @@ self: {
}:
mkDerivation {
pname = "flac-picture";
- version = "0.1.2";
- sha256 = "02h36z65xmy0mvg7j2863h35dcf24l08ma0dxjbqcn42sca9ss0m";
- revision = "1";
- editedCabalFile = "1ib9ypz06i81bgkj08aw6fdyxi6fbl2029vwlcqybbhz9cnya1pm";
+ version = "0.1.3";
+ sha256 = "1kspvndqwj0ph8zfx5qwwmzgkvvv4a53qb7nz7fxw4ba8gr2qpdl";
enableSeparateDataOutput = true;
libraryHaskellDepends = [ base bytestring flac JuicyPixels ];
testHaskellDepends = [
base bytestring directory flac hspec JuicyPixels temporary
];
testToolDepends = [ hspec-discover ];
- description = "Support for writing picture to FLAC metadata blocks with JuicyPixels";
+ description = "Support for writing pictures into FLAC metadata blocks with JuicyPixels";
license = lib.licenses.bsd3;
}) {};
@@ -107141,17 +107755,17 @@ self: {
"flatbuffers" = callPackage
({ mkDerivation, aeson, aeson-pretty, base, binary, bytestring
- , containers, criterion, directory, filepath, hedgehog, hspec
- , hspec-core, hspec-expectations-pretty-diff, hspec-megaparsec
- , http-client, http-types, HUnit, hw-hspec-hedgehog, megaparsec
+ , containers, criterion, directory, filepath, hedgehog, hex-text
+ , hspec, hspec-core, hspec-expectations-pretty-diff, hspec-hedgehog
+ , hspec-megaparsec, http-client, http-types, HUnit, megaparsec
, mono-traversable, mtl, parser-combinators, pretty-simple, process
, raw-strings-qq, scientific, template-haskell, text
- , text-manipulate, th-pprint, utf8-string, vector
+ , text-manipulate, utf8-string, vector
}:
mkDerivation {
pname = "flatbuffers";
- version = "0.3.0.0";
- sha256 = "1mryx9xivy8bqv43dz3m92cq5ivk445g49cszk143arg4wqgw8kn";
+ version = "0.4.0.0";
+ sha256 = "17grgawl9v2c71mgmfj7riad5sbxpgsjkib50hfxkvcz5j4gkmrj";
libraryHaskellDepends = [
base binary bytestring containers directory filepath megaparsec
mono-traversable mtl parser-combinators scientific template-haskell
@@ -107159,11 +107773,11 @@ self: {
];
testHaskellDepends = [
aeson aeson-pretty base binary bytestring containers directory
- filepath hedgehog hspec hspec-core hspec-expectations-pretty-diff
- hspec-megaparsec http-client http-types HUnit hw-hspec-hedgehog
- megaparsec mono-traversable mtl parser-combinators pretty-simple
- process raw-strings-qq scientific template-haskell text
- text-manipulate th-pprint utf8-string
+ filepath hedgehog hex-text hspec hspec-core
+ hspec-expectations-pretty-diff hspec-hedgehog hspec-megaparsec
+ http-client http-types HUnit megaparsec mono-traversable mtl
+ parser-combinators pretty-simple process raw-strings-qq scientific
+ template-haskell text text-manipulate utf8-string
];
benchmarkHaskellDepends = [
aeson base binary bytestring containers criterion directory
@@ -107173,6 +107787,7 @@ self: {
description = "Haskell implementation of the FlatBuffers protocol";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"flatparse" = callPackage
@@ -107182,8 +107797,8 @@ self: {
}:
mkDerivation {
pname = "flatparse";
- version = "0.4.1.0";
- sha256 = "0hmi8h74haw21fdgvrqf71vawbjafyg6pmxlpa7pqd1xbgj1wk6j";
+ version = "0.5.0.1";
+ sha256 = "08km6zw6p3xhqcbmfgksc6rgws08zj828cnn21zqq3kriq47amvc";
libraryHaskellDepends = [
base bytestring containers integer-gmp template-haskell utf8-string
];
@@ -107200,15 +107815,15 @@ self: {
maintainers = [ lib.maintainers.raehik ];
}) {};
- "flatparse_0_5_0_1" = callPackage
+ "flatparse_0_5_0_2" = callPackage
({ mkDerivation, attoparsec, base, bytestring, containers, gauge
, hspec, HUnit, integer-gmp, megaparsec, parsec, primitive
, QuickCheck, quickcheck-instances, template-haskell, utf8-string
}:
mkDerivation {
pname = "flatparse";
- version = "0.5.0.1";
- sha256 = "08km6zw6p3xhqcbmfgksc6rgws08zj828cnn21zqq3kriq47amvc";
+ version = "0.5.0.2";
+ sha256 = "06c31xqaq0v8hys7knxxsxmj0py3h0fwkp4kq9dwr88sk65v8ash";
libraryHaskellDepends = [
base bytestring containers integer-gmp template-haskell utf8-string
];
@@ -107415,6 +108030,7 @@ self: {
description = "Flink stateful functions SDK";
license = lib.licenses.mpl20;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"flip-cmd" = callPackage
@@ -107512,18 +108128,20 @@ self: {
libraryHaskellDepends = [ base integer-gmp ];
description = "FFI bindings for C _Float128";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"floating-bits" = callPackage
({ mkDerivation, base, criterion }:
mkDerivation {
pname = "floating-bits";
- version = "0.3.0.0";
- sha256 = "1cp2k9rks0g8i6lf6j3zrz7wxh42qmsqwvf26dkdqnnzi0aqkkxj";
+ version = "0.3.1.0";
+ sha256 = "06njpgacqywkr6y8lz78wxq0jvyc10r3f8fh80wm54vqqjhkc6m4";
libraryHaskellDepends = [ base ];
testHaskellDepends = [ base ];
benchmarkHaskellDepends = [ base criterion ];
- description = "Conversions between floating and integral values";
+ description = "Bitwise accurate floating point conversion, and Unit of Lease Precision calculation";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
broken = true;
@@ -107558,42 +108176,6 @@ self: {
}) {};
"floskell" = callPackage
- ({ mkDerivation, aeson, aeson-pretty, attoparsec, base, bytestring
- , containers, criterion, data-default, deepseq, directory
- , exceptions, filepath, ghc-prim, haskell-src-exts, hspec
- , monad-dijkstra, mtl, optparse-applicative, text, transformers
- , unordered-containers, utf8-string
- }:
- mkDerivation {
- pname = "floskell";
- version = "0.10.8";
- sha256 = "1mj4c3q9jw161jzzlz7aa9lw58g6a8j9cnk3974n3bbwxbzqrfdq";
- isLibrary = true;
- isExecutable = true;
- enableSeparateDataOutput = true;
- libraryHaskellDepends = [
- aeson attoparsec base bytestring containers data-default directory
- filepath haskell-src-exts monad-dijkstra mtl text transformers
- unordered-containers utf8-string
- ];
- executableHaskellDepends = [
- aeson-pretty base bytestring directory ghc-prim haskell-src-exts
- optparse-applicative text
- ];
- testHaskellDepends = [
- base bytestring deepseq exceptions haskell-src-exts hspec text
- utf8-string
- ];
- benchmarkHaskellDepends = [
- base bytestring criterion deepseq exceptions ghc-prim
- haskell-src-exts text utf8-string
- ];
- description = "A flexible Haskell source code pretty printer";
- license = lib.licenses.bsd3;
- mainProgram = "floskell";
- }) {};
-
- "floskell_0_11_0" = callPackage
({ mkDerivation, aeson, aeson-pretty, ansi-wl-pprint, attoparsec
, attoparsec-aeson, base, bytestring, containers, criterion
, data-default, deepseq, directory, exceptions, filepath, ghc-prim
@@ -107602,8 +108184,8 @@ self: {
}:
mkDerivation {
pname = "floskell";
- version = "0.11.0";
- sha256 = "07l14zr1igq2vbs6m09ffc9ddchwbakmhb1bjnxldb3rsvaqnjc3";
+ version = "0.11.1";
+ sha256 = "1gd9yvl6dfsz2q3dd5swj68wxa9dq670rmi2ix4yhmyfg8pjgcvn";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -107625,7 +108207,6 @@ self: {
];
description = "A flexible Haskell source code pretty printer";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
mainProgram = "floskell";
}) {};
@@ -107671,7 +108252,9 @@ self: {
];
description = "Library and binary to generate sequence/flow diagrams from plain text source";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "flow2dot";
+ broken = true;
}) {};
"flowdock" = callPackage
@@ -108262,6 +108845,20 @@ self: {
description = "Extras for Fn, a functional web framework";
license = lib.licenses.isc;
hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {};
+
+ "fnmatch" = callPackage
+ ({ mkDerivation, base, bytestring, criterion, hspec }:
+ mkDerivation {
+ pname = "fnmatch";
+ version = "0.1.0.0";
+ sha256 = "1vmmypqvrfck4rkq4cm4viliyxypm139id3f1flhp53x2srr282i";
+ libraryHaskellDepends = [ base bytestring ];
+ testHaskellDepends = [ base bytestring hspec ];
+ benchmarkHaskellDepends = [ base bytestring criterion ];
+ description = "fnmatch C wrapper";
+ license = lib.licenses.asl20;
}) {};
"focus" = callPackage
@@ -108377,9 +108974,7 @@ self: {
sha256 = "04pzjppmb195ffgxdzh8dh39z5dalr5wd6sifcnbg9p1b3rw6myh";
revision = "4";
editedCabalFile = "0kpsp659y563h2vanhrdnbza9skq1j9sm6y3fg2j4p1xsgnkqjzv";
- libraryHaskellDepends = [
- base containers ghc-prim tagged transformers
- ];
+ libraryHaskellDepends = [ base ghc-prim tagged ];
testHaskellDepends = [
base containers QuickCheck quickcheck-instances test-framework
test-framework-quickcheck2 transformers
@@ -108387,6 +108982,7 @@ self: {
benchmarkHaskellDepends = [
base containers criterion deepseq transformers
];
+ doHaddock = false;
description = "Compatibility package for the Foldable1 and Bifoldable1 type classes";
license = lib.licenses.bsd3;
}) {};
@@ -108425,6 +109021,8 @@ self: {
testHaskellDepends = [ base foldl hedgehog safe-exceptions ];
description = "Exception handling with FoldM";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"foldl-incremental" = callPackage
@@ -108636,7 +109234,9 @@ self: {
description = "Be notified when a file gets appended, solely with what was added. Warning - only works on linux and for files that are strictly appended, like log files.";
license = lib.licenses.bsd3;
badPlatforms = lib.platforms.darwin;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "follow-file";
+ broken = true;
}) {};
"follower" = callPackage
@@ -108804,8 +109404,8 @@ self: {
pname = "force-layout";
version = "0.4.0.6";
sha256 = "17956k3mab2xhrmfy7fj5gh08h43yjlsryi5acjhnkmin5arhwpp";
- revision = "11";
- editedCabalFile = "1l6v0yy0bb72k0gp58s8vykxyj8qncijax7ds42wgfn378ry8w4j";
+ revision = "12";
+ editedCabalFile = "0rpr0j150584h4l8mn3ziq4r055w0n24sxai20b3iqhkrd10vmk1";
libraryHaskellDepends = [
base containers data-default-class lens linear
];
@@ -109322,6 +109922,7 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Parsers and analyses for Fortran standards 66, 77, 90, 95 and 2003 (partial)";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "fortran-src";
}) {};
@@ -109353,6 +109954,7 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Common functions and utils for fortran-src";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "fortran-src-extras";
}) {};
@@ -109532,7 +110134,7 @@ self: {
license = lib.licenses.bsd3;
}) {};
- "fourmolu" = callPackage
+ "fourmolu_0_11_0_0" = callPackage
({ mkDerivation, aeson, ansi-terminal, array, base, binary
, bytestring, Cabal-syntax, containers, Diff, directory, dlist
, file-embed, filepath, ghc-lib-parser, hspec, hspec-discover
@@ -109565,10 +110167,11 @@ self: {
testToolDepends = [ hspec-discover ];
description = "A formatter for Haskell source code";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "fourmolu";
}) {};
- "fourmolu_0_14_0_0" = callPackage
+ "fourmolu" = callPackage
({ mkDerivation, aeson, ansi-terminal, array, base, binary
, bytestring, Cabal-syntax, containers, deepseq, Diff, directory
, file-embed, filepath, ghc-lib-parser, hspec, hspec-discover
@@ -109599,7 +110202,6 @@ self: {
testToolDepends = [ hspec-discover ];
description = "A formatter for Haskell source code";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
mainProgram = "fourmolu";
}) {};
@@ -109971,6 +110573,8 @@ self: {
testHaskellDepends = [ base fast-builder temporary ];
description = "Append-only database";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"fraxl" = callPackage
@@ -110037,8 +110641,8 @@ self: {
}:
mkDerivation {
pname = "freckle-app";
- version = "1.12.0.0";
- sha256 = "06c7wx5kkim4mxba9rksmw5vdf3pqj0j23yvnzd1fi58f2gjwdzk";
+ version = "1.12.0.1";
+ sha256 = "0yb90xwv5hl21bm2dra38a19wd5w6pxlq6gr78wv5rqf0v0bj47k";
libraryHaskellDepends = [
aeson annotated-exception aws-xray-client-persistent
aws-xray-client-wai base bcp47 Blammo bugsnag bytestring
@@ -110092,26 +110696,6 @@ self: {
}) {};
"free" = callPackage
- ({ mkDerivation, base, comonad, containers, distributive
- , exceptions, indexed-traversable, mtl, profunctors, semigroupoids
- , template-haskell, th-abstraction, transformers, transformers-base
- }:
- mkDerivation {
- pname = "free";
- version = "5.1.10";
- sha256 = "0whff0r0nvii5l9z9crw7v0rj0wwblwbnfp99515siyxjkzs9phj";
- revision = "2";
- editedCabalFile = "1qpn9sy2bpngkr9zdi0dvy5knnhbsli3d0nw1ljvw12pisv6njaq";
- libraryHaskellDepends = [
- base comonad containers distributive exceptions indexed-traversable
- mtl profunctors semigroupoids template-haskell th-abstraction
- transformers transformers-base
- ];
- description = "Monads for free";
- license = lib.licenses.bsd3;
- }) {};
-
- "free_5_2" = callPackage
({ mkDerivation, base, comonad, containers, distributive
, exceptions, indexed-traversable, mtl, profunctors, semigroupoids
, template-haskell, th-abstraction, transformers, transformers-base
@@ -110129,7 +110713,6 @@ self: {
];
description = "Monads for free";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"free-algebras" = callPackage
@@ -110150,6 +110733,8 @@ self: {
];
description = "Free algebras";
license = lib.licenses.mpl20;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"free-applicative-t" = callPackage
@@ -110158,10 +110743,14 @@ self: {
pname = "free-applicative-t";
version = "0.1.0.0";
sha256 = "15bamiy453fl4a2vygjwfywyqwkd46ddxn2v7g4r0y1v7z3y56yn";
+ revision = "1";
+ editedCabalFile = "00f6pg7simc66gwzx992bl3kin76ppl46g13d0jn2pyrcx332jip";
libraryHaskellDepends = [ base free ];
testHaskellDepends = [ base hedgehog transformers ];
description = "Free Applicative Transformer";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"free-categories" = callPackage
@@ -110190,6 +110779,7 @@ self: {
benchmarkHaskellDepends = [ base criterion ];
description = "efficient data types for free categories and arrows";
license = lib.licenses.mpl20;
+ hydraPlatforms = lib.platforms.none;
}) {};
"free-concurrent" = callPackage
@@ -110280,6 +110870,18 @@ self: {
broken = true;
}) {};
+ "free-listt" = callPackage
+ ({ mkDerivation, base, exceptions, free, mtl, transformers }:
+ mkDerivation {
+ pname = "free-listt";
+ version = "0.1.0.1";
+ sha256 = "0qymhgn43zmsi98fam53f0am5rhi0n14bqkr7x5irczirg5bzgzz";
+ libraryHaskellDepends = [ base exceptions free mtl transformers ];
+ testHaskellDepends = [ base ];
+ description = "Lawful list and set monad transformers based on free monads";
+ license = lib.licenses.mit;
+ }) {};
+
"free-operational" = callPackage
({ mkDerivation, base, comonad-transformers, free, kan-extensions
, mtl, transformers
@@ -110308,6 +110910,8 @@ self: {
];
description = "Automatic generation of free theorems";
license = lib.licenses.publicDomain;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"free-theorems-counterexamples" = callPackage
@@ -110791,7 +111395,9 @@ self: {
executableToolDepends = [ alex happy ];
description = "A functional DSL for vertex-centric large-scale graph processing";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "fregel";
+ broken = true;
}) {};
"french-cards" = callPackage
@@ -110964,10 +111570,8 @@ self: {
({ mkDerivation, base, bifunctors, optparse-applicative }:
mkDerivation {
pname = "friendly";
- version = "0.1.0.3";
- sha256 = "1djmj4nmn4g36iab0z7npgc34vvfspvafr5a4bblnv41glx1wpc1";
- revision = "1";
- editedCabalFile = "0phh2lim39ldrrp9qp41mmmjgzxjmv9ijxc0lhar1lbznn56hni5";
+ version = "0.1.0.4";
+ sha256 = "0nk48ly13mcrishi4xz9djh0n9k4q68lbgkr1p3ls5cf902nsn3i";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
@@ -111835,8 +112439,8 @@ self: {
pname = "funcons-values";
version = "0.1.0.9";
sha256 = "1817jhp9vpipkvxdqvn4yj88z7z3pyrxs22h4d51c3056ighq6wn";
- revision = "1";
- editedCabalFile = "1g807b4lpz0whz0v4xl7y0qj0682y9lvmng06rxxyabn2lcvx5sc";
+ revision = "2";
+ editedCabalFile = "0gxsbvc02h3w1w1n6bgymg9y9ky84mwdzki8zfdamlwk1gwb7wpn";
libraryHaskellDepends = [
base bv containers multiset text vector
];
@@ -111955,8 +112559,8 @@ self: {
}:
mkDerivation {
pname = "functor-combinators";
- version = "0.4.1.2";
- sha256 = "18b7whmsy1bqmqpyva4wipaakjbg8c3zi30pxlh44jw2cwf91c7j";
+ version = "0.4.1.3";
+ sha256 = "0123y4n01rga8kb86w74hzjwvz8jfr15c1abkrrngacp60bd25rl";
libraryHaskellDepends = [
assoc base bifunctors comonad constraints containers contravariant
deriving-compat free hashable invariant kan-extensions mmorph mtl
@@ -111970,6 +112574,8 @@ self: {
];
description = "Tools for functor combinator-based program design";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"functor-combo" = callPackage
@@ -112017,6 +112623,25 @@ self: {
broken = true;
}) {};
+ "functor-monad" = callPackage
+ ({ mkDerivation, adjunctions, auto-lift-classes, base, bifunctors
+ , comonad, day-comonoid, free, free-applicative-t, kan-extensions
+ , transformers
+ }:
+ mkDerivation {
+ pname = "functor-monad";
+ version = "0.1.1.0";
+ sha256 = "027g26wr9lsasgrfw641i6zpvv23w7489d7wbljxnp6fnkfhxn30";
+ libraryHaskellDepends = [
+ adjunctions auto-lift-classes base bifunctors comonad day-comonoid
+ free free-applicative-t kan-extensions transformers
+ ];
+ testHaskellDepends = [ base free transformers ];
+ description = "FFunctor: functors on (the usual) Functors";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"functor-monadic" = callPackage
({ mkDerivation, base }:
mkDerivation {
@@ -112262,6 +112887,8 @@ self: {
benchmarkHaskellDepends = [ base tasty-bench transformers ];
description = "A fast, flexible, fused effect system";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"fused-effects-exceptions" = callPackage
@@ -112293,6 +112920,7 @@ self: {
testHaskellDepends = [ base fused-effects hspec microlens ];
description = "Monadic lens combinators for fused-effects";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"fused-effects-mwc-random" = callPackage
@@ -112326,6 +112954,7 @@ self: {
libraryHaskellDepends = [ base fused-effects optics-core ];
description = "Bridge between the optics and fused-effects ecosystems";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"fused-effects-random" = callPackage
@@ -112337,6 +112966,7 @@ self: {
libraryHaskellDepends = [ base fused-effects random transformers ];
description = "Random number generation for fused-effects";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"fused-effects-readline" = callPackage
@@ -112356,6 +112986,7 @@ self: {
testHaskellDepends = [ base ];
description = "A readline-like effect and carrier for fused-effects";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"fused-effects-resumable" = callPackage
@@ -112469,8 +113100,8 @@ self: {
}:
mkDerivation {
pname = "futhark";
- version = "0.25.10";
- sha256 = "1da69xzxfsmkfhclm8vz6kkn6glr06kcjjag3rjydz7yz7gdi0xv";
+ version = "0.25.13";
+ sha256 = "03hx8lq30bwdgbg52lcb4xd8lclcc8yj49cnzcjxx9p21sji3gfl";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -112525,8 +113156,8 @@ self: {
}:
mkDerivation {
pname = "futhark-manifest";
- version = "1.2.0.1";
- sha256 = "17sb3598yb88fj3ndffpd7a75vas16vfw6gl6n79rckgibrl8gh6";
+ version = "1.3.0.0";
+ sha256 = "0m6zlk1d9mg0rv7l9fj5702h81yvqrndcbgx6q6gwdbf13q9d2bp";
libraryHaskellDepends = [ aeson base bytestring containers text ];
testHaskellDepends = [
base QuickCheck quickcheck-instances tasty tasty-hunit
@@ -112644,8 +113275,8 @@ self: {
({ mkDerivation, base, HUnit, monoid-subclasses }:
mkDerivation {
pname = "fuzzy";
- version = "0.1.0.1";
- sha256 = "16pl4ba9f3wlx69pg8va1c2qg4zb9c0w50d7f6d84x9b5ysaza5w";
+ version = "0.1.1.0";
+ sha256 = "0rc6zdbmggbzxbrxkcx6zajwdi4bbwld4mmn7ldl6mciakqrc0rv";
libraryHaskellDepends = [ base monoid-subclasses ];
testHaskellDepends = [ base HUnit ];
description = "Filters a list based on a fuzzy string search";
@@ -112681,6 +113312,8 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Tools for processing unstructured text data";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"fuzzy-time" = callPackage
@@ -112697,28 +113330,43 @@ self: {
license = lib.licenses.mit;
}) {};
+ "fuzzy-time_0_3_0_0" = callPackage
+ ({ mkDerivation, base, containers, deepseq, megaparsec, text, time
+ , validity, validity-time
+ }:
+ mkDerivation {
+ pname = "fuzzy-time";
+ version = "0.3.0.0";
+ sha256 = "00283a009wcgzmg86mq4rbxzpycrjy0cvjnn2nc3yfy92lq1j9ad";
+ libraryHaskellDepends = [
+ base containers deepseq megaparsec text time validity validity-time
+ ];
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"fuzzy-time-gen" = callPackage
- ({ mkDerivation, base, containers, criterion, fuzzy-time
- , genvalidity, genvalidity-criterion, genvalidity-hspec
- , genvalidity-text, genvalidity-time, hspec, megaparsec, QuickCheck
- , text, time
+ ({ mkDerivation, base, criterion, fuzzy-time, genvalidity
+ , genvalidity-criterion, genvalidity-hspec, genvalidity-text
+ , genvalidity-time, hspec, megaparsec, QuickCheck, text, time
}:
mkDerivation {
pname = "fuzzy-time-gen";
- version = "0.2.0.1";
- sha256 = "100j98l32hgdm1ib22x1gnkjmnzmyih0ggsqmj8dihm8gqp9z094";
+ version = "0.3.0.0";
+ sha256 = "12gxvdzgajngmrsj17a1bfcyl2p7j2nb66lcxpqs8gq39cigvyfj";
libraryHaskellDepends = [
- base containers fuzzy-time genvalidity genvalidity-time megaparsec
- QuickCheck time
+ base fuzzy-time genvalidity genvalidity-time QuickCheck
];
testHaskellDepends = [
- base fuzzy-time genvalidity genvalidity-hspec genvalidity-text
- genvalidity-time hspec megaparsec QuickCheck text time
+ base fuzzy-time genvalidity-hspec genvalidity-text hspec megaparsec
+ QuickCheck text time
];
benchmarkHaskellDepends = [
base criterion fuzzy-time genvalidity-criterion
];
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"fuzzy-timings" = callPackage
@@ -113090,6 +113738,8 @@ self: {
testHaskellDepends = [ base hspec ];
description = "Composable, streaming, and efficient left folds";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"game-of-life" = callPackage
@@ -113210,6 +113860,8 @@ self: {
libraryHaskellDepends = [ base containers mtl stm transformers ];
description = "Non-deterministic parallelism with bags";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"garepinoh" = callPackage
@@ -113245,8 +113897,8 @@ self: {
}:
mkDerivation {
pname = "gargoyle";
- version = "0.1.1.1";
- sha256 = "1c1pcv7vs7h9v7v1drjxilz7dw3b0fzpg9yl72h5ahjdfdc6xcqp";
+ version = "0.1.2.0";
+ sha256 = "1jj1pgzikg2zw5zmkjn9kh45as5fh0ifi3kragizbbly8qy60nwl";
libraryHaskellDepends = [
base directory filelock filepath network process unix
];
@@ -113362,6 +114014,8 @@ self: {
libraryHaskellDepends = [ base binary containers mtl QuickCheck ];
description = "A framework of algebraic classes";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"gather" = callPackage
@@ -113717,6 +114371,7 @@ self: {
description = "An implementation of Gear hash, a fast rolling hash algorithm";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"gedcom" = callPackage
@@ -113958,6 +114613,33 @@ self: {
mainProgram = "gemini-exports";
}) {};
+ "gemini-exports_0_1_0_1" = callPackage
+ ({ mkDerivation, aeson, base, base64, bytestring, cassava, cmdargs
+ , containers, cryptonite, directory, hedgehog, http-client
+ , http-types, mtl, raw-strings-qq, req, safe-exceptions, scientific
+ , tasty, tasty-hedgehog, tasty-hunit, text, time, xdg-basedir, yaml
+ }:
+ mkDerivation {
+ pname = "gemini-exports";
+ version = "0.1.0.1";
+ sha256 = "1hkbp9c79zhy5f29n5y05mf5jdyqr8fg5vi7fs1pz4hian21187m";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ aeson base base64 bytestring cassava cmdargs containers cryptonite
+ directory http-client http-types mtl raw-strings-qq req
+ safe-exceptions scientific text time xdg-basedir yaml
+ ];
+ executableHaskellDepends = [ base ];
+ testHaskellDepends = [
+ base hedgehog tasty tasty-hedgehog tasty-hunit
+ ];
+ description = "Generate CSV Exports of Your Gemini Trades, Transfers, & Earn Transactions";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ mainProgram = "gemini-exports";
+ }) {};
+
"gemini-router" = callPackage
({ mkDerivation, base, gemini-server, HsOpenSSL, network-uri
, transformers
@@ -113971,6 +114653,7 @@ self: {
];
description = "A simple Happstack-style Gemini router";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"gemini-server" = callPackage
@@ -113989,6 +114672,8 @@ self: {
];
description = "A lightweight server for the Gemini protocol";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"gemini-textboard" = callPackage
@@ -114019,16 +114704,36 @@ self: {
({ mkDerivation, base, HUnit, raw-strings-qq, text }:
mkDerivation {
pname = "gemmula";
- version = "0.1.0.0";
- sha256 = "1zswha3siximp7yp5gmawxm1n8c0bhnn9ybs0290f81vi5bw47lw";
- revision = "1";
- editedCabalFile = "0pa7pl8kgc6qmd8n4p05lzk6bvgip5pl94xys20ibqfa5a7irsnz";
+ version = "1.1.0";
+ sha256 = "1rkw77cfwj2i9hydazc07l4zbdxph3mqnqpsb0312bl44l091nj6";
libraryHaskellDepends = [ base text ];
testHaskellDepends = [ base HUnit raw-strings-qq text ];
description = "A tiny Gemtext parser";
license = lib.licenses.agpl3Only;
}) {};
+ "gemmula-altera" = callPackage
+ ({ mkDerivation, base, directory, filepath, gemmula, HTTP, HUnit
+ , modern-uri, optparse-applicative, raw-strings-qq, text
+ }:
+ mkDerivation {
+ pname = "gemmula-altera";
+ version = "2.1.0";
+ sha256 = "055sg1d4qpzwg4crj92bbc84qc100vbx504nmddj9z158na96qjv";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [ base gemmula HTTP modern-uri text ];
+ executableHaskellDepends = [
+ base directory filepath gemmula optparse-applicative text
+ ];
+ testHaskellDepends = [ base gemmula HUnit raw-strings-qq text ];
+ description = "A tiny Gemtext converter for gemmula";
+ license = lib.licenses.agpl3Only;
+ hydraPlatforms = lib.platforms.none;
+ mainProgram = "gemalter";
+ broken = true;
+ }) {};
+
"gemstone" = callPackage
({ mkDerivation, array, base, bitmap, bitmap-opengl, containers
, FTGL, lens, linear, OpenGL, random, SDL, SDL-image, stb-image
@@ -114155,21 +114860,19 @@ self: {
}) {};
"general-allocate" = callPackage
- ({ mkDerivation, base, containers, mtl, primitive, resourcet
- , safe-exceptions, transformers
+ ({ mkDerivation, base, containers, exceptions, mtl, primitive
+ , resourcet, safe-exceptions, transformers
}:
mkDerivation {
pname = "general-allocate";
- version = "0.2.1.4";
- sha256 = "1ds89izz3m53wr8qk4d3x3y3pgw7y1j3na2sy7yjgzy857j6xxp6";
+ version = "0.2.3.1";
+ sha256 = "1wjlhyv8ah8q95m76v0ng3m08sf4ln2brr5ldgmsb2zhx9m8p4b5";
libraryHaskellDepends = [
- base containers mtl primitive resourcet safe-exceptions
+ base containers exceptions mtl primitive resourcet safe-exceptions
transformers
];
description = "Exception-safe resource management in more monads";
license = lib.licenses.asl20;
- hydraPlatforms = lib.platforms.none;
- broken = true;
}) {};
"general-games" = callPackage
@@ -114429,8 +115132,8 @@ self: {
({ mkDerivation, base }:
mkDerivation {
pname = "generic-enumeration";
- version = "0.1.0.1";
- sha256 = "0bznwb8kkifbsd8yi0mp6cym90adjg30fzgj8181nsga4w9vzsab";
+ version = "0.1.0.2";
+ sha256 = "1j9pdg0ib2ysx4wv357gwvg5j9djm038rj16wpyfc90lsl9m8gdg";
libraryHaskellDepends = [ base ];
description = "Generically derived enumerations";
license = lib.licenses.mit;
@@ -114822,7 +115525,9 @@ self: {
executableHaskellDepends = [ base ];
description = "A map, where the keys may be complex structured data";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "bogus";
+ broken = true;
}) {};
"generic-xml" = callPackage
@@ -114857,14 +115562,14 @@ self: {
}) {};
"generically" = callPackage
- ({ mkDerivation, base, base-orphans }:
+ ({ mkDerivation, base }:
mkDerivation {
pname = "generically";
version = "0.1.1";
sha256 = "1ks3pi6mpma83xffplz8vmimyhvzpnhmcgvk3bvl3c64pqva9i84";
revision = "2";
editedCabalFile = "0z2rjz0ap63zjpj4166fxl09zfrlpmzn85pi3l0vhlr8pi4w13ip";
- libraryHaskellDepends = [ base base-orphans ];
+ libraryHaskellDepends = [ base ];
description = "Generically newtype to use with DerivingVia";
license = lib.licenses.bsd3;
}) {};
@@ -114885,6 +115590,8 @@ self: {
testToolDepends = [ markdown-unlit ];
description = "A library for generic programming that aims to be easy to understand";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"generics-mrsop" = callPackage
@@ -115156,6 +115863,8 @@ self: {
libraryHaskellDepends = [ base mtl template-haskell ];
description = "Use Template Haskell to generate Uniplate-like functions";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"geniserver" = callPackage
@@ -116872,6 +117581,8 @@ self: {
pname = "ghc-events";
version = "0.19.0.1";
sha256 = "1rliryx527ph1csyq8d5np1g8yfwhmsarspqnl7lp9d9nf0jkbn1";
+ revision = "1";
+ editedCabalFile = "09arkxfmi64nxr3r7qd0fwikp29qrl4rahf0zwwhhlqrz9n6npzv";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -116991,7 +117702,7 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
- "ghc-exactprint" = callPackage
+ "ghc-exactprint_1_6_1_3" = callPackage
({ mkDerivation, base, bytestring, Cabal-syntax, containers
, data-default, Diff, directory, fail, filemanip, filepath, free
, ghc, ghc-boot, ghc-paths, HUnit, mtl, ordered-containers
@@ -117014,20 +117725,25 @@ self: {
];
description = "ExactPrint for GHC";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
- "ghc-exactprint_1_7_0_1" = callPackage
+ "ghc-exactprint" = callPackage
({ mkDerivation, base, bytestring, Cabal-syntax, containers
- , data-default, Diff, directory, extra, fail, filepath, ghc
+ , data-default, Diff, directory, extra, fail, filepath, free, ghc
, ghc-boot, ghc-paths, HUnit, mtl, ordered-containers, silently
, syb
}:
mkDerivation {
pname = "ghc-exactprint";
- version = "1.7.0.1";
- sha256 = "0lf3grridkx5xb5zz8shx3vkzwqsc3y5rbgw7w6hbsgp7ac90jjz";
+ version = "1.7.1.0";
+ sha256 = "1kda9vqm4l5lhvcainvqgna46v29bwdkpr755wqqnn2kkvfsgvvy";
isLibrary = true;
isExecutable = true;
+ libraryHaskellDepends = [
+ base bytestring containers data-default directory fail filepath
+ free ghc ghc-boot mtl ordered-containers syb
+ ];
testHaskellDepends = [
base bytestring Cabal-syntax containers data-default Diff directory
extra fail filepath ghc ghc-boot ghc-paths HUnit mtl
@@ -117035,6 +117751,18 @@ self: {
];
description = "ExactPrint for GHC";
license = lib.licenses.bsd3;
+ }) {};
+
+ "ghc-exactprint_1_8_0_0" = callPackage
+ ({ mkDerivation }:
+ mkDerivation {
+ pname = "ghc-exactprint";
+ version = "1.8.0.0";
+ sha256 = "10j98rnn69wig6xks1x5xq19730225ksz3il93x8zniddsn40v8v";
+ isLibrary = true;
+ isExecutable = true;
+ description = "ExactPrint for GHC";
+ license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
}) {};
@@ -117098,8 +117826,8 @@ self: {
}:
mkDerivation {
pname = "ghc-heap-view";
- version = "0.6.4";
- sha256 = "19bqk98a3w4sd20ynil7b6m8p5qs0vrzwkdji3wv016i89vfsv7x";
+ version = "0.6.4.1";
+ sha256 = "0fi04y9qsy7afzfv2p7xkjwyxn6difqnz75cmy0hkdx1kii2diw3";
enableSeparateDataOutput = true;
setupHaskellDepends = [ base Cabal filepath ];
libraryHaskellDepends = [
@@ -117215,27 +117943,6 @@ self: {
broken = true;
}) {};
- "ghc-lib_8_10_7_20220219" = callPackage
- ({ mkDerivation, alex, array, base, binary, bytestring, containers
- , deepseq, directory, filepath, ghc-lib-parser, ghc-prim, happy
- , hpc, pretty, process, rts, time, transformers, unix
- }:
- mkDerivation {
- pname = "ghc-lib";
- version = "8.10.7.20220219";
- sha256 = "0s6llxxw4gqa73xdwdiyzdr3rrpmz85zjif964w039clpl4g16rx";
- enableSeparateDataOutput = true;
- libraryHaskellDepends = [
- array base binary bytestring containers deepseq directory filepath
- ghc-lib-parser ghc-prim hpc pretty process rts time transformers
- unix
- ];
- libraryToolDepends = [ alex happy ];
- description = "The GHC API, decoupled from GHC versions";
- license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- }) {};
-
"ghc-lib_9_2_8_20230729" = callPackage
({ mkDerivation, alex, array, base, binary, bytestring, containers
, deepseq, directory, exceptions, filepath, ghc-lib-parser
@@ -117266,8 +117973,8 @@ self: {
}:
mkDerivation {
pname = "ghc-lib";
- version = "9.4.8.20231111";
- sha256 = "1ccn54srbjnna5abnzkp7fmylwkzv1qsyhgsggrlcfkia10prd9n";
+ version = "9.6.4.20240109";
+ sha256 = "0iny2fpbq8xjsrcxpn0qvxc4z7kga4b7c32nznypcwysp60vrzl8";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
array base binary bytestring containers deepseq directory
@@ -117279,28 +117986,6 @@ self: {
license = lib.licenses.bsd3;
}) {};
- "ghc-lib_9_6_3_20231121" = callPackage
- ({ mkDerivation, alex, array, base, binary, bytestring, containers
- , deepseq, directory, exceptions, filepath, ghc-lib-parser
- , ghc-prim, happy, hpc, parsec, pretty, process, rts, stm, time
- , transformers, unix
- }:
- mkDerivation {
- pname = "ghc-lib";
- version = "9.6.3.20231121";
- sha256 = "1ri4nwwyzkk6rbkx8pr2njf8hdhvr0k8gdh7030g4i51j64kcq9h";
- enableSeparateDataOutput = true;
- libraryHaskellDepends = [
- array base binary bytestring containers deepseq directory
- exceptions filepath ghc-lib-parser ghc-prim hpc parsec pretty
- process rts stm time transformers unix
- ];
- libraryToolDepends = [ alex happy ];
- description = "The GHC API, decoupled from GHC versions";
- license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- }) {};
-
"ghc-lib_9_8_1_20231121" = callPackage
({ mkDerivation, alex, array, base, binary, bytestring, containers
, deepseq, directory, exceptions, filepath, ghc-lib-parser
@@ -117325,26 +118010,6 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
- "ghc-lib-parser_8_10_7_20220219" = callPackage
- ({ mkDerivation, alex, array, base, binary, bytestring, containers
- , deepseq, directory, filepath, ghc-prim, happy, pretty, process
- , time, transformers, unix
- }:
- mkDerivation {
- pname = "ghc-lib-parser";
- version = "8.10.7.20220219";
- sha256 = "0caiv5nj1xxgzbimppy6hbakdplh3aacdg8g4ba3ssr5ba25rxbh";
- enableSeparateDataOutput = true;
- libraryHaskellDepends = [
- array base binary bytestring containers deepseq directory filepath
- ghc-prim pretty process time transformers unix
- ];
- libraryToolDepends = [ alex happy ];
- description = "The GHC API, decoupled from GHC versions";
- license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- }) {};
-
"ghc-lib-parser_9_2_8_20230729" = callPackage
({ mkDerivation, alex, array, base, binary, bytestring, containers
, deepseq, directory, exceptions, filepath, ghc-prim, happy, parsec
@@ -117373,8 +118038,8 @@ self: {
}:
mkDerivation {
pname = "ghc-lib-parser";
- version = "9.4.8.20231111";
- sha256 = "1zr3fvf5b2wxp6z56bs8gaky62c4m147cx5lwnxmjkl8namwllya";
+ version = "9.6.4.20240109";
+ sha256 = "1p89bavaqhyl8vmg83c944c9rj5j5qngnckml16wmd5xrbjlj9dh";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
array base binary bytestring containers deepseq directory
@@ -117386,27 +118051,6 @@ self: {
license = lib.licenses.bsd3;
}) {};
- "ghc-lib-parser_9_6_3_20231121" = callPackage
- ({ mkDerivation, alex, array, base, binary, bytestring, containers
- , deepseq, directory, exceptions, filepath, ghc-prim, happy, parsec
- , pretty, process, time, transformers, unix
- }:
- mkDerivation {
- pname = "ghc-lib-parser";
- version = "9.6.3.20231121";
- sha256 = "17z3l2n5id5kyyzljj490a32za2xna6yfif2bngbwinisklcyv2n";
- enableSeparateDataOutput = true;
- libraryHaskellDepends = [
- array base binary bytestring containers deepseq directory
- exceptions filepath ghc-prim parsec pretty process time
- transformers unix
- ];
- libraryToolDepends = [ alex happy ];
- description = "The GHC API, decoupled from GHC versions";
- license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- }) {};
-
"ghc-lib-parser_9_8_1_20231121" = callPackage
({ mkDerivation, alex, array, base, binary, bytestring, containers
, deepseq, directory, exceptions, filepath, ghc-prim, happy, parsec
@@ -117428,26 +118072,6 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
- "ghc-lib-parser-ex_8_10_0_24" = callPackage
- ({ mkDerivation, base, bytestring, containers, directory, extra
- , filepath, ghc-lib-parser, tasty, tasty-hunit, uniplate
- }:
- mkDerivation {
- pname = "ghc-lib-parser-ex";
- version = "8.10.0.24";
- sha256 = "0miy1rrpg93r7xgpsmgfgx9djsg8fds2w7c6js4mvbjh3bsjdcpl";
- libraryHaskellDepends = [
- base bytestring containers ghc-lib-parser uniplate
- ];
- testHaskellDepends = [
- base directory extra filepath ghc-lib-parser tasty tasty-hunit
- uniplate
- ];
- description = "Algorithms on GHC parse trees";
- license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- }) {};
-
"ghc-lib-parser-ex_9_2_1_1" = callPackage
({ mkDerivation, base, bytestring, containers, directory, extra
, filepath, ghc-lib-parser, tasty, tasty-hunit, uniplate
@@ -117469,25 +118093,6 @@ self: {
}) {};
"ghc-lib-parser-ex" = callPackage
- ({ mkDerivation, base, bytestring, containers, directory, extra
- , filepath, ghc-lib-parser, tasty, tasty-hunit, uniplate
- }:
- mkDerivation {
- pname = "ghc-lib-parser-ex";
- version = "9.4.0.0";
- sha256 = "06qrfg4icp66lyhzncvsaqdcmsijayrlgi91x65a2nd2l0wz6gyf";
- libraryHaskellDepends = [
- base bytestring containers ghc-lib-parser uniplate
- ];
- testHaskellDepends = [
- base directory extra filepath ghc-lib-parser tasty tasty-hunit
- uniplate
- ];
- description = "Algorithms on GHC parse trees";
- license = lib.licenses.bsd3;
- }) {};
-
- "ghc-lib-parser-ex_9_6_0_2" = callPackage
({ mkDerivation, base, bytestring, containers, directory, extra
, filepath, ghc-lib-parser, tasty, tasty-hunit, uniplate
}:
@@ -117504,7 +118109,6 @@ self: {
];
description = "Algorithms on GHC parse trees";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"ghc-lib-parser-ex_9_8_0_0" = callPackage
@@ -117527,6 +118131,25 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
+ "ghc-magic-dict-compat" = callPackage
+ ({ mkDerivation, base, dlist, falsify, ghc, ghc-prim
+ , ghc-tcplugins-extra, tasty, tasty-discover, tasty-hunit
+ }:
+ mkDerivation {
+ pname = "ghc-magic-dict-compat";
+ version = "0.0.0.0";
+ sha256 = "03xrfb5qa3fh8v7vi9sjdgwplcdhkk1ksv2nagsqjfmag6gkkry5";
+ libraryHaskellDepends = [
+ base dlist ghc ghc-prim ghc-tcplugins-extra
+ ];
+ testHaskellDepends = [
+ base falsify tasty tasty-discover tasty-hunit
+ ];
+ testToolDepends = [ tasty-discover ];
+ description = "A compatibility layer and GHC plugin for `withDict` from \"GHC.Magic.Dict\".";
+ license = lib.licenses.bsd3;
+ }) {};
+
"ghc-make" = callPackage
({ mkDerivation, base, process, shake, unordered-containers }:
mkDerivation {
@@ -117919,16 +118542,14 @@ self: {
}:
mkDerivation {
pname = "ghc-source-gen";
- version = "0.4.3.0";
- sha256 = "1lhqsklibgiv51hs8mnrkn784rc5sxf5z8cfv8hf9jqlny50720f";
+ version = "0.4.4.1";
+ sha256 = "0fbzvybj86apy4xkx1m4gbp7gybmd87ab64f6sngpsbkk5shxsrk";
libraryHaskellDepends = [ base ghc ];
testHaskellDepends = [
base ghc ghc-paths QuickCheck tasty tasty-hunit tasty-quickcheck
];
description = "Constructs Haskell syntax trees for the GHC API";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- broken = true;
}) {};
"ghc-srcspan-plugin" = callPackage
@@ -117997,26 +118618,11 @@ self: {
testHaskellDepends = [ base tasty tasty-hunit text ];
description = "Symbol on term level";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"ghc-syntax-highlighter" = callPackage
- ({ mkDerivation, base, ghc-lib-parser, hspec, hspec-discover, text
- }:
- mkDerivation {
- pname = "ghc-syntax-highlighter";
- version = "0.0.9.0";
- sha256 = "0dan000fg9ipfh8knhrdscnr8lvcf6p2djl9b4bgqd4nc65pcf8a";
- revision = "2";
- editedCabalFile = "1l1w8jn4sn0l9358xqinifm70zy0dsgdkm9kzlaj782abwvlz5rm";
- enableSeparateDataOutput = true;
- libraryHaskellDepends = [ base ghc-lib-parser text ];
- testHaskellDepends = [ base hspec text ];
- testToolDepends = [ hspec-discover ];
- description = "Syntax highlighter for Haskell using the lexer of GHC";
- license = lib.licenses.bsd3;
- }) {};
-
- "ghc-syntax-highlighter_0_0_10_0" = callPackage
({ mkDerivation, base, ghc-lib-parser, hspec, hspec-discover, text
}:
mkDerivation {
@@ -118029,7 +118635,6 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Syntax highlighter for Haskell using the lexer of GHC";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"ghc-syntax-highlighter_0_0_11_0" = callPackage
@@ -118069,13 +118674,14 @@ self: {
license = lib.licenses.mpl20;
hydraPlatforms = lib.platforms.none;
mainProgram = "ghc-tags";
+ broken = true;
}) {};
"ghc-tags_1_6" = callPackage
({ mkDerivation, aeson, async, attoparsec, base, bytestring
- , containers, deepseq, directory, filepath, ghc, ghc-boot
- , ghc-paths, optparse-applicative, process, stm, temporary, text
- , time, vector, yaml
+ , containers, deepseq, directory, filepath, ghc-lib, ghc-paths
+ , optparse-applicative, process, stm, temporary, text, time, vector
+ , yaml
}:
mkDerivation {
pname = "ghc-tags";
@@ -118085,13 +118691,14 @@ self: {
isExecutable = true;
executableHaskellDepends = [
aeson async attoparsec base bytestring containers deepseq directory
- filepath ghc ghc-boot ghc-paths optparse-applicative process stm
+ filepath ghc-lib ghc-paths optparse-applicative process stm
temporary text time vector yaml
];
description = "Utility for generating ctags and etags with GHC API";
license = lib.licenses.mpl20;
hydraPlatforms = lib.platforms.none;
mainProgram = "ghc-tags";
+ broken = true;
}) {};
"ghc-tags" = callPackage
@@ -118102,8 +118709,8 @@ self: {
}:
mkDerivation {
pname = "ghc-tags";
- version = "1.7";
- sha256 = "17189yi1zffgcdwx0nb6n4pbv3jhfajhfnag84fnqwy4kbvl5ma4";
+ version = "1.8";
+ sha256 = "0gljssyq1ahgl65vnqqa5s1vglzdisx8wp5p2hwh09diwa2ms9mw";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
@@ -118113,20 +118720,21 @@ self: {
];
description = "Utility for generating ctags and etags with GHC API";
license = lib.licenses.mpl20;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "ghc-tags";
+ broken = true;
}) {};
"ghc-tags-core" = callPackage
({ mkDerivation, attoparsec, base, bytestring, containers, deepseq
- , filepath-bytestring, ghc, text
+ , filepath, ghc, text
}:
mkDerivation {
pname = "ghc-tags-core";
- version = "0.6.0.0";
- sha256 = "1f8lph1g1xnk8p3mgsvzfy8sv90nn3j19a2cb7qpdmcg48md9947";
+ version = "0.6.1.0";
+ sha256 = "0x2l1n8fsg7z0x3bvqa806l4ldzxjsapfv9cf3fnvandh9d2dc4p";
libraryHaskellDepends = [
- attoparsec base bytestring containers deepseq filepath-bytestring
- ghc text
+ attoparsec base bytestring containers deepseq filepath ghc text
];
description = "CTags and ETags from Haskell syntax tree";
license = lib.licenses.mpl20;
@@ -118155,8 +118763,8 @@ self: {
}:
mkDerivation {
pname = "ghc-tags-plugin";
- version = "0.6.0.1";
- sha256 = "0wzk3slkla62n3yywckdcfyl3lizfh9psxxp0vai0699c6396cwq";
+ version = "0.6.1.0";
+ sha256 = "1c3vr9754szadl4bj98hmjz5jmqahggakm7x3838cccqbdrpxyh0";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -118228,8 +118836,8 @@ self: {
({ mkDerivation, base, bytestring, tasty-bench, text }:
mkDerivation {
pname = "ghc-trace-events";
- version = "0.1.2.7";
- sha256 = "1gqk6dddj3ajrwg1331ynp8yq44zdg40bx917s550hm9ycfnanji";
+ version = "0.1.2.8";
+ sha256 = "1qmfywqjwbnmhjs2q2nndvdfhigjhq5ma86s882ffcz3rawxv5wi";
libraryHaskellDepends = [ base bytestring text ];
benchmarkHaskellDepends = [ base bytestring tasty-bench ];
description = "Faster traceEvent and traceMarker, and binary object logging for eventlog";
@@ -118304,8 +118912,8 @@ self: {
}:
mkDerivation {
pname = "ghc-typelits-presburger";
- version = "0.7.2.0";
- sha256 = "0lj9yw8rq8xi3n80ay0jdv53ygchf8sixj4iz4x7sb9hvw1nvrdh";
+ version = "0.7.3.0";
+ sha256 = "146xlp596ymsz1wrx2am62fy1v1gq7v6qzbx6qmj5dgxph0h4w6x";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -118345,8 +118953,8 @@ self: {
}:
mkDerivation {
pname = "ghc-vis";
- version = "0.9.3";
- sha256 = "08144lfp3amgi5i6qfbpi0gqv39q34q4v9ykzl00pbc1l1zxnspg";
+ version = "0.9.3.1";
+ sha256 = "16ih0r4s2kprhbs5nq3r6cxwb57gjagqi8m8mzrvylf2niiz6xza";
enableSeparateDataOutput = true;
setupHaskellDepends = [ base Cabal filepath ];
libraryHaskellDepends = [
@@ -118575,9 +119183,9 @@ self: {
, extra, filepath, fingertree, focus, fuzzy, ghc, ghc-boot
, ghc-boot-th, ghc-check, ghc-paths, ghc-trace-events, gitrev, Glob
, haddock-library, hashable, hie-bios, hie-compat, hiedb, hls-graph
- , hls-plugin-api, implicit-hie, implicit-hie-cradle, lens, list-t
- , lsp, lsp-test, lsp-types, monoid-subclasses, mtl, network-uri
- , opentelemetry, optparse-applicative, parallel, prettyprinter
+ , hls-plugin-api, implicit-hie, lens, list-t, lsp, lsp-test
+ , lsp-types, monoid-subclasses, mtl, network-uri, opentelemetry
+ , optparse-applicative, parallel, prettyprinter
, prettyprinter-ansi-terminal, QuickCheck, random, regex-tdfa
, row-types, safe-exceptions, shake, sorted-list, sqlite-simple
, stm, stm-containers, syb, tasty, tasty-expected-failure
@@ -118587,8 +119195,8 @@ self: {
}:
mkDerivation {
pname = "ghcide";
- version = "2.5.0.0";
- sha256 = "1p4nm8qv1sx4r7mg52nkxv6rymngn9h7kfxg20yyb8wx170zx5cy";
+ version = "2.6.0.0";
+ sha256 = "1x99dlcfjvlc45nlgydmlm2hdf7a4mrdg9cyxdb8b4k29rjas9a5";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -118598,22 +119206,22 @@ self: {
dlist enummapset exceptions extra filepath fingertree focus ghc
ghc-boot ghc-boot-th ghc-check ghc-paths ghc-trace-events Glob
haddock-library hashable hie-bios hie-compat hiedb hls-graph
- hls-plugin-api implicit-hie implicit-hie-cradle lens list-t lsp
- lsp-types mtl opentelemetry optparse-applicative parallel
- prettyprinter prettyprinter-ansi-terminal random regex-tdfa
- row-types safe-exceptions sorted-list sqlite-simple stm
- stm-containers syb text text-rope time transformers unix unliftio
- unliftio-core unordered-containers vector
+ hls-plugin-api implicit-hie lens list-t lsp lsp-types mtl
+ opentelemetry optparse-applicative parallel prettyprinter
+ prettyprinter-ansi-terminal random regex-tdfa row-types
+ safe-exceptions sorted-list sqlite-simple stm stm-containers syb
+ text text-rope time transformers unix unliftio unliftio-core
+ unordered-containers vector
];
executableHaskellDepends = [
base data-default extra gitrev hls-plugin-api lsp lsp-types
optparse-applicative
];
testHaskellDepends = [
- aeson async base containers data-default directory extra filepath
- fuzzy ghc hls-plugin-api lens list-t lsp lsp-test lsp-types
- monoid-subclasses mtl network-uri QuickCheck random regex-tdfa
- row-types shake sqlite-simple stm stm-containers tasty
+ aeson async base containers data-default directory enummapset extra
+ filepath fuzzy ghc hls-plugin-api lens list-t lsp lsp-test
+ lsp-types monoid-subclasses mtl network-uri QuickCheck random
+ regex-tdfa row-types shake sqlite-simple stm stm-containers tasty
tasty-expected-failure tasty-hunit tasty-quickcheck tasty-rerun
text text-rope unordered-containers
];
@@ -118632,8 +119240,8 @@ self: {
}:
mkDerivation {
pname = "ghcide-bench";
- version = "2.5.0.0";
- sha256 = "1sryj91j5wmck67njbwjjz5nigajblmygrrgl7b1zf3rv44x621i";
+ version = "2.6.0.0";
+ sha256 = "1j0fqfahdylw85akmpis01x5s3i187kzin58kziw79nlmm47kz6c";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -118653,26 +119261,51 @@ self: {
testToolDepends = [ ghcide implicit-hie ];
description = "An LSP client for running performance experiments on HLS";
license = lib.licenses.asl20;
- hydraPlatforms = lib.platforms.none;
mainProgram = "ghcide-bench";
}) {};
"ghcide-test-utils" = callPackage
({ mkDerivation, aeson, base, containers, data-default, directory
, extra, filepath, ghcide, hls-plugin-api, lens, lsp-test
- , lsp-types, tasty-hunit, text
+ , lsp-types, row-types, tasty-hunit, text
}:
mkDerivation {
pname = "ghcide-test-utils";
- version = "1.9.0.0";
- sha256 = "0f4xxw2y4bmihy8ydi569g11r9w7i6bcrsgk3ap9al98l059xdk6";
+ version = "2.0.0.0";
+ sha256 = "0zwwg9gv3xnrl0v7319yjipwq3k0qy38m9sdkbxpavqcaqaapwj0";
libraryHaskellDepends = [
aeson base containers data-default directory extra filepath ghcide
- hls-plugin-api lens lsp-test lsp-types tasty-hunit text
+ hls-plugin-api lens lsp-test lsp-types row-types tasty-hunit text
];
description = "Test utils for ghcide";
license = lib.licenses.asl20;
+ }) {};
+
+ "ghcitui" = callPackage
+ ({ mkDerivation, array, base, brick, containers, errors, extra
+ , file-embed, ghcid, hspec, microlens, microlens-th
+ , optparse-applicative, regex-base, regex-tdfa, string-interpolate
+ , text, text-zipper, transformers, utf8-string, vector, vty
+ , word-wrap
+ }:
+ mkDerivation {
+ pname = "ghcitui";
+ version = "0.2.0.0";
+ sha256 = "17apm7hanm7zc3rr19am4ll5rmhj9ghdlrxzr7l1rkdla7l6x0a5";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ array base brick containers errors extra file-embed ghcid microlens
+ microlens-th regex-base regex-tdfa string-interpolate text
+ text-zipper transformers utf8-string vector vty word-wrap
+ ];
+ executableHaskellDepends = [ base optparse-applicative text ];
+ testHaskellDepends = [ base hspec ];
+ doHaddock = false;
+ description = "A Terminal User Interface (TUI) for GHCi";
+ license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ mainProgram = "ghcitui";
broken = true;
}) {};
@@ -119049,18 +119682,18 @@ self: {
}) {};
"ghostscript-parallel" = callPackage
- ({ mkDerivation, base, directory, optparse-applicative, pooled-io
- , process, shell-utility, utility-ht
+ ({ mkDerivation, base, directory, non-empty, optparse-applicative
+ , pooled-io, process, shell-utility, utility-ht
}:
mkDerivation {
pname = "ghostscript-parallel";
- version = "0.0";
- sha256 = "0ybxcghkrhr1g5sw3v4r3rn7ck4qic2vlv4zdfpg3bs3bi5f7x1j";
+ version = "0.0.1";
+ sha256 = "1sja6nhp8p9h2z0yr5qwxd8d59zzpb11ybmsbargza6ddaplpxny";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
- base directory optparse-applicative pooled-io process shell-utility
- utility-ht
+ base directory non-empty optparse-applicative pooled-io process
+ shell-utility utility-ht
];
description = "Let Ghostscript render pages in parallel";
license = lib.licenses.bsd3;
@@ -119115,8 +119748,6 @@ self: {
description = "Adwaita bindings";
license = lib.licenses.lgpl21Only;
badPlatforms = lib.platforms.darwin;
- hydraPlatforms = lib.platforms.none;
- broken = true;
}) {inherit (pkgs) libadwaita;};
"gi-atk" = callPackage
@@ -119658,8 +120289,6 @@ self: {
libraryPkgconfigDepends = [ gtk4 ];
description = "Gsk bindings";
license = lib.licenses.lgpl21Only;
- hydraPlatforms = lib.platforms.none;
- broken = true;
}) {inherit (pkgs) gtk4;};
"gi-gst" = callPackage
@@ -119972,9 +120601,35 @@ self: {
license = lib.licenses.lgpl21Only;
hydraPlatforms = lib.platforms.none;
broken = true;
- }) {gtksheet = null;};
+ }) {inherit (pkgs) gtksheet;};
"gi-gtksource" = callPackage
+ ({ mkDerivation, base, bytestring, Cabal, containers, gi-atk
+ , gi-cairo, gi-gdk, gi-gdkpixbuf, gi-gio, gi-glib, gi-gobject
+ , gi-gtk, gi-pango, gtksourceview3, haskell-gi, haskell-gi-base
+ , haskell-gi-overloading, text, transformers
+ }:
+ mkDerivation {
+ pname = "gi-gtksource";
+ version = "3.0.28";
+ sha256 = "1047fgqj2avy34fd9y5m4ipv0vmpizw4lwnwdbrnhvs2fc89g0lh";
+ setupHaskellDepends = [
+ base Cabal gi-atk gi-cairo gi-gdk gi-gdkpixbuf gi-gio gi-glib
+ gi-gobject gi-gtk gi-pango haskell-gi
+ ];
+ libraryHaskellDepends = [
+ base bytestring containers gi-atk gi-cairo gi-gdk gi-gdkpixbuf
+ gi-gio gi-glib gi-gobject gi-gtk gi-pango haskell-gi
+ haskell-gi-base haskell-gi-overloading text transformers
+ ];
+ libraryPkgconfigDepends = [ gtksourceview3 ];
+ description = "GtkSource bindings";
+ license = lib.licenses.lgpl21Only;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {inherit (pkgs) gtksourceview3;};
+
+ "gi-gtksource_5_0_0" = callPackage
({ mkDerivation, base, bytestring, Cabal, containers, gi-atk
, gi-cairo, gi-gdk, gi-gdkpixbuf, gi-gio, gi-glib, gi-gobject
, gi-gtk, gi-pango, gtksourceview, haskell-gi, haskell-gi-base
@@ -120356,22 +121011,22 @@ self: {
"gi-vte" = callPackage
({ mkDerivation, base, bytestring, Cabal, containers, gi-atk
- , gi-gdk, gi-gio, gi-glib, gi-gobject, gi-gtk, gi-pango, haskell-gi
- , haskell-gi-base, haskell-gi-overloading, text, transformers
- , vte_291
+ , gi-cairo, gi-gdk, gi-gio, gi-glib, gi-gobject, gi-gtk, gi-pango
+ , haskell-gi, haskell-gi-base, haskell-gi-overloading, text
+ , transformers, vte_291
}:
mkDerivation {
pname = "gi-vte";
- version = "2.91.31";
- sha256 = "1b9y7vqw4zkk560cgwrlyiqv2agfym20k69b23jf61252hm9l9cx";
+ version = "2.91.32";
+ sha256 = "0sfcd2lbbn5zz8vjxklxhf1yz7r5vacjvg5qid99xfzialp9s82x";
setupHaskellDepends = [
- base Cabal gi-atk gi-gdk gi-gio gi-glib gi-gobject gi-gtk gi-pango
- haskell-gi
+ base Cabal gi-atk gi-cairo gi-gdk gi-gio gi-glib gi-gobject gi-gtk
+ gi-pango haskell-gi
];
libraryHaskellDepends = [
- base bytestring containers gi-atk gi-gdk gi-gio gi-glib gi-gobject
- gi-gtk gi-pango haskell-gi haskell-gi-base haskell-gi-overloading
- text transformers
+ base bytestring containers gi-atk gi-cairo gi-gdk gi-gio gi-glib
+ gi-gobject gi-gtk gi-pango haskell-gi haskell-gi-base
+ haskell-gi-overloading text transformers
];
libraryPkgconfigDepends = [ vte_291 ];
description = "Vte bindings";
@@ -120563,14 +121218,14 @@ self: {
"gigaparsec" = callPackage
({ mkDerivation, base, bytestring, containers, deepseq, gauge, knob
, pretty-terminal, selective, tasty, tasty-expected-failure
- , tasty-hunit
+ , tasty-hunit, template-haskell
}:
mkDerivation {
pname = "gigaparsec";
- version = "0.2.1.0";
- sha256 = "0i38ychjdrmw2rxznq7iw7c84mvhx2iq3bxzixmwa26v5zn9nyf7";
+ version = "0.2.5.1";
+ sha256 = "1ks2wv3n478r4532q7lfyll51kkrzsgh58akz9yflnv9n038sfr5";
libraryHaskellDepends = [
- base containers pretty-terminal selective
+ base containers pretty-terminal selective template-haskell
];
testHaskellDepends = [
base bytestring containers deepseq knob tasty
@@ -120682,6 +121337,8 @@ self: {
pname = "gio";
version = "0.13.10.0";
sha256 = "0lwayry5f3jfz7ryabhdf6vb20qwmx48mzfnlmv70zd3fxz4jcd8";
+ revision = "1";
+ editedCabalFile = "1k73ppzjv7xvwi4s2q0i6ny8q8pwdz2hcbrd058m8xdb0c8kx7jr";
enableSeparateDataOutput = true;
setupHaskellDepends = [ base Cabal gtk2hs-buildtools ];
libraryHaskellDepends = [
@@ -120774,6 +121431,8 @@ self: {
pname = "git";
version = "0.3.0";
sha256 = "0kd35qnxv2vnfaaq13dbf734jq11p05v6sdbxf91pag49817b6bz";
+ revision = "1";
+ editedCabalFile = "15h1j9s3wkyxpgwn4im3nr61w2c5cvridjiihb5igs43rs46la4a";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
base basement bytestring containers cryptonite hourglass memory
@@ -120887,6 +121546,83 @@ self: {
inherit (pkgs) perl; inherit (pkgs) rsync; inherit (pkgs) wget;
inherit (pkgs) which;};
+ "git-annex_10_20240129" = callPackage
+ ({ mkDerivation, aeson, ansi-terminal, async, attoparsec, aws, base
+ , blaze-builder, bloomfilter, bup, byteable, bytestring, Cabal
+ , case-insensitive, clientsession, concurrent-output, conduit
+ , containers, crypto-api, crypton, curl, data-default, DAV, dbus
+ , deepseq, directory, disk-free-space, dlist, edit-distance
+ , exceptions, fdo-notify, feed, filepath, filepath-bytestring, free
+ , git, git-lfs, gnupg, hinotify, http-client
+ , http-client-restricted, http-client-tls, http-conduit, http-types
+ , IfElse, lsof, magic, memory, microlens, monad-control
+ , monad-logger, mountpoints, mtl, network, network-bsd
+ , network-info, network-multicast, network-uri, old-locale, openssh
+ , optparse-applicative, path-pieces, perl, persistent
+ , persistent-sqlite, persistent-template, process, QuickCheck
+ , random, regex-tdfa, resourcet, rsync, SafeSemaphore, sandi
+ , securemem, shakespeare, socks, split, stm, stm-chans, tagsoup
+ , tasty, tasty-hunit, tasty-quickcheck, tasty-rerun
+ , template-haskell, text, time, torrent, transformers, unix
+ , unix-compat, unliftio-core, unordered-containers, utf8-string
+ , uuid, vector, wai, wai-extra, warp, warp-tls, wget, which, yesod
+ , yesod-core, yesod-form, yesod-static
+ }:
+ mkDerivation {
+ pname = "git-annex";
+ version = "10.20240129";
+ sha256 = "0fc3rxakqps0qa4q0jns3h3mp9x9c28hsw1l4qk7jrkl4xpvmrg0";
+ configureFlags = [
+ "-fassistant" "-f-benchmark" "-fdbus" "-f-debuglocks" "-fmagicmime"
+ "-fnetworkbsd" "-fpairing" "-fproduction" "-fs3" "-ftorrentparser"
+ "-fwebapp" "-fwebdav"
+ ];
+ isLibrary = false;
+ isExecutable = true;
+ setupHaskellDepends = [
+ async base bytestring Cabal directory exceptions filepath
+ filepath-bytestring process split time utf8-string
+ ];
+ executableHaskellDepends = [
+ aeson ansi-terminal async attoparsec aws base blaze-builder
+ bloomfilter byteable bytestring case-insensitive clientsession
+ concurrent-output conduit containers crypto-api crypton
+ data-default DAV dbus deepseq directory disk-free-space dlist
+ edit-distance exceptions fdo-notify feed filepath
+ filepath-bytestring free git-lfs hinotify http-client
+ http-client-restricted http-client-tls http-conduit http-types
+ IfElse magic memory microlens monad-control monad-logger
+ mountpoints mtl network network-bsd network-info network-multicast
+ network-uri old-locale optparse-applicative path-pieces persistent
+ persistent-sqlite persistent-template process QuickCheck random
+ regex-tdfa resourcet SafeSemaphore sandi securemem shakespeare
+ socks split stm stm-chans tagsoup tasty tasty-hunit
+ tasty-quickcheck tasty-rerun template-haskell text time torrent
+ transformers unix unix-compat unliftio-core unordered-containers
+ utf8-string uuid vector wai wai-extra warp warp-tls yesod
+ yesod-core yesod-form yesod-static
+ ];
+ executableSystemDepends = [
+ bup curl git gnupg lsof openssh perl rsync wget which
+ ];
+ preConfigure = "export HOME=$TEMPDIR; patchShebangs .";
+ postBuild = ''
+ ln -sf dist/build/git-annex/git-annex git-annex
+ ln -sf git-annex git-annex-shell
+ '';
+ installPhase = "make PREFIX=$out BUILDER=: install install-completions";
+ checkPhase = ''PATH+=":$PWD" git-annex test'';
+ enableSharedExecutables = false;
+ description = "manage files with git, without checking their contents into git";
+ license = lib.licenses.agpl3Only;
+ hydraPlatforms = lib.platforms.none;
+ mainProgram = "git-annex";
+ maintainers = [ lib.maintainers.peti lib.maintainers.roosemberth ];
+ }) {inherit (pkgs) bup; inherit (pkgs) curl; inherit (pkgs) git;
+ inherit (pkgs) gnupg; inherit (pkgs) lsof; inherit (pkgs) openssh;
+ inherit (pkgs) perl; inherit (pkgs) rsync; inherit (pkgs) wget;
+ inherit (pkgs) which;};
+
"git-brunch" = callPackage
({ mkDerivation, base, brick, extra, hspec, microlens
, microlens-mtl, mtl, optparse-applicative, process, text, vector
@@ -121114,6 +121850,23 @@ self: {
license = lib.licenses.agpl3Only;
}) {};
+ "git-lfs_1_2_2" = callPackage
+ ({ mkDerivation, aeson, base, bytestring, case-insensitive
+ , containers, http-client, http-types, network-uri, text
+ }:
+ mkDerivation {
+ pname = "git-lfs";
+ version = "1.2.2";
+ sha256 = "0k4flxhr3b8ripskn97bf33gass62ix2ijj31wc70xaq4glg3f5m";
+ libraryHaskellDepends = [
+ aeson base bytestring case-insensitive containers http-client
+ http-types network-uri text
+ ];
+ description = "git-lfs protocol";
+ license = lib.licenses.agpl3Only;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"git-mediate" = callPackage
({ mkDerivation, ansi-terminal, base, base-compat, Diff, directory
, filepath, generic-data, mtl, optparse-applicative, process
@@ -121373,37 +122126,6 @@ self: {
}) {};
"github" = callPackage
- ({ mkDerivation, aeson, base, base-compat, base16-bytestring
- , binary, binary-instances, bytestring, containers, cryptohash-sha1
- , deepseq, deepseq-generics, exceptions, file-embed, hashable
- , hspec, hspec-discover, http-client, http-client-tls
- , http-link-header, http-types, iso8601-time, mtl, network-uri
- , tagged, text, time-compat, tls, transformers, transformers-compat
- , unordered-containers, vector
- }:
- mkDerivation {
- pname = "github";
- version = "0.28.0.1";
- sha256 = "16ahq2ng52ypkkgqbbmizr486px3dh709hw3jdn7jzglgvn20712";
- revision = "2";
- editedCabalFile = "1cbzn6idgmcihgkwgwc96a68hl24mai2gydbgqpydnknwmslp8qj";
- libraryHaskellDepends = [
- aeson base base-compat base16-bytestring binary binary-instances
- bytestring containers cryptohash-sha1 deepseq deepseq-generics
- exceptions hashable http-client http-client-tls http-link-header
- http-types iso8601-time mtl network-uri tagged text time-compat tls
- transformers transformers-compat unordered-containers vector
- ];
- testHaskellDepends = [
- aeson base base-compat bytestring file-embed hspec tagged text
- unordered-containers vector
- ];
- testToolDepends = [ hspec-discover ];
- description = "Access to the GitHub API, v3";
- license = lib.licenses.bsd3;
- }) {};
-
- "github_0_29" = callPackage
({ mkDerivation, aeson, base, base-compat, base16-bytestring
, binary, binary-instances, bytestring, containers, cryptohash-sha1
, deepseq, deepseq-generics, exceptions, file-embed, hashable
@@ -121432,7 +122154,6 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Access to the GitHub API, v3";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"github-backup" = callPackage
@@ -121515,8 +122236,8 @@ self: {
}:
mkDerivation {
pname = "github-release";
- version = "2.0.0.9";
- sha256 = "0lhaz92jr6ssl1mq5nmcwv6n43wda6mjv2qdzm8rg5275xqpa7jv";
+ version = "2.0.0.10";
+ sha256 = "1zsp4zab202jh11aaxpg6a59dh4paaqagi446qnwd2f503430zsc";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -121542,8 +122263,8 @@ self: {
pname = "github-rest";
version = "1.1.4";
sha256 = "156mqc9748ypinwm8xb46b40ysqpgyb5p2jaiyc34jpxd74g3148";
- revision = "1";
- editedCabalFile = "13h1s60mqp198vl7cf2pjhx957mg2jd3zrwdfyj79fp87vfsz0fp";
+ revision = "2";
+ editedCabalFile = "0jvdqpcb4mkmndx3jhvq58m48ayax8n0sz7gsqpkiwpwy7gy816q";
libraryHaskellDepends = [
aeson base bytestring http-client http-client-tls http-types jwt
mtl scientific text time transformers unliftio unliftio-core
@@ -121557,22 +122278,37 @@ self: {
}) {};
"github-tools" = callPackage
- ({ mkDerivation, base, bytestring, containers, exceptions, github
- , groom, html, http-client, http-client-tls, monad-parallel
- , tabular, tagsoup, text, time, vector
+ ({ mkDerivation, aeson, aeson-pretty, base, bytestring
+ , case-insensitive, containers, cryptohash, Diff, directory
+ , exceptions, expiring-cache-map, github, hspec, hspec-discover
+ , html, http-client, http-client-tls, http-media, http-types
+ , monad-parallel, pretty, process, QuickCheck, quickcheck-text
+ , servant, servant-server, split, suspend, tabular, text, time
+ , timers, unix, unordered-containers, uuid, vector, wai, wai-cors
+ , wai-extra, warp
}:
mkDerivation {
pname = "github-tools";
- version = "0.1.1";
- sha256 = "1bap8ba6cxcg9jz2dfj7w0f4hphkvw3b1fwbilc8kgvrf23jrann";
- isLibrary = false;
+ version = "0.1.2";
+ sha256 = "0zz7gr1i7pr2z2fi23lqpvhfx7c81a6032lp7pnfpy5c3iir4dbh";
+ isLibrary = true;
isExecutable = true;
- executableHaskellDepends = [
- base bytestring containers exceptions github groom html http-client
- http-client-tls monad-parallel tabular tagsoup text time vector
+ libraryHaskellDepends = [
+ aeson base bytestring containers cryptohash directory exceptions
+ github html http-client http-client-tls monad-parallel process
+ QuickCheck quickcheck-text split tabular text time unix
+ unordered-containers uuid vector
];
+ executableHaskellDepends = [
+ aeson-pretty base bytestring case-insensitive containers Diff
+ expiring-cache-map github http-media http-types pretty servant
+ servant-server suspend text time timers unordered-containers uuid
+ wai wai-cors wai-extra warp
+ ];
+ testHaskellDepends = [ aeson base hspec QuickCheck ];
+ testToolDepends = [ hspec-discover ];
description = "Various Github helper utilities";
- license = lib.licenses.agpl3Only;
+ license = lib.licenses.gpl3Only;
hydraPlatforms = lib.platforms.none;
broken = true;
}) {};
@@ -121715,26 +122451,26 @@ self: {
"gitit" = callPackage
({ mkDerivation, aeson, base, base64-bytestring, blaze-html
- , bytestring, ConfigFile, containers, directory, doctemplates, feed
- , filepath, filestore, ghc, ghc-paths, happstack-server, hoauth2
- , hslogger, HStringTemplate, HTTP, http-client-tls, http-conduit
- , json, mtl, network, network-bsd, network-uri, old-locale
- , old-time, pandoc, pandoc-types, parsec, pretty, process, random
- , recaptcha, safe, SHA, skylighting, split, syb, tagsoup, temporary
- , text, time, uri-bytestring, url, utf8-string, uuid, xhtml, xml
- , xml-conduit, xml-types, xss-sanitize, zlib
+ , bytestring, containers, directory, doctemplates, feed, filepath
+ , filestore, ghc, ghc-paths, happstack-server, hoauth2, hslogger
+ , HStringTemplate, HTTP, http-client-tls, http-conduit, json, mtl
+ , network, network-bsd, network-uri, old-locale, old-time, pandoc
+ , pandoc-types, parsec, pretty, process, random, recaptcha, safe
+ , SHA, skylighting, split, syb, tagsoup, temporary, text, time
+ , uri-bytestring, url, utf8-string, uuid, xhtml, xml, xml-conduit
+ , xml-types, xss-sanitize, zlib
}:
mkDerivation {
pname = "gitit";
- version = "0.15.1.1";
- sha256 = "0pfm0bd6xqa5x9wlqsk4l1yk5045fdipkrm6wh8hzsbb70q0vg4h";
+ version = "0.15.1.2";
+ sha256 = "1j7f5lbm8gm9hn3i5rjbswacnlvg3v4q5bprlrysgrqvnwdrm0zs";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
libraryHaskellDepends = [
- aeson base base64-bytestring blaze-html bytestring ConfigFile
- containers directory doctemplates feed filepath filestore ghc
- ghc-paths happstack-server hoauth2 hslogger HStringTemplate HTTP
+ aeson base base64-bytestring blaze-html bytestring containers
+ directory doctemplates feed filepath filestore ghc ghc-paths
+ happstack-server hoauth2 hslogger HStringTemplate HTTP
http-client-tls http-conduit json mtl network network-bsd
network-uri old-locale old-time pandoc pandoc-types parsec pretty
process random recaptcha safe SHA skylighting split syb tagsoup
@@ -121776,8 +122512,8 @@ self: {
}:
mkDerivation {
pname = "gitlab-haskell";
- version = "1.0.0.3";
- sha256 = "0jddsxj746pfziq4i3z750v290r3m0v3wifz3kisb6ais3794cjn";
+ version = "1.0.0.4";
+ sha256 = "06v1hjc4q2i4fvvia6zi9ky1x3vyyvsvanxm2li691swgvcwkrn5";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
aeson base bytestring connection http-client http-conduit
@@ -122142,8 +122878,8 @@ self: {
}:
mkDerivation {
pname = "glabrous";
- version = "2.0.6.2";
- sha256 = "0xviafnaw2pap3x3813zikvsg7j0mgwpsly2czgszsxszqvcxpx4";
+ version = "2.0.6.3";
+ sha256 = "0ndw2mbbfvrp5p2zxn7fx78gdj13xw9rx46a7zg33f9k2dsvjnp8";
libraryHaskellDepends = [
aeson aeson-pretty attoparsec base bytestring cereal cereal-text
either text unordered-containers
@@ -122207,7 +122943,9 @@ self: {
];
description = "A simply typed lambda calculus interpreter, written with GADTs";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "glam";
+ broken = true;
}) {};
"glapp" = callPackage
@@ -122395,6 +123133,8 @@ self: {
pname = "glib";
version = "0.13.10.0";
sha256 = "149y33ddv8vkvak0042ismiwh6lgc706n3n3bvnmrgw67fmi57m1";
+ revision = "2";
+ editedCabalFile = "19zg675zvyi87415yyfyl1s7i65rz8hx8hw4scxwalcq50axj99s";
setupHaskellDepends = [ base Cabal gtk2hs-buildtools ];
libraryHaskellDepends = [
base bytestring containers text utf8-string
@@ -122415,6 +123155,8 @@ self: {
testHaskellDepends = [ base c-enum primitive text ];
description = "Stopgap package of binding for GLib";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {inherit (pkgs) glib;};
"glicko" = callPackage
@@ -122475,10 +123217,8 @@ self: {
}:
mkDerivation {
pname = "glirc";
- version = "2.40";
- sha256 = "0zyj2jc8j61y6cp1p4f3lq2hhsph8hjybkbf4drxxlgm0zmyjkvh";
- revision = "1";
- editedCabalFile = "1yrmppkwhmy9k1fsw41dvsl2k115kmj55fn10x0a1nf8jjx7v61j";
+ version = "2.40.1";
+ sha256 = "1214r64pyikz4j4na65ki6glvplm3vpx0glizh2divn1cm8q0zch";
isLibrary = true;
isExecutable = true;
setupHaskellDepends = [ base Cabal filepath ];
@@ -122514,6 +123254,35 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "glob-imports" = callPackage
+ ({ mkDerivation, base, directory, discover-instances, dlist
+ , file-embed, filepath, hspec, hspec-discover, mtl, some-dict-of
+ , template-haskell, text
+ }:
+ mkDerivation {
+ pname = "glob-imports";
+ version = "0.0.1.0";
+ sha256 = "1a7n5mn7pd1m1hjw1cymw3lx6gf9yp4s068gsf72cm4zx4cvb6i8";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ base directory discover-instances dlist file-embed filepath mtl
+ some-dict-of template-haskell text
+ ];
+ executableHaskellDepends = [
+ base directory discover-instances dlist file-embed filepath mtl
+ some-dict-of template-haskell text
+ ];
+ testHaskellDepends = [
+ base directory discover-instances dlist file-embed filepath hspec
+ hspec-discover mtl some-dict-of template-haskell text
+ ];
+ testToolDepends = [ hspec-discover ];
+ description = "Import modules for metaprogramming";
+ license = lib.licenses.bsd3;
+ mainProgram = "glob-imports";
+ }) {};
+
"glob-posix" = callPackage
({ mkDerivation, base, criterion, directory, filepath, Glob
, MissingH, tasty, tasty-expected-failure, tasty-hunit, unix
@@ -122630,6 +123399,8 @@ self: {
pname = "gloss";
version = "1.13.2.2";
sha256 = "09yabwajjw6zsbs8p4hsmfz4a032i81d3vnia5f7rrzszbi9svdn";
+ revision = "1";
+ editedCabalFile = "04r9lhwxd8bhv7zri3cy5pqcn84ydkdn5pclqppb4dx35z11g871";
libraryHaskellDepends = [
base bmp bytestring containers ghc-prim gloss-rendering GLUT OpenGL
];
@@ -122709,6 +123480,7 @@ self: {
description = "Examples using the gloss library";
license = lib.licenses.mit;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"gloss-export" = callPackage
@@ -122781,7 +123553,6 @@ self: {
];
description = "Parallel rendering of raster images";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"gloss-raster-accelerate" = callPackage
@@ -122815,6 +123586,7 @@ self: {
testHaskellDepends = [ base ];
description = "Massiv-based alternative for gloss-raster";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"gloss-rendering" = callPackage
@@ -122823,6 +123595,8 @@ self: {
pname = "gloss-rendering";
version = "1.13.1.2";
sha256 = "0zrdqh72gswyn27x43ifhy4q8m7hvmv24b5ki3hyra5yq74njlsm";
+ revision = "1";
+ editedCabalFile = "0ji8iaj2ldp5qkfrmz4ckh86vwdcq15w6499i9fpnhl0m47sl01g";
libraryHaskellDepends = [
base bmp bytestring containers GLUT OpenGL
];
@@ -122871,6 +123645,7 @@ self: {
];
description = "Comprehensive GLPK linear programming bindings";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "glpk-hs-example";
}) {inherit (pkgs) glpk;};
@@ -122958,28 +123733,28 @@ self: {
"glualint" = callPackage
({ mkDerivation, aeson, base, bytestring, containers, deepseq
, directory, effectful, filemanip, filepath, optparse-applicative
- , parsec, pretty, signal, tasty, tasty-golden, uu-parsinglib, uuagc
+ , parsec, pretty, signal, tasty, tasty-golden, tasty-hunit, uuagc
, uuagc-cabal
}:
mkDerivation {
pname = "glualint";
- version = "1.26.0";
- sha256 = "1ywvffzmpk4igzj46f2bck938hlim8kfbf5nh2mv4jv80k0535g5";
+ version = "1.28.0";
+ sha256 = "11wcp8h1fmbhccj2hyhk56lj63hskb92xmgyxl0ixv303lj7p1ni";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
- aeson base bytestring containers parsec pretty uu-parsinglib uuagc
- uuagc-cabal
+ aeson base bytestring containers parsec pretty uuagc uuagc-cabal
];
executableHaskellDepends = [
aeson base bytestring containers deepseq directory effectful
filemanip filepath optparse-applicative signal
];
testHaskellDepends = [
- base bytestring filepath tasty tasty-golden
+ base bytestring filepath tasty tasty-golden tasty-hunit
];
description = "Attempts to fix your syntax erroring Lua files";
license = lib.licenses.lgpl21Plus;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "glualint";
}) {};
@@ -123139,6 +123914,25 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "gmail-simple_0_1_0_6" = callPackage
+ ({ mkDerivation, aeson, base, base64, blaze-html, bytestring
+ , containers, cryptonite, http-api-data, http-conduit, http-media
+ , jwt, text, time, unordered-containers
+ }:
+ mkDerivation {
+ pname = "gmail-simple";
+ version = "0.1.0.6";
+ sha256 = "055kw57i0bspa6x0bx62ar1bqww6d46m15xzvg7f5phhfcx7py96";
+ libraryHaskellDepends = [
+ aeson base base64 blaze-html bytestring containers cryptonite
+ http-api-data http-conduit http-media jwt text time
+ unordered-containers
+ ];
+ description = "Simple library for Google Mail (GMail)";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"gmap" = callPackage
({ mkDerivation, array, AvlTree, base, COrdering, QuickCheck
, random
@@ -123301,8 +124095,8 @@ self: {
pname = "gnuplot";
version = "0.5.7";
sha256 = "1glahh3si5bpazsklnpwxx4h4ivgb4wyngc032797zq1496fhhm3";
- revision = "1";
- editedCabalFile = "1x0ik81nmrxi77p1k4f7j4d5s9ajs0cy9jhr41b0qhvfsimyf0cn";
+ revision = "2";
+ editedCabalFile = "0mjyvpz71p80b87blhkqbvwihzkdc1dvbn9laxw5a9l9lh7761qd";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -123317,17 +124111,22 @@ self: {
}) {};
"gnutls" = callPackage
- ({ mkDerivation, base, bytestring, gnutls, monads-tf, transformers
+ ({ mkDerivation, base, bytestring, gnutls, transformers
+ , unexceptionalio-trans
}:
mkDerivation {
pname = "gnutls";
- version = "0.2";
- sha256 = "1c5pm0d80wpgh2bkcgbvmc72agf89h8ghfnrn1m1x3fljbgzvrn0";
- libraryHaskellDepends = [ base bytestring monads-tf transformers ];
+ version = "0.3";
+ sha256 = "1v8haxpj2pfx3fjbjfp16r9488l9gj7ih26g978ampb5nwnq3gry";
+ libraryHaskellDepends = [
+ base bytestring transformers unexceptionalio-trans
+ ];
librarySystemDepends = [ gnutls ];
libraryPkgconfigDepends = [ gnutls ];
description = "Bindings for GNU libgnutls";
license = lib.licenses.gpl3Only;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {inherit (pkgs) gnutls;};
"goa" = callPackage
@@ -123635,6 +124434,8 @@ self: {
];
description = "`goggles` interface to Google Cloud Storage";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"gogol" = callPackage
@@ -126089,8 +126890,8 @@ self: {
}:
mkDerivation {
pname = "google-oauth2-jwt";
- version = "0.3.3";
- sha256 = "13iy695qpb9yyr1wi3fslj0xd90izgj4wmvs04k5mhf6k53pk9dk";
+ version = "0.3.3.1";
+ sha256 = "1c3z6pi04xk7gi7fyhiq3i102g7vnyygp5vyfm4yj5xfq4npqjg1";
libraryHaskellDepends = [
base base64-bytestring bytestring HsOpenSSL RSA text unix-time
];
@@ -126501,8 +127302,8 @@ self: {
}:
mkDerivation {
pname = "gothic";
- version = "0.1.8.1";
- sha256 = "08d80gv55vfxyy88b0wdzwvxi8kzjv1pgfknffxsvnbk2bf0nmgf";
+ version = "0.1.8.2";
+ sha256 = "1mqkkla4ipibp7y7aiy466qrqcapra4n2xx8an07c1inwkpsxzw1";
libraryHaskellDepends = [
aeson base binary bytestring connection exceptions hashable
http-client http-client-tls http-conduit http-types lens lens-aeson
@@ -126735,6 +127536,8 @@ self: {
benchmarkHaskellDepends = [ base criterion ];
description = "Applicative non-linear consumption";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"grab-form" = callPackage
@@ -126749,6 +127552,7 @@ self: {
testHaskellDepends = [ base containers grab hedgehog text ];
description = "Applicative parsers for form parameter lists";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"graceful" = callPackage
@@ -127524,14 +128328,14 @@ self: {
"graphql" = callPackage
({ mkDerivation, base, conduit, containers, exceptions, hspec
- , hspec-expectations, hspec-megaparsec, megaparsec
+ , hspec-discover, hspec-expectations, hspec-megaparsec, megaparsec
, parser-combinators, QuickCheck, template-haskell, text
, transformers, unordered-containers, vector
}:
mkDerivation {
pname = "graphql";
- version = "1.2.0.1";
- sha256 = "0y5n1whdycszin7a0cixgf3h6v9r7vcs1z0n3hm3c8jwf8c079d8";
+ version = "1.2.0.3";
+ sha256 = "0q01q02v66bnfy0gpwik0dspiwr1xvr9gp377yga5nhz3rjvzz38";
libraryHaskellDepends = [
base conduit containers exceptions megaparsec parser-combinators
template-haskell text transformers unordered-containers vector
@@ -127541,6 +128345,7 @@ self: {
hspec-megaparsec megaparsec QuickCheck text unordered-containers
vector
];
+ testToolDepends = [ hspec-discover ];
description = "Haskell GraphQL implementation";
license = "MPL-2.0 AND BSD-3-Clause";
}) {};
@@ -127579,14 +128384,14 @@ self: {
"graphql-client" = callPackage
({ mkDerivation, aeson, aeson-schemas, base, bytestring, file-embed
, http-client, http-client-tls, http-types, mtl
- , optparse-applicative, path, path-io, tasty, tasty-hunit
+ , optparse-applicative, path, path-io, process, tasty, tasty-hunit
, template-haskell, text, transformers, typed-process
, unliftio-core
}:
mkDerivation {
pname = "graphql-client";
- version = "1.2.2";
- sha256 = "0m89j9caawijfmq8r0drh16psc82nnwj6sg256hls6539pxxza6k";
+ version = "1.2.4";
+ sha256 = "0rm7x5hrjz7fqfixpaab2c8fmwpn6m3p14zr0wq2bll8qf0hj15c";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -127598,7 +128403,7 @@ self: {
template-haskell typed-process
];
testHaskellDepends = [
- aeson aeson-schemas base mtl tasty tasty-hunit
+ aeson aeson-schemas base mtl process tasty tasty-hunit
];
description = "A client for Haskell programs to query a GraphQL API";
license = lib.licenses.bsd3;
@@ -127707,32 +128512,6 @@ self: {
}) {};
"graphula" = callPackage
- ({ mkDerivation, base, containers, directory, generic-arbitrary
- , generics-eot, hspec, HUnit, markdown-unlit, monad-logger, mtl
- , persistent, persistent-sqlite, QuickCheck, random, resourcet
- , semigroups, temporary, text, transformers, unliftio
- , unliftio-core
- }:
- mkDerivation {
- pname = "graphula";
- version = "2.0.2.2";
- sha256 = "066lcn262x4l826sglybrz4mp58ishcj0h1r5h41aiy09mcf4g3v";
- revision = "2";
- editedCabalFile = "1wfvg8mkiyw5vh20syawrl201dwjx4ggd03pg1f06499anykcs8z";
- libraryHaskellDepends = [
- base containers directory generics-eot HUnit mtl persistent
- QuickCheck random semigroups temporary text unliftio unliftio-core
- ];
- testHaskellDepends = [
- base generic-arbitrary hspec markdown-unlit monad-logger persistent
- persistent-sqlite QuickCheck resourcet transformers unliftio-core
- ];
- testToolDepends = [ markdown-unlit ];
- description = "A simple interface for generating persistent data and linking its dependencies";
- license = lib.licenses.mit;
- }) {};
-
- "graphula_2_1_0_0" = callPackage
({ mkDerivation, base, containers, directory, generic-arbitrary
, generics-eot, hspec, HUnit, markdown-unlit, monad-logger, mtl
, persistent, persistent-sqlite, QuickCheck, random, resourcet
@@ -128271,6 +129050,8 @@ self: {
pname = "gridtables";
version = "0.1.0.0";
sha256 = "1smhbb2jxysbqhxww5rikjfnhsdbf0gq3kgnn6ikjzcrqwdk9b6n";
+ revision = "1";
+ editedCabalFile = "0ay4ywi8w5kk4blf8jqxhivzprp1ivpdlw6racr1692psyizmxi0";
libraryHaskellDepends = [
array base containers doclayout parsec text
];
@@ -128280,28 +129061,29 @@ self: {
}) {};
"grisette" = callPackage
- ({ mkDerivation, array, base, bytestring, deepseq, doctest
+ ({ mkDerivation, array, async, base, bytestring, deepseq, doctest
, generic-deriving, Glob, hashable, hashtables, HUnit, intern
- , loch-th, mtl, parallel, prettyprinter, QuickCheck, sbv
+ , loch-th, mtl, parallel, prettyprinter, QuickCheck, sbv, stm
, template-haskell, test-framework, test-framework-hunit
, test-framework-quickcheck2, text, th-compat, transformers
, unordered-containers
}:
mkDerivation {
pname = "grisette";
- version = "0.3.1.1";
- sha256 = "15bzr7z0893p9yb85968lvisc76crnfqnrkxfv0qlff5png6ygrs";
+ version = "0.4.1.0";
+ sha256 = "00nkg8mz0mi5d0zvjxn7jj149nvjpzcflpqv2f4p8kbis95iccm8";
libraryHaskellDepends = [
- array base bytestring deepseq generic-deriving hashable hashtables
- intern loch-th mtl parallel prettyprinter QuickCheck sbv
- template-haskell text th-compat transformers unordered-containers
+ array async base bytestring deepseq generic-deriving hashable
+ hashtables intern loch-th mtl parallel prettyprinter QuickCheck sbv
+ stm template-haskell text th-compat transformers
+ unordered-containers
];
testHaskellDepends = [
- array base bytestring deepseq doctest generic-deriving Glob
+ array async base bytestring deepseq doctest generic-deriving Glob
hashable hashtables HUnit intern loch-th mtl parallel prettyprinter
- QuickCheck sbv template-haskell test-framework test-framework-hunit
- test-framework-quickcheck2 text th-compat transformers
- unordered-containers
+ QuickCheck sbv stm template-haskell test-framework
+ test-framework-hunit test-framework-quickcheck2 text th-compat
+ transformers unordered-containers
];
description = "Symbolic evaluation as a library";
license = lib.licenses.bsd3;
@@ -128309,19 +129091,20 @@ self: {
}) {};
"grisette-monad-coroutine" = callPackage
- ({ mkDerivation, base, grisette, monad-coroutine, mtl, tasty
- , tasty-hunit, tasty-quickcheck, tasty-test-reporter, transformers
+ ({ mkDerivation, base, grisette, HUnit, monad-coroutine, mtl
+ , QuickCheck, test-framework, test-framework-hunit
+ , test-framework-quickcheck2, transformers
}:
mkDerivation {
pname = "grisette-monad-coroutine";
- version = "0.1.0.0";
- sha256 = "0bz8126lwdcx22smxv89z1ipfmnhxdvjzi830j0hzgmxkzw6g0d5";
+ version = "0.2.0.0";
+ sha256 = "0zpv4dkns025jjg8pzj6kxk43w1bp08zk3mhpr3vp5zrq4m0wsj1";
libraryHaskellDepends = [
base grisette monad-coroutine mtl transformers
];
testHaskellDepends = [
- base grisette monad-coroutine mtl tasty tasty-hunit
- tasty-quickcheck tasty-test-reporter transformers
+ base grisette HUnit monad-coroutine mtl QuickCheck test-framework
+ test-framework-hunit test-framework-quickcheck2 transformers
];
description = "Support for monad-coroutine package with Grisette";
license = lib.licenses.bsd3;
@@ -128704,6 +129487,8 @@ self: {
libraryHaskellDepends = [ base groups ];
description = "Generically derive Group instances";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"grow-vector" = callPackage
@@ -128906,10 +129691,8 @@ self: {
}:
mkDerivation {
pname = "gsasl";
- version = "0.3.7";
- sha256 = "11i12r9s30jrq8hkgqagf2fd129r6ya607s9ibw549ablsxgr507";
- revision = "1";
- editedCabalFile = "1c806a82qd1hkxxfh1mwk0i062bz6fkaap5ys3n4x9n6wjv7ilin";
+ version = "0.4.0";
+ sha256 = "1sjbnh2rk9nn4ygngnqsjgybn736vr2sz0ixlcavijwrv2mm1bfr";
libraryHaskellDepends = [
base bytestring monad-loops transformers
];
@@ -129312,6 +130095,8 @@ self: {
pname = "gtk2hs-buildtools";
version = "0.13.10.0";
sha256 = "0ww53n596h39smwf1k0wfk5k0s56n8mkapa8b0q9v9d9bpllgfyw";
+ revision = "1";
+ editedCabalFile = "16ckrhii6pbd64mgrm4s4x7vzd800w8g6x18rvij2cfm784yz6by";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -129859,8 +130644,8 @@ self: {
}:
mkDerivation {
pname = "h-raylib";
- version = "4.6.0.7";
- sha256 = "0spgwi9d33srx8r04jfv0x73xakc9s6489w8zgig0gqm40ry9zdm";
+ version = "5.1.0.1";
+ sha256 = "16bly59z7r9j30hqf806aaicbk3cbnzz5aar1b0ag6f8z3afs0fv";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [ base containers exceptions lens ];
@@ -130311,6 +131096,7 @@ self: {
];
description = "Interface to Amazon's Simple Storage Service (S3)";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "hs3";
}) {};
@@ -130970,8 +131756,8 @@ self: {
pname = "hackage-cli";
version = "0.1.0.1";
sha256 = "023gnhdxwn36k3pd74j5jcykqbrj7nvp131mg761h8913h9ldw1r";
- revision = "5";
- editedCabalFile = "1sgl8i9k7by80c7h5w4gvj1cbdd2lv88b70whlkri9as53n61pv9";
+ revision = "6";
+ editedCabalFile = "1i65z5xqs0h9bmr8lja038s281fah0653yyg72fzlka5ingn7baz";
isLibrary = false;
isExecutable = true;
libraryHaskellDepends = [
@@ -130989,7 +131775,6 @@ self: {
doHaddock = false;
description = "CLI tool for Hackage";
license = lib.licenses.gpl3Plus;
- hydraPlatforms = lib.platforms.none;
mainProgram = "hackage-cli";
}) {};
@@ -131138,8 +131923,8 @@ self: {
pname = "hackage-repo-tool";
version = "0.1.1.3";
sha256 = "13q81gi3xmkzwfrbyk5dwxws3c92vnrlslksi021iasmjwhw2h6l";
- revision = "4";
- editedCabalFile = "1yybcwkpkkdzivpv35z05dmi9f3awyw0c03vhm26wa87wvmpsqir";
+ revision = "6";
+ editedCabalFile = "0a3ylq1r8zsvh34cjg2vqdx7r8ykla4myzjhv5vnc1bpq34bw5y8";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
@@ -131149,7 +131934,9 @@ self: {
];
description = "Manage secure file-based package repositories";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "hackage-repo-tool";
+ broken = true;
}) {};
"hackage-security" = callPackage
@@ -131162,10 +131949,10 @@ self: {
}:
mkDerivation {
pname = "hackage-security";
- version = "0.6.2.3";
- sha256 = "0rm0avcc1k247qbrajhzi3vz92cgcc4nr3kbhhfmfm8rjxv0bvjj";
- revision = "8";
- editedCabalFile = "1gzk9hrq0rv94msbvnkynzj5pnh94ixray8dl639zr29qh55cbib";
+ version = "0.6.2.4";
+ sha256 = "10jcxn3ihsaf2dv0wyrccjszwqz2cpgv2k8bwqxfjhjbn0xmp4lb";
+ revision = "1";
+ editedCabalFile = "0n3jss7hignrjzgzrk2krq9jffh1bfarmacmjlmigcg5ha91d5cn";
libraryHaskellDepends = [
base base16-bytestring base64-bytestring bytestring Cabal
Cabal-syntax containers cryptohash-sha256 directory ed25519
@@ -131189,8 +131976,8 @@ self: {
pname = "hackage-security-HTTP";
version = "0.1.1.1";
sha256 = "14hp7gssf80b9937j7m56w8sxrv3hrzjf2s9kgfk76v6llgx79k2";
- revision = "7";
- editedCabalFile = "004wgr36a1f835ixmqnsa41c3161yh8kykbjvj3wyx52bwp9xa26";
+ revision = "8";
+ editedCabalFile = "14y8lj2gbm19swc3z3wi0slc2ax61gnzgpyzmrxay4zjqsv6qfvc";
libraryHaskellDepends = [
base bytestring hackage-security HTTP mtl network network-uri zlib
];
@@ -131401,11 +132188,11 @@ self: {
"hackport" = callPackage
({ mkDerivation, array, async, base, base16-bytestring
, base64-bytestring, binary, bytestring, containers
- , cryptohash-sha256, deepseq, directory, dlist, doctest, echo
- , ed25519, edit-distance, exceptions, extensible-exceptions
- , filepath, ghc-compact, ghc-prim, hashable, hspec, hspec-discover
- , HTTP, lifted-base, lukko, monad-control, mtl, network
- , network-uri, optparse-applicative, parallel, parsec
+ , cryptohash-sha256, data-default-class, deepseq, directory, dlist
+ , doctest, echo, ed25519, edit-distance, exceptions
+ , extensible-exceptions, filepath, ghc-prim, hashable, hspec
+ , hspec-discover, HTTP, lifted-base, lukko, monad-control, mtl
+ , network, network-uri, optparse-applicative, parallel, parsec
, parser-combinators, pretty, prettyprinter, process, QuickCheck
, random, regex-base, regex-posix, resolv, safe-exceptions, split
, stm, tar, template-haskell, text, time, transformers, unix, xml
@@ -131413,19 +132200,19 @@ self: {
}:
mkDerivation {
pname = "hackport";
- version = "0.8.4.0";
- sha256 = "1bg5cb3sfpp59sk4cswk4dr2dsjx9qh3sf3yj3x39ha3q5bdrgvd";
+ version = "0.8.5.0";
+ sha256 = "1ynvvpc867vmxnbb909fszfdxf848vhh3avv98sgki4diqd77873";
isLibrary = false;
isExecutable = true;
libraryHaskellDepends = [
array async base base16-bytestring base64-bytestring binary
- bytestring containers cryptohash-sha256 deepseq directory dlist
- echo ed25519 edit-distance exceptions extensible-exceptions
- filepath ghc-compact ghc-prim hashable HTTP lifted-base lukko
- monad-control mtl network network-uri optparse-applicative parallel
- parsec pretty process QuickCheck random regex-base regex-posix
- resolv safe-exceptions split stm tar template-haskell text time
- transformers unix xml zlib
+ bytestring containers cryptohash-sha256 data-default-class deepseq
+ directory dlist echo ed25519 edit-distance exceptions
+ extensible-exceptions filepath ghc-prim hashable HTTP lifted-base
+ lukko monad-control mtl network network-uri optparse-applicative
+ parallel parsec pretty process QuickCheck random regex-base
+ regex-posix resolv safe-exceptions split stm tar template-haskell
+ text time transformers unix xml zlib
];
executableHaskellDepends = [
base directory filepath optparse-applicative parsec
@@ -132161,6 +132948,7 @@ self: {
executableHaskellDepends = [ directory process regexpr yjtools ];
description = "make tool. ruby : rake = haskell : hake";
license = "GPL";
+ hydraPlatforms = lib.platforms.none;
mainProgram = "hake";
}) {};
@@ -132227,8 +133015,8 @@ self: {
pname = "hakyll";
version = "4.16.2.0";
sha256 = "1p3x9f1ha6dkis71nzbxh1h7mzldsj4qvmfx3f0vng7y1ydlcw0z";
- revision = "1";
- editedCabalFile = "0q76bigg5jwbs7bawxx9k7y3jng0nl8yfypzz2hz1nhw3lc2wd76";
+ revision = "4";
+ editedCabalFile = "1sr6m8ip139vrcs6nn9vd43lbgdswlzdjkphn65xgkjpx5f726yi";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -132443,8 +133231,8 @@ self: {
pname = "hakyll-convert";
version = "0.3.0.4";
sha256 = "09fqr05mvs0qs53psq97kn1s4axinwn1vr5d6af4sqj3zc5k6k39";
- revision = "5";
- editedCabalFile = "01jpc6jp8brayi9v7ynchjgpx40dpzjcwy5lgmzkx043w5xcm6sn";
+ revision = "6";
+ editedCabalFile = "0v80ysaz1x78sgzpbzn9v1r406afkw2702ips4gclrr42a2llzsw";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -132466,18 +133254,18 @@ self: {
"hakyll-dhall" = callPackage
({ mkDerivation, base, binary, containers, data-default-class
- , dhall, filepath, hakyll, microlens, microlens-th, mtl
+ , dhall, either, filepath, hakyll, microlens, microlens-th, mtl
, prettyprinter, text, transformers
}:
mkDerivation {
pname = "hakyll-dhall";
- version = "0.2.4.0";
- sha256 = "0p4k3b8x4d6pgybfwy0kx9c5hlqqm57bch45j7vl421zlln7yqrx";
+ version = "0.2.5.0";
+ sha256 = "012iharphl2hrm723gqpqa82s1hr799rnpfawdfl3xykpmw6wy9g";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
- base binary containers data-default-class dhall filepath hakyll
- microlens microlens-th mtl prettyprinter text transformers
+ base binary containers data-default-class dhall either filepath
+ hakyll microlens microlens-th mtl prettyprinter text transformers
];
executableHaskellDepends = [ base dhall hakyll ];
description = "Dhall compiler for Hakyll";
@@ -132743,8 +133531,35 @@ self: {
];
description = "A runtime environment for Haskell applications running on AWS Lambda";
license = lib.licenses.bsd3;
+ }) {};
+
+ "hal_1_1" = callPackage
+ ({ mkDerivation, aeson, attoparsec-aeson, base, base64-bytestring
+ , bytestring, case-insensitive, conduit, conduit-extra, containers
+ , exceptions, hashable, hedgehog, hspec, hspec-hedgehog
+ , http-client, http-types, mtl, raw-strings-qq, scientific, text
+ , time, transformers, unordered-containers, vector
+ }:
+ mkDerivation {
+ pname = "hal";
+ version = "1.1";
+ sha256 = "0lx2338zvxkws91msfmkfkp31kmz5lgw5cdkwwc9lm7r2vlp0q1m";
+ revision = "1";
+ editedCabalFile = "1rg95plri595liavqc0ygwr6iag0wrrp8ndzlj7afswvzi1kix1d";
+ libraryHaskellDepends = [
+ aeson attoparsec-aeson base base64-bytestring bytestring
+ case-insensitive conduit conduit-extra containers exceptions
+ hashable http-client http-types mtl scientific text time
+ unordered-containers
+ ];
+ testHaskellDepends = [
+ aeson base base64-bytestring bytestring case-insensitive containers
+ hedgehog hspec hspec-hedgehog http-client http-types raw-strings-qq
+ scientific text time transformers unordered-containers vector
+ ];
+ description = "A runtime environment for Haskell applications running on AWS Lambda";
+ license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
- broken = true;
}) {};
"halberd" = callPackage
@@ -133443,7 +134258,9 @@ self: {
executableHaskellDepends = [ base mtl random utility-ht ];
description = "Hangman implementation in Haskell written in two hours";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "hangman";
+ broken = true;
}) {};
"hannahci" = callPackage
@@ -134020,6 +134837,7 @@ self: {
description = "Support for using Heist templates in Happstack";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"happstack-helpers" = callPackage
@@ -134060,6 +134878,7 @@ self: {
];
description = "Support for using HSP templates in Happstack";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"happstack-hstringtemplate" = callPackage
@@ -134105,8 +134924,8 @@ self: {
}:
mkDerivation {
pname = "happstack-jmacro";
- version = "7.0.12.5";
- sha256 = "1vk0674qalyhzwndpd7az4byshhxy38dh53pgma7awj2bx1n170c";
+ version = "7.0.12.6";
+ sha256 = "02b4bwlvf98rcj40p0q70c3pz9zm7vqbj2ckfd8ib3z0na6fj2s7";
libraryHaskellDepends = [
base base64-bytestring bytestring cereal digest happstack-server
jmacro text utf8-string wl-pprint-text
@@ -134227,8 +135046,8 @@ self: {
}:
mkDerivation {
pname = "happstack-server-tls";
- version = "7.2.1.3";
- sha256 = "11prqx74dkv38dyg1yh419ckbi5hbyv6ncm9y9inqnabl84qyam1";
+ version = "7.2.1.5";
+ sha256 = "0mm5pw6nkg53s3k7ljx3r1l36wf41k2xfkn7cc2g6mhh6l5srzyd";
libraryHaskellDepends = [
base bytestring extensible-exceptions happstack-server hslogger
HsOpenSSL network sendfile time unix
@@ -134377,6 +135196,28 @@ self: {
mainProgram = "happy";
}) {};
+ "happy-arbitrary" = callPackage
+ ({ mkDerivation, aeson, alex, array, base, bytestring, containers
+ , data-fix, extra, file-embed, groom, happy, hspec, hspec-discover
+ , QuickCheck, recursion-schemes, text, transformers-compat
+ }:
+ mkDerivation {
+ pname = "happy-arbitrary";
+ version = "0.0.1";
+ sha256 = "0n7wjj9swzk91njkkh8scjqbgc4j2a1wjjpsz94zj34q066f1fkd";
+ libraryHaskellDepends = [
+ aeson array base bytestring containers data-fix extra file-embed
+ QuickCheck recursion-schemes text transformers-compat
+ ];
+ libraryToolDepends = [ alex happy ];
+ testHaskellDepends = [
+ base bytestring groom hspec QuickCheck text
+ ];
+ testToolDepends = [ hspec-discover ];
+ description = "Token list generator for arbitrary Happy grammars";
+ license = lib.licenses.gpl3Only;
+ }) {};
+
"happy-dot" = callPackage
({ mkDerivation, array, base, clock, happy, HUnit, language-dot
, pretty, transformers, xml
@@ -134404,6 +135245,8 @@ self: {
libraryHaskellDepends = [ base Chart Chart-diagrams ];
description = "Generate simple okay-looking bar plots without much effort";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"happy-meta" = callPackage
@@ -134724,6 +135567,8 @@ self: {
libraryHaskellDepends = [ base ];
description = "HaRP allows pattern-matching with regular expressions";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"harpy" = callPackage
@@ -134832,6 +135677,7 @@ self: {
];
description = "Haskell driver for Neo4j 3+ (BOLT protocol)";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"hasbolt-extras" = callPackage
@@ -134908,35 +135754,35 @@ self: {
}) {};
"hascard" = callPackage
- ({ mkDerivation, base, brick, containers, directory, filepath
- , megaparsec, microlens, microlens-platform, mwc-random
- , optparse-applicative, ordered-containers, process, random-fu
- , split, strict, tasty, tasty-hunit, tasty-quickcheck, text, vector
- , vty, word-wrap
+ ({ mkDerivation, base, brick, containers, directory, extra
+ , filepath, megaparsec, microlens, microlens-platform, mtl
+ , mwc-random, optparse-applicative, ordered-containers, process
+ , random-fu, split, strict, tasty, tasty-hunit, tasty-quickcheck
+ , text, vector, vty, vty-crossplatform, word-wrap
}:
mkDerivation {
pname = "hascard";
- version = "0.5.0.3.1";
- sha256 = "0d3zx4lbbc49lzc5pyrrh18c6ca9wnfsrxdakfpvqx8y4r1nlrdp";
+ version = "0.6.0.1";
+ sha256 = "1fw5vmlbj4mp1q2a4rkhwmbi1iqf6yz4zcn44z9ffn1him1k1zsk";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
- base brick containers directory filepath megaparsec microlens
- microlens-platform mwc-random optparse-applicative
+ base brick containers directory extra filepath megaparsec microlens
+ microlens-platform mtl mwc-random optparse-applicative
ordered-containers process random-fu split strict tasty tasty-hunit
- tasty-quickcheck text vector vty word-wrap
+ tasty-quickcheck text vector vty vty-crossplatform word-wrap
];
executableHaskellDepends = [
- base brick containers directory filepath megaparsec microlens
- microlens-platform mwc-random optparse-applicative
+ base brick containers directory extra filepath megaparsec microlens
+ microlens-platform mtl mwc-random optparse-applicative
ordered-containers process random-fu split strict tasty tasty-hunit
- tasty-quickcheck text vector vty word-wrap
+ tasty-quickcheck text vector vty vty-crossplatform word-wrap
];
testHaskellDepends = [
- base brick containers directory filepath megaparsec microlens
- microlens-platform mwc-random optparse-applicative
+ base brick containers directory extra filepath megaparsec microlens
+ microlens-platform mtl mwc-random optparse-applicative
ordered-containers process random-fu split strict tasty tasty-hunit
- tasty-quickcheck text vector vty word-wrap
+ tasty-quickcheck text vector vty vty-crossplatform word-wrap
];
description = "A TUI for reviewing notes using 'flashcards' written with markdown-like syntax";
license = lib.licenses.bsd3;
@@ -135083,6 +135929,7 @@ self: {
];
description = "Hash-addressed file storage";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
}) {};
"hash-addressed-cli" = callPackage
@@ -135109,6 +135956,7 @@ self: {
];
description = "Hash-addressed file storage app";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "hash-addressed";
}) {};
@@ -135231,6 +136079,8 @@ self: {
libraryHaskellDepends = [ base hashable sorted-list time-compat ];
description = "Provides instances missing from Hashable";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"hashable-time" = callPackage
@@ -135243,6 +136093,8 @@ self: {
testHaskellDepends = [ base hashable time-compat ];
description = "Hashable instances for Data.Time";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"hashabler" = callPackage
@@ -135310,6 +136162,7 @@ self: {
license = lib.licenses.asl20;
hydraPlatforms = lib.platforms.none;
mainProgram = "hasherize";
+ broken = true;
}) {};
"hashes" = callPackage
@@ -135350,8 +136203,8 @@ self: {
({ mkDerivation, base, bytestring, containers, hedgehog, split }:
mkDerivation {
pname = "hashids";
- version = "1.1.0.1";
- sha256 = "0h4bvcg1aaprd4xkn8la4pmp4yjpdniam0yqf4akyrznspn76a6f";
+ version = "1.1.1.0";
+ sha256 = "0js9836sfsprs00l5fy6gzszr9djgarwymihcymkblx0hr5a05pk";
libraryHaskellDepends = [ base bytestring containers split ];
testHaskellDepends = [ base bytestring containers hedgehog split ];
description = "Hashids generates short, unique, non-sequential ids from numbers";
@@ -135945,6 +136798,8 @@ self: {
pname = "haskell-awk";
version = "1.2.0.1";
sha256 = "1r4hsvzbqagk06fc81cr1awfz0zqgs4hmbwl99mip47z578wrw9l";
+ revision = "1";
+ editedCabalFile = "15z5qhx4bhmr684kyqxrwya2vjwvcmmvfd93v32rlmb657kxvag6";
isLibrary = true;
isExecutable = true;
setupHaskellDepends = [ base Cabal cabal-doctest ];
@@ -136313,7 +137168,9 @@ self: {
];
description = "Search Hoogle and navigate Hackage from the command line";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "hdc";
+ broken = true;
}) {};
"haskell-eigen-util" = callPackage
@@ -136630,8 +137487,8 @@ self: {
({ mkDerivation, aeson, aeson-pretty, async, base
, base16-bytestring, binary, bytestring, containers
, cryptohash-sha1, data-default, deepseq, directory, extra
- , filepath, ghc, ghc-boot-th, ghc-paths, ghcide, ghcide-bench
- , ghcide-test-utils, githash, gitrev, hashable, hie-bios, hiedb
+ , filepath, ghc, ghc-boot-th, ghc-paths, ghcide, ghcide-test-utils
+ , githash, gitrev, hashable, hie-bios, hiedb
, hls-alternate-number-format-plugin, hls-cabal-fmt-plugin
, hls-cabal-plugin, hls-call-hierarchy-plugin
, hls-change-type-signature-plugin, hls-class-plugin
@@ -136643,18 +137500,17 @@ self: {
, hls-overloaded-record-dot-plugin, hls-plugin-api
, hls-pragmas-plugin, hls-qualify-imported-names-plugin
, hls-refactor-plugin, hls-rename-plugin, hls-retrie-plugin
- , hls-splice-plugin, hls-stan-plugin, hls-stylish-haskell-plugin
- , hls-test-utils, hp2pretty, hspec-expectations, implicit-hie, lens
- , lens-aeson, lsp, lsp-test, lsp-types, mtl, optparse-applicative
- , optparse-simple, prettyprinter, process, regex-tdfa, row-types
- , safe-exceptions, shake, shake-bench, sqlite-simple, stm
- , temporary, text, transformers, unix, unliftio-core
- , unordered-containers, yaml
+ , hls-semantic-tokens-plugin, hls-splice-plugin, hls-stan-plugin
+ , hls-stylish-haskell-plugin, hls-test-utils, lens, lens-aeson, lsp
+ , lsp-test, lsp-types, mtl, optparse-applicative, optparse-simple
+ , prettyprinter, process, regex-tdfa, row-types, safe-exceptions
+ , sqlite-simple, stm, temporary, text, transformers, unix
+ , unliftio-core, unordered-containers
}:
mkDerivation {
pname = "haskell-language-server";
- version = "2.5.0.0";
- sha256 = "0b9dy247izga3vdp80dmj4pykilscdbr9xs04iamcm2kqyrjc84n";
+ version = "2.6.0.0";
+ sha256 = "0j0fm0fa1pyaflkd4gvxlkfbina81bw784hqs0r8wvv187bvhm9n";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -136669,10 +137525,10 @@ self: {
hls-hlint-plugin hls-module-name-plugin hls-ormolu-plugin
hls-overloaded-record-dot-plugin hls-plugin-api hls-pragmas-plugin
hls-qualify-imported-names-plugin hls-refactor-plugin
- hls-rename-plugin hls-retrie-plugin hls-splice-plugin
- hls-stan-plugin hls-stylish-haskell-plugin lsp optparse-applicative
- optparse-simple prettyprinter process safe-exceptions sqlite-simple
- text unordered-containers
+ hls-rename-plugin hls-retrie-plugin hls-semantic-tokens-plugin
+ hls-splice-plugin hls-stan-plugin hls-stylish-haskell-plugin lsp
+ optparse-applicative optparse-simple prettyprinter process
+ safe-exceptions sqlite-simple text unordered-containers
];
executableHaskellDepends = [
aeson async base base16-bytestring binary bytestring containers
@@ -136686,17 +137542,10 @@ self: {
testHaskellDepends = [
aeson base bytestring containers data-default deepseq directory
extra filepath ghcide ghcide-test-utils hashable hls-plugin-api
- hls-refactor-plugin hls-test-utils hspec-expectations lens
- lens-aeson lsp-test lsp-types prettyprinter process row-types text
- unordered-containers
+ hls-refactor-plugin hls-test-utils lens lens-aeson lsp-test
+ lsp-types prettyprinter process row-types text unordered-containers
];
testToolDepends = [ ghcide ];
- benchmarkHaskellDepends = [
- aeson base containers data-default directory extra filepath
- ghcide-bench hls-plugin-api lens lens-aeson optparse-applicative
- shake shake-bench text yaml
- ];
- benchmarkToolDepends = [ ghcide-bench hp2pretty implicit-hie ];
description = "LSP server for GHC";
license = lib.licenses.asl20;
maintainers = [ lib.maintainers.maralorn ];
@@ -138424,8 +139273,8 @@ self: {
}:
mkDerivation {
pname = "haskellish";
- version = "0.3.2.1";
- sha256 = "1kfdr56by97lzccp1zvp21za683jn95cnmsmzz87nyxm1gz0wyk4";
+ version = "0.3.2.2";
+ sha256 = "07h421qychchii79s2cbpcfvh6h8qipd6wl9qn5jkdg9d2gycc34";
libraryHaskellDepends = [
base containers haskell-src-exts mtl template-haskell text
];
@@ -138690,9 +139539,7 @@ self: {
executableHaskellDepends = [ base ];
description = "Haskell Evaluation inside of LaTeX code";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
mainProgram = "haskintex";
- broken = true;
}) {};
"hasklepias" = callPackage
@@ -138803,8 +139650,8 @@ self: {
}:
mkDerivation {
pname = "haskoin-core";
- version = "0.21.2";
- sha256 = "12i490xjfb0aav18ay3ahh0hpa9l5095a4hmva1ka3497clm7cfr";
+ version = "1.0.2";
+ sha256 = "1abcidb3d18yb4h1p889xi2ffad0swbl0akvshlyvq6jm9gzqavy";
libraryHaskellDepends = [
aeson array base base16 binary bytes bytestring cereal conduit
containers cryptonite deepseq entropy hashable hspec memory mtl
@@ -138824,31 +139671,31 @@ self: {
license = lib.licenses.mit;
}) {};
- "haskoin-core_1_0_2" = callPackage
+ "haskoin-core_1_0_4" = callPackage
({ mkDerivation, aeson, array, base, base16, base64, binary, bytes
- , bytestring, cereal, conduit, containers, cryptonite, deepseq
- , entropy, hashable, hspec, hspec-discover, HUnit, lens, lens-aeson
- , memory, mtl, murmur3, network, QuickCheck, safe, scientific
- , secp256k1-haskell, split, string-conversions, text, time
- , transformers, unordered-containers, vector
+ , bytestring, cereal, conduit, containers, cryptonite, data-default
+ , deepseq, entropy, hashable, hspec, hspec-discover, HUnit, lens
+ , lens-aeson, memory, mtl, murmur3, network, QuickCheck, safe
+ , scientific, secp256k1-haskell, split, string-conversions, text
+ , time, transformers, unordered-containers, vector
}:
mkDerivation {
pname = "haskoin-core";
- version = "1.0.2";
- sha256 = "1abcidb3d18yb4h1p889xi2ffad0swbl0akvshlyvq6jm9gzqavy";
+ version = "1.0.4";
+ sha256 = "1m6wm5qyq25fgjjy9bw67ahh0rmrlysgsj2mx11ijmsxqzf67n6r";
libraryHaskellDepends = [
aeson array base base16 binary bytes bytestring cereal conduit
- containers cryptonite deepseq entropy hashable hspec memory mtl
- murmur3 network QuickCheck safe scientific secp256k1-haskell split
- string-conversions text time transformers unordered-containers
- vector
+ containers cryptonite data-default deepseq entropy hashable hspec
+ memory mtl murmur3 network QuickCheck safe scientific
+ secp256k1-haskell split string-conversions text time transformers
+ unordered-containers vector
];
testHaskellDepends = [
aeson array base base16 base64 binary bytes bytestring cereal
- conduit containers cryptonite deepseq entropy hashable hspec HUnit
- lens lens-aeson memory mtl murmur3 network QuickCheck safe
- scientific secp256k1-haskell split string-conversions text time
- transformers unordered-containers vector
+ conduit containers cryptonite data-default deepseq entropy hashable
+ hspec HUnit lens lens-aeson memory mtl murmur3 network QuickCheck
+ safe scientific secp256k1-haskell split string-conversions text
+ time transformers unordered-containers vector
];
testToolDepends = [ hspec-discover ];
description = "Bitcoin & Bitcoin Cash library for Haskell";
@@ -138880,38 +139727,6 @@ self: {
}) {};
"haskoin-node" = callPackage
- ({ mkDerivation, base, base64, bytestring, cereal, conduit
- , conduit-extra, containers, data-default, hashable, haskoin-core
- , hspec, hspec-discover, HUnit, monad-logger, mtl, network, nqe
- , random, resourcet, rocksdb-haskell-jprupp, rocksdb-query, safe
- , string-conversions, text, time, transformers, unliftio
- , unordered-containers
- }:
- mkDerivation {
- pname = "haskoin-node";
- version = "0.18.1";
- sha256 = "1sfrcckq345i2m7p1p39l72c83aga8z27jgk996nch1zamj5kx6d";
- libraryHaskellDepends = [
- base bytestring cereal conduit conduit-extra containers
- data-default hashable haskoin-core monad-logger mtl network nqe
- random resourcet rocksdb-haskell-jprupp rocksdb-query
- string-conversions text time transformers unliftio
- unordered-containers
- ];
- testHaskellDepends = [
- base base64 bytestring cereal conduit conduit-extra containers
- data-default hashable haskoin-core hspec HUnit monad-logger mtl
- network nqe random resourcet rocksdb-haskell-jprupp rocksdb-query
- safe string-conversions text time transformers unliftio
- unordered-containers
- ];
- testToolDepends = [ hspec-discover ];
- description = "P2P library for Bitcoin and Bitcoin Cash";
- license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
- }) {};
-
- "haskoin-node_1_0_1" = callPackage
({ mkDerivation, base, base64, bytestring, cereal, conduit
, conduit-extra, containers, data-default, hashable, haskoin-core
, hspec, hspec-discover, HUnit, monad-logger, mtl, network, nqe
@@ -139004,8 +139819,8 @@ self: {
}:
mkDerivation {
pname = "haskoin-store";
- version = "1.2.3";
- sha256 = "0v4v1fzhwnv7srpkcjfwvnm94yllsikisbvf721y8x0sixc1wgpz";
+ version = "1.2.5";
+ sha256 = "0ngd6v5f839ic0vhnxk5355r521324j67s735iyf69sw89fp2g5n";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -139048,30 +139863,30 @@ self: {
, containers, data-default, deepseq, hashable, haskoin-core, hspec
, hspec-discover, http-client, http-types, lens, mtl, network
, QuickCheck, scotty, string-conversions, text, time
- , unordered-containers, wreq
+ , unordered-containers, vector, wreq
}:
mkDerivation {
pname = "haskoin-store-data";
- version = "0.65.5";
- sha256 = "121vyncj8f2hwxd1j96d134c6fdr4lf3pqdrrj5n3gjb0rj61vn8";
+ version = "1.2.2";
+ sha256 = "0zqyj4dq0j2f0bn4qwvrar1b6jvcaqni296lhigpwy0h0jv8080f";
libraryHaskellDepends = [
aeson base binary bytes bytestring cereal containers data-default
deepseq hashable haskoin-core http-client http-types lens mtl
network scotty string-conversions text time unordered-containers
- wreq
+ vector wreq
];
testHaskellDepends = [
aeson base binary bytes bytestring cereal containers data-default
deepseq hashable haskoin-core hspec http-client http-types lens mtl
network QuickCheck scotty string-conversions text time
- unordered-containers wreq
+ unordered-containers vector wreq
];
testToolDepends = [ hspec-discover ];
description = "Data for Haskoin Store";
license = lib.licenses.mit;
}) {};
- "haskoin-store-data_1_2_2" = callPackage
+ "haskoin-store-data_1_2_5" = callPackage
({ mkDerivation, aeson, base, binary, bytes, bytestring, cereal
, containers, data-default, deepseq, hashable, haskoin-core, hspec
, hspec-discover, http-client, http-types, lens, mtl, network
@@ -139080,8 +139895,8 @@ self: {
}:
mkDerivation {
pname = "haskoin-store-data";
- version = "1.2.2";
- sha256 = "0zqyj4dq0j2f0bn4qwvrar1b6jvcaqni296lhigpwy0h0jv8080f";
+ version = "1.2.5";
+ sha256 = "1nmbxnhrkz6z6fp5b07x3qmdnzb6m17z2n6nlh4hh549d3hd8slm";
libraryHaskellDepends = [
aeson base binary bytes bytestring cereal containers data-default
deepseq hashable haskoin-core http-client http-types lens mtl
@@ -139349,35 +140164,6 @@ self: {
}) {};
"hasktags" = callPackage
- ({ mkDerivation, base, bytestring, containers, directory, filepath
- , HUnit, json, microlens-platform, optparse-applicative
- , utf8-string
- }:
- mkDerivation {
- pname = "hasktags";
- version = "0.72.0";
- sha256 = "09p79w16fgpqi6bwq162769xdrnyb7wnmz56k00nz6dj1a0bbbdd";
- revision = "3";
- editedCabalFile = "0jb7pzfihdwyn9kbby6bb8ddh7v3x85ca9rr8ldsjn34acyal3hs";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- base bytestring directory filepath json microlens-platform
- utf8-string
- ];
- executableHaskellDepends = [
- base containers directory filepath optparse-applicative
- ];
- testHaskellDepends = [
- base bytestring directory filepath HUnit json microlens-platform
- utf8-string
- ];
- description = "Produces ctags \"tags\" and etags \"TAGS\" files for Haskell programs";
- license = lib.licenses.bsd3;
- mainProgram = "hasktags";
- }) {};
-
- "hasktags_0_73_0" = callPackage
({ mkDerivation, base, bytestring, containers, directory, filepath
, HUnit, json, microlens-platform, optparse-applicative
, utf8-string
@@ -139403,7 +140189,6 @@ self: {
];
description = "Produces ctags \"tags\" and etags \"TAGS\" files for Haskell programs";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
mainProgram = "hasktags";
}) {};
@@ -139797,15 +140582,15 @@ self: {
"haskus-utils-variant" = callPackage
({ mkDerivation, base, criterion, deepseq, doctest, exceptions
, haskus-utils-data, haskus-utils-types, mtl, QuickCheck, tasty
- , tasty-quickcheck, template-haskell, transformers
+ , tasty-quickcheck, template-haskell, transformers, unliftio-core
}:
mkDerivation {
pname = "haskus-utils-variant";
- version = "3.3";
- sha256 = "16z1w07gd3bf7c4566yv21szss08wmjgy7v4i2000nnr1xj6k28a";
+ version = "3.4";
+ sha256 = "067qzg7ya08vzhf2553ks0cyrvc874dyl1n5fbasi2lrilmzwksx";
libraryHaskellDepends = [
base deepseq exceptions haskus-utils-data haskus-utils-types mtl
- template-haskell transformers
+ template-haskell transformers unliftio-core
];
testHaskellDepends = [
base doctest haskus-utils-data haskus-utils-types tasty
@@ -139987,7 +140772,7 @@ self: {
"hasql" = callPackage
({ mkDerivation, aeson, attoparsec, base, bytestring
, bytestring-strict-builder, contravariant, contravariant-extras
- , dlist, gauge, hashable, hashtables, mtl, network-ip
+ , criterion, dlist, hashable, hashtables, mtl, network-ip
, postgresql-binary, postgresql-libpq, profunctors
, quickcheck-instances, rerebase, scientific, tasty, tasty-hunit
, tasty-quickcheck, text, text-builder, time, transformers, uuid
@@ -139995,8 +140780,8 @@ self: {
}:
mkDerivation {
pname = "hasql";
- version = "1.6.3.4";
- sha256 = "1rpyfvy7fzkvviypwf03cfvxgr52ivwym9vsjr10cbqzgnrqb24l";
+ version = "1.6.4.1";
+ sha256 = "1qdgwwx6pm8r2mq30nagnap01aqdn5rr4p2jizbkz85nfrf46jxc";
libraryHaskellDepends = [
aeson attoparsec base bytestring bytestring-strict-builder
contravariant dlist hashable hashtables mtl network-ip
@@ -140007,7 +140792,7 @@ self: {
contravariant-extras quickcheck-instances rerebase tasty
tasty-hunit tasty-quickcheck
];
- benchmarkHaskellDepends = [ gauge rerebase ];
+ benchmarkHaskellDepends = [ criterion rerebase ];
description = "An efficient PostgreSQL driver with a flexible mapping API";
license = lib.licenses.mit;
}) {};
@@ -140103,8 +140888,8 @@ self: {
}:
mkDerivation {
pname = "hasql-dynamic-statements";
- version = "0.3.1.2";
- sha256 = "165s21mw1j38xpzrqpg5nbgfa3gc9qw88b80d8cfdxdiyghn79hq";
+ version = "0.3.1.4";
+ sha256 = "06xpp8w35saf1krv4hxzln9xw23qpqb48f14qmhbanpcni2bqi8x";
libraryHaskellDepends = [
base bytestring containers hasql hasql-implicits ptr
];
@@ -140116,6 +140901,28 @@ self: {
license = lib.licenses.mit;
}) {};
+ "hasql-effectful" = callPackage
+ ({ mkDerivation, base, bytestring, effectful, hasql, hasql-pool
+ , hasql-transaction, text
+ }:
+ mkDerivation {
+ pname = "hasql-effectful";
+ version = "0.1.0.0";
+ sha256 = "09cv6iq4afrrh69xp79c98hw8kzky8v2dngz379s04s1a06cj6i6";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [ base effectful hasql hasql-pool ];
+ executableHaskellDepends = [
+ base bytestring effectful hasql hasql-pool hasql-transaction text
+ ];
+ testHaskellDepends = [ base ];
+ description = "Effectful bindings for hasql";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ mainProgram = "hasql-effectful-example";
+ broken = true;
+ }) {};
+
"hasql-explain-tests" = callPackage
({ mkDerivation, base, bytestring, hasql, hspec, profunctors
, QuickCheck, tasty, tasty-hunit, tmp-postgres
@@ -140160,8 +140967,8 @@ self: {
}:
mkDerivation {
pname = "hasql-implicits";
- version = "0.1.1";
- sha256 = "1q9m227q56ykfbg9rza1p22hkiqss139h43nxvl8dq0cmxw3d0mf";
+ version = "0.1.1.2";
+ sha256 = "0vdis92v2riw7bnxgpaif05rb3gkikpavs7w10rayzcf3sx3r6pp";
libraryHaskellDepends = [
aeson base bytestring containers hasql network-ip scientific text
time uuid vector
@@ -140171,30 +140978,6 @@ self: {
}) {};
"hasql-interpolate" = callPackage
- ({ mkDerivation, aeson, array, base, bytestring, containers
- , haskell-src-meta, hasql, megaparsec, mtl, scientific, tasty
- , tasty-hunit, template-haskell, text, time, tmp-postgres
- , transformers, uuid, vector
- }:
- mkDerivation {
- pname = "hasql-interpolate";
- version = "0.1.0.4";
- sha256 = "1b3q21m25wxjxrprfr24b2ay94yjjaqs0p2x6s1d9cqagmznh9v0";
- revision = "2";
- editedCabalFile = "1iz6mr5pnfysbflcbrxlk1h4cw9ipw8k3jplyhw41lip1yvblnjy";
- libraryHaskellDepends = [
- aeson array base bytestring containers haskell-src-meta hasql
- megaparsec mtl scientific template-haskell text time transformers
- uuid vector
- ];
- testHaskellDepends = [
- base hasql tasty tasty-hunit template-haskell text tmp-postgres
- ];
- description = "QuasiQuoter that supports expression interpolation for hasql";
- license = lib.licenses.bsd3;
- }) {};
-
- "hasql-interpolate_0_2_1_0" = callPackage
({ mkDerivation, aeson, array, base, bytestring, containers
, haskell-src-meta, hasql, megaparsec, mtl, scientific, tasty
, tasty-hunit, template-haskell, text, time, tmp-postgres
@@ -140216,7 +140999,6 @@ self: {
];
description = "QuasiQuoter that supports expression interpolation for hasql";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"hasql-listen-notify" = callPackage
@@ -140225,10 +141007,8 @@ self: {
}:
mkDerivation {
pname = "hasql-listen-notify";
- version = "0.1.0";
- sha256 = "0bq4s4x76spjziqgda0shdzfwdhgz1fhsbdg63r3l0m01ixg1a50";
- revision = "2";
- editedCabalFile = "0h0dk2wkgxmwbkz1hhpyhi7q7m3lz9l5n9kdvxmibsx811qmizhh";
+ version = "0.1.0.1";
+ sha256 = "00pcbps2hdjd3i1xxsfgai81hgzc24cpj8n1iqg4xf7pd2c6cps4";
libraryHaskellDepends = [
base bytestring hasql mtl postgresql-libpq text
];
@@ -140282,8 +141062,8 @@ self: {
}:
mkDerivation {
pname = "hasql-optparse-applicative";
- version = "0.7";
- sha256 = "0kngkykspy20by86psdjf40m4lm7v1bs5f5w1lqn98dmlnvpqxd9";
+ version = "0.7.1.3";
+ sha256 = "1jnsrx5hahjph9izgzsz2s2882bvyr17y7hf562wd5yny2y9p20h";
libraryHaskellDepends = [
attoparsec attoparsec-time base hasql hasql-pool
optparse-applicative
@@ -140292,23 +141072,6 @@ self: {
license = lib.licenses.mit;
}) {};
- "hasql-optparse-applicative_0_7_1_1" = callPackage
- ({ mkDerivation, attoparsec, attoparsec-time, base, hasql
- , hasql-pool, optparse-applicative
- }:
- mkDerivation {
- pname = "hasql-optparse-applicative";
- version = "0.7.1.1";
- sha256 = "1xm86m1sldjxxhkgg18whgcrgjh7ksczn2aim2l70dgh34ij3073";
- libraryHaskellDepends = [
- attoparsec attoparsec-time base hasql hasql-pool
- optparse-applicative
- ];
- description = "\"optparse-applicative\" parsers for \"hasql\"";
- license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
- }) {};
-
"hasql-pipes" = callPackage
({ mkDerivation, base, bytestring-tree-builder, hasql, pipes
, pipes-safe, protolude
@@ -140325,20 +141088,6 @@ self: {
}) {};
"hasql-pool" = callPackage
- ({ mkDerivation, async, base, hasql, hspec, random, rerebase, stm
- , time
- }:
- mkDerivation {
- pname = "hasql-pool";
- version = "0.9.0.1";
- sha256 = "00p06yjyasdcv9f7wn29c9il08drcym65k0xnh7kzyma871wv7yq";
- libraryHaskellDepends = [ base hasql stm time ];
- testHaskellDepends = [ async hasql hspec random rerebase ];
- description = "Pool of connections for Hasql";
- license = lib.licenses.mit;
- }) {};
-
- "hasql-pool_0_10_0_1" = callPackage
({ mkDerivation, async, base, hasql, hspec, random, rerebase, stm
, time
}:
@@ -140350,7 +141099,6 @@ self: {
testHaskellDepends = [ async hasql hspec random rerebase ];
description = "Pool of connections for Hasql";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"hasql-postgres" = callPackage
@@ -140436,6 +141184,7 @@ self: {
];
description = "A PostgreSQL backed queue";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"hasql-resource-pool" = callPackage
@@ -140605,8 +141354,8 @@ self: {
}:
mkDerivation {
pname = "hasql-transaction";
- version = "1.0.1.2";
- sha256 = "0wqvxjrjgrmnbbassayyixa4sa5qw5iwwcrh5yz65dw20qf7m9rs";
+ version = "1.0.1.4";
+ sha256 = "1pamzw98jhbxwbdfj9z19livgh8g3y4p3h83hzqg6hgv5j2i8g74";
libraryHaskellDepends = [
base bytestring bytestring-tree-builder contravariant
contravariant-extras hasql mtl transformers
@@ -140641,8 +141390,8 @@ self: {
}:
mkDerivation {
pname = "hasql-url";
- version = "0.2.0.0";
- sha256 = "1f8vc7s031f33rkbzlmqac3h1g6aynj9z03x4lx19526q2d2r7ns";
+ version = "0.3.0.0";
+ sha256 = "186rq0yzjxwlk9c885p0539flb7lmkbzifilp33mmkl7mnf3ji7a";
libraryHaskellDepends = [
base bytestring hasql network-uri split
];
@@ -141926,22 +142675,22 @@ self: {
}) {};
"hcount" = callPackage
- ({ mkDerivation, attoparsec, base, containers, ghc, lens, numhask
- , optparse-applicative, stan, text
+ ({ mkDerivation, base, bytestring, containers, dir-traverse
+ , directory, filepath, flatparse, ghc, numhask, optics-core
+ , optparse-applicative, process, string-interpolate
}:
mkDerivation {
pname = "hcount";
- version = "0.0.1";
- sha256 = "0lz400p757kcnfkdwr3qrv07hlshv5rlsm3zfrf6zfhg4nayb93p";
- revision = "1";
- editedCabalFile = "0gvwrrhd1hhk242h686chlsww3cmxh5vy7296k5g6p6sb03ir4p5";
+ version = "0.0.2";
+ sha256 = "08938svkif0qiig953yqqw4f6gn07qpvby7038w7j4zjxzvkqkik";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
- attoparsec base containers ghc lens numhask optparse-applicative
- stan text
+ base bytestring containers dir-traverse directory filepath
+ flatparse ghc numhask optics-core optparse-applicative process
+ string-interpolate
];
- description = "Haskell name counts";
+ description = "Haskell artifact name counts";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
mainProgram = "hcount";
@@ -142950,34 +143699,6 @@ self: {
}) {};
"hedgehog" = callPackage
- ({ mkDerivation, ansi-terminal, async, barbies, base, bytestring
- , concurrent-output, containers, deepseq, directory, erf
- , exceptions, lifted-async, mmorph, monad-control, mtl, pretty-show
- , primitive, random, resourcet, stm, template-haskell, text, time
- , transformers, transformers-base, wl-pprint-annotated
- }:
- mkDerivation {
- pname = "hedgehog";
- version = "1.2";
- sha256 = "0zlfmzzancsglzqmdr40kdfk3ih7anssfkb196r0n9b5lvdcfn98";
- revision = "1";
- editedCabalFile = "1am5x5y2zzfii2zk6w8kbw6rv8c4y272vsl5213f99ypvbqv086b";
- libraryHaskellDepends = [
- ansi-terminal async barbies base bytestring concurrent-output
- containers deepseq directory erf exceptions lifted-async mmorph
- monad-control mtl pretty-show primitive random resourcet stm
- template-haskell text time transformers transformers-base
- wl-pprint-annotated
- ];
- testHaskellDepends = [
- base containers mmorph mtl pretty-show text transformers
- ];
- description = "Release with confidence";
- license = lib.licenses.bsd3;
- maintainers = [ lib.maintainers.maralorn ];
- }) {};
-
- "hedgehog_1_4" = callPackage
({ mkDerivation, ansi-terminal, async, barbies, base, bytestring
, concurrent-output, containers, deepseq, directory, erf
, exceptions, lifted-async, mmorph, monad-control, mtl, pretty-show
@@ -142989,8 +143710,8 @@ self: {
pname = "hedgehog";
version = "1.4";
sha256 = "1sz685n2ljriqwfpfy57adbsc6gyrd4x7jmy628803rfalqznjpm";
- revision = "1";
- editedCabalFile = "1gk52hz1ssib7cbam7nk8nws9322rsgkn7ym45dgwjbvqbz3wqs7";
+ revision = "3";
+ editedCabalFile = "18jig0zi5cj3hlx4pxmf0g9hbn531c8b828agw45n9gn0dzaz5ci";
libraryHaskellDepends = [
ansi-terminal async barbies base bytestring concurrent-output
containers deepseq directory erf exceptions lifted-async mmorph
@@ -143003,7 +143724,6 @@ self: {
];
description = "Release with confidence";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
maintainers = [ lib.maintainers.maralorn ];
}) {};
@@ -143072,20 +143792,20 @@ self: {
"hedgehog-extras" = callPackage
({ mkDerivation, aeson, aeson-pretty, async, base, bytestring
, deepseq, Diff, directory, exceptions, filepath, hedgehog
- , http-conduit, mmorph, mtl, network, process, resourcet, stm, tar
- , temporary, text, time, transformers, unliftio, yaml, zlib
+ , http-conduit, lifted-async, lifted-base, mmorph, monad-control
+ , mtl, network, process, resourcet, stm, tar, temporary, text, time
+ , transformers, transformers-base, unliftio, yaml, zlib
}:
mkDerivation {
pname = "hedgehog-extras";
- version = "0.5.0.0";
- sha256 = "07i2pgmrpnffip5ng3fszhc8xlcvmzl02myw2m66kj3hmp5pps03";
- revision = "1";
- editedCabalFile = "1dqw5wfl83gs43b7wnqzys1izrr5nqg5k8cj28ppl4qylhvqdar0";
+ version = "0.6.0.2";
+ sha256 = "04jsywjx9sji11rpn9vp0k689d7r84g288khwmngvkdfnf0vyjka";
libraryHaskellDepends = [
aeson aeson-pretty async base bytestring deepseq Diff directory
- exceptions filepath hedgehog http-conduit mmorph mtl network
- process resourcet stm tar temporary text time transformers unliftio
- yaml zlib
+ exceptions filepath hedgehog http-conduit lifted-async lifted-base
+ mmorph monad-control mtl network process resourcet stm tar
+ temporary text time transformers transformers-base unliftio yaml
+ zlib
];
description = "Supplemental library for hedgehog";
license = lib.licenses.asl20;
@@ -143103,6 +143823,8 @@ self: {
testHaskellDepends = [ base containers fakedata hedgehog ];
description = "Use 'fakedata' with 'hedgehog'";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"hedgehog-fn" = callPackage
@@ -143216,6 +143938,8 @@ self: {
libraryHaskellDepends = [ base hedgehog optics-core ];
description = "Hedgehog properties for optics laws";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"hedgehog-quickcheck" = callPackage
@@ -143322,6 +144046,8 @@ self: {
];
description = "A la MonadReader for Redis connection";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"hedis-namespace" = callPackage
@@ -143405,8 +144131,8 @@ self: {
pname = "hedn";
version = "0.3.0.4";
sha256 = "06js9mcif99k8bfyrsynlg1w5rjygydk5l0qhlrk6pa5v288a7wv";
- revision = "1";
- editedCabalFile = "13cmpyx7givc5ay43slf2ss4dqgnhb91nvyxp4201zznl5w570nh";
+ revision = "2";
+ editedCabalFile = "1ws1l3nkjqlkiy0l1kj4gw8hfz6sqi070i6k8828x4364126njd9";
libraryHaskellDepends = [
base containers deepseq deriving-compat megaparsec
parser-combinators prettyprinter scientific template-haskell text
@@ -143608,8 +144334,6 @@ self: {
];
description = "An Haskell template system supporting both HTML5 and XML";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- broken = true;
}) {};
"heist-aeson" = callPackage
@@ -143641,6 +144365,7 @@ self: {
description = "Adding support for asynchronous updates (\"AJAX\") with heist";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"heist-emanote" = callPackage
@@ -143700,7 +144425,6 @@ self: {
];
description = "Extra heist functionality";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"helf" = callPackage
@@ -144167,25 +144891,26 @@ self: {
"hercules-ci-agent" = callPackage
({ mkDerivation, aeson, async, attoparsec, base, base64-bytestring
- , binary, binary-conduit, boost, bytestring, Cabal
+ , bifunctors, binary, binary-conduit, boost, bytestring, Cabal
, cabal-pkg-config-version-hook, cachix, cachix-api, conduit
, conduit-extra, containers, directory, dlist, exceptions, filepath
, hercules-ci-api, hercules-ci-api-agent, hercules-ci-api-core
, hercules-ci-cnix-expr, hercules-ci-cnix-store, hostname, hspec
- , hspec-discover, http-client, http-client-tls, http-conduit
+ , hspec-discover, http-client, http-client-tls, http-conduit, HUnit
, inline-c, inline-c-cpp, katip, lens, lens-aeson, lifted-async
, lifted-base, monad-control, mtl, network, network-uri, nix
- , optparse-applicative, process, process-extras, protolude
- , safe-exceptions, scientific, servant, servant-auth-client
- , servant-client, servant-client-core, stm, tagged, temporary, text
- , time, tomland, transformers, transformers-base, unbounded-delays
- , unix, unliftio, unliftio-core, unordered-containers, uuid, vector
- , websockets, wuss
+ , optparse-applicative, process, process-extras, profunctors
+ , protolude, QuickCheck, safe-exceptions, scientific, servant
+ , servant-auth-client, servant-client, servant-client-core, stm
+ , tagged, temporary, text, time, tls, tomland, transformers
+ , transformers-base, unbounded-delays, unix, unliftio
+ , unliftio-core, unordered-containers, uuid, vector, websockets
+ , wuss
}:
mkDerivation {
pname = "hercules-ci-agent";
- version = "0.9.12";
- sha256 = "0fs5ycnig0s7wwrshpx2fhi7iib59bnnddsjvb5s06y9gvla6xq0";
+ version = "0.10.1";
+ sha256 = "1mclrxy2cxa2qcswk95klpp45n59ngw0sdwqgl9r7i2hwsg1nzm8";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -144197,18 +144922,18 @@ self: {
hercules-ci-cnix-store katip lens lens-aeson lifted-async
lifted-base monad-control mtl network network-uri process
process-extras protolude safe-exceptions stm tagged temporary text
- time transformers transformers-base unbounded-delays unix unliftio
- unliftio-core uuid websockets wuss
+ time tls transformers transformers-base unbounded-delays unix
+ unliftio unliftio-core uuid vector websockets wuss
];
executableHaskellDepends = [
- aeson async attoparsec base base64-bytestring binary binary-conduit
- bytestring cachix cachix-api conduit conduit-extra containers
- directory dlist exceptions filepath hercules-ci-api
+ aeson async attoparsec base base64-bytestring bifunctors binary
+ binary-conduit bytestring cachix cachix-api conduit conduit-extra
+ containers directory dlist exceptions filepath hercules-ci-api
hercules-ci-api-agent hercules-ci-api-core hercules-ci-cnix-expr
hercules-ci-cnix-store hostname http-client http-client-tls
http-conduit inline-c inline-c-cpp katip lens lens-aeson
lifted-async lifted-base monad-control mtl network network-uri
- optparse-applicative process process-extras protolude
+ optparse-applicative process process-extras profunctors protolude
safe-exceptions scientific servant servant-auth-client
servant-client servant-client-core stm temporary text time tomland
transformers transformers-base unix unliftio unliftio-core
@@ -144217,12 +144942,14 @@ self: {
executableSystemDepends = [ boost ];
executablePkgconfigDepends = [ nix ];
testHaskellDepends = [
- aeson async attoparsec base binary binary-conduit bytestring
- conduit containers exceptions filepath hercules-ci-api-agent
- hercules-ci-api-core hercules-ci-cnix-store hspec katip
- lifted-async lifted-base monad-control mtl process protolude
- safe-exceptions stm tagged temporary text transformers-base
- unliftio-core vector
+ aeson async attoparsec base bifunctors binary binary-conduit
+ bytestring conduit containers exceptions filepath
+ hercules-ci-api-agent hercules-ci-api-core hercules-ci-cnix-store
+ hspec HUnit katip lens lens-aeson lifted-async lifted-base
+ monad-control mtl process profunctors protolude QuickCheck
+ safe-exceptions scientific stm tagged temporary text tomland
+ transformers transformers-base unliftio-core unordered-containers
+ uuid vector
];
testToolDepends = [ hspec-discover ];
description = "Runs Continuous Integration tasks on your machines";
@@ -144233,29 +144960,31 @@ self: {
"hercules-ci-api" = callPackage
({ mkDerivation, aeson, base, bytestring, containers, cookie
, exceptions, hashable, hercules-ci-api-core, hspec, http-api-data
- , http-media, lens, lens-aeson, memory, network-uri, profunctors
- , protolude, QuickCheck, quickcheck-classes, servant, servant-auth
- , servant-auth-swagger, servant-swagger, servant-swagger-ui-core
- , string-conv, swagger2, text, time, uuid, vector
+ , http-media, lens, lens-aeson, memory, network-uri, openapi3
+ , profunctors, protolude, QuickCheck, quickcheck-classes, servant
+ , servant-auth, servant-auth-swagger, servant-openapi3
+ , servant-swagger, servant-swagger-ui-core, string-conv, swagger2
+ , text, time, uuid, vector
}:
mkDerivation {
pname = "hercules-ci-api";
- version = "0.8.1.0";
- sha256 = "13zvw78mwx78sv858hz4bw65dck0v2kxwqv6skpfgzbyvg4h5f6i";
+ version = "0.8.2.0";
+ sha256 = "0mnjhz0jg9rzgdp5pp0i4gfi5il995a5dv8sw58h4kb15kww1rfp";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
aeson base bytestring containers cookie exceptions hashable
hercules-ci-api-core http-api-data http-media lens lens-aeson
- memory network-uri profunctors servant servant-auth
- servant-auth-swagger servant-swagger servant-swagger-ui-core
- string-conv swagger2 text time uuid
+ memory network-uri openapi3 profunctors servant servant-auth
+ servant-auth-swagger servant-openapi3 servant-swagger
+ servant-swagger-ui-core string-conv swagger2 text time uuid
];
executableHaskellDepends = [
aeson base bytestring containers cookie exceptions hashable
- http-api-data http-media lens memory network-uri profunctors
- servant servant-auth servant-auth-swagger servant-swagger
- servant-swagger-ui-core string-conv swagger2 text time uuid
+ http-api-data http-media lens memory network-uri openapi3
+ profunctors servant servant-auth servant-auth-swagger
+ servant-openapi3 servant-swagger servant-swagger-ui-core
+ string-conv swagger2 text time uuid
];
testHaskellDepends = [
aeson base bytestring containers exceptions hashable
@@ -144279,8 +145008,8 @@ self: {
}:
mkDerivation {
pname = "hercules-ci-api-agent";
- version = "0.5.0.1";
- sha256 = "0d9cmf1amy4hdzlkmwaqyp469fmgh09a5090143sar9ss309r0sg";
+ version = "0.5.1.0";
+ sha256 = "1rjyavqsj2y7clq39v1f508l0wn9wg07sy2ijacf62abhaiyb62d";
libraryHaskellDepends = [
aeson base base64-bytestring-type bytestring containers cookie
deepseq exceptions hashable hercules-ci-api-core http-api-data
@@ -144301,20 +145030,21 @@ self: {
"hercules-ci-api-core" = callPackage
({ mkDerivation, aeson, base, bytestring, containers, cookie
, deepseq, exceptions, hashable, http-api-data, http-media, katip
- , lens, lifted-base, memory, monad-control, safe-exceptions
- , servant, servant-auth, servant-auth-swagger, servant-swagger
- , servant-swagger-ui-core, string-conv, swagger2, text, time, uuid
+ , lens, lifted-base, memory, monad-control, openapi3
+ , safe-exceptions, servant, servant-auth, servant-auth-swagger
+ , servant-openapi3, servant-swagger, servant-swagger-ui-core
+ , string-conv, swagger2, text, time, uuid
}:
mkDerivation {
pname = "hercules-ci-api-core";
- version = "0.1.5.1";
- sha256 = "0mlas84ndkp9269qapzfqcc86mcr0nw5vfpc2l0a6ymk1z05nrq4";
+ version = "0.1.6.0";
+ sha256 = "14qxg92j7pq0v0qd2rva8gk11dazwnll88flhgjkv69349ww01q7";
libraryHaskellDepends = [
aeson base bytestring containers cookie deepseq exceptions hashable
http-api-data http-media katip lens lifted-base memory
- monad-control safe-exceptions servant servant-auth
- servant-auth-swagger servant-swagger servant-swagger-ui-core
- string-conv swagger2 text time uuid
+ monad-control openapi3 safe-exceptions servant servant-auth
+ servant-auth-swagger servant-openapi3 servant-swagger
+ servant-swagger-ui-core string-conv swagger2 text time uuid
];
description = "Types and convenience modules use across Hercules CI API packages";
license = lib.licenses.asl20;
@@ -144332,13 +145062,14 @@ self: {
, lens-aeson, lifted-base, monad-control, network-uri, process
, protolude, QuickCheck, retry, rio, safe-exceptions, servant
, servant-auth-client, servant-client, servant-client-core
- , servant-conduit, temporary, text, transformers, transformers-base
- , unix, unliftio, unliftio-core, unordered-containers, uuid
+ , servant-conduit, temporary, text, tls, transformers
+ , transformers-base, unix, unliftio, unliftio-core
+ , unordered-containers, uuid
}:
mkDerivation {
pname = "hercules-ci-cli";
- version = "0.3.6";
- sha256 = "1wsgjj7asq6a0p8aja6mqcjy1qxpbwbii65fw9w6ilmmacpfh6yy";
+ version = "0.3.7";
+ sha256 = "1h4y8jkviiahshvky20jdda8sfmlbzpkpx313ddg9bvfqafps2mz";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -144350,7 +145081,7 @@ self: {
http-client-tls http-types inline-c-cpp katip lens lens-aeson
lifted-base monad-control network-uri process protolude retry rio
safe-exceptions servant servant-auth-client servant-client
- servant-client-core servant-conduit temporary text transformers
+ servant-client-core servant-conduit temporary text tls transformers
transformers-base unix unliftio unliftio-core unordered-containers
uuid
];
@@ -144377,8 +145108,8 @@ self: {
}:
mkDerivation {
pname = "hercules-ci-cnix-expr";
- version = "0.3.6.0";
- sha256 = "15lyhj26zr9r3nqn3d7gwn4rppix8g4lanxs52wliq7jxxaga28i";
+ version = "0.3.6.1";
+ sha256 = "19bawyynxb19gr9ndy3a0f88d62ivxqk316qavrbxam7azdf0rzr";
enableSeparateDataOutput = true;
setupHaskellDepends = [ base Cabal cabal-pkg-config-version-hook ];
libraryHaskellDepends = [
@@ -144407,8 +145138,8 @@ self: {
}:
mkDerivation {
pname = "hercules-ci-cnix-store";
- version = "0.3.4.0";
- sha256 = "0f8vav9jj4251ym2xyj7wna3wc6dgsqdlpm7byhjdnwzqkw0pxw4";
+ version = "0.3.5.0";
+ sha256 = "103jgh27lxh81iszn9a7268s0lmiadxgdh5df6zj2ldb2hak2nir";
setupHaskellDepends = [ base Cabal cabal-pkg-config-version-hook ];
libraryHaskellDepends = [
base bytestring conduit containers inline-c inline-c-cpp protolude
@@ -144826,25 +145557,6 @@ self: {
}) {inherit (pkgs) ncurses;};
"hetzner" = callPackage
- ({ mkDerivation, aeson, base, bytestring, conduit, containers
- , country, http-conduit, ip, megaparsec, scientific, text, time
- , yaml
- }:
- mkDerivation {
- pname = "hetzner";
- version = "0.2.1.1";
- sha256 = "1h02iwrxnb1apqkbac210laxdr5cq2gw12pjjs9w9pnk44r8scgs";
- libraryHaskellDepends = [
- aeson base bytestring conduit containers country http-conduit ip
- megaparsec scientific text time yaml
- ];
- testHaskellDepends = [ base ];
- description = "Hetzner Cloud and DNS library";
- license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
- }) {};
-
- "hetzner_0_6_0_0" = callPackage
({ mkDerivation, aeson, base, blaze-html, bytestring, conduit
, containers, country, directory, http-conduit, ip, megaparsec
, scientific, text, time, yaml
@@ -144865,7 +145577,6 @@ self: {
testHaskellDepends = [ base ];
description = "Hetzner Cloud and DNS library";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
mainProgram = "hetzner-docs";
}) {};
@@ -144942,6 +145653,7 @@ self: {
doHaddock = false;
description = "Symbolic EVM Evaluator";
license = lib.licenses.agpl3Only;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "hevm";
maintainers = [ lib.maintainers.arturcygan ];
}) {inherit (pkgs) gmp; inherit (pkgs) libff;
@@ -145003,6 +145715,8 @@ self: {
pname = "hex-text";
version = "0.1.0.9";
sha256 = "1dzv1jpjga4nsrxbwrh5nhnzv5f0mnl5i8da0blqc73vavsjhny5";
+ revision = "1";
+ editedCabalFile = "1r6lh44n7x6s1fk7nlqzychspi3647wsd0avraxlzqs32xslxgq1";
libraryHaskellDepends = [ base base16-bytestring bytestring text ];
testHaskellDepends = [
base base16-bytestring bytestring hspec text
@@ -145362,6 +146076,8 @@ self: {
];
description = "Streaming-friendly XML parsers";
license = lib.licenses.cc0;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"heyefi" = callPackage
@@ -146401,40 +147117,6 @@ self: {
}) {};
"hie-bios" = callPackage
- ({ mkDerivation, aeson, base, base16-bytestring, bytestring
- , co-log-core, conduit, conduit-extra, cryptohash-sha1, deepseq
- , directory, exceptions, extra, file-embed, filepath, ghc
- , optparse-applicative, prettyprinter, tasty
- , tasty-expected-failure, tasty-hunit, template-haskell, temporary
- , text, time, transformers, unix-compat, unordered-containers, yaml
- }:
- mkDerivation {
- pname = "hie-bios";
- version = "0.12.1";
- sha256 = "0iw97mkgg7nwl3dr04r3z3yxynz64agf67xasb1s7kk4gwss1f85";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- aeson base base16-bytestring bytestring co-log-core conduit
- conduit-extra cryptohash-sha1 deepseq directory exceptions extra
- file-embed filepath ghc prettyprinter template-haskell temporary
- text time transformers unix-compat unordered-containers yaml
- ];
- executableHaskellDepends = [
- base co-log-core directory filepath optparse-applicative
- prettyprinter
- ];
- testHaskellDepends = [
- aeson base co-log-core directory extra filepath ghc prettyprinter
- tasty tasty-expected-failure tasty-hunit temporary transformers
- yaml
- ];
- description = "Set up a GHC API session";
- license = lib.licenses.bsd3;
- mainProgram = "hie-bios";
- }) {};
-
- "hie-bios_0_13_1" = callPackage
({ mkDerivation, aeson, base, base16-bytestring, bytestring
, co-log-core, conduit, conduit-extra, cryptohash-sha1, deepseq
, directory, exceptions, extra, file-embed, filepath, ghc
@@ -146465,7 +147147,6 @@ self: {
];
description = "Set up a GHC API session";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
mainProgram = "hie-bios";
}) {};
@@ -146531,8 +147212,8 @@ self: {
}:
mkDerivation {
pname = "hiedb";
- version = "0.4.4.0";
- sha256 = "0pbcm00zcd33y7w5n7qp8r9r8j8jf2vdhx3l1mll1d4jfimhrsd6";
+ version = "0.5.0.1";
+ sha256 = "17a9yg0zdfnz480i5aprxmsryz88n8nr8566x6x8p5x1hclizd0m";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -146749,6 +147430,8 @@ self: {
testToolDepends = [ markdown-unlit ];
description = "Partial types as a type constructor";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"highWaterMark" = callPackage
@@ -146932,6 +147615,8 @@ self: {
];
description = "source code highlighting";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"highlighter2" = callPackage
@@ -146972,8 +147657,36 @@ self: {
];
description = "Syntax highlighting";
license = "GPL";
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
+ "highs-lp" = callPackage
+ ({ mkDerivation, base, comfort-array, deepseq
+ , doctest-exitcode-stdio, doctest-lib, guarded-allocation, highs
+ , linear-programming, non-empty, QuickCheck, random
+ , storable-record, transformers, utility-ht
+ }:
+ mkDerivation {
+ pname = "highs-lp";
+ version = "0.0";
+ sha256 = "1fx9llq1pfy4ngx99d3i39kkp1pv07wn8qm92abyrmw06qci938r";
+ libraryHaskellDepends = [
+ base comfort-array deepseq guarded-allocation linear-programming
+ non-empty QuickCheck storable-record transformers utility-ht
+ ];
+ libraryPkgconfigDepends = [ highs ];
+ testHaskellDepends = [
+ base comfort-array doctest-exitcode-stdio doctest-lib
+ linear-programming non-empty QuickCheck random transformers
+ utility-ht
+ ];
+ description = "Linear Programming using HiGHS and comfort-array";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {inherit (pkgs) highs;};
+
"hills" = callPackage
({ mkDerivation, array, base, bytestring, directory, filepath
, optparse-applicative, text, transformers
@@ -147065,50 +147778,6 @@ self: {
}) {};
"hindent" = callPackage
- ({ mkDerivation, base, bytestring, Cabal, containers, criterion
- , deepseq, Diff, directory, exceptions, filepath, ghc-lib-parser
- , ghc-lib-parser-ex, hspec, monad-loops, mtl, optparse-applicative
- , path, path-io, regex-tdfa, split, syb, text, transformers
- , unicode-show, utf8-string, yaml
- }:
- mkDerivation {
- pname = "hindent";
- version = "6.0.0";
- sha256 = "17pkbjb4zqnzv3bnw3zwisf9j2m9lw5irq7i12bgwrzpv15fpabz";
- isLibrary = true;
- isExecutable = true;
- enableSeparateDataOutput = true;
- libraryHaskellDepends = [
- base bytestring Cabal containers deepseq directory exceptions
- filepath ghc-lib-parser ghc-lib-parser-ex monad-loops mtl path
- path-io regex-tdfa split syb text transformers unicode-show
- utf8-string yaml
- ];
- executableHaskellDepends = [
- base bytestring Cabal containers directory exceptions filepath
- ghc-lib-parser ghc-lib-parser-ex monad-loops mtl
- optparse-applicative path path-io regex-tdfa split syb text
- transformers unicode-show utf8-string yaml
- ];
- testHaskellDepends = [
- base bytestring Cabal containers Diff directory exceptions filepath
- ghc-lib-parser ghc-lib-parser-ex hspec monad-loops mtl path path-io
- regex-tdfa split syb text transformers unicode-show utf8-string
- yaml
- ];
- benchmarkHaskellDepends = [
- base bytestring Cabal containers criterion deepseq directory
- exceptions filepath ghc-lib-parser ghc-lib-parser-ex monad-loops
- mtl path path-io regex-tdfa split syb text transformers
- unicode-show utf8-string yaml
- ];
- doHaddock = false;
- description = "Extensible Haskell pretty printer";
- license = lib.licenses.bsd3;
- mainProgram = "hindent";
- }) {};
-
- "hindent_6_1_1" = callPackage
({ mkDerivation, base, bytestring, Cabal, containers, criterion
, deepseq, Diff, directory, exceptions, filepath, ghc-lib-parser
, ghc-lib-parser-ex, hspec, monad-loops, mtl, optparse-applicative
@@ -147149,7 +147818,6 @@ self: {
doHaddock = false;
description = "Extensible Haskell pretty printer";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
mainProgram = "hindent";
}) {};
@@ -147319,6 +147987,7 @@ self: {
];
description = "Generic project initialization tool";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "hi";
maintainers = [ lib.maintainers.poscat ];
}) {};
@@ -147369,6 +148038,8 @@ self: {
description = "Haskell binding to inotify, using ByteString filepaths";
license = lib.licenses.bsd3;
badPlatforms = lib.platforms.darwin;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"hinotify-conduit" = callPackage
@@ -147391,6 +148062,8 @@ self: {
];
description = "inotify conduit sources";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"hinquire" = callPackage
@@ -147571,7 +148244,6 @@ self: {
];
description = "Haskell Image Processing (HIP) Library";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"hipbot" = callPackage
@@ -147648,7 +148320,9 @@ self: {
];
description = "an IPS patcher";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "hips";
+ broken = true;
}) {};
"hipsql-api" = callPackage
@@ -147695,6 +148369,8 @@ self: {
sha256 = "1npmz2vgiy2bl4jvscv6447pzq2989a575xmpmwqs4mg4cp0dxg0";
libraryHaskellDepends = [ base postgresql-libpq ];
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"hipsql-server" = callPackage
@@ -148421,6 +149097,8 @@ self: {
];
description = "A library to build valid LaTeX files";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"hlbfgsb" = callPackage
@@ -148501,10 +149179,8 @@ self: {
}:
mkDerivation {
pname = "hledger";
- version = "1.30.1";
- sha256 = "0ri8zg1pq011cbry5cxj2rc5g19vgl3rjcl5b2qk4bhdgxy7na98";
- revision = "3";
- editedCabalFile = "1qsy6axbldm2az2bnxgklnd9hhyhdlrkb395zpgrli9sla2mqdfv";
+ version = "1.32.3";
+ sha256 = "1mkzpaj50zq3w40gynjajlcrilpvmbdyrydnprrxmxfcb5hy2qr9";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -148539,56 +149215,6 @@ self: {
];
}) {};
- "hledger_1_32_1" = callPackage
- ({ mkDerivation, aeson, ansi-terminal, base, bytestring, cmdargs
- , containers, data-default, Decimal, Diff, directory, extra
- , filepath, githash, hashable, haskeline, hledger-lib, lucid
- , math-functions, megaparsec, microlens, mtl, process, regex-tdfa
- , safe, shakespeare, split, tabular, tasty, temporary, terminfo
- , text, text-ansi, time, timeit, transformers, unordered-containers
- , utf8-string, utility-ht, wizards
- }:
- mkDerivation {
- pname = "hledger";
- version = "1.32.1";
- sha256 = "02himlkcb3imvm7h7d09hqbi0rrlq4cl41sc6gnc20dmd4xps7fc";
- revision = "1";
- editedCabalFile = "0kx818p2f3785g0ac102nl4zrhm3xygx4lxj7ndqvrqkqr13zh8f";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- aeson ansi-terminal base bytestring cmdargs containers data-default
- Decimal Diff directory extra filepath githash hashable haskeline
- hledger-lib lucid math-functions megaparsec microlens mtl process
- regex-tdfa safe shakespeare split tabular tasty temporary terminfo
- text text-ansi time timeit transformers unordered-containers
- utf8-string utility-ht wizards
- ];
- executableHaskellDepends = [
- aeson ansi-terminal base bytestring cmdargs containers data-default
- Decimal directory extra filepath githash haskeline hledger-lib
- math-functions megaparsec microlens mtl process regex-tdfa safe
- shakespeare split tabular tasty temporary terminfo text text-ansi
- time timeit transformers unordered-containers utf8-string
- utility-ht wizards
- ];
- testHaskellDepends = [
- aeson ansi-terminal base bytestring cmdargs containers data-default
- Decimal directory extra filepath githash haskeline hledger-lib
- math-functions megaparsec microlens mtl process regex-tdfa safe
- shakespeare split tabular tasty temporary terminfo text text-ansi
- time timeit transformers unordered-containers utf8-string
- utility-ht wizards
- ];
- description = "Command-line interface for the hledger accounting system";
- license = lib.licenses.gpl3Only;
- hydraPlatforms = lib.platforms.none;
- mainProgram = "hledger";
- maintainers = [
- lib.maintainers.maralorn lib.maintainers.sternenseemann
- ];
- }) {};
-
"hledger-api" = callPackage
({ mkDerivation, aeson, base, bytestring, containers, data-default
, Decimal, docopt, either, hledger, hledger-lib, microlens
@@ -148689,10 +149315,8 @@ self: {
}:
mkDerivation {
pname = "hledger-iadd";
- version = "1.3.19";
- sha256 = "12x9qdn0p2sq0z1q1gnxnajkvdlyk25xywq7yi7il1hqdrz1mkmf";
- revision = "1";
- editedCabalFile = "1vkjjdmcn0gxgz9fmy1bvn76kf77krrgpvawydc5rvgwyvmmvnsp";
+ version = "1.3.20";
+ sha256 = "0gck4zf5p2kkwn3rrsinn25g6mpgp6n49034la3qz0yzkvw6gknf";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -148772,51 +149396,8 @@ self: {
}:
mkDerivation {
pname = "hledger-lib";
- version = "1.30";
- sha256 = "0qyhkx1bhrmnwwxqbqa4pqghg7j2vn63829j5s2zdn8ys2mm8s64";
- revision = "3";
- editedCabalFile = "0v1pfx3mzd4zhygadf1hd51v7qfq9zj0q5yh515d1ly6xqgilbv5";
- libraryHaskellDepends = [
- aeson aeson-pretty ansi-terminal array base base-compat
- blaze-markup bytestring call-stack cassava cassava-megaparsec
- cmdargs colour containers data-default Decimal deepseq directory
- doclayout extra file-embed filepath Glob hashtables megaparsec
- microlens microlens-th mtl pager parser-combinators pretty-simple
- regex-tdfa safe tabular tasty tasty-hunit template-haskell
- terminal-size text text-ansi time timeit transformers uglymemo
- unordered-containers utf8-string
- ];
- testHaskellDepends = [
- aeson aeson-pretty ansi-terminal array base base-compat
- blaze-markup bytestring call-stack cassava cassava-megaparsec
- cmdargs colour containers data-default Decimal deepseq directory
- doclayout doctest extra file-embed filepath Glob hashtables
- megaparsec microlens microlens-th mtl pager parser-combinators
- pretty-simple regex-tdfa safe tabular tasty tasty-hunit
- template-haskell terminal-size text text-ansi time timeit
- transformers uglymemo unordered-containers utf8-string
- ];
- description = "A reusable library providing the core functionality of hledger";
- license = lib.licenses.gpl3Only;
- }) {};
-
- "hledger-lib_1_32_1" = callPackage
- ({ mkDerivation, aeson, aeson-pretty, ansi-terminal, array, base
- , base-compat, blaze-markup, bytestring, call-stack, cassava
- , cassava-megaparsec, cmdargs, colour, containers, data-default
- , Decimal, deepseq, directory, doclayout, doctest, extra
- , file-embed, filepath, Glob, hashtables, megaparsec, microlens
- , microlens-th, mtl, pager, parser-combinators, pretty-simple
- , regex-tdfa, safe, tabular, tasty, tasty-hunit, template-haskell
- , terminal-size, text, text-ansi, time, timeit, transformers
- , uglymemo, unordered-containers, utf8-string
- }:
- mkDerivation {
- pname = "hledger-lib";
- version = "1.32.1";
- sha256 = "0dy69cwy06kdzfpg26fpdn50vq1ln1li34r23gyr6z78rj39dwbs";
- revision = "1";
- editedCabalFile = "0qzkgdv0n8vhjg17pw1al0x49is4f4x4c2ls3lr8dmkb87qgjj1i";
+ version = "1.32.3";
+ sha256 = "0dyid1jjs9i4sk5fxlmah8fgng4j1577zxxm99gh2xl8216dn4mg";
libraryHaskellDepends = [
aeson aeson-pretty ansi-terminal array base base-compat
blaze-markup bytestring call-stack cassava cassava-megaparsec
@@ -148839,7 +149420,6 @@ self: {
];
description = "A library providing the core functionality of hledger";
license = lib.licenses.gpl3Only;
- hydraPlatforms = lib.platforms.none;
}) {};
"hledger-makeitso" = callPackage
@@ -148901,51 +149481,23 @@ self: {
, containers, data-default, directory, doclayout, extra, filepath
, fsnotify, hledger, hledger-lib, megaparsec, microlens
, microlens-platform, mtl, process, safe, split, text, text-zipper
- , time, transformers, unix, vector, vty
+ , time, transformers, unix, vector, vty, vty-crossplatform
}:
mkDerivation {
pname = "hledger-ui";
- version = "1.30";
- sha256 = "1il3849rn8zimn79d7b81v6yb916w5w8lp4ykxkyc3fwwyjcc2q5";
- revision = "2";
- editedCabalFile = "1a4hnkn45qic4arrna20kfzdp2yhhw66dmhma7zagbrf2ljwl1jj";
- isLibrary = false;
- isExecutable = true;
- executableHaskellDepends = [
- ansi-terminal async base brick cmdargs containers data-default
- directory doclayout extra filepath fsnotify hledger hledger-lib
- megaparsec microlens microlens-platform mtl process safe split text
- text-zipper time transformers unix vector vty
- ];
- description = "Curses-style terminal interface for the hledger accounting system";
- license = lib.licenses.gpl3Only;
- mainProgram = "hledger-ui";
- maintainers = [ lib.maintainers.maralorn ];
- }) {};
-
- "hledger-ui_1_32_1" = callPackage
- ({ mkDerivation, ansi-terminal, async, base, brick, cmdargs
- , containers, data-default, directory, doclayout, extra, filepath
- , fsnotify, hledger, hledger-lib, megaparsec, microlens
- , microlens-platform, mtl, process, safe, split, text, text-zipper
- , time, transformers, unix, vector, vty
- }:
- mkDerivation {
- pname = "hledger-ui";
- version = "1.32.1";
- sha256 = "0ldawz7xcrrb0z6aldblixp5jrhfg47hzznzz8d5yw63idqbgkbh";
+ version = "1.32.3";
+ sha256 = "0981c039xp1l65vdn4y0rl3qvlprk15f4s22z1jkkrixx3aqnq4p";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
ansi-terminal async base brick cmdargs containers data-default
directory doclayout extra filepath fsnotify hledger hledger-lib
megaparsec microlens microlens-platform mtl process safe split text
- text-zipper time transformers unix vector vty
+ text-zipper time transformers unix vector vty vty-crossplatform
];
executableHaskellDepends = [ base ];
description = "Terminal interface for the hledger accounting system";
license = lib.licenses.gpl3Only;
- hydraPlatforms = lib.platforms.none;
mainProgram = "hledger-ui";
maintainers = [ lib.maintainers.maralorn ];
}) {};
@@ -148971,45 +149523,6 @@ self: {
}) {};
"hledger-web" = callPackage
- ({ mkDerivation, aeson, base, base64, blaze-html, blaze-markup
- , bytestring, case-insensitive, clientsession, cmdargs, conduit
- , conduit-extra, containers, data-default, Decimal, directory
- , extra, filepath, hjsmin, hledger, hledger-lib, hspec, http-client
- , http-conduit, http-types, megaparsec, mtl, network, shakespeare
- , template-haskell, text, time, transformers, unix-compat
- , unordered-containers, utf8-string, wai, wai-cors, wai-extra
- , wai-handler-launch, warp, yaml, yesod, yesod-core, yesod-form
- , yesod-static, yesod-test
- }:
- mkDerivation {
- pname = "hledger-web";
- version = "1.30";
- sha256 = "0lcw8qigh1507hn287zwmp00vsccsm6lw6r87c5rp0ikxsxmwbds";
- revision = "3";
- editedCabalFile = "1fclgmqidsmz7is5kksr5f9icnrvyhjyks3hak1cc3hxsd2gz3k2";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- aeson base base64 blaze-html blaze-markup bytestring
- case-insensitive clientsession cmdargs conduit conduit-extra
- containers data-default Decimal directory extra filepath hjsmin
- hledger hledger-lib hspec http-client http-conduit http-types
- megaparsec mtl network shakespeare template-haskell text time
- transformers unix-compat unordered-containers utf8-string wai
- wai-cors wai-extra wai-handler-launch warp yaml yesod yesod-core
- yesod-form yesod-static yesod-test
- ];
- executableHaskellDepends = [ base ];
- testHaskellDepends = [
- base hledger hledger-lib hspec text yesod yesod-test
- ];
- description = "Web-based user interface for the hledger accounting system";
- license = lib.licenses.gpl3Only;
- mainProgram = "hledger-web";
- maintainers = [ lib.maintainers.maralorn ];
- }) {};
-
- "hledger-web_1_32_1" = callPackage
({ mkDerivation, aeson, base, base64, blaze-html, blaze-markup
, bytestring, case-insensitive, clientsession, cmdargs, conduit
, conduit-extra, containers, data-default, Decimal, directory
@@ -149022,10 +149535,8 @@ self: {
}:
mkDerivation {
pname = "hledger-web";
- version = "1.32.1";
- sha256 = "1y4ahgxgj93pg4ycxv5phiwic5b4n8474ia2wxa221jav056b37z";
- revision = "1";
- editedCabalFile = "1v48mcbjig0y8qq15p53ndccj44b6syhkgb45dbzmwy6nypx1bzl";
+ version = "1.32.3";
+ sha256 = "0pvxkhl09hfmm2xi6d76xnwzydl89l6imlv85dgqz2hibk4vw5jh";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -149042,7 +149553,6 @@ self: {
testHaskellDepends = [ base ];
description = "Web user interface for the hledger accounting system";
license = lib.licenses.gpl3Only;
- hydraPlatforms = lib.platforms.none;
mainProgram = "hledger-web";
maintainers = [ lib.maintainers.maralorn ];
}) {};
@@ -149216,8 +149726,8 @@ self: {
}:
mkDerivation {
pname = "hlint";
- version = "3.5";
- sha256 = "0kxdrqybnma508g1z42s3rc3cay11m8nl5ziddyw31m020515gcq";
+ version = "3.6.1";
+ sha256 = "1za1cykiajyfl8ks59jdj6228qnlg5s96slc9jm9zcy1ncmi701j";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -149235,7 +149745,7 @@ self: {
maintainers = [ lib.maintainers.maralorn ];
}) {};
- "hlint_3_6_1" = callPackage
+ "hlint_3_8" = callPackage
({ mkDerivation, aeson, ansi-terminal, base, bytestring, cmdargs
, containers, cpphs, data-default, deriving-aeson, directory, extra
, file-embed, filepath, filepattern, ghc-lib-parser
@@ -149244,8 +149754,8 @@ self: {
}:
mkDerivation {
pname = "hlint";
- version = "3.6.1";
- sha256 = "1za1cykiajyfl8ks59jdj6228qnlg5s96slc9jm9zcy1ncmi701j";
+ version = "3.8";
+ sha256 = "0x5xkd8n9idmg334kj1kky6bcl4wl8ks4i87j3r8zwp75fv3dwm8";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -149375,6 +149885,7 @@ self: {
];
description = "High-level Redis Database";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"hlrdb-core" = callPackage
@@ -149393,6 +149904,8 @@ self: {
];
description = "High-level Redis Database Core API";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"hls" = callPackage
@@ -149416,8 +149929,8 @@ self: {
}:
mkDerivation {
pname = "hls-alternate-number-format-plugin";
- version = "2.5.0.0";
- sha256 = "17splsfhi130cxq84947261r9b2f3x9rxb2kx1jqv99qf91g11h9";
+ version = "2.6.0.0";
+ sha256 = "1n423xdwf56h1c4fa9mfhhz3g5lw4qmycahvwd74ngyqynr7qz1c";
libraryHaskellDepends = [
aeson base containers extra ghc-boot-th ghcide hie-compat hls-graph
hls-plugin-api lens lsp mtl regex-tdfa syb text
@@ -149450,8 +149963,8 @@ self: {
}:
mkDerivation {
pname = "hls-cabal-fmt-plugin";
- version = "2.5.0.0";
- sha256 = "0vzljivyd0pba03rbx8n07zajs7mn6jf8qd9mr28w2wc8lqzwy39";
+ version = "2.6.0.0";
+ sha256 = "1yhk5x0rvvgqh8n1wz5nygx5df87svrg1k8y35jhzrqpys8lczkb";
libraryHaskellDepends = [
base directory filepath ghcide hls-plugin-api lens lsp-types mtl
process-extras text transformers
@@ -149470,8 +149983,8 @@ self: {
}:
mkDerivation {
pname = "hls-cabal-plugin";
- version = "2.5.0.0";
- sha256 = "1q0wsngvngx9hkssvkfyvwvp243rw9z31ay7rgpy4nmv3j4sf47q";
+ version = "2.6.0.0";
+ sha256 = "00wrk9vkq0l845ma8saca9q7xyngjxvyh2jfnah4xpa99xn924mn";
libraryHaskellDepends = [
base bytestring Cabal-syntax containers deepseq directory extra
filepath ghcide hashable hls-graph hls-plugin-api lens lsp
@@ -149494,8 +150007,8 @@ self: {
}:
mkDerivation {
pname = "hls-call-hierarchy-plugin";
- version = "2.5.0.0";
- sha256 = "15wdl6r8www7najnvamgbxp4rjbvwrfp0hk5f8wmsklfr5y4xqcs";
+ version = "2.6.0.0";
+ sha256 = "12cb9krixrlxl8l9bkr6dy8hgwhp8c0j3vf8yx21zvdzbsidjcc1";
libraryHaskellDepends = [
aeson base containers extra ghcide hiedb hls-plugin-api lens lsp
sqlite-simple text unordered-containers
@@ -149515,8 +150028,8 @@ self: {
}:
mkDerivation {
pname = "hls-change-type-signature-plugin";
- version = "2.5.0.0";
- sha256 = "1q7gnyg6pxzgp917z9rybp0736ssz6xiviqcnsnvr4ifvrzhnc2m";
+ version = "2.6.0.0";
+ sha256 = "1qbrp47726y3bnq1zdrqn0lnpjal4056na96dhqv17zm433y6kr0";
libraryHaskellDepends = [
base containers ghcide hls-plugin-api lsp-types regex-tdfa syb text
transformers unordered-containers
@@ -149536,8 +150049,8 @@ self: {
}:
mkDerivation {
pname = "hls-class-plugin";
- version = "2.5.0.0";
- sha256 = "0v33bgv52y43d5n8fd7clllxra4hmrviqi9ymxjkx6zj0vh5i3as";
+ version = "2.6.0.0";
+ sha256 = "0jib2y256fb5b8wgsi9rjsdb3ywwpcbcnbbxmg6q3gwnglrdb1lx";
libraryHaskellDepends = [
aeson base containers deepseq extra ghc ghc-boot-th ghc-exactprint
ghcide hls-graph hls-plugin-api lens lsp mtl text transformers
@@ -149558,8 +150071,8 @@ self: {
}:
mkDerivation {
pname = "hls-code-range-plugin";
- version = "2.5.0.0";
- sha256 = "129c2y3jpibn2lh8j9y310jxrzicw47dks40jacc9qvicyw1amz3";
+ version = "2.6.0.0";
+ sha256 = "1ir4w8a3xvfl5dn7rn9wagch7iai5wafmy732r05yjiyj0p2jfs5";
libraryHaskellDepends = [
aeson base containers deepseq extra ghcide hashable hls-plugin-api
lens lsp mtl semigroupoids text transformers vector
@@ -149584,8 +150097,8 @@ self: {
}:
mkDerivation {
pname = "hls-eval-plugin";
- version = "2.5.0.0";
- sha256 = "16a5wblz1pp7l1n211a8l6vm2cizmlnrgqlxfhpwpyvsglgw2nqc";
+ version = "2.6.0.0";
+ sha256 = "12fvpsisaljszgndjimiigpxqwx65n5i2r7a5c02hzb4aphadv79";
libraryHaskellDepends = [
aeson base bytestring containers data-default deepseq Diff
directory dlist extra filepath ghc ghc-boot-th ghc-paths ghcide
@@ -149626,8 +150139,8 @@ self: {
}:
mkDerivation {
pname = "hls-explicit-fixity-plugin";
- version = "2.5.0.0";
- sha256 = "0v4l3jlh5kx7qfwr3sssfpi4q4sjg064h1svlgwdci02pax43zmf";
+ version = "2.6.0.0";
+ sha256 = "00m90ngxxyvm6ij0ddsi3raknyg1i06l685mw37kwqqjwa32vnaa";
libraryHaskellDepends = [
base containers deepseq extra ghc ghcide hashable hls-plugin-api
lsp text transformers
@@ -149645,8 +150158,8 @@ self: {
}:
mkDerivation {
pname = "hls-explicit-imports-plugin";
- version = "2.5.0.0";
- sha256 = "0lxdr210k02qqmqx54gni4m1jfv1lab4kayn19k1lrbwdw95nnfz";
+ version = "2.6.0.0";
+ sha256 = "1s34q50gj5qdshiswm6zia36nv7zi8hxcm5azryi37ajvb883k3c";
libraryHaskellDepends = [
aeson base containers deepseq ghc ghcide hls-graph hls-plugin-api
lens lsp mtl text transformers unordered-containers
@@ -149666,8 +150179,8 @@ self: {
}:
mkDerivation {
pname = "hls-explicit-record-fields-plugin";
- version = "2.5.0.0";
- sha256 = "0945p1rg7dz9bfj9q72as1f43bgfsz3wigcngz3i5z94qwfjdcqb";
+ version = "2.6.0.0";
+ sha256 = "1nj3x0r8v8j2bgjn384rkz3mmbrz1xd6xbcr2jcmrmngknb17x44";
libraryHaskellDepends = [
aeson base containers ghc ghc-boot-th ghcide hls-graph
hls-plugin-api lens lsp syb text transformers unordered-containers
@@ -149685,8 +150198,8 @@ self: {
}:
mkDerivation {
pname = "hls-floskell-plugin";
- version = "2.5.0.0";
- sha256 = "0z8cad7zjqr4wyl9pc4l3ixwhg8yqxv6ryrwg0pyqg38wh2bkya3";
+ version = "2.6.0.0";
+ sha256 = "1wyk88lynchhzriqj7m9ph0s2c2ivkqg1nmhgb1knkvp8ag27iik";
libraryHaskellDepends = [
base floskell ghcide hls-plugin-api lsp-types mtl text transformers
];
@@ -149702,8 +150215,8 @@ self: {
}:
mkDerivation {
pname = "hls-fourmolu-plugin";
- version = "2.5.0.0";
- sha256 = "008fp1frkrh7plk2hs4g41xn29dcjndmj4inyh5qzp5kyqqpyl3s";
+ version = "2.6.0.0";
+ sha256 = "1g7m4mf7vax4x724rp54ycrr22jw0y8dgwcp250qxsd1vzch2zwn";
libraryHaskellDepends = [
base filepath fourmolu ghc ghc-boot-th ghcide hls-plugin-api lens
lsp mtl process-extras text transformers
@@ -149725,8 +150238,8 @@ self: {
}:
mkDerivation {
pname = "hls-gadt-plugin";
- version = "2.5.0.0";
- sha256 = "1i5w1lch8r0b93qwwxbm10q88gi9ppwjxpypc8bm96k8kfgnp53i";
+ version = "2.6.0.0";
+ sha256 = "0aa602m024s3ch23np2iixmkwv6474va20bjdgiwdgc8vahg4grg";
libraryHaskellDepends = [
aeson base containers extra ghc ghc-boot-th ghc-exactprint ghcide
hls-plugin-api hls-refactor-plugin lens lsp mtl text transformers
@@ -149748,8 +150261,8 @@ self: {
}:
mkDerivation {
pname = "hls-graph";
- version = "2.5.0.0";
- sha256 = "1w35z067cdbj5addz3qslg7494gzvv2gfn1y3ximg3y2y5z8xfgr";
+ version = "2.6.0.0";
+ sha256 = "0rlljbaa20randbl4vmjh5y88fb0ajvb7c9qzwa1d3pk9whxaxkw";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
aeson async base bytestring containers deepseq directory exceptions
@@ -149788,8 +150301,8 @@ self: {
}:
mkDerivation {
pname = "hls-hlint-plugin";
- version = "2.5.0.0";
- sha256 = "15hggsv0z1xj21bq04chdvp0ksb6887hhbn5nlb7w0lvs3g7di18";
+ version = "2.6.0.0";
+ sha256 = "0ix89wp8nq3iywh6d3w8j7lnfm2g3l9gks8sxkww0z0mfhfxvywc";
libraryHaskellDepends = [
aeson apply-refact base binary bytestring containers data-default
deepseq Diff directory extra filepath ghc-exactprint ghc-lib-parser
@@ -149812,8 +150325,8 @@ self: {
}:
mkDerivation {
pname = "hls-module-name-plugin";
- version = "2.5.0.0";
- sha256 = "0fcpm4qb0wwy4svqa8rjxld4swahgnsbyg8gcmrc3669q4jmcy0x";
+ version = "2.6.0.0";
+ sha256 = "1nfa7jcd6nadqc5s5604vndl32vrijmsay89ymdfhchdqb6f40vz";
libraryHaskellDepends = [
aeson base containers directory filepath ghcide hls-plugin-api lsp
text transformers unordered-containers
@@ -149830,8 +150343,8 @@ self: {
}:
mkDerivation {
pname = "hls-ormolu-plugin";
- version = "2.5.0.0";
- sha256 = "0llg94i4v12v88zlgnqzb1qimni4kipn61pgp5ahimsh3g6gw66d";
+ version = "2.6.0.0";
+ sha256 = "0cnp89ss3gp2jacim5gcal5rc80ksif84laydq6k7fpnaj5d3ix2";
libraryHaskellDepends = [
base extra filepath ghc ghc-boot-th ghcide hls-plugin-api lens lsp
mtl ormolu process-extras text transformers
@@ -149853,8 +150366,8 @@ self: {
}:
mkDerivation {
pname = "hls-overloaded-record-dot-plugin";
- version = "2.5.0.0";
- sha256 = "11w9fqw8rqivk0scqs4r64z2khhlhamfs212yf35m6xd50cq7ss6";
+ version = "2.6.0.0";
+ sha256 = "0vlbfsidrjnga56b655swb6k8jzg5406m06q8wxkngqbfij7x6fh";
libraryHaskellDepends = [
aeson base containers deepseq ghc-boot-th ghcide hls-graph
hls-plugin-api lens lsp syb text transformers unordered-containers
@@ -149879,8 +150392,8 @@ self: {
}:
mkDerivation {
pname = "hls-plugin-api";
- version = "2.5.0.0";
- sha256 = "0p1hx160sb26fnzdsq5x5n8lb750m95fmjgz3k0sysdfljkpw0b7";
+ version = "2.6.0.0";
+ sha256 = "10i46ylhfzzw8hm9d6v27086781iql114z4j1dpp237lxdw7wkf4";
libraryHaskellDepends = [
aeson base co-log-core containers data-default dependent-map
dependent-sum Diff dlist extra filepath ghc hashable hls-graph
@@ -149906,8 +150419,8 @@ self: {
}:
mkDerivation {
pname = "hls-pragmas-plugin";
- version = "2.5.0.0";
- sha256 = "0f8qdqsgkdra1pin7rbnwg9jbkg6m9g066bg8xakm0px2mdfl2ml";
+ version = "2.6.0.0";
+ sha256 = "00czk9k2md0r7lcxcmspfzm2yksw2z0wg8j7vakn50cvvrnfn9b3";
libraryHaskellDepends = [
base containers extra fuzzy ghc ghcide hls-plugin-api lens lsp text
transformers unordered-containers
@@ -149926,8 +150439,8 @@ self: {
}:
mkDerivation {
pname = "hls-qualify-imported-names-plugin";
- version = "2.5.0.0";
- sha256 = "04qmkz68mmp0407libdb7k53a3m9i6ykcp0izp386l6r2sgqrhjx";
+ version = "2.6.0.0";
+ sha256 = "08jghxaf020wk23404m198bk9d6brpl5nbmvjsdm34cic3ag42m6";
libraryHaskellDepends = [
aeson base containers deepseq dlist ghc ghcide hls-graph
hls-plugin-api lens lsp text transformers unordered-containers
@@ -149949,8 +150462,8 @@ self: {
}:
mkDerivation {
pname = "hls-refactor-plugin";
- version = "2.5.0.0";
- sha256 = "12zgy7dm8xvj5r8wkxbpb2ksszlp3w2aps3yfyz6j7np7y6c776s";
+ version = "2.6.0.0";
+ sha256 = "0lqy7c3vqn832gs9z86n4clsqb6g73rhnlrvn3sg3h8hkxasfzjf";
libraryHaskellDepends = [
aeson base bytestring containers data-default deepseq dlist extra
ghc ghc-boot ghc-exactprint ghcide hls-graph hls-plugin-api lens
@@ -149997,8 +150510,8 @@ self: {
}:
mkDerivation {
pname = "hls-rename-plugin";
- version = "2.5.0.0";
- sha256 = "0aaxvvvib34lz59ha097rx9kzf8s6cyycsmgn97c2da62hikyf3d";
+ version = "2.6.0.0";
+ sha256 = "15gjh7r9cc43yz1zp52q349fag4nxv25vhzn5pdma4ch366xyr4g";
libraryHaskellDepends = [
base containers extra ghc ghc-exactprint ghcide hashable hie-compat
hiedb hls-plugin-api hls-refactor-plugin lens lsp lsp-types mod mtl
@@ -150020,8 +150533,8 @@ self: {
}:
mkDerivation {
pname = "hls-retrie-plugin";
- version = "2.5.0.0";
- sha256 = "0j0gg3cma80kr6ip83v5yb22n3l6h8iqdn9rk30h8g45xyngydmb";
+ version = "2.6.0.0";
+ sha256 = "11cl4q79jxl73s62ccdarp1570k95picgn3q8lgnqznc6ywdv3zh";
libraryHaskellDepends = [
aeson base bytestring containers deepseq directory extra ghc ghcide
hashable hls-plugin-api hls-refactor-plugin lens lsp lsp-types mtl
@@ -150060,6 +150573,32 @@ self: {
broken = true;
}) {};
+ "hls-semantic-tokens-plugin" = callPackage
+ ({ mkDerivation, aeson, array, base, bytestring, containers
+ , data-default, deepseq, extra, filepath, ghc, ghcide
+ , ghcide-test-utils, hiedb, hls-graph, hls-plugin-api
+ , hls-test-utils, lens, lsp, lsp-test, mtl, sqlite-simple, syb
+ , template-haskell, text, text-rope, transformers
+ , unordered-containers
+ }:
+ mkDerivation {
+ pname = "hls-semantic-tokens-plugin";
+ version = "2.6.0.0";
+ sha256 = "1algv6y1jcqzxxkpjs1c5zh0rpiw9zwpp8z8z3fh4qa0ylcsl0h5";
+ libraryHaskellDepends = [
+ aeson array base bytestring containers data-default deepseq extra
+ ghcide hiedb hls-graph hls-plugin-api lens lsp mtl sqlite-simple
+ syb template-haskell text transformers unordered-containers
+ ];
+ testHaskellDepends = [
+ aeson base bytestring containers data-default extra filepath ghc
+ ghcide ghcide-test-utils hls-plugin-api hls-test-utils lens lsp
+ lsp-test template-haskell text text-rope
+ ];
+ description = "Call hierarchy plugin for Haskell Language Server";
+ license = lib.licenses.asl20;
+ }) {};
+
"hls-splice-plugin" = callPackage
({ mkDerivation, aeson, base, containers, dlist, extra, filepath
, foldl, ghc, ghc-exactprint, ghcide, hls-plugin-api
@@ -150069,8 +150608,8 @@ self: {
}:
mkDerivation {
pname = "hls-splice-plugin";
- version = "2.5.0.0";
- sha256 = "1lygi715cvnkxx5ajk1k15w6rbjb50jaa0ryfbxq56ngddfxnd0h";
+ version = "2.6.0.0";
+ sha256 = "00fx8rxdnmam0672vb0az2lw5inqyc22cjfrh8wiwx36i28r8zqj";
libraryHaskellDepends = [
aeson base containers dlist extra foldl ghc ghc-exactprint ghcide
hls-plugin-api hls-refactor-plugin lens lsp mtl retrie syb text
@@ -150085,17 +150624,17 @@ self: {
"hls-stan-plugin" = callPackage
({ mkDerivation, aeson, base, containers, data-default, deepseq
- , filepath, ghc, ghcide, hashable, hie-compat, hls-plugin-api
- , hls-test-utils, lens, lsp-types, stan, text, transformers
- , unordered-containers
+ , directory, filepath, ghc, ghcide, hashable, hie-compat
+ , hls-plugin-api, hls-test-utils, lens, lsp-types, stan, text
+ , transformers, trial, unordered-containers
}:
mkDerivation {
pname = "hls-stan-plugin";
- version = "2.5.0.0";
- sha256 = "15xfgqg24qbnzlj3pkf5qvpxmiwf5a3wzh6gznwg502fcm98i1yh";
+ version = "2.6.0.0";
+ sha256 = "1zhw2ysf5ccsrz1vahff6hr683b581v4py2pyf9xfnjfay5gl0id";
libraryHaskellDepends = [
- base containers data-default deepseq ghc ghcide hashable hie-compat
- hls-plugin-api lsp-types stan text transformers
+ base containers data-default deepseq directory ghc ghcide hashable
+ hie-compat hls-plugin-api lsp-types stan text transformers trial
unordered-containers
];
testHaskellDepends = [
@@ -150113,8 +150652,8 @@ self: {
}:
mkDerivation {
pname = "hls-stylish-haskell-plugin";
- version = "2.5.0.0";
- sha256 = "19zf5lm9sdcpzyvffwq92am9yfbi060sprj57s085a4f08ng1f2d";
+ version = "2.6.0.0";
+ sha256 = "171068mmb7sdk14s5v794jc0n0mrrq8fkzp2z2wlrmk38mqi3773";
libraryHaskellDepends = [
base directory filepath ghc ghc-boot-th ghcide hls-plugin-api
lsp-types mtl stylish-haskell text
@@ -150145,8 +150684,8 @@ self: {
}:
mkDerivation {
pname = "hls-test-utils";
- version = "2.5.0.0";
- sha256 = "1m9kp80wsq6yjjvam9cdpbzb1j20kp2w3fgvmd7mrivkbwlblzz0";
+ version = "2.6.0.0";
+ sha256 = "0n02ar6rbrkljni3x6dnj6cglsjsrdqq952l2va0ssygbvis0iwr";
libraryHaskellDepends = [
aeson async base blaze-markup bytestring containers data-default
directory extra filepath ghcide hls-graph hls-plugin-api lens lsp
@@ -150421,7 +150960,6 @@ self: {
libraryHaskellDepends = [ base hmatrix repa vector ];
description = "Adaptors for interoperability between hmatrix and repa";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"hmatrix-sparse" = callPackage
@@ -150732,6 +151270,8 @@ self: {
pname = "hmm-lapack";
version = "0.5.0.1";
sha256 = "0im754fgqzi28snwf9bxkj4i1g69c0q8knzjvpmbrs79d5rncpxk";
+ revision = "2";
+ editedCabalFile = "1jg5qpdwi186vm87b0dm5gzqivlpc1q2lwi9vangxah1lfhd898r";
libraryHaskellDepends = [
base comfort-array comfort-array-shape containers deepseq
explicit-exception fixed-length lapack lazy-csv netlib-ffi
@@ -150912,6 +151452,7 @@ self: {
description = "Haskell NetCDF library";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {inherit (pkgs) netcdf;};
"hnix" = callPackage
@@ -151202,20 +151743,20 @@ self: {
}:
mkDerivation {
pname = "hoauth2";
- version = "2.8.0";
- sha256 = "1xndl9cl0j1mn18lgjrp7crys9vlz0gznp7fijazawa5x84xjfpp";
+ version = "2.10.0";
+ sha256 = "13skl9zc3p0jnn2p10xm21kp5adqjl48nfx6v312yfvd9md5h0kq";
libraryHaskellDepends = [
aeson base base64 binary bytestring containers cryptonite
data-default exceptions http-conduit http-types memory microlens
text transformers uri-bytestring uri-bytestring-aeson
];
- testHaskellDepends = [ aeson base hspec ];
+ testHaskellDepends = [ aeson base hspec uri-bytestring ];
testToolDepends = [ hspec-discover ];
description = "Haskell OAuth2 authentication client";
license = lib.licenses.mit;
}) {};
- "hoauth2_2_10_0" = callPackage
+ "hoauth2_2_12_0" = callPackage
({ mkDerivation, aeson, base, base64, binary, bytestring
, containers, cryptonite, data-default, exceptions, hspec
, hspec-discover, http-conduit, http-types, memory, microlens, text
@@ -151223,8 +151764,8 @@ self: {
}:
mkDerivation {
pname = "hoauth2";
- version = "2.10.0";
- sha256 = "13skl9zc3p0jnn2p10xm21kp5adqjl48nfx6v312yfvd9md5h0kq";
+ version = "2.12.0";
+ sha256 = "10v89bnwfzpp23r4skww2h2gi62lhzgyvig4icc5ayx7pdbn5m82";
libraryHaskellDepends = [
aeson base base64 binary bytestring containers cryptonite
data-default exceptions http-conduit http-types memory microlens
@@ -151245,8 +151786,8 @@ self: {
}:
mkDerivation {
pname = "hoauth2-demo";
- version = "1.8.0";
- sha256 = "12mmbklh8a3wkm7dpmighqibk1ib5z0f444pl3g8i79abaj75k7b";
+ version = "1.10.0";
+ sha256 = "0wf5jmb2smnrz7vxnxc0966zf03dw45jcvc55wrxmrfhbiiq1a6m";
isLibrary = false;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -151270,8 +151811,8 @@ self: {
}:
mkDerivation {
pname = "hoauth2-providers";
- version = "0.4.0";
- sha256 = "1c29cy0317dbigwhf0rrnnm9hm3w3v10dpsilm03g4xfjmdqvzdv";
+ version = "0.6.0";
+ sha256 = "19qn6099jpzk14axzs7w3bg99in8y79gv03k527z50l7c4w7920c";
libraryHaskellDepends = [
aeson base bytestring containers cryptonite hoauth2 HsOpenSSL
http-conduit http-types jose-jwt mtl text time transformers
@@ -151292,8 +151833,8 @@ self: {
}:
mkDerivation {
pname = "hoauth2-providers-tutorial";
- version = "0.4.0";
- sha256 = "1pdnsjzx2bni1vw4w7i5d39nih5bkrybgpya568ycw52bpi8jnmn";
+ version = "0.6.0";
+ sha256 = "050yvl12c568ichznkplv56hyq63adifn0vs6j9ajfllfpfxz1dz";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -151314,8 +151855,8 @@ self: {
}:
mkDerivation {
pname = "hoauth2-tutorial";
- version = "0.4.0";
- sha256 = "00nr2w8yqhmf9i4i8d7yfzwb0fwdmqcbwc37k0r9js9qxc5b1wqr";
+ version = "0.6.0";
+ sha256 = "1sw8n8v9m9yhjn6pm7sws18dwjn10k4l13jds1j2qrv7mkyhcnpw";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
@@ -151396,6 +151937,31 @@ self: {
broken = true;
}) {};
+ "hocd" = callPackage
+ ({ mkDerivation, attoparsec, base, bytestring, containers
+ , data-default-class, exceptions, hspec, hspec-discover, mtl
+ , network, raw-strings-qq, text, transformers
+ }:
+ mkDerivation {
+ pname = "hocd";
+ version = "0.1.3.0";
+ sha256 = "1bs7a6fm4rkjpxp2wwbw46r8drjjx1bydafqn2n8yc7l8ci32ah2";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ attoparsec base bytestring containers data-default-class exceptions
+ mtl network transformers
+ ];
+ executableHaskellDepends = [ base text ];
+ testHaskellDepends = [
+ attoparsec base bytestring containers hspec raw-strings-qq
+ ];
+ testToolDepends = [ hspec-discover ];
+ description = "OpenOCD Haskell interface";
+ license = lib.licenses.bsd3;
+ mainProgram = "hocd-read-mem";
+ }) {};
+
"hocilib" = callPackage
({ mkDerivation, base, bytestring, c2hs, containers, inline-c
, ocilib, tasty, tasty-hunit, template-haskell
@@ -151662,6 +152228,8 @@ self: {
libraryHaskellDepends = [ base either mtl ];
description = "Some convenience facilities for hoisting errors into a monad";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"hol" = callPackage
@@ -152230,29 +152798,29 @@ self: {
"hoogle" = callPackage
({ mkDerivation, aeson, base, binary, blaze-html, blaze-markup
- , bytestring, cmdargs, conduit, conduit-extra, connection
- , containers, deepseq, directory, extra, filepath, foundation
- , hashable, haskell-src-exts, http-conduit, http-types, js-flot
- , js-jquery, mmap, old-locale, process-extras, QuickCheck
- , resourcet, storable-tuple, tar, template-haskell, text, time
- , transformers, uniplate, utf8-string, vector, wai, wai-logger
- , warp, warp-tls, zlib
+ , bytestring, cmdargs, conduit, conduit-extra, containers
+ , crypton-connection, deepseq, directory, extra, filepath
+ , foundation, hashable, haskell-src-exts, http-conduit, http-types
+ , js-flot, js-jquery, mmap, old-locale, process-extras, QuickCheck
+ , resourcet, safe, storable-tuple, tar, template-haskell, text
+ , time, transformers, uniplate, utf8-string, vector, wai
+ , wai-logger, warp, warp-tls, zlib
}:
mkDerivation {
pname = "hoogle";
- version = "5.0.18.3";
- sha256 = "0v6k75w0an9pqgb7a6cicnpf9rz77xd2lmxfbafc5l4f99jg83bn";
+ version = "5.0.18.4";
+ sha256 = "08z32d87vqzhapb2vw21h25jb2g74csxlpvd8f54xl91k3ijs3wx";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
libraryHaskellDepends = [
aeson base binary blaze-html blaze-markup bytestring cmdargs
- conduit conduit-extra connection containers deepseq directory extra
- filepath foundation hashable haskell-src-exts http-conduit
- http-types js-flot js-jquery mmap old-locale process-extras
- QuickCheck resourcet storable-tuple tar template-haskell text time
- transformers uniplate utf8-string vector wai wai-logger warp
- warp-tls zlib
+ conduit conduit-extra containers crypton-connection deepseq
+ directory extra filepath foundation hashable haskell-src-exts
+ http-conduit http-types js-flot js-jquery mmap old-locale
+ process-extras QuickCheck resourcet safe storable-tuple tar
+ template-haskell text time transformers uniplate utf8-string vector
+ wai wai-logger warp warp-tls zlib
];
executableHaskellDepends = [ base ];
testTarget = "--test-option=--no-net";
@@ -152448,6 +153016,8 @@ self: {
executableToolDepends = [ alex happy ];
description = "hOpenPGP-based command-line tools";
license = lib.licenses.agpl3Plus;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"hopenssl" = callPackage
@@ -152923,12 +153493,12 @@ self: {
, hs-opentelemetry-sdk, hs-opentelemetry-utils-exceptions
, hs-opentelemetry-vendor-honeycomb, http-types
, optparse-applicative, posix-escape, text, time, typed-process
- , unliftio
+ , unliftio, unordered-containers
}:
mkDerivation {
pname = "hotel-california";
- version = "0.0.3.0";
- sha256 = "11r2bd9ralfv2vzd5ikw83bgz014hhs36g4bxfjxk5gqq65fkkh1";
+ version = "0.0.4.0";
+ sha256 = "169i39gmm6dlf7yq3jvx87fba2i179brhvnmpg7pqdnnclgjjklh";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -152936,21 +153506,21 @@ self: {
hs-opentelemetry-propagator-w3c hs-opentelemetry-sdk
hs-opentelemetry-utils-exceptions hs-opentelemetry-vendor-honeycomb
http-types optparse-applicative posix-escape text time
- typed-process unliftio
+ typed-process unliftio unordered-containers
];
executableHaskellDepends = [
base bytestring hs-opentelemetry-api hs-opentelemetry-exporter-otlp
hs-opentelemetry-propagator-w3c hs-opentelemetry-sdk
hs-opentelemetry-utils-exceptions hs-opentelemetry-vendor-honeycomb
http-types optparse-applicative posix-escape text time
- typed-process unliftio
+ typed-process unliftio unordered-containers
];
testHaskellDepends = [
base bytestring hs-opentelemetry-api hs-opentelemetry-exporter-otlp
hs-opentelemetry-propagator-w3c hs-opentelemetry-sdk
hs-opentelemetry-utils-exceptions hs-opentelemetry-vendor-honeycomb
http-types optparse-applicative posix-escape text time
- typed-process unliftio
+ typed-process unliftio unordered-containers
];
license = lib.licenses.bsd3;
mainProgram = "hotel";
@@ -153179,47 +153749,6 @@ self: {
}) {};
"hpack" = callPackage
- ({ mkDerivation, aeson, base, bifunctors, bytestring, Cabal
- , containers, cryptonite, deepseq, directory, filepath, Glob, hspec
- , hspec-discover, http-client, http-client-tls, http-types, HUnit
- , infer-license, interpolate, mockery, pretty, QuickCheck
- , scientific, template-haskell, temporary, text, transformers
- , unordered-containers, vector, yaml
- }:
- mkDerivation {
- pname = "hpack";
- version = "0.35.2";
- sha256 = "1v4h5dkbfwx8wlmbaq76av22ald9iyk80k8k7pz808nw30yh3dq3";
- revision = "2";
- editedCabalFile = "0vwxfg5ixlr18q8gb1x8vz3grp339cbnhm51hfp7rk6vc0bd61k5";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- aeson base bifunctors bytestring Cabal containers cryptonite
- deepseq directory filepath Glob http-client http-client-tls
- http-types infer-license pretty scientific text transformers
- unordered-containers vector yaml
- ];
- executableHaskellDepends = [
- aeson base bifunctors bytestring Cabal containers cryptonite
- deepseq directory filepath Glob http-client http-client-tls
- http-types infer-license pretty scientific text transformers
- unordered-containers vector yaml
- ];
- testHaskellDepends = [
- aeson base bifunctors bytestring Cabal containers cryptonite
- deepseq directory filepath Glob hspec http-client http-client-tls
- http-types HUnit infer-license interpolate mockery pretty
- QuickCheck scientific template-haskell temporary text transformers
- unordered-containers vector yaml
- ];
- testToolDepends = [ hspec-discover ];
- description = "A modern format for Haskell packages";
- license = lib.licenses.mit;
- mainProgram = "hpack";
- }) {};
-
- "hpack_0_36_0" = callPackage
({ mkDerivation, aeson, base, bifunctors, bytestring, Cabal
, containers, crypton, deepseq, directory, filepath, Glob, hspec
, hspec-discover, http-client, http-client-tls, http-types, HUnit
@@ -153257,7 +153786,6 @@ self: {
testToolDepends = [ hspec-discover ];
description = "A modern format for Haskell packages";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
mainProgram = "hpack";
}) {};
@@ -153534,14 +154062,14 @@ self: {
license = lib.licenses.bsd3;
}) {};
- "hpc_0_7_0_0" = callPackage
+ "hpc_0_7_0_1" = callPackage
({ mkDerivation, base, containers, deepseq, directory, filepath
, time
}:
mkDerivation {
pname = "hpc";
- version = "0.7.0.0";
- sha256 = "0y3d43r4wl07w9wl6fgrnmpi0lpv0ai61r4wb3cmnx2divqmm3q0";
+ version = "0.7.0.1";
+ sha256 = "1h8vifsx310zqf0sxh63a9z4lv7ymyncrlbba46si37mb75if66s";
libraryHaskellDepends = [
base containers deepseq directory filepath time
];
@@ -153551,28 +154079,6 @@ self: {
}) {};
"hpc-codecov" = callPackage
- ({ mkDerivation, array, base, bytestring, directory, filepath, hpc
- , process, tasty, tasty-hunit
- }:
- mkDerivation {
- pname = "hpc-codecov";
- version = "0.3.0.0";
- sha256 = "0999qfm9866gwqk4sx6av21rwbbirvdj5lr4ffl25zimwvirsbd2";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- array base bytestring directory filepath hpc
- ];
- executableHaskellDepends = [ base ];
- testHaskellDepends = [
- base directory filepath process tasty tasty-hunit
- ];
- description = "Generate codecov report from hpc data";
- license = lib.licenses.bsd3;
- mainProgram = "hpc-codecov";
- }) {};
-
- "hpc-codecov_0_5_0_0" = callPackage
({ mkDerivation, array, base, bytestring, containers, directory
, filepath, hpc, process, tasty, tasty-golden, tasty-hunit, time
}:
@@ -153591,7 +154097,6 @@ self: {
];
description = "Generate reports from hpc data";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
mainProgram = "hpc-codecov";
}) {};
@@ -153629,10 +154134,8 @@ self: {
}:
mkDerivation {
pname = "hpc-lcov";
- version = "1.1.1";
- sha256 = "0wwcg3s0vd0mj2pkkrv3vf16x7l39chz14xniqsm6v3yw3sfi4dq";
- revision = "1";
- editedCabalFile = "102ynl0z1w7s98h1ry9sqj5vm298hvxaq6l8hh6pl45rgq40k8jd";
+ version = "1.1.2";
+ sha256 = "1bmm0nq1m6xmi5g5zyycrfi8xlxh6ip2mi32z8bp9pyjrn7jy9pv";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [ base containers hpc ];
@@ -153885,8 +154388,8 @@ self: {
}:
mkDerivation {
pname = "hpqtypes-effectful";
- version = "1.0.0.1";
- sha256 = "1785fl28yqn3z0jaf57pxrlydswv7r88663ml5x4gdnsp9xc9scj";
+ version = "1.0.1.0";
+ sha256 = "1gqi9cf5k8radhsya1s8936wpqpawjis9qfvb62b5cqrc4hvy26f";
libraryHaskellDepends = [
base effectful-core exceptions hpqtypes
];
@@ -153985,32 +154488,26 @@ self: {
"hprox" = callPackage
({ mkDerivation, async, base, base64-bytestring, binary, bytestring
- , case-insensitive, conduit, conduit-extra, crypton, dns
- , fast-logger, http-client, http-client-tls, http-reverse-proxy
- , http-types, http2, optparse-applicative, random, tls
- , tls-session-manager, unordered-containers, wai, wai-extra, warp
- , warp-tls
+ , case-insensitive, conduit, conduit-extra, crypton
+ , data-default-class, dns, fast-logger, http-client
+ , http-client-tls, http-reverse-proxy, http-types, http2
+ , optparse-applicative, random, text, tls, tls-session-manager
+ , unix, unordered-containers, wai, wai-extra, warp, warp-tls
}:
mkDerivation {
pname = "hprox";
- version = "0.6.0";
- sha256 = "1m9n0z7yjd81kn13ps5bgnw7zfpz4p832wwidqhqbv9yc3m6sjfy";
+ version = "0.6.2";
+ sha256 = "118758mwqwf22i5y7iawqqwibyljfn5cxlx6hjbz1xgxcvchvm65";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
async base base64-bytestring binary bytestring case-insensitive
- conduit conduit-extra crypton dns fast-logger http-client
- http-client-tls http-reverse-proxy http-types http2
- optparse-applicative random tls tls-session-manager
- unordered-containers wai wai-extra warp warp-tls
- ];
- executableHaskellDepends = [
- async base base64-bytestring binary bytestring case-insensitive
- conduit conduit-extra crypton dns fast-logger http-client
- http-client-tls http-reverse-proxy http-types http2
- optparse-applicative random tls tls-session-manager
+ conduit conduit-extra crypton data-default-class dns fast-logger
+ http-client http-client-tls http-reverse-proxy http-types http2
+ optparse-applicative random text tls tls-session-manager unix
unordered-containers wai wai-extra warp warp-tls
];
+ executableHaskellDepends = [ base bytestring http-types wai ];
description = "a lightweight HTTP proxy server, and more";
license = lib.licenses.asl20;
mainProgram = "hprox";
@@ -154216,11 +154713,11 @@ self: {
({ mkDerivation, base, time }:
mkDerivation {
pname = "hquantlib-time";
- version = "0.0.5.2";
- sha256 = "04gzlh3qcbxs1659fgl2l45j16g4m5c4gasd0vwrn2wpskr9w38k";
+ version = "0.1.0";
+ sha256 = "1a526r49anxri1ms8zyhc4giiidiw5sd5qhpndz6gq3kax3jfja4";
libraryHaskellDepends = [ base time ];
description = "HQuantLib Time is a business calendar functions extracted from HQuantLib";
- license = "LGPL";
+ license = lib.licenses.lgpl3Plus;
hydraPlatforms = lib.platforms.none;
broken = true;
}) {};
@@ -154574,6 +155071,8 @@ self: {
testHaskellDepends = [ base HUnit ];
description = "A Haskell framework for parallel monte carlo simulations";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"hs-carbon-examples" = callPackage
@@ -154756,6 +155255,7 @@ self: {
libraryHaskellDepends = [ base dual tagged transformers ];
description = "Functors from products of Haskell and its dual to Haskell";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"hs-gchart" = callPackage
@@ -154828,6 +155328,7 @@ self: {
libraryHaskellDepends = [ base hs-functors ];
description = "Indexed applicative functors and monads";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"hs-java" = callPackage
@@ -154960,8 +155461,8 @@ self: {
({ mkDerivation, base, containers, emojis, text }:
mkDerivation {
pname = "hs-openmoji-data";
- version = "14.0.0";
- sha256 = "1slaaahm22mrc91g8j3c3m1ln5hjhin0cr8nspi53ykl7h4rb41h";
+ version = "15.0.0";
+ sha256 = "09svghs0bd01nl7aw19yykm6kcz014sn2mwhavhrzgb1ncf9mh4h";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [ base text ];
@@ -155503,6 +156004,7 @@ self: {
libraryHaskellDepends = [ base hs-functors ];
description = "Profunctors from Haskell to Haskell";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"hs-re" = callPackage
@@ -155873,6 +156375,7 @@ self: {
benchmarkHaskellDepends = [ base criterion ];
description = "Create ATS types from Haskell types";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "hs2ats";
}) {};
@@ -156030,7 +156533,9 @@ self: {
];
description = "Akamai API(Edgegrid and Netstorage)";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "purge";
+ broken = true;
}) {};
"hsaml2" = callPackage
@@ -156242,19 +156747,17 @@ self: {
}) {};
"hsblst" = callPackage
- ({ mkDerivation, base, bytestring, c2hs, deepseq, hex-text, memory
- , tasty, tasty-discover, tasty-hunit, text
+ ({ mkDerivation, base, base16-bytestring, bytestring, c2hs, deepseq
+ , memory, tasty, tasty-discover, tasty-hunit, text
}:
mkDerivation {
pname = "hsblst";
- version = "0.0.2";
- sha256 = "08sj5r714rzkdbvx8bzhk3lvim7jiaxbpj4xpz58bxx13ds2dxni";
- revision = "1";
- editedCabalFile = "14bj4m38786x7mjddfxyyjv218jmnqhd7ipinq03hbwa2drx3jij";
+ version = "0.0.3";
+ sha256 = "0pf35cyb0m06frcb3ralzq3wzmhb3r5zyzx330gxkz3gw6b5qwig";
libraryHaskellDepends = [ base deepseq memory ];
libraryToolDepends = [ c2hs ];
testHaskellDepends = [
- base bytestring hex-text memory tasty tasty-hunit text
+ base base16-bytestring bytestring memory tasty tasty-hunit text
];
testToolDepends = [ tasty-discover ];
description = "Haskell bindings to BLST";
@@ -156907,22 +157410,6 @@ self: {
}) {};
"hscolour" = callPackage
- ({ mkDerivation, base, containers }:
- mkDerivation {
- pname = "hscolour";
- version = "1.24.4";
- sha256 = "079jwph4bwllfp03yfr26s5zc6m6kw3nhb1cggrifh99haq34cr4";
- isLibrary = true;
- isExecutable = true;
- enableSeparateDataOutput = true;
- libraryHaskellDepends = [ base containers ];
- executableHaskellDepends = [ base containers ];
- description = "Colourise Haskell code";
- license = "LGPL";
- mainProgram = "HsColour";
- }) {};
-
- "hscolour_1_25" = callPackage
({ mkDerivation, base, containers }:
mkDerivation {
pname = "hscolour";
@@ -156935,7 +157422,6 @@ self: {
executableHaskellDepends = [ base containers ];
description = "Colourise Haskell code";
license = "LGPL";
- hydraPlatforms = lib.platforms.none;
mainProgram = "HsColour";
}) {};
@@ -157187,6 +157673,22 @@ self: {
maintainers = [ lib.maintainers.peti ];
}) {};
+ "hsemail_2_2_2" = callPackage
+ ({ mkDerivation, base, hspec, parsec, time, time-compat }:
+ mkDerivation {
+ pname = "hsemail";
+ version = "2.2.2";
+ sha256 = "0wp6nicpjrlwgrqglpww3ny728i5ac68kdnj1d6vf62qhh223wg1";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [ base parsec time time-compat ];
+ testHaskellDepends = [ base hspec parsec time ];
+ description = "Parsec parsers for the Internet Message format (e-mail)";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ maintainers = [ lib.maintainers.peti ];
+ }) {};
+
"hsemail-ns" = callPackage
({ mkDerivation, base, doctest, hspec, mtl, old-time, parsec }:
mkDerivation {
@@ -157753,8 +158255,8 @@ self: {
}:
mkDerivation {
pname = "hslua";
- version = "2.3.0";
- sha256 = "1cw7j3qnvffx7a9h9x71frxlch421ssiggq7fiqr3j8jy12nphag";
+ version = "2.3.1";
+ sha256 = "096x45rz1czsnilpn3my5vyafw9dn8qdnmf0apz0q3y3wa4840j9";
libraryHaskellDepends = [
base bytestring containers exceptions hslua-aeson hslua-classes
hslua-core hslua-marshalling hslua-objectorientation
@@ -157778,8 +158280,8 @@ self: {
}:
mkDerivation {
pname = "hslua-aeson";
- version = "2.3.0.1";
- sha256 = "1fnmzy7s6ry1if61l44a17w681fjzb35rpv1ilfzrf9qfn2dp2l3";
+ version = "2.3.1";
+ sha256 = "1wh6lih9x555vb6mdw8z8968kd88hw9mbxqq4cyqnk6rjl95vjdz";
libraryHaskellDepends = [
aeson base bytestring containers hashable hslua-core
hslua-marshalling mtl scientific text unordered-containers vector
@@ -157801,8 +158303,8 @@ self: {
}:
mkDerivation {
pname = "hslua-classes";
- version = "2.3.0";
- sha256 = "1nfc5jmrla3fv4bacbihana9lvwylhkk2jg6212dv0sdfrll9kcp";
+ version = "2.3.1";
+ sha256 = "185lynrinz1y38346b80jx8ag77ka53sg606wdlgzsqrx7rk66kq";
libraryHaskellDepends = [
base bytestring containers exceptions hslua-core hslua-marshalling
text
@@ -157822,8 +158324,8 @@ self: {
}:
mkDerivation {
pname = "hslua-cli";
- version = "1.4.1";
- sha256 = "18bf1jvrzagdazwxznjngadvybv1amciql6g4ac0iwddlqfvdwg6";
+ version = "1.4.2";
+ sha256 = "0hj6j673qcv8ffiza263b0b3r5br6ak0nl61g40km7jxmf293n7v";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -157841,8 +158343,8 @@ self: {
}:
mkDerivation {
pname = "hslua-core";
- version = "2.3.1";
- sha256 = "19dg418pr48middc6r11diwdba8g0511vis47w8zr4c7kgfiichy";
+ version = "2.3.2";
+ sha256 = "0h3d2r5wkbz0d2gylmc282mn0c7b7bfglmchr5hs7vq20206zv0l";
libraryHaskellDepends = [
base bytestring exceptions lua mtl text
];
@@ -157886,8 +158388,8 @@ self: {
}:
mkDerivation {
pname = "hslua-marshalling";
- version = "2.3.0";
- sha256 = "04352ypmw063waxgxg4dsg8p5lpzsic7shn4q1dk48blw0x0c0gc";
+ version = "2.3.1";
+ sha256 = "0v7hpzhj24zif89x4h2j3ji1ch7qifj7xh4r1rfvbsg48pzxjj89";
libraryHaskellDepends = [
base bytestring containers hslua-core mtl text
];
@@ -157906,8 +158408,8 @@ self: {
}:
mkDerivation {
pname = "hslua-module-doclayout";
- version = "1.1.0";
- sha256 = "1l64ylzh18s09b9jjkz163vphrymkqf0g0l5v7rlzdkl9aa09ck1";
+ version = "1.1.1";
+ sha256 = "051rvq7x8cnby0fv14i9qy0j1d46rkmwbx5b09nqgb51i03wsivf";
libraryHaskellDepends = [ base doclayout hslua text ];
testHaskellDepends = [
base doclayout hslua tasty tasty-hunit tasty-lua text
@@ -157922,8 +158424,8 @@ self: {
}:
mkDerivation {
pname = "hslua-module-path";
- version = "1.1.0";
- sha256 = "1cc7n7gnmfhmr7l6p23zdl97cw747mg7i05dinf8g5mb8j9mimdf";
+ version = "1.1.1";
+ sha256 = "035q8ll2bkm25m4q24zby35gy6ihrg21qlqmmk6af8rz09kjyal0";
libraryHaskellDepends = [
base filepath hslua-core hslua-marshalling hslua-packaging text
];
@@ -157942,8 +158444,8 @@ self: {
}:
mkDerivation {
pname = "hslua-module-system";
- version = "1.1.0.1";
- sha256 = "1r8aqgyp4hbqdil7dq9x93dbbwl1mr98lc6y0kxwggq593j6dj06";
+ version = "1.1.1";
+ sha256 = "0adjxcyxb8xc98jj2r6xhbswqkqvs4c40sn4m9gl0bkjhh0nk57q";
libraryHaskellDepends = [
base directory exceptions hslua-core hslua-marshalling
hslua-packaging temporary text
@@ -157961,8 +158463,8 @@ self: {
}:
mkDerivation {
pname = "hslua-module-text";
- version = "1.1.0.1";
- sha256 = "189zm207cg8lfpd41mnd7x26p6cbvgwpmpjrm29q9isqvkk5vn1b";
+ version = "1.1.1";
+ sha256 = "16635kdanaiwn5rdmkaga6d9jhw8zrvhpnqsyqm0zap98n6b146b";
libraryHaskellDepends = [
base hslua-core hslua-marshalling hslua-packaging text
];
@@ -157979,8 +158481,8 @@ self: {
}:
mkDerivation {
pname = "hslua-module-version";
- version = "1.1.0";
- sha256 = "1n351wyb60mrnx0xq32qx5d9zapfiizpia8whwbvmnldifjvqsx4";
+ version = "1.1.1";
+ sha256 = "0h0a4gk17bi7hh34yh5dva7zz1pyc5b8lm8kij5ri3jnsm259r29";
libraryHaskellDepends = [
base filepath hslua-core hslua-marshalling hslua-packaging text
];
@@ -158000,8 +158502,8 @@ self: {
}:
mkDerivation {
pname = "hslua-module-zip";
- version = "1.1.0";
- sha256 = "0wk3p3gn3c25i4cn5g4bk0vx560gf4k33ckbc55fry1gdkhbxnmh";
+ version = "1.1.1";
+ sha256 = "0cpfric0c5isb8nk6137iwl40x0w0lrynx5rzjyhrcjxawkz1hbx";
libraryHaskellDepends = [
base bytestring filepath hslua-core hslua-list hslua-marshalling
hslua-packaging hslua-typing text time zip-archive
@@ -158023,8 +158525,8 @@ self: {
}:
mkDerivation {
pname = "hslua-objectorientation";
- version = "2.3.0";
- sha256 = "07g600clzh0dp5zsc7v3ki3h4xnrzv2cvjqxy200qbw75n4imdag";
+ version = "2.3.1";
+ sha256 = "1avxiqcr2k4wdi3da1h4qwis589xvvdz0abggcklbigjc08vf90q";
libraryHaskellDepends = [
base bytestring containers exceptions hslua-core hslua-marshalling
hslua-typing mtl text
@@ -158045,8 +158547,8 @@ self: {
}:
mkDerivation {
pname = "hslua-packaging";
- version = "2.3.0";
- sha256 = "1k1zdd6pk61yxdcflzwjgxv19psfjw8b6k6k19jk311s9kjm7fi1";
+ version = "2.3.1";
+ sha256 = "0wr1az0mq0q4xk0x4an0sxsnnjvpcfhcgqdlmp23yylzkbbaxp1n";
libraryHaskellDepends = [
base containers hslua-core hslua-marshalling
hslua-objectorientation hslua-typing mtl text
@@ -158065,8 +158567,8 @@ self: {
}:
mkDerivation {
pname = "hslua-repl";
- version = "0.1.1";
- sha256 = "1kpn8l1pq1p9czc5w3w6v0mm9z94ssa4kn45863w6x8r45gb71ph";
+ version = "0.1.2";
+ sha256 = "00n624vs0509sy8lmnid97nfmlwpi60wzibpkjsj5nbmp0xcsi42";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -158083,8 +158585,8 @@ self: {
}:
mkDerivation {
pname = "hslua-typing";
- version = "0.1.0";
- sha256 = "00lhb84rkzcgzyfq16p1jyhdp060lbfydl9wpysa5q7vwfml11xi";
+ version = "0.1.1";
+ sha256 = "0k09g97ysi5db6a3rdfj2j6wsb12dbhvnbcgqvy686mpa6rwg6j4";
libraryHaskellDepends = [
base containers hslua-core hslua-marshalling text
];
@@ -158385,6 +158887,8 @@ self: {
libraryHaskellDepends = [ base mtl text ];
description = "Haskell Server Pages is a library for writing dynamic server-side web pages";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"hsp-cgi" = callPackage
@@ -158442,6 +158946,8 @@ self: {
pname = "hspear";
version = "0.14";
sha256 = "1h3av9mpgsqfdrd817fz0isqrxn3lxmjyanw33dk9jax136ivi1h";
+ revision = "1";
+ editedCabalFile = "1ipjlmkxd5zxr45na9iiv2pxyfzqzck4zphvd4a2myj0z5p7r472";
libraryHaskellDepends = [
base bytestring bytestring-lexing split utf8-string zlib
];
@@ -158468,21 +158974,6 @@ self: {
}) {};
"hspec" = callPackage
- ({ mkDerivation, base, hspec-core, hspec-discover
- , hspec-expectations, QuickCheck
- }:
- mkDerivation {
- pname = "hspec";
- version = "2.10.10";
- sha256 = "1903bm001vh9cxmhh87p3c76136dl6aq82srqgvdb5hpsmimwjws";
- libraryHaskellDepends = [
- base hspec-core hspec-discover hspec-expectations QuickCheck
- ];
- description = "A Testing Framework for Haskell";
- license = lib.licenses.mit;
- }) {};
-
- "hspec_2_11_7" = callPackage
({ mkDerivation, base, hspec-core, hspec-discover
, hspec-expectations, QuickCheck
}:
@@ -158495,7 +158986,6 @@ self: {
];
description = "A Testing Framework for Haskell";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"hspec-api" = callPackage
@@ -158511,8 +159001,6 @@ self: {
testToolDepends = [ hspec-discover ];
description = "A Testing Framework for Haskell";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
- broken = true;
}) {};
"hspec-attoparsec" = callPackage
@@ -158604,36 +159092,6 @@ self: {
}) {};
"hspec-core" = callPackage
- ({ mkDerivation, ansi-terminal, array, base, base-orphans
- , call-stack, deepseq, directory, filepath, haskell-lexer
- , hspec-expectations, hspec-meta, HUnit, process, QuickCheck
- , quickcheck-io, random, setenv, silently, stm, temporary
- , tf-random, time, transformers
- }:
- mkDerivation {
- pname = "hspec-core";
- version = "2.10.10";
- sha256 = "1djmiy5xjnx71bjagmvipc5dsnvhakm03y72g3vyg7iggxqr6iv4";
- revision = "1";
- editedCabalFile = "0v8byijhsb93ql72n80p6m78yij1axa53fbbnbx1949p5nlzbnnh";
- libraryHaskellDepends = [
- ansi-terminal array base call-stack deepseq directory filepath
- haskell-lexer hspec-expectations HUnit process QuickCheck
- quickcheck-io random setenv stm tf-random time transformers
- ];
- testHaskellDepends = [
- ansi-terminal array base base-orphans call-stack deepseq directory
- filepath haskell-lexer hspec-expectations hspec-meta HUnit process
- QuickCheck quickcheck-io random setenv silently stm temporary
- tf-random time transformers
- ];
- testToolDepends = [ hspec-meta ];
- testTarget = "--test-option=--skip --test-option='Test.Hspec.Core.Runner.hspecResult runs specs in parallel'";
- description = "A Testing Framework for Haskell";
- license = lib.licenses.mit;
- }) {};
-
- "hspec-core_2_11_7" = callPackage
({ mkDerivation, ansi-terminal, array, base, base-orphans
, call-stack, deepseq, directory, filepath, haskell-lexer
, hspec-expectations, hspec-meta, HUnit, process, QuickCheck
@@ -158659,7 +159117,6 @@ self: {
testTarget = "--test-option=--skip --test-option='Test.Hspec.Core.Runner.hspecResult runs specs in parallel'";
description = "A Testing Framework for Haskell";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"hspec-dirstream" = callPackage
@@ -158680,6 +159137,7 @@ self: {
testHaskellDepends = [ base hspec ];
description = "Helper functions to simplify adding integration tests";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"hspec-discover_2_7_10" = callPackage
@@ -158705,28 +159163,6 @@ self: {
}) {};
"hspec-discover" = callPackage
- ({ mkDerivation, base, directory, filepath, hspec-meta, mockery
- , QuickCheck
- }:
- mkDerivation {
- pname = "hspec-discover";
- version = "2.10.10";
- sha256 = "0cig2l1l8wgxrg2s2srzsrws5vqa0fgf249gb1g222x91s63h2d8";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [ base directory filepath ];
- executableHaskellDepends = [ base directory filepath ];
- testHaskellDepends = [
- base directory filepath hspec-meta mockery QuickCheck
- ];
- testToolDepends = [ hspec-meta ];
- description = "Automatically discover and run Hspec tests";
- license = lib.licenses.mit;
- mainProgram = "hspec-discover";
- maintainers = [ lib.maintainers.maralorn ];
- }) {};
-
- "hspec-discover_2_11_7" = callPackage
({ mkDerivation, base, directory, filepath, hspec-meta, mockery
, QuickCheck
}:
@@ -158744,24 +159180,11 @@ self: {
testToolDepends = [ hspec-meta ];
description = "Automatically discover and run Hspec tests";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
mainProgram = "hspec-discover";
maintainers = [ lib.maintainers.maralorn ];
}) {};
"hspec-expectations" = callPackage
- ({ mkDerivation, base, call-stack, HUnit, nanospec }:
- mkDerivation {
- pname = "hspec-expectations";
- version = "0.8.2";
- sha256 = "1vxl9zazbaapijr6zmcj72j9wf7ka1pirrjbwddwwddg3zm0g5l1";
- libraryHaskellDepends = [ base call-stack HUnit ];
- testHaskellDepends = [ base call-stack HUnit nanospec ];
- description = "Catchy combinators for HUnit";
- license = lib.licenses.mit;
- }) {};
-
- "hspec-expectations_0_8_4" = callPackage
({ mkDerivation, base, call-stack, HUnit, nanospec }:
mkDerivation {
pname = "hspec-expectations";
@@ -158773,7 +159196,6 @@ self: {
testHaskellDepends = [ base call-stack HUnit nanospec ];
description = "Catchy combinators for HUnit";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"hspec-expectations-json" = callPackage
@@ -158894,7 +159316,6 @@ self: {
testHaskellDepends = [ base hspec hspec-api hspec-core ];
description = "A Formatter for hspec that provides Github Actions Annotations";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"hspec-golden_0_1_0_3" = callPackage
@@ -158973,24 +159394,6 @@ self: {
}) {};
"hspec-hedgehog" = callPackage
- ({ mkDerivation, base, hedgehog, hspec, hspec-core, HUnit
- , QuickCheck, splitmix
- }:
- mkDerivation {
- pname = "hspec-hedgehog";
- version = "0.0.1.2";
- sha256 = "17gbr4ssnzjk7nvpsnh47av6vd9wz27ax92xvr4jwyw0z7h2wn13";
- revision = "1";
- editedCabalFile = "1qv2gap0775d2zg8wbd3kq4ypziz05qlz5jfisvl3jfd6jzcf2ad";
- libraryHaskellDepends = [
- base hedgehog hspec hspec-core HUnit QuickCheck splitmix
- ];
- testHaskellDepends = [ base hedgehog hspec ];
- description = "Integrate Hedgehog and Hspec!";
- license = lib.licenses.bsd3;
- }) {};
-
- "hspec-hedgehog_0_1_1_0" = callPackage
({ mkDerivation, base, hedgehog, hspec, hspec-core, QuickCheck
, splitmix
}:
@@ -159004,7 +159407,6 @@ self: {
testHaskellDepends = [ base hedgehog hspec ];
description = "Integrate Hedgehog and Hspec!";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"hspec-jenkins" = callPackage
@@ -159126,32 +159528,6 @@ self: {
}) {};
"hspec-meta" = callPackage
- ({ mkDerivation, ansi-terminal, array, base, call-stack, clock
- , deepseq, directory, filepath, ghc, ghc-boot-th, QuickCheck
- , quickcheck-io, random, setenv, stm, time, transformers
- }:
- mkDerivation {
- pname = "hspec-meta";
- version = "2.10.5";
- sha256 = "0jgagvmvp3nvz9vdgvr42x0xv7nnjzz1rshs6x4wzc38qvcrssbn";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- ansi-terminal array base call-stack clock deepseq directory
- filepath ghc ghc-boot-th QuickCheck quickcheck-io random setenv stm
- time transformers
- ];
- executableHaskellDepends = [
- ansi-terminal array base call-stack clock deepseq directory
- filepath ghc ghc-boot-th QuickCheck quickcheck-io random setenv
- time transformers
- ];
- description = "A version of Hspec which is used to test Hspec itself";
- license = lib.licenses.mit;
- mainProgram = "hspec-meta-discover";
- }) {};
-
- "hspec-meta_2_11_7" = callPackage
({ mkDerivation, ansi-terminal, array, base, call-stack, deepseq
, directory, filepath, haskell-lexer, hspec-expectations, HUnit
, process, QuickCheck, quickcheck-io, random, stm, tf-random, time
@@ -159175,7 +159551,6 @@ self: {
];
description = "A version of Hspec which is used to test Hspec itself";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
mainProgram = "hspec-meta-discover";
}) {};
@@ -159384,6 +159759,7 @@ self: {
description = "A library for testing with Hspec and the Snap Web Framework";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"hspec-stack-rerun" = callPackage
@@ -159472,19 +159848,19 @@ self: {
({ mkDerivation, base, hspec, tmp-proc }:
mkDerivation {
pname = "hspec-tmp-proc";
- version = "0.5.1.2";
- sha256 = "0d3igvda8a0lhqzvvzrf1ck8nmif4w447gkjyq6g87am883a078v";
+ version = "0.5.2.0";
+ sha256 = "0p5mjcapvplw21bkiknpg30f583d7ssvh06fc2yg004m0ar9y7na";
libraryHaskellDepends = [ base hspec tmp-proc ];
description = "Simplify use of tmp-proc from hspec tests";
license = lib.licenses.bsd3;
}) {};
- "hspec-tmp-proc_0_5_2_0" = callPackage
+ "hspec-tmp-proc_0_6_0_0" = callPackage
({ mkDerivation, base, hspec, tmp-proc }:
mkDerivation {
pname = "hspec-tmp-proc";
- version = "0.5.2.0";
- sha256 = "0p5mjcapvplw21bkiknpg30f583d7ssvh06fc2yg004m0ar9y7na";
+ version = "0.6.0.0";
+ sha256 = "09qigl93brpsw2h043xjah7rfmibv1a2762i4qf7lpki84sjg6d5";
libraryHaskellDepends = [ base hspec tmp-proc ];
description = "Simplify use of tmp-proc from hspec tests";
license = lib.licenses.bsd3;
@@ -160025,6 +160401,7 @@ self: {
];
description = "get haskell source code info";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"hssqlppp" = callPackage
@@ -160412,6 +160789,7 @@ self: {
];
description = "hsp+jmacro support";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"hsx-xhtml" = callPackage
@@ -160446,7 +160824,9 @@ self: {
];
description = "HSX (Haskell Source with XML) allows literal XML syntax in Haskell source code";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "hsx2hs";
+ broken = true;
}) {};
"hsyscall" = callPackage
@@ -160534,6 +160914,8 @@ self: {
pname = "htaglib";
version = "1.2.1";
sha256 = "11dv4am3xkdia6aqcy5sx0v11bb339b3gxs9yzv0fvjbpm6hszkg";
+ revision = "1";
+ editedCabalFile = "1wh099x04dn55c3xxsbhwdlcw3x0i7341hls4ix0298yjj6ngl3f";
enableSeparateDataOutput = true;
libraryHaskellDepends = [ base bytestring text ];
librarySystemDepends = [ taglib ];
@@ -160556,34 +160938,38 @@ self: {
];
description = "A Haskell98 parsing tags program similar to ctags";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "htags";
+ broken = true;
}) {};
"htalkat" = callPackage
({ mkDerivation, array, asn1-encoding, asn1-types, base, bytestring
- , containers, cryptonite, data-default-class, data-hash, directory
- , exceptions, filelock, filepath, hourglass, hscurses, memory, mtl
- , ncurses, network, network-simple, pem, process, rset, safe
- , temporary, text, time, tls, transformers, unix, x509
- , x509-validation
+ , containers, crypton, crypton-x509, crypton-x509-validation
+ , data-default-class, data-hash, directory, exceptions, filelock
+ , filepath, hourglass, hscurses, memory, mtl, ncurses, network
+ , network-simple, pem, process, rset, safe, temporary, text, time
+ , tls, transformers, unix
}:
mkDerivation {
pname = "htalkat";
- version = "0.1.2.3";
- sha256 = "1z2mdkacnchrjd2w1czgwjr0gnm63d9mm500br7r89gc0qjkbi26";
+ version = "0.1.2.4";
+ sha256 = "0ckqri1ilkjgr71774y7hsdp3w9if5yr99lldxsprmz5k30csrnx";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
- array asn1-encoding asn1-types base bytestring containers
- cryptonite data-default-class data-hash directory exceptions
- filelock filepath hourglass hscurses memory mtl network
- network-simple pem process rset safe temporary text time tls
- transformers unix x509 x509-validation
+ array asn1-encoding asn1-types base bytestring containers crypton
+ crypton-x509 crypton-x509-validation data-default-class data-hash
+ directory exceptions filelock filepath hourglass hscurses memory
+ mtl network network-simple pem process rset safe temporary text
+ time tls transformers unix
];
executablePkgconfigDepends = [ ncurses ];
description = "Talk across TLS";
license = lib.licenses.gpl3Only;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "htalkat";
+ broken = true;
}) {inherit (pkgs) ncurses;};
"htar" = callPackage
@@ -160791,8 +161177,8 @@ self: {
({ mkDerivation, attoparsec, base, text, unordered-containers }:
mkDerivation {
pname = "html-entities";
- version = "1.1.4.6";
- sha256 = "16b1n73qg7sv5is18i0zyg5x1i68zw2h9nh115zlqnavmdj913yv";
+ version = "1.1.4.7";
+ sha256 = "0xyg4jal4whh21c6cbizw0phgydgw0873wz7pmh8b5lngpkfcs0m";
libraryHaskellDepends = [
attoparsec base text unordered-containers
];
@@ -161007,6 +161393,7 @@ self: {
];
description = "HTML to Hamlet converter";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "html2hamlet";
}) {};
@@ -161080,34 +161467,6 @@ self: {
}) {};
"htoml-megaparsec" = callPackage
- ({ mkDerivation, aeson, base, bytestring, composition-prelude
- , containers, criterion, deepseq, file-embed, megaparsec, mtl
- , tasty, tasty-hspec, tasty-hunit, text, time, unordered-containers
- , vector
- }:
- mkDerivation {
- pname = "htoml-megaparsec";
- version = "2.1.0.4";
- sha256 = "08pka0z97b461bf45nvh9gymbvbwhn2dh70dy7x22xmzrigxnxw1";
- revision = "1";
- editedCabalFile = "0sziwadc2wlkfpip65d0v2wl6ldlcbn1fww4bwgw4rvmfhyrwbbc";
- libraryHaskellDepends = [
- base composition-prelude containers deepseq megaparsec mtl text
- time unordered-containers vector
- ];
- testHaskellDepends = [
- aeson base bytestring containers file-embed megaparsec tasty
- tasty-hspec tasty-hunit text time unordered-containers vector
- ];
- benchmarkHaskellDepends = [ base criterion text ];
- doHaddock = false;
- description = "Parser for TOML files";
- license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- broken = true;
- }) {};
-
- "htoml-megaparsec_2_1_0_5" = callPackage
({ mkDerivation, aeson, base, bytestring, composition-prelude
, containers, criterion, deepseq, file-embed, hspec, megaparsec
, mtl, tasty, tasty-hspec, tasty-hunit, text, time
@@ -161278,10 +161637,8 @@ self: {
}:
mkDerivation {
pname = "http-api-data";
- version = "0.5";
- sha256 = "0gxpfrkr83gq5kndfbyg03ps0g421bn4vafdqng7wmnn5hhb9vgp";
- revision = "1";
- editedCabalFile = "1gcqa0lm804cqv1xdaxz87mg0fv7d98i57px8al7qgdzpldma17k";
+ version = "0.5.1";
+ sha256 = "0aqjfzxzk3z9qqxrf80sjarnxxkp016z86n3gira4fg14i4ccrk1";
libraryHaskellDepends = [
attoparsec attoparsec-iso8601 base base-compat bytestring
containers cookie hashable http-types tagged text time-compat
@@ -161333,8 +161690,8 @@ self: {
pname = "http-api-data-qq";
version = "0.1.0.0";
sha256 = "1lvfdbprdwq09k1wkjfvvkpi79053dc4kzkv4g1cx94qb1flbd7a";
- revision = "4";
- editedCabalFile = "1v9jac4aigxyk6a6v7ydxsbwsi6pwlchxnph58vb66xyb17cazsn";
+ revision = "5";
+ editedCabalFile = "0j7iv1br4ijawc1l85zdh7h9i005qnqbm2gamrca09alv3m9m72v";
libraryHaskellDepends = [
base http-api-data template-haskell text
];
@@ -161369,8 +161726,8 @@ self: {
}:
mkDerivation {
pname = "http-client";
- version = "0.7.15";
- sha256 = "092pqpd9g66pm0w65xmm4k1j8whnzq9xq22dy7xmxh122dydhflm";
+ version = "0.7.16";
+ sha256 = "14b21i0dzl7q21ly3gkgc1ybpdhw4gdjhlgzyfp88q1hjj7wm11s";
libraryHaskellDepends = [
array async base base64-bytestring blaze-builder bytestring
case-insensitive containers cookie deepseq exceptions filepath
@@ -161541,22 +161898,6 @@ self: {
}) {};
"http-client-restricted" = callPackage
- ({ mkDerivation, base, connection, data-default, http-client
- , http-client-tls, network, network-bsd, utf8-string
- }:
- mkDerivation {
- pname = "http-client-restricted";
- version = "0.0.5";
- sha256 = "1vfm9qc3zr0rmq2ddgyg13i67020cdk8xqhyzfc2zcn1km2p6r85";
- libraryHaskellDepends = [
- base connection data-default http-client http-client-tls network
- network-bsd utf8-string
- ];
- description = "restricting the servers that http-client will use";
- license = lib.licenses.mit;
- }) {};
-
- "http-client-restricted_0_1_0" = callPackage
({ mkDerivation, base, crypton-connection, data-default
, http-client, http-client-tls, network, network-bsd, utf8-string
}:
@@ -161570,7 +161911,6 @@ self: {
];
description = "restricting the servers that http-client will use";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"http-client-rustls" = callPackage
@@ -161632,30 +161972,6 @@ self: {
}) {};
"http-client-tls" = callPackage
- ({ mkDerivation, base, bytestring, case-insensitive, connection
- , containers, cryptonite, data-default-class, exceptions, gauge
- , hspec, http-client, http-types, memory, network, network-uri
- , text, tls, transformers
- }:
- mkDerivation {
- pname = "http-client-tls";
- version = "0.3.6.1";
- sha256 = "03f8p9gxdzl6slyw1r6vpv2dqhsyjvbaawbjv75kaq0vlj3gz7xi";
- libraryHaskellDepends = [
- base bytestring case-insensitive connection containers cryptonite
- data-default-class exceptions http-client http-types memory network
- network-uri text tls transformers
- ];
- testHaskellDepends = [
- base connection hspec http-client http-types
- ];
- benchmarkHaskellDepends = [ base gauge http-client ];
- doCheck = false;
- description = "http-client backend using the connection package and tls library";
- license = lib.licenses.mit;
- }) {};
-
- "http-client-tls_0_3_6_3" = callPackage
({ mkDerivation, base, bytestring, case-insensitive, containers
, crypton, crypton-connection, data-default-class, exceptions
, gauge, hspec, http-client, http-types, memory, network
@@ -161677,7 +161993,6 @@ self: {
doCheck = false;
description = "http-client backend using the connection package and tls library";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"http-client-websockets" = callPackage
@@ -161716,36 +162031,6 @@ self: {
}) {};
"http-conduit" = callPackage
- ({ mkDerivation, aeson, attoparsec, base, blaze-builder, bytestring
- , case-insensitive, conduit, conduit-extra, cookie
- , data-default-class, hspec, http-client, http-client-tls
- , http-types, HUnit, mtl, network, resourcet, streaming-commons
- , temporary, text, time, tls, transformers, unliftio, unliftio-core
- , utf8-string, wai, wai-conduit, warp, warp-tls
- }:
- mkDerivation {
- pname = "http-conduit";
- version = "2.3.8.1";
- sha256 = "11zf4hyw8f1gpj0w1cmgc9g62xwy2v4hhzqazdsla4q49iqbzxgd";
- revision = "1";
- editedCabalFile = "1wvr0v948s5fmlf47r4pqjan355x6v65rm7dz7y65ngj10xwk5f9";
- libraryHaskellDepends = [
- aeson attoparsec base bytestring conduit conduit-extra http-client
- http-client-tls http-types mtl resourcet transformers unliftio-core
- ];
- testHaskellDepends = [
- aeson base blaze-builder bytestring case-insensitive conduit
- conduit-extra cookie data-default-class hspec http-client
- http-types HUnit network resourcet streaming-commons temporary text
- time tls transformers unliftio utf8-string wai wai-conduit warp
- warp-tls
- ];
- doCheck = false;
- description = "HTTP client package with conduit interface and HTTPS support";
- license = lib.licenses.bsd3;
- }) {};
-
- "http-conduit_2_3_8_3" = callPackage
({ mkDerivation, aeson, attoparsec, attoparsec-aeson, base
, blaze-builder, bytestring, case-insensitive, conduit
, conduit-extra, cookie, crypton-connection, data-default-class
@@ -161773,7 +162058,6 @@ self: {
doCheck = false;
description = "HTTP client package with conduit interface and HTTPS support";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"http-conduit-browser" = callPackage
@@ -161879,32 +162163,6 @@ self: {
}) {};
"http-download" = callPackage
- ({ mkDerivation, base, base64-bytestring, bytestring, conduit
- , conduit-extra, cryptonite, cryptonite-conduit, directory
- , exceptions, filepath, hspec, hspec-discover, http-client
- , http-conduit, http-types, memory, path, path-io, retry, rio
- , rio-prettyprint
- }:
- mkDerivation {
- pname = "http-download";
- version = "0.2.0.0";
- sha256 = "1wg5jck0h52dysdn0q5xs7gh8cjyq2qr9vaj7qa4fr3am1753n8v";
- libraryHaskellDepends = [
- base base64-bytestring bytestring conduit conduit-extra cryptonite
- cryptonite-conduit directory exceptions filepath http-client
- http-conduit http-types memory path path-io retry rio
- rio-prettyprint
- ];
- testHaskellDepends = [
- base cryptonite hspec hspec-discover http-client path path-io retry
- rio rio-prettyprint
- ];
- testToolDepends = [ hspec-discover ];
- description = "Verified downloads with retries";
- license = lib.licenses.bsd3;
- }) {};
-
- "http-download_0_2_1_0" = callPackage
({ mkDerivation, base, base64-bytestring, bytestring, conduit
, conduit-extra, crypton, crypton-conduit, directory, exceptions
, filepath, hspec, hspec-discover, http-client, http-conduit
@@ -161927,7 +162185,6 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Verified downloads with retries";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"http-encodings" = callPackage
@@ -161980,8 +162237,8 @@ self: {
}:
mkDerivation {
pname = "http-exchange";
- version = "0.1.1.0";
- sha256 = "0v3zgl324f6xinjiymlc90w7s22yir49rdn3nvrj1lrixrvkdgv9";
+ version = "0.2.0.0";
+ sha256 = "0sn0ard8sbp8lkgn5xyxyyahh3ii34nq1rggq5b2wyvlkvp07lys";
libraryHaskellDepends = [
base byteslice bytesmith http-interchange primitive text
];
@@ -162039,8 +162296,8 @@ self: {
}:
mkDerivation {
pname = "http-interchange";
- version = "0.3.1.0";
- sha256 = "1qz7ims2n51db6lcv4lg22z0w36abgn9yzpqc7jd438xlc40j137";
+ version = "0.3.2.1";
+ sha256 = "1jji8wjdivpwd4zmhljn39d2rwvrlyxz7gmnacm8qkjmfhkhppkq";
libraryHaskellDepends = [
base bytebuild byteslice bytesmith contiguous primitive text
];
@@ -162060,13 +162317,13 @@ self: {
, bytestring, case-insensitive, containers, cryptohash-sha1
, directory, HsOpenSSL, hspec, hspec-expectations, HUnit
, io-streams, lifted-base, mtl, network, network-uri
- , openssl-streams, snap, snap-core, snap-server, system-fileio
- , system-filepath, text, transformers, unordered-containers, xor
+ , openssl-streams, snap, snap-core, snap-server, system-filepath
+ , text, transformers, unordered-containers, xor
}:
mkDerivation {
pname = "http-io-streams";
- version = "0.1.6.3";
- sha256 = "0m8g00gjwvbjjnvph93c54dm0s5g34zf1ycjd0v5m3ghgsi79q23";
+ version = "0.1.6.4";
+ sha256 = "134jzsgv53nagbpv3p6pyhi4mdmkmhvfmwh1v6j87rm7jkah1m6k";
libraryHaskellDepends = [
attoparsec base base64-bytestring binary blaze-builder
brotli-streams bytestring case-insensitive containers
@@ -162078,8 +162335,39 @@ self: {
base64-bytestring blaze-builder bytestring case-insensitive
containers directory HsOpenSSL hspec hspec-expectations HUnit
io-streams lifted-base mtl network network-uri openssl-streams snap
- snap-core snap-server system-fileio system-filepath text
- transformers unordered-containers
+ snap-core snap-server system-filepath text transformers
+ unordered-containers
+ ];
+ description = "HTTP and WebSocket client based on io-streams";
+ license = "BSD-3-Clause AND GPL-2.0-or-later";
+ }) {};
+
+ "http-io-streams_0_1_7_0" = callPackage
+ ({ mkDerivation, aeson, aeson-pretty, attoparsec, attoparsec-aeson
+ , base, base64-bytestring, binary, blaze-builder, brotli-streams
+ , bytestring, case-insensitive, containers, cryptohash-sha1
+ , directory, HsOpenSSL, hspec, hspec-expectations, HUnit
+ , io-streams, lifted-base, mtl, network, network-uri
+ , openssl-streams, snap, snap-core, snap-server, system-filepath
+ , text, transformers, unordered-containers, xor
+ }:
+ mkDerivation {
+ pname = "http-io-streams";
+ version = "0.1.7.0";
+ sha256 = "14jn78jby18h0jnmpx523nq3wnpr4l65mn746rzmq6z49p513wmg";
+ libraryHaskellDepends = [
+ attoparsec base base64-bytestring binary blaze-builder
+ brotli-streams bytestring case-insensitive containers
+ cryptohash-sha1 directory HsOpenSSL io-streams mtl network
+ network-uri openssl-streams text transformers xor
+ ];
+ testHaskellDepends = [
+ aeson aeson-pretty attoparsec attoparsec-aeson base
+ base64-bytestring blaze-builder bytestring case-insensitive
+ containers directory HsOpenSSL hspec hspec-expectations HUnit
+ io-streams lifted-base mtl network network-uri openssl-streams snap
+ snap-core snap-server system-filepath text transformers
+ unordered-containers
];
description = "HTTP and WebSocket client based on io-streams";
license = "BSD-3-Clause AND GPL-2.0-or-later";
@@ -162474,6 +162762,25 @@ self: {
broken = true;
}) {};
+ "http-slim" = callPackage
+ ({ mkDerivation, array, base, bytestring, containers, HsOpenSSL
+ , mtl, network, network-bsd, network-uri, parsec, time
+ , transformers
+ }:
+ mkDerivation {
+ pname = "http-slim";
+ version = "1.0";
+ sha256 = "0jqm1id1n0zyz78610xqc5rvr6fa0r5qiavj4v1idp1rvcfp2iif";
+ libraryHaskellDepends = [
+ array base bytestring containers HsOpenSSL mtl network network-bsd
+ network-uri parsec time transformers
+ ];
+ description = "A library for client/server HTTP with TLS support";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {};
+
"http-streams" = callPackage
({ mkDerivation, aeson, aeson-pretty, attoparsec, attoparsec-aeson
, base, base64-bytestring, blaze-builder, bytestring
@@ -162520,7 +162827,9 @@ self: {
executableHaskellDepends = [ base ];
description = "Test framework for HTTP APIs";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "test-http-test-bayeshive";
+ broken = true;
}) {};
"http-trace" = callPackage
@@ -162613,25 +162922,25 @@ self: {
({ mkDerivation, aeson, aeson-pretty, array, async, base
, base16-bytestring, bytestring, case-insensitive, containers
, crypton, directory, filepath, gauge, Glob, hspec, hspec-discover
- , http-types, network, network-byte-order, network-run, psqueues
- , stm, text, time-manager, typed-process, unix-time, unliftio
- , unordered-containers, vector
+ , http-types, network, network-byte-order, network-control
+ , network-run, random, stm, text, time-manager, typed-process
+ , unix-time, unliftio, unordered-containers, vector
}:
mkDerivation {
pname = "http2";
- version = "4.1.4";
- sha256 = "1mi0nahsbv0amcb9r21d8p4j1nk8y75vli8a9axjg2y3yi3i95bx";
+ version = "5.0.1";
+ sha256 = "1mcrlh0j7m7iqfc69p0n7hxysj8cyid6vaqax3ww7dskhwdzbw1v";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
array async base bytestring case-insensitive containers http-types
- network network-byte-order psqueues stm time-manager unix-time
- unliftio
+ network network-byte-order network-control stm time-manager
+ unix-time unliftio
];
testHaskellDepends = [
aeson aeson-pretty async base base16-bytestring bytestring crypton
directory filepath Glob hspec http-types network network-byte-order
- network-run text typed-process unordered-containers vector
+ network-run random text typed-process unordered-containers vector
];
testToolDepends = [ hspec-discover ];
benchmarkHaskellDepends = [
@@ -162642,24 +162951,24 @@ self: {
license = lib.licenses.bsd3;
}) {};
- "http2_5_0_0" = callPackage
+ "http2_5_1_1" = callPackage
({ mkDerivation, aeson, aeson-pretty, array, async, base
, base16-bytestring, bytestring, case-insensitive, containers
, crypton, directory, filepath, gauge, Glob, hspec, hspec-discover
, http-types, network, network-byte-order, network-control
, network-run, random, stm, text, time-manager, typed-process
- , unix-time, unliftio, unordered-containers, vector
+ , unix-time, unliftio, unordered-containers, utf8-string, vector
}:
mkDerivation {
pname = "http2";
- version = "5.0.0";
- sha256 = "1bccbndd7nvqr9rdia1pdha50w3hxca5vpb0qv8zd2w9acy2flk3";
+ version = "5.1.1";
+ sha256 = "16afjhl13irl6lf0pxsjpcfsvjr3kbsmzs4l536q4j70wqkjkw2q";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
array async base bytestring case-insensitive containers http-types
network network-byte-order network-control stm time-manager
- unix-time unliftio
+ unix-time unliftio utf8-string
];
testHaskellDepends = [
aeson aeson-pretty async base base16-bytestring bytestring crypton
@@ -162685,8 +162994,8 @@ self: {
pname = "http2-client";
version = "0.10.0.0";
sha256 = "0kv4qa9cbwwj6b62manzpl1sk4jnsb5vx2y73w49drlfkrw1vpgy";
- revision = "1";
- editedCabalFile = "18ilcli28jfm914v1l059z74cj43m4xfwqk2wfhhw0vyvw2n8ryi";
+ revision = "2";
+ editedCabalFile = "02frmqjcpx1d3c3y54z8ajckmd3dkjing3j9xaphmr6i3s9nbpa0";
libraryHaskellDepends = [
async base bytestring containers deepseq http2 lifted-async
lifted-base mtl network stm time tls transformers-base
@@ -162795,15 +163104,16 @@ self: {
({ mkDerivation, base, bytestring, crypton-x509-store
, crypton-x509-validation, data-default-class, http2, network
, network-control, network-run, recv, time-manager, tls, unliftio
+ , utf8-string
}:
mkDerivation {
pname = "http2-tls";
- version = "0.2.0";
- sha256 = "0ijg8kqfl6dzlacplqlqra5yvsaqhyazb90mj6kbqvcll39sbzbc";
+ version = "0.2.4";
+ sha256 = "0bw3hcmdkgvr7xwg8zaxn1sw9v9n619f3176j3wrlvv0ycwv7r9f";
libraryHaskellDepends = [
base bytestring crypton-x509-store crypton-x509-validation
data-default-class http2 network network-control network-run recv
- time-manager tls unliftio
+ time-manager tls unliftio utf8-string
];
description = "Library for HTTP/2 over TLS";
license = lib.licenses.bsd3;
@@ -162820,8 +163130,8 @@ self: {
}:
mkDerivation {
pname = "http3";
- version = "0.0.7";
- sha256 = "0230cd5vvysbqd256zxz3dz92acps1dyvwmy6hrwmmjv1ghnpcvp";
+ version = "0.0.9";
+ sha256 = "0y6fvkjn5lxh4r8qnnjqkq9r38ziw10smcgrws408wfpm948j3kd";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -163009,7 +163319,9 @@ self: {
];
description = "For multiplexing GHC installations and providing development sandboxes";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "hub";
+ broken = true;
}) {};
"hubigraph" = callPackage
@@ -163372,6 +163684,7 @@ self: {
];
description = "Unpacker tool with DWIM";
license = "GPL";
+ hydraPlatforms = lib.platforms.none;
mainProgram = "hunp";
}) {};
@@ -163548,6 +163861,7 @@ self: {
];
description = "Haskell URL resolver";
license = lib.licenses.gpl3Only;
+ hydraPlatforms = lib.platforms.none;
}) {};
"hurl-xml" = callPackage
@@ -163614,6 +163928,8 @@ self: {
];
description = "R5RS Scheme interpreter, compiler, and library";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"husk-scheme-libs" = callPackage
@@ -163629,6 +163945,7 @@ self: {
];
description = "Extra libraries for the husk Scheme platform";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"husky" = callPackage
@@ -163751,10 +164068,8 @@ self: {
}:
mkDerivation {
pname = "hw-aeson";
- version = "0.1.8.0";
- sha256 = "1x07dpgqhlcvgn1kwq0mmf074x91sl7sn2gxrqrznjdzl8hqw2m2";
- revision = "2";
- editedCabalFile = "04vjq54xc354scgzgf863px9fadvw4dr6kgli9rp4plw3sh4k3qg";
+ version = "0.1.9.0";
+ sha256 = "0jnaikswz1df0q3nva2ln3pf2hmnpa6yhw00q46icvbqxyhxnnjj";
libraryHaskellDepends = [
aeson base bytestring containers hashable text text-short
unordered-containers
@@ -163824,6 +164139,8 @@ self: {
pname = "hw-balancedparens";
version = "0.4.1.3";
sha256 = "0cp8nzm99ap0j8qzsn15rxcvxa3k6bywqx0y5ccflpvqysd88wfc";
+ revision = "1";
+ editedCabalFile = "1q8kckqqnw434vaq0mx0q3ayhrzc30v8a7m758747yis8vqxrvjb";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -163859,8 +164176,8 @@ self: {
pname = "hw-bits";
version = "0.7.2.2";
sha256 = "1swzr6lz2394p454mqvvgx2fyc1gdm4p9qvv54zyjd67mnhylkq8";
- revision = "2";
- editedCabalFile = "1qv1pcy3sfcvpq3wfvimiv2wyy729yk97hswsrh40hlmrws18k2m";
+ revision = "3";
+ editedCabalFile = "0577hryw2hqpa75ydkiajl54yq87xvp2j9s0n4b8h1cfh27pjbcg";
libraryHaskellDepends = [
base bitvec bytestring deepseq hw-int hw-prim hw-string-parse
vector
@@ -163924,6 +164241,8 @@ self: {
];
description = "Conduits for tokenizing streams";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"hw-conduit-merges" = callPackage
@@ -163958,6 +164277,8 @@ self: {
testToolDepends = [ doctest-discover ];
description = "Diagnostics library";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"hw-dsv" = callPackage
@@ -163970,10 +164291,8 @@ self: {
}:
mkDerivation {
pname = "hw-dsv";
- version = "0.4.1.1";
- sha256 = "0xfpkyinwfhjilb428z7nnk84m12b34x29806j1azbv9yfqvhq7z";
- revision = "2";
- editedCabalFile = "199xwdcqwdkb62zx91il2naa9j67n4g2rxr9xpmnq1afdl06xzfh";
+ version = "0.4.1.2";
+ sha256 = "1wvz2qdb8xnd9sfm6541ll055p4pqm53w2cgfs5gnb6sqfpyssbg";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -164077,6 +164396,7 @@ self: {
];
description = "Elias-Fano";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "hw-eliasfano";
}) {};
@@ -164090,8 +164410,8 @@ self: {
pname = "hw-excess";
version = "0.2.3.0";
sha256 = "0xiyf3xyg6f4kgkils9ycx6q0qcsbd6rw4m9lizw9295mnp05s3g";
- revision = "5";
- editedCabalFile = "09c7x1qkmjs8bl9gzcb6ykls3jjj75i8hvwdfcx1zgiwg79w1g1k";
+ revision = "6";
+ editedCabalFile = "12gsqph85aqmg17mjg58iaqf1kqadg51r5hqbz98ri2gm6ynx2kd";
libraryHaskellDepends = [
base hw-bits hw-prim hw-rankselect-base safe vector
];
@@ -164147,6 +164467,8 @@ self: {
testToolDepends = [ doctest-discover hspec-discover ];
description = "Generic strict finger-tree structure";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"hw-hedgehog" = callPackage
@@ -164156,8 +164478,8 @@ self: {
pname = "hw-hedgehog";
version = "0.1.1.1";
sha256 = "0kksignrvx566vfz52q5lid9f2zh02dpvnw1gznnkhwnvbldmbi8";
- revision = "2";
- editedCabalFile = "0c9wy5jmsmfwz151k9zxfsj5663zz3rsvmr0i9vsv5agw8fb8xhq";
+ revision = "3";
+ editedCabalFile = "0fjk8jl1sik7yi0mnmfcvcgyadslgrl89dx3xldnzfwwl7p5m12v";
libraryHaskellDepends = [ base hedgehog vector ];
testHaskellDepends = [ base doctest doctest-discover ];
testToolDepends = [ doctest-discover ];
@@ -164194,8 +164516,8 @@ self: {
pname = "hw-int";
version = "0.0.2.0";
sha256 = "13ifa28b12hiibhmwas5hn3dmmiz2rihlc837hhii0z1ng03s360";
- revision = "3";
- editedCabalFile = "0q6w4a9dnwx1y34dwb439vg25lkpqmgxlq9r3j94sb4h90qm91dw";
+ revision = "4";
+ editedCabalFile = "107j6hnpjphk4x4c4kc33y4jxxf0ji6b1g3381pp3nw06pjj8aqz";
libraryHaskellDepends = [ base ];
testHaskellDepends = [
base doctest doctest-discover hedgehog hspec hw-hedgehog
@@ -164216,8 +164538,8 @@ self: {
pname = "hw-ip";
version = "2.4.2.1";
sha256 = "1cwj6402314lblh7cm77j1bkpnjqpsk7rn8z94awqp4pfp4x8dk0";
- revision = "1";
- editedCabalFile = "1hfivvc6s1nnzp30h4nafbhjhgbwx1ip07ggqmafzymaw8wvky44";
+ revision = "2";
+ editedCabalFile = "0jkn9474ldwm3dy24lscjv16rx8hb2yr2r10lfgrw3i2y72c7gax";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -164239,26 +164561,27 @@ self: {
}) {};
"hw-json" = callPackage
- ({ mkDerivation, aeson, ansi-wl-pprint, attoparsec, base
- , bits-extra, bytestring, criterion, directory, dlist, doctest
- , doctest-discover, generic-lens, hedgehog, hspec, hspec-discover
- , hw-balancedparens, hw-bits, hw-hspec-hedgehog, hw-json-simd
- , hw-json-simple-cursor, hw-json-standard-cursor, hw-mquery
- , hw-parser, hw-prim, hw-rankselect, hw-rankselect-base, hw-simd
- , lens, mmap, optparse-applicative, scientific, text, transformers
+ ({ mkDerivation, aeson, ansi-wl-pprint, attoparsec
+ , attoparsec-aeson, base, bits-extra, bytestring, criterion
+ , directory, dlist, doctest, doctest-discover, generic-lens
+ , hedgehog, hspec, hspec-discover, hw-balancedparens, hw-bits
+ , hw-hspec-hedgehog, hw-json-simd, hw-json-simple-cursor
+ , hw-json-standard-cursor, hw-mquery, hw-parser, hw-prim
+ , hw-rankselect, hw-rankselect-base, hw-simd, lens, mmap
+ , optparse-applicative, scientific, text, transformers
, unordered-containers, vector, word8
}:
mkDerivation {
pname = "hw-json";
- version = "1.3.2.4";
- sha256 = "13cwkivc5sb2nzr7ifldp65aax0kznr3gj1pskamxnig8h3iqdcl";
+ version = "1.3.2.5";
+ sha256 = "0nwwyk7x26xrx3cqnwy6gv1gpjnmw69mfyjqgvky3bdgf9lxncrb";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
- aeson ansi-wl-pprint attoparsec base bits-extra bytestring dlist
- hw-balancedparens hw-bits hw-json-simple-cursor
+ aeson ansi-wl-pprint attoparsec attoparsec-aeson base bits-extra
+ bytestring dlist hw-balancedparens hw-bits hw-json-simple-cursor
hw-json-standard-cursor hw-mquery hw-parser hw-prim hw-rankselect
- hw-rankselect-base hw-simd mmap text vector word8
+ hw-rankselect-base hw-simd mmap scientific text vector word8
];
executableHaskellDepends = [
aeson base bytestring dlist generic-lens hw-balancedparens
@@ -164267,11 +164590,11 @@ self: {
optparse-applicative text unordered-containers vector
];
testHaskellDepends = [
- aeson attoparsec base bytestring dlist doctest doctest-discover
- hedgehog hspec hw-balancedparens hw-bits hw-hspec-hedgehog
- hw-json-simple-cursor hw-json-standard-cursor hw-mquery hw-prim
- hw-rankselect hw-rankselect-base scientific text transformers
- vector
+ aeson attoparsec attoparsec-aeson base bytestring dlist doctest
+ doctest-discover hedgehog hspec hw-balancedparens hw-bits
+ hw-hspec-hedgehog hw-json-simple-cursor hw-json-standard-cursor
+ hw-mquery hw-prim hw-rankselect hw-rankselect-base scientific text
+ transformers vector
];
testToolDepends = [ doctest-discover hspec-discover ];
benchmarkHaskellDepends = [
@@ -164342,6 +164665,8 @@ self: {
pname = "hw-json-simd";
version = "0.1.1.2";
sha256 = "03g2gwmkp6v7b0vf4x8bh4qk91ghr0av5x3c9paj3rp3igycccd6";
+ revision = "1";
+ editedCabalFile = "1s06mj022lggx28hqdsd181xhbbxadqmbzrafxh4nf5q212dwkzb";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [ base bytestring hw-prim lens vector ];
@@ -164372,8 +164697,8 @@ self: {
pname = "hw-json-simple-cursor";
version = "0.1.1.1";
sha256 = "0b867rgsybfb568z6qa4x8jqz24wfjydg91w7bsl44vqq0k3hk4f";
- revision = "2";
- editedCabalFile = "0zs8hd42j64aymrf06qlkc70cr1jyz0svq78xqwvwxk37pz9r1qq";
+ revision = "3";
+ editedCabalFile = "0krs1nly9gfippcdcp4il5jgrmjlvc83wygn9pa44gcfxql4fjcx";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -164412,8 +164737,8 @@ self: {
pname = "hw-json-standard-cursor";
version = "0.2.3.2";
sha256 = "02fmhjnjf0idmzq0y1a1m78bwl72ycvr6cxlscxpc2370r2s3akh";
- revision = "2";
- editedCabalFile = "0qsii1d0y22w8hb9pf654fb2qs9ndkysahpabgi0d1q59qv3msx0";
+ revision = "3";
+ editedCabalFile = "1a7n6yjsmlz8ak38ajmn75cw1k69brwh6r6z05hhvaxnjrrbwacd";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -164461,33 +164786,10 @@ self: {
testHaskellDepends = [ base hedgehog hspec hw-hspec-hedgehog ];
description = "Avro support for Kafka infrastructure";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"hw-kafka-client" = callPackage
- ({ mkDerivation, base, bifunctors, bytestring, c2hs, containers
- , either, hspec, hspec-discover, monad-loops, rdkafka, text
- , transformers, unix
- }:
- mkDerivation {
- pname = "hw-kafka-client";
- version = "4.0.3";
- sha256 = "1s3wj5ih9mc7vp0w9rymw22w1yxp8z3qi7qmza9qw00aail8c5dg";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- base bifunctors bytestring containers text transformers unix
- ];
- librarySystemDepends = [ rdkafka ];
- libraryToolDepends = [ c2hs ];
- testHaskellDepends = [
- base bifunctors bytestring containers either hspec monad-loops text
- ];
- testToolDepends = [ hspec-discover ];
- description = "Kafka bindings for Haskell";
- license = lib.licenses.mit;
- }) {inherit (pkgs) rdkafka;};
-
- "hw-kafka-client_5_3_0" = callPackage
({ mkDerivation, base, bifunctors, bytestring, c2hs, containers
, either, hspec, hspec-discover, monad-loops, rdkafka, text
, transformers, unix
@@ -164509,7 +164811,6 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Kafka bindings for Haskell";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {inherit (pkgs) rdkafka;};
"hw-kafka-conduit" = callPackage
@@ -164535,6 +164836,8 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Conduit bindings for hw-kafka-client";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"hw-lazy" = callPackage
@@ -164554,6 +164857,8 @@ self: {
testToolDepends = [ doctest-discover hspec-discover ];
description = "Combinators for lazy IO";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"hw-mquery" = callPackage
@@ -164578,7 +164883,9 @@ self: {
testToolDepends = [ doctest-discover hspec-discover ];
description = "Monadic query DSL";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "hw-mquery-example";
+ broken = true;
}) {};
"hw-packed-vector" = callPackage
@@ -164612,7 +164919,9 @@ self: {
];
description = "Packed Vector";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "hw-packed-vector";
+ broken = true;
}) {};
"hw-parser" = callPackage
@@ -164624,8 +164933,8 @@ self: {
pname = "hw-parser";
version = "0.1.1.0";
sha256 = "1zsbw725mw3fn4814qricqanbvx1kgbnqvgwijqgfv8jz7yf5gxa";
- revision = "6";
- editedCabalFile = "1kiak3rgwd62xs2a6lwg638jk45i172i6cja3xjxx8ph15aaq1p7";
+ revision = "7";
+ editedCabalFile = "1cnmvn2ndvcjchmqc7kqr8j5y02vvbq1n8mahz7x13skli7glr7n";
libraryHaskellDepends = [
attoparsec base bytestring hw-prim text
];
@@ -164664,8 +164973,8 @@ self: {
pname = "hw-prim";
version = "0.6.3.2";
sha256 = "07a442g1fjzrfnz3y9mx3d2hv0ffjnbfdkmbiard8bn78vf5z80z";
- revision = "1";
- editedCabalFile = "1wga8ghc001lz9y76ffrdrpqkxpj1lz7qpkykfl66lsqf5pvng32";
+ revision = "2";
+ editedCabalFile = "1mj60fg66piw96kbvp0v4ykr1ihww97rr96ya7ayx5sz49j2hyf0";
libraryHaskellDepends = [
base bytestring deepseq ghc-prim mmap transformers unliftio-core
vector
@@ -164722,8 +165031,8 @@ self: {
pname = "hw-rankselect";
version = "0.13.4.1";
sha256 = "03nf8jwr1qpbfa20y3zlb3z6cxy8ylpdbsy0fvxdjs8q35f7bmx5";
- revision = "2";
- editedCabalFile = "0qivfmg1khicyj068y08avcswyrmvl3z4blcdhjp8mj3ygys7n4i";
+ revision = "3";
+ editedCabalFile = "1fwgjhy3wm1bbyqcq62vcf9nvha17bwzb34g2rd6z1v5qr8dm1gi";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -164760,8 +165069,8 @@ self: {
pname = "hw-rankselect-base";
version = "0.3.4.1";
sha256 = "1s0lqwq0rjmjca6lshfnxqi0c7bzlyflhm45xw1xa9pvqci8439h";
- revision = "5";
- editedCabalFile = "0gaqxa05m6a519zpvhlnhvnd4j5jh0zm9div8z4qx5h0g9g82i1m";
+ revision = "6";
+ editedCabalFile = "0qf6nhmvhb1xpipxvh3jzr1aakswzpg1k0jk4nrczgvmxkxjysiq";
libraryHaskellDepends = [
base bits-extra bitvec hw-bits hw-int hw-prim hw-string-parse
vector
@@ -164789,6 +165098,8 @@ self: {
pname = "hw-simd";
version = "0.1.2.2";
sha256 = "0ipcrv19xwmq6znbmwmzrjahmymmcmpbs7hpx0183hrwbx2hyhqx";
+ revision = "1";
+ editedCabalFile = "0c0wv09q98inana4n70qnv6226506fdw4ghbw1pxqglj0ccallib";
libraryHaskellDepends = [
base bits-extra bytestring deepseq hw-bits hw-prim hw-rankselect
hw-rankselect-base transformers vector
@@ -164883,8 +165194,8 @@ self: {
pname = "hw-string-parse";
version = "0.0.0.5";
sha256 = "0sg5s84pqyl93wm052ifrqv90cyc28awh4i6vcd8zbq746wdqz4k";
- revision = "1";
- editedCabalFile = "0afarlf42yfsgbv4lwhl7hnrsxv6b5dilja1660fnxvw350ldiik";
+ revision = "2";
+ editedCabalFile = "0580mz2gr11jc6lyyrnp5jxijzbir8qcsq979bc91jwcvc99kc5r";
libraryHaskellDepends = [ base ];
testHaskellDepends = [
base bytestring doctest doctest-discover hspec QuickCheck vector
@@ -164987,27 +165298,25 @@ self: {
}) {};
"hw-xml" = callPackage
- ({ mkDerivation, ansi-wl-pprint, array, attoparsec, base
- , bytestring, cereal, containers, criterion, deepseq, doctest
- , doctest-discover, generic-lens, ghc-prim, hedgehog, hspec
- , hspec-discover, hw-balancedparens, hw-bits, hw-hspec-hedgehog
- , hw-parser, hw-prim, hw-rankselect, hw-rankselect-base, lens, mmap
- , mtl, optparse-applicative, resourcet, text, transformers, vector
- , word8
+ ({ mkDerivation, array, attoparsec, base, bytestring, cereal
+ , containers, criterion, deepseq, doctest, doctest-discover
+ , generic-lens, ghc-prim, hedgehog, hspec, hspec-discover
+ , hw-balancedparens, hw-bits, hw-hspec-hedgehog, hw-parser, hw-prim
+ , hw-rankselect, hw-rankselect-base, lens, mmap, mtl
+ , optparse-applicative, prettyprinter, resourcet, text
+ , transformers, vector, word8
}:
mkDerivation {
pname = "hw-xml";
- version = "0.5.1.1";
- sha256 = "1gjs2rcm40j3962kw7n02pqim1p485prm1bd2v8hk1ka35c8nq1w";
- revision = "2";
- editedCabalFile = "1ckkwz82y1gdkd85zaiild82i6fcbrb3ybkh5s94rbbyaqgjkhv6";
+ version = "0.5.1.2";
+ sha256 = "0zv5dwi4vbacjz3rw62g320p0iy0xya3z2xd4mf238gd9v5fnhd5";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
libraryHaskellDepends = [
- ansi-wl-pprint array attoparsec base bytestring cereal containers
- deepseq ghc-prim hw-balancedparens hw-bits hw-parser hw-prim
- hw-rankselect hw-rankselect-base lens mmap mtl resourcet text
+ array attoparsec base bytestring cereal containers deepseq ghc-prim
+ hw-balancedparens hw-bits hw-parser hw-prim hw-rankselect
+ hw-rankselect-base lens mmap mtl prettyprinter resourcet text
transformers vector word8
];
executableHaskellDepends = [
@@ -166011,8 +166320,8 @@ self: {
pname = "hyper";
version = "0.2.1.1";
sha256 = "14p7r57g75ny8l9h4ilbm6mhdsfiysfs3rd56300dl0jkpgrh8r2";
- revision = "2";
- editedCabalFile = "13r3dafkn9bn8jw1cvw18cc6v6drgj91bmfkgdpfnr5sk3ad6k6n";
+ revision = "4";
+ editedCabalFile = "1fyddc72z9vd37q5xbgfpqp3dfvqkbz1kbgwvhxhk2w5maf06ac3";
libraryHaskellDepends = [ base blaze-html deepseq text ];
description = "Display class for the HyperHaskell graphical Haskell interpreter";
license = lib.licenses.bsd3;
@@ -166026,6 +166335,8 @@ self: {
pname = "hyper-extra";
version = "0.2.0.1";
sha256 = "13ipxwhxrndl505zzxcq7gz874l0r2g0ma1yhq14bihvlwh3qr8d";
+ revision = "1";
+ editedCabalFile = "0vmyidjaskca75582h3lp2wq3x0h2ii03i5fy590c9j6mq8phjdx";
libraryHaskellDepends = [
base diagrams-lib diagrams-svg hyper QuickCheck svg-builder text
];
@@ -166042,8 +166353,8 @@ self: {
}:
mkDerivation {
pname = "hyper-haskell-server";
- version = "0.2.3.0";
- sha256 = "1kb7cfniws4qd10swy7vspgbr93xxnzlbcq5jarmdas2kpn3nyhd";
+ version = "0.2.3.1";
+ sha256 = "0cz1dybzgk5q051r3inv72x154q3qmf5r0hci2cxrb89ncdcszsv";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
@@ -166059,25 +166370,26 @@ self: {
"hyperbole" = callPackage
({ mkDerivation, base, bytestring, casing, containers, effectful
- , file-embed, http-api-data, http-types, string-conversions
- , string-interpolate, text, wai, wai-middleware-static, warp
- , web-view
+ , file-embed, http-api-data, http-types, network
+ , string-conversions, string-interpolate, text, wai
+ , wai-middleware-static, wai-websockets, warp, web-view, websockets
}:
mkDerivation {
pname = "hyperbole";
- version = "0.1.2";
- sha256 = "0jg0dmidcc8l0j932xy5qmfcih15i2dgxgz5s5w0mamwx96apr82";
+ version = "0.2.0";
+ sha256 = "04b9mgcd06dh8v1ki06dbgx9ak070p5h5pb5njyg99s8cykdip5r";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
base bytestring casing containers effectful file-embed
- http-api-data http-types string-conversions string-interpolate text
- wai warp web-view
+ http-api-data http-types network string-conversions
+ string-interpolate text wai wai-websockets warp web-view websockets
];
executableHaskellDepends = [
base bytestring casing containers effectful file-embed
- http-api-data http-types string-conversions string-interpolate text
- wai wai-middleware-static warp web-view
+ http-api-data http-types network string-conversions
+ string-interpolate text wai wai-middleware-static wai-websockets
+ warp web-view websockets
];
description = "Web Framework inspired by HTMX";
license = lib.licenses.bsd3;
@@ -166275,6 +166587,8 @@ self: {
];
description = "Typed ASTs";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"hyphenate" = callPackage
@@ -166296,8 +166610,8 @@ self: {
pname = "hyphenation";
version = "0.8.2";
sha256 = "05330kd99cg9v6w26sj87wk2nfvpmn2r177kr66vr9n0rlmia60y";
- revision = "3";
- editedCabalFile = "033kzil5036pnlx10ghh7vcsxcya6kn0vxnalgbpryg8ca7vy0cz";
+ revision = "4";
+ editedCabalFile = "15qgh6fyghc4gx0kw6sldpf3ga8wnjpw7f6sqykq7yc5l2ci67dr";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
base bytestring containers file-embed text unordered-containers
@@ -166573,7 +166887,8 @@ self: {
];
description = "iCalendar data types, parser, and printer";
license = lib.licenses.bsd3;
- maintainers = [ lib.maintainers.maralorn ];
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"iException" = callPackage
@@ -166686,8 +167001,8 @@ self: {
}:
mkDerivation {
pname = "ice40-prim";
- version = "0.3.1.3";
- sha256 = "02971h72z9k6rv7p3lkyrf8apkk4angk71n6dyqfkvg2phark2d1";
+ version = "0.3.1.4";
+ sha256 = "19krv3ihn5x26jlzvq1ziq0qi4sz4ikpka22d51zz7lfg3z3b1wy";
libraryHaskellDepends = [
base clash-prelude ghc-typelits-extra ghc-typelits-knownnat
ghc-typelits-natnormalise interpolate
@@ -167000,10 +167315,8 @@ self: {
}:
mkDerivation {
pname = "identicon";
- version = "0.2.2";
- sha256 = "0qzj2063sh7phbqyxqxf96avz1zcwd1ry06jdqxwkg55q3yb8y9n";
- revision = "5";
- editedCabalFile = "0aswi9gwa4f1ll5s323qc6g9fm2h9dc8j526izcbflagxicf04a8";
+ version = "0.2.3";
+ sha256 = "17fgd40pc1jm5ivdlg7inb4m7i1s489jnhxfbgdvcs1gzl29xrrv";
enableSeparateDataOutput = true;
libraryHaskellDepends = [ base bytestring JuicyPixels ];
testHaskellDepends = [
@@ -167113,6 +167426,7 @@ self: {
libraryHaskellDepends = [ base punycode stringprep text ];
description = "Implements IDNA (RFC 3490)";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"idna2008" = callPackage
@@ -167337,6 +167651,7 @@ self: {
];
description = "An inductive-form set constraint solver";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"ig" = callPackage
@@ -167462,6 +167777,42 @@ self: {
}) {};
"ihaskell" = callPackage
+ ({ mkDerivation, aeson, base, base64-bytestring, binary, bytestring
+ , cmdargs, containers, directory, exceptions, filepath, ghc
+ , ghc-boot, ghc-parser, ghc-paths, haskeline, here, hlint, hspec
+ , hspec-contrib, http-client, http-client-tls, HUnit
+ , ipython-kernel, parsec, process, random, raw-strings-qq, setenv
+ , shelly, split, stm, strict, text, time, transformers, unix
+ , unordered-containers, utf8-string, vector
+ }:
+ mkDerivation {
+ pname = "ihaskell";
+ version = "0.10.4.0";
+ sha256 = "0vl6nmr72abf4jijxga9lnhj1w1iz5b4642r8xnqmavz4ds9qpsv";
+ isLibrary = true;
+ isExecutable = true;
+ enableSeparateDataOutput = true;
+ libraryHaskellDepends = [
+ aeson base base64-bytestring binary bytestring cmdargs containers
+ directory exceptions filepath ghc ghc-boot ghc-parser ghc-paths
+ haskeline hlint http-client http-client-tls ipython-kernel parsec
+ process random shelly split stm strict text time transformers unix
+ unordered-containers utf8-string vector
+ ];
+ executableHaskellDepends = [
+ aeson base bytestring containers directory ghc ipython-kernel
+ process strict text transformers unix unordered-containers
+ ];
+ testHaskellDepends = [
+ base directory ghc ghc-paths here hspec hspec-contrib HUnit
+ raw-strings-qq setenv shelly text transformers
+ ];
+ description = "A Haskell backend kernel for the Jupyter project";
+ license = lib.licenses.mit;
+ mainProgram = "ihaskell";
+ }) {};
+
+ "ihaskell_0_11_0_0" = callPackage
({ mkDerivation, aeson, base, base64-bytestring, binary, bytestring
, cmdargs, containers, directory, exceptions, filepath, ghc
, ghc-boot, ghc-parser, ghc-paths, ghc-syntax-highlighter
@@ -167494,6 +167845,7 @@ self: {
];
description = "A Haskell backend kernel for the Jupyter project";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "ihaskell";
}) {};
@@ -167566,6 +167918,8 @@ self: {
];
description = "IHaskell display instances for diagram types";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"ihaskell-display" = callPackage
@@ -167620,8 +167974,8 @@ self: {
({ mkDerivation, aeson, base, hvega, ihaskell, text }:
mkDerivation {
pname = "ihaskell-hvega";
- version = "0.5.0.4";
- sha256 = "13dz7f9gb8wli42srl91nq7fflnfc6vbi4d8bcly1387hkh2mji4";
+ version = "0.5.0.5";
+ sha256 = "0sy9g6g0gjs2sx458vq5y1b9a09jb58by3d2az8ky5l84sgvss4a";
libraryHaskellDepends = [ aeson base hvega ihaskell text ];
description = "IHaskell display instance for hvega types";
license = lib.licenses.bsd3;
@@ -167741,6 +168095,7 @@ self: {
];
description = "IPython standard widgets for IHaskell";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"ihp-hsx" = callPackage
@@ -167981,24 +168336,26 @@ self: {
"imbib" = callPackage
({ mkDerivation, base, bibtex, bytestring, ConfigFile, containers
- , curl, directory, download-curl, filepath, glib, gnomevfs, gtk
- , mtl, parsec, process, split, utf8-string
+ , directory, filepath, groom, mtl, optparse-applicative, parsec
+ , parsek, process, split, text
}:
mkDerivation {
pname = "imbib";
- version = "1.0.0";
- sha256 = "0x31wjd6maqixr3rbangaph0s5skp18fmb8xgm1a6jsky8k367vz";
- isLibrary = false;
+ version = "1.2.5";
+ sha256 = "1vghj9pcgq9j827f1vn1mjh0ccz16gizrz6pvjnhyq0dgncgnx0j";
+ isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
- executableHaskellDepends = [
- base bibtex bytestring ConfigFile containers curl directory
- download-curl filepath glib gnomevfs gtk mtl parsec process split
- utf8-string
+ libraryHaskellDepends = [
+ base bibtex bytestring ConfigFile containers directory filepath mtl
+ parsec parsek process split text
];
- description = "Minimalistic reference manager";
+ executableHaskellDepends = [
+ base containers directory filepath groom optparse-applicative text
+ ];
+ description = "Minimalistic .bib reference manager.";
license = "GPL";
- hydraPlatforms = lib.platforms.none;
+ mainProgram = "imbibatch";
}) {};
"imgur" = callPackage
@@ -168321,27 +168678,27 @@ self: {
"implicit" = callPackage
({ mkDerivation, base, blaze-builder, blaze-markup, blaze-svg
, bytestring, containers, criterion, data-default-class, deepseq
- , directory, filepath, hedgehog, hspec, hw-hspec-hedgehog
+ , directory, filepath, hedgehog, hspec, HUnit, hw-hspec-hedgehog
, JuicyPixels, lens, linear, mtl, optparse-applicative, parallel
- , parsec, QuickCheck, show-combinators, text
+ , parsec, QuickCheck, random, show-combinators, text
}:
mkDerivation {
pname = "implicit";
- version = "0.4.0.0";
- sha256 = "06hvvzvik1cw21sj1ynvf1rmy8kfcbsjr4442x59f9l5zq7xsaqw";
+ version = "0.4.1.0";
+ sha256 = "047a43kkk8y4iy8l4mdlh3jqrjcva28ybk0vw3iwg6m1lc3sbn99";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
base blaze-builder blaze-markup blaze-svg bytestring containers
data-default-class deepseq directory filepath JuicyPixels lens
- linear mtl parallel parsec show-combinators text
+ linear mtl parallel parsec random show-combinators text
];
executableHaskellDepends = [
base filepath optparse-applicative text
];
testHaskellDepends = [
- base bytestring directory hedgehog hspec hw-hspec-hedgehog lens
- linear parsec QuickCheck text
+ base bytestring directory hedgehog hspec HUnit hw-hspec-hedgehog
+ lens linear parsec QuickCheck text
];
benchmarkHaskellDepends = [ base criterion linear parsec ];
description = "A math-inspired programmatic 2D & 3D CAD system";
@@ -168350,33 +168707,6 @@ self: {
}) {};
"implicit-hie" = callPackage
- ({ mkDerivation, attoparsec, base, directory, filepath, filepattern
- , hspec, hspec-attoparsec, text, transformers, yaml
- }:
- mkDerivation {
- pname = "implicit-hie";
- version = "0.1.2.7";
- sha256 = "0yb457n26455kbq6kv8g48q66pmmaxcpikmpg9gm00sd6adgq6gl";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- attoparsec base directory filepath filepattern text transformers
- yaml
- ];
- executableHaskellDepends = [
- attoparsec base directory filepath filepattern text transformers
- yaml
- ];
- testHaskellDepends = [
- attoparsec base directory filepath filepattern hspec
- hspec-attoparsec text transformers yaml
- ];
- description = "Auto generate hie-bios cradles & hie.yaml";
- license = lib.licenses.bsd3;
- mainProgram = "gen-hie";
- }) {};
-
- "implicit-hie_0_1_4_0" = callPackage
({ mkDerivation, attoparsec, base, directory, filepath, filepattern
, hspec, hspec-attoparsec, text, transformers, yaml
}:
@@ -168400,7 +168730,6 @@ self: {
];
description = "Auto generate hie-bios cradles & hie.yaml";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
mainProgram = "gen-hie";
}) {};
@@ -168421,6 +168750,8 @@ self: {
testHaskellDepends = [ base ];
description = "Auto generate hie-bios cradles";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"implicit-logging" = callPackage
@@ -168625,6 +168956,8 @@ self: {
testToolDepends = [ hspec-discover ];
description = "A higher-order effect system where the sky's the limit";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"in-other-words-plugin" = callPackage
@@ -168736,6 +169069,8 @@ self: {
pname = "incipit-base";
version = "0.5.1.0";
sha256 = "0bmnfr9j13hq6jil64yivihbrxmm8qwla76slzisjj8mbk0j6sny";
+ revision = "1";
+ editedCabalFile = "1ysxxv2lf1gybic66xgznz2q7f9y2mrnf3m7zn0a1mxxa6c329jc";
libraryHaskellDepends = [
base bytestring containers data-default stm text
];
@@ -168743,15 +169078,46 @@ self: {
license = "BSD-2-Clause-Patent";
}) {};
+ "incipit-base_0_6_0_0" = callPackage
+ ({ mkDerivation, base, bytestring, containers, data-default, stm
+ , text
+ }:
+ mkDerivation {
+ pname = "incipit-base";
+ version = "0.6.0.0";
+ sha256 = "15mmnixl4ny32h5aflqcq8kv37zhddhqwixa53mkz6ksrrdkpsxc";
+ libraryHaskellDepends = [
+ base bytestring containers data-default stm text
+ ];
+ description = "A Prelude for Polysemy – Base Reexports";
+ license = "BSD-2-Clause-Patent";
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"incipit-core" = callPackage
({ mkDerivation, base, incipit-base, polysemy }:
mkDerivation {
pname = "incipit-core";
version = "0.5.1.0";
sha256 = "1cwp0pyhpnq7mq967wfsf1adj8ljpph14wqj4hkgyl685c3hyv6y";
+ revision = "1";
+ editedCabalFile = "05xz4jqh89s397scxm5fhzyq1d7qgsrac4hsflvh762ijhxgghx6";
libraryHaskellDepends = [ base incipit-base polysemy ];
description = "A Prelude for Polysemy";
license = "BSD-2-Clause-Patent";
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
+ "incipit-core_0_6_0_0" = callPackage
+ ({ mkDerivation, base, incipit-base, polysemy }:
+ mkDerivation {
+ pname = "incipit-core";
+ version = "0.6.0.0";
+ sha256 = "103fxzx6riyx5scfw340gj5dldxia7zw1i0xk5bkfbn1p5fw3sf4";
+ libraryHaskellDepends = [ base incipit-base polysemy ];
+ description = "A Prelude for Polysemy";
+ license = "BSD-2-Clause-Patent";
+ hydraPlatforms = lib.platforms.none;
}) {};
"include-env" = callPackage
@@ -168850,15 +169216,15 @@ self: {
"incremental-parser" = callPackage
({ mkDerivation, base, bytestring, checkers, criterion, deepseq
- , input-parsers, monoid-subclasses, parsers, QuickCheck
+ , input-parsers, logict, monoid-subclasses, parsers, QuickCheck
, rank2classes, tasty, tasty-quickcheck, text, transformers
}:
mkDerivation {
pname = "incremental-parser";
- version = "0.5.0.5";
- sha256 = "1hxw7an7ysdhjn2alkv4li3wc9wf56aff1jnkkkvfivjhv0i482c";
+ version = "0.5.1";
+ sha256 = "1hz9mbl4ac948gsc7iax5sjf3w3x114l4f8fc7sqmnrh47s496zv";
libraryHaskellDepends = [
- base input-parsers monoid-subclasses parsers rank2classes
+ base input-parsers logict monoid-subclasses parsers rank2classes
transformers
];
testHaskellDepends = [
@@ -168880,6 +169246,8 @@ self: {
libraryHaskellDepends = [ base containers mtl ];
description = "Simple, Incremental SAT Solving as a Library";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"increments" = callPackage
@@ -169097,6 +169465,8 @@ self: {
libraryHaskellDepends = [ base bifunctors indexed mtl pointed ];
description = "Indexed functors, monads and comonads that require extensions to Haskell98";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"indexed-free" = callPackage
@@ -169133,17 +169503,24 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "indexed-transformers" = callPackage
+ ({ mkDerivation, base, free, mtl, transformers }:
+ mkDerivation {
+ pname = "indexed-transformers";
+ version = "0.1.0.4";
+ sha256 = "0x6ksrajgd52nbjnkj55727ifcg9lkvmr2fkwbzdskwk4f6b4n2c";
+ libraryHaskellDepends = [ base free mtl transformers ];
+ description = "Atkey indexed monad transformers";
+ license = lib.licenses.bsd3;
+ }) {};
+
"indexed-traversable" = callPackage
- ({ mkDerivation, array, base, containers, foldable1-classes-compat
- , transformers
- }:
+ ({ mkDerivation, array, base, containers, transformers }:
mkDerivation {
pname = "indexed-traversable";
version = "0.1.3";
sha256 = "1nak3bq3yzai8b38mflmpsbirx8d6v29zcj9m193m3ppnrgr4chx";
- libraryHaskellDepends = [
- array base containers foldable1-classes-compat transformers
- ];
+ libraryHaskellDepends = [ array base containers transformers ];
description = "FunctorWithIndex, FoldableWithIndex, TraversableWithIndex";
license = lib.licenses.bsd2;
}) {};
@@ -169506,8 +169883,8 @@ self: {
}:
mkDerivation {
pname = "infinite-list";
- version = "0.1";
- sha256 = "0imayklahbpsiciflwvwj3fxjhg461lw6x4515wxr39hgpb18di1";
+ version = "0.1.1";
+ sha256 = "1a5g008nfc35q9jhpkm03sxv5b83qi3bhb0rphqh04193a348s5y";
libraryHaskellDepends = [ base ];
testHaskellDepends = [
base QuickCheck tasty tasty-expected-failure
@@ -169814,6 +170191,8 @@ self: {
description = "Inline some Assembly in ur Haskell!";
license = lib.licenses.bsd3;
platforms = lib.platforms.x86;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"inline-c" = callPackage
@@ -170100,8 +170479,8 @@ self: {
}:
mkDerivation {
pname = "inspection-testing";
- version = "0.5.0.2";
- sha256 = "1jk6xhiy8i9n7w3pz1p7yiyv1p76nwknv0f34r9f5kq36mn0k6kw";
+ version = "0.5.0.3";
+ sha256 = "1kh6lrcdyfnj0c8fqrllb21hfmnlsrllw6jkkg4hya0f9lqf4mgi";
libraryHaskellDepends = [
base containers ghc mtl template-haskell transformers
];
@@ -170183,6 +170562,8 @@ self: {
libraryHaskellDepends = [ base mtl transformers ];
description = "Controls how the compiler searches for instances using type families";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"instance-map" = callPackage
@@ -170612,6 +170993,8 @@ self: {
];
description = "Integer, Natural, and Positive";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"integration" = callPackage
@@ -170830,6 +171213,17 @@ self: {
broken = true;
}) {};
+ "intermediate-structures" = callPackage
+ ({ mkDerivation, base }:
+ mkDerivation {
+ pname = "intermediate-structures";
+ version = "0.1.1.0";
+ sha256 = "1jx9zhb1gwj79v6wh7536awqfnym1a3px9shcjrzz9br37ybiw8v";
+ libraryHaskellDepends = [ base ];
+ description = "Some simple functions to deal with transformations from structures to other ones, basically lists";
+ license = lib.licenses.mit;
+ }) {};
+
"intern" = callPackage
({ mkDerivation, array, base, bytestring, hashable, text
, unordered-containers
@@ -171133,6 +171527,8 @@ self: {
libraryHaskellDepends = [ base base-prelude transformers ];
description = "An abstraction over interspersing monadic actions";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"interval" = callPackage
@@ -171185,6 +171581,8 @@ self: {
testHaskellDepends = [ base hedgehog ];
description = "Intervals of functors";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"interval-patterns" = callPackage
@@ -171268,7 +171666,9 @@ self: {
description = "A game of competitive puzzle-design";
license = lib.licenses.gpl3Only;
badPlatforms = lib.platforms.darwin;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "intricacy";
+ broken = true;
}) {inherit (pkgs) ncurses;};
"intrinsic-superclasses" = callPackage
@@ -171607,8 +172007,8 @@ self: {
({ mkDerivation, array, async, base, bytestring, mtl, stm, time }:
mkDerivation {
pname = "io-classes";
- version = "1.3.1.0";
- sha256 = "1qglx07ng6gf0h5qp758987m90r7mph4x14azb83jmm7p70igzh9";
+ version = "1.4.0.0";
+ sha256 = "1vqwq9hjkbhx0ld7r4226r1gf6v0c4wi9g6nrzqbyzla89yvmvfj";
libraryHaskellDepends = [
array async base bytestring mtl stm time
];
@@ -171620,8 +172020,8 @@ self: {
({ mkDerivation, array, base, io-classes, mtl, si-timers }:
mkDerivation {
pname = "io-classes-mtl";
- version = "0.1.0.2";
- sha256 = "06v55dppc3l0kcncigv4gkn0fgdr3rgcf78in618y7jnc1xszb6f";
+ version = "0.1.0.3";
+ sha256 = "1pkszdsvhnm9nh8dq7k2c5l5n3isqqccr254r96sp8x1bs8gmkv8";
libraryHaskellDepends = [ array base io-classes mtl si-timers ];
description = "Experimental MTL instances for io-classes";
license = lib.licenses.asl20;
@@ -171701,10 +172101,8 @@ self: {
}:
mkDerivation {
pname = "io-sim";
- version = "1.3.1.0";
- sha256 = "069ig3h5ykcf7m3lfz9z5qaz4namrm65hblad3k1wlwc42sjal0j";
- revision = "1";
- editedCabalFile = "029nvs63x9bfq7c21qba5ms27hjmkjmadhddr3zdqvs4m6k0d935";
+ version = "1.4.0.0";
+ sha256 = "1fydgw7g3l7kj1zvypc9x6scvlnmdg0sl59x5y6qsdd7d2ldycx5";
libraryHaskellDepends = [
base containers deepseq exceptions io-classes nothunks psqueues
QuickCheck quiet si-timers strict-stm time
@@ -171995,8 +172393,8 @@ self: {
({ mkDerivation, base, cmdargs, IPv6Addr, text }:
mkDerivation {
pname = "ip6addr";
- version = "1.0.3";
- sha256 = "16dbvrsbg7l4z31faxm1pxps0plg89d4ny2mxsgwyq8r351irmwc";
+ version = "1.0.4";
+ sha256 = "0f8h7374s2mr0acqdmkm265bb3ixy5qfbylsd4a3mclav71878km";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [ base cmdargs IPv6Addr text ];
@@ -172019,8 +172417,6 @@ self: {
testHaskellDepends = [ base hspec text ];
description = "Internal Phonetic Alphabet (IPA)";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- broken = true;
}) {};
"ipatch" = callPackage
@@ -172073,6 +172469,8 @@ self: {
testHaskellDepends = [ base hspec unix ];
description = "Simple inter-process communication through IPCVars";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"ipfs" = callPackage
@@ -172267,28 +172665,6 @@ self: {
}) {};
"ipython-kernel" = callPackage
- ({ mkDerivation, aeson, base, binary, bytestring, containers
- , cryptonite, directory, filepath, memory, parsec, process
- , temporary, text, transformers, unordered-containers, uuid
- , zeromq4-haskell
- }:
- mkDerivation {
- pname = "ipython-kernel";
- version = "0.10.3.0";
- sha256 = "0zvcqgvrykfmp706snbpxy8ia0hkxshrj8r8kfwkvkwhxd72ad5c";
- isLibrary = true;
- isExecutable = true;
- enableSeparateDataOutput = true;
- libraryHaskellDepends = [
- aeson base binary bytestring containers cryptonite directory
- filepath memory parsec process temporary text transformers
- unordered-containers uuid zeromq4-haskell
- ];
- description = "A library for creating kernels for IPython frontends";
- license = lib.licenses.mit;
- }) {};
-
- "ipython-kernel_0_11_0_0" = callPackage
({ mkDerivation, aeson, base, binary, bytestring, containers
, cryptonite, directory, filepath, memory, parsec, process
, temporary, text, transformers, unordered-containers, uuid
@@ -172308,7 +172684,6 @@ self: {
];
description = "A library for creating kernels for IPython frontends";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"irc" = callPackage
@@ -172718,8 +173093,8 @@ self: {
({ mkDerivation, base, hspec, QuickCheck, text }:
mkDerivation {
pname = "isbn";
- version = "1.1.0.4";
- sha256 = "1s8ypi1rx8iqsn0kvp4k818ljfsj7zdh0rkzii60vblaxrfhhczb";
+ version = "1.1.0.5";
+ sha256 = "1373dklc3vpp388j87la3357bsz249cpp84kfqqz9mvq38n12ncs";
libraryHaskellDepends = [ base text ];
testHaskellDepends = [ base hspec QuickCheck text ];
description = "ISBN Validation and Manipulation";
@@ -172812,6 +173187,8 @@ self: {
testHaskellDepends = [ base mtl ];
description = "Deriving via arbitrary isomorphisms";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"iso3166-country-codes" = callPackage
@@ -173161,6 +173538,8 @@ self: {
];
description = "API for hierarchical multilevel collections";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"iteratee" = callPackage
@@ -173778,6 +174157,7 @@ self: {
];
description = "Conversions from ixset-typed to other containers";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"ixset-typed-hashable-instance" = callPackage
@@ -173845,6 +174225,7 @@ self: {
description = "J in Haskell";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"j2hs" = callPackage
@@ -174251,24 +174632,6 @@ self: {
}) {};
"java-adt" = callPackage
- ({ mkDerivation, alex, array, base, happy, pretty }:
- mkDerivation {
- pname = "java-adt";
- version = "0.2018.11.4";
- sha256 = "1pdp7yvq0gpbxw7gp61r5mkrhdiff0cvlxssxzvg770idp46j6p5";
- isLibrary = false;
- isExecutable = true;
- enableSeparateDataOutput = true;
- executableHaskellDepends = [ array base pretty ];
- executableToolDepends = [ alex happy ];
- description = "Create immutable algebraic data structures for Java";
- license = "unknown";
- hydraPlatforms = lib.platforms.none;
- mainProgram = "java-adt";
- broken = true;
- }) {};
-
- "java-adt_1_0_20231204" = callPackage
({ mkDerivation, alex, array, base, happy, pretty }:
mkDerivation {
pname = "java-adt";
@@ -174680,7 +175043,9 @@ self: {
vector vty
];
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "jet";
+ broken = true;
}) {};
"jet-stream" = callPackage
@@ -174735,6 +175100,8 @@ self: {
pname = "jira-wiki-markup";
version = "1.5.1";
sha256 = "0875x0x8v92zh89m28xq3y8gb9c8ca7dm790zczipkrwkhv3v8lw";
+ revision = "1";
+ editedCabalFile = "19lkrzzhqjy5rjg7xcdwsrkna7nygjs0ayq7sm3114b1kbs8hahl";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [ base mtl parsec text ];
@@ -174767,7 +175134,9 @@ self: {
];
description = "Functional sed for JSON";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "jl";
+ broken = true;
}) {};
"jmacro" = callPackage
@@ -175009,6 +175378,8 @@ self: {
];
description = "Trying to compose non-composable";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"jonathanscard" = callPackage
@@ -175204,32 +175575,6 @@ self: {
}) {};
"jose" = callPackage
- ({ mkDerivation, aeson, base, base64-bytestring, bytestring
- , concise, containers, cryptonite, hedgehog, hspec, lens, memory
- , monad-time, mtl, network-uri, pem, tasty, tasty-hedgehog
- , tasty-hspec, template-haskell, text, time, x509
- }:
- mkDerivation {
- pname = "jose";
- version = "0.10.0.1";
- sha256 = "1fbc65dlb5yydcxa4da2plmfyp4136i52zrak4i3vpyf53yfqf1v";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- aeson base base64-bytestring bytestring concise containers
- cryptonite lens memory monad-time mtl network-uri template-haskell
- text time x509
- ];
- testHaskellDepends = [
- aeson base base64-bytestring bytestring concise containers
- cryptonite hedgehog hspec lens mtl network-uri pem tasty
- tasty-hedgehog tasty-hspec time x509
- ];
- description = "JSON Object Signing and Encryption (JOSE) and JSON Web Token (JWT) library";
- license = lib.licenses.asl20;
- }) {};
-
- "jose_0_11" = callPackage
({ mkDerivation, aeson, base, base64-bytestring, bytestring
, concise, containers, crypton, crypton-x509, hedgehog, hspec, lens
, memory, monad-time, mtl, network-uri, pem, tasty, tasty-hedgehog
@@ -175253,7 +175598,6 @@ self: {
];
description = "JSON Object Signing and Encryption (JOSE) and JSON Web Token (JWT) library";
license = lib.licenses.asl20;
- hydraPlatforms = lib.platforms.none;
}) {};
"jose-jwt" = callPackage
@@ -175306,8 +175650,8 @@ self: {
}:
mkDerivation {
pname = "journalctl-stream";
- version = "0.6.0.5";
- sha256 = "1nkv3vfpqndi7hks5mrz6cynvv9fly27zckiln5na3sjbnx3mmyv";
+ version = "0.6.0.6";
+ sha256 = "0n602vh1pxbihksjyppp2895b6kmdjm8qik0mxnsy0id1vqq439w";
libraryHaskellDepends = [
aeson base bytestring conduit process text time
unordered-containers
@@ -175624,23 +175968,6 @@ self: {
}) {};
"json" = callPackage
- ({ mkDerivation, array, base, bytestring, containers, mtl, parsec
- , pretty, syb, text
- }:
- mkDerivation {
- pname = "json";
- version = "0.10";
- sha256 = "1fjnd2r4gl2hfqx158db3cn3rsyin4ch7rf9scb2hcy90cy6l10c";
- revision = "1";
- editedCabalFile = "16fp0y95gaibjravzj1hxdkng1cr8zqjqzd14m48kf4jrq3npz6r";
- libraryHaskellDepends = [
- array base bytestring containers mtl parsec pretty syb text
- ];
- description = "Support for serialising Haskell to and from JSON";
- license = lib.licenses.bsd3;
- }) {};
-
- "json_0_11" = callPackage
({ mkDerivation, array, base, bytestring, containers, mtl, parsec
, pretty, syb, text
}:
@@ -175653,7 +175980,6 @@ self: {
];
description = "Support for serialising Haskell to and from JSON";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"json-alt" = callPackage
@@ -175963,8 +176289,8 @@ self: {
}:
mkDerivation {
pname = "json-feed";
- version = "2.0.0.10";
- sha256 = "0s9fq0yp3svi8jcn2isb4kb5l71hhrahrrflciv1jzbn1kwx8lqj";
+ version = "2.0.0.11";
+ sha256 = "0i8xandvxrh6almz70vkj8hln77j4mnbndy7izqknhzmbxk7ns4q";
libraryHaskellDepends = [
aeson base bytestring mime-types network-uri tagsoup text time
];
@@ -176150,24 +176476,23 @@ self: {
"json-query" = callPackage
({ mkDerivation, array-chunks, base, bytebuild, byteslice
- , bytestring, contiguous, json-syntax, neat-interpolation
+ , bytestring, contiguous, hspec, json-syntax, neat-interpolation
, primitive, primitive-unlifted, profunctors, scientific-notation
- , tasty, tasty-hunit, text, text-short, transformers
+ , tasty, tasty-hspec, tasty-hunit, text, text-short, transformers
}:
mkDerivation {
pname = "json-query";
- version = "0.2.2.0";
- sha256 = "1k0rxrki8qa6gx0kqrhn9k98pn8hz3xg4kxn045r920bacfgsvi2";
- revision = "1";
- editedCabalFile = "1h99j6lsjp4dwmyvkav1j25y4glrsk8xny2rsp81bjblhgi5kfci";
+ version = "0.2.3.1";
+ sha256 = "06j1004is5y5fji89ns9h93qayqmgmjlv9aqlq66xxvp3ijvqzmn";
libraryHaskellDepends = [
array-chunks base bytebuild bytestring contiguous json-syntax
primitive primitive-unlifted profunctors scientific-notation
text-short transformers
];
testHaskellDepends = [
- array-chunks base bytebuild byteslice bytestring json-syntax
- neat-interpolation primitive tasty tasty-hunit text text-short
+ array-chunks base bytebuild byteslice bytestring hspec json-syntax
+ neat-interpolation primitive scientific-notation tasty tasty-hspec
+ tasty-hunit text text-short
];
description = "Kitchen sink for querying JSON";
license = lib.licenses.bsd3;
@@ -176207,6 +176532,39 @@ self: {
broken = true;
}) {};
+ "json-rpc_1_1_0" = callPackage
+ ({ mkDerivation, aeson, attoparsec, attoparsec-aeson, base
+ , bytestring, conduit, conduit-extra, deepseq, hashable, hspec
+ , monad-logger, mtl, QuickCheck, stm-conduit, text, time, unliftio
+ , unordered-containers, vector
+ }:
+ mkDerivation {
+ pname = "json-rpc";
+ version = "1.1.0";
+ sha256 = "00859265k6k53zzk5ivfr3k8xzf6ckiicssafb6n3jabjyb9zv5a";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ aeson attoparsec attoparsec-aeson base bytestring conduit
+ conduit-extra deepseq hashable monad-logger mtl QuickCheck
+ stm-conduit text time unliftio unordered-containers vector
+ ];
+ executableHaskellDepends = [
+ aeson attoparsec-aeson base bytestring conduit conduit-extra
+ monad-logger mtl QuickCheck stm-conduit text time unliftio
+ unordered-containers vector
+ ];
+ testHaskellDepends = [
+ aeson attoparsec-aeson base bytestring conduit conduit-extra hspec
+ monad-logger mtl QuickCheck stm-conduit text time unliftio
+ unordered-containers vector
+ ];
+ description = "Fully-featured JSON-RPC 2.0 library";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {};
+
"json-rpc-client" = callPackage
({ mkDerivation, aeson, base, bytestring, HUnit, json-rpc-server
, mtl, QuickCheck, scientific, test-framework, test-framework-hunit
@@ -176329,6 +176687,7 @@ self: {
];
description = "Generics JSON (de)serialization using generics-sop";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"json-spec" = callPackage
@@ -176337,8 +176696,8 @@ self: {
}:
mkDerivation {
pname = "json-spec";
- version = "0.2.1.3";
- sha256 = "02d7ynl24xsqcxb6bybndc9nqp7k6wd8ymcrr1ni6w04vr56s7rj";
+ version = "0.2.2.0";
+ sha256 = "0hw8kdypxf2yp2nnzv9alnn5pw0g382lvp7bdzdfw6v8iap2m8vs";
libraryHaskellDepends = [
aeson base containers scientific text time vector
];
@@ -176381,12 +176740,11 @@ self: {
}:
mkDerivation {
pname = "json-spec-elm-servant";
- version = "0.3.1.2";
- sha256 = "1w3pydypk2ay20c3rdfl9r0jhy1ffj4q3h83kv29jrypcbdb5f19";
+ version = "0.3.2.1";
+ sha256 = "12dj4b933mq6rjqffnzmxf9y244mjl5wk8dkadnln2m2krfy8nyj";
libraryHaskellDepends = [
- base bound containers directory elm-syntax http-types json-spec
- json-spec-elm mtl prettyprinter process servant text
- unordered-containers
+ base bound containers elm-syntax http-types json-spec json-spec-elm
+ mtl servant text
];
testHaskellDepends = [
aeson base binary bound bytestring containers cookie directory
@@ -176399,18 +176757,19 @@ self: {
}) {};
"json-spec-openapi" = callPackage
- ({ mkDerivation, aeson, base, bytestring, hspec, json-spec, lens
- , openapi3, text, time
+ ({ mkDerivation, aeson, base, bytestring, hspec
+ , insert-ordered-containers, json-spec, lens, openapi3, text, time
}:
mkDerivation {
pname = "json-spec-openapi";
- version = "0.1.0.3";
- sha256 = "07yiglfkf6alqwidkq4mqcp449mxf2461zrclh90bxix5agk5ppc";
+ version = "0.2.1.0";
+ sha256 = "0kyqph45rxyz96ydjc8ap34xj70r05jnqq33m2saf7xk52wbw8b7";
libraryHaskellDepends = [
- aeson base json-spec lens openapi3 text
+ aeson base insert-ordered-containers json-spec lens openapi3 text
];
testHaskellDepends = [
- aeson base bytestring hspec json-spec lens openapi3 text time
+ aeson base bytestring hspec insert-ordered-containers json-spec
+ lens openapi3 text time
];
description = "json-spec-openapi";
license = lib.licenses.mit;
@@ -176430,6 +176789,7 @@ self: {
];
description = "Keep program state in JSON files";
license = lib.licenses.publicDomain;
+ hydraPlatforms = lib.platforms.none;
}) {};
"json-stream" = callPackage
@@ -176456,30 +176816,29 @@ self: {
"json-syntax" = callPackage
({ mkDerivation, aeson, array-builder, array-chunks, base
- , bytebuild, byteslice, bytesmith, bytestring, containers
- , contiguous, gauge, natural-arithmetic, neat-interpolation
- , primitive, QuickCheck, run-st, scientific, scientific-notation
- , tasty, tasty-golden, tasty-hunit, tasty-quickcheck, text
- , text-short, transformers, vector, word-compat, zigzag
+ , bytebuild, byteslice, bytesmith, bytestring, contiguous, gauge
+ , natural-arithmetic, neat-interpolation, primitive, QuickCheck
+ , run-st, scientific, scientific-notation, tasty, tasty-golden
+ , tasty-hunit, tasty-quickcheck, text, text-short, transformers
+ , word-compat, zigzag
}:
mkDerivation {
pname = "json-syntax";
- version = "0.2.7.0";
- sha256 = "07502z9i8y7z87ps6m0vz0wprrlwkyniwwlgmbr403kjihn1fj3l";
+ version = "0.2.7.2";
+ sha256 = "0niyi6vzrl9q4xlh0d5ygdm81zvbgglkmfq5p2a44y6avzqs1sq7";
libraryHaskellDepends = [
array-builder array-chunks base bytebuild byteslice bytesmith
bytestring contiguous natural-arithmetic primitive run-st
scientific-notation text text-short transformers word-compat zigzag
];
testHaskellDepends = [
- aeson array-chunks base bytebuild byteslice bytestring containers
- neat-interpolation primitive QuickCheck scientific
- scientific-notation tasty tasty-golden tasty-hunit tasty-quickcheck
- text text-short vector
+ aeson base bytebuild byteslice bytestring neat-interpolation
+ primitive QuickCheck scientific scientific-notation tasty
+ tasty-golden tasty-hunit tasty-quickcheck text text-short
];
benchmarkHaskellDepends = [
aeson base bytebuild byteslice bytestring gauge neat-interpolation
- primitive scientific-notation text
+ primitive text
];
description = "High-performance JSON parser and encoder";
license = lib.licenses.bsd3;
@@ -176516,7 +176875,9 @@ self: {
recursion-schemes text unordered-containers vector
];
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "json-to-haskell";
+ broken = true;
}) {};
"json-togo" = callPackage
@@ -176744,26 +177105,6 @@ self: {
}) {};
"jsonifier" = callPackage
- ({ mkDerivation, aeson, base, buffer-builder, bytestring, gauge
- , hedgehog, numeric-limits, ptr-poker, rerebase, scientific, text
- , text-builder
- }:
- mkDerivation {
- pname = "jsonifier";
- version = "0.2.1.2";
- sha256 = "0swv5xmns2qqkmb8wc0f9gsbrs81ghfp8jvq0lr7fgam3cbzaibr";
- libraryHaskellDepends = [
- base bytestring ptr-poker scientific text
- ];
- testHaskellDepends = [ aeson hedgehog numeric-limits rerebase ];
- benchmarkHaskellDepends = [
- aeson buffer-builder gauge rerebase text-builder
- ];
- description = "Fast and simple JSON encoding toolkit";
- license = lib.licenses.mit;
- }) {};
-
- "jsonifier_0_2_1_3" = callPackage
({ mkDerivation, aeson, base, bytestring, criterion, hedgehog
, numeric-limits, ptr-poker, rerebase, scientific, text
, text-builder
@@ -176781,7 +177122,6 @@ self: {
];
description = "Fast and simple JSON encoding toolkit";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"jsonl" = callPackage
@@ -176821,6 +177161,8 @@ self: {
];
description = "JsonLogic Evaluation";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"jsonlogic-aeson" = callPackage
@@ -177448,6 +177790,8 @@ self: {
pname = "jvm-parser";
version = "0.2.1";
sha256 = "0rhixf1syrnhql3aqlvl9hcylaiyhca1yvismdzalkhbz0qdgvir";
+ revision = "1";
+ editedCabalFile = "0mqz39vva3j7vdmjz1pb9998ymh4hpsqlx9qz1gr630lwzkly7nl";
libraryHaskellDepends = [
array base binary bytestring containers data-binary-ieee754 fgl
fingertree pretty zlib
@@ -177827,6 +178171,8 @@ self: {
pname = "kansas-comet";
version = "0.4.2";
sha256 = "01g30ck1nhj8qwz475mvwvmd8nc1yqxl5qi84c957gp116kig2v7";
+ revision = "1";
+ editedCabalFile = "15gbk5yiqvrimb9x16bxqwk1qsksl9ydvzpxqg154212qabid7v5";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
aeson base containers data-default-class scotty stm text time
@@ -178157,8 +178503,8 @@ self: {
}:
mkDerivation {
pname = "katip-raven";
- version = "0.1.0.0";
- sha256 = "107hb8rn6si77ms9hp72494ah4ismfivkf8xgldy1jk2ifqgxc0d";
+ version = "0.1.1.0";
+ sha256 = "0fwjv2dnr081925yndxhv779ial0625qfcj8756bd7vvxn2g6l2j";
libraryHaskellDepends = [
aeson base katip raven-haskell string-conv text
unordered-containers
@@ -178231,8 +178577,8 @@ self: {
}:
mkDerivation {
pname = "katip-wai";
- version = "0.1.2.2";
- sha256 = "09mwjxnpm2a1s1m99qfyd1v5snf0jar470vg5rsvfr840d27bayh";
+ version = "0.1.2.3";
+ sha256 = "1b6rz18jcpxjhckpkknhj760np7xlml10hyfnk891agpgmlsii15";
libraryHaskellDepends = [
aeson base bytestring clock http-types katip network text uuid wai
];
@@ -178324,7 +178670,9 @@ self: {
testHaskellDepends = [ base hedgehog text unordered-containers ];
description = "Key-value store in single files";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "kawa";
+ broken = true;
}) {};
"kawaii" = callPackage
@@ -179214,8 +179562,8 @@ self: {
}:
mkDerivation {
pname = "keter";
- version = "2.1.2";
- sha256 = "1ig64jc9xk4cy1s5ip38nvdp1jsnp122gkrlnah8rh6pw56qcdfc";
+ version = "2.1.3";
+ sha256 = "1iq2768rvd7mlxjhfjxl59ak1k12dbf5ywms7nyc5ygfj8j5g90s";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -179235,7 +179583,7 @@ self: {
lens monad-logger mtl stm tasty tasty-hunit transformers unix wai
warp wreq
];
- description = "Web application deployment manager, focusing on Haskell web frameworks";
+ description = "Web application deployment manager, focusing on Haskell web frameworks. It mitigates downtime.";
license = lib.licenses.mit;
mainProgram = "keter";
}) {};
@@ -179302,6 +179650,8 @@ self: {
libraryHaskellDepends = [ base transformers ];
description = "Type-safe unconstrained dynamic typing";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"key-state" = callPackage
@@ -179391,8 +179741,8 @@ self: {
}:
mkDerivation {
pname = "keyed-vals";
- version = "0.2.2.0";
- sha256 = "1f6sigfx2cywx2kf4z3xyjzi5b8zzisb6ic3z6py6ybzwnpxglr5";
+ version = "0.2.3.0";
+ sha256 = "1slrqqcvwnsq8jxik1i015ha6gj47shv58lb6yy0ywik07m7xjd7";
libraryHaskellDepends = [
aeson base bytestring containers http-api-data redis-glob text
];
@@ -179406,8 +179756,8 @@ self: {
}:
mkDerivation {
pname = "keyed-vals-hspec-tests";
- version = "0.2.2.0";
- sha256 = "15izwj5yby3sfw6b830g44yxkz64gjhrxqrav3gip6a50m8alfq5";
+ version = "0.2.3.0";
+ sha256 = "1bi0lqh0c9bhdpgn5071jd90chsf1sq7cx5iigj324087al45a08";
libraryHaskellDepends = [
aeson base benri-hspec bytestring containers hspec http-api-data
keyed-vals text
@@ -179422,8 +179772,8 @@ self: {
}:
mkDerivation {
pname = "keyed-vals-mem";
- version = "0.2.2.0";
- sha256 = "09ha9sgx12sr1v072c9wlh368b7mqy8cf0glradz3z85ambgw483";
+ version = "0.2.3.0";
+ sha256 = "1kd9f1s07sb7a8isl1zdw2xyrbrzjcbxbwsk16y2n4yp6rknbl28";
libraryHaskellDepends = [
base bytestring containers keyed-vals text unliftio unliftio-core
];
@@ -179439,8 +179789,8 @@ self: {
}:
mkDerivation {
pname = "keyed-vals-redis";
- version = "0.2.2.0";
- sha256 = "1wkf3jaxljb71l9a8cmk4qd048g8if9mq2iw97ch0q5c7k8lqahj";
+ version = "0.2.3.0";
+ sha256 = "0lsvqmdxz9snglnnws1511amq0cp6m7rlfb54mshwbn2i59i87kd";
libraryHaskellDepends = [
base bytestring containers hedis keyed-vals read-env-var text
unliftio unliftio-core
@@ -179559,6 +179909,7 @@ self: {
];
description = "Managing stores of secret things";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"keyvaluehash" = callPackage
@@ -179848,6 +180199,22 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
+ "kindly-functors" = callPackage
+ ({ mkDerivation, base, hspec, mtl, profunctors, semigroupoids
+ , these, witherable
+ }:
+ mkDerivation {
+ pname = "kindly-functors";
+ version = "0.1.0.1";
+ sha256 = "1axr3syjpkc3pqn9h0vjdvp1j378gniz61vpvq34c10frr06pizn";
+ libraryHaskellDepends = [
+ base mtl profunctors semigroupoids these witherable
+ ];
+ testHaskellDepends = [ base hspec ];
+ description = "A category polymorphic `Functor` typeclass";
+ license = lib.licenses.mit;
+ }) {};
+
"kinds" = callPackage
({ mkDerivation, base }:
mkDerivation {
@@ -180012,7 +180379,9 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Advanced keyboard remapping utility";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "kmonad";
+ broken = true;
}) {};
"kmp-dfa" = callPackage
@@ -180125,6 +180494,8 @@ self: {
pname = "knob";
version = "0.2.2";
sha256 = "1sgfq0jkzzvhfwksjxk1z80z6mfgxniskjmqmxy5bq6ly42s3xhc";
+ revision = "1";
+ editedCabalFile = "0bpyhn04v643nnlpda9b0z2sil1czqdwba30ppgc3dbzmfbwypa6";
libraryHaskellDepends = [ base bytestring transformers ];
description = "Memory-backed handles";
license = lib.licenses.mit;
@@ -180309,6 +180680,8 @@ self: {
];
description = "Utilities for working with many HStringTemplate templates from files";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"koofr-client" = callPackage
@@ -180451,7 +180824,9 @@ self: {
];
description = "Krank checks issue tracker link status in your source code";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "krank";
+ broken = true;
}) {};
"krapsh" = callPackage
@@ -181010,7 +181385,9 @@ self: {
executableHaskellDepends = [ base containers mtl parsec text ];
description = "Interpreters for lambda calculus, calculus of constructions, and more";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "lambda";
+ broken = true;
}) {};
"lambda-ast" = callPackage
@@ -181901,6 +182278,8 @@ self: {
pname = "lame";
version = "0.2.2";
sha256 = "0zfjiyabzzkg9mdy8ynf9sa89zcdpvynzhph7svyjxw6a6h0i0mg";
+ revision = "1";
+ editedCabalFile = "1d12xrg7whm83v60dq01r961svp8dn212qwy108wh8z5v5hvfryp";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
base bytestring directory exceptions filepath text wave
@@ -182088,6 +182467,7 @@ self: {
benchmarkHaskellDepends = [ base criterion ];
description = "Parser and pretty-printer for ATS";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"language-avro" = callPackage
@@ -182106,6 +182486,7 @@ self: {
];
description = "Language definition and parser for AVRO files";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
}) {};
"language-bash" = callPackage
@@ -182124,6 +182505,19 @@ self: {
];
description = "Parsing and pretty-printing Bash shell scripts";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {};
+
+ "language-bluespec" = callPackage
+ ({ mkDerivation, base, containers, pretty, text }:
+ mkDerivation {
+ pname = "language-bluespec";
+ version = "0.1";
+ sha256 = "1an1w3sz0fj5w4gaf66fqyr471cijj1h11zmxs5247fa7vsdv167";
+ libraryHaskellDepends = [ base containers pretty text ];
+ description = "An implementation of the Bluespec Haskell AST";
+ license = lib.licenses.bsd3;
}) {};
"language-boogie" = callPackage
@@ -182156,10 +182550,8 @@ self: {
}:
mkDerivation {
pname = "language-c";
- version = "0.9.2";
- sha256 = "1cvcxwnbg71xijadr4aqzwxaw29fxj5z2gpnz3lp5pqnv8phscdj";
- revision = "1";
- editedCabalFile = "03qfp9mf4yrdw3m6sab3np7wjaigg5p3xiiirxin8ihsnnx4rv5l";
+ version = "0.9.3";
+ sha256 = "0lz2djw7zs8wnp23amqqxdyin3jgbjfh2dr2sr1v0kqpqav3yw81";
libraryHaskellDepends = [
array base bytestring containers deepseq directory filepath mtl
pretty process
@@ -182245,8 +182637,8 @@ self: {
({ mkDerivation, base, language-c99, language-c99-util, mtl }:
mkDerivation {
pname = "language-c99-simple";
- version = "0.2.3";
- sha256 = "0myjz09psk7snv4366qxdx1wn4a4xc50vnp97yg6bgmasl8kibz3";
+ version = "0.3.0";
+ sha256 = "0vp08bjl6cs0mivn4fgxvq93scz9ljfwskh9w2d7km6gs9xpjxbj";
libraryHaskellDepends = [
base language-c99 language-c99-util mtl
];
@@ -182498,6 +182890,8 @@ self: {
];
description = "JavaScript parser and pretty-printer library";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"language-ecmascript-analysis" = callPackage
@@ -182513,6 +182907,7 @@ self: {
];
description = "JavaScript static analysis library";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"language-eiffel" = callPackage
@@ -183347,6 +183742,8 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Parser and pretty printer for the Thrift IDL format";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"language-tl" = callPackage
@@ -183455,8 +183852,8 @@ self: {
}:
mkDerivation {
pname = "lapack";
- version = "0.5.1";
- sha256 = "0lknvjhdn1gqxp6cc8iyiwqdvd2nxby3csfvprkbn5b7x6c6f58k";
+ version = "0.5.1.1";
+ sha256 = "1fcg43anw1ig861f20p7654gf8g4i2yb2rnld3q22gyi6s5bmi75";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -183950,34 +184347,9 @@ self: {
"lattices" = callPackage
({ mkDerivation, base, base-compat, containers, deepseq, hashable
- , integer-logarithms, QuickCheck, quickcheck-instances
- , semigroupoids, tagged, tasty, tasty-quickcheck, transformers
- , universe-base, universe-reverse-instances, unordered-containers
- }:
- mkDerivation {
- pname = "lattices";
- version = "2.1";
- sha256 = "1wxam7c00bcfl3g1aiayxzjscmmbm393gfj8zmx77ijhs7v1zp3v";
- libraryHaskellDepends = [
- base base-compat containers deepseq hashable integer-logarithms
- QuickCheck semigroupoids tagged transformers universe-base
- universe-reverse-instances unordered-containers
- ];
- testHaskellDepends = [
- base base-compat containers QuickCheck quickcheck-instances tasty
- tasty-quickcheck transformers universe-base
- universe-reverse-instances unordered-containers
- ];
- description = "Fine-grained library for constructing and manipulating lattices";
- license = lib.licenses.bsd3;
- }) {};
-
- "lattices_2_2" = callPackage
- ({ mkDerivation, base, base-compat, containers, deepseq
- , foldable1-classes-compat, hashable, integer-logarithms
- , QuickCheck, quickcheck-instances, tagged, tasty, tasty-quickcheck
- , transformers, universe-base, universe-reverse-instances
- , unordered-containers
+ , integer-logarithms, QuickCheck, quickcheck-instances, tagged
+ , tasty, tasty-quickcheck, transformers, universe-base
+ , universe-reverse-instances, unordered-containers
}:
mkDerivation {
pname = "lattices";
@@ -183986,9 +184358,9 @@ self: {
revision = "1";
editedCabalFile = "1q7kl6lxk47lchbmgx15690akggdshvjjhdvmwzfj3hqgwgzjjyi";
libraryHaskellDepends = [
- base base-compat containers deepseq foldable1-classes-compat
- hashable integer-logarithms QuickCheck tagged transformers
- universe-base universe-reverse-instances unordered-containers
+ base base-compat containers deepseq hashable integer-logarithms
+ QuickCheck tagged transformers universe-base
+ universe-reverse-instances unordered-containers
];
testHaskellDepends = [
base base-compat containers QuickCheck quickcheck-instances tasty
@@ -183997,7 +184369,6 @@ self: {
];
description = "Fine-grained library for constructing and manipulating lattices";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"launchdarkly-server-sdk" = callPackage
@@ -184006,25 +184377,25 @@ self: {
, generic-lens, hashtables, http-client, http-client-tls
, http-types, HUnit, iso8601-time, lens, lrucache, memory
, monad-logger, monad-loops, mtl, pcre-light, random, scientific
- , semver, text, time, unordered-containers, uuid, vector, yaml
+ , semver, text, time, unordered-containers, uuid, yaml
}:
mkDerivation {
pname = "launchdarkly-server-sdk";
- version = "4.0.1";
- sha256 = "0rmjkdlbayfp3bgwyw0rkpj7cc4fkgsh1f6388v6hhdip3j8r9ni";
+ version = "4.0.3";
+ sha256 = "00b9l5qr5ik5w7mqwb3ajm7w6l30l1y76nh3n01jkymy4q2mk44y";
libraryHaskellDepends = [
aeson attoparsec base base16-bytestring bytestring clock containers
cryptohash exceptions extra generic-lens hashtables http-client
http-client-tls http-types iso8601-time lens lrucache memory
monad-logger monad-loops mtl pcre-light random scientific semver
- text time unordered-containers uuid vector yaml
+ text time unordered-containers uuid yaml
];
testHaskellDepends = [
aeson attoparsec base base16-bytestring bytestring clock containers
cryptohash exceptions extra generic-lens hashtables http-client
http-client-tls http-types HUnit iso8601-time lens lrucache memory
monad-logger monad-loops mtl pcre-light random scientific semver
- text time unordered-containers uuid vector yaml
+ text time unordered-containers uuid yaml
];
description = "Server-side SDK for integrating with LaunchDarkly";
license = lib.licenses.asl20;
@@ -184102,6 +184473,7 @@ self: {
];
description = "Hedgehog support for lawful-classes";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
}) {};
"lawful-classes-quickcheck" = callPackage
@@ -184122,6 +184494,7 @@ self: {
];
description = "QuickCheck support for lawful-classes";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
}) {};
"lawful-classes-types" = callPackage
@@ -184133,6 +184506,8 @@ self: {
libraryHaskellDepends = [ base ];
description = "Types for lawful-classes";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"lawless-concurrent-machines" = callPackage
@@ -184359,6 +184734,8 @@ self: {
];
description = "Asynchronous actions that don't start right away";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"lazy-bracket" = callPackage
@@ -184371,6 +184748,8 @@ self: {
testHaskellDepends = [ base doctest exceptions tasty tasty-hunit ];
description = "A bracket with lazy resource allocation";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"lazy-cache" = callPackage
@@ -184548,6 +184927,17 @@ self: {
maintainers = [ lib.maintainers.thielema ];
}) {};
+ "lazyio-applicative" = callPackage
+ ({ mkDerivation, base }:
+ mkDerivation {
+ pname = "lazyio-applicative";
+ version = "0.1.0.3";
+ sha256 = "1p0qhdbcnmx17j069n3w89jv8wpxzari4ihylrjk2x78k4b3p1cb";
+ libraryHaskellDepends = [ base ];
+ description = "LazyIO applicative for asymptotic performance";
+ license = lib.licenses.mit;
+ }) {};
+
"lazyset" = callPackage
({ mkDerivation, base, containers, data-ordlist, HUnit, time
, timeit
@@ -184669,6 +185059,7 @@ self: {
testHaskellDepends = [ base bytestring hspec process semigroups ];
description = "Pure Haskell LDAP Client Library";
license = lib.licenses.bsd2;
+ hydraPlatforms = lib.platforms.none;
}) {};
"ldap-client-og" = callPackage
@@ -184689,6 +185080,7 @@ self: {
];
description = "Pure Haskell LDAP Client Library";
license = lib.licenses.bsd2;
+ hydraPlatforms = lib.platforms.none;
}) {};
"ldap-scim-bridge" = callPackage
@@ -184857,8 +185249,8 @@ self: {
({ mkDerivation, base, template-haskell }:
mkDerivation {
pname = "leancheck";
- version = "1.0.0";
- sha256 = "0896792d34aqag2hhmi6596kx46ca3897d0v9lr69qbcms9jj5gh";
+ version = "1.0.2";
+ sha256 = "0sp2rvz4h7mbra5jmn71ds3xz0934hhmsssbhsfgvkifgk8vcb0i";
libraryHaskellDepends = [ base template-haskell ];
testHaskellDepends = [ base ];
description = "Enumerative property-based testing";
@@ -184980,26 +185372,6 @@ self: {
}) {};
"learn-physics" = callPackage
- ({ mkDerivation, base, gloss, gnuplot, hmatrix, not-gloss
- , spatial-math, vector-space
- }:
- mkDerivation {
- pname = "learn-physics";
- version = "0.6.5";
- sha256 = "0hcd03ygsq6kl08k7d8f61xm7bdzsdx6v4mplzz0c6c7sp9a40sb";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- base gloss gnuplot hmatrix not-gloss spatial-math vector-space
- ];
- executableHaskellDepends = [
- base gloss gnuplot not-gloss spatial-math
- ];
- description = "Haskell code for learning physics";
- license = lib.licenses.bsd3;
- }) {};
-
- "learn-physics_0_6_6" = callPackage
({ mkDerivation, base, gloss, gnuplot, hmatrix, SpatialMath
, vector-space, Vis
}:
@@ -185072,6 +185444,8 @@ self: {
benchmarkHaskellDepends = [ base bytestring criterion deepseq ];
description = "LEB128 encoding logic for and in Haskell";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"leb128-binary" = callPackage
@@ -185517,8 +185891,8 @@ self: {
({ mkDerivation, base, hspec, template-haskell, transformers }:
mkDerivation {
pname = "lens-family-th";
- version = "0.5.2.1";
- sha256 = "1w8k68a1lyfd79xkc4fbf6z7p5gpriq4pjgb4n9p58az6awnn7dh";
+ version = "0.5.3.1";
+ sha256 = "0fhv44qb3gdwiay3imhwhqhdpiczncjz2w6jiiqk11qn4a63rv7l";
libraryHaskellDepends = [ base template-haskell ];
testHaskellDepends = [ base hspec template-haskell transformers ];
description = "Generate lens-family style lenses";
@@ -185714,6 +186088,8 @@ self: {
];
description = "Computing lenses generically using generics-sop";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"lens-tell" = callPackage
@@ -185940,6 +186316,7 @@ self: {
];
description = "Van Laarhoven lenses";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"lenz-mtl" = callPackage
@@ -185957,6 +186334,7 @@ self: {
];
description = "mtl operations with Van Laarhoven lenses";
license = "unknown";
+ hydraPlatforms = lib.platforms.none;
}) {};
"lenz-template" = callPackage
@@ -186043,6 +186421,8 @@ self: {
];
description = "Haskell bindings to LevelDB";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {inherit (pkgs) leveldb;};
"leveldb-haskell-fork" = callPackage
@@ -186516,6 +186896,8 @@ self: {
libraryHaskellDepends = [ base mtl process ];
description = "Simple Git Wrapper";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"libgraph" = callPackage
@@ -186964,8 +187346,8 @@ self: {
}:
mkDerivation {
pname = "libphonenumber";
- version = "0.1.2.0";
- sha256 = "0iw4ps3dky0grbvmajaz81d6q9zzqy8r9jfjmh6bc5i6k3w0mqwa";
+ version = "0.1.3.0";
+ sha256 = "1yhkkik6471gvx36i04hhbc9aq5fywgc6yrkii81rc4m6g3xnkig";
libraryHaskellDepends = [
base bytestring containers deepseq system-cxx-std-lib transformers
];
@@ -187082,6 +187464,7 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Move/rename according a set of rules";
license = lib.licenses.isc;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "librarian";
}) {};
@@ -187213,8 +187596,8 @@ self: {
({ mkDerivation, base, libsodium }:
mkDerivation {
pname = "libsodium-bindings";
- version = "0.0.1.0";
- sha256 = "1asmcmqiahammzxk79r0afaaxn2izvfwr5hn6ddca2qyjch30lci";
+ version = "0.0.1.1";
+ sha256 = "0gfksw47rl13a3mhyzawhxrcp9ia6g85m5v59pp85jci0bgs7bda";
libraryHaskellDepends = [ base ];
librarySystemDepends = [ libsodium ];
description = "FFI bindings to libsodium";
@@ -188296,37 +188679,6 @@ self: {
}) {};
"linear-base" = callPackage
- ({ mkDerivation, base, containers, deepseq, gauge, ghc-prim
- , hashable, hashtables, hedgehog, inspection-testing
- , linear-generics, mmorph, MonadRandom, primitive, random
- , random-shuffle, storable-tuple, tasty, tasty-hedgehog
- , tasty-inspection-testing, text, transformers
- , unordered-containers, vector
- }:
- mkDerivation {
- pname = "linear-base";
- version = "0.3.1";
- sha256 = "1gm8bwyi39x5x29xb6nq13x9x9p30vm29s11k4pkcql70sj4pv0h";
- revision = "2";
- editedCabalFile = "0bfpjjr2y16h2x3gphsljgzcqrlwbmrxxqsikgn2idwy73pvd32l";
- libraryHaskellDepends = [
- base containers ghc-prim hashable linear-generics primitive
- storable-tuple text transformers vector
- ];
- testHaskellDepends = [
- base containers hedgehog inspection-testing linear-generics mmorph
- storable-tuple tasty tasty-hedgehog tasty-inspection-testing text
- vector
- ];
- benchmarkHaskellDepends = [
- base deepseq gauge hashable hashtables MonadRandom random
- random-shuffle unordered-containers vector
- ];
- description = "Standard library for linear types";
- license = lib.licenses.mit;
- }) {};
-
- "linear-base_0_4_0" = callPackage
({ mkDerivation, base, containers, deepseq, ghc-prim, hashable
, hashtables, hedgehog, inspection-testing, linear-generics, mmorph
, MonadRandom, primitive, random, random-shuffle, storable-tuple
@@ -188352,7 +188704,6 @@ self: {
];
description = "Standard library for linear types";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"linear-circuit" = callPackage
@@ -188409,8 +188760,8 @@ self: {
}:
mkDerivation {
pname = "linear-generics";
- version = "0.2.1";
- sha256 = "15wlv11hsmnxzaf98ycdi29f1a79sbiq250b2kk459f0wfdbl8xd";
+ version = "0.2.3";
+ sha256 = "0093ywl8s8k92b50li28gcmqc616f6l7jkv7vslkvqzn42yrvbq2";
libraryHaskellDepends = [
base containers ghc-prim template-haskell th-abstraction
];
@@ -188420,22 +188771,20 @@ self: {
license = lib.licenses.bsd3;
}) {};
- "linear-generics_0_2_2" = callPackage
- ({ mkDerivation, base, containers, ghc-prim, hspec, hspec-discover
- , template-haskell, th-abstraction
+ "linear-geo" = callPackage
+ ({ mkDerivation, base, deepseq, distributive, hedgehog, linear
+ , reflection, vector
}:
mkDerivation {
- pname = "linear-generics";
- version = "0.2.2";
- sha256 = "0ls571qzayky0dax9d209lw9wv344z3969daqcsncv0r5rlvlps9";
+ pname = "linear-geo";
+ version = "0.1.0.0";
+ sha256 = "1waa1z8a52q21zl32zajrwimqbjl5cfgj3j0cxfza7ja92lqv3yd";
libraryHaskellDepends = [
- base containers ghc-prim template-haskell th-abstraction
+ base deepseq distributive linear vector
];
- testHaskellDepends = [ base hspec template-haskell ];
- testToolDepends = [ hspec-discover ];
- description = "Generic programming library for generalised deriving";
- license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
+ testHaskellDepends = [ base hedgehog linear reflection ];
+ description = "Geographic coordinates, built on the linear package";
+ license = lib.licenses.mit;
}) {};
"linear-grammar" = callPackage
@@ -188489,8 +188838,8 @@ self: {
}:
mkDerivation {
pname = "linear-programming";
- version = "0.0.0.1";
- sha256 = "0m485xdivj08c4nygfi5d27448f12mcdiq03l170mk2jhx8ncmfx";
+ version = "0.0.1";
+ sha256 = "0f6m4qh040wgi8aygq4f2apfixdis7psj67f0iimh8rcswc8bv3z";
libraryHaskellDepends = [
base comfort-array non-empty QuickCheck random transformers
utility-ht
@@ -188655,8 +189004,8 @@ self: {
}:
mkDerivation {
pname = "linenoise";
- version = "0.3.2";
- sha256 = "0hs910k358mfx1s1cmrc76f8ra3r34748h22m68a64mspibd2frx";
+ version = "0.4.0";
+ sha256 = "0j91vskwkjn98acbx8jgxq76xk53bzds2k9b9zjfra5y17bn0gqr";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -189028,20 +189377,6 @@ self: {
}) {};
"linux-file-extents" = callPackage
- ({ mkDerivation, base, unix }:
- mkDerivation {
- pname = "linux-file-extents";
- version = "0.2.0.0";
- sha256 = "1l4vznam1a8vf3nixhbmw38rpzkvmbka0cbdfdsgfrpn03kxjz3c";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [ base unix ];
- description = "Retrieve file fragmentation information under Linux";
- license = lib.licenses.bsd3;
- platforms = lib.platforms.linux;
- }) {};
-
- "linux-file-extents_0_2_0_1" = callPackage
({ mkDerivation, base, unix }:
mkDerivation {
pname = "linux-file-extents";
@@ -189053,7 +189388,6 @@ self: {
description = "Retrieve file fragmentation information under Linux";
license = lib.licenses.bsd3;
platforms = lib.platforms.linux;
- hydraPlatforms = lib.platforms.none;
}) {};
"linux-framebuffer" = callPackage
@@ -189065,6 +189399,8 @@ self: {
libraryHaskellDepends = [ base bindings-DSL unix ];
description = "Linux fbdev (framebuffer device, /dev/fbX) utility functions";
license = "unknown";
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"linux-inotify" = callPackage
@@ -189106,18 +189442,6 @@ self: {
}) {};
"linux-namespaces" = callPackage
- ({ mkDerivation, base, bytestring, unix }:
- mkDerivation {
- pname = "linux-namespaces";
- version = "0.1.3.0";
- sha256 = "1rvkzfmd07sz46k059ly80cjgwx67igfw8zsw8c6ljsp3hsdn4hl";
- libraryHaskellDepends = [ base bytestring unix ];
- description = "Work with linux namespaces: create new or enter existing ones";
- license = lib.licenses.bsd3;
- platforms = lib.platforms.linux;
- }) {};
-
- "linux-namespaces_0_1_3_1" = callPackage
({ mkDerivation, base, bytestring, unix }:
mkDerivation {
pname = "linux-namespaces";
@@ -189127,7 +189451,6 @@ self: {
description = "Work with linux namespaces: create new or enter existing ones";
license = lib.licenses.bsd3;
platforms = lib.platforms.linux;
- hydraPlatforms = lib.platforms.none;
}) {};
"linux-perf" = callPackage
@@ -189252,6 +189575,8 @@ self: {
description = "Labeled File System interface for LIO";
license = "GPL";
badPlatforms = lib.platforms.darwin;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"lio-simple" = callPackage
@@ -189288,8 +189613,8 @@ self: {
}:
mkDerivation {
pname = "lion";
- version = "0.3.0.0";
- sha256 = "0yz5p4wvdl518nqc0vjjrmvl5danm9hp37gnar8ancf2nrfh9gr9";
+ version = "0.4.0.0";
+ sha256 = "0vh5krw9z7b7a6bvhc63l5m1rg3g0wyci055qarhsfw27dgbkfx8";
libraryHaskellDepends = [
base clash-prelude generic-monoid ghc-typelits-extra
ghc-typelits-knownnat ghc-typelits-natnormalise ice40-prim lens mtl
@@ -189397,8 +189722,8 @@ self: {
}:
mkDerivation {
pname = "liquid-fixpoint";
- version = "0.9.4.7";
- sha256 = "0q1h3ih7k8h6q9ly32122zmv81yr5kn1xb22434afi7dbpq5i7kc";
+ version = "0.9.6.3";
+ sha256 = "0vir7l5wpbpcgvydncxsn6yk6xi2v8ax4r75zb4cn0sl4cyswp4m";
configureFlags = [ "-fbuild-external" ];
isLibrary = true;
isExecutable = true;
@@ -189457,19 +189782,18 @@ self: {
}) {};
"liquid-platform" = callPackage
- ({ mkDerivation, base, cmdargs, containers, filepath
- , liquid-prelude, liquid-vector, liquidhaskell, liquidhaskell-boot
- , process
+ ({ mkDerivation, base, containers, filepath, liquid-prelude
+ , liquid-vector, liquidhaskell, liquidhaskell-boot, process
}:
mkDerivation {
pname = "liquid-platform";
- version = "0.9.2.5";
- sha256 = "149bbnyhqmhcdpxbhs1h5hypc2xg4yjlj8j9wxcjwfdfq5bw8h7w";
+ version = "0.9.2.8";
+ sha256 = "01a4ablbr6kn07fqw9x98ws0qpplicdpjf18qv2svp24pjazbw27";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
- base cmdargs containers filepath liquid-prelude liquid-vector
- liquidhaskell liquidhaskell-boot process
+ base containers filepath liquid-prelude liquid-vector liquidhaskell
+ liquidhaskell-boot process
];
description = "A battery-included platform for LiquidHaskell";
license = lib.licenses.bsd3;
@@ -189515,8 +189839,8 @@ self: {
}:
mkDerivation {
pname = "liquidhaskell";
- version = "0.9.4.7.0";
- sha256 = "0lqrq500nqvnh4s69s3xwz76z5v6wljdg2w74z88q208skm3ba6b";
+ version = "0.9.8.1";
+ sha256 = "0dak8ixjylm4lznzddn4zcjdb8nm9ha5l6p29l6bhk54gfzccsn8";
setupHaskellDepends = [ base Cabal liquidhaskell-boot ];
libraryHaskellDepends = [
base bytestring containers ghc-bignum ghc-prim liquidhaskell-boot
@@ -189539,14 +189863,13 @@ self: {
}:
mkDerivation {
pname = "liquidhaskell-boot";
- version = "0.9.4.7.0";
- sha256 = "02z6bm4nkdq7k0ki6xfkrdm3kqfdaag9hy28ii4mk48awj80h3f4";
- enableSeparateDataOutput = true;
+ version = "0.9.8.1";
+ sha256 = "00cm1myw2l051nspx04vn332jxn2wary2mn5yhv0pqsvi28j2vnw";
libraryHaskellDepends = [
aeson base binary bytestring Cabal cereal cmdargs containers
data-default data-fix deepseq Diff directory exceptions extra
- filepath fingertree free ghc ghc-boot ghc-paths ghc-prim githash
- gitrev hashable hscolour liquid-fixpoint megaparsec mtl
+ filepath fingertree free ghc ghc-boot ghc-prim githash gitrev
+ hashable hscolour liquid-fixpoint megaparsec mtl
optparse-applicative pretty recursion-schemes split syb
template-haskell temporary text th-compat time transformers
unordered-containers vector
@@ -189762,6 +190085,8 @@ self: {
libraryHaskellDepends = [ base ];
description = "Easily and clearly create lists with only one element in them";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"list-t" = callPackage
@@ -189881,21 +190206,6 @@ self: {
}) {};
"list-transformer" = callPackage
- ({ mkDerivation, base, doctest, mmorph, mtl }:
- mkDerivation {
- pname = "list-transformer";
- version = "1.0.9";
- sha256 = "1lvdyrxflssayq14x36a0ix7q3hfzlq924mjg21b7f6s3j9262xr";
- revision = "2";
- editedCabalFile = "0356ca6kb6r8j2lc9vbzxz0chdzplc3v6m4sbsm4p7vanjjl1zgf";
- libraryHaskellDepends = [ base mmorph mtl ];
- testHaskellDepends = [ base doctest ];
- description = "List monad transformer";
- license = lib.licenses.bsd3;
- maintainers = [ lib.maintainers.Gabriella439 ];
- }) {};
-
- "list-transformer_1_1_0" = callPackage
({ mkDerivation, base, doctest, mmorph, mtl }:
mkDerivation {
pname = "list-transformer";
@@ -189905,7 +190215,6 @@ self: {
testHaskellDepends = [ base doctest ];
description = "List monad transformer";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
maintainers = [ lib.maintainers.Gabriella439 ];
}) {};
@@ -190223,24 +190532,25 @@ self: {
({ mkDerivation, alsa-core, alsa-seq, base, bytestring, cgi
, concurrent-split, containers, data-accessor
, data-accessor-transformers, event-list, explicit-exception, html
- , httpd-shed, midi, midi-alsa, network, network-uri, non-empty
- , non-negative, parsec, pathtype, pretty, process, semigroups, stm
- , stm-split, strict, transformers, unix, utility-ht, wx, wxcore
+ , httpd-shed, midi, midi-alsa, network, network-uri
+ , network-uri-flag, non-empty, non-negative, parsec, pathtype
+ , pretty, process, semigroups, shell-utility, stm, stm-split
+ , strict, transformers, unix, utility-ht, wx, wxcore
}:
mkDerivation {
pname = "live-sequencer";
- version = "0.0.6.2";
- sha256 = "12v1xfsi1cnnfakd5pzvligrpv5pfzl3vkr1gxvrigawipsj4b9f";
+ version = "0.0.6.3";
+ sha256 = "1zq3yh6nn1yfiyys2xq90a1jjfb75aw37rhzrd5r2054fy18r5ym";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
- libraryHaskellDepends = [ base event-list non-negative ];
+ libraryHaskellDepends = [ base event-list midi non-negative ];
executableHaskellDepends = [
alsa-core alsa-seq base bytestring cgi concurrent-split containers
data-accessor data-accessor-transformers explicit-exception html
- httpd-shed midi midi-alsa network network-uri non-empty parsec
- pathtype pretty process semigroups stm stm-split strict
- transformers unix utility-ht wx wxcore
+ httpd-shed midi midi-alsa network network-uri network-uri-flag
+ non-empty parsec pathtype pretty process semigroups shell-utility
+ stm stm-split strict transformers unix utility-ht wx wxcore
];
description = "Live coding of MIDI music";
license = "GPL";
@@ -190671,6 +190981,8 @@ self: {
];
description = "Pure Haskell LLVM functionality (no FFI)";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"llvm-ht" = callPackage
@@ -190740,16 +191052,20 @@ self: {
"llvm-pretty" = callPackage
({ mkDerivation, base, containers, microlens, microlens-th
- , monadLib, parsec, pretty, template-haskell, th-abstraction
+ , monadLib, parsec, pretty, syb, tasty, tasty-hunit
+ , template-haskell, text, th-abstraction
}:
mkDerivation {
pname = "llvm-pretty";
- version = "0.11.0";
- sha256 = "17jw5i68fz2vk40dcqf8k7j6j6h8acg4fhnyygb72jbk17md4q94";
+ version = "0.12.0.0";
+ sha256 = "1xdlic9rl63617qqwxlf2sn0j67wsnarhllf1k5anzgprd64vylj";
libraryHaskellDepends = [
- base containers microlens microlens-th monadLib parsec pretty
+ base containers microlens microlens-th monadLib parsec pretty syb
template-haskell th-abstraction
];
+ testHaskellDepends = [
+ base pretty tasty tasty-hunit template-haskell text
+ ];
description = "A pretty printing library inspired by the llvm binding";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
@@ -190757,26 +191073,35 @@ self: {
}) {};
"llvm-pretty-bc-parser" = callPackage
- ({ mkDerivation, array, base, bytestring, cereal, containers
- , directory, fgl, fgl-visualize, filepath, llvm-pretty, monadLib
- , pretty, process
+ ({ mkDerivation, array, base, binary, bytestring, containers
+ , directory, exceptions, fgl, fgl-visualize, filepath
+ , generic-random, HUnit, lens, llvm-pretty, monadLib, mtl
+ , optparse-applicative, pretty, pretty-show, prettyprinter, process
+ , QuickCheck, string-interpolate, syb, tasty
+ , tasty-expected-failure, tasty-hunit, tasty-quickcheck
+ , tasty-sugar, terminal-size, text, transformers, uniplate
+ , utf8-string, versions
}:
mkDerivation {
pname = "llvm-pretty-bc-parser";
- version = "0.4.0.0";
- sha256 = "0mj4k4a8xap5gsw7zrnlg6ms65nb1cfmllxq24h7gvd7s9qs9cp8";
+ version = "0.4.1.0";
+ sha256 = "1nc8znxzzg2qgn3x52a310rn8lg647k495927k6zfs2x07chy5gh";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
- array base bytestring cereal containers fgl llvm-pretty monadLib
- pretty
+ array base binary bytestring containers fgl llvm-pretty mtl pretty
+ uniplate utf8-string
];
executableHaskellDepends = [
- array base bytestring cereal containers fgl fgl-visualize
- llvm-pretty monadLib pretty
+ array base binary bytestring containers fgl fgl-visualize
+ llvm-pretty monadLib pretty pretty-show
];
testHaskellDepends = [
- base bytestring directory filepath llvm-pretty process
+ base bytestring containers directory exceptions filepath
+ generic-random HUnit lens llvm-pretty optparse-applicative
+ pretty-show prettyprinter process QuickCheck string-interpolate syb
+ tasty tasty-expected-failure tasty-hunit tasty-quickcheck
+ tasty-sugar terminal-size text transformers versions
];
description = "LLVM bitcode parsing library";
license = lib.licenses.bsd3;
@@ -191069,23 +191394,6 @@ self: {
}) {};
"loc" = callPackage
- ({ mkDerivation, base, containers, hedgehog, hspec, hspec-hedgehog
- }:
- mkDerivation {
- pname = "loc";
- version = "0.1.4.1";
- sha256 = "12bsbjl0808dfcshz38iih3cl3768zix23adznnq821ffxsxfiiw";
- revision = "1";
- editedCabalFile = "0jfpyy8nl776fihnbzwh3cb9n6xss6l77prfhhqw32dgy4pnqcam";
- libraryHaskellDepends = [ base containers ];
- testHaskellDepends = [
- base containers hedgehog hspec hspec-hedgehog
- ];
- description = "Line and column positions and ranges in text files";
- license = lib.licenses.asl20;
- }) {};
-
- "loc_0_2_0_0" = callPackage
({ mkDerivation, base, containers, hedgehog, hspec, hspec-hedgehog
, integer-types
}:
@@ -191883,6 +192191,8 @@ self: {
];
description = "Import, export etc. for TPTP, a syntax for first-order logic";
license = "GPL";
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"logic-classes" = callPackage
@@ -191932,20 +192242,6 @@ self: {
}) {};
"logict" = callPackage
- ({ mkDerivation, async, base, mtl, tasty, tasty-hunit }:
- mkDerivation {
- pname = "logict";
- version = "0.8.0.0";
- sha256 = "0mpv50ifb3x9vfmgi1p9piwcgz8d19x0wdj789wxyhxwjpr6v4py";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [ base mtl ];
- testHaskellDepends = [ async base mtl tasty tasty-hunit ];
- description = "A backtracking logic-programming monad";
- license = lib.licenses.bsd3;
- }) {};
-
- "logict_0_8_1_0" = callPackage
({ mkDerivation, async, base, mtl, tasty, tasty-hunit, transformers
}:
mkDerivation {
@@ -191960,7 +192256,6 @@ self: {
];
description = "A backtracking logic-programming monad";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"logict-sequence" = callPackage
@@ -192392,8 +192687,8 @@ self: {
}:
mkDerivation {
pname = "looksee";
- version = "0.5.1";
- sha256 = "1cw0bfj9hkzv1vzcl0lp5wa2dyq96pf34jjzmqrvp1ak2mc7si7m";
+ version = "0.5.2";
+ sha256 = "06smzpcwsvmfxv9v1i8qdxanab8x0klw5gdb5jxd7pv4msv72py4";
libraryHaskellDepends = [
base bifunctors containers errata mmorph mtl recursion-schemes
scientific text vector
@@ -192776,6 +193071,7 @@ self: {
];
description = "An EDSL for diagrams based based on linear constraints";
license = lib.licenses.agpl3Only;
+ hydraPlatforms = lib.platforms.none;
}) {};
"lp-diagrams-svg" = callPackage
@@ -192841,10 +193137,8 @@ self: {
}:
mkDerivation {
pname = "lrucaching";
- version = "0.3.3";
- sha256 = "192a2zap1bmxa2y48n48rmngf18fr8k0az4a230hziv3g795yzma";
- revision = "12";
- editedCabalFile = "0jc46492lq09yrmp0887q9smk0z6nn35nlgcarm9rndsr2a1x0ya";
+ version = "0.3.4";
+ sha256 = "1vnhg506kn231rxa85igxamv4gyxa6fk0i94ycdv0898zrr4j31n";
libraryHaskellDepends = [
base base-compat deepseq hashable psqueues vector
];
@@ -192910,17 +193204,17 @@ self: {
}) {};
"lsfrom" = callPackage
- ({ mkDerivation, base, directory, filepath, simple-cmd
+ ({ mkDerivation, base, directory, filepath, safe, simple-cmd
, simple-cmd-args
}:
mkDerivation {
pname = "lsfrom";
- version = "0.1.1.1";
- sha256 = "1vm80k8gsh4k7937i02wv4dk2s173c9wvgiilc1am3ddp7x7ms1h";
+ version = "1.0";
+ sha256 = "0q9i4ff9qrb426wl2hzmpq0zsxvp1ckz3z73gkr51zmap8i7d1f4";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
- base filepath simple-cmd simple-cmd-args
+ base filepath safe simple-cmd simple-cmd-args
];
testHaskellDepends = [ base directory filepath simple-cmd ];
description = "List directory files starting from a specific name";
@@ -192956,31 +193250,28 @@ self: {
broken = true;
}) {};
- "lsp_1_4_0_0" = callPackage
+ "lsp_2_1_0_0" = callPackage
({ mkDerivation, aeson, async, attoparsec, base, bytestring
- , containers, data-default, exceptions, filepath, hashable
- , hslogger, hspec, hspec-discover, lens, lsp-types, mtl
- , network-uri, QuickCheck, quickcheck-instances, random
- , rope-utf16-splay, scientific, sorted-list, stm, text, time
- , transformers, unliftio-core, unordered-containers, uuid
+ , co-log-core, containers, data-default, directory, exceptions
+ , filepath, hashable, hspec, hspec-discover, lens, lsp-types, mtl
+ , prettyprinter, random, row-types, sorted-list, stm, temporary
+ , text, text-rope, transformers, unliftio-core
+ , unordered-containers, uuid
}:
mkDerivation {
pname = "lsp";
- version = "1.4.0.0";
- sha256 = "18hnb4k3zwjxp3r5jrgrbakvqr2d16azp6jwmfv5lq5n8xn6mwgv";
- revision = "1";
- editedCabalFile = "01a4c4qr2zf0izawrkhbw831hawnl576ckw1an07lxvxdif68py4";
+ version = "2.1.0.0";
+ sha256 = "03gk98fgf32blywdds0fc5351bmcbbfrnqwlg33l2ih75nwa59y8";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
- aeson async attoparsec base bytestring containers data-default
- exceptions hashable hslogger lens lsp-types mtl network-uri random
- scientific sorted-list stm text time transformers unliftio-core
- unordered-containers uuid
+ aeson async attoparsec base bytestring co-log-core containers
+ data-default directory exceptions filepath hashable lens lsp-types
+ mtl prettyprinter random row-types sorted-list stm temporary text
+ text-rope transformers unliftio-core unordered-containers uuid
];
testHaskellDepends = [
- aeson base containers filepath hspec lens network-uri QuickCheck
- quickcheck-instances rope-utf16-splay sorted-list text
+ base containers hspec row-types sorted-list text text-rope
unordered-containers
];
testToolDepends = [ hspec-discover ];
@@ -193020,26 +193311,56 @@ self: {
license = lib.licenses.mit;
}) {};
+ "lsp_2_4_0_0" = callPackage
+ ({ mkDerivation, aeson, async, attoparsec, base, bytestring
+ , co-log-core, containers, data-default, directory, exceptions
+ , filepath, hashable, hspec, hspec-discover, lens, lens-aeson
+ , lsp-types, mtl, prettyprinter, random, row-types, sorted-list
+ , stm, text, text-rope, transformers, unliftio-core
+ , unordered-containers, uuid
+ }:
+ mkDerivation {
+ pname = "lsp";
+ version = "2.4.0.0";
+ sha256 = "1ggfw2wp9g9fpg9x3kj9zh6l6p2yiw4q0v1gzxjg0mcmvp4aad8w";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ aeson async attoparsec base bytestring co-log-core containers
+ data-default directory exceptions filepath hashable lens lens-aeson
+ lsp-types mtl prettyprinter random row-types sorted-list stm text
+ text-rope transformers unliftio-core unordered-containers uuid
+ ];
+ testHaskellDepends = [
+ base containers hspec row-types sorted-list text text-rope
+ unordered-containers
+ ];
+ testToolDepends = [ hspec-discover ];
+ description = "Haskell library for the Microsoft Language Server Protocol";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"lsp-client" = callPackage
({ mkDerivation, aeson, aeson-pretty, base, bytestring, co-log-core
- , data-default, dependent-map, Diff, directory, extra, filepath
- , generic-lens, Glob, hashable, hspec, lens, lsp, lsp-types, mtl
- , process, QuickCheck, stm, text, text-rope, unix, unliftio
- , unordered-containers
+ , containers, data-default, dependent-map, Diff, directory, extra
+ , filepath, generic-lens, Glob, hspec, lens, lsp, lsp-types, mtl
+ , process, QuickCheck, row-types, stm, text, text-rope, unix-compat
+ , unliftio, unordered-containers
}:
mkDerivation {
pname = "lsp-client";
- version = "0.1.0.0";
- sha256 = "0ivq79g57kxr1lfca137acvbzi3lx0qa10ahmpkpc2wc9bj0mb05";
+ version = "0.2.0.0";
+ sha256 = "0wh9qjcck2pdya5dpz8k6k9x49a543j4p3vjvscd4bk0vzk76rc0";
libraryHaskellDepends = [
- aeson aeson-pretty base bytestring co-log-core data-default
- dependent-map Diff directory filepath generic-lens Glob hashable
- lens lsp lsp-types mtl stm text text-rope unix unliftio
- unordered-containers
+ aeson aeson-pretty base bytestring co-log-core containers
+ data-default dependent-map Diff directory extra filepath
+ generic-lens Glob lens lsp lsp-types mtl row-types stm text
+ text-rope unix-compat unliftio unordered-containers
];
testHaskellDepends = [
aeson base bytestring extra hspec lens lsp-types process QuickCheck
- unliftio
+ row-types unliftio
];
description = "Haskell library for Language Server Protocol clients";
license = lib.licenses.asl20;
@@ -193076,31 +193397,38 @@ self: {
license = lib.licenses.bsd3;
}) {};
- "lsp-types_1_4_0_1" = callPackage
- ({ mkDerivation, aeson, base, binary, bytestring, containers
- , data-default, deepseq, Diff, directory, dlist, filepath, hashable
- , hslogger, lens, mod, mtl, network-uri, rope-utf16-splay
- , scientific, some, template-haskell, temporary, text
- , unordered-containers
+ "lsp-test_0_17_0_0" = callPackage
+ ({ mkDerivation, aeson, aeson-pretty, ansi-terminal, async, base
+ , bytestring, co-log-core, conduit, conduit-parse, containers
+ , data-default, Diff, directory, exceptions, extra, filepath, Glob
+ , hspec, lens, lens-aeson, lsp, lsp-types, mtl, parser-combinators
+ , process, row-types, some, text, time, transformers, unix
+ , unliftio
}:
mkDerivation {
- pname = "lsp-types";
- version = "1.4.0.1";
- sha256 = "0dxf5nnaxs2564hgjldkclhm5gvszjxxvz6gk00jmx0gf8k6dm8z";
- revision = "2";
- editedCabalFile = "02vaq4x40l9v67zv3bimxvxa06nwawkcnrjjn6k3k721j15v2li5";
+ pname = "lsp-test";
+ version = "0.17.0.0";
+ sha256 = "1jjzqp7dbvaxysfa765zlcmb8h973242fb7a6b17cab3bjc12laq";
libraryHaskellDepends = [
- aeson base binary bytestring containers data-default deepseq Diff
- directory dlist filepath hashable hslogger lens mod mtl network-uri
- rope-utf16-splay scientific some template-haskell temporary text
- unordered-containers
+ aeson aeson-pretty ansi-terminal async base bytestring co-log-core
+ conduit conduit-parse containers data-default Diff directory
+ exceptions extra filepath Glob lens lens-aeson lsp lsp-types mtl
+ parser-combinators process row-types some text time transformers
+ unix
];
- description = "Haskell library for the Microsoft Language Server Protocol, data types";
- license = lib.licenses.mit;
+ testHaskellDepends = [
+ aeson base co-log-core containers data-default directory extra
+ filepath hspec lens lsp mtl parser-combinators process text
+ unliftio
+ ];
+ testToolDepends = [ lsp ];
+ benchmarkHaskellDepends = [ base extra lsp process ];
+ description = "Functional test framework for LSP servers";
+ license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
}) {};
- "lsp-types" = callPackage
+ "lsp-types_2_0_2_0" = callPackage
({ mkDerivation, aeson, base, binary, containers, data-default
, deepseq, Diff, directory, dlist, exceptions, file-embed, filepath
, hashable, hspec, hspec-discover, indexed-traversable
@@ -193111,8 +193439,8 @@ self: {
}:
mkDerivation {
pname = "lsp-types";
- version = "2.1.0.0";
- sha256 = "0i4ygvf7fc6bh5rmw3fq7ck4vcmbfw92fzvnxpmqr3j1yp3a6fiw";
+ version = "2.0.2.0";
+ sha256 = "0s895x3b8fpcj2b0ia3d4dmwk4vhg6h2anmzffkpr3drsq5bi1j6";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -193133,6 +193461,43 @@ self: {
doHaddock = false;
description = "Haskell library for the Microsoft Language Server Protocol, data types";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ mainProgram = "generator";
+ }) {};
+
+ "lsp-types" = callPackage
+ ({ mkDerivation, aeson, base, binary, containers, data-default
+ , deepseq, Diff, directory, dlist, exceptions, file-embed, filepath
+ , generic-arbitrary, hashable, hspec, hspec-discover
+ , indexed-traversable, indexed-traversable-instances, lens
+ , lens-aeson, mod, mtl, network-uri, prettyprinter, QuickCheck
+ , quickcheck-instances, regex, row-types, safe, some
+ , template-haskell, text
+ }:
+ mkDerivation {
+ pname = "lsp-types";
+ version = "2.1.1.0";
+ sha256 = "0gsr0v11xfav7dnq4d433y9ca0snmqaax4pp5sgmf33zl8qhi6s0";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ aeson base binary containers data-default deepseq Diff dlist
+ exceptions file-embed filepath generic-arbitrary hashable
+ indexed-traversable indexed-traversable-instances lens lens-aeson
+ mod mtl network-uri prettyprinter QuickCheck quickcheck-instances
+ row-types safe some template-haskell text
+ ];
+ executableHaskellDepends = [
+ base containers directory filepath mtl prettyprinter regex text
+ ];
+ testHaskellDepends = [
+ aeson base filepath hspec lens network-uri QuickCheck
+ quickcheck-instances row-types text
+ ];
+ testToolDepends = [ hspec-discover ];
+ doHaddock = false;
+ description = "Haskell library for the Microsoft Language Server Protocol, data types";
+ license = lib.licenses.mit;
mainProgram = "generator";
}) {};
@@ -193178,33 +193543,31 @@ self: {
"ltext" = callPackage
({ mkDerivation, attoparsec, base, directory, exceptions, extra
, mtl, optparse-applicative, pretty, QuickCheck
- , quickcheck-combinators, quickcheck-instances, tasty
- , tasty-quickcheck, text, transformers, unordered-containers
+ , quickcheck-instances, tasty, tasty-quickcheck, text, transformers
+ , unordered-containers
}:
mkDerivation {
pname = "ltext";
- version = "0.1.4";
- sha256 = "1znfwnv1m0pzzdlc6i89wh7gz8igv77r286ymwx5qzn86nkq6wxa";
+ version = "0.1.5";
+ sha256 = "14g96a53n3jsxzgvwq2rlwf6wg466bmrdc29cv243r35ilb3fsq9";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
attoparsec base directory exceptions extra mtl pretty QuickCheck
- quickcheck-combinators quickcheck-instances text transformers
- unordered-containers
+ quickcheck-instances text transformers unordered-containers
];
executableHaskellDepends = [
attoparsec base directory exceptions extra mtl optparse-applicative
- pretty QuickCheck quickcheck-combinators quickcheck-instances text
- transformers unordered-containers
+ pretty QuickCheck quickcheck-instances text transformers
+ unordered-containers
];
testHaskellDepends = [
attoparsec base directory exceptions extra mtl pretty QuickCheck
- quickcheck-combinators quickcheck-instances tasty tasty-quickcheck
- text transformers unordered-containers
+ quickcheck-instances tasty tasty-quickcheck text transformers
+ unordered-containers
];
description = "Parameterized file evaluator";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
mainProgram = "ltext";
}) {};
@@ -193229,6 +193592,8 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Core functionality for LTI 1.3";
license = lib.licenses.lgpl3Only;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"ltiv1p1" = callPackage
@@ -193289,8 +193654,8 @@ self: {
({ mkDerivation, base, lua5_4, tasty, tasty-hunit }:
mkDerivation {
pname = "lua";
- version = "2.3.1";
- sha256 = "0ly73xpxfpdn9mmcr98ss5fa8sj0bslilzjiknih053b3y0ia9dr";
+ version = "2.3.2";
+ sha256 = "0c9pq728ipcgmmxw58ab9p0lgcqqb2gf8dlscvgg52pb3q4qqamf";
configureFlags = [ "-fsystem-lua" "-f-use-pkgconfig" ];
libraryHaskellDepends = [ base ];
librarySystemDepends = [ lua5_4 ];
@@ -193552,6 +193917,8 @@ self: {
];
description = "DSL for SVG using lucid for HTML";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"lucid-xstatic" = callPackage
@@ -193719,6 +194086,8 @@ self: {
pname = "lumberjack";
version = "1.0.3.0";
sha256 = "05a4gvc47448crb0yn0fwi3y9bwdqlmnch839hljwpyamqvqwi8q";
+ revision = "1";
+ editedCabalFile = "1dj6mw8jbxczmqxwyiqqqz7lsi4586bbgv16m4ji14zmm84z2ad3";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -193988,8 +194357,8 @@ self: {
}:
mkDerivation {
pname = "lz4-bytes";
- version = "0.1.1.0";
- sha256 = "1h445dkw9cnzj01bm1hq5bvy48ybnwrd1ak2q7676ybvzl8qzffa";
+ version = "0.1.1.1";
+ sha256 = "1caqm2c10l9030qj9sxfwh2sjq4npq97dmixakr3xg1ccsm2144x";
libraryHaskellDepends = [
base byte-order byteslice primitive run-st
];
@@ -194093,8 +194462,8 @@ self: {
}:
mkDerivation {
pname = "lzlib";
- version = "1.0.7.2";
- sha256 = "00hjq15jc94bf3lgzh33w7ksf3daw2l7x1qljn11lbr48a8a2zmi";
+ version = "1.0.7.3";
+ sha256 = "0jnzn9ks4nl47bqp68pj4mmg9fxy07gry75ra483ag15yv8jc585";
libraryHaskellDepends = [ base bytestring ];
libraryToolDepends = [ c2hs ];
testHaskellDepends = [
@@ -194955,6 +195324,7 @@ self: {
];
description = "Preconfigured email connection pool on top of smtp";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "exe";
}) {};
@@ -196195,28 +196565,6 @@ self: {
}) {};
"markdown-unlit" = callPackage
- ({ mkDerivation, base, base-compat, directory, hspec
- , hspec-discover, QuickCheck, silently, stringbuilder, temporary
- }:
- mkDerivation {
- pname = "markdown-unlit";
- version = "0.5.1";
- sha256 = "0njzn56m8z6lm70xyixbylbnpjz1gk7x8vdsdvi3qld9m66gc3n7";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [ base base-compat ];
- executableHaskellDepends = [ base base-compat ];
- testHaskellDepends = [
- base base-compat directory hspec QuickCheck silently stringbuilder
- temporary
- ];
- testToolDepends = [ hspec-discover ];
- description = "Literate Haskell support for Markdown";
- license = lib.licenses.mit;
- mainProgram = "markdown-unlit";
- }) {};
-
- "markdown-unlit_0_6_0" = callPackage
({ mkDerivation, base, base-compat, directory, hspec
, hspec-discover, QuickCheck, silently, stringbuilder, temporary
}:
@@ -196235,7 +196583,6 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Literate Haskell support for Markdown";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
mainProgram = "markdown-unlit";
}) {};
@@ -196588,6 +196935,7 @@ self: {
];
description = "Markup language preprocessor for Haskell";
license = lib.licenses.gpl2Only;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "marxup";
}) {};
@@ -196645,6 +196993,7 @@ self: {
testHaskellDepends = [ base doctest ];
description = "Massiv (Массив) is an Array Library";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"massiv-io" = callPackage
@@ -196671,6 +197020,7 @@ self: {
];
description = "Import/export of Image files into massiv Arrays";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"massiv-persist" = callPackage
@@ -196756,6 +197106,7 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Library that contains generators, properties and tests for Massiv Array Library";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"master-plan" = callPackage
@@ -197235,8 +197586,8 @@ self: {
pname = "matrix-client";
version = "0.1.5.0";
sha256 = "0hmca0knk1z3zg6v7rqfr0019n76pdsr8xj9ndywjk4c733lxm18";
- revision = "1";
- editedCabalFile = "0l21qxzqg50hh6l8f4p7hpixn5iqiq7d2m4r58j8q80mrk1dx0jf";
+ revision = "3";
+ editedCabalFile = "0faw4nhpvfjz19789ng4nga1dgx2ycg4zskyizkmbzyvjkambf67";
libraryHaskellDepends = [
aeson aeson-casing base base64 bytestring containers exceptions
hashable http-client http-client-tls http-types network-uri
@@ -197395,32 +197746,33 @@ self: {
"matterhorn" = callPackage
({ mkDerivation, aeson, aspell-pipe, async, base, base-compat
, bimap, brick, brick-skylighting, bytestring, checkers, commonmark
- , commonmark-extensions, config-ini, connection, containers
+ , commonmark-extensions, config-ini, containers, crypton-connection
, data-clist, directory, filepath, gitrev, hashable, Hclip
, mattermost-api, mattermost-api-qc, microlens-platform, mtl
, network-uri, parsec, process, random, semigroups
, skylighting-core, split, stm, stm-delay, strict, tasty
, tasty-hunit, tasty-quickcheck, temporary, text, text-zipper, time
- , timezone-olson, timezone-series, transformers, Unique, unix
- , unordered-containers, utf8-string, uuid, vector, vty, word-wrap
- , xdg-basedir
+ , timezone-olson, timezone-series, transformers, Unique
+ , unix-compat, unordered-containers, utf8-string, uuid, vector, vty
+ , vty-crossplatform, word-wrap, xdg-basedir
}:
mkDerivation {
pname = "matterhorn";
- version = "50200.19.0";
- sha256 = "1rs1j8bqqiasmwv44mn1lpx14264rbvwp4lk04lgr9qbw07yih6j";
+ version = "90000.0.0";
+ sha256 = "0vc63h1xcg578c88wra66lb1x4spqqbcg5kfgjqqy3klqs2qz0sp";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
libraryHaskellDepends = [
aeson aspell-pipe async base base-compat bimap brick
brick-skylighting bytestring commonmark commonmark-extensions
- config-ini connection containers data-clist directory filepath
- gitrev hashable Hclip mattermost-api microlens-platform mtl
- network-uri parsec process random semigroups skylighting-core split
- stm stm-delay strict temporary text text-zipper time timezone-olson
- timezone-series transformers unix unordered-containers utf8-string
- uuid vector vty word-wrap xdg-basedir
+ config-ini containers crypton-connection data-clist directory
+ filepath gitrev hashable Hclip mattermost-api microlens-platform
+ mtl network-uri parsec process random semigroups skylighting-core
+ split stm stm-delay strict temporary text text-zipper time
+ timezone-olson timezone-series transformers unix-compat
+ unordered-containers utf8-string uuid vector vty vty-crossplatform
+ word-wrap xdg-basedir
];
executableHaskellDepends = [ base brick text ];
testHaskellDepends = [
@@ -197435,22 +197787,22 @@ self: {
}) {};
"mattermost-api" = callPackage
- ({ mkDerivation, aeson, base, binary, bytestring, connection
- , containers, gitrev, hashable, HTTP, http-media, HUnit, memory
- , microlens, microlens-th, modern-uri, mtl, network-uri
+ ({ mkDerivation, aeson, base, binary, bytestring, containers
+ , crypton-connection, gitrev, hashable, HTTP, http-media, HUnit
+ , memory, microlens, microlens-th, modern-uri, mtl, network-uri
, pretty-show, process, resource-pool, split, stm, tasty
, tasty-hunit, template-haskell, text, time, unordered-containers
, websockets
}:
mkDerivation {
pname = "mattermost-api";
- version = "50200.15.0";
- sha256 = "02hg12mwd6511bkgckxdfs01vxxmhyvvd2rh84q708cnwsv8haaz";
+ version = "90000.0.0";
+ sha256 = "1ka3r4bnfwlbjnkws8vkg8i9gj8wzsyss137p7hxrx4sr75s6iyv";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
- aeson base binary bytestring connection containers gitrev hashable
- HTTP http-media memory microlens microlens-th modern-uri
+ aeson base binary bytestring containers crypton-connection gitrev
+ hashable HTTP http-media memory microlens microlens-th modern-uri
network-uri pretty-show process resource-pool split stm
template-haskell text time unordered-containers websockets
];
@@ -197469,8 +197821,8 @@ self: {
}:
mkDerivation {
pname = "mattermost-api-qc";
- version = "50200.15.0";
- sha256 = "1nd0k8b060ihpz53ln4dmslsfvl74vcd47zdfrqnk2a81y62p55i";
+ version = "90000.0.0";
+ sha256 = "0lrb8l8nbrdp4y2ala8hchr8ikv5hqw710ffiiw1sz6z2dqiqbxm";
libraryHaskellDepends = [
base containers mattermost-api QuickCheck text time
];
@@ -197905,10 +198257,8 @@ self: {
}:
mkDerivation {
pname = "md5";
- version = "0.1.0.0";
- sha256 = "0h0m6wwis1p2dl689wllywp338yxyykghfnznsq6jfb9vdfavzs4";
- revision = "1";
- editedCabalFile = "1rz2gjn049yjcans2xw6npkh30vzp7qdv6ar4pzjhpjjhrl8aqzh";
+ version = "0.1.0.3";
+ sha256 = "0291a6k8aniff4zi7kf29npzi3p0gvbfygaw3g2x0d76zq19kzgr";
libraryHaskellDepends = [ base bytebuild byteslice primitive ];
testHaskellDepends = [
base bytebuild byteslice natural-arithmetic primitive
@@ -198022,8 +198372,8 @@ self: {
}:
mkDerivation {
pname = "mealy";
- version = "0.4.4";
- sha256 = "1pji7gkfni3h5db1jlmgxkfwnih1954nd224lb45n0v7ihmjkpsi";
+ version = "0.4.4.1";
+ sha256 = "1chw7csrvw61s85v7f2ghz09rna2j5ma5pnv6wp6z2s25rxaw3x1";
libraryHaskellDepends = [
adjunctions base containers mwc-probability numhask numhask-array
primitive profunctors tdigest text vector vector-algorithms
@@ -198303,6 +198653,7 @@ self: {
];
description = "Convert MediaWiki text to LaTeX";
license = "GPL";
+ hydraPlatforms = lib.platforms.none;
mainProgram = "mediawiki2latex";
}) {};
@@ -198385,8 +198736,10 @@ self: {
}:
mkDerivation {
pname = "megaparsec";
- version = "9.3.1";
- sha256 = "00dp79sssb2j9w0sbzphkqjn49xzrafd16gkqda5ngqhbjdniw73";
+ version = "9.5.0";
+ sha256 = "0lnsqdphr3fnxvn87z2ni110wzq60pq6fl407ihddpyavpb0hw38";
+ revision = "4";
+ editedCabalFile = "0f6g05w18kn34rcjkxfdsg4sibxsz41p2xac7wfc9wqpr0l9kg55";
libraryHaskellDepends = [
base bytestring case-insensitive containers deepseq mtl
parser-combinators scientific text transformers
@@ -198421,23 +198774,22 @@ self: {
"megaparsec-tests" = callPackage
({ mkDerivation, base, bytestring, case-insensitive, containers
- , hspec, hspec-discover, hspec-expectations, hspec-megaparsec
- , megaparsec, mtl, parser-combinators, QuickCheck, scientific
- , temporary, text, transformers
+ , hspec, hspec-discover, hspec-megaparsec, megaparsec, mtl
+ , QuickCheck, scientific, temporary, text, transformers
}:
mkDerivation {
pname = "megaparsec-tests";
- version = "9.3.1";
- sha256 = "01gd6xlqfazpbawzwgbk0ag86dq8nv5qdrhny9b7hrks3i3b558m";
+ version = "9.5.0";
+ sha256 = "18ishnklylaiphkz6dd9rfbxnqlrb4fqqd8pr5mk9qb5j0fkbv13";
+ revision = "2";
+ editedCabalFile = "1zh7ggvc1453b734mmc7yyd8f6qrr34bnvab0507l1nflml7w9bb";
libraryHaskellDepends = [
- base bytestring containers hspec hspec-expectations
- hspec-megaparsec megaparsec mtl QuickCheck text transformers
+ base bytestring containers hspec hspec-megaparsec megaparsec mtl
+ QuickCheck text transformers
];
testHaskellDepends = [
- base bytestring case-insensitive containers hspec
- hspec-expectations hspec-megaparsec megaparsec mtl
- parser-combinators QuickCheck scientific temporary text
- transformers
+ base bytestring case-insensitive containers hspec hspec-megaparsec
+ megaparsec mtl QuickCheck scientific temporary text transformers
];
testToolDepends = [ hspec-discover ];
description = "Test utilities and the test suite of Megaparsec";
@@ -198533,6 +198885,8 @@ self: {
];
description = "An Elf parser";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"mellon-core" = callPackage
@@ -198634,6 +198988,32 @@ self: {
broken = true;
}) {};
+ "mem-info" = callPackage
+ ({ mkDerivation, base, bytestring, containers, directory, filepath
+ , fmt, genvalidity, genvalidity-hspec, genvalidity-text, hashable
+ , hspec, optparse-applicative, QuickCheck, text, unix, validity
+ , validity-text
+ }:
+ mkDerivation {
+ pname = "mem-info";
+ version = "0.2.0.0";
+ sha256 = "0hmsdv33v2wixw0cizjp7fycq5haa9fzybb0c6z11d3jql485ghh";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ base bytestring containers directory filepath fmt hashable
+ optparse-applicative text unix validity validity-text
+ ];
+ executableHaskellDepends = [ base ];
+ testHaskellDepends = [
+ base fmt genvalidity genvalidity-hspec genvalidity-text hashable
+ hspec optparse-applicative QuickCheck text unix
+ ];
+ description = "Print the core memory usage of programs";
+ license = lib.licenses.bsd3;
+ mainProgram = "printmem";
+ }) {};
+
"membership" = callPackage
({ mkDerivation, base, constraints, deepseq, hashable
, prettyprinter, template-haskell, th-lift
@@ -199339,6 +199719,7 @@ self: {
];
description = "Temporary message-db for integration testing";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"messagepack" = callPackage
@@ -199685,6 +200066,8 @@ self: {
];
description = "TLS transport for metro";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"metro-transport-websockets" = callPackage
@@ -200097,8 +200480,8 @@ self: {
}:
mkDerivation {
pname = "microlens-platform";
- version = "0.4.3.4";
- sha256 = "01j7z0637wirp6y0a4zfzqaky0dmpn1c3y9vdq5hf5igycc1v6yh";
+ version = "0.4.3.5";
+ sha256 = "0ch7ln612j7inw6jrjxjccsyjd9fz4zwwjcw97313r4yfflhwff4";
libraryHaskellDepends = [
base hashable microlens microlens-ghc microlens-mtl microlens-th
text unordered-containers vector
@@ -200107,6 +200490,27 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "microlens-pro" = callPackage
+ ({ mkDerivation, base, containers, microlens, microlens-contra
+ , microlens-platform, microlens-th, mtl, profunctors, tagged
+ , template-haskell, text, th-abstraction, unordered-containers
+ , vector
+ }:
+ mkDerivation {
+ pname = "microlens-pro";
+ version = "0.2.0";
+ sha256 = "03vadipi3qkyz6xyf2by8jqq453gvpisqhwvrhaykbwx6pxrzwnj";
+ libraryHaskellDepends = [
+ base containers microlens microlens-contra microlens-platform
+ microlens-th mtl profunctors tagged template-haskell text
+ th-abstraction unordered-containers vector
+ ];
+ description = "Prisms and isomorphisms for microlens";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {};
+
"microlens-process" = callPackage
({ mkDerivation, base, Cabal, cabal-doctest, doctest, filepath
, microlens, process
@@ -200453,7 +200857,6 @@ self: {
];
description = "Build lightweight and composable servers";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"mig-client" = callPackage
@@ -200470,7 +200873,6 @@ self: {
];
description = "Build http-clients from API definition for mig servers";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"mig-extra" = callPackage
@@ -200491,7 +200893,6 @@ self: {
];
description = "Extra utils for Mig core library";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"mig-server" = callPackage
@@ -200509,7 +200910,6 @@ self: {
];
description = "Build lightweight and composable servers";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"mig-swagger-ui" = callPackage
@@ -200527,7 +200927,6 @@ self: {
];
description = "Swagger servers for mig library";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"mig-wai" = callPackage
@@ -200543,7 +200942,6 @@ self: {
];
description = "Render mig-servers as wai-applications";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"mighttpd" = callPackage
@@ -200577,8 +200975,8 @@ self: {
}:
mkDerivation {
pname = "mighttpd2";
- version = "4.0.3";
- sha256 = "1qgr5giks32xmcnkq973cs20lzgjvjr6bgymffsb3c8sj873jhqs";
+ version = "4.0.4";
+ sha256 = "1qpg5h68mi2yhzp2vj4nka0nyafn9mhk2qdz5n8adp66k18cj6m2";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -200942,7 +201340,9 @@ self: {
executableHaskellDepends = [ base directory mtl random ];
description = "Minesweeper simulation using neural networks";
license = "unknown";
+ hydraPlatforms = lib.platforms.none;
mainProgram = "mines";
+ broken = true;
}) {};
"minesweeper" = callPackage
@@ -201012,6 +201412,8 @@ self: {
testHaskellDepends = [ base bytestring cryptohash-sha256 HUnit ];
description = "Minimal bindings to libcurl";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {inherit (pkgs) curl;};
"miniforth" = callPackage
@@ -201186,6 +201588,7 @@ self: {
];
description = "A MinIO Haskell Library for Amazon S3 compatible cloud storage";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
}) {};
"minions" = callPackage
@@ -201388,6 +201791,17 @@ self: {
license = lib.licenses.mit;
}) {};
+ "minmax" = callPackage
+ ({ mkDerivation, base }:
+ mkDerivation {
+ pname = "minmax";
+ version = "0.1.1.0";
+ sha256 = "0cl1gg7i94309rx0123826bfpk06x1c1diy6sfyx8b2zhvcpgq45";
+ libraryHaskellDepends = [ base ];
+ description = "Functions to find both minimum and maximum (or several of them simultaneously) in one pass";
+ license = lib.licenses.mit;
+ }) {};
+
"minst-idx" = callPackage
({ mkDerivation, base, binary, bytestring, directory, hspec, vector
}:
@@ -201987,8 +202401,8 @@ self: {
pname = "mmark";
version = "0.0.7.6";
sha256 = "1zahgky724s0x89c9jp4fa6m0kzh461sc2lcpwaw61hqgamaay4c";
- revision = "1";
- editedCabalFile = "0jpin5hrh2wi5yqzzccww3rb94ylb3i7y0wm16q8knq4680fq8zx";
+ revision = "2";
+ editedCabalFile = "0jmnizdwnsmf67y7dh68anvi0sjik4nazs0mjvxv78r2ld3fghg8";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
aeson base case-insensitive containers deepseq dlist email-validate
@@ -202006,21 +202420,18 @@ self: {
}) {};
"mmark-cli" = callPackage
- ({ mkDerivation, aeson, base, bytestring, directory
- , ghc-syntax-highlighter, gitrev, lucid, megaparsec, mmark
- , mmark-ext, optparse-applicative, stache, text
+ ({ mkDerivation, aeson, base, bytestring, directory, gitrev, lucid
+ , megaparsec, mmark, mmark-ext, optparse-applicative, stache, text
}:
mkDerivation {
pname = "mmark-cli";
- version = "0.0.5.1";
- sha256 = "1an1rc7gdl2209d3agxx1dfl61zsc2wg5nx9cwdf50spmlgs3cr0";
- revision = "3";
- editedCabalFile = "14l6ngccsxjx0kpkfhx7hzq44swb9dwlfpji1y9ap283v18rkrmb";
+ version = "0.0.5.2";
+ sha256 = "05i8wy3zls6fp1qmdz4ayydhgvq6jnhh2rj4r3frvp8nl70kkv26";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
- aeson base bytestring directory ghc-syntax-highlighter gitrev lucid
- megaparsec mmark mmark-ext optparse-applicative stache text
+ aeson base bytestring directory gitrev lucid megaparsec mmark
+ mmark-ext optparse-applicative stache text
];
description = "Command line interface to the MMark markdown processor";
license = lib.licenses.bsd3;
@@ -202036,8 +202447,8 @@ self: {
pname = "mmark-ext";
version = "0.2.1.5";
sha256 = "1dy3xnzpbbnp03k3r04q8y10pcj2r708dk8bff0pxzkvypm75g88";
- revision = "3";
- editedCabalFile = "0yxl2wpxmvjnhn0qy8p1ajmi61hpkfqqfj206dl7q1xn9kmkg3fc";
+ revision = "4";
+ editedCabalFile = "0girl659kn2nxzcayjzx8pflws43vp3mfw03g3ril8i49r1wkxkn";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
base foldl ghc-syntax-highlighter lucid microlens mmark modern-uri
@@ -202495,6 +202906,8 @@ self: {
];
description = "Mock time in tests";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"mockazo" = callPackage
@@ -202895,8 +203308,8 @@ self: {
}:
mkDerivation {
pname = "moffy-samples";
- version = "0.1.0.2";
- sha256 = "162c8crnj3946fa5d4cgfbqai5pxgai67q3kcl3nyf29knlmivi4";
+ version = "0.1.0.3";
+ sha256 = "0b1dbyblms7jbisk89niij85h995wlp01qkr499gp370i01bkdi8";
libraryHaskellDepends = [
aeson base bytestring extra-data-yj hashable JuicyPixels moffy
moffy-samples-events text time transformers type-flip type-set
@@ -202913,27 +203326,70 @@ self: {
"moffy-samples-events" = callPackage
({ mkDerivation, aeson, base, bytestring, containers, deepseq
- , http-conduit, JuicyPixels, moffy, monads-tf, process, random, stm
- , text, time, type-flip, type-set, union-color
+ , http-conduit, JuicyPixels, moffy, mtl, process, random, stm, text
+ , time, type-flip, type-set, union-color
}:
mkDerivation {
pname = "moffy-samples-events";
- version = "0.2.2.4";
- sha256 = "0bsfp0rjm6dqnbnp8q62r1qf1d2v8h03a2j09cvcrc97sw61gqa7";
+ version = "0.2.2.5";
+ sha256 = "1p433xkcn7v32q57514j3c0k0vxsdpapypww3834lyiymp13ldf5";
libraryHaskellDepends = [
aeson base bytestring containers deepseq http-conduit JuicyPixels
- moffy monads-tf process random stm text time type-flip type-set
+ moffy mtl process random stm text time type-flip type-set
union-color
];
testHaskellDepends = [
aeson base bytestring containers deepseq http-conduit JuicyPixels
- moffy monads-tf process random stm text time type-flip type-set
+ moffy mtl process random stm text time type-flip type-set
union-color
];
description = "Events for sample codes of moffy";
license = lib.licenses.bsd3;
}) {};
+ "moffy-samples-gtk3" = callPackage
+ ({ mkDerivation, base, moffy-samples, moffy-samples-gtk3-run }:
+ mkDerivation {
+ pname = "moffy-samples-gtk3";
+ version = "0.1.0.0";
+ sha256 = "02rgwa2wa5xz6yp26v15fvjlq6wrccj3gnk74iwmv2nc22yg1803";
+ isLibrary = false;
+ isExecutable = true;
+ executableHaskellDepends = [
+ base moffy-samples moffy-samples-gtk3-run
+ ];
+ testHaskellDepends = [ base moffy-samples moffy-samples-gtk3-run ];
+ description = "Sample executables of moffy - GTK3 version";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ mainProgram = "moffy_samples_gtk3";
+ }) {};
+
+ "moffy-samples-gtk3-run" = callPackage
+ ({ mkDerivation, base, c-enum, c-struct, containers, gtk3, moffy
+ , moffy-samples-events, random, simple-cairo, simple-pango, stm
+ , text, time, type-flip, type-set, union-color
+ }:
+ mkDerivation {
+ pname = "moffy-samples-gtk3-run";
+ version = "0.1.0.7";
+ sha256 = "0z9q9i65m91fqg5m4ik6pbisfbwlqf31prfcrj33g3mbdhjjb9ld";
+ libraryHaskellDepends = [
+ base c-enum c-struct containers moffy moffy-samples-events random
+ simple-cairo simple-pango stm text time type-flip type-set
+ union-color
+ ];
+ libraryPkgconfigDepends = [ gtk3 ];
+ testHaskellDepends = [
+ base c-enum c-struct containers moffy moffy-samples-events random
+ simple-cairo simple-pango stm text time type-flip type-set
+ union-color
+ ];
+ description = "Package to run moffy samples - GTK3 version";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {inherit (pkgs) gtk3;};
+
"moffy-samples-gtk4" = callPackage
({ mkDerivation, base, moffy, moffy-samples, moffy-samples-gtk4-run
}:
@@ -202961,8 +203417,8 @@ self: {
}:
mkDerivation {
pname = "moffy-samples-gtk4-run";
- version = "0.2.1.2";
- sha256 = "15vmkwc72w9ir7kqa0mhypa6x8y5mxi2lg1fylbcckv5i42kb7n1";
+ version = "0.2.1.3";
+ sha256 = "1y1f8rpjpv1dvygzq5cj16s6cw9fq6pxx3hpbnim84sl46pdcjak";
libraryHaskellDepends = [
base c-enum c-struct containers exception-hierarchy moffy
moffy-samples-events random simple-cairo simple-pango stm text time
@@ -203165,8 +203621,10 @@ self: {
];
description = "A library for probabilistic programming";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "example";
maintainers = [ lib.maintainers.turion ];
+ broken = true;
}) {};
"monad-bool" = callPackage
@@ -203294,6 +203752,7 @@ self: {
];
description = "Transformer for TCP connection with TLS and SOCKS support";
license = lib.licenses.publicDomain;
+ hydraPlatforms = lib.platforms.none;
}) {};
"monad-control" = callPackage
@@ -203364,8 +203823,8 @@ self: {
}:
mkDerivation {
pname = "monad-dijkstra";
- version = "0.1.1.4";
- sha256 = "1rj6gj8ipl7frk43ss1ki906fwp7qp2q1knjvk8d3n1ivgs5hmal";
+ version = "0.1.1.5";
+ sha256 = "0p3jys7ii0yks8xqpwsvc0fzmi180hgf488h6pmv95yg879v5csz";
libraryHaskellDepends = [
base containers free mtl psqueues transformers
];
@@ -203444,6 +203903,8 @@ self: {
libraryHaskellDepends = [ base mtl transformers ];
description = "A simple monad for generating fresh integers";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"monad-hash" = callPackage
@@ -203541,6 +204002,8 @@ self: {
];
description = "Pure logger typeclass and monad transformer";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"monad-levels" = callPackage
@@ -204004,25 +204467,6 @@ self: {
}) {};
"monad-peel" = callPackage
- ({ mkDerivation, base, extensible-exceptions, HUnit, test-framework
- , test-framework-hunit, transformers
- }:
- mkDerivation {
- pname = "monad-peel";
- version = "0.2.1.2";
- sha256 = "1x1kr5pk8ksw8xcm19c50jx8m0crf3m3qp73k31dnl9r1w4ykm9d";
- libraryHaskellDepends = [
- base extensible-exceptions transformers
- ];
- testHaskellDepends = [
- base extensible-exceptions HUnit test-framework
- test-framework-hunit transformers
- ];
- description = "Lift control operations like exception catching through monad transformers";
- license = lib.licenses.bsd3;
- }) {};
-
- "monad-peel_0_3" = callPackage
({ mkDerivation, base, extensible-exceptions, HUnit, test-framework
, test-framework-hunit, transformers
}:
@@ -204040,6 +204484,7 @@ self: {
description = "Lift control operations like exception catching through monad transformers";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"monad-persist" = callPackage
@@ -204074,6 +204519,8 @@ self: {
libraryHaskellDepends = [ base primitive transformers ];
description = "Type class for monad transformers stack with pirimitive base monad";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"monad-products" = callPackage
@@ -204085,6 +204532,8 @@ self: {
libraryHaskellDepends = [ base semigroupoids ];
description = "Monad products";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"monad-ran" = callPackage
@@ -204148,6 +204597,26 @@ self: {
maintainers = [ lib.maintainers.turion ];
}) {};
+ "monad-schedule_0_1_2_2" = callPackage
+ ({ mkDerivation, base, free, HUnit, QuickCheck, stm, test-framework
+ , test-framework-hunit, test-framework-quickcheck2, time-domain
+ , transformers
+ }:
+ mkDerivation {
+ pname = "monad-schedule";
+ version = "0.1.2.2";
+ sha256 = "0nblwa9244lnxi1chy5hzhwwnj57hci391b3yfz20abq0ckdxdpm";
+ libraryHaskellDepends = [ base free stm time-domain transformers ];
+ testHaskellDepends = [
+ base free HUnit QuickCheck stm test-framework test-framework-hunit
+ test-framework-quickcheck2 time-domain transformers
+ ];
+ description = "A new, simple, composable concurrency abstraction";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ maintainers = [ lib.maintainers.turion ];
+ }) {};
+
"monad-skeleton" = callPackage
({ mkDerivation, base }:
mkDerivation {
@@ -204182,6 +204651,8 @@ self: {
pname = "monad-state";
version = "0.2.0.3";
sha256 = "1dh1dw7n39rb85wk4zq0hw2g9x81zyha033awv81l6xl8pjdxqxv";
+ revision = "1";
+ editedCabalFile = "0jhr5s9yvqc6fd0rda9nh7b5yv6wwjwkqmfc2wja5j19nwk65hhd";
libraryHaskellDepends = [
AbortT-transformers base fclabels monads-tf transformers
];
@@ -204270,6 +204741,8 @@ self: {
libraryHaskellDepends = [ base mtl transformers ];
description = "Stateful supply monad";
license = "unknown";
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"monad-task" = callPackage
@@ -204563,6 +205036,8 @@ self: {
];
description = "Boxed and unboxed arrays for monad transformers";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"monadic-bang" = callPackage
@@ -204579,6 +205054,7 @@ self: {
testHaskellDepends = [ base ghc ghc-boot ghc-paths transformers ];
description = "GHC plugin to desugar ! into do-notation";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"monadic-recursion-schemes" = callPackage
@@ -204645,6 +205121,8 @@ self: {
libraryHaskellDepends = [ base monads-tf transformers ];
description = "Reversibly allow monad transformer stacks to run in IO";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"monadlist" = callPackage
@@ -204667,6 +205145,8 @@ self: {
libraryHaskellDepends = [ base template-haskell transformers ];
description = "A class for monads which can keep a monadic call trace";
license = lib.licenses.publicDomain;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"monadloc-pp" = callPackage
@@ -204724,21 +205204,6 @@ self: {
}) {};
"monadology" = callPackage
- ({ mkDerivation, base, constraints, invariant, tasty, tasty-hunit
- , transformers, type-rig, witness
- }:
- mkDerivation {
- pname = "monadology";
- version = "0.1";
- sha256 = "0pr9ia4jns545sk5x85pdwgbw1qd648nh9avb5q7jql7dwa21928";
- libraryHaskellDepends = [
- base constraints invariant transformers type-rig witness
- ];
- testHaskellDepends = [ base tasty tasty-hunit transformers ];
- license = lib.licenses.bsd2;
- }) {};
-
- "monadology_0_3" = callPackage
({ mkDerivation, base, constraints, invariant, tasty, tasty-hunit
, text, transformers, type-rig, witness
}:
@@ -204752,7 +205217,6 @@ self: {
testHaskellDepends = [ base tasty tasty-hunit text transformers ];
description = "The best ideas in monad-related classes and types";
license = lib.licenses.bsd2;
- hydraPlatforms = lib.platforms.none;
}) {};
"monadplus" = callPackage
@@ -204777,20 +205241,11 @@ self: {
libraryHaskellDepends = [ base mtl transformers ];
description = "Monad classes, using functional dependencies";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"monads-tf" = callPackage
- ({ mkDerivation, base, transformers }:
- mkDerivation {
- pname = "monads-tf";
- version = "0.1.0.3";
- sha256 = "1wdhskwa6dw8qljbvwpyxj8ca6y95q2np7z4y4q6bpf4anmd5794";
- libraryHaskellDepends = [ base transformers ];
- description = "Monad classes, using type families";
- license = lib.licenses.bsd3;
- }) {};
-
- "monads-tf_0_3_0_1" = callPackage
({ mkDerivation, base, transformers }:
mkDerivation {
pname = "monads-tf";
@@ -204799,7 +205254,6 @@ self: {
libraryHaskellDepends = [ base transformers ];
description = "Monad classes, using type families";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"monadtransform" = callPackage
@@ -204811,6 +205265,8 @@ self: {
libraryHaskellDepends = [ base transformers ];
description = "A type-class for transforming monads (homomorphism) in a transformer";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"monarch" = callPackage
@@ -204917,6 +205373,40 @@ self: {
license = lib.licenses.asl20;
}) {};
+ "mongoDB_2_7_1_3" = callPackage
+ ({ mkDerivation, array, base, base16-bytestring, base64-bytestring
+ , binary, bson, bytestring, conduit, conduit-extra, containers
+ , criterion, cryptohash, data-default-class, dns, fail, hashtables
+ , hspec, http-types, lifted-base, monad-control, mtl, network
+ , nonce, old-locale, parsec, pureMD5, random, random-shuffle
+ , resourcet, stm, tagged, text, time, tls, transformers
+ , transformers-base
+ }:
+ mkDerivation {
+ pname = "mongoDB";
+ version = "2.7.1.3";
+ sha256 = "194qjhkhks3pqic9ycx7qyzkqlpvyxlhsixwkvnagakivyzrglv3";
+ libraryHaskellDepends = [
+ array base base16-bytestring base64-bytestring binary bson
+ bytestring conduit conduit-extra containers cryptohash
+ data-default-class dns fail hashtables http-types lifted-base
+ monad-control mtl network nonce parsec pureMD5 random
+ random-shuffle resourcet stm tagged text time tls transformers
+ transformers-base
+ ];
+ testHaskellDepends = [ base hspec mtl old-locale text time ];
+ benchmarkHaskellDepends = [
+ array base base16-bytestring base64-bytestring binary bson
+ bytestring conduit conduit-extra containers criterion cryptohash
+ data-default-class dns fail hashtables http-types lifted-base
+ monad-control mtl network nonce parsec random random-shuffle stm
+ text tls transformers transformers-base
+ ];
+ description = "Driver (client) for MongoDB, a free, scalable, fast, document DBMS";
+ license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"mongodb-queue" = callPackage
({ mkDerivation, base, data-default, hspec, lifted-base
, monad-control, mongoDB, network, text, transformers
@@ -205134,6 +205624,17 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "monoid-insertleft" = callPackage
+ ({ mkDerivation, base }:
+ mkDerivation {
+ pname = "monoid-insertleft";
+ version = "0.1.0.1";
+ sha256 = "10ilkc43lys65qsb8qnvniq1mivqf2lsgx8whwpzkd7pa91x09ci";
+ libraryHaskellDepends = [ base ];
+ description = "Some extension to the Foldable and Monoid classes";
+ license = lib.licenses.mit;
+ }) {};
+
"monoid-map" = callPackage
({ mkDerivation, base, commutative-semigroups, monoidal-containers
, patch, reflex, witherable
@@ -205286,8 +205787,8 @@ self: {
}:
mkDerivation {
pname = "monoidmap";
- version = "0.0.0.1";
- sha256 = "0qq1q8xk0bf32lx4hnsh45kkj2fkjfskc2krggmcrbnapnxmcb56";
+ version = "0.0.1.1";
+ sha256 = "0dp0gc0jqfr8rzlvg0zgyk21xizwhx0mr5r29xkkxc9n5mxsl0l7";
libraryHaskellDepends = [
base containers deepseq groups monoid-subclasses
nonempty-containers nothunks
@@ -205349,8 +205850,8 @@ self: {
}:
mkDerivation {
pname = "monomer";
- version = "1.6.0.0";
- sha256 = "15cpybwdsh3yq9xhcrk0fpa0dcc805p9q6kn6qcz86khkvmp5qpc";
+ version = "1.6.0.1";
+ sha256 = "0iqbcjd8dxpc3k9v4rryfvjj2pbv540qlzfqqydvfis91cjk4yj5";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -205382,20 +205883,21 @@ self: {
"monomer-flatpak-example" = callPackage
({ mkDerivation, async, base, bytestring, c2hs, containers
- , data-default-class, dbus, desktop-portal, directory, libpipewire
- , libspa, modern-uri, monomer, monomer-hagrid, random, text
+ , data-default-class, dbus, desktop-portal, directory, file-io
+ , filepath, libpipewire, libspa, modern-uri, monomer
+ , monomer-hagrid, random, text
}:
mkDerivation {
pname = "monomer-flatpak-example";
- version = "0.0.14.0";
- sha256 = "1cxj7gw732hnm7lmz5d3pwdh03gswdycjsg5c145xsgc7s09vy0c";
+ version = "0.0.15.2";
+ sha256 = "0b1f96n4n9ajpslhh885v7gyx780n99qljdz62dlvqjlb88kn4h2";
isLibrary = false;
isExecutable = true;
enableSeparateDataOutput = true;
executableHaskellDepends = [
async base bytestring containers data-default-class dbus
- desktop-portal directory modern-uri monomer monomer-hagrid random
- text
+ desktop-portal directory file-io filepath modern-uri monomer
+ monomer-hagrid random text
];
executablePkgconfigDepends = [ libpipewire libspa ];
executableToolDepends = [ c2hs ];
@@ -205427,6 +205929,8 @@ self: {
description = "A datagrid widget for the Monomer library";
license = lib.licenses.mit;
badPlatforms = lib.platforms.darwin;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"monomorphic" = callPackage
@@ -205953,6 +206457,7 @@ self: {
];
description = "Morpheus GraphQL";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"morpheus-graphql-app" = callPackage
@@ -206064,7 +206569,9 @@ self: {
];
description = "Morpheus GraphQL CLI";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "morpheus";
+ broken = true;
}) {};
"morpheus-graphql-code-gen-utils" = callPackage
@@ -206362,6 +206869,7 @@ self: {
];
description = "General purpose migrations library";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
}) {};
"moto-postgresql" = callPackage
@@ -206378,6 +206886,7 @@ self: {
];
description = "PostgreSQL-based migrations registry for moto";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
}) {};
"motor" = callPackage
@@ -206399,6 +206908,7 @@ self: {
testHaskellDepends = [ base indexed indexed-extras row-types ];
description = "Type-safe effectful state machines in Haskell";
license = lib.licenses.mpl20;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "example-door";
}) {};
@@ -207160,6 +207670,7 @@ self: {
];
description = "TDS Protocol implemented in Haskell";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"msgpack" = callPackage
@@ -207443,20 +207954,10 @@ self: {
];
description = "SQL Server client library implemented in Haskell";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"mstate" = callPackage
- ({ mkDerivation, base, fail, monad-peel, mtl, stm }:
- mkDerivation {
- pname = "mstate";
- version = "0.2.8";
- sha256 = "13jv04skkb0ysxx9gswynp7fg7yz3nwy5zhzp209fbwr9izxcm05";
- libraryHaskellDepends = [ base fail monad-peel mtl stm ];
- description = "MState: A consistent State monad for concurrent applications";
- license = lib.licenses.bsd3;
- }) {};
-
- "mstate_0_2_10" = callPackage
({ mkDerivation, base, fail, monad-peel, mtl, stm }:
mkDerivation {
pname = "mstate";
@@ -207626,6 +208127,8 @@ self: {
];
description = "MTL classes without the functional dependency";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"mtl-uplift" = callPackage
@@ -207638,6 +208141,8 @@ self: {
testHaskellDepends = [ base mtl ];
description = "Lift substacks of monad transformer stacks";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"mtlparse" = callPackage
@@ -207687,6 +208192,8 @@ self: {
libraryHaskellDepends = [ base bifunctors ];
description = "Tree with Meta and Content parameters";
license = lib.licenses.publicDomain;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"mtsl" = callPackage
@@ -208209,13 +208716,14 @@ self: {
}) {};
"multi-except" = callPackage
- ({ mkDerivation, base, dlist-nonempty, hspec, semigroupoids }:
+ ({ mkDerivation, base, hspec, semigroupoids }:
mkDerivation {
pname = "multi-except";
- version = "0.3.0.0";
- sha256 = "1zk8cihmv5dimdhld0h0h622zsvn71rdbhslj4b8dh3dv4qdfji0";
- libraryHaskellDepends = [ base dlist-nonempty semigroupoids ];
+ version = "2.0.0";
+ sha256 = "1c4wivfilss7ll09djlchk6wrn6y9z7470hfm7yqyl66pfgz204k";
+ libraryHaskellDepends = [ base semigroupoids ];
testHaskellDepends = [ base hspec semigroupoids ];
+ doHaddock = false;
description = "Multiple Exceptions";
license = lib.licenses.mit;
}) {};
@@ -208323,6 +208831,8 @@ self: {
testHaskellDepends = [ base doctest sop-core ];
description = "Uncurry functions with multiple arguments";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"multifile" = callPackage
@@ -208575,6 +209085,8 @@ self: {
libraryHaskellDepends = [ base transformers ];
description = "Lightweight generic library for mutually recursive data types";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"multiplate-simplified" = callPackage
@@ -209552,6 +210064,8 @@ self: {
libraryHaskellDepends = [ base safe-exceptions ];
description = "A trivial lock based on MVar";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"mvc" = callPackage
@@ -209694,6 +210208,7 @@ self: {
];
description = "Monadic interface for mwc-random";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"mx-state-codes" = callPackage
@@ -209988,6 +210503,7 @@ self: {
description = "Sessions and continuations for Snap web apps";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"mysnapsession-example" = callPackage
@@ -210362,6 +210878,7 @@ self: {
executableHaskellDepends = [ base HSH mtl process ];
description = "Utility to call iwconfig";
license = "unknown";
+ hydraPlatforms = lib.platforms.none;
mainProgram = "n-m";
}) {};
@@ -210617,6 +211134,8 @@ self: {
];
description = "A simple formlet library with named formlets";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"named-lock" = callPackage
@@ -210721,6 +211240,7 @@ self: {
];
description = "A parameterized named text type and associated functionality";
license = lib.licenses.isc;
+ hydraPlatforms = lib.platforms.none;
}) {};
"namelist" = callPackage
@@ -210926,22 +211446,23 @@ self: {
}) {};
"nanopass" = callPackage
- ({ mkDerivation, base, containers, mtl, pretty-simple
- , template-haskell, transformers
+ ({ mkDerivation, base, containers, megaparsec, mtl, pretty-simple
+ , sexpr-parser, template-haskell, text, transformers
}:
mkDerivation {
pname = "nanopass";
- version = "0.0.2.0";
- sha256 = "0pymmshr81pckiixqyxz985lx402d0srhcnz31vv27pyn9xvi6xf";
+ version = "0.0.3.0";
+ sha256 = "18fj3gwqvs2vyqgp6sv4h0hbp7jrwr7ik7kvgv9przbjk24caqsc";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
- base containers mtl template-haskell transformers
+ base containers megaparsec mtl pretty-simple sexpr-parser
+ template-haskell text transformers
];
executableHaskellDepends = [
base pretty-simple template-haskell transformers
];
- description = "An EDSL for creating compilers using small passes and many intermediate representations";
+ description = "Create compilers using small passes and many intermediate representations";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
mainProgram = "dumb-nanopass-example";
@@ -210960,6 +211481,18 @@ self: {
license = lib.licenses.mit;
}) {};
+ "nanotime" = callPackage
+ ({ mkDerivation, base, tasty, tasty-hunit, time }:
+ mkDerivation {
+ pname = "nanotime";
+ version = "0.3.1";
+ sha256 = "1r262mb020dxl9cwcnkikc3apc4556p2l02p90bz2rvgkv3sxpvr";
+ libraryHaskellDepends = [ base time ];
+ testHaskellDepends = [ base tasty tasty-hunit time ];
+ description = "a tiny time library";
+ license = lib.licenses.bsd3;
+ }) {};
+
"nanovg" = callPackage
({ mkDerivation, base, bytestring, c2hs, containers, freetype, glew
, hspec, inline-c, libGL, libGLU, libX11, QuickCheck, text, vector
@@ -210968,8 +211501,8 @@ self: {
pname = "nanovg";
version = "0.8.1.0";
sha256 = "1yzabd2l8z77dsgby97dzv0h38a6w554kmhp6hsfx3j24n0h0rnv";
- revision = "1";
- editedCabalFile = "1hczgvm5y5i8sw9yflsjmh9lzamqy6f6r5lmp06siai003ff1jxz";
+ revision = "2";
+ editedCabalFile = "02j2k8fnadp8fqkzji9xglrnahni5zkdix9l9ycf4qljsqj65q7j";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [ base bytestring containers text vector ];
@@ -211099,6 +211632,8 @@ self: {
testHaskellDepends = [ base hspec optics-core text ];
description = "Refinement types for natural numbers with an optics interface";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"nat-sized-numbers" = callPackage
@@ -211266,6 +211801,18 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "natural-arithmetic_0_2_1_0" = callPackage
+ ({ mkDerivation, base, unlifted }:
+ mkDerivation {
+ pname = "natural-arithmetic";
+ version = "0.2.1.0";
+ sha256 = "17kd0216k0rqfisdd7rad3cv3qg0jyvd146k3gg1pv9y8waf5rin";
+ libraryHaskellDepends = [ base unlifted ];
+ description = "Arithmetic of natural numbers";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"natural-induction" = callPackage
({ mkDerivation, base, peano }:
mkDerivation {
@@ -211384,6 +211931,8 @@ self: {
pname = "nbt";
version = "0.7";
sha256 = "10iby4sg50la1k635ygdqf5h50rvidl0k871brdjs8b9hi1vlv5r";
+ revision = "1";
+ editedCabalFile = "1llm3jzvff19jn7xvmcx28902fscd01bqzyfscma5mr720grgzr1";
enableSeparateDataOutput = true;
libraryHaskellDepends = [ array base bytestring cereal text ];
testHaskellDepends = [
@@ -211933,39 +212482,6 @@ self: {
}) {ghc-binary = null;};
"net-mqtt" = callPackage
- ({ mkDerivation, async, attoparsec, attoparsec-binary, base, binary
- , bytestring, checkers, conduit, conduit-extra, connection
- , containers, deepseq, HUnit, network-conduit-tls, network-uri
- , optparse-applicative, QuickCheck, stm, tasty, tasty-hunit
- , tasty-quickcheck, text, websockets
- }:
- mkDerivation {
- pname = "net-mqtt";
- version = "0.8.3.0";
- sha256 = "02y2bsxr23vkg8xp0aa4mz1dpy37zsgyqkl6yd80ldm7h4vmj8fl";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- async attoparsec attoparsec-binary base binary bytestring conduit
- conduit-extra connection containers deepseq network-conduit-tls
- network-uri QuickCheck stm text websockets
- ];
- executableHaskellDepends = [
- async attoparsec attoparsec-binary base binary bytestring conduit
- conduit-extra connection containers deepseq network-conduit-tls
- network-uri optparse-applicative QuickCheck stm text websockets
- ];
- testHaskellDepends = [
- async attoparsec attoparsec-binary base binary bytestring checkers
- conduit conduit-extra connection containers deepseq HUnit
- network-conduit-tls network-uri QuickCheck stm tasty tasty-hunit
- tasty-quickcheck text websockets
- ];
- description = "An MQTT Protocol Implementation";
- license = lib.licenses.bsd3;
- }) {};
-
- "net-mqtt_0_8_6_0" = callPackage
({ mkDerivation, async, attoparsec, attoparsec-binary, base, binary
, bytestring, checkers, conduit, conduit-extra, containers
, crypton-connection, deepseq, HUnit, lens, monad-loops, mtl
@@ -212000,7 +212516,6 @@ self: {
testToolDepends = [ tasty-discover ];
description = "An MQTT Protocol Implementation";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"net-mqtt-lens" = callPackage
@@ -212479,6 +212994,30 @@ self: {
license = lib.licenses.mit;
}) {inherit (pkgs) nettle;};
+ "nettle_0_3_1_1" = callPackage
+ ({ mkDerivation, array, base, byteable, bytestring
+ , crypto-cipher-tests, crypto-cipher-types, HUnit, nettle
+ , QuickCheck, securemem, tagged, test-framework
+ , test-framework-hunit, test-framework-quickcheck2
+ }:
+ mkDerivation {
+ pname = "nettle";
+ version = "0.3.1.1";
+ sha256 = "0hnbba0wc68yfqznmswmr9radl5hara61m67vr6wdl3s4ln5aj6m";
+ libraryHaskellDepends = [
+ base byteable bytestring crypto-cipher-types securemem tagged
+ ];
+ libraryPkgconfigDepends = [ nettle ];
+ testHaskellDepends = [
+ array base bytestring crypto-cipher-tests crypto-cipher-types HUnit
+ QuickCheck tagged test-framework test-framework-hunit
+ test-framework-quickcheck2
+ ];
+ description = "safe nettle binding";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ }) {inherit (pkgs) nettle;};
+
"nettle-frp" = callPackage
({ mkDerivation, base, bimap, binary, bytestring, containers, mtl
, nettle-openflow, network, network-data, random, time
@@ -212562,8 +213101,8 @@ self: {
}:
mkDerivation {
pname = "netwire-input-glfw";
- version = "0.0.11";
- sha256 = "1sizk0c8mbm6ad984gaf933h1bg4dgwi9k8hzrm9wp5rwbqjvcz8";
+ version = "0.0.12";
+ sha256 = "0ydg38w8n3k5hwqv1j1qw3lad0n034rmijpqgk09d2anhyr2pi0v";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -212908,26 +213447,6 @@ self: {
}) {};
"network-conduit-tls" = callPackage
- ({ mkDerivation, base, bytestring, conduit, conduit-extra
- , connection, data-default-class, HUnit, mtl, network
- , streaming-commons, tls, transformers, unliftio-core
- }:
- mkDerivation {
- pname = "network-conduit-tls";
- version = "1.3.2";
- sha256 = "0zxdsf7isv3l2g58vsvi8iwlrgf85v7ksa8636kr6ffycbhn1zgc";
- libraryHaskellDepends = [
- base bytestring conduit conduit-extra connection data-default-class
- network streaming-commons tls transformers unliftio-core
- ];
- testHaskellDepends = [
- base bytestring conduit conduit-extra connection HUnit mtl
- ];
- description = "Create TLS-aware network code with conduits";
- license = lib.licenses.mit;
- }) {};
-
- "network-conduit-tls_1_4_0" = callPackage
({ mkDerivation, base, bytestring, conduit, conduit-extra
, crypton-connection, data-default-class, HUnit, mtl, network
, streaming-commons, tls, transformers, unliftio-core
@@ -212946,7 +213465,6 @@ self: {
];
description = "Create TLS-aware network code with conduits";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"network-connection" = callPackage
@@ -213328,19 +213846,20 @@ self: {
"network-protocol-xmpp" = callPackage
({ mkDerivation, base, bytestring, gnuidn, gnutls, gsasl
- , libxml-sax, monads-tf, network, network-simple, text
- , transformers, xml-types
+ , libxml-sax, network, network-simple, text, transformers
+ , xml-types
}:
mkDerivation {
pname = "network-protocol-xmpp";
- version = "0.4.10";
- sha256 = "03xlw8337lzwp7f5jvbvgirf546pfmfsfjvnik08qjjy1rfn5jji";
+ version = "0.5.1";
+ sha256 = "1fd8rq235lbpkdlashsqk01ymxbbh6q1hng706h5lw0v49wpvd7i";
libraryHaskellDepends = [
- base bytestring gnuidn gnutls gsasl libxml-sax monads-tf network
+ base bytestring gnuidn gnutls gsasl libxml-sax network
network-simple text transformers xml-types
];
description = "Client library for the XMPP protocol";
license = lib.licenses.gpl3Only;
+ hydraPlatforms = lib.platforms.none;
}) {};
"network-rpca" = callPackage
@@ -213440,24 +213959,6 @@ self: {
}) {};
"network-simple-tls" = callPackage
- ({ mkDerivation, base, bytestring, data-default, network
- , network-simple, safe-exceptions, tls, tls-session-manager
- , transformers, x509, x509-store, x509-system, x509-validation
- }:
- mkDerivation {
- pname = "network-simple-tls";
- version = "0.4.1";
- sha256 = "10nidmq2qb4s4bw90vm1p90rlv1g4p0xy6rbh4davmz7c26cyyg5";
- libraryHaskellDepends = [
- base bytestring data-default network network-simple safe-exceptions
- tls tls-session-manager transformers x509 x509-store x509-system
- x509-validation
- ];
- description = "Simple interface to TLS secured network sockets";
- license = lib.licenses.bsd3;
- }) {};
-
- "network-simple-tls_0_4_2" = callPackage
({ mkDerivation, base, bytestring, crypton-x509, crypton-x509-store
, crypton-x509-system, crypton-x509-validation, data-default
, network, network-simple, safe-exceptions, tls
@@ -213474,7 +213975,6 @@ self: {
];
description = "Simple interface to TLS secured network sockets";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"network-simple-ws" = callPackage
@@ -213634,6 +214134,7 @@ self: {
];
description = "In-memory instantiation of Network.Transport";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"network-transport-tcp" = callPackage
@@ -213669,6 +214170,8 @@ self: {
];
description = "Unit tests for Network.Transport implementations";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"network-transport-zeromq" = callPackage
@@ -213730,8 +214233,8 @@ self: {
}:
mkDerivation {
pname = "network-unexceptional";
- version = "0.2.0.0";
- sha256 = "042mav0nrvffm31xd0skx5fjq8qd1608ar76wq4vg03az6xdy97d";
+ version = "0.2.1.1";
+ sha256 = "02bnxdnx15m5nhvxgfpyv7zxfjki2zbg0igmcsqrc2c49dbva228";
libraryHaskellDepends = [
base byteslice bytestring error-codes network posix-api primitive
primitive-addr stm
@@ -214401,8 +214904,8 @@ self: {
}:
mkDerivation {
pname = "ngx-export";
- version = "1.7.8";
- sha256 = "16a7dq92ibqi2y8dna9dyw43n52av2khp2k5jcc70bis2h90i0b2";
+ version = "1.7.9";
+ sha256 = "0xjbpnsdqxc9fgmsqqv03vgr28q584hjl0w78v1fw7g48cww7j4h";
libraryHaskellDepends = [
async base binary bytestring deepseq monad-loops template-haskell
unix
@@ -214428,7 +214931,6 @@ self: {
];
description = "Build custom libraries for Nginx haskell module";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
mainProgram = "nhm-tool";
}) {};
@@ -214501,8 +215003,8 @@ self: {
}:
mkDerivation {
pname = "ngx-export-tools-extra";
- version = "1.2.6";
- sha256 = "174xzifz0qmbq81gcaqnrwc14xk5jx38nygs9p2ansjsf9i4g50r";
+ version = "1.2.7";
+ sha256 = "0qspk9j5kcahsdima9c2428pvgm98lydx8slzc1fpyhmr8b1jcqw";
libraryHaskellDepends = [
aeson array async base base64 binary bytestring case-insensitive
containers ede enclosed-exceptions http-client
@@ -214511,7 +215013,7 @@ self: {
resolv safe safe-exceptions snap-core snap-server template-haskell
text time trifecta unordered-containers
];
- description = "More extra tools for Nginx haskell module";
+ description = "More extra tools for Nginx Haskell module";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
}) {};
@@ -215011,21 +215513,20 @@ self: {
, cli-git, cli-nix, containers, cryptonite, data-default, directory
, either, exceptions, extra, filepath, github, here, lens
, logging-effect, megaparsec, memory, modern-uri, monad-logger, mtl
- , optparse-applicative, process, template-haskell, temporary, text
- , time, unix, which, yaml
+ , optparse-applicative, temporary, text, time, unix, which, yaml
}:
mkDerivation {
pname = "nix-thunk";
- version = "0.5.0.0";
- sha256 = "06pn43ckxh0792if41q7prdg8705gcvplrf1f02i5ix3hddwq2l1";
+ version = "0.7.0.0";
+ sha256 = "03qh3691242n0yza6mk66d2l3gmhipfh1pk04qb4fzm9mhb472ps";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
aeson aeson-pretty base bytestring cli-extras cli-git cli-nix
containers cryptonite data-default directory either exceptions
extra filepath github here lens logging-effect megaparsec memory
- modern-uri monad-logger mtl optparse-applicative process
- template-haskell temporary text time unix which yaml
+ modern-uri monad-logger mtl optparse-applicative temporary text
+ time unix which yaml
];
executableHaskellDepends = [
base cli-extras optparse-applicative text
@@ -215070,23 +215571,23 @@ self: {
"nix-tree" = callPackage
({ mkDerivation, aeson, async, base, brick, bytestring, clock
- , containers, directory, filepath, hedgehog, hrfsize, microlens
- , optparse-applicative, relude, terminal-progress-bar, text
- , typed-process, unordered-containers, vty
+ , containers, directory, dot, filepath, hedgehog, hrfsize
+ , microlens, optparse-applicative, relude, terminal-progress-bar
+ , text, typed-process, unordered-containers, vty
}:
mkDerivation {
pname = "nix-tree";
- version = "0.3.2";
- sha256 = "0sm582mvkca6xhz1svggjqnp3ks3i1zmgaakiwnimfsbpysywar1";
+ version = "0.4.0";
+ sha256 = "01dfrny4y51gilysj3k46fi1zpxjal2ygr7d5zf6bvc4rw0awk6d";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
- aeson async base brick bytestring clock containers directory
+ aeson async base brick bytestring clock containers directory dot
filepath hrfsize microlens optparse-applicative relude
terminal-progress-bar text typed-process unordered-containers vty
];
testHaskellDepends = [
- aeson base brick bytestring clock containers directory filepath
+ aeson base brick bytestring clock containers directory dot filepath
hedgehog hrfsize microlens optparse-applicative relude text
typed-process unordered-containers vty
];
@@ -215946,6 +216447,8 @@ self: {
libraryHaskellDepends = [ base containers semigroupoids ];
description = "A NonEmptyMap Implementation";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"nonfree" = callPackage
@@ -216128,6 +216631,7 @@ self: {
];
description = "Painless 3D graphics, no affiliation with gloss";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"not-gloss-examples" = callPackage
@@ -216145,6 +216649,7 @@ self: {
];
description = "examples for not-gloss";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"not-in-base" = callPackage
@@ -216208,6 +216713,27 @@ self: {
license = lib.licenses.asl20;
}) {};
+ "nothunks_0_2_1_0" = callPackage
+ ({ mkDerivation, base, bytestring, containers, ghc-heap, ghc-prim
+ , hedgehog, random, stm, tasty, tasty-hedgehog, text, time, vector
+ , wherefrom-compat
+ }:
+ mkDerivation {
+ pname = "nothunks";
+ version = "0.2.1.0";
+ sha256 = "05ahmwij4y7bpy4h9j2ygqiiyjwlva33kk09iak840mnq4a3jni8";
+ libraryHaskellDepends = [
+ base bytestring containers ghc-heap stm text time vector
+ wherefrom-compat
+ ];
+ testHaskellDepends = [
+ base containers ghc-prim hedgehog random stm tasty tasty-hedgehog
+ ];
+ description = "Examine values for unexpected thunks";
+ license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"notifications-tray-icon" = callPackage
({ mkDerivation, aeson, async, base, bytestring, containers, dbus
, gi-dbusmenu, gi-gio, gi-glib, github, haskeline, hslogger
@@ -216391,8 +216917,8 @@ self: {
pname = "np-extras";
version = "0.3.1.3";
sha256 = "000i8lakkqg9yknqdbyasspmlc8zzpj15dqr8l9kqn5lkfhz1n59";
- revision = "1";
- editedCabalFile = "0l32qlwpfs2m4w7bid1z7a92wn91x44irm3pss63dz9ph2laa6mh";
+ revision = "2";
+ editedCabalFile = "1lvh4ls7s3pwcw289lgq5iaw0wlvpx30hgmwmm6m9xkszdjzb1vq";
libraryHaskellDepends = [ base containers numeric-prelude primes ];
description = "NumericPrelude extras";
license = lib.licenses.bsd3;
@@ -216659,6 +217185,26 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "nspace" = callPackage
+ ({ mkDerivation, base, checkers, containers, hashable, hspec
+ , hspec-discover, linear, monoidal-containers, QuickCheck
+ }:
+ mkDerivation {
+ pname = "nspace";
+ version = "0.2.0.0";
+ sha256 = "139pkqh8s6b78s0mvr75fzlvncpa062ml2x7fqlv59v9s7nhsdcr";
+ libraryHaskellDepends = [
+ base containers hashable linear monoidal-containers
+ ];
+ testHaskellDepends = [
+ base checkers containers hashable hspec linear monoidal-containers
+ QuickCheck
+ ];
+ testToolDepends = [ hspec-discover ];
+ description = "Efficient, infinite-precision 2D and 3D spatial containers";
+ license = lib.licenses.bsd3;
+ }) {};
+
"nth-prime" = callPackage
({ mkDerivation, base, opentheory-prime, opentheory-primitive }:
mkDerivation {
@@ -216697,7 +217243,9 @@ self: {
testHaskellDepends = [ base containers hspec pretty ];
description = "A tiny statically typed functional programming language";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "ntha";
+ broken = true;
}) {};
"nthable" = callPackage
@@ -216979,7 +217527,6 @@ self: {
];
description = "Convert numbers to number words";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"numeric-domains" = callPackage
@@ -217046,6 +217593,8 @@ self: {
];
description = "Integral and rational log2 algorithms";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"numeric-ode" = callPackage
@@ -217141,6 +217690,8 @@ self: {
];
description = "Wrapper of numeric-optimization package for using with backprop package";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"numeric-prelude" = callPackage
@@ -217152,8 +217703,8 @@ self: {
pname = "numeric-prelude";
version = "0.4.4";
sha256 = "04x6ry2sxr5hsiz4098dn2gqyjqywiq2xk0anf6wc4xrvasgccjs";
- revision = "1";
- editedCabalFile = "0w5an2w06vpi75qj9972qbsiz4n72mr6mkib4ivlrrirndsyda79";
+ revision = "2";
+ editedCabalFile = "0cfhgpll0fg6d3m27f9fkhrv1l6v0dbbp9srkmg981kdh02pkjx2";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -217277,20 +217828,19 @@ self: {
({ mkDerivation, base, QuickCheck }:
mkDerivation {
pname = "numhask";
- version = "0.10.1.1";
- sha256 = "1ar1qd75kxswwj8vkdxnixakw9hcj38jpxjjknfa9k27457aky2b";
- libraryHaskellDepends = [ base ];
- testHaskellDepends = [ base QuickCheck ];
+ version = "0.11.1.0";
+ sha256 = "1fnav552f99hbc83vsxvjccak06pqil8kyg8fhbgsllmsbhbzpqq";
+ libraryHaskellDepends = [ base QuickCheck ];
description = "A numeric class hierarchy";
license = lib.licenses.bsd3;
}) {};
- "numhask_0_11_1_0" = callPackage
+ "numhask_0_12_0_2" = callPackage
({ mkDerivation, base, QuickCheck }:
mkDerivation {
pname = "numhask";
- version = "0.11.1.0";
- sha256 = "1fnav552f99hbc83vsxvjccak06pqil8kyg8fhbgsllmsbhbzpqq";
+ version = "0.12.0.2";
+ sha256 = "0qrqhfmrdq5gbvad649vfv65lvr9q4051d5zyibq5ljr242yl04s";
libraryHaskellDepends = [ base QuickCheck ];
description = "A numeric class hierarchy";
license = lib.licenses.bsd3;
@@ -217298,22 +217848,6 @@ self: {
}) {};
"numhask-array" = callPackage
- ({ mkDerivation, adjunctions, base, distributive, numhask
- , QuickCheck, vector
- }:
- mkDerivation {
- pname = "numhask-array";
- version = "0.10.2";
- sha256 = "09g8kfv5p82g50qag2n9hswf3wc8xjdbg6b8pgw32ywxa4yjgxd3";
- libraryHaskellDepends = [
- adjunctions base distributive numhask vector
- ];
- testHaskellDepends = [ base QuickCheck ];
- description = "Multi-dimensional arrays";
- license = lib.licenses.bsd3;
- }) {};
-
- "numhask-array_0_11_0_1" = callPackage
({ mkDerivation, adjunctions, base, distributive, numhask, vector
}:
mkDerivation {
@@ -217325,7 +217859,6 @@ self: {
];
description = "Multi-dimensional arrays";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"numhask-free" = callPackage
@@ -217550,6 +218083,8 @@ self: {
pname = "nvfetcher";
version = "0.6.2.0";
sha256 = "0lgbnam9gb9sdgmbxc5iznzbyv13s27j7s74fnrp09xdij0fgq3q";
+ revision = "1";
+ editedCabalFile = "0rhp4m54s2cmkhzkbphm0xdmvhymzlj3h1dd7iphqf32ba7jljgr";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -217592,6 +218127,8 @@ self: {
pname = "nvim-hs";
version = "2.3.2.3";
sha256 = "03s0fsws7f8mld6kpmymagrmajfnxzi9isgdzik4kdrx8fzygin8";
+ revision = "1";
+ editedCabalFile = "132mw5hg846sg381g08vlxhw9xqqxh3508ykzn5b5sh9m3igf6j6";
libraryHaskellDepends = [
base bytestring cereal cereal-conduit conduit containers
data-default deepseq foreign-store hslogger megaparsec messagepack
@@ -217818,10 +218355,10 @@ self: {
}:
mkDerivation {
pname = "o-clock";
- version = "1.3.0";
- sha256 = "1swayrdhz10b67m6ipa75qz9ycz6r7xbk9fdq3ajlhp9bry31l7i";
+ version = "1.4.0";
+ sha256 = "1qmv0hq1z3x5h3mxpdd3prf9yhk7688hdm09zf8l36iq2602vj5g";
revision = "1";
- editedCabalFile = "1fis58d0r7yvznmgijc2878gjv0261apb748d5pcphmgk9i5kzf3";
+ editedCabalFile = "0jflzz1a78vji38x2dc4drj8w95sxxkmz73sa06a2xg7a4z64mb3";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [ base ];
@@ -217836,36 +218373,24 @@ self: {
mainProgram = "play-o-clock";
}) {};
- "o-clock_1_4_0" = callPackage
- ({ mkDerivation, base, doctest, Glob, hedgehog, hspec-expectations
- , markdown-unlit, tasty, tasty-hedgehog, tasty-hunit-compat
- , type-spec
- }:
+ "oalg-abg" = callPackage
+ ({ mkDerivation, base, oalg-base }:
mkDerivation {
- pname = "o-clock";
- version = "1.4.0";
- sha256 = "1qmv0hq1z3x5h3mxpdd3prf9yhk7688hdm09zf8l36iq2602vj5g";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [ base ];
- executableHaskellDepends = [ base ];
- testHaskellDepends = [
- base doctest Glob hedgehog hspec-expectations markdown-unlit tasty
- tasty-hedgehog tasty-hunit-compat type-spec
- ];
- testToolDepends = [ doctest markdown-unlit ];
- description = "Type-safe time library";
- license = lib.licenses.mpl20;
- hydraPlatforms = lib.platforms.none;
- mainProgram = "play-o-clock";
+ pname = "oalg-abg";
+ version = "1.0.0.0";
+ sha256 = "14qi1ypsrnngpc1j5vqn6l5nccc23k95h9cn9s9fpcmqcy8czlf0";
+ libraryHaskellDepends = [ base oalg-base ];
+ testHaskellDepends = [ base oalg-base ];
+ description = "Finitely generated abelian groups";
+ license = lib.licenses.bsd3;
}) {};
"oalg-base" = callPackage
({ mkDerivation, array, base, deepseq, random, time }:
mkDerivation {
pname = "oalg-base";
- version = "1.1.0.0";
- sha256 = "1zsfbdyz3m9alg1cvsiz64gqivbldgkwg60b6lkl6b7axx6ys4j9";
+ version = "1.1.4.0";
+ sha256 = "0i76n1pvak51bnkrq6m4nirzpnmqqclr6wk00vmws3c90w3dm6xm";
libraryHaskellDepends = [ array base deepseq random time ];
testHaskellDepends = [ array base deepseq random time ];
description = "Algebraic structures on oriented entities and limits as a tool kit to solve algebraic problems";
@@ -218564,8 +219089,8 @@ self: {
}:
mkDerivation {
pname = "ogma-cli";
- version = "1.1.0";
- sha256 = "0kxkfc5gqkz485r6qnpd51ms1v9sr9yih8ml7608x99bvjjkd5bv";
+ version = "1.2.0";
+ sha256 = "14qipd24zq37xq7y88ap5gr0iwwjc1ksj21lk108hqa7p5f56b3n";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [ base ogma-core optparse-applicative ];
@@ -218579,21 +219104,22 @@ self: {
}) {};
"ogma-core" = callPackage
- ({ mkDerivation, aeson, base, filepath, HUnit, IfElse, mtl
- , ogma-extra, ogma-language-c, ogma-language-cocospec
- , ogma-language-copilot, ogma-language-fret-cs
- , ogma-language-fret-reqs, ogma-language-smv, QuickCheck
+ ({ mkDerivation, aeson, base, bytestring, filepath, HUnit, IfElse
+ , mtl, ogma-extra, ogma-language-c, ogma-language-cocospec
+ , ogma-language-copilot, ogma-language-fret-reqs
+ , ogma-language-jsonspec, ogma-language-smv, ogma-spec, QuickCheck
, test-framework, test-framework-hunit, test-framework-quickcheck2
}:
mkDerivation {
pname = "ogma-core";
- version = "1.1.0";
- sha256 = "0q8f59cv6mjc6dx89klzklr0iyhk608n1m68da4zn0sm35vlsswn";
+ version = "1.2.0";
+ sha256 = "06x651mhq8i14zi7a3ldp6nly51rmfl68a1dv3smndy0xdqn04va";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
- aeson base filepath IfElse mtl ogma-extra ogma-language-c
- ogma-language-cocospec ogma-language-copilot ogma-language-fret-cs
- ogma-language-fret-reqs ogma-language-smv
+ aeson base bytestring filepath IfElse mtl ogma-extra
+ ogma-language-c ogma-language-cocospec ogma-language-copilot
+ ogma-language-fret-reqs ogma-language-jsonspec ogma-language-smv
+ ogma-spec
];
testHaskellDepends = [
base HUnit QuickCheck test-framework test-framework-hunit
@@ -218610,8 +219136,8 @@ self: {
}:
mkDerivation {
pname = "ogma-extra";
- version = "1.1.0";
- sha256 = "1asrk0222jwf92phdw1jlcc8cjmmx9vm59p3fxrih4fm9lif8iz1";
+ version = "1.2.0";
+ sha256 = "0khvchp1l16zaaqm7x4n0hvf21d9jih9aqyjf4q9q86mixx0lzkn";
libraryHaskellDepends = [
base bytestring Cabal directory filepath
];
@@ -218628,8 +219154,8 @@ self: {
}:
mkDerivation {
pname = "ogma-language-c";
- version = "1.1.0";
- sha256 = "1sr6hkidj585l3myzy6sisafw13hq5j9yxfwqy3sjq14g566ch2k";
+ version = "1.2.0";
+ sha256 = "0vifm7xfr5yidr15ghfr281qkbh54ww0bjja2bx3y6xn9b2z8y3y";
setupHaskellDepends = [ base BNFC Cabal process ];
libraryHaskellDepends = [ array base ];
testHaskellDepends = [
@@ -218647,8 +219173,8 @@ self: {
}:
mkDerivation {
pname = "ogma-language-cocospec";
- version = "1.1.0";
- sha256 = "0bw8ygnpacgyyaysxw9pyw4ddpvp6h095k7chhvylvp5p70kkkbf";
+ version = "1.2.0";
+ sha256 = "11zdyc4r80mvpyg60cas9cwn7qabicxbg8d8wjpdn15yxcf9p48i";
setupHaskellDepends = [ base BNFC Cabal process ];
libraryHaskellDepends = [ array base ];
testHaskellDepends = [
@@ -218664,8 +219190,8 @@ self: {
({ mkDerivation, base }:
mkDerivation {
pname = "ogma-language-copilot";
- version = "1.1.0";
- sha256 = "0rgll490zkkblanh9zgalq9zsj1rc8w72fzh1r2bfrjljbiq5ncv";
+ version = "1.2.0";
+ sha256 = "0svaf7my8av3vdj16kdw6wc058fygkbvl92fril5riyq5pvm57bs";
libraryHaskellDepends = [ base ];
description = "Ogma: Runtime Monitor translator: Copilot Language Endpoints";
license = "unknown";
@@ -218699,8 +219225,8 @@ self: {
}:
mkDerivation {
pname = "ogma-language-fret-reqs";
- version = "1.1.0";
- sha256 = "073lrr650250d8r02dv0l3yvbjrhdjy9gv5gbf42va40snrf43j6";
+ version = "1.2.0";
+ sha256 = "0xz2arb70m9vz6pkw21g0973zl0q70drv24fp5xbzl3xpxn0ymwy";
libraryHaskellDepends = [
aeson base ogma-language-cocospec ogma-language-smv text
];
@@ -218713,14 +219239,29 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
+ "ogma-language-jsonspec" = callPackage
+ ({ mkDerivation, aeson, base, bytestring, jsonpath, megaparsec
+ , ogma-spec, text
+ }:
+ mkDerivation {
+ pname = "ogma-language-jsonspec";
+ version = "1.2.0";
+ sha256 = "0zqgqvx70faz0bsk7qx5cxfrigsyvffklr9f47gfv82xxbsv61w1";
+ libraryHaskellDepends = [
+ aeson base bytestring jsonpath megaparsec ogma-spec text
+ ];
+ description = "Ogma: Runtime Monitor translator: JSON Frontend";
+ license = "unknown";
+ }) {};
+
"ogma-language-smv" = callPackage
({ mkDerivation, array, base, BNFC, Cabal, process, QuickCheck
, test-framework, test-framework-quickcheck2
}:
mkDerivation {
pname = "ogma-language-smv";
- version = "1.1.0";
- sha256 = "1lcgh27vxp8ncvma380z7i03dd4j029b583jviq1hg3bywc8690l";
+ version = "1.2.0";
+ sha256 = "19lrb9xvz1vqdzijpsqp0b66psqd0appa1mq8vhvc0yddmpz7ib5";
setupHaskellDepends = [ base BNFC Cabal process ];
libraryHaskellDepends = [ array base ];
testHaskellDepends = [
@@ -218732,6 +219273,17 @@ self: {
broken = true;
}) {};
+ "ogma-spec" = callPackage
+ ({ mkDerivation, base }:
+ mkDerivation {
+ pname = "ogma-spec";
+ version = "1.2.0";
+ sha256 = "1d1fk0r8df0hg7v4v046czlrwb1wjzwjyqffac7axzhxajl9igci";
+ libraryHaskellDepends = [ base ];
+ description = "Ogma: Runtime Monitor translator: JSON Frontend";
+ license = "unknown";
+ }) {};
+
"ogmarkup" = callPackage
({ mkDerivation, base, hspec, hspec-megaparsec, megaparsec, mtl
, shakespeare, text
@@ -218795,23 +219347,23 @@ self: {
}) {};
"oidc-client" = callPackage
- ({ mkDerivation, aeson, attoparsec, base, bytestring, cryptonite
+ ({ mkDerivation, aeson, attoparsec, base, bytestring, crypton
, exceptions, hspec, http-client, http-client-tls, http-types
, jose-jwt, network, network-uri, scientific, text, time, tls
}:
mkDerivation {
pname = "oidc-client";
- version = "0.7.0.1";
- sha256 = "161dcwnnis9ddxr76fl107dfif1jw6gpbd1ckhh4rclq63vh9inb";
+ version = "0.8.0.0";
+ sha256 = "0fmffnf6gg99d15nn84ih36lr7qasa1zfkb62sgb0icik8dwv83m";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
- aeson attoparsec base bytestring cryptonite exceptions http-client
+ aeson attoparsec base bytestring crypton exceptions http-client
http-client-tls jose-jwt network network-uri scientific text time
tls
];
testHaskellDepends = [
- aeson base bytestring cryptonite exceptions hspec http-client
+ aeson base bytestring crypton exceptions hspec http-client
http-client-tls http-types jose-jwt network-uri scientific text
time
];
@@ -218941,8 +219493,8 @@ self: {
}:
mkDerivation {
pname = "om-doh";
- version = "0.1.0.1";
- sha256 = "1y9r70ppifww4ddk3rwvgwhfijn5hf9svlx4x46v1n027yjf9pgp";
+ version = "0.1.0.2";
+ sha256 = "036vqn7p7ha9dibsmsn0f0hiwr8570zdrnij98377ysn21xl5gzz";
libraryHaskellDepends = [
base base64 bytestring http-api-data resolv servant servant-server
text
@@ -218972,6 +219524,25 @@ self: {
broken = true;
}) {};
+ "om-elm_2_0_0_6" = callPackage
+ ({ mkDerivation, base, bytestring, Cabal, containers, directory
+ , http-types, safe, safe-exceptions, template-haskell, text, unix
+ , wai
+ }:
+ mkDerivation {
+ pname = "om-elm";
+ version = "2.0.0.6";
+ sha256 = "1gjrnrl3gvjg85ldgih7zi4sv53vrfl28jymn4z70xnpna7lvph9";
+ libraryHaskellDepends = [
+ base bytestring Cabal containers directory http-types safe
+ safe-exceptions template-haskell text unix wai
+ ];
+ description = "Haskell utilities for building embedded Elm programs";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {};
+
"om-fail" = callPackage
({ mkDerivation, base, monad-logger, safe-exceptions, transformers
}:
@@ -218989,18 +219560,20 @@ self: {
}) {};
"om-fork" = callPackage
- ({ mkDerivation, aeson, base, exceptions, ki, monad-logger, om-show
- , text, unliftio
+ ({ mkDerivation, aeson, base, exceptions, ki-unlifted, monad-logger
+ , om-show, text, unliftio
}:
mkDerivation {
pname = "om-fork";
- version = "0.7.1.9";
- sha256 = "1892aq7yi36mimmk7lp0y25484vpi2z9lfvrkvi5gbdp1xb96n84";
+ version = "0.7.1.10";
+ sha256 = "1p9sf45mcswz7z6a1m3axyk7kn0yb7dblxv90r7m8b1lbk67mgws";
libraryHaskellDepends = [
- aeson base exceptions ki monad-logger om-show text unliftio
+ aeson base exceptions ki-unlifted monad-logger om-show text
+ unliftio
];
testHaskellDepends = [
- aeson base exceptions ki monad-logger om-show text unliftio
+ aeson base exceptions ki-unlifted monad-logger om-show text
+ unliftio
];
description = "Concurrency utilities";
license = lib.licenses.mit;
@@ -219014,8 +219587,8 @@ self: {
}:
mkDerivation {
pname = "om-http";
- version = "0.3.0.5";
- sha256 = "1wqgv1zs8s08rh9w6g7swbd6q25vnykpig960xv8qdv66p5ngk15";
+ version = "0.3.0.7";
+ sha256 = "0lmca3l4pbhkrxfqwhvw4x6ds6bxml70agi73f5q509iwi3997ni";
libraryHaskellDepends = [
async base bytestring directory filepath http-types mime-types
monad-logger network om-show safe-exceptions servant
@@ -219052,8 +219625,8 @@ self: {
}:
mkDerivation {
pname = "om-kubernetes";
- version = "2.3.1.6";
- sha256 = "1q7kbhw8vqm7qjlqr26grvc7pwvkip3fv1pmx50s6km1wapsbwqq";
+ version = "2.3.1.8";
+ sha256 = "1ygx36dyhwwv92jmxcyvisccm5vqbl0paqilzdbsq063izfqliin";
libraryHaskellDepends = [
aeson base bytestring connection data-default-class http-client
http-client-tls http-types om-http safe-exceptions servant
@@ -219075,8 +219648,8 @@ self: {
}:
mkDerivation {
pname = "om-legion";
- version = "6.9.0.3";
- sha256 = "0l3ck17bxhsp8vcf1yskqlxq1y0k9djn7j9axy55k09gwvc9j8hb";
+ version = "6.9.0.4";
+ sha256 = "1jalb99j59v5d33zbl8jfgic4m1g82kmbbzx87gcyz4jclzmf2q6";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -219104,8 +219677,8 @@ self: {
}:
mkDerivation {
pname = "om-logging";
- version = "1.1.0.6";
- sha256 = "1iml4g4zbdws2jq93r09q005iz4cbnk7zdqif0cbj4gpa0iqbdm7";
+ version = "1.1.0.8";
+ sha256 = "1v6qdp0k6jccsbmcx127bj0y3aw0rwjwgzbw8022ny5x2yhw7wgf";
libraryHaskellDepends = [
aeson base bytestring fast-logger monad-logger om-show split text
time
@@ -219120,8 +219693,10 @@ self: {
({ mkDerivation, base, containers, ghc, safe }:
mkDerivation {
pname = "om-plugin-imports";
- version = "0.1.0.5";
- sha256 = "1dz9iwiyn6x2w1f6y3y2f7l30ajg6nr2s65dphrlrd7cnf4fvpdz";
+ version = "0.2.0.0";
+ sha256 = "0slklr71ydis12mdjrs8p8s2aff2xgr6xjf78ddw4zj5fisg4s92";
+ revision = "1";
+ editedCabalFile = "0rvllrq6bm08kpn641b4fh33y3ybbhpii96z5y23jykzw1xjlsbv";
libraryHaskellDepends = [ base containers ghc safe ];
description = "Plugin-based import warnings";
license = lib.licenses.mit;
@@ -219141,24 +219716,24 @@ self: {
}) {};
"om-socket" = callPackage
- ({ mkDerivation, aeson, base, binary, binary-conduit, bytestring
- , conduit, conduit-extra, containers, exceptions, megaparsec
- , monad-logger, network, om-show, stm, text, time, tls
- , transformers
+ ({ mkDerivation, aeson, base, binary, bytestring, containers
+ , exceptions, hspec, megaparsec, monad-logger, network, om-fork
+ , om-show, stm, streaming, streaming-binary, streaming-bytestring
+ , text, tls, unliftio-core
}:
mkDerivation {
pname = "om-socket";
- version = "0.11.0.3";
- sha256 = "1fd1wq1j5win2vbi4pqmxb5vy7ilq0ryqwvca976lrc1lz1r3x42";
+ version = "1.0.0.0";
+ sha256 = "0z83k8qmcbp6ph8wd51vk04q3vf5fmf0z7q4jn91nwrf196g6h3q";
libraryHaskellDepends = [
- aeson base binary binary-conduit bytestring conduit conduit-extra
- containers exceptions megaparsec monad-logger network om-show stm
- text time tls
+ aeson base binary bytestring containers exceptions megaparsec
+ monad-logger network om-fork om-show stm streaming streaming-binary
+ streaming-bytestring text tls unliftio-core
];
testHaskellDepends = [
- aeson base binary binary-conduit bytestring conduit conduit-extra
- containers exceptions megaparsec monad-logger network om-show stm
- text time tls transformers
+ aeson base binary bytestring containers exceptions hspec megaparsec
+ monad-logger network om-fork om-show stm streaming streaming-binary
+ streaming-bytestring text tls unliftio-core
];
description = "Socket utilities";
license = lib.licenses.mit;
@@ -219170,8 +219745,8 @@ self: {
({ mkDerivation, aeson, base, binary, clock, time, transformers }:
mkDerivation {
pname = "om-time";
- version = "0.3.0.3";
- sha256 = "1fwifq0jsvmj339wmldah9cpb8yvn92f9d7illzi39zq1mvzw9ab";
+ version = "0.3.0.4";
+ sha256 = "14afibgh8r0zakgx4inlmnmllyz0x51fm70q7rd73x1q03w9icd1";
libraryHaskellDepends = [
aeson base binary clock time transformers
];
@@ -219374,6 +219949,8 @@ self: {
testHaskellDepends = [ aeson base text ];
description = "Pretty-printing short Aeson values as text";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"one-liner" = callPackage
@@ -219563,6 +220140,8 @@ self: {
testToolDepends = [ doctest-discover hspec-discover ];
description = "Combinators for handling errors of many types in a composable way";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"oops-examples" = callPackage
@@ -219576,6 +220155,7 @@ self: {
];
description = "Oops examples";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"op" = callPackage
@@ -219602,10 +220182,8 @@ self: {
}:
mkDerivation {
pname = "opaleye";
- version = "0.9.7.0";
- sha256 = "1njmns4myrjyfbmd4qrkrwqp6jyaridxkf4n0n8bgw3z5hr64jhv";
- revision = "1";
- editedCabalFile = "10yd5y3g4v1zmj52vflw6gbaqnmsfydb32sni5mbh7mwnp5d8z0k";
+ version = "0.10.2.0";
+ sha256 = "0an98lspk77zxg4phvll5zjxviq3q4iwc75p9k7aqqa1hvm4wrdj";
libraryHaskellDepends = [
aeson base base16-bytestring bytestring case-insensitive
contravariant postgresql-simple pretty product-profunctors
@@ -219623,7 +220201,7 @@ self: {
license = lib.licenses.bsd3;
}) {};
- "opaleye_0_10_2_0" = callPackage
+ "opaleye_0_10_2_1" = callPackage
({ mkDerivation, aeson, base, base16-bytestring, bytestring
, case-insensitive, containers, contravariant, dotenv, hspec
, hspec-discover, multiset, postgresql-simple, pretty
@@ -219633,8 +220211,8 @@ self: {
}:
mkDerivation {
pname = "opaleye";
- version = "0.10.2.0";
- sha256 = "0an98lspk77zxg4phvll5zjxviq3q4iwc75p9k7aqqa1hvm4wrdj";
+ version = "0.10.2.1";
+ sha256 = "0n6z93a9j5qcr39m1y4fdff3mfnc7bxcx74xw7cnb228b23a6gx1";
libraryHaskellDepends = [
aeson base base16-bytestring bytestring case-insensitive
contravariant postgresql-simple pretty product-profunctors
@@ -220063,9 +220641,7 @@ self: {
testToolDepends = [ hspec-discover ];
description = "OpenAPI 3.0 data model";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
mainProgram = "example";
- broken = true;
}) {};
"openapi3-code-generator" = callPackage
@@ -220117,8 +220693,8 @@ self: {
}:
mkDerivation {
pname = "opencascade-hs";
- version = "0.1.1.1";
- sha256 = "0wfvxnyagci3gl09vrlw2lkjk6vniwc7y2zmbyl0wim03wqkc34b";
+ version = "0.1.2.2";
+ sha256 = "0bi5azb9hpx6xfzj9r4a21majxzsiadgz6j9cbmc04wnp86p7yng";
libraryHaskellDepends = [ base resourcet ];
librarySystemDepends = [
TKBO TKBRep TKernel TKFillet TKG2d TKG3d TKGeomBase TKMath TKMesh
@@ -220207,6 +220783,7 @@ self: {
description = "Haskell binding to OpenCV-3.x";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {inherit (pkgs) opencv3;};
"opencv-extra" = callPackage
@@ -221170,6 +221747,8 @@ self: {
pname = "operational";
version = "0.2.4.2";
sha256 = "1dx6vpmg21fskxyz12ba26hffk25b2qk9sznqfczgaamn6rahzc5";
+ revision = "1";
+ editedCabalFile = "0hdqwjm1jp6f8n8qglg9ylz07sbhrc7cm4kvcglymi2s4i9mdsai";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [ base mtl transformers ];
@@ -221202,6 +221781,8 @@ self: {
libraryHaskellDepends = [ base operational transformers ];
description = "MonadProgram typeclass for the operational package";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"operational-extra" = callPackage
@@ -221223,18 +221804,18 @@ self: {
"oplang" = callPackage
({ mkDerivation, base, containers, directory, filepath, megaparsec
- , mtl, optparse-applicative, process, text, text-builder-linear
- , transformers
+ , monad-chronicle, mtl, optparse-applicative, process, text
+ , text-builder-linear
}:
mkDerivation {
pname = "oplang";
- version = "0.4.0.0";
- sha256 = "1b0gd1rnbz6c12sw0dg7hgikwyxy7vjf8ml31yph88ax1rfai25y";
+ version = "0.4.0.1";
+ sha256 = "091ddmgcmddij837x9waff5lf05ik72nwfld79g68ysysbb94q89";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
- base containers directory filepath megaparsec mtl
- optparse-applicative process text text-builder-linear transformers
+ base containers directory filepath megaparsec monad-chronicle mtl
+ optparse-applicative process text text-builder-linear
];
description = "Stack-based esoteric programming language";
license = lib.licenses.gpl3Only;
@@ -221562,22 +222143,6 @@ self: {
}) {};
"options" = callPackage
- ({ mkDerivation, base, chell, chell-quickcheck, containers
- , monads-tf, transformers
- }:
- mkDerivation {
- pname = "options";
- version = "1.2.1.1";
- sha256 = "0qjs0v1ny52w51n5582d4z8wy9h6n0zw1xb5dh686ff5wadflgi8";
- libraryHaskellDepends = [ base containers monads-tf transformers ];
- testHaskellDepends = [
- base chell chell-quickcheck containers monads-tf transformers
- ];
- description = "A powerful and easy-to-use command-line option parser";
- license = lib.licenses.mit;
- }) {};
-
- "options_1_2_1_2" = callPackage
({ mkDerivation, base, containers, hspec, monads-tf, patience }:
mkDerivation {
pname = "options";
@@ -221588,7 +222153,6 @@ self: {
doHaddock = false;
description = "Powerful and easy command-line option parser";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"options-time" = callPackage
@@ -221625,24 +222189,6 @@ self: {
}) {};
"optparse-applicative" = callPackage
- ({ mkDerivation, ansi-wl-pprint, base, process, QuickCheck
- , transformers, transformers-compat
- }:
- mkDerivation {
- pname = "optparse-applicative";
- version = "0.17.1.0";
- sha256 = "1vx5w03vvfr3hdk79lvl34x8bxj5xbx0xh53mmnmxi9r05scnyfi";
- revision = "1";
- editedCabalFile = "1mhyjlmb1hylmhv77w6gq663drpyiqd09w1x1vy4d63lr46mypyb";
- libraryHaskellDepends = [
- ansi-wl-pprint base process transformers transformers-compat
- ];
- testHaskellDepends = [ base QuickCheck ];
- description = "Utilities and combinators for parsing command line options";
- license = lib.licenses.bsd3;
- }) {};
-
- "optparse-applicative_0_18_1_0" = callPackage
({ mkDerivation, base, prettyprinter, prettyprinter-ansi-terminal
, process, QuickCheck, text, transformers, transformers-compat
}:
@@ -221657,7 +222203,6 @@ self: {
testHaskellDepends = [ base QuickCheck ];
description = "Utilities and combinators for parsing command line options";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"optparse-applicative-cmdline-util" = callPackage
@@ -221673,6 +222218,8 @@ self: {
];
description = "Utility functions for working with optparse-applicative";
license = lib.licenses.agpl3Only;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"optparse-applicative-simple" = callPackage
@@ -221702,6 +222249,8 @@ self: {
libraryHaskellDepends = [ base exceptions mtl ];
description = "Declarative command line option parser";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"optparse-enum" = callPackage
@@ -221720,27 +222269,6 @@ self: {
}) {};
"optparse-generic" = callPackage
- ({ mkDerivation, base, bytestring, Only, optparse-applicative
- , system-filepath, text, time, transformers, transformers-compat
- , void
- }:
- mkDerivation {
- pname = "optparse-generic";
- version = "1.4.9";
- sha256 = "1kxrhh4h2jd2i9fi1lr3sx6wij71n1y50crl0r0j6b3c8hj3c05j";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- base bytestring Only optparse-applicative system-filepath text time
- transformers transformers-compat void
- ];
- executableHaskellDepends = [ base ];
- description = "Auto-generate a command-line parser for your datatype";
- license = lib.licenses.bsd3;
- maintainers = [ lib.maintainers.Gabriella439 ];
- }) {};
-
- "optparse-generic_1_5_2" = callPackage
({ mkDerivation, base, bytestring, filepath, Only
, optparse-applicative, text, time, transformers
, transformers-compat, void
@@ -221749,6 +222277,8 @@ self: {
pname = "optparse-generic";
version = "1.5.2";
sha256 = "0dca5q57jv4b8l1af4ywimaiw9rfrysambcghfmll4il5prm3d67";
+ revision = "1";
+ editedCabalFile = "190nlp7dh878232ia2nsl75q6bzr62szl1vcyinz528lmdbnbpdc";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -221758,7 +222288,6 @@ self: {
executableHaskellDepends = [ base ];
description = "Auto-generate a command-line parser for your datatype";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
maintainers = [ lib.maintainers.Gabriella439 ];
}) {};
@@ -221888,6 +222417,7 @@ self: {
];
description = "Types and functions for Kepler orbits";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
maintainers = [ lib.maintainers.expipiplus1 ];
}) {};
@@ -222119,20 +222649,26 @@ self: {
"ordinal" = callPackage
({ mkDerivation, base, containers, data-default-class, deepseq
, hspec, hspec-discover, QuickCheck, regex, template-haskell, text
- , time, vector
+ , time, unordered-containers, vector
}:
mkDerivation {
pname = "ordinal";
- version = "0.5.0.0";
- sha256 = "016ydmanbxpj8jngqv19wf2v8c12s51lf3x3ddyb1706fh7nqcrw";
+ version = "0.6.0.0";
+ sha256 = "0vm0anr8pg8smwr6qpsfx1d4ih7ivb1zn2ahvvcvsq4cdrmya742";
+ isLibrary = true;
+ isExecutable = true;
libraryHaskellDepends = [
base containers data-default-class deepseq QuickCheck regex
template-haskell text time vector
];
+ executableHaskellDepends = [
+ base data-default-class text unordered-containers
+ ];
testHaskellDepends = [ base hspec QuickCheck text time ];
testToolDepends = [ hspec-discover ];
description = "Convert numbers to words in different languages";
license = lib.licenses.bsd3;
+ mainProgram = "ordinal";
}) {};
"ordrea" = callPackage
@@ -222433,40 +222969,6 @@ self: {
}) {};
"ormolu" = callPackage
- ({ mkDerivation, ansi-terminal, array, base, binary, bytestring
- , Cabal-syntax, containers, Diff, directory, dlist, file-embed
- , filepath, ghc-lib-parser, hspec, hspec-discover, hspec-megaparsec
- , megaparsec, MemoTrie, mtl, optparse-applicative, path, path-io
- , QuickCheck, syb, temporary, text, th-env
- }:
- mkDerivation {
- pname = "ormolu";
- version = "0.5.3.0";
- sha256 = "13x0iasi2mrwv6mnhg2clkaa0znm6i058vssyxri9mdi147sb3pk";
- revision = "2";
- editedCabalFile = "0p9q9za35ky5wqnnppr5d2bw2ql7b09yfy460i2fsjk5hyafdvkx";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- ansi-terminal array base binary bytestring Cabal-syntax containers
- Diff directory dlist file-embed filepath ghc-lib-parser megaparsec
- MemoTrie mtl syb text
- ];
- executableHaskellDepends = [
- base containers directory filepath ghc-lib-parser
- optparse-applicative text th-env
- ];
- testHaskellDepends = [
- base Cabal-syntax containers directory filepath ghc-lib-parser
- hspec hspec-megaparsec path path-io QuickCheck temporary text
- ];
- testToolDepends = [ hspec-discover ];
- description = "A formatter for Haskell source code";
- license = lib.licenses.bsd3;
- mainProgram = "ormolu";
- }) {};
-
- "ormolu_0_7_2_0" = callPackage
({ mkDerivation, ansi-terminal, array, base, binary, bytestring
, Cabal-syntax, containers, deepseq, Diff, directory, file-embed
, filepath, ghc-lib-parser, hspec, hspec-discover, hspec-megaparsec
@@ -222496,7 +222998,6 @@ self: {
testToolDepends = [ hspec-discover ];
description = "A formatter for Haskell source code";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
mainProgram = "ormolu";
}) {};
@@ -222563,6 +223064,8 @@ self: {
libraryHaskellDepends = [ base hmatrix orthotope ];
description = "Some algorithms from hmatrix";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"orville-postgresql" = callPackage
@@ -222874,8 +223377,8 @@ self: {
}:
mkDerivation {
pname = "otp-authenticator";
- version = "0.1.1.0";
- sha256 = "0hmyq7ris01gnkydxi9i2p5v2c5cps4mg4zmxbzlyjrkyn7d5la4";
+ version = "0.1.2.1";
+ sha256 = "11spyh5iqg7kwkfzwly6sxixr7q7f6pk1cdhxl2cbwazwp75s11c";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -223102,6 +223605,7 @@ self: {
];
description = "“Vertical” parsing of values";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"pa-json" = callPackage
@@ -223121,6 +223625,7 @@ self: {
];
description = "Our JSON parsers/encoders";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"pa-label" = callPackage
@@ -223246,8 +223751,8 @@ self: {
pname = "package-version";
version = "0.3";
sha256 = "01d0345qs5c02gmh3ccsl0xbmiqwcbdpzlqgx2pwj6iv9vvjrf5l";
- revision = "2";
- editedCabalFile = "0gx7di73yl8a83ba1fmqgkcqwdjvm27y6i0vffb9893b7248495h";
+ revision = "3";
+ editedCabalFile = "1cdrarcrf4rdzjvilr0nwwbavwmkv0kc3wq0qhca55710j4kxk5d";
libraryHaskellDepends = [
base bytestring deepseq prettyprinter safe-exceptions
template-haskell text
@@ -223258,8 +223763,6 @@ self: {
];
description = "A package for retrieving a package's version number";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- broken = true;
}) {};
"package-vt" = callPackage
@@ -223293,6 +223796,20 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "packcheck_0_7_0" = callPackage
+ ({ mkDerivation, base }:
+ mkDerivation {
+ pname = "packcheck";
+ version = "0.7.0";
+ sha256 = "19wi0jbnfblkbvprszjr0n8f945n60117nvb1lhhrm9vh4dw86v6";
+ libraryHaskellDepends = [ base ];
+ testHaskellDepends = [ base ];
+ benchmarkHaskellDepends = [ base ];
+ description = "Universal build and CI testing for Haskell packages";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"packdeps" = callPackage
({ mkDerivation, base, bytestring, Cabal, containers, directory
, filepath, optparse-applicative, process, semigroups, split, tar
@@ -223819,6 +224336,8 @@ self: {
pname = "palette";
version = "0.3.0.3";
sha256 = "11d3011j680nhd0r2b29fhirld2vijwynwbgv8i5v1q7lgrb92az";
+ revision = "1";
+ editedCabalFile = "01fjw8i5m7d4zml2rd7nwpdlnxwasdfxglm3shgpk004gfk7lw1i";
libraryHaskellDepends = [
array base colour containers MonadRandom
];
@@ -223897,61 +224416,6 @@ self: {
}) {};
"pandoc" = callPackage
- ({ mkDerivation, aeson, aeson-pretty, array, attoparsec, base
- , base64, binary, blaze-html, blaze-markup, bytestring
- , case-insensitive, citeproc, commonmark, commonmark-extensions
- , commonmark-pandoc, connection, containers, data-default, deepseq
- , Diff, directory, doclayout, doctemplates, emojis, exceptions
- , file-embed, filepath, Glob, gridtables, haddock-library
- , http-client, http-client-tls, http-types, ipynb, jira-wiki-markup
- , JuicyPixels, mime-types, mtl, network, network-uri, pandoc-types
- , parsec, pretty, pretty-show, process, random, safe, scientific
- , SHA, skylighting, skylighting-core, split, syb, tagsoup, tasty
- , tasty-bench, tasty-golden, tasty-hunit, tasty-quickcheck
- , temporary, texmath, text, text-conversions, time
- , unicode-collation, unicode-transforms, unix, xml, xml-conduit
- , xml-types, yaml, zip-archive, zlib
- }:
- mkDerivation {
- pname = "pandoc";
- version = "3.0.1";
- sha256 = "0yxrcr589z1wbk1ng7qg6ni7zy1vm2v5fg5df639xgk1na4sn0jc";
- configureFlags = [ "-f-trypandoc" ];
- enableSeparateDataOutput = true;
- libraryHaskellDepends = [
- aeson aeson-pretty array attoparsec base base64 binary blaze-html
- blaze-markup bytestring case-insensitive citeproc commonmark
- commonmark-extensions commonmark-pandoc connection containers
- data-default deepseq directory doclayout doctemplates emojis
- exceptions file-embed filepath Glob gridtables haddock-library
- http-client http-client-tls http-types ipynb jira-wiki-markup
- JuicyPixels mime-types mtl network network-uri pandoc-types parsec
- pretty pretty-show process random safe scientific SHA skylighting
- skylighting-core split syb tagsoup temporary texmath text
- text-conversions time unicode-collation unicode-transforms unix xml
- xml-conduit xml-types yaml zip-archive zlib
- ];
- testHaskellDepends = [
- base bytestring containers Diff directory doctemplates filepath
- Glob mtl pandoc-types process tasty tasty-golden tasty-hunit
- tasty-quickcheck text time xml zip-archive
- ];
- benchmarkHaskellDepends = [
- base bytestring deepseq mtl tasty-bench text
- ];
- doHaddock = false;
- postInstall = ''
- mkdir -p $out/share/man/man1
- mv "man/"*.1 $out/share/man/man1/
- '';
- description = "Conversion between markup formats";
- license = lib.licenses.gpl2Plus;
- maintainers = [
- lib.maintainers.maralorn lib.maintainers.sternenseemann
- ];
- }) {};
-
- "pandoc_3_1_11" = callPackage
({ mkDerivation, aeson, aeson-pretty, array, attoparsec, base
, base64-bytestring, binary, blaze-html, blaze-markup, bytestring
, case-insensitive, citeproc, commonmark, commonmark-extensions
@@ -223970,8 +224434,8 @@ self: {
}:
mkDerivation {
pname = "pandoc";
- version = "3.1.11";
- sha256 = "1ijz2n2xl7qjsbbk9h4bc4d5mxyv0yridsdk6i66ffr25hvl864w";
+ version = "3.1.11.1";
+ sha256 = "0yfgvc4ypav3ydqi9ywhfxa2n4rbx7z3jc2p8ccv5r8081jqv5pg";
configureFlags = [ "-f-trypandoc" ];
enableSeparateDataOutput = true;
libraryHaskellDepends = [
@@ -223999,7 +224463,6 @@ self: {
doHaddock = false;
description = "Conversion between markup formats";
license = lib.licenses.gpl2Plus;
- hydraPlatforms = lib.platforms.none;
maintainers = [
lib.maintainers.maralorn lib.maintainers.sternenseemann
];
@@ -224078,8 +224541,8 @@ self: {
}:
mkDerivation {
pname = "pandoc-cli";
- version = "3.1.11";
- sha256 = "0f8ny7rzl6zgicx7abr631xz2fnrpbb3n8bm6af22ady71g2rrih";
+ version = "3.1.11.1";
+ sha256 = "0jf2s3w6vh15r180s2kc4z553lgf4asxmhbh1i0mj87228021j5p";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
@@ -224110,46 +224573,6 @@ self: {
}) {};
"pandoc-crossref" = callPackage
- ({ mkDerivation, base, containers, criterion, data-default, deepseq
- , directory, filepath, gitrev, hspec, microlens, microlens-ghc
- , microlens-mtl, microlens-th, mtl, open-browser
- , optparse-applicative, pandoc, pandoc-cli, pandoc-types, syb
- , template-haskell, temporary, text, utility-ht
- }:
- mkDerivation {
- pname = "pandoc-crossref";
- version = "0.3.16.0";
- sha256 = "1vp4x4vgc17y0c4grfffrw0nx5iiimj7jm00mwc2p4x8v3gvd1qr";
- revision = "1";
- editedCabalFile = "00ghadmbwv14vpc5fh85q1y06z5pzlwh6ryr68l5vg66dk2241g4";
- isLibrary = true;
- isExecutable = true;
- enableSeparateDataOutput = true;
- libraryHaskellDepends = [
- base containers data-default directory filepath microlens
- microlens-ghc microlens-mtl microlens-th mtl pandoc pandoc-types
- syb template-haskell text utility-ht
- ];
- executableHaskellDepends = [
- base deepseq gitrev open-browser optparse-applicative pandoc
- pandoc-types template-haskell temporary text
- ];
- testHaskellDepends = [
- base containers data-default directory filepath hspec microlens mtl
- pandoc pandoc-types text
- ];
- testToolDepends = [ pandoc-cli ];
- benchmarkHaskellDepends = [
- base criterion pandoc pandoc-types text
- ];
- doHaddock = false;
- description = "Pandoc filter for cross-references";
- license = lib.licenses.gpl2Only;
- mainProgram = "pandoc-crossref";
- maintainers = [ lib.maintainers.maralorn ];
- }) {};
-
- "pandoc-crossref_0_3_17_0" = callPackage
({ mkDerivation, base, containers, criterion, data-default, deepseq
, directory, filepath, gitrev, hspec, microlens, microlens-ghc
, microlens-mtl, microlens-th, mtl, open-browser
@@ -224160,6 +224583,8 @@ self: {
pname = "pandoc-crossref";
version = "0.3.17.0";
sha256 = "16kgnwylczhs126ivps56r667acln441srdasavvnk35hsvgmccf";
+ revision = "1";
+ editedCabalFile = "1kqiy98xzdk1x5ga8ci9z23kpq7jlbc4v1zqbfkbs71av0r7cl44";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -224183,7 +224608,6 @@ self: {
doHaddock = false;
description = "Pandoc filter for cross-references";
license = lib.licenses.gpl2Only;
- hydraPlatforms = lib.platforms.none;
mainProgram = "pandoc-crossref";
maintainers = [ lib.maintainers.maralorn ];
}) {};
@@ -224240,7 +224664,9 @@ self: {
testToolDepends = [ tasty-discover ];
description = "A Pandoc filter for emphasizing code in fenced blocks";
license = lib.licenses.mpl20;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "pandoc-emphasize-code";
+ broken = true;
}) {};
"pandoc-filter-graphviz" = callPackage
@@ -224360,7 +224786,9 @@ self: {
];
description = "A Pandoc filter for including code from source files";
license = lib.licenses.mpl20;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "pandoc-include-code";
+ broken = true;
}) {};
"pandoc-include-plus" = callPackage
@@ -224445,8 +224873,6 @@ self: {
];
description = "Extract \"contextual links\" from Pandoc";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- broken = true;
}) {};
"pandoc-logic-proof" = callPackage
@@ -224498,22 +224924,21 @@ self: {
"pandoc-lua-marshal" = callPackage
({ mkDerivation, aeson, base, bytestring, containers, exceptions
- , hslua, hslua-list, hslua-marshalling, lua, pandoc-types
- , QuickCheck, safe, tasty, tasty-hunit, tasty-lua, tasty-quickcheck
- , text
+ , hslua, hslua-list, hslua-marshalling, pandoc-types, QuickCheck
+ , safe, tasty, tasty-hunit, tasty-lua, tasty-quickcheck, text
}:
mkDerivation {
pname = "pandoc-lua-marshal";
- version = "0.2.2";
- sha256 = "0y96i3qshd5lcpivvdh2bylzh45laxvbgkwxp78b6a5jlwj1q8r2";
+ version = "0.2.4";
+ sha256 = "020iyy4i37zxlrggqjph5rk95yf3lr5jbv63l6l0f2pv8iakryyj";
libraryHaskellDepends = [
aeson base bytestring containers exceptions hslua hslua-list
- hslua-marshalling lua pandoc-types safe text
+ hslua-marshalling pandoc-types safe text
];
testHaskellDepends = [
aeson base bytestring containers exceptions hslua hslua-list
- hslua-marshalling lua pandoc-types QuickCheck safe tasty
- tasty-hunit tasty-lua tasty-quickcheck text
+ hslua-marshalling pandoc-types QuickCheck safe tasty tasty-hunit
+ tasty-lua tasty-quickcheck text
];
description = "Use pandoc types in Lua";
license = lib.licenses.mit;
@@ -224598,37 +225023,6 @@ self: {
}) {};
"pandoc-plot" = callPackage
- ({ mkDerivation, aeson, base, bytestring, containers, data-default
- , directory, filepath, gitrev, hashable, hspec-expectations
- , lifted-async, lifted-base, mtl, optparse-applicative, pandoc
- , pandoc-types, shakespeare, tagsoup, tasty, tasty-hspec
- , tasty-hunit, template-haskell, text, typed-process, unix, yaml
- }:
- mkDerivation {
- pname = "pandoc-plot";
- version = "1.7.0";
- sha256 = "01f99mjav3w5c0vixr80a6vykabwk9ap8wj4lnh3c6k681i5nnq6";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- aeson base bytestring containers data-default directory filepath
- hashable lifted-async lifted-base mtl pandoc pandoc-types
- shakespeare tagsoup template-haskell text typed-process unix yaml
- ];
- executableHaskellDepends = [
- base containers directory filepath gitrev optparse-applicative
- pandoc pandoc-types template-haskell text typed-process
- ];
- testHaskellDepends = [
- base containers directory filepath hspec-expectations pandoc-types
- tasty tasty-hspec tasty-hunit text
- ];
- description = "A Pandoc filter to include figures generated from code blocks using your plotting toolkit of choice";
- license = lib.licenses.gpl2Plus;
- mainProgram = "pandoc-plot";
- }) {};
-
- "pandoc-plot_1_8_0" = callPackage
({ mkDerivation, aeson, base, bytestring, containers, data-default
, directory, filepath, gitrev, hashable, hspec-expectations
, lifted-async, lifted-base, mtl, optparse-applicative, pandoc
@@ -224656,7 +225050,6 @@ self: {
];
description = "A Pandoc filter to include figures generated from code blocks using your plotting toolkit of choice";
license = lib.licenses.gpl2Plus;
- hydraPlatforms = lib.platforms.none;
mainProgram = "pandoc-plot";
}) {};
@@ -224702,6 +225095,8 @@ self: {
libraryHaskellDepends = [ base pandoc pandoc-types text ];
description = "Pandoc filter to extract only the links";
license = lib.licenses.gpl3Only;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"pandoc-select-code" = callPackage
@@ -224773,33 +225168,6 @@ self: {
}) {};
"pandoc-symreg" = callPackage
- ({ mkDerivation, attoparsec, attoparsec-expr, base, bytestring, mtl
- , optparse-applicative, srtree
- }:
- mkDerivation {
- pname = "pandoc-symreg";
- version = "0.2.0.0";
- sha256 = "0ick0m8iz85hvvy4kfpqnghj2dx30qx12q546xaj7b0lqj4gf4mw";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- attoparsec attoparsec-expr base bytestring mtl optparse-applicative
- srtree
- ];
- executableHaskellDepends = [
- attoparsec attoparsec-expr base bytestring mtl optparse-applicative
- srtree
- ];
- testHaskellDepends = [
- attoparsec attoparsec-expr base bytestring mtl optparse-applicative
- srtree
- ];
- description = "A tool to convert symbolic regression expressions into different formats";
- license = lib.licenses.gpl3Only;
- mainProgram = "pandoc-symreg";
- }) {};
-
- "pandoc-symreg_0_2_1_3" = callPackage
({ mkDerivation, attoparsec, attoparsec-expr, base, bytestring
, containers, deriving-compat, hegg, ieee754, mtl
, optparse-applicative, srtree
@@ -224826,6 +225194,7 @@ self: {
license = lib.licenses.gpl3Only;
hydraPlatforms = lib.platforms.none;
mainProgram = "pandoc-symreg";
+ broken = true;
}) {};
"pandoc-throw" = callPackage
@@ -224902,16 +225271,16 @@ self: {
"pandoc-vimhl" = callPackage
({ mkDerivation, base, directory, filepath, pandoc-types, process
- , safe, temporary, text
+ , temporary, text
}:
mkDerivation {
pname = "pandoc-vimhl";
- version = "0.2.0.0";
- sha256 = "1cc809hjsgnlfimyzyac1ikn2jkjva2lljqxdnqw546nhns7ng5a";
+ version = "0.2.0.1";
+ sha256 = "03zbf9php7rhw1n4yb3a3zwvi92b19ydldkj0fbagwlx0xy2b4wb";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
- base directory filepath pandoc-types process safe temporary text
+ base directory filepath pandoc-types process temporary text
];
description = "Pandoc filter for native Vim code highlighting";
license = lib.licenses.bsd3;
@@ -224991,6 +225360,8 @@ self: {
pname = "pango";
version = "0.13.10.0";
sha256 = "0qdk18vj07qivyyd0limjprni77q2xvydx748lsxsrw2ws8hb1ax";
+ revision = "2";
+ editedCabalFile = "064bpj5mmxc31snkfysb9c52v1695kxyqbj617m933mgjrw13q91";
enableSeparateDataOutput = true;
setupHaskellDepends = [ base Cabal filepath gtk2hs-buildtools ];
libraryHaskellDepends = [
@@ -225111,49 +225482,6 @@ self: {
}) {};
"pantry" = callPackage
- ({ mkDerivation, aeson, ansi-terminal, base, bytestring, Cabal
- , casa-client, casa-types, conduit, conduit-extra, containers
- , cryptonite, cryptonite-conduit, digest, exceptions, filelock
- , generic-deriving, hackage-security, hedgehog, hpack, hspec
- , http-client, http-client-tls, http-conduit, http-download
- , http-types, memory, mtl, network-uri, path, path-io, persistent
- , persistent-sqlite, persistent-template, primitive, QuickCheck
- , raw-strings-qq, resourcet, rio, rio-orphans, rio-prettyprint
- , tar-conduit, text, text-metrics, time, transformers, unix-compat
- , unliftio, unordered-containers, vector, yaml, zip-archive
- }:
- mkDerivation {
- pname = "pantry";
- version = "0.8.3";
- sha256 = "0kn7p8xlb5bx7bvmnd14xyf0gsx2xfi8mwlbvpxdk06dfb81w582";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- aeson ansi-terminal base bytestring Cabal casa-client casa-types
- conduit conduit-extra containers cryptonite cryptonite-conduit
- digest filelock generic-deriving hackage-security hpack http-client
- http-client-tls http-conduit http-download http-types memory mtl
- network-uri path path-io persistent persistent-sqlite
- persistent-template primitive resourcet rio rio-orphans
- rio-prettyprint tar-conduit text text-metrics time transformers
- unix-compat unliftio unordered-containers vector yaml zip-archive
- ];
- testHaskellDepends = [
- aeson ansi-terminal base bytestring Cabal casa-client casa-types
- conduit conduit-extra containers cryptonite cryptonite-conduit
- digest exceptions filelock generic-deriving hackage-security
- hedgehog hpack hspec http-client http-client-tls http-conduit
- http-download http-types memory mtl network-uri path path-io
- persistent persistent-sqlite persistent-template primitive
- QuickCheck raw-strings-qq resourcet rio rio-orphans rio-prettyprint
- tar-conduit text text-metrics time transformers unix-compat
- unliftio unordered-containers vector yaml zip-archive
- ];
- description = "Content addressable Haskell package management";
- license = lib.licenses.bsd3;
- }) {};
-
- "pantry_0_9_3_1" = callPackage
({ mkDerivation, aeson, aeson-warning-parser, ansi-terminal, base
, bytestring, Cabal, casa-client, casa-types, companion, conduit
, conduit-extra, containers, crypton, crypton-conduit, digest
@@ -225170,6 +225498,8 @@ self: {
pname = "pantry";
version = "0.9.3.1";
sha256 = "17nnp3vl03bv5c4c8djyvv7w885ajypzwxwb82vza8m0cf2jyja5";
+ revision = "2";
+ editedCabalFile = "10sn5amd1hwgc8d64nv73mhjiqaza4wklkbqmp634g3ny6lsayaa";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -225198,7 +225528,6 @@ self: {
doHaddock = false;
description = "Content addressable Haskell package management";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"pantry-tmp" = callPackage
@@ -225575,6 +225904,8 @@ self: {
libraryHaskellDepends = [ base semigroupoids ];
description = "export useful functions from `semigroupoids`";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"papa-semigroupoids-implement" = callPackage
@@ -225863,8 +226194,8 @@ self: {
}:
mkDerivation {
pname = "parameterized-utils";
- version = "2.1.7.0";
- sha256 = "0hf41iw4ik0xlnkw7mkp97nawzw4fn1q7yb712xkgckz2yilmg6d";
+ version = "2.1.8.0";
+ sha256 = "026lrdnw5rjvny380rmrzpwhmcfgp551whbk0mnx2y2q6ij0sjfm";
libraryHaskellDepends = [
base base-orphans constraints containers deepseq ghc-prim hashable
hashtables indexed-traversable lens mtl profunctors
@@ -225879,6 +226210,17 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "parametric-functor" = callPackage
+ ({ mkDerivation, base, transformers }:
+ mkDerivation {
+ pname = "parametric-functor";
+ version = "0.1.1.0";
+ sha256 = "12k4263ccp4v7q7bh4d55wg6s844wz8hg1p44wpq934pr1d6149b";
+ libraryHaskellDepends = [ base transformers ];
+ description = "A known-parametric Functor typeclass";
+ license = lib.licenses.asl20;
+ }) {};
+
"paramtree" = callPackage
({ mkDerivation, base, bytestring, containers, tasty, tasty-golden
, tasty-hunit, temporary
@@ -226233,11 +226575,25 @@ self: {
pname = "parsec-class";
version = "1.0.0.0";
sha256 = "0wqpivsrjsp9996fz6lb06rxl3860afc4l8hbx8d1vxhwv2lx702";
+ revision = "1";
+ editedCabalFile = "0ayf4kq2s69v8bb5m79dwycpj7b2fn1iy8m59h4knglw2fazk4px";
libraryHaskellDepends = [ base parsec ];
description = "Class of types that can be constructed from their text representation";
license = lib.licenses.mit;
}) {};
+ "parsec-class_1_0_1_0" = callPackage
+ ({ mkDerivation, base, parsec }:
+ mkDerivation {
+ pname = "parsec-class";
+ version = "1.0.1.0";
+ sha256 = "13211k8gx4gwks1f7nz8p6ak1964jpi2sxla29vwmzr76v08d1h6";
+ libraryHaskellDepends = [ base parsec ];
+ description = "Class of types that can be constructed from their text representation";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"parsec-extra" = callPackage
({ mkDerivation, base, monads-tf, parsec }:
mkDerivation {
@@ -226247,6 +226603,8 @@ self: {
libraryHaskellDepends = [ base monads-tf parsec ];
description = "Some miscellaneous basic string parsers";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"parsec-free" = callPackage
@@ -226264,6 +226622,8 @@ self: {
];
description = "Parsec API encoded as a deeply-embedded DSL, for debugging and analysis";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"parsec-numbers" = callPackage
@@ -226886,6 +227246,8 @@ self: {
libraryHaskellDepends = [ base hedgehog partial-semigroup ];
description = "Property testing for partial semigroups using Hedgehog";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"partial-semigroup-test" = callPackage
@@ -226898,6 +227260,7 @@ self: {
doHaddock = false;
description = "Testing utilities for the partial-semigroup package";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
}) {};
"partial-uri" = callPackage
@@ -227055,10 +227418,8 @@ self: {
}:
mkDerivation {
pname = "password";
- version = "3.0.2.1";
- sha256 = "03fnl95g99sy2agwmpwfmkdd308qwq95s582zkhn7bkg2smzqdg9";
- revision = "1";
- editedCabalFile = "0a4avz0g6nwr293718h63mpqkxhxsjmmfnyk2wfcfkb4pbm1q0y8";
+ version = "3.0.4.0";
+ sha256 = "0dn1qvf25kf5rwifp615wcqm8lh566knvw5mjhr4s8gwsymjdpsk";
setupHaskellDepends = [ base Cabal cabal-doctest ];
libraryHaskellDepends = [
base base64 bytestring cryptonite memory password-types
@@ -227245,10 +227606,9 @@ self: {
"patch" = callPackage
({ mkDerivation, base, commutative-semigroups, constraints-extras
- , containers, dependent-map, dependent-sum, directory, filemanip
- , filepath, hedgehog, hlint, HUnit, indexed-traversable, lens
- , monoidal-containers, semialign, semigroupoids, these
- , transformers, witherable
+ , containers, dependent-map, dependent-sum, hedgehog, HUnit
+ , indexed-traversable, lens, monoidal-containers, semialign
+ , semigroupoids, these, transformers, witherable
}:
mkDerivation {
pname = "patch";
@@ -227260,9 +227620,7 @@ self: {
monoidal-containers semialign semigroupoids these transformers
witherable
];
- testHaskellDepends = [
- base containers directory filemanip filepath hedgehog hlint HUnit
- ];
+ testHaskellDepends = [ base containers hedgehog HUnit ];
description = "Data structures for describing changes to other data structures";
license = lib.licenses.bsd3;
}) {};
@@ -227407,23 +227765,6 @@ self: {
}) {};
"path-extra" = callPackage
- ({ mkDerivation, attoparsec, base, path, QuickCheck
- , quickcheck-instances, tasty, tasty-quickcheck, text
- }:
- mkDerivation {
- pname = "path-extra";
- version = "0.2.0";
- sha256 = "0fh4m3vidf75j43rk1n46y3bsn0j4247bz71s35651vi9s8vw27b";
- libraryHaskellDepends = [ attoparsec base path text ];
- testHaskellDepends = [
- attoparsec base path QuickCheck quickcheck-instances tasty
- tasty-quickcheck text
- ];
- description = "URLs without host information";
- license = lib.licenses.bsd3;
- }) {};
-
- "path-extra_0_3_1" = callPackage
({ mkDerivation, attoparsec, base, path, QuickCheck
, quickcheck-instances, tasty, tasty-quickcheck, text
}:
@@ -227438,7 +227779,6 @@ self: {
];
description = "URLs without host information";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"path-formatting" = callPackage
@@ -227516,19 +227856,6 @@ self: {
}) {};
"path-text-utf8" = callPackage
- ({ mkDerivation, base, bytestring, path, safe-exceptions, text }:
- mkDerivation {
- pname = "path-text-utf8";
- version = "0.0.1.12";
- sha256 = "1q56hrvi865jxx0w9k5xkh20yr9iy808ylqhpc6plqnqbhydwiyb";
- libraryHaskellDepends = [
- base bytestring path safe-exceptions text
- ];
- description = "Read and write UTF-8 text files";
- license = lib.licenses.asl20;
- }) {};
-
- "path-text-utf8_0_0_2_0" = callPackage
({ mkDerivation, base, bytestring, file-io, filepath, path
, safe-exceptions, text
}:
@@ -227541,7 +227868,6 @@ self: {
];
description = "Read and write UTF-8 text files";
license = lib.licenses.asl20;
- hydraPlatforms = lib.platforms.none;
}) {};
"path-tree" = callPackage
@@ -227623,7 +227949,8 @@ self: {
];
description = "Library for representing and manipulating type-safe file paths";
license = lib.licenses.bsd3;
- maintainers = [ lib.maintainers.maralorn ];
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"pathtype" = callPackage
@@ -227635,8 +227962,8 @@ self: {
pname = "pathtype";
version = "0.8.1.2";
sha256 = "1ikbl1yzlimf5yjvi6agliraqdi6mf6m7ig5rx97wh03vrx58hfk";
- revision = "1";
- editedCabalFile = "0iy54kvjh9nf7n870pqggw82rmx8w5hz8hv96dq9swn7dvpq52wj";
+ revision = "2";
+ editedCabalFile = "1qbsh0s6alg19six6nbmvnlkf5qs60iv4i2djxqlrxah08ylxaxk";
libraryHaskellDepends = [
base deepseq directory doctest-exitcode-stdio QuickCheck semigroups
tagged time transformers utility-ht
@@ -227649,6 +227976,28 @@ self: {
maintainers = [ lib.maintainers.thielema ];
}) {};
+ "pathtype_0_8_1_3" = callPackage
+ ({ mkDerivation, base, deepseq, directory, doctest-exitcode-stdio
+ , doctest-lib, QuickCheck, semigroups, tagged, time, transformers
+ , utility-ht
+ }:
+ mkDerivation {
+ pname = "pathtype";
+ version = "0.8.1.3";
+ sha256 = "0wik0dpqd0bjwpsjwgqzn6cghrjkh5rbv5ggkyj50savh30y9mkj";
+ libraryHaskellDepends = [
+ base deepseq directory doctest-exitcode-stdio QuickCheck semigroups
+ tagged time transformers utility-ht
+ ];
+ testHaskellDepends = [
+ base doctest-exitcode-stdio doctest-lib QuickCheck
+ ];
+ description = "Type-safe replacement for System.FilePath etc";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ maintainers = [ lib.maintainers.thielema ];
+ }) {};
+
"pathwalk" = callPackage
({ mkDerivation, base, directory, filepath, transformers }:
mkDerivation {
@@ -227679,8 +228028,8 @@ self: {
}:
mkDerivation {
pname = "patrol";
- version = "1.0.0.6";
- sha256 = "02szpfdzhb7b6gklnly45wibma19h7dbklqcrc435ks0bjncgyi7";
+ version = "1.0.0.7";
+ sha256 = "139zkmwclm2yigb6081b6zgiqirv9jwcxshx3kigf00vy4wb32z6";
libraryHaskellDepends = [
aeson base bytestring case-insensitive containers exceptions
http-client http-types network-uri text time uuid
@@ -227720,6 +228069,8 @@ self: {
libraryHaskellDepends = [ base mtl ];
description = "Arrows for Pretty Printing";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"pattern-matcher" = callPackage
@@ -228112,6 +228463,8 @@ self: {
pname = "pcg-random";
version = "0.1.4.0";
sha256 = "09hnckb3xzb3spn79jvqlsbg05zm9r1l3dqq44ka07ik4zbagjbf";
+ revision = "1";
+ editedCabalFile = "1f8h0lv34cmqaxccg2yf6q4s8r5g2s8q8s9kql212iggd2l3vv77";
setupHaskellDepends = [ base Cabal cabal-doctest ];
libraryHaskellDepends = [
base bytestring entropy primitive random
@@ -228983,7 +229336,6 @@ self: {
benchmarkToolDepends = [ cpphs ];
description = "Find duplicate images";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
mainProgram = "phash";
}) {};
@@ -229043,8 +229395,8 @@ self: {
}:
mkDerivation {
pname = "perf";
- version = "0.12.0.0";
- sha256 = "15l4cx2b2wjinhhgsv646frxl69hvyh9dkqn24719xg8acmqyyyx";
+ version = "0.12.0.1";
+ sha256 = "1fhfngyrmpc1z8rsswd6hsp87yyixkgp52ijsd2mcv6masvrh42i";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -229541,6 +229893,45 @@ self: {
maintainers = [ lib.maintainers.psibi ];
}) {};
+ "persistent_2_14_6_1" = callPackage
+ ({ mkDerivation, aeson, attoparsec, attoparsec-aeson, base
+ , base64-bytestring, blaze-html, bytestring, conduit, containers
+ , criterion, deepseq, fast-logger, file-embed, hspec, http-api-data
+ , lift-type, monad-logger, mtl, path-pieces, QuickCheck
+ , quickcheck-instances, resource-pool, resourcet, scientific
+ , shakespeare, silently, template-haskell, text, th-lift-instances
+ , time, transformers, unliftio, unliftio-core, unordered-containers
+ , vault, vector
+ }:
+ mkDerivation {
+ pname = "persistent";
+ version = "2.14.6.1";
+ sha256 = "1adsm4m804pqf30jzwkv4s1xvp5d482r4lm4s14hx2cqb43frdfh";
+ libraryHaskellDepends = [
+ aeson attoparsec attoparsec-aeson base base64-bytestring blaze-html
+ bytestring conduit containers deepseq fast-logger http-api-data
+ lift-type monad-logger mtl path-pieces resource-pool resourcet
+ scientific silently template-haskell text th-lift-instances time
+ transformers unliftio unliftio-core unordered-containers vault
+ vector
+ ];
+ testHaskellDepends = [
+ aeson attoparsec base base64-bytestring blaze-html bytestring
+ conduit containers deepseq fast-logger hspec http-api-data
+ monad-logger mtl path-pieces QuickCheck quickcheck-instances
+ resource-pool resourcet scientific shakespeare silently
+ template-haskell text th-lift-instances time transformers unliftio
+ unliftio-core unordered-containers vector
+ ];
+ benchmarkHaskellDepends = [
+ base criterion deepseq file-embed template-haskell text
+ ];
+ description = "Type-safe, multi-backend data serialization";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ maintainers = [ lib.maintainers.psibi ];
+ }) {};
+
"persistent-audit" = callPackage
({ mkDerivation, aeson, attoparsec, base, bytestring
, getopt-generics, hashable, hspec, mongoDB, persistent
@@ -229653,6 +230044,8 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Documentation DSL for persistent entities";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"persistent-equivalence" = callPackage
@@ -229853,17 +230246,14 @@ self: {
"persistent-mtl" = callPackage
({ mkDerivation, base, bytestring, conduit, containers, esqueleto
, exceptions, explainable-predicates, monad-logger, mtl, persistent
- , persistent-postgresql, persistent-sqlite, persistent-template
- , resource-pool, resourcet, tasty, tasty-autocollect, tasty-golden
- , tasty-hunit, text, transformers, unliftio, unliftio-core
- , unliftio-pool
+ , persistent-postgresql, persistent-sqlite, resource-pool
+ , resourcet, tasty, tasty-autocollect, tasty-golden, tasty-hunit
+ , text, transformers, unliftio, unliftio-core, unliftio-pool
}:
mkDerivation {
pname = "persistent-mtl";
- version = "0.5.0.1";
- sha256 = "17sxwa8p95nrkacjr1wnpihwfq121z1pkyh1nvlfjy76b4aalqhi";
- revision = "3";
- editedCabalFile = "1slwcn2iafg1gffhj02hlbgpv2v719f26a608bli2hkd9v96s720";
+ version = "0.5.1";
+ sha256 = "13sz2j3g5vyvfhs97xzmzcb5a83lg4wlzmqnbmwg6l419jpj23fq";
libraryHaskellDepends = [
base conduit containers exceptions monad-logger mtl persistent
resource-pool resourcet text transformers unliftio unliftio-core
@@ -229872,8 +230262,8 @@ self: {
testHaskellDepends = [
base bytestring conduit containers esqueleto explainable-predicates
monad-logger persistent persistent-postgresql persistent-sqlite
- persistent-template resource-pool resourcet tasty tasty-autocollect
- tasty-golden tasty-hunit text unliftio
+ resource-pool resourcet tasty tasty-autocollect tasty-golden
+ tasty-hunit text unliftio
];
testToolDepends = [ tasty-autocollect ];
description = "Monad transformer for the persistent API";
@@ -230168,6 +230558,8 @@ self: {
];
description = "Haskell references backed by an IntMap for persistence and reversibility";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"persistent-relational-record" = callPackage
@@ -230364,6 +230756,8 @@ self: {
benchmarkHaskellDepends = [ base containers criterion deepseq ];
description = "A persistent sequence based on array mapped tries";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"persistent-zookeeper" = callPackage
@@ -230526,8 +230920,8 @@ self: {
}:
mkDerivation {
pname = "pg-entity";
- version = "0.0.4.3";
- sha256 = "02dna5mq2jj988kdwi7shrx8xr5w4bi0g3bwbn1zmay2x8rn9zv3";
+ version = "0.0.4.4";
+ sha256 = "0xbsys4h6p84m3vh076v5cjrmf2v9yl8d44zaknfxbjc8ssfbmh2";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -230765,6 +231159,8 @@ self: {
];
description = "Translate between binary data and a human-readable collection of words";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"pgsql-simple" = callPackage
@@ -230934,12 +231330,12 @@ self: {
}) {};
"phladiprelio-general-datatype" = callPackage
- ({ mkDerivation, base }:
+ ({ mkDerivation, base, containers }:
mkDerivation {
pname = "phladiprelio-general-datatype";
- version = "0.5.2.0";
- sha256 = "0hz2vam7k5vx50qy6h42fzia5ly70b1lc507yq32r6mhgigddss8";
- libraryHaskellDepends = [ base ];
+ version = "0.7.0.0";
+ sha256 = "0m67xx2kd0fala287qvqr8hbkiarcs04ayvw9jdlby18za8abh2g";
+ libraryHaskellDepends = [ base containers ];
description = "Extended functionality of PhLADiPreLiO";
license = lib.licenses.mit;
}) {};
@@ -230948,8 +231344,8 @@ self: {
({ mkDerivation, base, phonetic-languages-phonetics-basics }:
mkDerivation {
pname = "phladiprelio-general-shared";
- version = "0.1.1.0";
- sha256 = "1iwia8gs6pm7i7nz3a3kqnrmbmg3js1dq66g2s1li71p7gdn32ac";
+ version = "0.1.2.0";
+ sha256 = "0w7dmdfpq5k7hy08bazd04cic2ii803ws14xa0nzrng9pnl6gliq";
libraryHaskellDepends = [
base phonetic-languages-phonetics-basics
];
@@ -230959,9 +231355,9 @@ self: {
"phladiprelio-general-simple" = callPackage
({ mkDerivation, async, base, cli-arguments, directory, halfsplit
- , phladiprelio-general-datatype, phladiprelio-general-shared
- , phladiprelio-tests, phonetic-languages-basis
- , phonetic-languages-constraints-array
+ , minmax, phladiprelio-general-datatype
+ , phladiprelio-general-shared, phladiprelio-tests
+ , phonetic-languages-basis, phonetic-languages-constraints-array
, phonetic-languages-permutations-array
, phonetic-languages-phonetics-basics
, phonetic-languages-simplified-base, rev-scientific
@@ -230969,10 +231365,10 @@ self: {
}:
mkDerivation {
pname = "phladiprelio-general-simple";
- version = "0.14.0.0";
- sha256 = "0r259cqqh9554l8l1d2rvbs8gpxf958qwy0dvk0jisgk3dmx3qkw";
+ version = "0.14.2.0";
+ sha256 = "07xgw30r4kyf2mw5jn4hm923xmhxnca0wb6w16k38hn1shbk9jf1";
libraryHaskellDepends = [
- async base cli-arguments directory halfsplit
+ async base cli-arguments directory halfsplit minmax
phladiprelio-general-datatype phladiprelio-general-shared
phladiprelio-tests phonetic-languages-basis
phonetic-languages-constraints-array
@@ -231024,9 +231420,9 @@ self: {
"phladiprelio-ukrainian-simple" = callPackage
({ mkDerivation, async, base, cli-arguments, directory, halfsplit
- , mmsyn2-array, phladiprelio-general-datatype, phladiprelio-tests
- , phladiprelio-ukrainian-shared, phonetic-languages-basis
- , phonetic-languages-constraints-array
+ , minmax, mmsyn2-array, phladiprelio-general-datatype
+ , phladiprelio-tests, phladiprelio-ukrainian-shared
+ , phonetic-languages-basis, phonetic-languages-constraints-array
, phonetic-languages-permutations-array
, phonetic-languages-simplified-base
, phonetic-languages-ukrainian-array, rev-scientific
@@ -231034,12 +231430,12 @@ self: {
}:
mkDerivation {
pname = "phladiprelio-ukrainian-simple";
- version = "0.15.0.0";
- sha256 = "0smmzm6xc6rgfi1r2sx6l7qcw9crxgyijafl62hvxrypx2sidgx6";
+ version = "0.15.3.0";
+ sha256 = "18bipc4xnc9jqps00pih04lfz82881k5dd6ysrk5ihy9akj5xma6";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
- async base cli-arguments directory halfsplit mmsyn2-array
+ async base cli-arguments directory halfsplit minmax mmsyn2-array
phladiprelio-general-datatype phladiprelio-tests
phladiprelio-ukrainian-shared phonetic-languages-basis
phonetic-languages-constraints-array
@@ -231049,7 +231445,7 @@ self: {
rhythmic-sequences ukrainian-phonetics-basic-array
];
executableHaskellDepends = [
- async base cli-arguments directory halfsplit mmsyn2-array
+ async base cli-arguments directory halfsplit minmax mmsyn2-array
phladiprelio-general-datatype phladiprelio-tests
phladiprelio-ukrainian-shared phonetic-languages-basis
phonetic-languages-constraints-array
@@ -231224,12 +231620,12 @@ self: {
}) {};
"phonetic-languages-constraints-array" = callPackage
- ({ mkDerivation, base, subG }:
+ ({ mkDerivation, base, monoid-insertleft }:
mkDerivation {
pname = "phonetic-languages-constraints-array";
- version = "0.7.3.0";
- sha256 = "1iykr6d1gfrvkfxfd5dipmd45y5frg8j3lp8xxlvwhsakzxp6vsy";
- libraryHaskellDepends = [ base subG ];
+ version = "0.8.0.0";
+ sha256 = "08jni7b0llvx5gwcn7wl99a1xi449wjid46m3iq7hbwvbkaghhcw";
+ libraryHaskellDepends = [ base monoid-insertleft ];
description = "Constraints to filter the needed permutations";
license = lib.licenses.mit;
}) {};
@@ -231309,31 +231705,31 @@ self: {
}) {};
"phonetic-languages-permutations-array" = callPackage
- ({ mkDerivation, base, subG }:
+ ({ mkDerivation, base, monoid-insertleft }:
mkDerivation {
pname = "phonetic-languages-permutations-array";
- version = "0.4.0.0";
- sha256 = "0z6zwzfngfhwy8lqylv06xd6lqdxrsrflhjpvqqv3hj68am5p17j";
- libraryHaskellDepends = [ base subG ];
+ version = "0.5.0.0";
+ sha256 = "1ricwaw0da2fi4l5p7iwspcr6j6ldzjkb8zfi3dsicrgfkakpbkz";
+ libraryHaskellDepends = [ base monoid-insertleft ];
description = "Permutations and universal set related functions for the phonetic-languages series";
license = lib.licenses.mit;
}) {};
"phonetic-languages-phonetics-basics" = callPackage
- ({ mkDerivation, base, foldable-ix, lists-flines, mmsyn2-array
- , mmsyn3, mmsyn5
+ ({ mkDerivation, base, intermediate-structures, lists-flines
+ , mmsyn2-array
}:
mkDerivation {
pname = "phonetic-languages-phonetics-basics";
- version = "0.10.1.0";
- sha256 = "0i2mpfpycy004kc96ajraxrjzdzg1k04yjn18wf1k9x5q5n3xm24";
+ version = "0.11.0.0";
+ sha256 = "0mwl7q7h57v8f2v57hqkw3migas8f1rm1w6i72zq1yra23g945zs";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
- base foldable-ix lists-flines mmsyn2-array mmsyn3 mmsyn5
+ base intermediate-structures lists-flines mmsyn2-array
];
executableHaskellDepends = [
- base foldable-ix lists-flines mmsyn2-array mmsyn3 mmsyn5
+ base intermediate-structures lists-flines mmsyn2-array
];
description = "A library for working with generalized phonetic languages usage";
license = lib.licenses.mit;
@@ -231391,16 +231787,16 @@ self: {
}) {};
"phonetic-languages-simplified-base" = callPackage
- ({ mkDerivation, base, phonetic-languages-basis
- , phonetic-languages-permutations-array, subG
+ ({ mkDerivation, base, minmax, monoid-insertleft
+ , phonetic-languages-basis, phonetic-languages-permutations-array
}:
mkDerivation {
pname = "phonetic-languages-simplified-base";
- version = "0.7.1.0";
- sha256 = "1rjmkrlcfgv3n14y5rmg0sxnq409m3jxrjxvz8hznqprjalwkc79";
+ version = "0.8.0.0";
+ sha256 = "1adi6vs3p0q7d3032favk7fymjljx6wmxwz8jxjghm1kj6az0clk";
libraryHaskellDepends = [
- base phonetic-languages-basis phonetic-languages-permutations-array
- subG
+ base minmax monoid-insertleft phonetic-languages-basis
+ phonetic-languages-permutations-array
];
description = "A basics of the phonetic-languages functionality that can be groupped";
license = lib.licenses.mit;
@@ -231782,7 +232178,9 @@ self: {
];
description = "Rename photo image files based on EXIF shoot date";
license = lib.licenses.isc;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "photoname";
+ broken = true;
}) {};
"phraskell" = callPackage
@@ -232089,6 +232487,8 @@ self: {
testHaskellDepends = [ base containers random rdtsc transformers ];
description = "Bindings to the PicoSAT solver";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"pictikz" = callPackage
@@ -232118,7 +232518,9 @@ self: {
executableHaskellDepends = [ base mtl ];
description = "a simple PID controller";
license = "unknown";
+ hydraPlatforms = lib.platforms.none;
mainProgram = "pid";
+ broken = true;
}) {};
"pid1" = callPackage
@@ -232145,6 +232547,8 @@ self: {
libraryHaskellDepends = [ base unix ];
description = "Run an IO action protected by a pidfile";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"pier" = callPackage
@@ -232273,8 +232677,8 @@ self: {
}:
mkDerivation {
pname = "pinboard-notes-backup";
- version = "1.0.5.6";
- sha256 = "1vghgv9kmlch9g14imp5skb1ia6nndn1bwhpx7r262fn4dv88372";
+ version = "1.0.5.7";
+ sha256 = "0gbqpnyipaa41kdbk6bi5blb28ysznkr2k9qhqqf39jh6aa3j1y3";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
@@ -232295,8 +232699,8 @@ self: {
}:
mkDerivation {
pname = "pinch";
- version = "0.4.3.0";
- sha256 = "1m9pmcraw3rxgib44pskldig2xp0106zpbj3dbdgxam0ikaybb71";
+ version = "0.5.1.0";
+ sha256 = "0p90hq8qk8x11ak2im7pv44agw4dcx9dqzby1ip0pl8mmmfdrpdx";
libraryHaskellDepends = [
array base bytestring cereal containers deepseq ghc-prim hashable
network semigroups text unordered-containers vector
@@ -232311,31 +232715,6 @@ self: {
license = lib.licenses.bsd3;
}) {};
- "pinch_0_5_0_0" = callPackage
- ({ mkDerivation, array, async, base, bytestring, cereal, containers
- , deepseq, ghc-prim, hashable, hspec, hspec-discover, network
- , network-run, QuickCheck, semigroups, text, unordered-containers
- , vector
- }:
- mkDerivation {
- pname = "pinch";
- version = "0.5.0.0";
- sha256 = "186b7576f5h49j83r3r8ljk8kb98x1as0hdsrjflhps158qh3xdp";
- libraryHaskellDepends = [
- array base bytestring cereal containers deepseq ghc-prim hashable
- network semigroups text unordered-containers vector
- ];
- libraryToolDepends = [ hspec-discover ];
- testHaskellDepends = [
- async base bytestring cereal containers hspec network network-run
- QuickCheck semigroups text unordered-containers vector
- ];
- testToolDepends = [ hspec-discover ];
- description = "An alternative implementation of Thrift for Haskell";
- license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- }) {};
-
"pinch-gen" = callPackage
({ mkDerivation, base, bytestring, directory, filepath
, language-thrift, megaparsec, mtl, optparse-applicative
@@ -232343,8 +232722,10 @@ self: {
}:
mkDerivation {
pname = "pinch-gen";
- version = "0.4.3.0";
- sha256 = "0bj1b891zm62iz9dl61zy5aw4750f222s472x2wnxzxjsb53d4fi";
+ version = "0.4.4.0";
+ sha256 = "0c65m9mxqk52lrh77ljkanycfsbi7k1jljb9wzwcb528vwjj6pgh";
+ revision = "2";
+ editedCabalFile = "07vncls4nbc6sm5w98gz53mk9rz5r3bivy39jmbb4wa3mna13r1q";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
@@ -232568,6 +232949,8 @@ self: {
testToolDepends = [ tasty-discover ];
description = "Conduit with a smaller core";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"pipeline" = callPackage
@@ -232621,6 +233004,8 @@ self: {
];
description = "Encode and decode JSON streams using Aeson and Pipes";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"pipes-async" = callPackage
@@ -233070,7 +233455,9 @@ self: {
];
description = "Extra utilities for pipes";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
maintainers = [ lib.maintainers.Gabriella439 ];
+ broken = true;
}) {};
"pipes-fastx" = callPackage
@@ -233238,6 +233625,8 @@ self: {
];
description = "Kafka in the Pipes ecosystem";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"pipes-key-value-csv" = callPackage
@@ -233922,8 +234311,8 @@ self: {
pname = "pixiv";
version = "0.1.1";
sha256 = "1mzcnm1y0fsx66lk09j49mdw9hv4l0zsq4wqi9jcamr0jf5ffq0y";
- revision = "1";
- editedCabalFile = "0zfjbyqvh4azhcrmc8vwkyjq7qnqy4gpm0qp29fv0p5zhmqbn4ay";
+ revision = "2";
+ editedCabalFile = "1pf2mg9bggnj9bfqf172cm9d43c5yzsfdpcs6qj24ndqwmr7fjp6";
libraryHaskellDepends = [
aeson base base16-bytestring bytestring cryptohash-md5 exceptions
filepath http-client http-client-tls lens monad-control mtl process
@@ -234501,6 +234890,8 @@ self: {
];
description = "A plotting library, exportable as eps/pdf/svg/png or renderable with gtk";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"plot-gtk" = callPackage
@@ -234723,6 +235114,8 @@ self: {
libraryHaskellDepends = [ aeson base text ];
description = "Contravariant logging library";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"plow-log-async" = callPackage
@@ -234752,6 +235145,8 @@ self: {
testHaskellDepends = [ base mtl ];
description = "A library and technique for handling errors via plucking constraints";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"plugins" = callPackage
@@ -234868,7 +235263,9 @@ self: {
executableHaskellDepends = [ base bytestring linear vector ];
description = "PLY file loader";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "ply2bin";
+ broken = true;
}) {};
"plzwrk" = callPackage
@@ -234931,6 +235328,8 @@ self: {
pname = "pngload-fixed";
version = "1.0";
sha256 = "02ikfn7kl8jx5iffa2pv0n1z1c75qcg9aq94nrccfdp532wxr7bx";
+ revision = "1";
+ editedCabalFile = "09n4kpbijhlbj01h9hjkpds450s7ffi5k5sn4aj2pcpd3rc5av9g";
enableSeparateDataOutput = true;
libraryHaskellDepends = [ array base bytestring mtl parsec zlib ];
description = "Pure Haskell loader for PNG images";
@@ -235483,6 +235882,17 @@ self: {
broken = true;
}) {};
+ "pollock" = callPackage
+ ({ mkDerivation, attoparsec, base, containers, ghc, text }:
+ mkDerivation {
+ pname = "pollock";
+ version = "0.1.0.0";
+ sha256 = "06wrizz358ihpfi8abdnx4xf4nfql3ah5333ag0lblym8qapsyw6";
+ libraryHaskellDepends = [ attoparsec base containers ghc text ];
+ description = "Functionality to help examine Haddock information of a module";
+ license = lib.licenses.mit;
+ }) {};
+
"poly" = callPackage
({ mkDerivation, base, deepseq, finite-typelits, mod, primitive
, QuickCheck, quickcheck-classes, quickcheck-classes-base
@@ -235559,6 +235969,7 @@ self: {
libraryHaskellDepends = [ base requirements ];
description = "Polykinded extensible records";
license = lib.licenses.gpl3Only;
+ hydraPlatforms = lib.platforms.none;
}) {};
"polyToMonoid" = callPackage
@@ -235752,6 +236163,7 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Higher-order, low-boilerplate free monads";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"polysemy-RandomFu" = callPackage
@@ -235843,6 +236255,7 @@ self: {
testToolDepends = [ hspec-discover ];
description = "QuickCheck for Polysemy";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"polysemy-chronos" = callPackage
@@ -235932,6 +236345,7 @@ self: {
];
description = "Low level filesystem operations for polysemy";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"polysemy-fskvstore" = callPackage
@@ -236232,6 +236646,7 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Mocking framework for polysemy effects";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"polysemy-optics" = callPackage
@@ -236269,8 +236684,8 @@ self: {
}:
mkDerivation {
pname = "polysemy-plugin";
- version = "0.4.5.1";
- sha256 = "066ggw99m93xk9gk5ymmx1dpfwlq5anf9mgzv05lbzysg2v15b6p";
+ version = "0.4.5.2";
+ sha256 = "1inl8wk36fyc6m1fp8pyxmrc82bmznr5f1zasfmxj9q5xxbig87f";
setupHaskellDepends = [ base Cabal cabal-doctest ];
libraryHaskellDepends = [
base containers ghc ghc-tcplugins-extra polysemy syb transformers
@@ -236283,6 +236698,7 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Disambiguate obvious uses of effects";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"polysemy-process" = callPackage
@@ -236531,6 +236947,7 @@ self: {
];
description = "Start web servers from within a Polysemy effect stack";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"polysemy-zoo" = callPackage
@@ -236556,6 +236973,7 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Experimental, user-contributed effects and interpreters for polysemy";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"polyseq" = callPackage
@@ -236759,55 +237177,6 @@ self: {
}) {};
"pontarius-xmpp" = callPackage
- ({ mkDerivation, async, attoparsec, base, base64-bytestring, binary
- , bytestring, Cabal, conduit, containers, criterion, crypto-api
- , cryptohash, cryptohash-cryptoapi, cryptonite, data-default, dns
- , exceptions, generic-arbitrary, hslogger, hspec
- , hspec-expectations, iproute, lens, lens-family, lifted-base, mtl
- , network, profunctors, pureMD5, QuickCheck, quickcheck-instances
- , random, ranges, resourcet, smallcheck, split, stm, stringprep
- , tasty, tasty-hspec, tasty-hunit, tasty-quickcheck, tasty-th
- , template-haskell, text, tls, transformers, unbounded-delays, void
- , x509-system, xml-conduit, xml-picklers, xml-types
- }:
- mkDerivation {
- pname = "pontarius-xmpp";
- version = "0.5.6.6";
- sha256 = "1dzr965amv2909h4r5pc4qxhgrzp79cmv5grq79w5qjjfmj6iv65";
- libraryHaskellDepends = [
- attoparsec base base64-bytestring binary bytestring conduit
- containers crypto-api cryptohash cryptohash-cryptoapi cryptonite
- data-default dns exceptions hslogger iproute lens lens-family
- lifted-base mtl network profunctors pureMD5 random resourcet split
- stm stringprep template-haskell text tls transformers
- unbounded-delays void x509-system xml-conduit xml-picklers
- xml-types
- ];
- testHaskellDepends = [
- async attoparsec base base64-bytestring binary bytestring Cabal
- conduit containers crypto-api cryptohash cryptohash-cryptoapi
- cryptonite data-default dns exceptions generic-arbitrary hslogger
- hspec hspec-expectations iproute lens lens-family lifted-base mtl
- network profunctors pureMD5 QuickCheck quickcheck-instances random
- ranges resourcet smallcheck split stm stringprep tasty tasty-hspec
- tasty-hunit tasty-quickcheck tasty-th template-haskell text tls
- transformers unbounded-delays void x509-system xml-conduit
- xml-picklers xml-types
- ];
- benchmarkHaskellDepends = [
- attoparsec base base64-bytestring binary bytestring conduit
- containers criterion crypto-api cryptohash cryptohash-cryptoapi
- cryptonite data-default dns exceptions hslogger iproute lens
- lens-family lifted-base mtl network profunctors pureMD5 random
- resourcet split stm stringprep template-haskell text tls
- transformers unbounded-delays void x509-system xml-conduit
- xml-picklers xml-types
- ];
- description = "An XMPP client library";
- license = lib.licenses.bsd3;
- }) {};
-
- "pontarius-xmpp_0_5_6_8" = callPackage
({ mkDerivation, async, attoparsec, base, base64-bytestring, binary
, bytestring, Cabal, conduit, containers, criterion, crypto-api
, cryptohash, cryptohash-cryptoapi, crypton-x509-system
@@ -236854,7 +237223,6 @@ self: {
];
description = "An XMPP client library";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"pontarius-xmpp-extras" = callPackage
@@ -237298,6 +237666,8 @@ self: {
];
description = "Rendering to pseudo-Haskell syntax";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"portray-diff" = callPackage
@@ -237313,6 +237683,7 @@ self: {
];
description = "Pretty structural diffs between two values";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
}) {};
"portray-diff-hunit" = callPackage
@@ -237329,6 +237700,7 @@ self: {
];
description = "HUnit assertions based on portray-diff";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
}) {};
"portray-diff-quickcheck" = callPackage
@@ -237344,6 +237716,7 @@ self: {
];
description = "QuickCheck tests with portray-diff";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
}) {};
"portray-pretty" = callPackage
@@ -237363,6 +237736,7 @@ self: {
];
description = "Portray backend for pretty";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
}) {};
"portray-prettyprinter" = callPackage
@@ -237387,6 +237761,7 @@ self: {
];
description = "Portray backend for prettyprinter";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
}) {};
"ports" = callPackage
@@ -237613,6 +237988,8 @@ self: {
libraryHaskellDepends = [ base transformers unix ];
description = "Nice wrapper around POSIX fcntl advisory locks";
license = "unknown";
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"posix-paths" = callPackage
@@ -237861,8 +238238,8 @@ self: {
}:
mkDerivation {
pname = "postgresql-binary";
- version = "0.13.1.2";
- sha256 = "0gdmzylx8xqsp22hxlc19cqsid64s4bfqc4g9kg16vndc2b1d6x5";
+ version = "0.13.1.3";
+ sha256 = "1w71jb34z4810i32i9aa17x7if5ssj25gqvi15k2rf1g2lmwp7ry";
libraryHaskellDepends = [
aeson base binary-parser bytestring bytestring-strict-builder
containers network-ip scientific text time transformers
@@ -237985,22 +238362,6 @@ self: {
}) {};
"postgresql-libpq" = callPackage
- ({ mkDerivation, base, bytestring, Cabal, postgresql, unix }:
- mkDerivation {
- pname = "postgresql-libpq";
- version = "0.9.5.0";
- sha256 = "0w2l687r9z92snvd0cjyv3dxghgr5alyw0vc2c6bp2600pc2nnfi";
- revision = "1";
- editedCabalFile = "02g69cm1nqvvakyjs7ps2q9nkl4vpcdcxl41s7hzpy3vjhyar036";
- setupHaskellDepends = [ base Cabal ];
- libraryHaskellDepends = [ base bytestring unix ];
- librarySystemDepends = [ postgresql ];
- testHaskellDepends = [ base bytestring ];
- description = "low-level binding to libpq";
- license = lib.licenses.bsd3;
- }) {inherit (pkgs) postgresql;};
-
- "postgresql-libpq_0_10_0_0" = callPackage
({ mkDerivation, base, bytestring, Cabal, postgresql, unix }:
mkDerivation {
pname = "postgresql-libpq";
@@ -238014,7 +238375,6 @@ self: {
testHaskellDepends = [ base bytestring ];
description = "low-level binding to libpq";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {inherit (pkgs) postgresql;};
"postgresql-libpq-notify" = callPackage
@@ -238303,35 +238663,6 @@ self: {
}) {};
"postgresql-simple" = callPackage
- ({ mkDerivation, aeson, attoparsec, base, base16-bytestring
- , bytestring, bytestring-builder, case-insensitive, containers
- , cryptohash-md5, filepath, hashable, HUnit, inspection-testing
- , Only, postgresql-libpq, scientific, tasty, tasty-golden
- , tasty-hunit, template-haskell, text, time-compat, transformers
- , uuid-types, vector
- }:
- mkDerivation {
- pname = "postgresql-simple";
- version = "0.6.5.1";
- sha256 = "0v0v34a5p6as8zv96dgjk082lq9p5iij1p0jnz8wcyfjfc5l2qf8";
- libraryHaskellDepends = [
- aeson attoparsec base bytestring bytestring-builder
- case-insensitive containers hashable Only postgresql-libpq
- scientific template-haskell text time-compat transformers
- uuid-types vector
- ];
- testHaskellDepends = [
- aeson base base16-bytestring bytestring case-insensitive containers
- cryptohash-md5 filepath HUnit inspection-testing postgresql-libpq
- tasty tasty-golden tasty-hunit text time-compat vector
- ];
- benchmarkHaskellDepends = [ base vector ];
- description = "Mid-Level PostgreSQL client library";
- license = lib.licenses.bsd3;
- maintainers = [ lib.maintainers.maralorn ];
- }) {};
-
- "postgresql-simple_0_7_0_0" = callPackage
({ mkDerivation, aeson, attoparsec, base, base16-bytestring
, bytestring, case-insensitive, containers, cryptohash-md5
, filepath, hashable, HUnit, inspection-testing, Only
@@ -238358,7 +238689,6 @@ self: {
benchmarkHaskellDepends = [ base vector ];
description = "Mid-Level PostgreSQL client library";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
maintainers = [ lib.maintainers.maralorn ];
}) {};
@@ -238446,9 +238776,7 @@ self: {
testHaskellDepends = [ base bytestring hspec postgresql-simple ];
description = "PostgreSQL Schema Migrations";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
mainProgram = "migrate";
- broken = true;
}) {};
"postgresql-simple-named" = callPackage
@@ -238457,8 +238785,8 @@ self: {
}:
mkDerivation {
pname = "postgresql-simple-named";
- version = "0.0.4.0";
- sha256 = "05xzvfy4j1r0zd2g3hgfk4a772vr02g8c3zd1vh6yqh71pvg5zwr";
+ version = "0.0.5.0";
+ sha256 = "0kay1xy0jkgnrc81qqklmfzd60g3wg4ymqm75s97z82d3iif7jr0";
libraryHaskellDepends = [
base bytestring mtl postgresql-simple text
];
@@ -238493,6 +238821,8 @@ self: {
];
description = "An optparse-applicative and envy parser for postgres options";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"postgresql-simple-queue" = callPackage
@@ -238613,6 +238943,8 @@ self: {
libraryHaskellDepends = [ base transformers ];
description = "A safe transaction monad for use with various PostgreSQL Haskell libraries";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"postgresql-tx-monad-logger" = callPackage
@@ -238697,34 +239029,6 @@ self: {
}) {};
"postgresql-typed" = callPackage
- ({ mkDerivation, aeson, array, attoparsec, base, binary, bytestring
- , containers, convertible, criterion, cryptonite, data-default
- , haskell-src-meta, HDBC, HUnit, memory, network, old-locale
- , postgresql-binary, QuickCheck, scientific, template-haskell, text
- , time, tls, utf8-string, uuid, x509, x509-store, x509-validation
- }:
- mkDerivation {
- pname = "postgresql-typed";
- version = "0.6.2.2";
- sha256 = "0khc6bc1gvlhvrmpgj4ilazimwpjvd2p83lfbbpip9dpj2b5h2ri";
- libraryHaskellDepends = [
- aeson array attoparsec base binary bytestring containers cryptonite
- data-default haskell-src-meta HDBC memory network old-locale
- postgresql-binary scientific template-haskell text time tls
- utf8-string uuid x509 x509-store x509-validation
- ];
- testHaskellDepends = [
- base bytestring containers convertible HDBC HUnit network
- QuickCheck time tls
- ];
- benchmarkHaskellDepends = [
- base bytestring criterion network time tls
- ];
- description = "PostgreSQL interface with compile-time SQL type checking, optional HDBC backend";
- license = lib.licenses.bsd3;
- }) {};
-
- "postgresql-typed_0_6_2_5" = callPackage
({ mkDerivation, aeson, array, attoparsec, base, binary, bytestring
, containers, convertible, criterion, crypton, crypton-x509
, crypton-x509-store, crypton-x509-validation, data-default
@@ -238752,7 +239056,6 @@ self: {
];
description = "PostgreSQL interface with compile-time SQL type checking, optional HDBC backend";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"postgresql-typed-lifted" = callPackage
@@ -239286,21 +239589,6 @@ self: {
}) {};
"pqueue" = callPackage
- ({ mkDerivation, base, deepseq, indexed-traversable, random, tasty
- , tasty-bench, tasty-quickcheck
- }:
- mkDerivation {
- pname = "pqueue";
- version = "1.4.3.0";
- sha256 = "0kl608jw0xz0n4ysw7p3cvlm1s71xrysw8862cddrzbr38bv8jvq";
- libraryHaskellDepends = [ base deepseq indexed-traversable ];
- testHaskellDepends = [ base deepseq tasty tasty-quickcheck ];
- benchmarkHaskellDepends = [ base deepseq random tasty-bench ];
- description = "Reliable, persistent, fast priority queues";
- license = lib.licenses.bsd3;
- }) {};
-
- "pqueue_1_5_0_0" = callPackage
({ mkDerivation, base, deepseq, indexed-traversable, random, tasty
, tasty-bench, tasty-quickcheck
}:
@@ -239317,7 +239605,6 @@ self: {
benchmarkHaskellDepends = [ base deepseq random tasty-bench ];
description = "Reliable, persistent, fast priority queues";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"pqueue-mtl" = callPackage
@@ -239395,17 +239682,17 @@ self: {
}) {};
"prairie" = callPackage
- ({ mkDerivation, aeson, base, constraints, containers, lens
+ ({ mkDerivation, aeson, base, constraints, containers, hspec, lens
, template-haskell, text
}:
mkDerivation {
pname = "prairie";
- version = "0.0.2.0";
- sha256 = "1z9l8a45shvv1z8zsp4d1c0nrp7zblma8g0alhp2flp7wxfv7qf3";
+ version = "0.0.3.0";
+ sha256 = "0rm3cnvjs8825x7czdc948whjfjynzz9apbp2qbmyv3lihi4mib5";
libraryHaskellDepends = [
aeson base constraints containers lens template-haskell text
];
- testHaskellDepends = [ aeson base lens ];
+ testHaskellDepends = [ aeson base hspec lens ];
description = "A first class record field library";
license = lib.licenses.bsd3;
}) {};
@@ -240361,6 +240648,8 @@ self: {
testHaskellDepends = [ base hspec tagged ];
description = "A small pretty printing DSL for complex types";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"prettyFunctionComposing" = callPackage
@@ -240381,8 +240670,8 @@ self: {
}:
mkDerivation {
pname = "prettychart";
- version = "0.1.2.0";
- sha256 = "117ak0mjyfgs5g3qr9prrg5wkbv5i9k9f218gs27czhb10xxhahs";
+ version = "0.2.0.0";
+ sha256 = "1mpbn9i9h3g374nn6v7h664rypcxwbq3l94bxxmwy6jzpp8hzz0q";
libraryHaskellDepends = [
async base box bytestring chart-svg containers markup-parse
numhask-space optics-core text time web-rep
@@ -240530,6 +240819,8 @@ self: {
testHaskellDepends = [ base doctest ];
description = "Converter from »ansi-wl-pprint« documents to »prettyprinter«-based ones";
license = lib.licenses.bsd2;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"prettyprinter-graphviz" = callPackage
@@ -241071,24 +241362,20 @@ self: {
}) {};
"primitive-sort" = callPackage
- ({ mkDerivation, base, containers, contiguous, doctest, gauge
- , ghc-prim, HUnit, primitive, QuickCheck, random, smallcheck, tasty
- , tasty-hunit, tasty-quickcheck, tasty-smallcheck
+ ({ mkDerivation, base, containers, contiguous, gauge, HUnit
+ , primitive, QuickCheck, random, smallcheck, tasty, tasty-hunit
+ , tasty-quickcheck, tasty-smallcheck
}:
mkDerivation {
pname = "primitive-sort";
- version = "0.1.2.0";
- sha256 = "0nalkfycg9fpvwi8h2a4k31wmmn1ny16b43kj75gxcpmbc4x6azz";
- revision = "1";
- editedCabalFile = "0c0r6db3x5jngqgzylm5s3d5vy9ncnl0642wrdg92cnqzfrqbvgw";
- libraryHaskellDepends = [ base contiguous ghc-prim primitive ];
+ version = "0.1.2.4";
+ sha256 = "1x99nfnr7jgsxzlcs2ba4njr6n1wxrhx9qjxlg4iv1k8ff6flp2l";
+ libraryHaskellDepends = [ base contiguous primitive ];
testHaskellDepends = [
- base containers doctest HUnit primitive QuickCheck smallcheck tasty
+ base containers HUnit primitive QuickCheck smallcheck tasty
tasty-hunit tasty-quickcheck tasty-smallcheck
];
- benchmarkHaskellDepends = [
- base contiguous gauge ghc-prim primitive random
- ];
+ benchmarkHaskellDepends = [ base gauge primitive random ];
description = "Sort primitive arrays";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
@@ -241122,7 +241409,7 @@ self: {
license = lib.licenses.bsd3;
}) {};
- "primitive-unlifted" = callPackage
+ "primitive-unlifted_0_1_3_1" = callPackage
({ mkDerivation, base, bytestring, primitive, stm, text-short }:
mkDerivation {
pname = "primitive-unlifted";
@@ -241134,9 +241421,10 @@ self: {
testHaskellDepends = [ base primitive stm ];
description = "Primitive GHC types with unlifted types inside";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
- "primitive-unlifted_2_1_0_0" = callPackage
+ "primitive-unlifted" = callPackage
({ mkDerivation, array, base, bytestring, primitive, QuickCheck
, quickcheck-classes-base, stm, tasty, tasty-quickcheck, text-short
}:
@@ -241144,6 +241432,8 @@ self: {
pname = "primitive-unlifted";
version = "2.1.0.0";
sha256 = "07ix39sraijgajprpzdbnl67m8ghixxbqg93k4m02k1gi83j2d31";
+ revision = "1";
+ editedCabalFile = "169j22x9xbw35pgsqixqbjhk5gbshbf1cvq2i84yz4b3g74164gg";
libraryHaskellDepends = [
array base bytestring primitive text-short
];
@@ -241153,7 +241443,6 @@ self: {
];
description = "Primitive GHC types with unlifted types inside";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"primula-board" = callPackage
@@ -241601,8 +241890,8 @@ self: {
pname = "process";
version = "1.6.18.0";
sha256 = "0zm3v2m95a7bsnndl1pvdj9a7gd4v84pb34rmgsqjkwpwi7lqpxa";
- revision = "1";
- editedCabalFile = "0hi7wnsi1yxx7chxbbpjlisid1slq8biw6m4bld6s6hy2njbryv9";
+ revision = "2";
+ editedCabalFile = "0ksri04db6g38n9h3qi0qwiq0gh0hy20irj90121mxp494kwwjwb";
libraryHaskellDepends = [ base deepseq directory filepath unix ];
testHaskellDepends = [ base bytestring directory ];
description = "Process libraries";
@@ -242072,9 +242361,7 @@ self: {
executableHaskellDepends = [ base ];
description = "Treemap visualiser for GHC prof files";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
mainProgram = "profiteur";
- broken = true;
}) {};
"profunctor-arrows" = callPackage
@@ -242312,9 +242599,9 @@ self: {
"project-m36" = callPackage
({ mkDerivation, aeson, async, attoparsec, barbies, base
- , base16-bytestring, base64-bytestring, binary, blaze-html
- , bytestring, Cabal, cassava, conduit, containers, convertible
- , criterion, cryptohash-sha256, curryer-rpc, data-interval, deepseq
+ , base16-bytestring, base64-bytestring, blaze-html, bytestring
+ , Cabal, cassava, conduit, containers, convertible, criterion
+ , cryptohash-sha256, curryer-rpc, data-interval, deepseq
, deepseq-generics, deferred-folds, directory, either, exceptions
, extended-reals, fast-builder, filepath, foldl, ghc, ghc-boot
, ghc-paths, ghci, Glob, gnuplot, hashable, haskeline
@@ -242325,14 +242612,14 @@ self: {
, random, random-shuffle, recursion-schemes, resourcet, rset
, scientific, scotty, semigroups, stm, stm-containers, streamly
, template-haskell, temporary, text, text-manipulate, time
- , time-compat, transformers, unix, unordered-containers, uuid
- , vector, vector-instances, wai, wai-websockets, warp, warp-tls
- , websockets, winery, zlib
+ , transformers, unix, unordered-containers, uuid, vector
+ , vector-instances, wai, wai-websockets, warp, warp-tls, websockets
+ , winery, zlib
}:
mkDerivation {
pname = "project-m36";
- version = "0.9.7";
- sha256 = "0jybyl0nwyfzb8hfhik4cmipnk9xrnq3zw1917k2hc3qzfs162b4";
+ version = "0.9.9";
+ sha256 = "07d3jr66gk48l4cmy2acigi61zwxn1pa6gg09lxazi5c8ii6zhzf";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -242345,12 +242632,12 @@ self: {
old-locale optparse-applicative parallel path-pieces QuickCheck
quickcheck-instances random-shuffle recursion-schemes resourcet
rset scientific semigroups stm stm-containers streamly temporary
- text text-manipulate time time-compat transformers unix
- unordered-containers uuid vector vector-instances winery zlib
+ text text-manipulate time transformers unix unordered-containers
+ uuid vector vector-instances winery zlib
];
executableHaskellDepends = [
aeson attoparsec barbies base base16-bytestring base64-bytestring
- binary blaze-html bytestring Cabal cassava conduit containers
+ blaze-html bytestring Cabal cassava conduit containers
cryptohash-sha256 curryer-rpc data-interval deepseq
deepseq-generics directory either filepath ghc ghc-paths gnuplot
hashable haskeline http-api-data http-conduit http-types HUnit
@@ -242577,6 +242864,8 @@ self: {
pname = "prometheus";
version = "2.2.4";
sha256 = "15ykkpiq1vw4ii9x31jrfryl073kd90dp0p05c3avgqczp3985zp";
+ revision = "1";
+ editedCabalFile = "0p55nnhhgbf77pw5r5snmikl98zngxwfg1xwg6534lycbznws87z";
libraryHaskellDepends = [
atomic-primops base bytestring containers http-client
http-client-tls http-types network-uri text transformers wai warp
@@ -242674,6 +242963,7 @@ self: {
];
description = "Export metrics from /proc for the current process";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"prometheus-wai-middleware" = callPackage
@@ -242736,6 +243026,8 @@ self: {
];
description = "Monad (and transformer) for deferred-effect pure prompt-response queries";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"pronounce" = callPackage
@@ -242758,18 +243050,19 @@ self: {
"proof-assistant-bot" = callPackage
({ mkDerivation, Agda, async, base, bytestring, dhall, directory
- , filepath, mtl, process, rzk, stm, telegram-bot-simple, text, unix
- , unordered-containers
+ , filepath, mtl, process, rzk, stm, telegram-bot-api
+ , telegram-bot-simple, text, unix, unordered-containers
}:
mkDerivation {
pname = "proof-assistant-bot";
- version = "0.2.1";
- sha256 = "04vkg3yx0lviv2r8d46zh58af63pk4baaywzqf0jrqsppmqgzbg7";
+ version = "0.2.2";
+ sha256 = "1l5s7vwscv5vlfbc38v5lgxjyl2b2iqbsz3lxfmh9n3iadb9jykn";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
Agda async base bytestring dhall directory filepath mtl process rzk
- stm telegram-bot-simple text unix unordered-containers
+ stm telegram-bot-api telegram-bot-simple text unix
+ unordered-containers
];
executableHaskellDepends = [ base ];
description = "Telegram bot for proof assistants";
@@ -242856,6 +243149,7 @@ self: {
executableHaskellDepends = [ base ];
description = "property-based host configuration management in haskell";
license = lib.licenses.bsd2;
+ hydraPlatforms = lib.platforms.none;
}) {};
"properties" = callPackage
@@ -243101,8 +243395,8 @@ self: {
}:
mkDerivation {
pname = "proto-lens";
- version = "0.7.1.3";
- sha256 = "1b73pa5vs5bhzaf2njhv8idw8i76fm7mq2qjrdv5y7d3f5v33i5a";
+ version = "0.7.1.4";
+ sha256 = "0nb1klrhms82mkjzr2zxdcj5ny6skjgqi6md05bmm99s0hg0ql15";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
base bytestring containers deepseq ghc-prim lens-family parsec
@@ -243121,8 +243415,8 @@ self: {
}:
mkDerivation {
pname = "proto-lens-arbitrary";
- version = "0.1.2.11";
- sha256 = "1gapsvn72hn8dbfdnrj9xdy7n1ip55sxx1679lpzgyjgq80sgslv";
+ version = "0.1.2.12";
+ sha256 = "1hx3yifczzvl5h14c8yjqdq3q8g37hawqkbc6c25pcs8i40sagv7";
libraryHaskellDepends = [
base bytestring containers lens-family proto-lens QuickCheck text
];
@@ -243152,6 +243446,7 @@ self: {
description = "Utilities functions to proto-lens";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"proto-lens-descriptors" = callPackage
@@ -243191,8 +243486,8 @@ self: {
({ mkDerivation, base, optparse-applicative, proto-lens, text }:
mkDerivation {
pname = "proto-lens-optparse";
- version = "0.1.1.10";
- sha256 = "0w79ybb5vk7l7c2z5n5b9m6sp56xgabikwpwn64l4x35ird167zh";
+ version = "0.1.1.11";
+ sha256 = "19iszwk1i8h9n7ryxmvf1rc102n6yn9d22rdnslw9s2vycb1645j";
libraryHaskellDepends = [
base optparse-applicative proto-lens text
];
@@ -243207,8 +243502,8 @@ self: {
}:
mkDerivation {
pname = "proto-lens-protobuf-types";
- version = "0.7.1.2";
- sha256 = "1fd141fb69wgc2alx4yyhyxdp9s86i3rxl9aah816b31bskbcjaa";
+ version = "0.7.2.0";
+ sha256 = "0500rwh5rmxyd49ah6nca5d7m9vbib9vmggyi1ybd0n36fcm7wzy";
setupHaskellDepends = [ base Cabal proto-lens-setup ];
libraryHaskellDepends = [
base lens-family proto-lens proto-lens-runtime text
@@ -243216,7 +243511,6 @@ self: {
libraryToolDepends = [ proto-lens-protoc protobuf ];
description = "Basic protocol buffer message types";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {inherit (pkgs) protobuf;};
"proto-lens-protoc" = callPackage
@@ -243226,8 +243520,8 @@ self: {
}:
mkDerivation {
pname = "proto-lens-protoc";
- version = "0.7.1.1";
- sha256 = "1wl081xckv0pg2h25gb4fyafw03fq5hrm6shc658k8z8pi3jnh8c";
+ version = "0.8.0.0";
+ sha256 = "09cx1q6p9phg2gk2hh4wb54cl1h1vn7z34h1n62bpixj87954flj";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [ base filepath ];
@@ -243238,7 +243532,6 @@ self: {
];
description = "Protocol buffer compiler for the proto-lens library";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
mainProgram = "proto-lens-protoc";
}) {inherit (pkgs) protobuf;};
@@ -243248,8 +243541,8 @@ self: {
}:
mkDerivation {
pname = "proto-lens-runtime";
- version = "0.7.0.4";
- sha256 = "0ivzb19fxydn3i5inpjvnvj4ghfwiakhp0wqasp6pmbzv40wsjap";
+ version = "0.7.0.5";
+ sha256 = "17c0ip6s3sca23mwmzl2ky8b220iiaasqmbv19ixfq82hl9sjvl1";
libraryHaskellDepends = [
base bytestring containers deepseq filepath lens-family proto-lens
text vector
@@ -243264,15 +243557,14 @@ self: {
}:
mkDerivation {
pname = "proto-lens-setup";
- version = "0.4.0.6";
- sha256 = "1jz1d83cbvr7k6igjmjg6z6yl49aci05v8g944whl1j3vkgh4sya";
+ version = "0.4.0.7";
+ sha256 = "0d3j1pxyj0sy65y3ydxc0s8dz5kl9qw2n83pkmy8zzxa171h8lgm";
libraryHaskellDepends = [
base bytestring Cabal containers deepseq directory filepath process
proto-lens-protoc temporary text
];
description = "Cabal support for codegen with proto-lens";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"proto3-suite" = callPackage
@@ -243572,6 +243864,24 @@ self: {
license = lib.licenses.mit;
}) {};
+ "protolude_0_3_4" = callPackage
+ ({ mkDerivation, array, async, base, bytestring, containers
+ , deepseq, ghc-prim, hashable, mtl, mtl-compat, stm, text
+ , transformers, transformers-compat
+ }:
+ mkDerivation {
+ pname = "protolude";
+ version = "0.3.4";
+ sha256 = "0lkh2m58fphnqdfk33fd4f24c6s3bnjq1qvhbmfy83a72a19jf7q";
+ libraryHaskellDepends = [
+ array async base bytestring containers deepseq ghc-prim hashable
+ mtl mtl-compat stm text transformers transformers-compat
+ ];
+ description = "A small prelude";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"protolude-lifted" = callPackage
({ mkDerivation, async, base, lifted-async, lifted-base, protolude
}:
@@ -244057,8 +244367,8 @@ self: {
}:
mkDerivation {
pname = "ptr";
- version = "0.16.8.5";
- sha256 = "1rpbvg74cvqdpbvnwn28jy0sb7l5hzf4bs371zyr0dwh0ls3xrg6";
+ version = "0.16.8.6";
+ sha256 = "19fahf7y1vavvnqr17zjy8clxq2ha9d08fkaydnk5khpc65j260s";
libraryHaskellDepends = [
base bytestring contravariant profunctors strict-list text time
vector
@@ -244154,6 +244464,7 @@ self: {
];
description = "Is a given string a domain suffix?";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"publicsuffixlistcreate" = callPackage
@@ -244452,6 +244763,8 @@ self: {
];
description = "Encode unicode strings to ascii forms according to RFC 3492";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"puppetresources" = callPackage
@@ -244710,8 +245023,8 @@ self: {
}:
mkDerivation {
pname = "purescript";
- version = "0.15.13";
- sha256 = "1br28bq8vagkpw7z49b36nzp5i82ibhjci3q1sakxxjaqp98wgnb";
+ version = "0.15.15";
+ sha256 = "0rqf4bfn11hf2b7k90h82mffqh6rmfz101i69v1ss2gz8zm26jlw";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -245189,6 +245502,8 @@ self: {
];
description = "A Haskell Pushover API library";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"putlenses" = callPackage
@@ -245278,6 +245593,8 @@ self: {
];
description = "Mutable variable with primitive values";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"pvd" = callPackage
@@ -245458,16 +245775,18 @@ self: {
"python-pickle" = callPackage
({ mkDerivation, attoparsec, base, bytestring, cereal, cmdargs
, containers, directory, HUnit, mtl, process, test-framework
- , test-framework-hunit
+ , test-framework-hunit, text
}:
mkDerivation {
pname = "python-pickle";
- version = "0.2.3";
- sha256 = "11b86rysl86fa29zfzvz9ndgjx4zjwx4j07ad6cywhzmj847zpvp";
+ version = "0.3.0";
+ sha256 = "0p33yrx57vf1rf277s106chwskrzvlwzk6sxkjcyjn6mpmkd4d4c";
+ revision = "1";
+ editedCabalFile = "03hny14snqxaalsl2i3x0amcwsz0qwvas8myab6aiv56907x0zxv";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
- attoparsec base bytestring cereal containers mtl
+ attoparsec base bytestring cereal containers mtl text
];
executableHaskellDepends = [ base bytestring cmdargs ];
testHaskellDepends = [
@@ -245519,6 +245838,8 @@ self: {
];
description = "Types and prettyprinter for the IL of the QBE compiler backend";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"qc-oi-testgenerator" = callPackage
@@ -246094,16 +246415,16 @@ self: {
}) {};
"quantification" = callPackage
- ({ mkDerivation, aeson, base, binary, containers, hashable
- , path-pieces, text, unordered-containers, vector
+ ({ mkDerivation, base, binary, containers, hashable, path-pieces
+ , text, unordered-containers
}:
mkDerivation {
pname = "quantification";
- version = "0.7.0.1";
- sha256 = "0cd4qlj069ji5v9b2c594allmmy1qbin7dwlxq1ncz1g8lwd06bc";
+ version = "0.8";
+ sha256 = "1dw47hy0pvar4mkdp6xjz8ywpic2zs3q0xah9zlbnfpibhjjc1a9";
libraryHaskellDepends = [
- aeson base binary containers hashable path-pieces text
- unordered-containers vector
+ base binary containers hashable path-pieces text
+ unordered-containers
];
description = "Rage against the quantification";
license = lib.licenses.bsd3;
@@ -246111,6 +246432,22 @@ self: {
broken = true;
}) {};
+ "quantification-aeson" = callPackage
+ ({ mkDerivation, aeson, base, containers, quantification
+ , unordered-containers, vector
+ }:
+ mkDerivation {
+ pname = "quantification-aeson";
+ version = "0.8";
+ sha256 = "014fgpj4ikcq2xz23k5l0y1zfzki0fqfj591czx0wm2sk75aml5z";
+ libraryHaskellDepends = [
+ aeson base containers quantification unordered-containers vector
+ ];
+ description = "Rage against the quantification - integration with Aeson";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"quantities" = callPackage
({ mkDerivation, base, containers, doctest, Glob, hlint, hspec, mtl
, parsec, process, regex-compat
@@ -246419,7 +246756,9 @@ self: {
testHaskellDepends = [ base bytestring tasty tasty-hunit ];
description = "queue sheet utility";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "queue-sheet";
+ broken = true;
}) {};
"queuelike" = callPackage
@@ -246466,8 +246805,8 @@ self: {
}:
mkDerivation {
pname = "quic";
- version = "0.1.13";
- sha256 = "04ncav3c5jjakasvlr8r45zgwfbb9dmikx4945afxsm0iaqryxqd";
+ version = "0.1.15";
+ sha256 = "0axbpn12xgza3rhgr72kzajvw6rjykxvl6ikl7v6qc5vfhq9lw5c";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -246701,8 +247040,8 @@ self: {
}:
mkDerivation {
pname = "quickcheck-groups";
- version = "0.0.0.0";
- sha256 = "0ranwc1p7ps4f1ivbaxz18h98f3jh29hfw94zi11a27zqdyfscbg";
+ version = "0.0.1.1";
+ sha256 = "0da5x8hdfm5v1ab8zx11nvxc54jcmrv8gpf02vd0w2c95lgazvqi";
libraryHaskellDepends = [
base groups pretty-show QuickCheck quickcheck-classes
quickcheck-instances semigroupoids
@@ -246716,29 +247055,6 @@ self: {
license = lib.licenses.asl20;
}) {};
- "quickcheck-groups_0_0_1_0" = callPackage
- ({ mkDerivation, base, groups, hspec, hspec-discover, pretty-show
- , QuickCheck, quickcheck-classes, quickcheck-instances
- , semigroupoids
- }:
- mkDerivation {
- pname = "quickcheck-groups";
- version = "0.0.1.0";
- sha256 = "0rjnz1n2qy7aqsc30jkvk5w36zgalnwsnwhr254b96x8s0ckb8sw";
- libraryHaskellDepends = [
- base groups pretty-show QuickCheck quickcheck-classes
- quickcheck-instances semigroupoids
- ];
- testHaskellDepends = [
- base groups hspec QuickCheck quickcheck-classes
- ];
- testToolDepends = [ hspec-discover ];
- doHaddock = false;
- description = "Testing group class instances with QuickCheck";
- license = lib.licenses.asl20;
- hydraPlatforms = lib.platforms.none;
- }) {};
-
"quickcheck-higherorder" = callPackage
({ mkDerivation, base, QuickCheck, tasty, tasty-hunit
, tasty-quickcheck, test-fun
@@ -246821,8 +247137,8 @@ self: {
}:
mkDerivation {
pname = "quickcheck-monoid-subclasses";
- version = "0.1.0.0";
- sha256 = "19q4h9s1m72vd0yrk7a9ikjik17hcrcnpgy461zw2zkijg68a0sm";
+ version = "0.3.0.1";
+ sha256 = "0fi2ixgd4cpc5q6ybbmgbf14dszpr3454bbwpzr74rnalj5qj7ym";
libraryHaskellDepends = [
base containers monoid-subclasses pretty-show QuickCheck
quickcheck-classes quickcheck-instances semigroupoids
@@ -246838,32 +247154,6 @@ self: {
license = lib.licenses.asl20;
}) {};
- "quickcheck-monoid-subclasses_0_3_0_0" = callPackage
- ({ mkDerivation, base, bytestring, commutative-semigroups
- , containers, hspec, hspec-discover, monoid-subclasses, pretty-show
- , QuickCheck, quickcheck-classes, quickcheck-instances
- , semigroupoids, text, vector
- }:
- mkDerivation {
- pname = "quickcheck-monoid-subclasses";
- version = "0.3.0.0";
- sha256 = "1x6csqnss4481ydknjljfwyvnhha6ilspvzazj98hdds552hpkl9";
- libraryHaskellDepends = [
- base containers monoid-subclasses pretty-show QuickCheck
- quickcheck-classes quickcheck-instances semigroupoids
- ];
- testHaskellDepends = [
- base bytestring commutative-semigroups containers hspec
- monoid-subclasses QuickCheck quickcheck-classes
- quickcheck-instances text vector
- ];
- testToolDepends = [ hspec-discover ];
- doHaddock = false;
- description = "Testing monoid subclass instances with QuickCheck";
- license = lib.licenses.asl20;
- hydraPlatforms = lib.platforms.none;
- }) {};
-
"quickcheck-poly" = callPackage
({ mkDerivation, base, haskell98, hint, MonadCatchIO-mtl
, QuickCheck, regex-compat, regex-tdfa
@@ -246925,8 +247215,8 @@ self: {
}:
mkDerivation {
pname = "quickcheck-quid";
- version = "0.0.1";
- sha256 = "02d9lak5pdss17x0nvxdj7r81vllgd599brkh87h2zmjp6fajprs";
+ version = "0.0.1.1";
+ sha256 = "1nqc5ggm50pw7vzjxdc8kv3iz40lpkisrs35jmzx892b4iy361dz";
libraryHaskellDepends = [
base containers deepseq extra hashable QuickCheck text
];
@@ -247042,44 +247332,6 @@ self: {
}) {};
"quickcheck-state-machine" = callPackage
- ({ mkDerivation, aeson, ansi-wl-pprint, array, base, bifunctors
- , bytestring, containers, directory, doctest, exceptions, filelock
- , filepath, generic-data, graphviz, hashable, hashtables, hs-rqlite
- , http-client, markov-chain-usage-model, matrix, monad-logger, mtl
- , network, persistent, persistent-postgresql, persistent-sqlite
- , persistent-template, postgresql-simple, pretty-show, process
- , QuickCheck, quickcheck-instances, random, resource-pool
- , resourcet, servant, servant-client, servant-server, sop-core
- , split, stm, strict, string-conversions, tasty, tasty-hunit
- , tasty-quickcheck, text, time, tree-diff, unliftio, unliftio-core
- , vector, wai, warp
- }:
- mkDerivation {
- pname = "quickcheck-state-machine";
- version = "0.7.3";
- sha256 = "1x3bdi4xyvxl8mfxzkqa7v4aqmr1mjk5hg2wh9c5jdaysqj9400h";
- libraryHaskellDepends = [
- ansi-wl-pprint base containers directory exceptions filepath
- generic-data graphviz markov-chain-usage-model matrix mtl
- pretty-show process QuickCheck random sop-core split text time
- tree-diff unliftio
- ];
- testHaskellDepends = [
- aeson array base bifunctors bytestring containers directory doctest
- filelock filepath hashable hashtables hs-rqlite http-client
- monad-logger mtl network persistent persistent-postgresql
- persistent-sqlite persistent-template postgresql-simple pretty-show
- process QuickCheck quickcheck-instances random resource-pool
- resourcet servant servant-client servant-server split stm strict
- string-conversions tasty tasty-hunit tasty-quickcheck text
- tree-diff unliftio unliftio-core vector wai warp
- ];
- description = "Test monadic programs using state machine based models";
- license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- }) {};
-
- "quickcheck-state-machine_0_8_0" = callPackage
({ mkDerivation, aeson, ansi-wl-pprint, array, base, base-compat
, bifunctors, bytestring, containers, directory, doctest
, exceptions, filelock, filepath, generic-data, generics-sop
@@ -247115,6 +247367,46 @@ self: {
description = "Test monadic programs using state machine based models";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {};
+
+ "quickcheck-state-machine_0_9_0" = callPackage
+ ({ mkDerivation, ansi-wl-pprint, array, base, base-compat
+ , bifunctors, bytestring, containers, directory, doctest
+ , exceptions, filelock, filepath, generics-sop, graphviz, hashable
+ , hashtables, http-client, MemoTrie, monad-logger, mtl, network
+ , persistent, persistent-postgresql, persistent-sqlite
+ , postgresql-simple, pretty, pretty-show, process, QuickCheck
+ , quickcheck-instances, random, resource-pool, resourcet
+ , servant-client, servant-server, sop-core, split, stm, strict
+ , string-conversions, tasty, tasty-hunit, tasty-quickcheck, text
+ , time, unliftio, unliftio-core, vector, warp
+ }:
+ mkDerivation {
+ pname = "quickcheck-state-machine";
+ version = "0.9.0";
+ sha256 = "1b0zsnrr57wymc203rnmidyy5czvcfip5x9jn8vk3m581yl2ljfs";
+ libraryHaskellDepends = [
+ ansi-wl-pprint base base-compat bytestring containers directory
+ exceptions filepath generics-sop graphviz MemoTrie mtl pretty
+ pretty-show QuickCheck random sop-core split text time unliftio
+ vector
+ ];
+ testHaskellDepends = [
+ array base bifunctors bytestring containers directory doctest
+ filelock filepath hashable hashtables http-client monad-logger mtl
+ network persistent persistent-postgresql persistent-sqlite
+ postgresql-simple pretty-show process QuickCheck
+ quickcheck-instances random resource-pool resourcet servant-client
+ servant-server split stm strict string-conversions tasty
+ tasty-hunit tasty-quickcheck text unliftio unliftio-core vector
+ warp
+ ];
+ doHaddock = false;
+ description = "Test monadic programs using state machine based models";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"quickcheck-state-machine-distributed" = callPackage
@@ -247931,8 +248223,8 @@ self: {
}:
mkDerivation {
pname = "raaz";
- version = "0.3.8";
- sha256 = "1l4lxha5c73855h19wpjk5l3vkkqz63rr4iicwilil92r4wrav51";
+ version = "0.3.9";
+ sha256 = "11xacqd1xcbpb34h04ch7zz1bsal90zk4h6fvgqb7a8fj094ci2v";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -248539,6 +248831,29 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "random_1_2_1_2" = callPackage
+ ({ mkDerivation, base, bytestring, containers, deepseq, doctest
+ , mtl, primitive, rdtsc, smallcheck, split, splitmix, stm, tasty
+ , tasty-bench, tasty-hunit, tasty-inspection-testing
+ , tasty-smallcheck, time, transformers
+ }:
+ mkDerivation {
+ pname = "random";
+ version = "1.2.1.2";
+ sha256 = "1d54v51dzdc4izv3aycjbvaj7lcz74avzixayqzlaz1jsb14s3vr";
+ libraryHaskellDepends = [ base bytestring deepseq mtl splitmix ];
+ testHaskellDepends = [
+ base bytestring containers doctest smallcheck stm tasty tasty-hunit
+ tasty-inspection-testing tasty-smallcheck transformers
+ ];
+ benchmarkHaskellDepends = [
+ base mtl primitive rdtsc split splitmix tasty-bench time
+ ];
+ description = "Pseudo-random number generation";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"random-access-file" = callPackage
({ mkDerivation, base, bytestring, concurrent-extra, containers
, criterion, directory, lrucaching, mwc-random, random, stm, unix
@@ -248709,6 +249024,8 @@ self: {
testHaskellDepends = [ base ];
description = "Multivariate distributions for random-fu";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"random-hypergeometric" = callPackage
@@ -249075,8 +249392,8 @@ self: {
({ mkDerivation, async, base, containers, foreign-store, stm }:
mkDerivation {
pname = "rapid";
- version = "0.1.5";
- sha256 = "13nd69rjw0xdxvcqwgs9vzq93l0yiha9zqaw5is6mw20vks6akw5";
+ version = "0.1.5.3";
+ sha256 = "14lgl1jfykb8i98pnv0p21cqpkzbnh60ylfb8npdwj703dmqbbxh";
libraryHaskellDepends = [
async base containers foreign-store stm
];
@@ -249377,8 +249694,8 @@ self: {
}:
mkDerivation {
pname = "ratel";
- version = "2.0.0.10";
- sha256 = "1vvz9ia5p7phkwryjfwrzfzvrb94r127vy56qkgikwc9jxxdlxlj";
+ version = "2.0.0.11";
+ sha256 = "1v396lxy4xm5ydsxd7c0hpzglysarzp4s872pdiygbfyz0hayhbg";
libraryHaskellDepends = [
aeson base bytestring case-insensitive containers http-client
http-client-tls http-types uuid
@@ -249397,8 +249714,8 @@ self: {
}:
mkDerivation {
pname = "ratel-wai";
- version = "2.0.0.5";
- sha256 = "1y2rcp1birh1akw98zw10qwjwi5wf7qknk7j5xwwjws7hpmmgy2y";
+ version = "2.0.0.6";
+ sha256 = "01i4k821s10216flhcbcvv7jb1rzn6cqacj10j578l4761h0acz6";
libraryHaskellDepends = [
base bytestring case-insensitive containers http-client ratel wai
];
@@ -249535,31 +249852,8 @@ self: {
}:
mkDerivation {
pname = "rattletrap";
- version = "12.0.3";
- sha256 = "11hfw1w59cidv253r0vby8qm7wmqcyram3rp03348zfyaajgcdnl";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- aeson aeson-pretty array base bytestring containers filepath
- http-client http-client-tls text
- ];
- executableHaskellDepends = [ base ];
- testHaskellDepends = [ base bytestring filepath ];
- description = "Parse and generate Rocket League replays";
- license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
- mainProgram = "rattletrap";
- broken = true;
- }) {};
-
- "rattletrap_12_1_2" = callPackage
- ({ mkDerivation, aeson, aeson-pretty, array, base, bytestring
- , containers, filepath, http-client, http-client-tls, text
- }:
- mkDerivation {
- pname = "rattletrap";
- version = "12.1.2";
- sha256 = "0lysr7birh4z8zimn5whqpaxipfsnn6sqppcn5l72sqjq6rdhk3c";
+ version = "12.1.3";
+ sha256 = "13v3jm84fycra1f3wncig69zs6bmqir077c2hd4j1dmzvfg9j1yn";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -249648,20 +249942,6 @@ self: {
}) {};
"rawfilepath" = callPackage
- ({ mkDerivation, base, bytestring, unix }:
- mkDerivation {
- pname = "rawfilepath";
- version = "1.0.1";
- sha256 = "016bdivq8b3visv56jriw39ld5yg6c75x1qy69sm7am27i9728g3";
- revision = "1";
- editedCabalFile = "00s2ri39y7amravnlbkbrx41chwbiryw1k8s0svim6ay43r4b0yp";
- libraryHaskellDepends = [ base bytestring unix ];
- testHaskellDepends = [ base bytestring ];
- description = "Use RawFilePath instead of FilePath";
- license = lib.licenses.asl20;
- }) {};
-
- "rawfilepath_1_1_0" = callPackage
({ mkDerivation, base, bytestring, unix }:
mkDerivation {
pname = "rawfilepath";
@@ -249671,7 +249951,6 @@ self: {
testHaskellDepends = [ base bytestring ];
description = "Use RawFilePath instead of FilePath";
license = lib.licenses.asl20;
- hydraPlatforms = lib.platforms.none;
}) {};
"rawr" = callPackage
@@ -249887,8 +250166,8 @@ self: {
}:
mkDerivation {
pname = "rdf";
- version = "0.1.0.7";
- sha256 = "1mzrmn4naqw0c9y9h2xqf9kqnzfqj870a1d92iw2cjhzpx0cky3g";
+ version = "0.1.0.8";
+ sha256 = "0cpffq2lklq54sk306wr0vn93b85s77adj8sh8i75rq8ykhbzvg9";
libraryHaskellDepends = [
attoparsec base bytestring deepseq dlist fgl text transformers
];
@@ -250160,8 +250439,8 @@ self: {
pname = "reactive-banana";
version = "1.3.2.0";
sha256 = "0mrsw3hkl0sgwcbay4m1lzs4bilss80g9p6njbbhapbfjyzsr36k";
- revision = "2";
- editedCabalFile = "0kynywzvkxwcmmfaxq1fdacsi53ml4gf17rb7ibwvc29wngbbbp3";
+ revision = "3";
+ editedCabalFile = "0gwky9jadzy025w1d4r3k1kf8g1i4y0v15j8di14402gzpin9n4j";
libraryHaskellDepends = [
base containers deepseq hashable pqueue semigroups stm these
transformers unordered-containers vault
@@ -250285,6 +250564,8 @@ self: {
pname = "reactive-banana-wx";
version = "1.1.1.0";
sha256 = "1yzymc6qpjj8d0fal09vxy2yicbrgrg42khylbbsrzmdgqfnf3kr";
+ revision = "1";
+ editedCabalFile = "02px6q0slpyr2rdk8qm1mw1gfbyfd2fr9s0xip4a0863j7hjmgff";
configureFlags = [ "-f-buildexamples" ];
isLibrary = true;
isExecutable = true;
@@ -250555,8 +250836,8 @@ self: {
pname = "readable";
version = "0.3.1";
sha256 = "1ja39cg26wy2fs00gi12x7iq5k8i366pbqi3p916skfa5jnkfc3h";
- revision = "1";
- editedCabalFile = "0dywlvxjszqa1dj5r1cva0viv2l1hm8mw75zddnf96pfpd00fmga";
+ revision = "2";
+ editedCabalFile = "1190pzpd10r8d59h7ks1yahnpj8h8hal2i7y6mx488bwc9iixdqk";
libraryHaskellDepends = [ base bytestring text ];
description = "Reading from Text and ByteString";
license = lib.licenses.bsd3;
@@ -250857,27 +251138,6 @@ self: {
}) {};
"rebase" = callPackage
- ({ mkDerivation, base, bifunctors, bytestring, comonad, containers
- , contravariant, deepseq, dlist, either, groups, hashable
- , invariant, mtl, profunctors, scientific, selective, semigroupoids
- , stm, text, time, time-compat, transformers, unordered-containers
- , uuid-types, vector, vector-instances, void
- }:
- mkDerivation {
- pname = "rebase";
- version = "1.19";
- sha256 = "02yvxdvjwb3dlwwb85i0sbadfjqxyv86pxkzylxidpw5qxb2g0ji";
- libraryHaskellDepends = [
- base bifunctors bytestring comonad containers contravariant deepseq
- dlist either groups hashable invariant mtl profunctors scientific
- selective semigroupoids stm text time time-compat transformers
- unordered-containers uuid-types vector vector-instances void
- ];
- description = "A more progressive alternative to the \"base\" package";
- license = lib.licenses.mit;
- }) {};
-
- "rebase_1_20_2" = callPackage
({ mkDerivation, base, bifunctors, bytestring, comonad, containers
, contravariant, deepseq, dlist, either, groups, hashable
, invariant, mtl, profunctors, scientific, selective, semigroupoids
@@ -250896,7 +251156,6 @@ self: {
];
description = "A more progressive alternative to the \"base\" package";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"rebindable" = callPackage
@@ -251010,8 +251269,8 @@ self: {
}:
mkDerivation {
pname = "record-dot-preprocessor";
- version = "0.2.16";
- sha256 = "100s5gkpgpcbi5397bbcp10jw1n5i9aniqc8bzk632ihlrip21ic";
+ version = "0.2.17";
+ sha256 = "0kfz3l9769b5wi3m4ca08inggkdfj2wypksp6a5m26lm4aay4566";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [ base extra ghc uniplate ];
@@ -251068,8 +251327,8 @@ self: {
({ mkDerivation, base }:
mkDerivation {
pname = "record-hasfield";
- version = "1.0";
- sha256 = "1jlyhj4nlj4hrypdcv7393nccjmfjnh1311incgqhm5wzigjygaj";
+ version = "1.0.1";
+ sha256 = "17pd8s2r9wnrwmi37b0z9a7006zsx5m6ki24b1pcf6jnqc0bqghs";
libraryHaskellDepends = [ base ];
testHaskellDepends = [ base ];
description = "A version of GHC.Records as available in future GHCs.";
@@ -251310,7 +251569,9 @@ self: {
];
description = "Count lines in files and display them hierarchically";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "recursive-line-count";
+ broken = true;
}) {};
"recursive-zipper" = callPackage
@@ -251416,8 +251677,8 @@ self: {
pname = "redact";
version = "0.5.0.0";
sha256 = "0f9nfkli9spbcidfwq81z4ryjnlyqf4snj1dmhsngpcp0x2am798";
- revision = "2";
- editedCabalFile = "16lxlg7wpf7hbvylsfkkxqamhm6k7jf4cfiz7iv78x7s4a6akr1a";
+ revision = "3";
+ editedCabalFile = "05rf8wvc27fw9j8j75yx55v17vnwyr1gmqw5rqd1ph1zrqwykj2l";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [ ansi-terminal base text ];
@@ -251508,8 +251769,8 @@ self: {
}:
mkDerivation {
pname = "redis-glob";
- version = "0.1.0.6";
- sha256 = "1kz4klvp84my3z6zpsrvn8kwna1dhix51v40zjf1cxvg81mvya5k";
+ version = "0.1.0.7";
+ sha256 = "08sqgp6jnxwjybi0hza0inhm9nsc1d8fpg9wh86r2hvssmxpn1nb";
libraryHaskellDepends = [ ascii-char base bytestring megaparsec ];
testHaskellDepends = [
ascii-char ascii-superset base bytestring hspec QuickCheck
@@ -251934,6 +252195,24 @@ self: {
maintainers = [ lib.maintainers.raehik ];
}) {};
+ "refined-containers" = callPackage
+ ({ mkDerivation, adjunctions, base, constraints, containers
+ , deepseq, distributive, hashable, indexed-traversable, mtl
+ , refined, reflection, unordered-containers
+ }:
+ mkDerivation {
+ pname = "refined-containers";
+ version = "0.1.0.1";
+ sha256 = "0jyk71ccwy489cyikg4a9pvdj8hijyqpkw1sj5qhj0anrsc6bq8x";
+ libraryHaskellDepends = [
+ adjunctions base constraints containers deepseq distributive
+ hashable indexed-traversable mtl refined reflection
+ unordered-containers
+ ];
+ description = "Type-checked proof that a key exists in a container and can be safely indexed";
+ license = lib.licenses.mit;
+ }) {};
+
"refined-http-api-data" = callPackage
({ mkDerivation, base, http-api-data, refined, text }:
mkDerivation {
@@ -251993,6 +252272,8 @@ self: {
];
description = "Toolkit for building proof automation systems";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"reflection" = callPackage
@@ -252043,19 +252324,19 @@ self: {
"reflex" = callPackage
({ mkDerivation, base, bifunctors, commutative-semigroups, comonad
, constraints, constraints-extras, containers, criterion
- , data-default, deepseq, dependent-map, dependent-sum, directory
- , exception-transformers, exceptions, filemanip, filepath
- , haskell-src-exts, haskell-src-meta, hlint, hspec, lens, loch-th
- , MemoTrie, mmorph, monad-control, monoidal-containers, mtl, patch
- , prim-uniq, primitive, process, proctest, profunctors, random
- , ref-tf, reflection, semialign, semigroupoids, split, stm, syb
+ , data-default, deepseq, dependent-map, dependent-sum
+ , exception-transformers, exceptions, haskell-src-exts
+ , haskell-src-meta, hspec, lens, loch-th, MemoTrie, mmorph
+ , monad-control, monoidal-containers, mtl, patch, prim-uniq
+ , primitive, process, proctest, profunctors, random, ref-tf
+ , reflection, semialign, semigroupoids, split, stm, syb
, template-haskell, text, these, these-lens, time, transformers
, unbounded-delays, witherable
}:
mkDerivation {
pname = "reflex";
- version = "0.9.2.0";
- sha256 = "009i2f4j4jhzk58z57rbbrpq9s4x4zsb4zd6y3yy7rhr97374ps3";
+ version = "0.9.3.0";
+ sha256 = "1hhh3ca0pcrnrzbn83vb7wzp6iq45vabzgchwh6b6b0mr3ckqrlk";
libraryHaskellDepends = [
base bifunctors commutative-semigroups comonad constraints
constraints-extras containers data-default dependent-map
@@ -252069,9 +252350,8 @@ self: {
testHaskellDepends = [
base bifunctors commutative-semigroups constraints
constraints-extras containers deepseq dependent-map dependent-sum
- directory filemanip filepath hlint hspec lens monoidal-containers
- mtl patch proctest ref-tf semialign split text these these-lens
- transformers witherable
+ hspec lens monoidal-containers mtl patch proctest ref-tf semialign
+ split text these these-lens transformers witherable
];
benchmarkHaskellDepends = [
base containers criterion deepseq dependent-map dependent-sum
@@ -252166,27 +252446,6 @@ self: {
}) {};
"reflex-dom" = callPackage
- ({ mkDerivation, base, bytestring, jsaddle-webkit2gtk, reflex
- , reflex-dom-core, text
- }:
- mkDerivation {
- pname = "reflex-dom";
- version = "0.6.1.1";
- sha256 = "0ykkzmzxiznd09sgmjwzmbrpnm8gr2bd57hzdl8avrr728bwj8ga";
- revision = "2";
- editedCabalFile = "0jcsl5miv1wz385kln4ap079sp54dcnc37f3kb93m5a52dwbjx4p";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- base bytestring jsaddle-webkit2gtk reflex reflex-dom-core text
- ];
- description = "Functional Reactive Web Apps with Reflex";
- license = lib.licenses.bsd3;
- badPlatforms = lib.platforms.darwin;
- maintainers = [ lib.maintainers.maralorn ];
- }) {};
-
- "reflex-dom_0_6_3_1" = callPackage
({ mkDerivation, base, bytestring, jsaddle-webkit2gtk, reflex
, reflex-dom-core, text
}:
@@ -252204,7 +252463,6 @@ self: {
description = "Functional Reactive Web Apps with Reflex";
license = lib.licenses.bsd3;
badPlatforms = lib.platforms.darwin;
- hydraPlatforms = lib.platforms.none;
maintainers = [ lib.maintainers.maralorn ];
}) {};
@@ -252543,7 +252801,6 @@ self: {
];
description = "A GHCi widget library for use in reflex applications";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
mainProgram = "reflex-ghci";
}) {};
@@ -252572,9 +252829,7 @@ self: {
];
description = "Helper functions to use reflex with gi-gtk";
license = lib.licenses.mpl20;
- hydraPlatforms = lib.platforms.none;
mainProgram = "reflex-gi-gtk-example";
- broken = true;
}) {};
"reflex-gloss" = callPackage
@@ -252755,12 +253010,12 @@ self: {
}:
mkDerivation {
pname = "reflex-process";
- version = "0.3.2.1";
- sha256 = "039zzj9f4fli8kbrdgjd27fjkj6f0h74b4r6pfbzz3y9njgw4vz1";
+ version = "0.3.3.1";
+ sha256 = "1f7lfhhf3c64p3mnixibp5yfwd35k9igdlfayv7qjr13pa5a94x4";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
- async base bytestring data-default process reflex unix
+ async base bytestring containers data-default process reflex unix
];
executableHaskellDepends = [
base containers data-default process reflex reflex-vty text vty
@@ -252771,7 +253026,6 @@ self: {
];
description = "Reflex FRP interface for running system processes";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
mainProgram = "readme";
}) {};
@@ -252840,18 +253094,19 @@ self: {
({ mkDerivation, base, bimap, containers, data-default
, dependent-map, dependent-sum, exception-transformers, exceptions
, extra, hspec, mmorph, mtl, ordered-containers, primitive, ref-tf
- , reflex, stm, text, time, transformers, vty
+ , reflex, stm, text, time, transformers, vty, vty-crossplatform
}:
mkDerivation {
pname = "reflex-vty";
- version = "0.5.1.0";
- sha256 = "0icq92xgk720k4q3qm6ib1p8xj1kqcxd64j3zsva23np9pql4sh1";
+ version = "0.5.2.0";
+ sha256 = "0aszgik0iir6f0n9zzak7w8s7cpc6sh77f5nbkgdyqswscnsfacq";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
base bimap containers data-default dependent-map dependent-sum
exception-transformers exceptions mmorph mtl ordered-containers
primitive ref-tf reflex stm text time transformers vty
+ vty-crossplatform
];
executableHaskellDepends = [
base containers reflex text time transformers vty
@@ -252859,9 +253114,7 @@ self: {
testHaskellDepends = [ base containers extra hspec reflex text ];
description = "Reflex FRP host and widgets for VTY applications";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
mainProgram = "example";
- broken = true;
}) {};
"reform" = callPackage
@@ -252873,6 +253126,8 @@ self: {
libraryHaskellDepends = [ base containers mtl semigroups text ];
description = "reform is a type-safe HTML form generation and validation library";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"reform-blaze" = callPackage
@@ -252886,6 +253141,7 @@ self: {
];
description = "Add support for using blaze-html with Reform";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"reform-hamlet" = callPackage
@@ -252916,6 +253172,7 @@ self: {
];
description = "Happstack support for reform";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"reform-hsp" = callPackage
@@ -253146,6 +253403,8 @@ self: {
libraryHaskellDepends = [ base regex-applicative text ];
description = "regex-applicative on text";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"regex-base" = callPackage
@@ -253753,6 +254012,8 @@ self: {
libraryHaskellDepends = [ base HUnit mtl mtlparse ];
description = "regular expression like Perl/Ruby in Haskell";
license = "LGPL";
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"regexpr-symbolic" = callPackage
@@ -254241,6 +254502,7 @@ self: {
];
description = "Hey! Hey! Can u rel8?";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
maintainers = [ lib.maintainers.sternenseemann ];
}) {};
@@ -254870,8 +255132,8 @@ self: {
({ mkDerivation, base, hspec, hspec-discover, optics, parsec }:
mkDerivation {
pname = "reorder-expression";
- version = "0.1.0.0";
- sha256 = "01d83j3mq2gz6maqbkzpjrz6ppyhsqrj4rj72xw49fkl2w34pa9f";
+ version = "0.1.0.1";
+ sha256 = "1jb7222r6mv9lvjfihbj96aj6vw3vqjsr283d4shv8qw3ghiqpl2";
libraryHaskellDepends = [ base ];
testHaskellDepends = [ base hspec optics parsec ];
testToolDepends = [ hspec-discover ];
@@ -254913,8 +255175,6 @@ self: {
];
description = "High performance, regular, shape polymorphic parallel arrays";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- broken = true;
}) {};
"repa-algorithms" = callPackage
@@ -254926,7 +255186,6 @@ self: {
libraryHaskellDepends = [ base repa vector ];
description = "Algorithms using the Repa array library";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"repa-array" = callPackage
@@ -254958,6 +255217,7 @@ self: {
description = "(deprecated)";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"repa-convert" = callPackage
@@ -254987,6 +255247,7 @@ self: {
description = "Support for image reading and writing of Repa arrays using in-place FFI calls";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {inherit (pkgs) libdevil;};
"repa-eval" = callPackage
@@ -255021,6 +255282,7 @@ self: {
description = "Examples using the Repa array library";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"repa-fftw" = callPackage
@@ -255041,7 +255303,6 @@ self: {
];
description = "Perform fft with repa via FFTW";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"repa-flow" = callPackage
@@ -255076,7 +255337,6 @@ self: {
];
description = "Read and write Repa arrays in various formats";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"repa-linear-algebra" = callPackage
@@ -255089,6 +255349,7 @@ self: {
description = "HMatrix operations for Repa";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"repa-plugin" = callPackage
@@ -255156,7 +255417,6 @@ self: {
];
description = "Reading and writing sound files with repa arrays";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"repa-stream" = callPackage
@@ -255469,40 +255729,6 @@ self: {
}) {};
"req" = callPackage
- ({ mkDerivation, aeson, authenticate-oauth, base, blaze-builder
- , bytestring, case-insensitive, connection, containers, exceptions
- , hspec, hspec-core, hspec-discover, http-api-data, http-client
- , http-client-tls, http-types, modern-uri, monad-control, mtl
- , QuickCheck, retry, template-haskell, text, time, transformers
- , transformers-base, unliftio-core
- }:
- mkDerivation {
- pname = "req";
- version = "3.13.0";
- sha256 = "1igs75bj57vs1fwpxj1765l6zkqd4r3p2gbwp6cv2l37drfxjck4";
- revision = "1";
- editedCabalFile = "0izg1pj2jbpdlg9i9g30i5h4rcshkawh5zdhlkq1n9xfgc6jqv2v";
- enableSeparateDataOutput = true;
- libraryHaskellDepends = [
- aeson authenticate-oauth base blaze-builder bytestring
- case-insensitive connection containers exceptions http-api-data
- http-client http-client-tls http-types modern-uri monad-control mtl
- retry template-haskell text time transformers transformers-base
- unliftio-core
- ];
- testHaskellDepends = [
- aeson base blaze-builder bytestring case-insensitive hspec
- hspec-core http-api-data http-client http-types modern-uri
- monad-control mtl QuickCheck retry template-haskell text time
- ];
- testToolDepends = [ hspec-discover ];
- doCheck = false;
- description = "HTTP client library";
- license = lib.licenses.bsd3;
- maintainers = [ lib.maintainers.maralorn ];
- }) {};
-
- "req_3_13_1" = callPackage
({ mkDerivation, aeson, authenticate-oauth, base, blaze-builder
, bytestring, case-insensitive, containers, crypton-connection
, exceptions, hspec, hspec-core, hspec-discover, http-api-data
@@ -255512,10 +255738,8 @@ self: {
}:
mkDerivation {
pname = "req";
- version = "3.13.1";
- sha256 = "0cprbfjvzh4fhn1vqyisqcqk236zdn765k6g7a8ssqgkiqaw8i8h";
- revision = "3";
- editedCabalFile = "0dib8rwavjrp2bsv3pl3bgblqdfvky53k7qnv7ca4sq8070aqffp";
+ version = "3.13.2";
+ sha256 = "1pmhfn4rq4n5r2jmml8bj287iaipfl576s0csl4yaf31j0ll1gjv";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
aeson authenticate-oauth base blaze-builder bytestring
@@ -255527,37 +255751,32 @@ self: {
testHaskellDepends = [
aeson base blaze-builder bytestring case-insensitive hspec
hspec-core http-api-data http-client http-types modern-uri
- monad-control mtl QuickCheck retry template-haskell text time
+ QuickCheck retry template-haskell text time
];
testToolDepends = [ hspec-discover ];
doCheck = false;
description = "HTTP client library";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
maintainers = [ lib.maintainers.maralorn ];
}) {};
"req-conduit" = callPackage
({ mkDerivation, base, bytestring, conduit, conduit-extra, hspec
- , hspec-discover, http-client, req, resourcet, temporary
- , transformers, weigh
+ , hspec-discover, http-client, req, temporary, weigh
}:
mkDerivation {
pname = "req-conduit";
- version = "1.0.1";
- sha256 = "0zyy9j6iiz8z2jdx25vp77arfbmrck7bjndm3p4s9l9399c5bm62";
- revision = "2";
- editedCabalFile = "1p2sww990zrjazhkdapg92cnlcsqlzc5lm6qkswlnzlkagmsjj2x";
+ version = "1.0.2";
+ sha256 = "1g6zvwqrnrz161ixjcgklwvzrvb33fhh0x3w8vx7lyb4rvhmx99z";
libraryHaskellDepends = [
- base bytestring conduit http-client req resourcet transformers
+ base bytestring conduit http-client req
];
testHaskellDepends = [
- base bytestring conduit conduit-extra hspec req resourcet temporary
- transformers
+ base bytestring conduit conduit-extra hspec req temporary
];
testToolDepends = [ hspec-discover ];
benchmarkHaskellDepends = [
- base bytestring conduit conduit-extra req resourcet temporary weigh
+ base bytestring conduit conduit-extra req temporary weigh
];
description = "Conduit helpers for the req HTTP client library";
license = lib.licenses.bsd3;
@@ -255705,6 +255924,8 @@ self: {
libraryHaskellDepends = [ base ];
description = "Abstraction to manage user defined Type Errors";
license = lib.licenses.gpl3Only;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"rere" = callPackage
@@ -255732,17 +255953,6 @@ self: {
}) {};
"rerebase" = callPackage
- ({ mkDerivation, rebase }:
- mkDerivation {
- pname = "rerebase";
- version = "1.19";
- sha256 = "0lb84apgwxswx4y1k3n9l335qzzby96mcpsjlzfw116b3ayd3123";
- libraryHaskellDepends = [ rebase ];
- description = "Reexports from \"base\" with a bunch of other standard libraries";
- license = lib.licenses.mit;
- }) {};
-
- "rerebase_1_20_2" = callPackage
({ mkDerivation, rebase }:
mkDerivation {
pname = "rerebase";
@@ -255751,7 +255961,6 @@ self: {
libraryHaskellDepends = [ rebase ];
description = "Reexports from \"base\" with a bunch of other standard libraries";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"reroute" = callPackage
@@ -255987,6 +256196,8 @@ self: {
libraryHaskellDepends = [ base effectful-core stm ];
description = "A region-based resource effect for the effectful ecosystem";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"resource-embed" = callPackage
@@ -256030,6 +256241,7 @@ self: {
];
description = "Fork of resource-pool, with a MonadCatchIO constraint";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"resource-pool-fork-avanov" = callPackage
@@ -256083,22 +256295,6 @@ self: {
}) {};
"resourcet" = callPackage
- ({ mkDerivation, base, containers, exceptions, hspec, mtl
- , primitive, transformers, unliftio-core
- }:
- mkDerivation {
- pname = "resourcet";
- version = "1.2.6";
- sha256 = "0d7xnpysrick56gxzkkj0mpblywbxaaldhziyl77am3822r3afzq";
- libraryHaskellDepends = [
- base containers exceptions mtl primitive transformers unliftio-core
- ];
- testHaskellDepends = [ base exceptions hspec transformers ];
- description = "Deterministic allocation and freeing of scarce resources";
- license = lib.licenses.bsd3;
- }) {};
-
- "resourcet_1_3_0" = callPackage
({ mkDerivation, base, containers, exceptions, hspec, mtl
, primitive, transformers, unliftio-core
}:
@@ -256112,7 +256308,6 @@ self: {
testHaskellDepends = [ base exceptions hspec transformers ];
description = "Deterministic allocation and freeing of scarce resources";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"resourcet-effectful" = callPackage
@@ -256127,13 +256322,15 @@ self: {
}) {};
"resourcet-extra" = callPackage
- ({ mkDerivation, base, containers, resourcet, safe-exceptions }:
+ ({ mkDerivation, async, base, containers, resourcet
+ , safe-exceptions, unliftio-core
+ }:
mkDerivation {
pname = "resourcet-extra";
- version = "0.0.1";
- sha256 = "09kgzdg3g1lsadgrqaj9dviwap8j3zv2rm1yby9ywxx6zdbglrrw";
+ version = "0.1.0";
+ sha256 = "1vmb0yh94vj507gw8narrwv9vh1imlq4s7373zh9zrpd4x4h9d15";
libraryHaskellDepends = [
- base containers resourcet safe-exceptions
+ async base containers resourcet safe-exceptions unliftio-core
];
description = "ResourceT extras";
license = lib.licenses.bsd3;
@@ -256154,6 +256351,23 @@ self: {
broken = true;
}) {};
+ "resp" = callPackage
+ ({ mkDerivation, base, bytestring, QuickCheck, scanner, tasty
+ , tasty-hunit, tasty-quickcheck, utf8-string
+ }:
+ mkDerivation {
+ pname = "resp";
+ version = "2.0.0";
+ sha256 = "029vark4k69lvcsn2p9m0yniack09csjxn2j7p0vwqkszbyz209z";
+ libraryHaskellDepends = [ base bytestring scanner ];
+ testHaskellDepends = [
+ base bytestring QuickCheck scanner tasty tasty-hunit
+ tasty-quickcheck utf8-string
+ ];
+ description = "A fast, non-backtracking parser for the redis RESP3 protocol";
+ license = lib.licenses.bsd3;
+ }) {};
+
"respond" = callPackage
({ mkDerivation, aeson, base, bifunctors, bytestring, containers
, data-default-class, exceptions, fast-logger, formatting, HList
@@ -256328,6 +256542,31 @@ self: {
maintainers = [ lib.maintainers.tbidne ];
}) {inherit (pkgs) graphviz; inherit (pkgs) z3;};
+ "rest-rewrite_0_4_3" = callPackage
+ ({ mkDerivation, base, containers, graphviz, hashable, monad-loops
+ , mtl, parsec, process, QuickCheck, text, time
+ , unordered-containers, z3
+ }:
+ mkDerivation {
+ pname = "rest-rewrite";
+ version = "0.4.3";
+ sha256 = "0rvqagskkibwadqdkfqqjp0vrdxfn1hnb6g27ps7h160knygaa1d";
+ libraryHaskellDepends = [
+ base containers hashable monad-loops mtl parsec process QuickCheck
+ text time unordered-containers
+ ];
+ testHaskellDepends = [
+ base containers hashable mtl QuickCheck text time
+ unordered-containers
+ ];
+ testSystemDepends = [ graphviz z3 ];
+ doHaddock = false;
+ description = "Rewriting library with online termination checking";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ maintainers = [ lib.maintainers.tbidne ];
+ }) {inherit (pkgs) graphviz; inherit (pkgs) z3;};
+
"rest-snap" = callPackage
({ mkDerivation, base, base-compat, bytestring, case-insensitive
, rest-core, safe, snap-core, unordered-containers, uri-encode
@@ -256452,6 +256691,7 @@ self: {
];
description = "Easy Git repository serialization";
license = lib.licenses.gpl3Only;
+ hydraPlatforms = lib.platforms.none;
}) {};
"restricted-workers" = callPackage
@@ -256665,10 +256905,8 @@ self: {
}:
mkDerivation {
pname = "retrie";
- version = "1.2.2";
- sha256 = "0xwvf7xvs3i09whn83agc59rzc3slaswarbwlj27b8cn8bmnk5ca";
- revision = "1";
- editedCabalFile = "01lcgn0jlmg3s8q1zrsbal2hz3x07gf0lzqjmf3h946a0lkpfal9";
+ version = "1.2.3";
+ sha256 = "1fs7g6p2g07gwhf5ki8y2064pj57rrnhh1zp21hr8n2kpzpznryf";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -256696,8 +256934,8 @@ self: {
}:
mkDerivation {
pname = "retroclash-lib";
- version = "0.1.2.1";
- sha256 = "0xf1prvgh41iilghi5ilr5c490xxj9b0mk1xz9is6w0c34wcppr9";
+ version = "0.1.2.2";
+ sha256 = "18jkrbg3fhz64n6adxa674jng6ajrq89ib53rhjvm053qsafrllh";
libraryHaskellDepends = [
barbies base clash-ghc clash-lib clash-prelude containers
ghc-typelits-extra ghc-typelits-knownnat ghc-typelits-natnormalise
@@ -256795,10 +257033,8 @@ self: {
({ mkDerivation, base, mtl }:
mkDerivation {
pname = "rev-state";
- version = "0.1.2";
- sha256 = "06gry2ysvdg5q0b86gr8i86xsbxw2yrnld9g7rcp7mppswwhw1zf";
- revision = "3";
- editedCabalFile = "1w2kry4a801l6acimz3b82f3666fx62zzw5q9si1ahlf3mrkr7hk";
+ version = "0.2.0.1";
+ sha256 = "13fwxxv73wf8bvsixl4xsh44pd8jmygdxp1yx5j3a44g230vgrf1";
libraryHaskellDepends = [ base mtl ];
testHaskellDepends = [ base ];
description = "Reverse State monad transformer";
@@ -256864,6 +257100,8 @@ self: {
pname = "reverse-list";
version = "0.3.0.0";
sha256 = "0q3fjgbc6r0v7zdcs577jilqc0py6vmr4iyvnngdlwhrcvyv4mjs";
+ revision = "1";
+ editedCabalFile = "1imxzlhyvar0a8s4wz1yjz2kk8994iq38xvlfypya0izw3nnhwc8";
libraryHaskellDepends = [ base containers contiguous deepseq ];
description = "reversed lists/snoc lists";
license = lib.licenses.bsd3;
@@ -257235,15 +257473,15 @@ self: {
"rhine" = callPackage
({ mkDerivation, base, containers, deepseq, dunai, free
, monad-schedule, MonadRandom, random, simple-affine-space, tasty
- , tasty-hunit, time, time-domain, transformers, vector-sized
+ , tasty-hunit, text, time, time-domain, transformers, vector-sized
}:
mkDerivation {
pname = "rhine";
- version = "1.1";
- sha256 = "09pz2q9bw5c6qlfsy83piryjnzhq3zra1mly3ypqlr9d36zj7bfb";
+ version = "1.2";
+ sha256 = "1r9a1psakkq1mzmlq5qcfv8kp45p5br1p5pfs0pzlh2g0qhy3wng";
libraryHaskellDepends = [
base containers deepseq dunai free monad-schedule MonadRandom
- random simple-affine-space time time-domain transformers
+ random simple-affine-space text time time-domain transformers
vector-sized
];
testHaskellDepends = [
@@ -257262,8 +257500,8 @@ self: {
}:
mkDerivation {
pname = "rhine-bayes";
- version = "1.1";
- sha256 = "1ybzvbpdz23l3fy1dvv7kn1cpis9c9jy00zxvvwbr47pbvdi9wxc";
+ version = "1.2";
+ sha256 = "0zffcvhx2bh1wcbizbjvy1gpcn4l8yjzppi54dcl9zxkpdbap5hb";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -257285,8 +257523,8 @@ self: {
}:
mkDerivation {
pname = "rhine-gloss";
- version = "1.1";
- sha256 = "12h501lcfskygn1pf407pksh9izcb79g48j4gw63pw4islhcxdbr";
+ version = "1.2";
+ sha256 = "1nxqggdjikn6qr89z1pn4pfqf392dnpxz6hlb07kdfaxa2rgcrp9";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -257306,8 +257544,8 @@ self: {
}:
mkDerivation {
pname = "rhine-terminal";
- version = "1.1";
- sha256 = "12r7j9mnswbb59mankl8pil7xk9s9ymzxdc73vmi6ads6kf6qvyr";
+ version = "1.2";
+ sha256 = "1kpicaw7snk0hh84rmym3jm7difwvrmv368lya20jc4margrrjhg";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -257843,6 +258081,8 @@ self: {
];
description = "Ring-like objects";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"rio" = callPackage
@@ -257915,8 +258155,8 @@ self: {
}:
mkDerivation {
pname = "rio-prettyprint";
- version = "0.1.7.0";
- sha256 = "04493n596jgj49wx9jgvfpjsfnmd37dqh497b6lbm67cp4nxb9p5";
+ version = "0.1.8.0";
+ sha256 = "05naj6sfw5lz5zi6xbn3445vx8dva57sziqs5gxfnagn2my56m5i";
libraryHaskellDepends = [
aeson annotated-wl-pprint ansi-terminal array base Cabal colour mtl
path rio text
@@ -258071,6 +258311,29 @@ self: {
broken = true;
}) {};
+ "risk-weaver" = callPackage
+ ({ mkDerivation, aeson, base, bytestring, containers, file-embed
+ , filepath, inline-c, JuicyPixels, optparse-applicative, random
+ , safe-exceptions, sixel, text, transformers, vector
+ }:
+ mkDerivation {
+ pname = "risk-weaver";
+ version = "0.1.0.1";
+ sha256 = "0hbr37lxawg5d5azgpdih2rqhl1jyfz803ds1vhg12d5vxwgfdz1";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ aeson base bytestring containers file-embed filepath inline-c
+ JuicyPixels optparse-applicative random safe-exceptions sixel text
+ transformers vector
+ ];
+ executableHaskellDepends = [ base ];
+ testHaskellDepends = [ base ];
+ description = "A DSL for Risk-oriented Object Detection Requirements";
+ license = lib.licenses.mit;
+ mainProgram = "risk-weaver-exe";
+ }) {};
+
"rison" = callPackage
({ mkDerivation, aeson, attoparsec, base, bytestring, hspec
, scientific, text, unordered-containers, vector
@@ -258521,6 +258784,28 @@ self: {
];
description = "Implementation of the ROC (Taiwan) National ID standard";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {};
+
+ "roc-id_0_2_0_1" = callPackage
+ ({ mkDerivation, base, hspec, MonadRandom, Only, QuickCheck, text
+ , vector-sized
+ }:
+ mkDerivation {
+ pname = "roc-id";
+ version = "0.2.0.1";
+ sha256 = "03969sm3ia1mi4i2v9z4sclsa911h3myhwqgiygnncyxbwpawsmd";
+ libraryHaskellDepends = [
+ base MonadRandom Only text vector-sized
+ ];
+ testHaskellDepends = [
+ base hspec MonadRandom Only QuickCheck text vector-sized
+ ];
+ description = "Implementation of the ROC (Taiwan) National ID standard";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"rock" = callPackage
@@ -259059,6 +259344,8 @@ self: {
testHaskellDepends = [ base QuickCheck quickcheck-classes ];
description = "rose trees";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"rose-trees" = callPackage
@@ -259829,6 +260116,8 @@ self: {
pname = "rsi-break";
version = "0.1.0.0";
sha256 = "1gb4l0ydimambxvf6n66df7mik77vgfsdr9hds8w3cna057k2zwd";
+ revision = "1";
+ editedCabalFile = "1df5n48qnb5s2wppv36bxyrl44w5m1ji4lily8jfbgsd4hpzc06j";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -260227,17 +260516,6 @@ self: {
}) {};
"run-st" = callPackage
- ({ mkDerivation, base, primitive, primitive-unlifted }:
- mkDerivation {
- pname = "run-st";
- version = "0.1.3.0";
- sha256 = "0fzdvdwpa2mfkbfvqflgr957mpym85606gk10chfp8ka06r6v7d4";
- libraryHaskellDepends = [ base primitive primitive-unlifted ];
- description = "runST without boxing penalty";
- license = lib.licenses.bsd3;
- }) {};
-
- "run-st_0_1_3_2" = callPackage
({ mkDerivation, base, primitive, primitive-unlifted }:
mkDerivation {
pname = "run-st";
@@ -260246,7 +260524,6 @@ self: {
libraryHaskellDepends = [ base primitive primitive-unlifted ];
description = "runST without boxing penalty";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"rungekutta" = callPackage
@@ -260493,14 +260770,14 @@ self: {
"rzk" = callPackage
({ mkDerivation, aeson, alex, array, base, bifunctors, BNFC
, bytestring, Cabal, co-log-core, data-default-class, directory
- , doctest, filepath, Glob, happy, lens, lsp, lsp-types, mtl
- , optparse-generic, process, QuickCheck, stm, template-haskell
- , text, with-utf8, yaml
+ , doctest, filepath, Glob, happy, hspec, hspec-discover, lens, lsp
+ , lsp-types, mtl, optparse-generic, process, QuickCheck, stm
+ , template-haskell, text, with-utf8, yaml
}:
mkDerivation {
pname = "rzk";
- version = "0.7.1";
- sha256 = "14jfpr5dl6fwkz3zydi3mwdrs08rbhasxz0mwhqy3vp4x5vw22m2";
+ version = "0.7.3";
+ sha256 = "0nkhw8nbzqpsl41skwly86pbp75c5mpvppn5vcqj6mmni7bj2i8q";
isLibrary = true;
isExecutable = true;
setupHaskellDepends = [ base Cabal process ];
@@ -260516,13 +260793,15 @@ self: {
];
executableToolDepends = [ alex BNFC happy ];
testHaskellDepends = [
- array base bifunctors bytestring directory doctest Glob mtl
- QuickCheck template-haskell text yaml
+ array base bifunctors bytestring directory doctest Glob hspec
+ hspec-discover mtl QuickCheck template-haskell text yaml
];
- testToolDepends = [ alex BNFC happy ];
+ testToolDepends = [ alex BNFC happy hspec-discover ];
description = "An experimental proof assistant for synthetic ∞-categories";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "rzk";
+ broken = true;
}) {};
"s-cargot" = callPackage
@@ -260593,8 +260872,10 @@ self: {
({ mkDerivation, base, deepseq, QuickCheck }:
mkDerivation {
pname = "safe";
- version = "0.3.19";
- sha256 = "18pp6cn9np9jgs01x9mac6wk41k34g86fx5ibfarbapqr1138115";
+ version = "0.3.21";
+ sha256 = "00bz022kvs0wd3rs9ycx0nxfyc2gqlg3q13lhx4fqydy5fjgx09c";
+ revision = "1";
+ editedCabalFile = "1xx3qq5xc4qbfzshaaqxnhdpl5bdwk3qbkiqbcaqhrw78lbfla5r";
libraryHaskellDepends = [ base ];
testHaskellDepends = [ base deepseq QuickCheck ];
description = "Library of safe (exception free) functions";
@@ -260610,6 +260891,8 @@ self: {
libraryHaskellDepends = [ base mtl transformers ];
description = "A simple environment to control access to data";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"safe-buffer-monad" = callPackage
@@ -260675,8 +260958,6 @@ self: {
];
testToolDepends = [ sydtest-discover ];
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
- broken = true;
}) {};
"safe-coloured-text-layout" = callPackage
@@ -260801,6 +261082,8 @@ self: {
testHaskellDepends = [ base hspec ];
description = "Safe, checked exceptions";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"safe-failure" = callPackage
@@ -260812,6 +261095,7 @@ self: {
libraryHaskellDepends = [ base failure ];
description = "Library for safe functions (deprecated)";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"safe-failure-cme" = callPackage
@@ -260825,6 +261109,7 @@ self: {
];
description = "control-monad-exception Instances for safe-failure";
license = lib.licenses.publicDomain;
+ hydraPlatforms = lib.platforms.none;
}) {};
"safe-foldable" = callPackage
@@ -260884,10 +261169,8 @@ self: {
}:
mkDerivation {
pname = "safe-json";
- version = "1.1.4.0";
- sha256 = "01dr0fyqyjbg9cw9g1wgh8bl7y1gfjbzl6qza6lf2s4iisacb06p";
- revision = "3";
- editedCabalFile = "1d49dp1f00b865x8jfi1ynjp32yagjssf1ws9x3ci7qa8hsy1kxl";
+ version = "1.2.0.1";
+ sha256 = "1y03smd9fjxqfs5q2zi8138k3aafwx691327xavsa2b7q27bvi5b";
libraryHaskellDepends = [
aeson base bytestring containers dlist hashable scientific tasty
tasty-hunit tasty-quickcheck text time unordered-containers
@@ -260902,31 +261185,6 @@ self: {
license = lib.licenses.mit;
}) {};
- "safe-json_1_2_0_0" = callPackage
- ({ mkDerivation, aeson, base, bytestring, containers, dlist
- , hashable, quickcheck-instances, scientific, tasty, tasty-hunit
- , tasty-quickcheck, temporary, text, time, unordered-containers
- , uuid, uuid-types, vector
- }:
- mkDerivation {
- pname = "safe-json";
- version = "1.2.0.0";
- sha256 = "0534kxg66ha106y9xp4jbv4lyd8cjgj7qbfcbqiahdi2sd9cyfhg";
- libraryHaskellDepends = [
- aeson base bytestring containers dlist hashable scientific tasty
- tasty-hunit tasty-quickcheck text time unordered-containers
- uuid-types vector
- ];
- testHaskellDepends = [
- aeson base bytestring containers dlist hashable
- quickcheck-instances scientific tasty tasty-hunit tasty-quickcheck
- temporary text time unordered-containers uuid uuid-types vector
- ];
- description = "Automatic JSON format versioning";
- license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
- }) {};
-
"safe-lazy-io" = callPackage
({ mkDerivation, base, extensible-exceptions, parallel, strict-io
}:
@@ -261847,87 +262105,43 @@ self: {
, bytestring, colour, containers, deepseq, directory, exceptions
, filepath, free, haskell-src-exts, lifted-async, microlens
, microlens-th, monad-control, monad-logger, mtl
- , optparse-applicative, pretty-show, process, safe, safe-exceptions
- , stm, string-interpolate, template-haskell, text, time
- , transformers, transformers-base, unix, unliftio-core, vector, vty
+ , optparse-applicative, pretty-show, process, retry, safe
+ , safe-exceptions, stm, string-interpolate, template-haskell, text
+ , time, transformers, transformers-base, unix, unliftio-core
+ , vector, vty, vty-crossplatform
}:
mkDerivation {
pname = "sandwich";
- version = "0.1.5.2";
- sha256 = "0xran6qv8nfv4yw55pncd12z7843f25y4sc94s5dd26kpvn78kr1";
+ version = "0.2.2.0";
+ sha256 = "1sww8bixsg3yd44f72sr2yhwhanwvlvnp9491mq3lm0syklw0jl4";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
aeson ansi-terminal async base brick bytestring colour containers
deepseq directory exceptions filepath free haskell-src-exts
lifted-async microlens microlens-th monad-control monad-logger mtl
- optparse-applicative pretty-show process safe safe-exceptions stm
- string-interpolate template-haskell text time transformers
- transformers-base unix unliftio-core vector vty
- ];
- executableHaskellDepends = [
- aeson ansi-terminal async base brick bytestring colour containers
- deepseq directory exceptions filepath free haskell-src-exts
- lifted-async microlens microlens-th monad-control monad-logger mtl
- optparse-applicative pretty-show process safe safe-exceptions stm
- string-interpolate template-haskell text time transformers
- transformers-base unix unliftio-core vector vty
- ];
- testHaskellDepends = [
- aeson ansi-terminal async base brick bytestring colour containers
- deepseq directory exceptions filepath free haskell-src-exts
- lifted-async microlens microlens-th monad-control monad-logger mtl
- optparse-applicative pretty-show process safe safe-exceptions stm
- string-interpolate template-haskell text time transformers
- transformers-base unix unliftio-core vector vty
- ];
- description = "Yet another test framework for Haskell";
- license = lib.licenses.bsd3;
- }) {};
-
- "sandwich_0_2_1_0" = callPackage
- ({ mkDerivation, aeson, ansi-terminal, async, base, brick
- , bytestring, colour, containers, deepseq, directory, exceptions
- , filepath, free, haskell-src-exts, lifted-async, microlens
- , microlens-th, monad-control, monad-logger, mtl
- , optparse-applicative, pretty-show, process, safe, safe-exceptions
- , stm, string-interpolate, template-haskell, text, time
- , transformers, transformers-base, unix, unliftio-core, vector, vty
- , vty-crossplatform
- }:
- mkDerivation {
- pname = "sandwich";
- version = "0.2.1.0";
- sha256 = "00wayn1xbhisl3aix61kp7m4xiqrnam5mqal2ncmd2b8cy7h9hn4";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- aeson ansi-terminal async base brick bytestring colour containers
- deepseq directory exceptions filepath free haskell-src-exts
- lifted-async microlens microlens-th monad-control monad-logger mtl
- optparse-applicative pretty-show process safe safe-exceptions stm
- string-interpolate template-haskell text time transformers
+ optparse-applicative pretty-show process retry safe safe-exceptions
+ stm string-interpolate template-haskell text time transformers
transformers-base unix unliftio-core vector vty vty-crossplatform
];
executableHaskellDepends = [
aeson ansi-terminal async base brick bytestring colour containers
deepseq directory exceptions filepath free haskell-src-exts
lifted-async microlens microlens-th monad-control monad-logger mtl
- optparse-applicative pretty-show process safe safe-exceptions stm
- string-interpolate template-haskell text time transformers
+ optparse-applicative pretty-show process retry safe safe-exceptions
+ stm string-interpolate template-haskell text time transformers
transformers-base unix unliftio-core vector vty vty-crossplatform
];
testHaskellDepends = [
aeson ansi-terminal async base brick bytestring colour containers
deepseq directory exceptions filepath free haskell-src-exts
lifted-async microlens microlens-th monad-control monad-logger mtl
- optparse-applicative pretty-show process safe safe-exceptions stm
- string-interpolate template-haskell text time transformers
+ optparse-applicative pretty-show process retry safe safe-exceptions
+ stm string-interpolate template-haskell text time transformers
transformers-base unix unliftio-core vector vty vty-crossplatform
];
description = "Yet another test framework for Haskell";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"sandwich-hedgehog" = callPackage
@@ -262206,6 +262420,8 @@ self: {
testHaskellDepends = [ array base ];
description = "SAT encoding monad";
license = lib.licenses.gpl2Only;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"satchmo-backends" = callPackage
@@ -262453,8 +262669,8 @@ self: {
}:
mkDerivation {
pname = "sbp";
- version = "4.15.0";
- sha256 = "1x8gqrrds6ci2s33vrrmw5ndzj22k271zd0wsbvfqg7wpz8ry37f";
+ version = "5.0.5";
+ sha256 = "172srcjmk6vqbnfl8l10a9a5bnpn5vvmccwj5cxpshaj387ap4j0";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -262471,34 +262687,6 @@ self: {
license = lib.licenses.mit;
}) {};
- "sbp_5_0_4" = callPackage
- ({ mkDerivation, aeson, aeson-pretty, array, base
- , base64-bytestring, basic-prelude, binary, binary-conduit
- , bytestring, cmdargs, conduit, conduit-extra, data-binary-ieee754
- , lens, lens-aeson, monad-loops, resourcet, tasty, tasty-hunit
- , template-haskell, text, time, yaml
- }:
- mkDerivation {
- pname = "sbp";
- version = "5.0.4";
- sha256 = "1wfv99haslzjb7bl43a30z4m1gp0d83xayy7a5f4x447v6g9l8cd";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- aeson array base base64-bytestring basic-prelude binary bytestring
- data-binary-ieee754 lens lens-aeson monad-loops template-haskell
- text
- ];
- executableHaskellDepends = [
- aeson aeson-pretty base basic-prelude binary-conduit bytestring
- cmdargs conduit conduit-extra lens resourcet time yaml
- ];
- testHaskellDepends = [ base basic-prelude tasty tasty-hunit ];
- description = "SwiftNav's SBP Library";
- license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
- }) {};
-
"sbp2udp" = callPackage
({ mkDerivation, base, basic-prelude, binary, binary-conduit
, bytestring, conduit, conduit-extra, network, optparse-generic
@@ -262584,6 +262772,37 @@ self: {
broken = true;
}) {inherit (pkgs) z3;};
+ "sbv_10_3" = callPackage
+ ({ mkDerivation, array, async, base, bytestring, containers
+ , deepseq, directory, filepath, libBF, mtl, pretty, process
+ , QuickCheck, random, syb, tasty, tasty-bench, tasty-golden
+ , tasty-hunit, tasty-quickcheck, template-haskell, text, time
+ , transformers, uniplate, z3
+ }:
+ mkDerivation {
+ pname = "sbv";
+ version = "10.3";
+ sha256 = "15jasmmh49w086gfd5wlhwkqszw3925a5k0k92rk7kwi3c73d57k";
+ enableSeparateDataOutput = true;
+ libraryHaskellDepends = [
+ array async base containers deepseq directory filepath libBF mtl
+ pretty process QuickCheck random syb template-haskell text time
+ transformers uniplate
+ ];
+ testHaskellDepends = [
+ base bytestring containers deepseq directory filepath mtl process
+ QuickCheck random tasty tasty-golden tasty-hunit tasty-quickcheck
+ ];
+ testSystemDepends = [ z3 ];
+ benchmarkHaskellDepends = [
+ base deepseq filepath process random tasty tasty-bench time
+ ];
+ description = "SMT Based Verification: Symbolic Haskell theorem prover using SMT solving";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {inherit (pkgs) z3;};
+
"sbv-program" = callPackage
({ mkDerivation, base, bifunctors, containers, pretty-simple, sbv
}:
@@ -262607,8 +262826,8 @@ self: {
}:
mkDerivation {
pname = "sbvPlugin";
- version = "9.6.1";
- sha256 = "1qawdaaijlq1yaynjycf73vk1cl3r0p937byybaf40c1n9n78ccs";
+ version = "9.8.1";
+ sha256 = "12l23shwygvc7xs0scbd2w98brwcx52c13kgszm2xaabfa9vsnhq";
libraryHaskellDepends = [
base containers ghc ghc-prim mtl sbv template-haskell
];
@@ -262658,6 +262877,7 @@ self: {
description = "A protocol buffer model for the Starcraft II bot API";
license = lib.licenses.mit;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {protoc = null;};
"sc2-support" = callPackage
@@ -262986,7 +263206,9 @@ self: {
description = "Generates unique passwords for various websites from a single password";
license = lib.licenses.bsd3;
platforms = lib.platforms.x86;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "scat";
+ broken = true;
}) {};
"scc" = callPackage
@@ -263138,6 +263360,7 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Work stealing scheduler";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"scheduling" = callPackage
@@ -263484,26 +263707,26 @@ self: {
}) {};
"scientific-notation" = callPackage
- ({ mkDerivation, aeson, attoparsec, base, bytebuild, byteslice
- , bytesmith, bytestring, gauge, natural-arithmetic, primitive
- , QuickCheck, run-st, scientific, tasty, tasty-hunit
+ ({ mkDerivation, attoparsec, attoparsec-aeson, base, bytebuild
+ , byteslice, bytesmith, bytestring, gauge, natural-arithmetic
+ , primitive, run-st, scientific, tasty, tasty-hunit
, tasty-quickcheck, text-short, word-compat
}:
mkDerivation {
pname = "scientific-notation";
- version = "0.1.6.0";
- sha256 = "041bj2kwxg744ndixs9z8r3y0xxwas9c4987m9qjgllwm1m729px";
+ version = "0.1.6.1";
+ sha256 = "1qx2k9b77zz9skfp7b3lxm1ya5qyn6k6gcy8ff32s4x34zhvmzax";
libraryHaskellDepends = [
base bytebuild byteslice bytesmith bytestring natural-arithmetic
primitive text-short word-compat
];
testHaskellDepends = [
- base bytebuild byteslice bytesmith bytestring primitive QuickCheck
- tasty tasty-hunit tasty-quickcheck
+ base byteslice bytesmith primitive tasty tasty-hunit
+ tasty-quickcheck
];
benchmarkHaskellDepends = [
- aeson attoparsec base byteslice bytesmith bytestring gauge
- primitive run-st scientific
+ attoparsec attoparsec-aeson base byteslice bytesmith bytestring
+ gauge primitive run-st scientific
];
description = "Scientific notation intended for tokenization";
license = lib.licenses.bsd3;
@@ -263670,33 +263893,32 @@ self: {
}) {};
"scotty" = callPackage
- ({ mkDerivation, aeson, async, base, base-compat-batteries
- , blaze-builder, bytestring, case-insensitive, data-default-class
- , directory, exceptions, hspec, hspec-discover, hspec-wai
- , http-types, lifted-base, lucid, monad-control, mtl, network
- , regex-compat, text, transformers, transformers-base
- , transformers-compat, wai, wai-extra, warp, weigh
+ ({ mkDerivation, aeson, async, base, blaze-builder, bytestring
+ , case-insensitive, cookie, data-default-class, directory
+ , exceptions, hspec, hspec-discover, hspec-wai, http-types
+ , lifted-base, lucid, monad-control, mtl, network, regex-compat
+ , stm, text, time, transformers, transformers-base
+ , transformers-compat, unliftio, wai, wai-extra, warp, weigh
}:
mkDerivation {
pname = "scotty";
- version = "0.12.1";
- sha256 = "0jnv2k37yv7hbm21w356ml4b14avlwh8yyzwwwfrwpbn6zzk6ahy";
- revision = "2";
- editedCabalFile = "06hzpbd3adq9wjc5dsz6ikmy6gx60hhlzra58qrc91vi4v76xh0m";
+ version = "0.20.1";
+ sha256 = "1770kj78zdi137pskiyx28id64vilmhylnkgy139pvxa95n8i6kd";
+ revision = "1";
+ editedCabalFile = "02gz7kgv273scgmig0qkvfynslhqg9pnhmablidr47kw80kqghy6";
libraryHaskellDepends = [
- aeson base base-compat-batteries blaze-builder bytestring
- case-insensitive data-default-class exceptions http-types
- monad-control mtl network regex-compat text transformers
- transformers-base transformers-compat wai wai-extra warp
+ aeson base blaze-builder bytestring case-insensitive cookie
+ data-default-class exceptions http-types monad-control mtl network
+ regex-compat stm text time transformers transformers-base
+ transformers-compat unliftio wai wai-extra warp
];
testHaskellDepends = [
- async base bytestring data-default-class directory hspec hspec-wai
- http-types lifted-base network text wai
+ async base bytestring directory hspec hspec-wai http-types
+ lifted-base network text wai
];
testToolDepends = [ hspec-discover ];
benchmarkHaskellDepends = [
- base bytestring data-default-class lucid mtl text transformers
- weigh
+ base bytestring lucid mtl text transformers weigh
];
description = "Haskell web framework inspired by Ruby's Sinatra, using WAI and Warp";
license = lib.licenses.bsd3;
@@ -263714,6 +263936,8 @@ self: {
pname = "scotty";
version = "0.21";
sha256 = "1qnyagwirxcmja3wbiyp5s8f0dvcdiz7fh0a6jc4vyj2yy175yi4";
+ revision = "1";
+ editedCabalFile = "0cg0s728112n8xy966cwmbvvjn2qnd6magibj9q3cxn41yinlzyi";
libraryHaskellDepends = [
aeson base blaze-builder bytestring case-insensitive cookie
data-default-class exceptions http-types monad-control mtl network
@@ -263785,6 +264009,8 @@ self: {
];
description = "Cookie management helper functions for Scotty framework";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"scotty-fay" = callPackage
@@ -263899,6 +264125,8 @@ self: {
testHaskellDepends = [ base doctest ];
description = "Redirect to a normalized path";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"scotty-resource" = callPackage
@@ -263993,6 +264221,8 @@ self: {
];
description = "Scotty utils library";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"scotty-view" = callPackage
@@ -264424,6 +264654,8 @@ self: {
pname = "sdl2";
version = "2.5.5.0";
sha256 = "1kai6mmnwz9qq7q5y8c7wmcdf9qc5m167dzy3brj11jjds4smz93";
+ revision = "1";
+ editedCabalFile = "0r0lzn3hyjvzwqsrqprdzb2c167g7ip2cf07jvm1h5b53qc2a4cx";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -264921,6 +265153,8 @@ self: {
testHaskellDepends = [ base directory doctest filepath ];
description = "Infinite search in finite time with Hilbert's epsilon";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"search-algorithms" = callPackage
@@ -264962,7 +265196,9 @@ self: {
testHaskellDepends = [ base ];
description = "A Haskell implementation of the SECD abstract machine";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "secdi";
+ broken = true;
}) {};
"secdh" = callPackage
@@ -265051,29 +265287,6 @@ self: {
}) {inherit (pkgs) secp256k1;};
"secp256k1-haskell" = callPackage
- ({ mkDerivation, base, base16, bytestring, cereal, deepseq, entropy
- , hashable, hspec, hspec-discover, HUnit, monad-par, mtl
- , QuickCheck, secp256k1, string-conversions, unliftio-core
- }:
- mkDerivation {
- pname = "secp256k1-haskell";
- version = "0.6.1";
- sha256 = "0abxwmls4rvsnck7p5gpajmha2vc9fbcrr2q1alkpw8acmgfhr76";
- libraryHaskellDepends = [
- base base16 bytestring cereal deepseq entropy hashable QuickCheck
- string-conversions unliftio-core
- ];
- libraryPkgconfigDepends = [ secp256k1 ];
- testHaskellDepends = [
- base base16 bytestring cereal deepseq entropy hashable hspec HUnit
- monad-par mtl QuickCheck string-conversions unliftio-core
- ];
- testToolDepends = [ hspec-discover ];
- description = "Bindings for secp256k1";
- license = lib.licenses.mit;
- }) {inherit (pkgs) secp256k1;};
-
- "secp256k1-haskell_1_1_0" = callPackage
({ mkDerivation, base, base16, bytestring, deepseq, entropy
, hashable, hspec, hspec-discover, HUnit, monad-par, mtl
, QuickCheck, secp256k1, string-conversions, unliftio-core
@@ -265094,7 +265307,6 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Bindings for secp256k1";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {inherit (pkgs) secp256k1;};
"secp256k1-legacy" = callPackage
@@ -265303,6 +265515,8 @@ self: {
];
description = "Multi-backend, high-level EDSL for interacting with SQL databases";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"selda-json" = callPackage
@@ -265314,6 +265528,7 @@ self: {
libraryHaskellDepends = [ aeson base bytestring selda text ];
description = "JSON support for the Selda database library";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"selda-postgresql" = callPackage
@@ -265348,6 +265563,7 @@ self: {
];
description = "SQLite backend for the Selda database EDSL";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"select" = callPackage
@@ -265541,8 +265757,6 @@ self: {
libraryHaskellDepends = [ base exceptions unix ];
description = "Cross-platform abstraction for system semaphores";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- broken = true;
}) {};
"semaphore-plus" = callPackage
@@ -265689,6 +265903,8 @@ self: {
libraryHaskellDepends = [ base profunctors semigroupoids ];
description = "Semigroupoids that depend on PolyKinds";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"semigroupoids" = callPackage
@@ -265699,10 +265915,10 @@ self: {
}:
mkDerivation {
pname = "semigroupoids";
- version = "5.3.7";
- sha256 = "169pjrm7lxjxrqj5q1iyl288bx5nj8n0pf2ri1cclxccqnvcsibd";
+ version = "6.0.0.1";
+ sha256 = "0d3rsxby1j4m0ak3v62jbjzw1f2n55qxnb2jsrgj1y5xj6c8m8vx";
revision = "1";
- editedCabalFile = "063xzbp4p93kbaygn26rzs4wmwf01mcj7d4qfsiwf39gd0wkf2dc";
+ editedCabalFile = "1ia31jmv4m228j7mwcl58ala7z18d3iqygki3qv6akhi3i2kp8j7";
libraryHaskellDepends = [
base base-orphans bifunctors comonad containers contravariant
distributive hashable tagged template-haskell transformers
@@ -265712,29 +265928,6 @@ self: {
license = lib.licenses.bsd2;
}) {};
- "semigroupoids_6_0_0_1" = callPackage
- ({ mkDerivation, base, base-orphans, bifunctors, comonad
- , containers, contravariant, distributive, foldable1-classes-compat
- , hashable, tagged, template-haskell, transformers
- , transformers-compat, unordered-containers
- }:
- mkDerivation {
- pname = "semigroupoids";
- version = "6.0.0.1";
- sha256 = "0d3rsxby1j4m0ak3v62jbjzw1f2n55qxnb2jsrgj1y5xj6c8m8vx";
- revision = "1";
- editedCabalFile = "1ia31jmv4m228j7mwcl58ala7z18d3iqygki3qv6akhi3i2kp8j7";
- libraryHaskellDepends = [
- base base-orphans bifunctors comonad containers contravariant
- distributive foldable1-classes-compat hashable tagged
- template-haskell transformers transformers-compat
- unordered-containers
- ];
- description = "Semigroupoids: Category sans id";
- license = lib.licenses.bsd2;
- hydraPlatforms = lib.platforms.none;
- }) {};
-
"semigroupoids-do" = callPackage
({ mkDerivation, base, semigroupoids }:
mkDerivation {
@@ -265744,6 +265937,8 @@ self: {
libraryHaskellDepends = [ base semigroupoids ];
description = "Support for QualifiedDo with semigroupoids classes";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"semigroupoids-syntax" = callPackage
@@ -266330,29 +266525,6 @@ self: {
}) {};
"sequence-formats" = callPackage
- ({ mkDerivation, attoparsec, base, bytestring, containers, errors
- , exceptions, foldl, hspec, lens-family, pipes, pipes-attoparsec
- , pipes-bytestring, pipes-safe, tasty, tasty-hunit, transformers
- , vector
- }:
- mkDerivation {
- pname = "sequence-formats";
- version = "1.7.1";
- sha256 = "1smf7bxa36slasi295jr59hf62cz0w7gbacmwz33vcfjdvh9ffj6";
- libraryHaskellDepends = [
- attoparsec base bytestring containers errors exceptions foldl
- lens-family pipes pipes-attoparsec pipes-bytestring pipes-safe
- transformers vector
- ];
- testHaskellDepends = [
- base bytestring containers foldl hspec pipes pipes-safe tasty
- tasty-hunit transformers vector
- ];
- description = "A package with basic parsing utilities for several Bioinformatic data formats";
- license = lib.licenses.gpl3Only;
- }) {};
-
- "sequence-formats_1_8_0_0" = callPackage
({ mkDerivation, attoparsec, base, bytestring, containers, errors
, exceptions, foldl, hspec, lens-family, pipes, pipes-attoparsec
, pipes-bytestring, pipes-safe, tasty, tasty-hunit, transformers
@@ -266373,7 +266545,6 @@ self: {
];
description = "A package with basic parsing utilities for several Bioinformatic data formats";
license = lib.licenses.gpl3Only;
- hydraPlatforms = lib.platforms.none;
}) {};
"sequenceTools" = callPackage
@@ -266458,6 +266629,46 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
+ "serdoc-binary" = callPackage
+ ({ mkDerivation, base, binary, bytestring, mtl, serdoc-core, tasty
+ , tasty-quickcheck, text, time
+ }:
+ mkDerivation {
+ pname = "serdoc-binary";
+ version = "0.1.0.0";
+ sha256 = "04yfac60xl8ncw8insqjwf7r8fhqsfbs883i2wl3fk7960d2w14l";
+ libraryHaskellDepends = [ base binary bytestring serdoc-core ];
+ testHaskellDepends = [
+ base binary bytestring mtl serdoc-core tasty tasty-quickcheck text
+ time
+ ];
+ description = "`binary` backend for `serdoc`";
+ license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
+ "serdoc-core" = callPackage
+ ({ mkDerivation, base, bytestring, containers, mtl, tasty
+ , tasty-quickcheck, template-haskell, text, th-abstraction, time
+ }:
+ mkDerivation {
+ pname = "serdoc-core";
+ version = "0.1.0.0";
+ sha256 = "0m5sarripwgi9pda5bs2zb65mgvsy72zb4g9ld2bxxrb094hlbg5";
+ libraryHaskellDepends = [
+ base bytestring containers mtl tasty tasty-quickcheck
+ template-haskell text th-abstraction time
+ ];
+ testHaskellDepends = [
+ base bytestring mtl tasty tasty-quickcheck template-haskell text
+ time
+ ];
+ description = "Generated documentation of serialization formats";
+ license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {};
+
"serf" = callPackage
({ mkDerivation, attoparsec, base, conduit, conduit-extra, mtl
, operational, process, resourcet, text
@@ -266485,6 +266696,8 @@ self: {
libraryHaskellDepends = [ base unix ];
description = "POSIX serial port wrapper";
license = "LGPL";
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"serial-test-generators" = callPackage
@@ -266504,6 +266717,7 @@ self: {
];
description = "Test your 'Aeson' 'Serialize' and 'Binary' instances for stability over time";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"serialise" = callPackage
@@ -266518,6 +266732,8 @@ self: {
pname = "serialise";
version = "0.2.6.1";
sha256 = "1x3p9vi6daf50xgv5xxjnclqcq9ynqg1qw7af3ppa1nizycrg533";
+ revision = "1";
+ editedCabalFile = "1y1x0jrc5k7z8r54jk1p1iw18f2psky4ksxd0f8aqfvy1hamzra8";
libraryHaskellDepends = [
array base bytestring cborg containers ghc-prim half hashable
primitive strict text these time unordered-containers vector
@@ -266578,8 +266794,8 @@ self: {
({ mkDerivation, base, bytestring, HUnit, unix }:
mkDerivation {
pname = "serialport";
- version = "0.5.4";
- sha256 = "0hw9vcmxfhjad0jcq0c7m2klcnhilk5ag7byymyny0kzg4js27vr";
+ version = "0.5.5";
+ sha256 = "1mgxfx2xb9jh40vaaa28nwszxph0gpx01x0xynypc757igwhvbkv";
libraryHaskellDepends = [ base bytestring unix ];
testHaskellDepends = [ base bytestring HUnit ];
description = "Cross platform serial port library";
@@ -266680,35 +266896,6 @@ self: {
}) {};
"servant" = callPackage
- ({ mkDerivation, aeson, attoparsec, base, base-compat, bifunctors
- , bytestring, case-insensitive, constraints, deepseq, hspec
- , hspec-discover, http-api-data, http-media, http-types, mmorph
- , mtl, network-uri, QuickCheck, quickcheck-instances
- , singleton-bool, sop-core, string-conversions, tagged, text
- , transformers, vault
- }:
- mkDerivation {
- pname = "servant";
- version = "0.19.1";
- sha256 = "1gk6j39rcjpjacs351lknhrwj86yr4ifyp3qwlmiig27dxqlig3q";
- revision = "2";
- editedCabalFile = "01232431a6asv5pd1rshnh1zix7mdjy56m5zr6gz4179619ggf47";
- libraryHaskellDepends = [
- aeson attoparsec base base-compat bifunctors bytestring
- case-insensitive constraints deepseq http-api-data http-media
- http-types mmorph mtl network-uri QuickCheck singleton-bool
- sop-core string-conversions tagged text transformers vault
- ];
- testHaskellDepends = [
- aeson base base-compat bytestring hspec http-media mtl QuickCheck
- quickcheck-instances string-conversions text transformers
- ];
- testToolDepends = [ hspec-discover ];
- description = "A family of combinators for defining webservices APIs";
- license = lib.licenses.bsd3;
- }) {};
-
- "servant_0_20_1" = callPackage
({ mkDerivation, aeson, attoparsec, base, base-compat, bifunctors
, bytestring, case-insensitive, constraints, deepseq, hspec
, hspec-discover, http-api-data, http-media, http-types, mmorph
@@ -266735,7 +266922,6 @@ self: {
testToolDepends = [ hspec-discover ];
description = "A family of combinators for defining webservices APIs";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"servant-JuicyPixels" = callPackage
@@ -266761,26 +266947,27 @@ self: {
"servant-aeson-generics-typescript" = callPackage
({ mkDerivation, aeson, aeson-generics-typescript, async, base
- , bytestring, containers, directory, filepath, hspec, hspec-wai
- , http-types, jose-jwt, process, QuickCheck, random, servant
- , servant-auth, servant-server, split, string-interpolate, text
- , time, warp
+ , bytestring, conduit, containers, directory, filepath, hspec
+ , hspec-wai, http-types, jose-jwt, process, QuickCheck, random
+ , servant, servant-auth, servant-server, servant-websockets, split
+ , string-interpolate, text, time, warp, webdriver
}:
mkDerivation {
pname = "servant-aeson-generics-typescript";
- version = "0.0.0.1";
- sha256 = "164f9c22lbyv670ci8yxknpas1gi4yswdpkq20ls4nnq7jsa3zi5";
+ version = "0.0.0.2";
+ sha256 = "03v4919iaa32rxkyd4k5zvczvgwmikkpzrfi66ixbanva43zassi";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
aeson aeson-generics-typescript base containers http-types jose-jwt
- servant string-interpolate text
+ servant servant-websockets string-interpolate text
];
executableHaskellDepends = [
- aeson aeson-generics-typescript async base bytestring containers
- directory filepath hspec hspec-wai http-types jose-jwt process
- QuickCheck random servant servant-auth servant-server split
- string-interpolate text time warp
+ aeson aeson-generics-typescript async base bytestring conduit
+ containers directory filepath hspec hspec-wai http-types jose-jwt
+ process QuickCheck random servant servant-auth servant-server
+ servant-websockets split string-interpolate text time warp
+ webdriver
];
description = "Generates a TypeScript client for Servant APIs";
license = lib.licenses.bsd3;
@@ -266891,30 +267078,6 @@ self: {
}) {};
"servant-auth-docs" = callPackage
- ({ mkDerivation, base, Cabal, cabal-doctest, doctest, hspec
- , hspec-discover, lens, QuickCheck, servant, servant-auth
- , servant-docs, template-haskell, text
- }:
- mkDerivation {
- pname = "servant-auth-docs";
- version = "0.2.10.0";
- sha256 = "0j1ynnrb6plrhpb2vzs2p7a9jb41llp0j1jwgap7hjhkwhyc7wxd";
- revision = "12";
- editedCabalFile = "14vihxy2zkyhg27fgyrg2zcvws7v12ypap48rv2l7h918gcyxs5v";
- setupHaskellDepends = [ base Cabal cabal-doctest ];
- libraryHaskellDepends = [
- base lens servant servant-auth servant-docs text
- ];
- testHaskellDepends = [
- base doctest hspec lens QuickCheck servant servant-auth
- servant-docs template-haskell text
- ];
- testToolDepends = [ hspec-discover ];
- description = "servant-docs/servant-auth compatibility";
- license = lib.licenses.bsd3;
- }) {};
-
- "servant-auth-docs_0_2_10_1" = callPackage
({ mkDerivation, base, Cabal, cabal-doctest, doctest, hspec
, hspec-discover, lens, QuickCheck, servant, servant-auth
, servant-docs, template-haskell, text
@@ -266936,7 +267099,6 @@ self: {
testToolDepends = [ hspec-discover ];
description = "servant-docs/servant-auth compatibility";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"servant-auth-hmac" = callPackage
@@ -267169,6 +267331,7 @@ self: {
];
description = "Authenticate Routes Using Wordpress Cookies";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"servant-avro" = callPackage
@@ -267248,6 +267411,8 @@ self: {
];
description = "Servant CSV content-type for cassava";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"servant-checked-exceptions" = callPackage
@@ -267272,6 +267437,7 @@ self: {
];
description = "Checked exceptions for Servant APIs";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"servant-checked-exceptions-core" = callPackage
@@ -267292,6 +267458,7 @@ self: {
];
description = "Checked exceptions for Servant APIs";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"servant-cli" = callPackage
@@ -267303,8 +267470,8 @@ self: {
}:
mkDerivation {
pname = "servant-cli";
- version = "0.1.0.2";
- sha256 = "11pl9z3l2f7py16drqq9zvl9z5358jv1q7pqslhkhrqg5vhp822k";
+ version = "0.1.1.0";
+ sha256 = "11zihqwszqla759wch63g74m9ksagjb5lzlxg19mav4x4h7n8wj2";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -267325,38 +267492,6 @@ self: {
}) {};
"servant-client" = callPackage
- ({ mkDerivation, aeson, base, base-compat, bytestring, containers
- , deepseq, entropy, exceptions, hspec, hspec-discover
- , http-api-data, http-client, http-media, http-types, HUnit
- , kan-extensions, markdown-unlit, monad-control, mtl, network
- , QuickCheck, semigroupoids, servant, servant-client-core
- , servant-server, sop-core, stm, tdigest, text, time, transformers
- , transformers-base, transformers-compat, wai, warp
- }:
- mkDerivation {
- pname = "servant-client";
- version = "0.19";
- sha256 = "1bdapsr6il0f019ss8wsxndpc8cd5czj40xczay5qhl7fqnxg5pa";
- revision = "6";
- editedCabalFile = "0lakjnpvsiai08c5nddgzrnr0a139rr37cyq31hqcbwnsy553l1y";
- libraryHaskellDepends = [
- base base-compat bytestring containers deepseq exceptions
- http-client http-media http-types kan-extensions monad-control mtl
- semigroupoids servant servant-client-core stm text time
- transformers transformers-base transformers-compat
- ];
- testHaskellDepends = [
- aeson base base-compat bytestring entropy hspec http-api-data
- http-client http-types HUnit kan-extensions markdown-unlit mtl
- network QuickCheck servant servant-client-core servant-server
- sop-core stm tdigest text transformers transformers-compat wai warp
- ];
- testToolDepends = [ hspec-discover markdown-unlit ];
- description = "Automatic derivation of querying functions for servant";
- license = lib.licenses.bsd3;
- }) {};
-
- "servant-client_0_20" = callPackage
({ mkDerivation, aeson, base, base-compat, bytestring, containers
, deepseq, entropy, exceptions, hspec, hspec-discover
, http-api-data, http-client, http-media, http-types, HUnit
@@ -267386,35 +267521,9 @@ self: {
testToolDepends = [ hspec-discover markdown-unlit ];
description = "Automatic derivation of querying functions for servant";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"servant-client-core" = callPackage
- ({ mkDerivation, aeson, base, base-compat, base64-bytestring
- , bytestring, constraints, containers, deepseq, exceptions, free
- , hspec, hspec-discover, http-media, http-types, network-uri
- , QuickCheck, safe, servant, sop-core, template-haskell, text
- , transformers
- }:
- mkDerivation {
- pname = "servant-client-core";
- version = "0.19";
- sha256 = "0cisc5cyl367cwrch1gr812aspd36a21hkwi6mwj708rpspwvrmc";
- revision = "5";
- editedCabalFile = "147ws71hwp8zck7ph8kcyh18524s8g0b7qvxjsvsm1yvw77c60gh";
- libraryHaskellDepends = [
- aeson base base-compat base64-bytestring bytestring constraints
- containers deepseq exceptions free http-media http-types
- network-uri safe servant sop-core template-haskell text
- transformers
- ];
- testHaskellDepends = [ base base-compat deepseq hspec QuickCheck ];
- testToolDepends = [ hspec-discover ];
- description = "Core functionality and class for client function generation for servant APIs";
- license = lib.licenses.bsd3;
- }) {};
-
- "servant-client-core_0_20" = callPackage
({ mkDerivation, aeson, base, base-compat, base64-bytestring
, bytestring, constraints, containers, deepseq, exceptions, free
, hspec, hspec-discover, http-media, http-types, network-uri
@@ -267437,7 +267546,6 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Core functionality and class for client function generation for servant APIs";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"servant-client-js" = callPackage
@@ -267510,28 +267618,6 @@ self: {
}) {};
"servant-conduit" = callPackage
- ({ mkDerivation, base, base-compat, bytestring, conduit
- , http-client, http-media, mtl, resourcet, servant, servant-client
- , servant-server, unliftio-core, wai, warp
- }:
- mkDerivation {
- pname = "servant-conduit";
- version = "0.15.1";
- sha256 = "1vy3ihypb0zm2yd16rq120qw3898i3c0mahh2jysssv65g0avdwp";
- revision = "3";
- editedCabalFile = "1rcxn2m95zvxqvjbagk9gmwcyvx9wanhxmafwar4y4p15mvzd8d1";
- libraryHaskellDepends = [
- base bytestring conduit mtl resourcet servant unliftio-core
- ];
- testHaskellDepends = [
- base base-compat bytestring conduit http-client http-media
- resourcet servant servant-client servant-server wai warp
- ];
- description = "Servant Stream support for conduit";
- license = lib.licenses.bsd3;
- }) {};
-
- "servant-conduit_0_16" = callPackage
({ mkDerivation, base, base-compat, bytestring, conduit
, http-client, http-media, mtl, resourcet, servant, servant-client
, servant-server, unliftio-core, wai, warp
@@ -267551,7 +267637,6 @@ self: {
];
description = "Servant Stream support for conduit";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"servant-csharp" = callPackage
@@ -267637,37 +267722,6 @@ self: {
}) {};
"servant-docs" = callPackage
- ({ mkDerivation, aeson, aeson-pretty, base, base-compat, bytestring
- , case-insensitive, hashable, http-media, http-types, lens, servant
- , string-conversions, tasty, tasty-golden, tasty-hunit, text
- , transformers, universe-base, unordered-containers
- }:
- mkDerivation {
- pname = "servant-docs";
- version = "0.12";
- sha256 = "0531jldq35sl1qlna0s1n8bakbsplg15611305dk48z80vcpa933";
- revision = "6";
- editedCabalFile = "14lxzg47mqc02i1xy6przkwndvhx8a93l12v4ag3q9ziyj51ra5d";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- aeson aeson-pretty base base-compat bytestring case-insensitive
- hashable http-media http-types lens servant string-conversions text
- universe-base unordered-containers
- ];
- executableHaskellDepends = [
- aeson base lens servant string-conversions text
- ];
- testHaskellDepends = [
- aeson base base-compat lens servant string-conversions tasty
- tasty-golden tasty-hunit transformers
- ];
- description = "generate API docs for your servant webservice";
- license = lib.licenses.bsd3;
- mainProgram = "greet-docs";
- }) {};
-
- "servant-docs_0_13" = callPackage
({ mkDerivation, aeson, aeson-pretty, base, base-compat, bytestring
, case-insensitive, hashable, http-media, http-types, lens, servant
, string-conversions, tasty, tasty-golden, tasty-hunit, text
@@ -267695,7 +267749,6 @@ self: {
];
description = "generate API docs for your servant webservice";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
mainProgram = "greet-docs";
}) {};
@@ -267918,25 +267971,6 @@ self: {
}) {};
"servant-foreign" = callPackage
- ({ mkDerivation, base, base-compat, hspec, hspec-discover
- , http-types, lens, servant, text
- }:
- mkDerivation {
- pname = "servant-foreign";
- version = "0.15.4";
- sha256 = "0bznb73rbgfgkg7n4pxghkqsfca0yw9vak73c6w8sqvc2mjnc7mz";
- revision = "8";
- editedCabalFile = "0dkcdch9m307ydziyh5gg2lnbjvh8p8k2qhwsgjsw9ss5sy0s9pf";
- libraryHaskellDepends = [
- base base-compat http-types lens servant text
- ];
- testHaskellDepends = [ base hspec servant ];
- testToolDepends = [ hspec-discover ];
- description = "Helpers for generating clients for servant APIs in any programming language";
- license = lib.licenses.bsd3;
- }) {};
-
- "servant-foreign_0_16" = callPackage
({ mkDerivation, base, base-compat, hspec, hspec-discover
, http-types, lens, servant, text
}:
@@ -267953,7 +267987,6 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Helpers for generating clients for servant APIs in any programming language";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"servant-gdp" = callPackage
@@ -268124,43 +268157,6 @@ self: {
}) {};
"servant-http-streams" = callPackage
- ({ mkDerivation, aeson, base, base-compat, bytestring
- , case-insensitive, containers, deepseq, entropy, exceptions, hspec
- , hspec-discover, http-api-data, http-common, http-media
- , http-streams, http-types, HUnit, io-streams, kan-extensions
- , markdown-unlit, monad-control, mtl, network, QuickCheck
- , semigroupoids, servant, servant-client-core, servant-server, stm
- , tdigest, text, time, transformers, transformers-base
- , transformers-compat, wai, warp
- }:
- mkDerivation {
- pname = "servant-http-streams";
- version = "0.18.4";
- sha256 = "15f24rcgz839cb38q4gs1liqrdyqjbazcqzjdxmv4307x072pv3a";
- revision = "7";
- editedCabalFile = "1m7zdskz9dv51xzjw8bxwssfsir0fz0dsi9hx785fnc3a0lvvrlz";
- libraryHaskellDepends = [
- base base-compat bytestring case-insensitive containers deepseq
- exceptions http-common http-media http-streams http-types
- io-streams kan-extensions monad-control mtl semigroupoids servant
- servant-client-core text time transformers transformers-base
- transformers-compat
- ];
- testHaskellDepends = [
- aeson base base-compat bytestring deepseq entropy hspec
- http-api-data http-streams http-types HUnit kan-extensions
- markdown-unlit mtl network QuickCheck servant servant-client-core
- servant-server stm tdigest text transformers transformers-compat
- wai warp
- ];
- testToolDepends = [ hspec-discover markdown-unlit ];
- description = "Automatic derivation of querying functions for servant";
- license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- broken = true;
- }) {};
-
- "servant-http-streams_0_20" = callPackage
({ mkDerivation, aeson, base, base-compat, bytestring
, case-insensitive, containers, deepseq, entropy, exceptions, hspec
, hspec-discover, http-api-data, http-common, http-media
@@ -268282,6 +268278,7 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Automatically derive javascript functions to query servant webservices";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"servant-jsonrpc" = callPackage
@@ -268295,6 +268292,8 @@ self: {
libraryHaskellDepends = [ aeson base http-media servant text ];
description = "JSON-RPC messages and endpoints";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"servant-jsonrpc-client" = callPackage
@@ -268312,6 +268311,7 @@ self: {
];
description = "Generate JSON-RPC servant clients";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"servant-jsonrpc-server" = callPackage
@@ -268327,6 +268327,7 @@ self: {
];
description = "JSON-RPC servant servers";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"servant-kotlin" = callPackage
@@ -268373,26 +268374,6 @@ self: {
}) {};
"servant-machines" = callPackage
- ({ mkDerivation, base, base-compat, bytestring, http-client
- , http-media, machines, mtl, servant, servant-client
- , servant-server, wai, warp
- }:
- mkDerivation {
- pname = "servant-machines";
- version = "0.15.1";
- sha256 = "0k8abcc72s5bzcf2vmjkxxjnhk45rww6hr3l93msm2510hi6gda4";
- revision = "2";
- editedCabalFile = "0231278v3vs5ca3cx43711kzfbhqj2s7g67zcwrj39ly8i9kxm2n";
- libraryHaskellDepends = [ base bytestring machines mtl servant ];
- testHaskellDepends = [
- base base-compat bytestring http-client http-media machines servant
- servant-client servant-server wai warp
- ];
- description = "Servant Stream support for machines";
- license = lib.licenses.bsd3;
- }) {};
-
- "servant-machines_0_16" = callPackage
({ mkDerivation, base, base-compat, bytestring, http-client
, http-media, machines, mtl, servant, servant-client
, servant-server, wai, warp
@@ -268410,7 +268391,6 @@ self: {
];
description = "Servant Stream support for machines";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"servant-match" = callPackage
@@ -268491,8 +268471,8 @@ self: {
pname = "servant-multipart";
version = "0.12.1";
sha256 = "1p55kb3zhq25ncp7wimhggssn68abfgsnlldk13rk1iajaj6y8y5";
- revision = "5";
- editedCabalFile = "0pf761m9l6jnqhljjj4i6zr9il8r9ajpqfxzqjgjsnbsg6872ykg";
+ revision = "6";
+ editedCabalFile = "092n8hgzl90pqfd4faxbyy692ay92wc5s4c6vfa4zjf358zpkjgl";
libraryHaskellDepends = [
base bytestring directory lens resourcet servant servant-docs
servant-foreign servant-multipart-api servant-server
@@ -268512,8 +268492,8 @@ self: {
pname = "servant-multipart-api";
version = "0.12.1";
sha256 = "1gifa9g7ggs0plzffrd9a8j24dmqvgbkkdkfzyy7mpmwrjqw7mcj";
- revision = "5";
- editedCabalFile = "05243nl7sbc7p5rvqssiqha1qv38a0qp0ppb227pg0x748xh7ai4";
+ revision = "6";
+ editedCabalFile = "1x0vdhadnf7qqz5i8d2bj788farin2nap6fpg07fbcm97nz19mz0";
libraryHaskellDepends = [
base bytestring servant text transformers
];
@@ -268531,6 +268511,8 @@ self: {
pname = "servant-multipart-client";
version = "0.12.2";
sha256 = "0djvbfc1yk8dzadav3lfrq99m7grcxxgxaifj8nc173yfdjdq4y2";
+ revision = "1";
+ editedCabalFile = "1v51mgy6j307sbfi52w6j85wfch178r6gap3d2nx1sws3hh35vh4";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -268681,7 +268663,6 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Generate a Swagger/OpenAPI/OAS 3.0 specification for your servant API.";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"servant-options" = callPackage
@@ -268737,29 +268718,6 @@ self: {
}) {};
"servant-pipes" = callPackage
- ({ mkDerivation, base, base-compat, bytestring, http-client
- , http-media, monad-control, mtl, pipes, pipes-bytestring
- , pipes-safe, servant, servant-client, servant-server, wai, warp
- }:
- mkDerivation {
- pname = "servant-pipes";
- version = "0.15.3";
- sha256 = "1sd01f95rkraa0zdqqg2vwx91zsih0i0hqqkz55nnw9bsbsqhq1n";
- revision = "2";
- editedCabalFile = "1idjvfr8w5hr9dvhj9x08sfpy5xvjf0l7a5jhx9hdlfmrxk1cd8c";
- libraryHaskellDepends = [
- base bytestring monad-control mtl pipes pipes-safe servant
- ];
- testHaskellDepends = [
- base base-compat bytestring http-client http-media pipes
- pipes-bytestring pipes-safe servant servant-client servant-server
- wai warp
- ];
- description = "Servant Stream support for pipes";
- license = lib.licenses.bsd3;
- }) {};
-
- "servant-pipes_0_16" = callPackage
({ mkDerivation, base, base-compat, bytestring, http-client
, http-media, monad-control, mtl, pipes, pipes-bytestring
, pipes-safe, servant, servant-client, servant-server, wai, warp
@@ -268780,7 +268738,6 @@ self: {
];
description = "Servant Stream support for pipes";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"servant-polysemy" = callPackage
@@ -268892,6 +268849,7 @@ self: {
description = "Servant Content-Type for proto-lens protobuf modules";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"servant-purescript" = callPackage
@@ -269001,7 +268959,6 @@ self: {
];
description = "Instances of classes from openapi3 for servant-queryparam-core";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"servant-queryparam-server" = callPackage
@@ -269271,8 +269228,8 @@ self: {
}:
mkDerivation {
pname = "servant-serf";
- version = "0.3.1.4";
- sha256 = "0vl8bs8r0z8rb1v3pd79sbb00b9f7a7i1q85csr313wc9nss6y7p";
+ version = "0.3.1.6";
+ sha256 = "1pqr2cp85k83gy384qjl27kqznpngr85gv6sk593f13nhfxygwh9";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -269314,46 +269271,6 @@ self: {
}) {};
"servant-server" = callPackage
- ({ mkDerivation, aeson, base, base-compat, base64-bytestring
- , bytestring, constraints, containers, directory, exceptions
- , filepath, hspec, hspec-discover, hspec-wai, http-api-data
- , http-media, http-types, monad-control, mtl, network, network-uri
- , QuickCheck, resourcet, safe, servant, should-not-typecheck
- , sop-core, string-conversions, tagged, temporary, text
- , transformers, transformers-base, transformers-compat, wai
- , wai-app-static, wai-extra, warp, word8
- }:
- mkDerivation {
- pname = "servant-server";
- version = "0.19.2";
- sha256 = "1a7msh8p59v5mgsnj5li9s3jg0jwq2zjsznr0cg7g0fncn7r1axy";
- revision = "2";
- editedCabalFile = "1ivyxgncv45lih7rqhkbz9d855mrlgylvy0apq2a97kp3iyy2053";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- base base-compat base64-bytestring bytestring constraints
- containers exceptions filepath http-api-data http-media http-types
- monad-control mtl network network-uri resourcet servant sop-core
- string-conversions tagged text transformers transformers-base wai
- wai-app-static word8
- ];
- executableHaskellDepends = [
- aeson base base-compat servant text wai warp
- ];
- testHaskellDepends = [
- aeson base base-compat base64-bytestring bytestring directory hspec
- hspec-wai http-types mtl QuickCheck resourcet safe servant
- should-not-typecheck sop-core string-conversions temporary text
- transformers transformers-compat wai wai-extra
- ];
- testToolDepends = [ hspec-discover ];
- description = "A family of combinators for defining webservices APIs and serving them";
- license = lib.licenses.bsd3;
- mainProgram = "greet";
- }) {};
-
- "servant-server_0_20" = callPackage
({ mkDerivation, aeson, base, base-compat, base64-bytestring
, bytestring, constraints, containers, directory, exceptions
, filepath, hspec, hspec-discover, hspec-wai, http-api-data
@@ -269390,7 +269307,6 @@ self: {
testToolDepends = [ hspec-discover ];
description = "A family of combinators for defining webservices APIs and serving them";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
mainProgram = "greet";
}) {};
@@ -269669,36 +269585,6 @@ self: {
}) {};
"servant-swagger" = callPackage
- ({ mkDerivation, aeson, aeson-pretty, base, base-compat, bytestring
- , Cabal, cabal-doctest, directory, doctest, filepath, hspec
- , hspec-discover, http-media, insert-ordered-containers, lens
- , lens-aeson, QuickCheck, servant, singleton-bool, swagger2
- , template-haskell, text, time, unordered-containers, utf8-string
- , vector
- }:
- mkDerivation {
- pname = "servant-swagger";
- version = "1.1.11";
- sha256 = "1kvnjq3iqc8xbgmbaz881r48vj4cpzx7pkwdgwbhqlmhg808bfgl";
- revision = "1";
- editedCabalFile = "0g2hcf4zrkg8cailad87f3kiv1pnnyw453h9cslwc6kyfx9m3csn";
- setupHaskellDepends = [ base Cabal cabal-doctest ];
- libraryHaskellDepends = [
- aeson aeson-pretty base base-compat bytestring hspec http-media
- insert-ordered-containers lens QuickCheck servant singleton-bool
- swagger2 text unordered-containers
- ];
- testHaskellDepends = [
- aeson base base-compat directory doctest filepath hspec lens
- lens-aeson QuickCheck servant swagger2 template-haskell text time
- utf8-string vector
- ];
- testToolDepends = [ hspec-discover ];
- description = "Generate a Swagger/OpenAPI/OAS 2.0 specification for your servant API.";
- license = lib.licenses.bsd3;
- }) {};
-
- "servant-swagger_1_2" = callPackage
({ mkDerivation, aeson, aeson-pretty, base, base-compat, bytestring
, Cabal, cabal-doctest, directory, doctest, filepath, hspec
, hspec-discover, http-media, insert-ordered-containers, lens
@@ -269726,7 +269612,6 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Generate a Swagger/OpenAPI/OAS 2.0 specification for your servant API.";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"servant-swagger-tags" = callPackage
@@ -269884,6 +269769,8 @@ self: {
];
description = "Typed error wrapper for Servant";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"servant-typescript" = callPackage
@@ -269963,6 +269850,7 @@ self: {
license = lib.licenses.mpl20;
hydraPlatforms = lib.platforms.none;
mainProgram = "servant-util-examples";
+ broken = true;
}) {};
"servant-util-beam-pg" = callPackage
@@ -270096,6 +269984,22 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "servant-xml_1_0_3" = callPackage
+ ({ mkDerivation, base, bytestring, http-media, servant, xmlbf
+ , xmlbf-xeno
+ }:
+ mkDerivation {
+ pname = "servant-xml";
+ version = "1.0.3";
+ sha256 = "1rn79pc0wvrzk5z8zcdir2ydia2bwksxrqbw5rma0ab0zsk3qvxf";
+ libraryHaskellDepends = [
+ base bytestring http-media servant xmlbf xmlbf-xeno
+ ];
+ description = "Servant support for the XML Content-Type";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"servant-xml-conduit" = callPackage
({ mkDerivation, base, bytestring, conduit, http-media, servant
, xml-conduit, xml-types
@@ -270389,7 +270293,6 @@ self: {
];
description = "Snap bindings for serversession";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"serversession-frontend-wai" = callPackage
@@ -270513,6 +270416,7 @@ self: {
description = "Snaplet for the ses-html package";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"sessions" = callPackage
@@ -270616,6 +270520,8 @@ self: {
libraryHaskellDepends = [ base containers mtl syb ];
description = "Functions that could be added to Data.Set.";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"set-monad" = callPackage
@@ -270817,6 +270723,8 @@ self: {
];
description = "Ducktyped set interface for Haskell containers";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"setters" = callPackage
@@ -270970,6 +270878,8 @@ self: {
];
description = "A flexible library for parsing and printing S-expression";
license = "unknown";
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"sext" = callPackage
@@ -271166,10 +271076,8 @@ self: {
}:
mkDerivation {
pname = "sha1";
- version = "0.1.1.0";
- sha256 = "0qb3y13671lld2zkgnr720xacy8fmvv5g86ayhmi0xkx36ldkv7g";
- revision = "1";
- editedCabalFile = "16zxk8qdxysfsjxlicm4xjamnxg9mysdgwrfwc4qa67anqkqgxdv";
+ version = "0.1.1.1";
+ sha256 = "0bdrcsis3m8kkbwl6hvshkmfpymxja65hhr70avdxcwbpcm7slkx";
libraryHaskellDepends = [
base bytebuild byteslice primitive run-st
];
@@ -271293,10 +271201,8 @@ self: {
}:
mkDerivation {
pname = "shake";
- version = "0.19.7";
- sha256 = "1lcr6q53qwm308bny6gfawcjhxsmalqi3dnwckam02zp2apmcaim";
- revision = "1";
- editedCabalFile = "1hz57kw5pp5cpbicbi7x8sz8c6qy58dzyiljz9b9f2r1rr683d9w";
+ version = "0.19.8";
+ sha256 = "0db5kmza7jp4f691q31lnp29m2bb0y0qis0r6zrhnnd24qxf7133";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -271336,6 +271242,7 @@ self: {
];
description = "Utilities for building ATS projects with shake";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"shake-bench" = callPackage
@@ -271511,8 +271418,8 @@ self: {
}:
mkDerivation {
pname = "shake-futhark";
- version = "0.2.0.2";
- sha256 = "0rcm4m65l7lv0nzxwq29vklpxv960b1x3kx2fvrbyb9r2carh5h5";
+ version = "0.2.0.3";
+ sha256 = "1qxqn7iq235rbbcwr95agdmgyl6gp9hxazn9n0219ypq165gsfwg";
libraryHaskellDepends = [
base containers directory filepath futhark shake text
];
@@ -271958,7 +271865,9 @@ self: {
];
description = "physics engine and other tools for 2D shapes";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "shapes-bench";
+ broken = true;
}) {};
"shapes-demo" = callPackage
@@ -271978,6 +271887,7 @@ self: {
];
description = "demos for the 'shapes' package";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "shapes-demo";
}) {};
@@ -272224,8 +272134,8 @@ self: {
}:
mkDerivation {
pname = "shellify";
- version = "0.11.0.0";
- sha256 = "0wb8v86x26mi6phz5vkklv1fm0v6j99qqc8zlhapha67jxs1v8x2";
+ version = "0.11.0.1";
+ sha256 = "1ighr9py1c2njiwdzb4fy0rlz7k8zb51la5mr8ciwh7r8zkmi0gv";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -272329,27 +272239,6 @@ self: {
}) {};
"shelltestrunner" = callPackage
- ({ mkDerivation, base, cmdargs, Diff, directory, filemanip
- , filepath, HUnit, parsec, pretty-show, process, regex-tdfa, safe
- , test-framework, test-framework-hunit, utf8-string
- }:
- mkDerivation {
- pname = "shelltestrunner";
- version = "1.9.0.1";
- sha256 = "1a2sn69hmmyw6v2cvaf3pdv160qwing2cgrsfrxbfsqcc85g7mqj";
- isLibrary = false;
- isExecutable = true;
- executableHaskellDepends = [
- base cmdargs Diff directory filemanip filepath HUnit parsec
- pretty-show process regex-tdfa safe test-framework
- test-framework-hunit utf8-string
- ];
- description = "Easy, repeatable testing of CLI programs/commands";
- license = "GPL";
- mainProgram = "shelltest";
- }) {};
-
- "shelltestrunner_1_10" = callPackage
({ mkDerivation, base, cmdargs, Diff, directory, filemanip
, filepath, hspec, hspec-contrib, hspec-core, HUnit, parsec
, pretty-show, process, regex-tdfa, safe, test-framework
@@ -272368,7 +272257,6 @@ self: {
];
description = "Easy, repeatable testing of CLI programs/commands";
license = "GPL";
- hydraPlatforms = lib.platforms.none;
mainProgram = "shelltest";
}) {};
@@ -272441,22 +272329,24 @@ self: {
];
description = "A Haskell implementation of the Shen programming language";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "shen";
+ broken = true;
}) {};
"shh" = callPackage
- ({ mkDerivation, async, base, bytestring, Cabal, cabal-doctest
- , containers, deepseq, directory, doctest, filepath, markdown-unlit
- , mtl, process, PyF, split, stringsearch, tasty, tasty-hunit
- , tasty-quickcheck, template-haskell, temporary, unix, utf8-string
+ ({ mkDerivation, async, base, bytestring, Cabal, containers
+ , deepseq, directory, filepath, markdown-unlit, mtl, process, PyF
+ , split, stringsearch, tasty, tasty-hunit, tasty-quickcheck
+ , template-haskell, temporary, unix, utf8-string
}:
mkDerivation {
pname = "shh";
- version = "0.7.2.2";
- sha256 = "1y12a65wf4k2piq49k8v0j01py1vlfmlg4y8p6nxh80qcw46g6li";
+ version = "0.7.3.0";
+ sha256 = "18rb6jhkazl64mixnw0s4v824m4vvmnpap3lvv917xsjj605xx1a";
isLibrary = true;
isExecutable = true;
- setupHaskellDepends = [ base Cabal cabal-doctest ];
+ setupHaskellDepends = [ base Cabal ];
libraryHaskellDepends = [
async base bytestring containers deepseq directory filepath mtl
process split stringsearch template-haskell unix utf8-string
@@ -272465,8 +272355,8 @@ self: {
async base bytestring deepseq directory temporary unix
];
testHaskellDepends = [
- async base bytestring directory doctest filepath PyF tasty
- tasty-hunit tasty-quickcheck utf8-string
+ async base bytestring directory filepath PyF tasty tasty-hunit
+ tasty-quickcheck utf8-string
];
testToolDepends = [ markdown-unlit ];
description = "Simple shell scripting from Haskell";
@@ -273037,8 +272927,8 @@ self: {
}:
mkDerivation {
pname = "si-timers";
- version = "1.3.0.0";
- sha256 = "05vclkfidy0cmspa5c79lb8dhn4c2jxq6559vcaq2dkgmqqd3fl5";
+ version = "1.4.0.0";
+ sha256 = "0mw53f830qhk99q5q1hbbh2ci2fzqg5k71lzjp39h50jxa6g776d";
libraryHaskellDepends = [
base deepseq io-classes mtl nothunks stm time
];
@@ -273265,6 +273155,7 @@ self: {
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
mainProgram = "signable-haskell-protoc";
+ broken = true;
}) {};
"signal" = callPackage
@@ -273294,7 +273185,9 @@ self: {
executableHaskellDepends = [ base bytestring dbus time unliftio ];
description = "Bindings for signal-cli's DBus interface";
license = "AGPL";
+ hydraPlatforms = lib.platforms.none;
mainProgram = "signal-dbus-example";
+ broken = true;
}) {};
"signals" = callPackage
@@ -274361,15 +274254,23 @@ self: {
}) {};
"simple-sql-parser" = callPackage
- ({ mkDerivation, base, mtl, parsec, pretty, tasty, tasty-hunit }:
+ ({ mkDerivation, base, containers, megaparsec, mtl
+ , parser-combinators, prettyprinter, tasty, tasty-hunit, text
+ }:
mkDerivation {
pname = "simple-sql-parser";
- version = "0.6.0";
- sha256 = "11jpqh00nfdldpanrcy01jd6xyg4xvz3b8g4csc8kswc47wff82i";
+ version = "0.7.1";
+ sha256 = "0jzmc5ian4y2jpng8c5xqf8igx5h186nw131vh6vq76nyzxxbx87";
isLibrary = true;
isExecutable = true;
- libraryHaskellDepends = [ base mtl parsec pretty ];
- testHaskellDepends = [ base mtl parsec pretty tasty tasty-hunit ];
+ libraryHaskellDepends = [
+ base containers megaparsec mtl parser-combinators prettyprinter
+ text
+ ];
+ testHaskellDepends = [
+ base containers megaparsec mtl parser-combinators prettyprinter
+ tasty tasty-hunit text
+ ];
description = "A parser for SQL";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
@@ -274692,6 +274593,8 @@ self: {
librarySystemDepends = [ libssh2 ];
description = "Simple wrapper around libssh2";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {inherit (pkgs) libssh2;};
"simplest-sqlite" = callPackage
@@ -274727,7 +274630,9 @@ self: {
];
description = "A simple markup language that translates to LaTeX";
license = lib.licenses.gpl3Only;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "simplex";
+ broken = true;
}) {};
"simplex-basic" = callPackage
@@ -274954,19 +274859,6 @@ self: {
}) {};
"singleton-bool" = callPackage
- ({ mkDerivation, base, boring, dec, deepseq, some }:
- mkDerivation {
- pname = "singleton-bool";
- version = "0.1.6";
- sha256 = "1pc34dbzx5g3vw5w03zifvqva3whyvxzfy3yh78qkpd05f0g98sw";
- revision = "2";
- editedCabalFile = "1l4nx664awgwzk3ih5idsgnj220jqdr1c55241xjv7fz7lwyhh5r";
- libraryHaskellDepends = [ base boring dec deepseq some ];
- description = "Type level booleans";
- license = lib.licenses.bsd3;
- }) {};
-
- "singleton-bool_0_1_7" = callPackage
({ mkDerivation, base, boring, dec, deepseq, some }:
mkDerivation {
pname = "singleton-bool";
@@ -274977,7 +274869,6 @@ self: {
libraryHaskellDepends = [ base boring dec deepseq some ];
description = "Type level booleans";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"singleton-dict" = callPackage
@@ -275002,6 +274893,7 @@ self: {
libraryHaskellDepends = [ base singletons singletons-base ];
description = "Unary natural numbers relying on the singletons infrastructure";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"singleton-typelits" = callPackage
@@ -275038,8 +274930,8 @@ self: {
}:
mkDerivation {
pname = "singletons-base";
- version = "3.1.1";
- sha256 = "0d32c1dmi8mlrli0927g3hy6gip4c9w0myza3x594nlb6cnwdj6f";
+ version = "3.2";
+ sha256 = "0m96dz9k5wls5m3vls65clws1yfd73skblv878yj06lw9nmwshy9";
setupHaskellDepends = [ base Cabal directory filepath ];
libraryHaskellDepends = [
base pretty singletons singletons-th template-haskell text
@@ -275051,6 +274943,8 @@ self: {
];
description = "A promoted and singled version of the base library";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"singletons-base_3_3" = callPackage
@@ -275074,6 +274968,7 @@ self: {
description = "A promoted and singled version of the base library";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"singletons-presburger" = callPackage
@@ -275082,8 +274977,8 @@ self: {
}:
mkDerivation {
pname = "singletons-presburger";
- version = "0.7.2.0";
- sha256 = "1rycf37951dz6mblj65mj1aahlsm2d15mzz65qr52fccpn965v29";
+ version = "0.7.3.0";
+ sha256 = "00dbagcga3pkbss4asa383vabb42yprv29fp6sqq5drkw6pja32v";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -275092,6 +274987,7 @@ self: {
];
description = "Presburger Arithmetic Solver for GHC Type-level natural numbers with Singletons package";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"singletons-th" = callPackage
@@ -275100,8 +274996,8 @@ self: {
}:
mkDerivation {
pname = "singletons-th";
- version = "3.1.1";
- sha256 = "1bp9abhbk6ad27p0ksqx2nhrkp6r9dgx20dzyl3bq1zf6nz92ss6";
+ version = "3.2";
+ sha256 = "1r4m9h9wwq86lww3aq7jxzias78ix79kgnj24slaps848d7hhpkp";
libraryHaskellDepends = [
base containers ghc-boot-th mtl singletons syb template-haskell
th-desugar th-orphans transformers
@@ -275386,6 +275282,8 @@ self: {
testHaskellDepends = [ base bytestring containers lens mtl ];
description = "An eDSL for writing 65(C)02 bytecode";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"size-based" = callPackage
@@ -275413,8 +275311,8 @@ self: {
}:
mkDerivation {
pname = "sized";
- version = "1.1.0.0";
- sha256 = "06wgq9ll62a486fvd0fy5b69zbdj2cf6j1zc42j0c25aa4jqcv0s";
+ version = "1.1.0.1";
+ sha256 = "0ncgzl6qif9zs78d4hp7vmghv99mx3vvydn3ls37k1fvqqpwz2z9";
libraryHaskellDepends = [
base constraints containers deepseq equational-reasoning
ghc-typelits-knownnat ghc-typelits-presburger hashable lens
@@ -275851,32 +275749,6 @@ self: {
}) {};
"skylighting" = callPackage
- ({ mkDerivation, base, binary, blaze-html, bytestring, containers
- , pretty-show, skylighting-core, skylighting-format-ansi
- , skylighting-format-blaze-html, skylighting-format-context
- , skylighting-format-latex, text
- }:
- mkDerivation {
- pname = "skylighting";
- version = "0.13.4.1";
- sha256 = "091cjjv8y0y5pfz5fphyzs94nzslbz8j5i07ma6pfqd1bjrh9xzi";
- configureFlags = [ "-fexecutable" ];
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- base binary containers skylighting-core skylighting-format-ansi
- skylighting-format-blaze-html skylighting-format-context
- skylighting-format-latex
- ];
- executableHaskellDepends = [
- base blaze-html bytestring containers pretty-show text
- ];
- description = "syntax highlighting library";
- license = lib.licenses.gpl2Only;
- mainProgram = "skylighting";
- }) {};
-
- "skylighting_0_14_1" = callPackage
({ mkDerivation, base, binary, blaze-html, bytestring, containers
, pretty-show, skylighting-core, skylighting-format-ansi
, skylighting-format-blaze-html, skylighting-format-context
@@ -275899,41 +275771,10 @@ self: {
];
description = "syntax highlighting library";
license = lib.licenses.gpl2Only;
- hydraPlatforms = lib.platforms.none;
mainProgram = "skylighting";
}) {};
"skylighting-core" = callPackage
- ({ mkDerivation, aeson, attoparsec, base, base64-bytestring, binary
- , bytestring, case-insensitive, colour, containers, criterion, Diff
- , directory, filepath, mtl, pretty-show, QuickCheck, safe, tasty
- , tasty-golden, tasty-hunit, tasty-quickcheck, text, transformers
- , utf8-string, xml-conduit
- }:
- mkDerivation {
- pname = "skylighting-core";
- version = "0.13.4.1";
- sha256 = "1hz2r8qpkjf9m5fgpw39vqp3rq1cbkamxss65i40bqihbjzysm65";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- aeson attoparsec base base64-bytestring binary bytestring
- case-insensitive colour containers directory filepath mtl safe text
- transformers utf8-string xml-conduit
- ];
- testHaskellDepends = [
- aeson base bytestring containers Diff directory filepath
- pretty-show QuickCheck tasty tasty-golden tasty-hunit
- tasty-quickcheck text
- ];
- benchmarkHaskellDepends = [
- base containers criterion filepath text
- ];
- description = "syntax highlighting library";
- license = lib.licenses.bsd3;
- }) {};
-
- "skylighting-core_0_14_1" = callPackage
({ mkDerivation, aeson, attoparsec, base, base64-bytestring, binary
, bytestring, case-insensitive, colour, containers, criterion, Diff
, directory, filepath, mtl, pretty-show, QuickCheck, safe, tasty
@@ -275961,7 +275802,6 @@ self: {
];
description = "syntax highlighting library";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"skylighting-extensions" = callPackage
@@ -276046,6 +275886,8 @@ self: {
];
description = "Lucid support for Skylighting";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"skylighting-modding" = callPackage
@@ -276188,7 +276030,9 @@ self: {
text transformers wreq
];
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "slack-progressbar-exe";
+ broken = true;
}) {};
"slack-verify" = callPackage
@@ -276246,6 +276090,8 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Bindings for the Slack web API";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"slate" = callPackage
@@ -277236,8 +277082,8 @@ self: {
pname = "smtlib-backends";
version = "0.3";
sha256 = "13pyic8zq0dv7w529pciw0zfpzx63mrf3bq5nillsswbk0czv0qw";
- revision = "1";
- editedCabalFile = "1w7vcgj8x4w9py2b49rqn8gkqybfx3vzz4nyggli2b6vm2bpz5v9";
+ revision = "2";
+ editedCabalFile = "1g2pfmdmqkf3agxnzirgpp9sibjz5m89pjnx7f1i98znk6nswix9";
libraryHaskellDepends = [ base bytestring ];
description = "Low-level functions for SMT-LIB-based interaction with SMT solvers";
license = lib.licenses.mit;
@@ -277251,8 +277097,8 @@ self: {
pname = "smtlib-backends-process";
version = "0.3";
sha256 = "0jc7fmf3x53w8v0a8cj8v8r2f4gpn1jhndl80hyqzsblvrw5hcfg";
- revision = "1";
- editedCabalFile = "07g1pwg3ss364yg79xychls0bn145985pscr4vxs5j213zlr7viy";
+ revision = "2";
+ editedCabalFile = "09hszx3ba4d5x11sdv8fagb0nkg1m0q32wc264x5x0pnsv9k3wfa";
libraryHaskellDepends = [
base bytestring process smtlib-backends
];
@@ -277285,10 +277131,8 @@ self: {
}:
mkDerivation {
pname = "smtlib-backends-z3";
- version = "0.3";
- sha256 = "1dny8jmkx1aclq5sbn4kgnpn0sg1rf34za0j6ppggzmh647aim8l";
- revision = "1";
- editedCabalFile = "094jq4fizsaj5yy3m9z5xv8zm5h110y0a91rkqzyml7f57yzlj5p";
+ version = "0.3.1";
+ sha256 = "1mgjlfhqcbqc84a87g6bp0i9pnmpz87b7w43x200mwx1k877zddy";
libraryHaskellDepends = [ base bytestring smtlib-backends ];
librarySystemDepends = [ gomp z3 ];
testHaskellDepends = [
@@ -277402,6 +277246,7 @@ self: {
];
description = "Simple email sending via SMTP";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"smtp-mail-ng" = callPackage
@@ -277559,6 +277404,8 @@ self: {
testToolDepends = [ hspec-discover ];
description = "A programming language with no semantics";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"snake" = callPackage
@@ -277625,7 +277472,6 @@ self: {
];
description = "Top-level package for the Snap Web Framework";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
maintainers = [ lib.maintainers.maralorn ];
}) {};
@@ -277798,6 +277644,7 @@ self: {
description = "Collect errors in batches and dispatch them";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"snap-extras" = callPackage
@@ -277921,6 +277768,7 @@ self: {
description = "Typesafe URLs for Snap applications";
license = lib.licenses.mit;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"snap-server" = callPackage
@@ -277972,8 +277820,8 @@ self: {
}:
mkDerivation {
pname = "snap-stream";
- version = "0.1.1.0";
- sha256 = "1p1ip3rsk9xmy9758csf1c4nxng9kas5qya2nlcrd02q3lmfna6x";
+ version = "0.1.1.1";
+ sha256 = "1b6g8zdj4xn1gq0q6d31kqc5fssrrl1w4jwklcjxq6kr91icjmnm";
libraryHaskellDepends = [
attoparsec base bytestring io-streams snap-core
];
@@ -278027,6 +277875,7 @@ self: {
description = "A library for BDD-style testing with the Snap Web Framework";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"snap-utils" = callPackage
@@ -278075,6 +277924,7 @@ self: {
description = "acid-state snaplet for Snap Framework";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"snaplet-actionlog" = callPackage
@@ -278118,6 +277968,7 @@ self: {
description = "Snap framework snaplet for the AMQP library";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"snaplet-auth-acid" = callPackage
@@ -278159,6 +278010,7 @@ self: {
description = "CoffeeScript for Snap, auto-compilation and pre-compilation";
license = lib.licenses.gpl3Only;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"snaplet-css-min" = callPackage
@@ -278176,6 +278028,7 @@ self: {
description = "A Snaplet for CSS minification";
license = lib.licenses.mit;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"snaplet-customauth" = callPackage
@@ -278216,6 +278069,7 @@ self: {
description = "DEPRECATED! You should use standard Snap >= 0.9 \"environments\" functionality. It provided ability to easly read configuration based on given app environment given at command line, envs are defined in app configuration file";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"snaplet-fay" = callPackage
@@ -278252,7 +278106,6 @@ self: {
];
description = "Serve javascript files compiled with GHCJS";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"snaplet-hasql" = callPackage
@@ -278325,6 +278178,7 @@ self: {
description = "Snap framework snaplet for the Logger API library";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"snaplet-i18n" = callPackage
@@ -278348,7 +278202,6 @@ self: {
];
description = "snaplet-i18n";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
mainProgram = "demo";
}) {};
@@ -278369,6 +278222,7 @@ self: {
description = "Snap framework snaplet for the InfluxDB library";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"snaplet-lss" = callPackage
@@ -278403,6 +278257,7 @@ self: {
description = "Snap framework snaplet for the Mandrill API library";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"snaplet-mongoDB" = callPackage
@@ -278438,6 +278293,7 @@ self: {
description = "Minimalistic MongoDB Snaplet";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"snaplet-mysql-simple" = callPackage
@@ -278507,6 +278363,7 @@ self: {
description = "persistent snaplet for the Snap Framework";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"snaplet-postgresql-simple" = callPackage
@@ -278532,6 +278389,7 @@ self: {
description = "postgresql-simple snaplet for the Snap Framework";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"snaplet-postmark" = callPackage
@@ -278566,6 +278424,7 @@ self: {
description = "Automatic (re)compilation of purescript projects";
license = lib.licenses.mit;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"snaplet-recaptcha" = callPackage
@@ -278604,6 +278463,7 @@ self: {
description = "Redis support for Snap Framework";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"snaplet-redson" = callPackage
@@ -278678,6 +278538,7 @@ self: {
description = "Sass integration for Snap with request- and pre-compilation";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"snaplet-scoped-session" = callPackage
@@ -278695,6 +278556,7 @@ self: {
description = "Modularised session state for Snaplets, in a Snaplet";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"snaplet-sedna" = callPackage
@@ -278729,6 +278591,7 @@ self: {
description = "Snaplet for the ses-html package";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"snaplet-sqlite-simple" = callPackage
@@ -278760,6 +278623,7 @@ self: {
description = "sqlite-simple snaplet for the Snap Framework";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"snaplet-sqlite-simple-jwt-auth" = callPackage
@@ -278834,6 +278698,7 @@ self: {
description = "Typed session snaplets and continuation-based programming for the Snap web framework";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"snaplet-wordpress" = callPackage
@@ -278881,6 +278746,37 @@ self: {
license = lib.licenses.bsd3;
}) {inherit (pkgs) snappy;};
+ "snappy-c" = callPackage
+ ({ mkDerivation, base, bytestring, conduit, criterion, data-default
+ , deepseq, digest, mtl, optparse-applicative, random, snappy
+ , snappy-lazy, tasty, tasty-hunit, tasty-quickcheck, zlib
+ }:
+ mkDerivation {
+ pname = "snappy-c";
+ version = "0.1.0";
+ sha256 = "02q3bikni0ajwiiah3w6bay24wc9ip6jgnb2nidnwshk4s7i84y7";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ base bytestring data-default digest mtl
+ ];
+ librarySystemDepends = [ snappy ];
+ executableHaskellDepends = [
+ base bytestring conduit data-default optparse-applicative
+ ];
+ testHaskellDepends = [
+ base bytestring tasty tasty-hunit tasty-quickcheck
+ ];
+ benchmarkHaskellDepends = [
+ base bytestring criterion deepseq random snappy-lazy zlib
+ ];
+ description = "Bindings to Google's Snappy: A fast compression library";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ mainProgram = "snappy-cli";
+ broken = true;
+ }) {inherit (pkgs) snappy;};
+
"snappy-conduit" = callPackage
({ mkDerivation, base, bytestring, conduit, snappy }:
mkDerivation {
@@ -279286,6 +279182,7 @@ self: {
];
description = "TLS-enabled SOAP transport (using tls package)";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"sock2stream" = callPackage
@@ -279520,6 +279417,7 @@ self: {
doHaddock = false;
description = "Support for the Sockets and Pipes book";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
}) {};
"socketson" = callPackage
@@ -279628,6 +279526,33 @@ self: {
mainProgram = "solana-staking-csvs";
}) {};
+ "solana-staking-csvs_0_1_3_0" = callPackage
+ ({ mkDerivation, aeson, base, bytestring, cassava, cmdargs
+ , cointracking-imports, containers, exceptions, hedgehog
+ , http-client, http-types, mtl, req, scientific, tasty
+ , tasty-hedgehog, tasty-hunit, text, time
+ }:
+ mkDerivation {
+ pname = "solana-staking-csvs";
+ version = "0.1.3.0";
+ sha256 = "1rpz8iv0lb8v923m4n5yak1wxly49rpsy69wzjghlvvqfq5ir63a";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ aeson base bytestring cassava cmdargs cointracking-imports
+ containers exceptions http-client http-types mtl req scientific
+ text time
+ ];
+ executableHaskellDepends = [ base ];
+ testHaskellDepends = [
+ base hedgehog tasty tasty-hedgehog tasty-hunit
+ ];
+ description = "Generate CSV Exports of your Solana Staking Rewards";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ mainProgram = "solana-staking-csvs";
+ }) {};
+
"solar" = callPackage
({ mkDerivation, base, time }:
mkDerivation {
@@ -279747,18 +279672,6 @@ self: {
}) {};
"some" = callPackage
- ({ mkDerivation, base, deepseq }:
- mkDerivation {
- pname = "some";
- version = "1.0.4.1";
- sha256 = "1qy840b2f58f0jxmw4q9sfgbx64kypzdlqnwc72md5wwv84b9b1d";
- libraryHaskellDepends = [ base deepseq ];
- testHaskellDepends = [ base ];
- description = "Existential type: Some";
- license = lib.licenses.bsd3;
- }) {};
-
- "some_1_0_6" = callPackage
({ mkDerivation, base, base-orphans, deepseq }:
mkDerivation {
pname = "some";
@@ -279768,7 +279681,6 @@ self: {
testHaskellDepends = [ base ];
description = "Existential type: Some";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"some-dict-of" = callPackage
@@ -279879,19 +279791,6 @@ self: {
}) {};
"sorted-list" = callPackage
- ({ mkDerivation, base, criterion, deepseq, QuickCheck }:
- mkDerivation {
- pname = "sorted-list";
- version = "0.2.1.2";
- sha256 = "0g4b8pcvqjx7qqrd4ga53n8ff2k0hcmkzj6wzq560i2lqiyzjpx5";
- libraryHaskellDepends = [ base deepseq ];
- testHaskellDepends = [ base QuickCheck ];
- benchmarkHaskellDepends = [ base criterion ];
- description = "Type-enforced sorted lists and related functions";
- license = lib.licenses.bsd3;
- }) {};
-
- "sorted-list_0_2_2_0" = callPackage
({ mkDerivation, base, criterion, deepseq, QuickCheck }:
mkDerivation {
pname = "sorted-list";
@@ -279902,7 +279801,6 @@ self: {
benchmarkHaskellDepends = [ base criterion ];
description = "Type-enforced sorted lists and related functions";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"sortee" = callPackage
@@ -279988,19 +279886,19 @@ self: {
"souffle-haskell" = callPackage
({ mkDerivation, array, base, bytestring, criterion, deepseq
, directory, filepath, hedgehog, hspec, hspec-hedgehog, mtl
- , process, profunctors, temporary, text, text-short, vector
+ , process, profunctors, temporary, text, vector
}:
mkDerivation {
pname = "souffle-haskell";
- version = "3.5.1";
- sha256 = "0vxwbs0nc7rvlcfb62nx8fa5kghwgxakg4djqmsrcq2qdvxvifbh";
+ version = "4.0.0";
+ sha256 = "1mvg53w1s5nh0md9zpkm8gnydy9i2ympnqxyhgn9z9wrs6a265fx";
libraryHaskellDepends = [
array base bytestring deepseq directory filepath mtl process
- profunctors temporary text text-short vector
+ profunctors temporary text vector
];
testHaskellDepends = [
array base directory hedgehog hspec hspec-hedgehog profunctors
- temporary text text-short vector
+ temporary text vector
];
benchmarkHaskellDepends = [ base criterion deepseq text vector ];
description = "Souffle Datalog bindings for Haskell";
@@ -280721,24 +280619,6 @@ self: {
}) {};
"spatial-math" = callPackage
- ({ mkDerivation, base, binary, cereal, doctest, ghc-prim, lens
- , linear, QuickCheck, test-framework, test-framework-quickcheck2
- }:
- mkDerivation {
- pname = "spatial-math";
- version = "0.2.7.0";
- sha256 = "0n98mpcr4s1c1p00mn04m7izffphsgzja2mins0lzgcycgckc1m4";
- libraryHaskellDepends = [
- base binary cereal ghc-prim lens linear
- ];
- testHaskellDepends = [
- base doctest QuickCheck test-framework test-framework-quickcheck2
- ];
- description = "3d math including quaternions/euler angles/dcms and utility functions";
- license = lib.licenses.bsd3;
- }) {};
-
- "spatial-math_0_5_0_1" = callPackage
({ mkDerivation, base, binary, cereal, doctest, ghc-prim, lens
, linear, QuickCheck, test-framework, test-framework-quickcheck2
, TypeCompose
@@ -280918,8 +280798,8 @@ self: {
pname = "species";
version = "0.4.0.1";
sha256 = "0d9vkplg2lrwb34i2ziaa9hc8dnpkjkmwd5b27kigcqfigck6ym2";
- revision = "4";
- editedCabalFile = "0b6sq54jyx8612x8h6qs4aip7ddx3k6d14kw78w7irdcmxbbqarz";
+ revision = "5";
+ editedCabalFile = "0r3h5gxldzd5kq11a233jd7v94s7r94r5vx0szmdi9q5kp8m4kaj";
libraryHaskellDepends = [
base containers multiset-comb np-extras numeric-prelude
template-haskell
@@ -280986,6 +280866,21 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "speculate_0_4_18" = callPackage
+ ({ mkDerivation, base, cmdargs, containers, express, leancheck }:
+ mkDerivation {
+ pname = "speculate";
+ version = "0.4.18";
+ sha256 = "0pqbrirw3fni46kpdymncczrqn0nsrglgx5m0l0yabfmkv7q45fy";
+ libraryHaskellDepends = [
+ base cmdargs containers express leancheck
+ ];
+ testHaskellDepends = [ base express leancheck ];
+ description = "discovery of properties about Haskell functions";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"speculation" = callPackage
({ mkDerivation, base, ghc-prim, stm, transformers }:
mkDerivation {
@@ -281012,6 +280907,33 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
+ "specup" = callPackage
+ ({ mkDerivation, base, bytestring, extra, hspec, hspec-core
+ , megaparsec, optparse-applicative, process, stache, text, yaml
+ }:
+ mkDerivation {
+ pname = "specup";
+ version = "0.2.0.0";
+ sha256 = "0in1qr13xx41j3whmwch58gk6nqyj7314v0v1s7y97cczsi391q4";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ base bytestring extra megaparsec stache text yaml
+ ];
+ executableHaskellDepends = [
+ base bytestring optparse-applicative text
+ ];
+ testHaskellDepends = [
+ base bytestring hspec hspec-core process text
+ ];
+ description = "Manage the application of templates to custom yaml";
+ license = lib.licenses.gpl2Only;
+ hydraPlatforms = lib.platforms.none;
+ mainProgram = "specup";
+ maintainers = [ lib.maintainers.danielrolls ];
+ broken = true;
+ }) {};
+
"speechmatics" = callPackage
({ mkDerivation, aeson, base, bytestring, heavy-logger, HsOpenSSL
, hspec, http-client, http-client-openssl, http-types
@@ -281364,7 +281286,6 @@ self: {
];
description = "A parallel implementation of the Sorokina/Zeilfelder spline scheme";
license = lib.licenses.agpl3Only;
- hydraPlatforms = lib.platforms.none;
mainProgram = "spline3";
}) {};
@@ -281407,27 +281328,14 @@ self: {
({ mkDerivation, base, QuickCheck }:
mkDerivation {
pname = "split";
- version = "0.2.3.5";
- sha256 = "0n9ip49laq5jwqw0c43lhf69ii8y4lwci9j6d5bjnjim23bai2mz";
+ version = "0.2.5";
+ sha256 = "04x9figcib2zwki2rk29i2n5r73ykbyx9j2lhcisphcphd741njj";
libraryHaskellDepends = [ base ];
testHaskellDepends = [ base QuickCheck ];
description = "Combinator library for splitting lists";
license = lib.licenses.bsd3;
}) {};
- "split_0_2_4" = callPackage
- ({ mkDerivation, base, QuickCheck }:
- mkDerivation {
- pname = "split";
- version = "0.2.4";
- sha256 = "1crixdmpjzahfiwkkm995rj5ymnm60rknqy252dv23pks00f77vl";
- libraryHaskellDepends = [ base ];
- testHaskellDepends = [ base QuickCheck ];
- description = "Combinator library for splitting lists";
- license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- }) {};
-
"split-channel" = callPackage
({ mkDerivation, base }:
mkDerivation {
@@ -281559,7 +281467,9 @@ self: {
];
description = "A tool for visualizing the lifecycle of many concurrent multi-staged processes";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "splot";
+ broken = true;
}) {};
"spooky" = callPackage
@@ -281930,8 +281840,8 @@ self: {
}:
mkDerivation {
pname = "sqids";
- version = "0.2.0.0";
- sha256 = "0sabrvwhzf9xvj9gwnwxjvg4f2qv7qgaabvl7nhrv12zxfjvh5jm";
+ version = "0.2.1.0";
+ sha256 = "16qw5mdvyhbp3m8z6wrw0qzpimnndp4qhwzqdbxzdi528wyi1b3s";
libraryHaskellDepends = [
base bytestring containers mtl text transformers
];
@@ -282065,6 +281975,8 @@ self: {
librarySystemDepends = [ unixODBC ];
description = "Bindings for SQL/CLI (ODBC) C API";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {inherit (pkgs) unixODBC;};
"sqlcli-odbc" = callPackage
@@ -282076,6 +281988,7 @@ self: {
libraryHaskellDepends = [ base logging sqlcli ];
description = "ODBC specific definitions to be used by SQL CLI clients";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"sqlite" = callPackage
@@ -282104,8 +282017,8 @@ self: {
}:
mkDerivation {
pname = "sqlite-easy";
- version = "1.0.0.0";
- sha256 = "0w8mdfx4cwppdadbhqrcsl3lhwwmj7qv0r9r11lcm7y9frah7c02";
+ version = "1.1.0.0";
+ sha256 = "12x89y3hsy51ydb8lnawws9cbqyj9x98mk3pwm5pc62pcwy947yi";
libraryHaskellDepends = [
base bytestring direct-sqlite migrant-core mtl resource-pool text
unliftio-core
@@ -282116,20 +282029,23 @@ self: {
testToolDepends = [ hspec-discover ];
description = "A primitive yet easy to use sqlite library";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"sqlite-simple" = callPackage
({ mkDerivation, attoparsec, base, base16-bytestring, blaze-builder
- , blaze-textual, bytestring, containers, direct-sqlite, HUnit, Only
- , template-haskell, text, time, transformers
+ , blaze-textual, bytestring, containers, direct-sqlite, exceptions
+ , HUnit, Only, template-haskell, text, time, transformers
}:
mkDerivation {
pname = "sqlite-simple";
- version = "0.4.18.2";
- sha256 = "14axfc9ii08g7yx3j9n5hrfrsmab3pjv7n0cshcg4a2lvnkv25fw";
+ version = "0.4.19.0";
+ sha256 = "0fijx4shdr81wxw70m4wj7lqzn38iajlp48mbjva42890qb7swiq";
libraryHaskellDepends = [
attoparsec base blaze-builder blaze-textual bytestring containers
- direct-sqlite Only template-haskell text time transformers
+ direct-sqlite exceptions Only template-haskell text time
+ transformers
];
testHaskellDepends = [
base base16-bytestring bytestring direct-sqlite HUnit text time
@@ -282166,6 +282082,7 @@ self: {
testHaskellDepends = [ base sqlite-simple ];
description = "Interpolated SQLite queries via quasiquotation";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"sqlite-simple-typed" = callPackage
@@ -282525,7 +282442,9 @@ self: {
];
description = "A simple web server for local usage";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "srv";
+ broken = true;
}) {};
"sscan" = callPackage
@@ -282692,7 +282611,9 @@ self: {
];
description = "Wrapper daemon to manage an ssh tunnel";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "sshtun";
+ broken = true;
}) {};
"sssp" = callPackage
@@ -282866,6 +282787,8 @@ self: {
pname = "stache";
version = "2.3.4";
sha256 = "0kgiyxws2kir8q8zrqkzmk103y7hl6nksxl70f6fy8m9fqkjga51";
+ revision = "1";
+ editedCabalFile = "16hg9ry7vkyv218913nn0pdhjk4hzn4a4r15pm2g28mms0bh4lx5";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -282906,8 +282829,8 @@ self: {
}:
mkDerivation {
pname = "stack";
- version = "2.13.1";
- sha256 = "0qdhrm50g0gsyk2q7fxp40all42z3rn53qmfakc3qyj4p9rxdf20";
+ version = "2.15.1";
+ sha256 = "1d9n7kpqvqknjkb4nj9p6i2k2cb7g1x89azw1k9bpkcdsbmhir4q";
configureFlags = [
"-fdisable-git-info" "-fhide-dependency-versions"
"-fsupported-build"
@@ -283015,24 +282938,6 @@ self: {
}) {};
"stack-clean-old" = callPackage
- ({ mkDerivation, base, directory, extra, filemanip, filepath
- , simple-cmd, simple-cmd-args
- }:
- mkDerivation {
- pname = "stack-clean-old";
- version = "0.4.8";
- sha256 = "0jyy6dnycycqqna8ihwvb6czcvb2yrfcg3dhj28xbn7dzpxbc197";
- isLibrary = false;
- isExecutable = true;
- executableHaskellDepends = [
- base directory extra filemanip filepath simple-cmd simple-cmd-args
- ];
- description = "Clean away old stack build artifacts";
- license = lib.licenses.bsd3;
- mainProgram = "stack-clean-old";
- }) {};
-
- "stack-clean-old_0_5_1" = callPackage
({ mkDerivation, base, directory, extra, filemanip, filepath
, simple-cmd, simple-cmd-args, simple-prompt
}:
@@ -283048,7 +282953,6 @@ self: {
];
description = "Clean away old stack build artifacts";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
mainProgram = "stack-clean-old";
}) {};
@@ -283243,7 +283147,9 @@ self: {
];
description = "Create etags for Haskell projects based on Stack snapshots";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "stack-tag";
+ broken = true;
}) {};
"stack-templatizer" = callPackage
@@ -283881,6 +283787,29 @@ self: {
mainProgram = "stagen";
}) {};
+ "stamina" = callPackage
+ ({ mkDerivation, base, exceptions, hspec, http-client, http-types
+ , markdown-unlit, mtl, random, time
+ }:
+ mkDerivation {
+ pname = "stamina";
+ version = "0.1.0.3";
+ sha256 = "0w130kf4vdbsjb4h0ycb1ykj5a3giallggnw0dpn88kzz88q7qjm";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ base exceptions http-client http-types random time
+ ];
+ executableHaskellDepends = [ base exceptions ];
+ executableToolDepends = [ markdown-unlit ];
+ testHaskellDepends = [
+ base exceptions hspec http-client mtl time
+ ];
+ description = "Retries for humans";
+ license = lib.licenses.asl20;
+ mainProgram = "readme";
+ }) {};
+
"stan" = callPackage
({ mkDerivation, array, base, base64, blaze-html, bytestring, clay
, colourista, containers, cryptohash-sha1, dir-traverse, directory
@@ -283891,8 +283820,8 @@ self: {
}:
mkDerivation {
pname = "stan";
- version = "0.1.1.0";
- sha256 = "0w5i4xfzgbsfv3yzl0j9wzqwyi2z4ynfkrqsa4lnbxrf0xnmnazn";
+ version = "0.1.2.1";
+ sha256 = "1v1f2a1j7dfi9yxkcm6q9jh4bv9yh2yndj7k5dj2fa889pgq134r";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -284195,8 +284124,8 @@ self: {
pname = "statestack";
version = "0.3.1.1";
sha256 = "1747qzaj3xqc90fj4ddch3ra5kj52rfd1dn7a8c6fkdk68q76mfd";
- revision = "1";
- editedCabalFile = "0rxndmrq4gj1bc9cf20477n8d6kpri31dyl6x8f4h57yiwhblg55";
+ revision = "2";
+ editedCabalFile = "1k60fkszxxyya6amqyjkz5dsxri3c07kgdr6mb1hw4b3dnvq6gwa";
libraryHaskellDepends = [ base mtl transformers ];
description = "Simple State-like monad transformer with saveable and restorable state";
license = lib.licenses.bsd3;
@@ -284316,29 +284245,29 @@ self: {
"static-ls" = callPackage
({ mkDerivation, array, base, containers, directory, errors, extra
, filepath, ghc, ghc-paths, ghcide, hiedb, hspec, hspec-discover
- , lsp, lsp-types, mtl, sqlite-simple, template-haskell, text
- , transformers, unliftio-core
+ , lsp, lsp-types, mtl, optparse-applicative, parsec, sqlite-simple
+ , template-haskell, text, transformers, unliftio-core
}:
mkDerivation {
pname = "static-ls";
- version = "0.1.0";
- sha256 = "1bxhz8lbfhysjlcc4g9q7b7ijxgr1h52n5gx4fc0iznqrmnkkwn7";
+ version = "0.1.2";
+ sha256 = "0q1nawdwb1f6l2l1bdg2yxydcl5dp38f1wlvgn9wrr1vpkamna72";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
array base containers directory errors extra filepath ghc ghc-paths
- ghcide hiedb lsp lsp-types mtl sqlite-simple template-haskell text
- transformers unliftio-core
+ ghcide hiedb lsp lsp-types mtl parsec sqlite-simple
+ template-haskell text transformers unliftio-core
];
executableHaskellDepends = [
array base containers directory errors extra filepath ghc ghc-paths
- ghcide hiedb lsp lsp-types mtl sqlite-simple template-haskell text
- transformers unliftio-core
+ ghcide hiedb lsp lsp-types mtl optparse-applicative parsec
+ sqlite-simple template-haskell text transformers unliftio-core
];
testHaskellDepends = [
array base containers directory errors extra filepath ghc ghc-paths
- ghcide hiedb hspec lsp lsp-types mtl sqlite-simple template-haskell
- text transformers unliftio-core
+ ghcide hiedb hspec lsp lsp-types mtl parsec sqlite-simple
+ template-haskell text transformers unliftio-core
];
testToolDepends = [ hspec-discover ];
description = "See README on Github for more information";
@@ -284367,6 +284296,8 @@ self: {
];
description = "JavaScript and Css files concat for http optimization. Now with LESS support.";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"static-tensor" = callPackage
@@ -284713,7 +284644,9 @@ self: {
testToolDepends = [ hspec-discover ];
description = "What version is the package X in stackage lts-Y.ZZ?";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "staversion";
+ broken = true;
}) {};
"stb-image" = callPackage
@@ -285097,6 +285030,8 @@ self: {
testHaskellDepends = [ base hspec mtl stm stm-queue ];
description = "A simplistic actor model based on STM";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"stm-channelize" = callPackage
@@ -285283,6 +285218,8 @@ self: {
libraryHaskellDepends = [ base stm transformers ];
description = "Software Transactional Memory lifted to MonadIO";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"stm-linkedlist" = callPackage
@@ -285548,6 +285485,8 @@ self: {
testHaskellDepends = [ base clock hspec ];
description = "A simple stopwatch utility";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"storable" = callPackage
@@ -285762,6 +285701,8 @@ self: {
pname = "store-core";
version = "0.4.4.6";
sha256 = "1b4yhznw2xzi6qmbahf6p2sl9l8d1af36687gpwygd12h71qv17d";
+ revision = "1";
+ editedCabalFile = "03sd06p80hjmyb8s97i0061mw2ghpd758a222j8y18b6pmlavbvs";
libraryHaskellDepends = [
base bytestring ghc-prim primitive text transformers
];
@@ -286443,6 +286384,8 @@ self: {
];
description = "Stream packets via libpcap";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"streaming-png" = callPackage
@@ -286553,6 +286496,8 @@ self: {
libraryPkgconfigDepends = [ zlib ];
description = "http, attoparsec, pipes and other utilities for the streaming libraries";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {inherit (pkgs) zlib;};
"streaming-wai" = callPackage
@@ -286592,49 +286537,23 @@ self: {
({ mkDerivation, atomic-primops, base, containers, deepseq
, directory, exceptions, hashable, heaps, lockfree-queue
, monad-control, mtl, network, streamly-core, template-haskell
- , transformers, transformers-base, unicode-data
- , unordered-containers
+ , transformers, unicode-data, unordered-containers
}:
mkDerivation {
pname = "streamly";
- version = "0.9.0";
- sha256 = "1nljxw6r2ap0cpg0svmgk208dcw7qpa4nkzgxb0kl80ady13axzc";
+ version = "0.10.1";
+ sha256 = "1iyvrhjlvfww5jmwdfx58pr5xi9jzv5h22g0nvnhgnrnxhm86sxn";
libraryHaskellDepends = [
atomic-primops base containers deepseq directory exceptions
hashable heaps lockfree-queue monad-control mtl network
- streamly-core template-haskell transformers transformers-base
- unicode-data unordered-containers
+ streamly-core template-haskell transformers unicode-data
+ unordered-containers
];
description = "Streaming, dataflow programming and declarative concurrency";
license = lib.licenses.bsd3;
maintainers = [ lib.maintainers.maralorn ];
}) {};
- "streamly_0_10_0" = callPackage
- ({ mkDerivation, atomic-primops, base, containers, deepseq
- , directory, exceptions, hashable, heaps, lockfree-queue
- , monad-control, mtl, network, streamly-core, template-haskell
- , transformers, transformers-base, unicode-data
- , unordered-containers
- }:
- mkDerivation {
- pname = "streamly";
- version = "0.10.0";
- sha256 = "0mpgi3pz4xbqrzidsp2gbn4wcqfvi5nhry41sxx1rfjg6lyn9r6g";
- revision = "1";
- editedCabalFile = "0jas47x44iiylfzrx1wvmnf0w9nnr95h1gdn5bnswjr50xcyg01d";
- libraryHaskellDepends = [
- atomic-primops base containers deepseq directory exceptions
- hashable heaps lockfree-queue monad-control mtl network
- streamly-core template-haskell transformers transformers-base
- unicode-data unordered-containers
- ];
- description = "Streaming, dataflow programming and declarative concurrency";
- license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- maintainers = [ lib.maintainers.maralorn ];
- }) {};
-
"streamly-archive" = callPackage
({ mkDerivation, archive, base, bytestring, cryptonite, directory
, filepath, QuickCheck, streamly, streamly-core, tar, tasty
@@ -286654,7 +286573,9 @@ self: {
testSystemDepends = [ archive ];
description = "Stream data from archives using the streamly library";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
maintainers = [ lib.maintainers.shlok ];
+ broken = true;
}) {archive = null;};
"streamly-binary" = callPackage
@@ -286682,8 +286603,8 @@ self: {
}:
mkDerivation {
pname = "streamly-bytestring";
- version = "0.2.0";
- sha256 = "0vz8g8vjvhflbdahy0g0861hdmj71gjc665mcmm3znkm6z48mfm1";
+ version = "0.2.1";
+ sha256 = "0yziqcib7bc87xsnh8k4s4fkpwxzby71sqjhcdld2d8002xa3gdr";
libraryHaskellDepends = [ base bytestring streamly-core ];
testHaskellDepends = [
base bytestring directory filepath hspec hspec-discover QuickCheck
@@ -286727,31 +286648,14 @@ self: {
}) {};
"streamly-core" = callPackage
- ({ mkDerivation, base, containers, directory, exceptions, filepath
- , fusion-plugin-types, ghc-prim, heaps, monad-control
- , template-haskell, transformers
- }:
- mkDerivation {
- pname = "streamly-core";
- version = "0.1.0";
- sha256 = "0asffg2gwj0zgp5dzbr58987sbpi1vgl45r32f92akrb34zjzidl";
- libraryHaskellDepends = [
- base containers directory exceptions filepath fusion-plugin-types
- ghc-prim heaps monad-control template-haskell transformers
- ];
- description = "Streaming, parsers, arrays and more";
- license = lib.licenses.bsd3;
- }) {};
-
- "streamly-core_0_2_1" = callPackage
({ mkDerivation, base, containers, directory, exceptions, filepath
, fusion-plugin-types, ghc-bignum, ghc-prim, heaps, monad-control
, template-haskell, transformers, unix
}:
mkDerivation {
pname = "streamly-core";
- version = "0.2.1";
- sha256 = "1zal66xpamh07xk8vx6ibxg9cz1a7s0hry31lyqr3nimk26c1zbs";
+ version = "0.2.2";
+ sha256 = "10ymikc81grisamk663qkdv8rm32bxfa3p7vi86crq77xhypg56a";
libraryHaskellDepends = [
base containers directory exceptions filepath fusion-plugin-types
ghc-bignum ghc-prim heaps monad-control template-haskell
@@ -286759,31 +286663,9 @@ self: {
];
description = "Streaming, parsers, arrays, serialization and more";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"streamly-examples" = callPackage
- ({ mkDerivation, base, containers, directory, exceptions
- , fusion-plugin, hashable, mtl, network, random, streamly
- , streamly-core, tasty-bench, transformers, transformers-base
- , unordered-containers, vector
- }:
- mkDerivation {
- pname = "streamly-examples";
- version = "0.1.3";
- sha256 = "01j6z5i21drdp3yf0crhajin3mvbbmpvrcfr55xq3dyw14vyv71d";
- isLibrary = false;
- isExecutable = true;
- executableHaskellDepends = [
- base containers directory exceptions fusion-plugin hashable mtl
- network random streamly streamly-core tasty-bench transformers
- transformers-base unordered-containers vector
- ];
- description = "Examples for Streamly";
- license = lib.licenses.asl20;
- }) {};
-
- "streamly-examples_0_2_0" = callPackage
({ mkDerivation, base, containers, directory, exceptions
, fusion-plugin, hashable, mtl, network, random, streamly
, streamly-core, tasty-bench, transformers, transformers-base
@@ -286803,20 +286685,20 @@ self: {
description = "Examples for Streamly";
license = lib.licenses.asl20;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"streamly-fsnotify" = callPackage
- ({ mkDerivation, base, filepath, fsnotify, semirings, streamly
- , text, time
+ ({ mkDerivation, base, exceptions, filepath, fsnotify, semirings
+ , streamly, streamly-core, text, time
}:
mkDerivation {
pname = "streamly-fsnotify";
- version = "1.1.1.0";
- sha256 = "1xcw4rsrysh96d91wjmyzb5s7cls3rf0ilpv8dn525iqzv11fl3l";
- revision = "4";
- editedCabalFile = "0b7yjszv2xapxqfghhdi7129dvsmgkzgzd361ancmfhmbkxvwlz4";
+ version = "2.1.0.2";
+ sha256 = "1firr8rbdi39qpwj7d5p8bzavsr37cy9jwgd93yss708msx3n0cl";
libraryHaskellDepends = [
- base filepath fsnotify semirings streamly text time
+ base exceptions filepath fsnotify semirings streamly streamly-core
+ text time
];
description = "Folder watching as a Streamly stream";
license = lib.licenses.bsd3;
@@ -286844,7 +286726,9 @@ self: {
testSystemDepends = [ lmdb ];
description = "Stream data to or from LMDB databases using the streamly library";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
maintainers = [ lib.maintainers.shlok ];
+ broken = true;
}) {inherit (pkgs) lmdb;};
"streamly-lz4" = callPackage
@@ -286895,8 +286779,10 @@ self: {
}:
mkDerivation {
pname = "streamly-process";
- version = "0.3.0";
- sha256 = "15vj47j9n723clsa29m293c1naagi8psf3n1a9rv69myb4yddjry";
+ version = "0.3.1";
+ sha256 = "1033pgl9qlann1l9chla5f48gwj6y75ahri3g2k1cfm6h86l2z29";
+ revision = "1";
+ editedCabalFile = "1r3iwralbfl9rcgzrl324qd0lvfh39ggyf6vkrvq3rwdpgs8pajv";
libraryHaskellDepends = [
base exceptions process streamly streamly-core
];
@@ -286931,6 +286817,8 @@ self: {
];
description = "Statistical measures for finite or infinite data streams";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"streamproc" = callPackage
@@ -286977,6 +286865,8 @@ self: {
];
description = "Simple, Fair and Terminating Backtracking Monad Transformer";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"strelka" = callPackage
@@ -287090,6 +286980,8 @@ self: {
pname = "strict-concurrency";
version = "0.2.4.3";
sha256 = "1h2nk5fn6gclzkwn5mbkb7gcqisms8y5m3kr64hd9lska3n39n82";
+ revision = "1";
+ editedCabalFile = "0j9gfkfncz62207syh3nv3gbl9n32yzsrk6179i5cyw7bd8kvppy";
libraryHaskellDepends = [ base deepseq ];
description = "Strict concurrency abstractions";
license = lib.licenses.bsd3;
@@ -287212,6 +287104,8 @@ self: {
libraryHaskellDepends = [ base ghc ghc-prim template-haskell ];
description = "Plugin for making top-level implicit parameters strict";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"strict-io" = callPackage
@@ -287256,8 +287150,8 @@ self: {
}:
mkDerivation {
pname = "strict-mvar";
- version = "1.3.0.0";
- sha256 = "0gv4m2rc5809y6b4n9bgzakjndf22crzz0pb9ff3rg4a48vys5ph";
+ version = "1.4.0.0";
+ sha256 = "052naxgy92l2mnavf6a4wbiqir78zwd3r8bzq0l7zyy63kh7lr4d";
libraryHaskellDepends = [ base io-classes ];
testHaskellDepends = [
base io-sim nothunks QuickCheck tasty tasty-quickcheck
@@ -287283,8 +287177,8 @@ self: {
({ mkDerivation, array, base, io-classes, stm }:
mkDerivation {
pname = "strict-stm";
- version = "1.3.0.0";
- sha256 = "0fpjyzc0qlz66a7pvj3cgfgpj10y61b4wqfbrzgpy3gz2d4i6c8y";
+ version = "1.4.0.0";
+ sha256 = "0aq5qwxlqv369yi02z0gndb87pjv8mwpcaqphpd0ig563jivs4f8";
libraryHaskellDepends = [ array base io-classes stm ];
description = "Strict STM interface polymorphic over stm implementation";
license = lib.licenses.asl20;
@@ -287353,6 +287247,8 @@ self: {
testHaskellDepends = [ base doctest ];
description = "A stricter writer, which uses StateT in order to avoid space leaks";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"strictify" = callPackage
@@ -287514,8 +287410,8 @@ self: {
}:
mkDerivation {
pname = "string-interpolate";
- version = "0.3.2.1";
- sha256 = "1m7xpfvhsn61wvq6axxp93630l6zy3z9zxw7gx9syagjgmyh2brr";
+ version = "0.3.3.0";
+ sha256 = "1m4m9ivi29q90fvrinigqwz4qmnxnbi895l1gmsrp7j0bmwjxhrb";
libraryHaskellDepends = [
base bytestring haskell-src-exts haskell-src-meta split
template-haskell text text-conversions utf8-string
@@ -287547,6 +287443,8 @@ self: {
];
description = "Is used in the phonetic languages approach (e. g. in the recursive mode).";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"string-isos" = callPackage
@@ -287583,12 +287481,27 @@ self: {
pname = "string-qq";
version = "0.0.5";
sha256 = "0iss84b1cfi3zqj5wgcdakpbv9965r7ib65n7j9nb108fazkra59";
+ revision = "1";
+ editedCabalFile = "10mghimshafsn2k5d7a4smz28abpg7k6vrvvqp9whbqbjaangpfh";
libraryHaskellDepends = [ base template-haskell ];
testHaskellDepends = [ base HUnit text ];
description = "QuasiQuoter for non-interpolated strings, texts and bytestrings";
license = lib.licenses.publicDomain;
}) {};
+ "string-qq_0_0_6" = callPackage
+ ({ mkDerivation, base, HUnit, template-haskell, text }:
+ mkDerivation {
+ pname = "string-qq";
+ version = "0.0.6";
+ sha256 = "14k4wxp3fgy5yl0hg1m06lrrpligp1xmi0v54fhwqh0x6nvmbs23";
+ libraryHaskellDepends = [ base template-haskell ];
+ testHaskellDepends = [ base HUnit text ];
+ description = "QuasiQuoter for non-interpolated strings, texts and bytestrings";
+ license = lib.licenses.publicDomain;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"string-quote" = callPackage
({ mkDerivation, base, template-haskell }:
mkDerivation {
@@ -287676,53 +287589,26 @@ self: {
"string-variants" = callPackage
({ mkDerivation, aeson, base, bytestring, hedgehog, hspec
- , hspec-core, hspec-discover, hspec-expectations, hspec-hedgehog
- , HUnit, mono-traversable, QuickCheck, refined, refinery
- , string-conversions, template-haskell, text
+ , hspec-discover, hspec-hedgehog, HUnit, mono-traversable
+ , QuickCheck, refined, string-conversions, template-haskell, text
}:
mkDerivation {
pname = "string-variants";
- version = "0.2.2.0";
- sha256 = "1wy7nj8dv75qiz358dn7l6fscm2vs9mrrnxpkgv66wmpdhb4xd60";
+ version = "0.3.0.1";
+ sha256 = "1bg1dqnmvhnzxf19j006rp3jmh672wf8grzii91iyy7dl94gjxip";
libraryHaskellDepends = [
- aeson base bytestring mono-traversable QuickCheck refined refinery
+ aeson base bytestring mono-traversable QuickCheck refined
string-conversions template-haskell text
];
testHaskellDepends = [
- aeson base bytestring hedgehog hspec hspec-core hspec-expectations
- hspec-hedgehog HUnit mono-traversable QuickCheck refined refinery
- string-conversions template-haskell text
+ aeson base hedgehog hspec hspec-hedgehog HUnit template-haskell
+ text
];
testToolDepends = [ hspec-discover ];
description = "Constrained text newtypes";
license = lib.licenses.mit;
}) {};
- "string-variants_0_3_0_0" = callPackage
- ({ mkDerivation, aeson, base, bytestring, hedgehog, hspec
- , hspec-core, hspec-discover, hspec-expectations, hspec-hedgehog
- , HUnit, mono-traversable, QuickCheck, refined, refinery
- , string-conversions, template-haskell, text
- }:
- mkDerivation {
- pname = "string-variants";
- version = "0.3.0.0";
- sha256 = "01j2vwni7cqi3n61b5zyify3srrqbdnngxymxz5brhah822i8hnh";
- libraryHaskellDepends = [
- aeson base bytestring mono-traversable QuickCheck refined refinery
- string-conversions template-haskell text
- ];
- testHaskellDepends = [
- aeson base bytestring hedgehog hspec hspec-core hspec-expectations
- hspec-hedgehog HUnit mono-traversable QuickCheck refined refinery
- string-conversions template-haskell text
- ];
- testToolDepends = [ hspec-discover ];
- description = "Constrained text newtypes";
- license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
- }) {};
-
"stringable" = callPackage
({ mkDerivation, base, bytestring, system-filepath, text }:
mkDerivation {
@@ -287992,6 +287878,8 @@ self: {
];
description = "Listen for Stripe webhook events with Scotty";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"stripe-servant" = callPackage
@@ -288102,8 +287990,8 @@ self: {
}:
mkDerivation {
pname = "strive";
- version = "6.0.0.10";
- sha256 = "10028krfmrq8v1k00ihdzp5hl6nrq0vdp3kb9xfgsyqgvv8l2ic2";
+ version = "6.0.0.11";
+ sha256 = "1ddqkyqxbls5slg6gkj7rpvxac104q9haqpc7agggnglznrsc9p5";
libraryHaskellDepends = [
aeson base bytestring data-default gpolyline http-client
http-client-tls http-types template-haskell text time transformers
@@ -288187,7 +288075,9 @@ self: {
testToolDepends = [ hspec-discover ];
description = "Convert between strong and weak representations of types";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
maintainers = [ lib.maintainers.raehik ];
+ broken = true;
}) {};
"strptime" = callPackage
@@ -288218,7 +288108,9 @@ self: {
];
description = "Inspect the padding and size of C data declarations and their fields";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "struct-inspector";
+ broken = true;
}) {};
"structs" = callPackage
@@ -288312,7 +288204,9 @@ self: {
executableHaskellDepends = [ base data-default mtl split ];
description = "Application library for building interactive console CLIs";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "some-cli";
+ broken = true;
}) {};
"structured-haskell-mode" = callPackage
@@ -288582,6 +288476,40 @@ self: {
mainProgram = "stylish-haskell";
}) {};
+ "stylish-haskell_0_14_6_0" = callPackage
+ ({ mkDerivation, aeson, base, bytestring, Cabal, containers
+ , directory, file-embed, filepath, ghc-lib-parser
+ , ghc-lib-parser-ex, HsYAML, HsYAML-aeson, HUnit, mtl
+ , optparse-applicative, random, regex-tdfa, strict, syb
+ , test-framework, test-framework-hunit, text
+ }:
+ mkDerivation {
+ pname = "stylish-haskell";
+ version = "0.14.6.0";
+ sha256 = "1v72i3dxn30z832cs19sbnj5p40y873sv4kciaivd0ls7i5mm8vb";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ aeson base bytestring Cabal containers directory file-embed
+ filepath ghc-lib-parser ghc-lib-parser-ex HsYAML HsYAML-aeson mtl
+ regex-tdfa syb text
+ ];
+ executableHaskellDepends = [
+ aeson base bytestring Cabal containers directory file-embed
+ filepath ghc-lib-parser ghc-lib-parser-ex HsYAML HsYAML-aeson mtl
+ optparse-applicative regex-tdfa strict syb text
+ ];
+ testHaskellDepends = [
+ aeson base bytestring Cabal containers directory file-embed
+ filepath ghc-lib-parser ghc-lib-parser-ex HsYAML HsYAML-aeson HUnit
+ mtl random regex-tdfa syb test-framework test-framework-hunit text
+ ];
+ description = "Haskell code prettifier";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ mainProgram = "stylish-haskell";
+ }) {};
+
"stylist" = callPackage
({ mkDerivation, async, base, css-syntax, file-embed, hashable
, hspec, network-uri, QuickCheck, regex-tdfa, scientific
@@ -288650,7 +288578,9 @@ self: {
];
description = "A generator of nix files";
license = "GPL";
+ hydraPlatforms = lib.platforms.none;
mainProgram = "styx";
+ broken = true;
}) {};
"suavemente" = callPackage
@@ -288727,8 +288657,8 @@ self: {
}:
mkDerivation {
pname = "subcategories";
- version = "0.2.0.1";
- sha256 = "0hffzgdshsysiqzs4fpm9lfnbkp6wvp2fain1hn28l5cfiac7fpq";
+ version = "0.2.1.0";
+ sha256 = "18bvpib34nc60s7hkg1c6ypyn26k90gwdsydcrld65a29ddf615a";
libraryHaskellDepends = [
base containers data-default foldl hashable mono-traversable
pointed primitive reflection semialign template-haskell text these
@@ -288947,6 +288877,8 @@ self: {
];
description = "A version of Either specialised for encoding of success or failure";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"successors" = callPackage
@@ -289116,6 +289048,31 @@ self: {
broken = true;
}) {};
+ "sum-pyramid" = callPackage
+ ({ mkDerivation, base, combinatorial, comfort-array, containers
+ , doctest-exitcode-stdio, doctest-lib, lapack, optparse-applicative
+ , random, shell-utility, transformers, unique-logic-tf, utility-ht
+ }:
+ mkDerivation {
+ pname = "sum-pyramid";
+ version = "0.0";
+ sha256 = "0jfz80iigdhhpa5fi2djyjzyrnarqvgdywni9785b6cqz3bv9a5n";
+ isLibrary = false;
+ isExecutable = true;
+ executableHaskellDepends = [
+ base combinatorial comfort-array containers lapack
+ optparse-applicative random shell-utility transformers
+ unique-logic-tf utility-ht
+ ];
+ testHaskellDepends = [
+ base combinatorial comfort-array containers doctest-exitcode-stdio
+ doctest-lib lapack transformers unique-logic-tf utility-ht
+ ];
+ description = "Create Sum Pyramid (Additionstreppe) exercises";
+ license = lib.licenses.bsd3;
+ mainProgram = "sum-pyramid";
+ }) {};
+
"sum-type-boilerplate" = callPackage
({ mkDerivation, base, hspec, template-haskell }:
mkDerivation {
@@ -289140,6 +289097,8 @@ self: {
testHaskellDepends = [ base ];
description = "An implementation of extensible products and sums";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"summoner" = callPackage
@@ -289612,6 +289571,7 @@ self: {
libraryHaskellDepends = [ base supply-chain-core ];
description = "Composable request-response pipelines";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
}) {};
"supply-chain-core" = callPackage
@@ -289624,6 +289584,8 @@ self: {
testHaskellDepends = [ base hspec ];
description = "Composable request-response pipelines";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"supply-next" = callPackage
@@ -289645,6 +289607,7 @@ self: {
];
description = "Supply-chain interface for basic streaming";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
}) {};
"surjective" = callPackage
@@ -289826,8 +289789,8 @@ self: {
pname = "svg-builder";
version = "0.1.1";
sha256 = "1k420f497lzkymmxin88ql6ib8dziic43avykv31yq65rgrf7l2g";
- revision = "7";
- editedCabalFile = "0fjbparishw3b2gnh3i7b3d3vxf7mp8h17sfawkf2333smb9zl47";
+ revision = "8";
+ editedCabalFile = "0h6bymf2him9shr8sfhw4h61ysp7hkzk0y1jwyv13d5l84yzi52g";
libraryHaskellDepends = [
base blaze-builder bytestring hashable text unordered-containers
];
@@ -289917,6 +289880,8 @@ self: {
pname = "svgcairo";
version = "0.13.2.1";
sha256 = "19jq5nf3v6774d0imbz5dkajriqx3fa93zvxjyqh1nm5birczaj8";
+ revision = "1";
+ editedCabalFile = "075dynmgzxsb9rx1c9hh5lv4hyjf8mqdrppfwz057f328b5nimpd";
enableSeparateDataOutput = true;
setupHaskellDepends = [ base Cabal gtk2hs-buildtools ];
libraryHaskellDepends = [ base cairo glib mtl text ];
@@ -290042,6 +290007,8 @@ self: {
pname = "svndump";
version = "0.4.5";
sha256 = "0m6agn9riamsadf13w1g6i0nx59xl812112xdkqh0zl34rf5hkwp";
+ revision = "1";
+ editedCabalFile = "07q1rp6gxqmvxs0la1bd7l3wsqcca5lscdbxqxxi3iiyx860vnbd";
libraryHaskellDepends = [
attoparsec base bytestring containers filepath old-locale text time
];
@@ -290144,8 +290111,8 @@ self: {
}:
mkDerivation {
pname = "swagger2";
- version = "2.8.7";
- sha256 = "021pshm4i5wvlixgr251xzk36bpx42920pfcvq1w4sakx8x9fyli";
+ version = "2.8.8";
+ sha256 = "1wvrxgkrgd6f9x2bfnxky9dc1vsnrib9xmvkrgxyqxkjm6gdmgzg";
setupHaskellDepends = [ base Cabal cabal-doctest ];
libraryHaskellDepends = [
aeson aeson-pretty base base-compat-batteries bytestring containers
@@ -291934,6 +291901,8 @@ self: {
];
description = "Audio signal processing coded in Haskell: Filter networks";
license = "GPL";
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"synthesizer-inference" = callPackage
@@ -292155,6 +292124,8 @@ self: {
];
description = "Consistent filesystem interaction across GHC versions (deprecated)";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"system-filepath" = callPackage
@@ -292884,19 +292855,6 @@ self: {
}) {};
"tagged" = callPackage
- ({ mkDerivation, base, deepseq, template-haskell, transformers }:
- mkDerivation {
- pname = "tagged";
- version = "0.8.7";
- sha256 = "0qdr1kv1zn5iamnwyn5nf0ywxs4wv779k0gpw94kyqx14ynfw534";
- libraryHaskellDepends = [
- base deepseq template-haskell transformers
- ];
- description = "Haskell 98 phantom types to avoid unsafely passing dummy arguments";
- license = lib.licenses.bsd3;
- }) {};
-
- "tagged_0_8_8" = callPackage
({ mkDerivation, base, deepseq, template-haskell, transformers }:
mkDerivation {
pname = "tagged";
@@ -292907,7 +292865,6 @@ self: {
];
description = "Haskell 98 phantom types to avoid unsafely passing dummy arguments";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"tagged-binary" = callPackage
@@ -293133,6 +293090,8 @@ self: {
libraryHaskellDepends = [ base containers mtl ];
description = "TagShare - explicit sharing with tags";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"tagsoup" = callPackage
@@ -293293,8 +293252,6 @@ self: {
];
description = "Hierarchical Tags & Tag Trees";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- broken = true;
}) {};
"tahoe-capabilities" = callPackage
@@ -293426,6 +293383,44 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
+ "tahoe-great-black-swamp-testing" = callPackage
+ ({ mkDerivation, base, base32string, bytestring, composition
+ , containers, data-interval, hspec, hspec-expectations, http-types
+ , QuickCheck, quickcheck-classes, quickcheck-instances
+ , tahoe-great-black-swamp-types, text, utility-ht
+ }:
+ mkDerivation {
+ pname = "tahoe-great-black-swamp-testing";
+ version = "0.5.0.0";
+ sha256 = "0313bn85dh0k2icg8712k89mdnjwbqdi428c30f0ir87srlwn0p1";
+ libraryHaskellDepends = [
+ base base32string bytestring composition containers data-interval
+ hspec hspec-expectations http-types QuickCheck quickcheck-classes
+ quickcheck-instances tahoe-great-black-swamp-types text utility-ht
+ ];
+ description = "An HSpec for Great Black Swamp storage backends";
+ license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
+ "tahoe-great-black-swamp-types" = callPackage
+ ({ mkDerivation, aeson, base, base64-bytestring, bytestring
+ , containers, hashable, http-types, memory, text
+ }:
+ mkDerivation {
+ pname = "tahoe-great-black-swamp-types";
+ version = "0.5.0.0";
+ sha256 = "1ibamar7g72m6hjb3mxfp6kqxrwbq5z2vsnqf9frj0c2g5k5c04i";
+ libraryHaskellDepends = [
+ aeson base base64-bytestring bytestring containers hashable
+ http-types memory text
+ ];
+ description = "Types related to implementation of a Tahoe-LAFS Great Black Swamp server";
+ license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {};
+
"tahoe-ssk" = callPackage
({ mkDerivation, asn1-encoding, asn1-types, base, base32, binary
, bytestring, cereal, containers, cryptonite, hedgehog, megaparsec
@@ -293545,9 +293540,7 @@ self: {
];
description = "Tailwind wrapped in Haskell";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
mainProgram = "tailwind-run";
- broken = true;
}) {};
"tak" = callPackage
@@ -293852,8 +293845,8 @@ self: {
pname = "tar";
version = "0.5.1.1";
sha256 = "1ppim7cgmn7ng8zbdrwkxhhizc30h15h1c9cdlzamc5jcagl915k";
- revision = "9";
- editedCabalFile = "1bp2ql3z5pyswq9095pfmhink1awgmnsch3ps99vziqq61jcvg1v";
+ revision = "10";
+ editedCabalFile = "1qfnvdy71kfm0l7dslv44p1glqylxxh9f6fbn41z5ai7yczdvxda";
libraryHaskellDepends = [
array base bytestring containers deepseq directory filepath time
];
@@ -293869,17 +293862,18 @@ self: {
license = lib.licenses.bsd3;
}) {};
- "tar_0_6_0_0" = callPackage
+ "tar_0_6_1_0" = callPackage
({ mkDerivation, array, base, bytestring, containers, deepseq
- , directory, file-embed, filepath, QuickCheck, tasty, tasty-bench
- , tasty-quickcheck, temporary, time
+ , directory, file-embed, filepath, os-string, QuickCheck, tasty
+ , tasty-bench, tasty-quickcheck, temporary, time, transformers
}:
mkDerivation {
pname = "tar";
- version = "0.6.0.0";
- sha256 = "11hr2p0lrdkklvn7yf85cqhyzq4ax2lxsfg0rljakkrpnn7s0n44";
+ version = "0.6.1.0";
+ sha256 = "1nh5sdlsdsgys3a17sj50gxgy2vdc7rzgzr39z9kj2244vhz5zpd";
libraryHaskellDepends = [
- array base bytestring containers deepseq directory filepath time
+ array base bytestring containers deepseq directory filepath
+ os-string time transformers
];
testHaskellDepends = [
array base bytestring containers deepseq directory file-embed
@@ -293926,31 +293920,6 @@ self: {
}) {};
"tar-conduit" = callPackage
- ({ mkDerivation, base, bytestring, conduit, conduit-combinators
- , conduit-extra, containers, criterion, deepseq, directory
- , filepath, hspec, QuickCheck, safe-exceptions, text, unix, weigh
- }:
- mkDerivation {
- pname = "tar-conduit";
- version = "0.3.2.1";
- sha256 = "0lxyfil7fgg1gvb02qhs2na9cy7nqg8fvclwy6pnz4anqa4wc28r";
- libraryHaskellDepends = [
- base bytestring conduit conduit-combinators directory filepath
- safe-exceptions text unix
- ];
- testHaskellDepends = [
- base bytestring conduit conduit-combinators conduit-extra
- containers deepseq directory filepath hspec QuickCheck weigh
- ];
- benchmarkHaskellDepends = [
- base bytestring conduit conduit-combinators containers criterion
- deepseq directory filepath hspec
- ];
- description = "Extract and create tar files using conduit for streaming";
- license = lib.licenses.mit;
- }) {};
-
- "tar-conduit_0_4_0" = callPackage
({ mkDerivation, base, bytestring, conduit, conduit-combinators
, conduit-extra, containers, criterion, deepseq, directory
, filepath, hspec, mtl, QuickCheck, safe-exceptions, text, unix
@@ -293958,8 +293927,8 @@ self: {
}:
mkDerivation {
pname = "tar-conduit";
- version = "0.4.0";
- sha256 = "0h0z6g1q57jy20p5m8y56dqkrfvpz46yhglsvg3n4mncr88jlrfj";
+ version = "0.4.1";
+ sha256 = "0kavvr0sc1bmzimgpa00hdrihnvfpi4f9rmkg3yl8pckgv2ad8b1";
libraryHaskellDepends = [
base bytestring conduit conduit-combinators containers directory
filepath mtl safe-exceptions text unix
@@ -293974,15 +293943,14 @@ self: {
];
description = "Extract and create tar files using conduit for streaming";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"tardis" = callPackage
({ mkDerivation, base, mmorph, mtl }:
mkDerivation {
pname = "tardis";
- version = "0.4.4.0";
- sha256 = "0frm9kffdsia22rwyr8295n9xwhca1d6w04yz4l4cfjav6bgczfs";
+ version = "0.5.0";
+ sha256 = "1ckzhydqkx0p81xygbdi05ad8dd9z20fadxh3sv20s1izrh6n07n";
libraryHaskellDepends = [ base mmorph mtl ];
testHaskellDepends = [ base ];
description = "Bidirectional state monad transformer";
@@ -294051,7 +294019,9 @@ self: {
];
description = "Terminal Art";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "tart";
+ broken = true;
}) {};
"task" = callPackage
@@ -294208,8 +294178,8 @@ self: {
pname = "tasty";
version = "1.5";
sha256 = "1b19s0kf61z3zp7qx9m3j3iqmjvwvqsfwryf9lfnj3i15a2zfp6a";
- revision = "1";
- editedCabalFile = "1r6jld0az8pyycsn6z5jxz7yrhyi4k2ahv442fcvw54q6c0i3j9d";
+ revision = "2";
+ editedCabalFile = "0jr6a6klg08x9pqmap3h3ys5dhda0w92ysbnsvvi81vrzxzz98wd";
libraryHaskellDepends = [
ansi-terminal base containers optparse-applicative stm tagged
transformers unix
@@ -294268,10 +294238,8 @@ self: {
}:
mkDerivation {
pname = "tasty-autocollect";
- version = "0.4.1";
- sha256 = "0b374x2m2rx1zzqb1i5wr36d6nxs1wx1cxyggjszlwxssz0vqzcj";
- revision = "1";
- editedCabalFile = "0vr3r4wq2kxzg4yk085xk95zz6a5m8q0j6nb7lqlp7s5ff3ch7h1";
+ version = "0.4.2";
+ sha256 = "021yrrw53k8bppqpqqiny0gwbphhwq76g08m3d2ycfn57f9hxnbs";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -294372,6 +294340,8 @@ self: {
];
description = "Check multiple items during a tasty test";
license = lib.licenses.isc;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"tasty-coverage" = callPackage
@@ -294537,24 +294507,6 @@ self: {
}) {};
"tasty-hedgehog" = callPackage
- ({ mkDerivation, base, hedgehog, tagged, tasty
- , tasty-expected-failure
- }:
- mkDerivation {
- pname = "tasty-hedgehog";
- version = "1.4.0.1";
- sha256 = "1vnx5vqmm0hk1xqhbp392fc1r91jrav0v5j92wx8q1pm2lhpibf8";
- revision = "1";
- editedCabalFile = "1hcn40fzwmc7q77c38lvrwwa3nshxls9ijzj7v42408a2rsgb4i3";
- libraryHaskellDepends = [ base hedgehog tagged tasty ];
- testHaskellDepends = [
- base hedgehog tasty tasty-expected-failure
- ];
- description = "Integration for tasty and hedgehog";
- license = lib.licenses.bsd3;
- }) {};
-
- "tasty-hedgehog_1_4_0_2" = callPackage
({ mkDerivation, base, hedgehog, tagged, tasty
, tasty-expected-failure
}:
@@ -294562,15 +294514,14 @@ self: {
pname = "tasty-hedgehog";
version = "1.4.0.2";
sha256 = "0lki03z0p38x0dkqx5cqga30zy5m31gxn1saqylja9bi6bbq8d25";
- revision = "2";
- editedCabalFile = "0im9603m995svkb3bvdrr7w1k2xz34hlw3dyam1123264ff1ka7x";
+ revision = "3";
+ editedCabalFile = "1ij1h7kdbg4bd93fl9991b39xn1rkawshsh3hgbz3j2inmnljx2w";
libraryHaskellDepends = [ base hedgehog tagged tasty ];
testHaskellDepends = [
base hedgehog tasty tasty-expected-failure
];
description = "Integration for tasty and hedgehog";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"tasty-hedgehog-coverage" = callPackage
@@ -294602,8 +294553,8 @@ self: {
}:
mkDerivation {
pname = "tasty-hslua";
- version = "1.1.0";
- sha256 = "04bj16q5l3y89zjzk5872827s4gkvkn0cmsdwlxzzzvf96fdfggm";
+ version = "1.1.1";
+ sha256 = "066q54kw3y3knxgxpkmhdspb7bdxkv0z68zi2r81sm9xsqg17a5b";
libraryHaskellDepends = [
base bytestring hslua-core tasty tasty-hunit
];
@@ -294631,24 +294582,6 @@ self: {
}) {};
"tasty-hspec" = callPackage
- ({ mkDerivation, base, hspec, hspec-core, QuickCheck, tasty
- , tasty-quickcheck, tasty-smallcheck
- }:
- mkDerivation {
- pname = "tasty-hspec";
- version = "1.2.0.3";
- sha256 = "150dvscaa0sv5pjsd74mmnp9f0jmz09qs24swz73wwjzrzmnypcx";
- revision = "1";
- editedCabalFile = "01sc5gmij3280b63jpjcz0a2lq045dj5ay46yq9i896cyka6gs6r";
- libraryHaskellDepends = [
- base hspec hspec-core QuickCheck tasty tasty-quickcheck
- tasty-smallcheck
- ];
- description = "Hspec support for the Tasty test framework";
- license = lib.licenses.bsd3;
- }) {};
-
- "tasty-hspec_1_2_0_4" = callPackage
({ mkDerivation, base, hspec, hspec-api, hspec-core, QuickCheck
, tasty, tasty-quickcheck, tasty-smallcheck
}:
@@ -294664,7 +294597,6 @@ self: {
];
description = "Hspec support for the Tasty test framework";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"tasty-html" = callPackage
@@ -294677,6 +294609,8 @@ self: {
pname = "tasty-html";
version = "0.4.2.1";
sha256 = "09abjmgw1l8m5pmynzwi8kamrg8ljvhbyfgbh2cs84b7sjfi3bfd";
+ revision = "1";
+ editedCabalFile = "0kklij8bjw01nnh6q95ykgqfy80kva3s90x6b5izvcqyp2znr3qa";
isLibrary = true;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -294691,6 +294625,31 @@ self: {
license = lib.licenses.mit;
}) {};
+ "tasty-html_0_4_2_2" = callPackage
+ ({ mkDerivation, base, blaze-html, bytestring, containers
+ , generic-deriving, mtl, semigroups, stm, tagged, tasty
+ , tasty-hunit, tasty-quickcheck, tasty-smallcheck, text
+ , transformers
+ }:
+ mkDerivation {
+ pname = "tasty-html";
+ version = "0.4.2.2";
+ sha256 = "1618zpw51bmzylhfifngzkld012qx0g9b86d605dwdsvvwbbdh4x";
+ isLibrary = true;
+ isExecutable = true;
+ enableSeparateDataOutput = true;
+ libraryHaskellDepends = [
+ base blaze-html bytestring containers generic-deriving mtl
+ semigroups stm tagged tasty text transformers
+ ];
+ executableHaskellDepends = [
+ base tasty tasty-hunit tasty-quickcheck tasty-smallcheck
+ ];
+ description = "Render tasty output to HTML";
+ license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"tasty-hunit" = callPackage
({ mkDerivation, base, call-stack, tasty }:
mkDerivation {
@@ -294730,10 +294689,8 @@ self: {
}:
mkDerivation {
pname = "tasty-inspection-testing";
- version = "0.2";
- sha256 = "1gdwbg545gwfx2a26s7hkgz9l9mfb13i6v20j09hqrlbh1xsi3qz";
- revision = "2";
- editedCabalFile = "1fqyjm63qvi26lwbd9h2rj3178z8pf4gx3pry95fwlgkqd2hwjp9";
+ version = "0.2.1";
+ sha256 = "0wl2xlnbmqdkwhi4ic6a4q4lxf9qg433lidi8d0hlp3ykrjpbcay";
libraryHaskellDepends = [
base ghc inspection-testing tasty template-haskell
];
@@ -294879,8 +294836,8 @@ self: {
}:
mkDerivation {
pname = "tasty-lua";
- version = "1.1.0";
- sha256 = "1bn7ap42hvfhwps971nczrkq7caj3cyx88z0df42008884m4nhrk";
+ version = "1.1.1";
+ sha256 = "186322a9gwndnpis4r7nzlca4iymrz712bbbxpm0pxsw63xary06";
libraryHaskellDepends = [
base bytestring file-embed hslua-core hslua-marshalling
lua-arbitrary QuickCheck tasty text
@@ -294925,8 +294882,8 @@ self: {
({ mkDerivation, base, containers, deepseq, papi, stm, tasty }:
mkDerivation {
pname = "tasty-papi";
- version = "0.1.1.0";
- sha256 = "1cz0jw5a7jrb8i3pfx9qx2lsmv08fpdc3qi8rw8ks4cnwc6ba30i";
+ version = "0.1.2.0";
+ sha256 = "05f7yxapyc3jzj7v7aqp8c5iq49jkryx4rfzqwh1c6s1fvrh4rya";
libraryHaskellDepends = [ base containers deepseq stm tasty ];
librarySystemDepends = [ papi ];
testHaskellDepends = [ base tasty ];
@@ -295366,7 +295323,9 @@ self: {
libraryPkgconfigDepends = [ libtcod ];
description = "Bindings to libtcod roguelike engine";
license = lib.licenses.bsd3;
+ platforms = lib.platforms.linux;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {inherit (pkgs) libtcod;};
"tconfig" = callPackage
@@ -295413,6 +295372,8 @@ self: {
];
description = "One stop solution for tcp client and server with tls support";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"tcp-streams-openssl" = callPackage
@@ -295467,9 +295428,9 @@ self: {
}) {};
"tdigest" = callPackage
- ({ mkDerivation, base, base-compat, binary, deepseq
- , foldable1-classes-compat, reducers, semigroups, tasty
- , tasty-quickcheck, transformers, vector, vector-algorithms
+ ({ mkDerivation, base, base-compat, binary, deepseq, reducers
+ , semigroups, tasty, tasty-quickcheck, transformers, vector
+ , vector-algorithms
}:
mkDerivation {
pname = "tdigest";
@@ -295478,8 +295439,8 @@ self: {
revision = "1";
editedCabalFile = "1pcm1gdn28syhq6gws3ss15dldnvyvy4l2mqbqmp46gv3hzqnw6b";
libraryHaskellDepends = [
- base base-compat binary deepseq foldable1-classes-compat reducers
- transformers vector vector-algorithms
+ base base-compat binary deepseq reducers transformers vector
+ vector-algorithms
];
testHaskellDepends = [
base base-compat binary deepseq semigroups tasty tasty-quickcheck
@@ -295537,6 +295498,7 @@ self: {
testSystemDepends = [ tdlib ];
description = "complete binding to the Telegram Database Library";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {inherit (pkgs) tdlib;};
"tdlib-gen" = callPackage
@@ -295586,6 +295548,7 @@ self: {
];
description = "Types and Functions generated from tdlib api spec";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"tdoc" = callPackage
@@ -295849,8 +295812,8 @@ self: {
}:
mkDerivation {
pname = "telegram-bot-api";
- version = "6.7.1";
- sha256 = "1a1k54q1ivhdj9vdgil1lv17vx0pz2n89vlz6bj7pf4g0w50cz4s";
+ version = "7.0";
+ sha256 = "0kzn0zhnq4g3l85jbdfz420449aamv5kah3qdk05ysmx2msd4s66";
libraryHaskellDepends = [
aeson aeson-pretty base bytestring cron filepath hashable
http-api-data http-client http-client-tls monad-control mtl
@@ -295864,7 +295827,7 @@ self: {
}) {};
"telegram-bot-simple" = callPackage
- ({ mkDerivation, aeson, aeson-pretty, base, bytestring, cron
+ ({ mkDerivation, aeson, aeson-pretty, async, base, bytestring, cron
, filepath, hashable, http-api-data, http-client, http-client-tls
, monad-control, mtl, pretty-show, profunctors, servant
, servant-client, servant-multipart-api, servant-multipart-client
@@ -295873,12 +295836,12 @@ self: {
}:
mkDerivation {
pname = "telegram-bot-simple";
- version = "0.12";
- sha256 = "16zsrn42836x30lzc9wy6snq6k9sckqddfi84wzfn1jjbm4wl21i";
+ version = "0.13";
+ sha256 = "0gf272hhfavx5lki7dlxcn760gjk613md391nvmxnmnvyhs6g5rz";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
- aeson aeson-pretty base bytestring cron filepath hashable
+ aeson aeson-pretty async base bytestring cron filepath hashable
http-api-data http-client http-client-tls monad-control mtl
pretty-show profunctors servant servant-client
servant-multipart-api servant-multipart-client servant-server split
@@ -296017,6 +295980,8 @@ self: {
libraryHaskellDepends = [ base mtl transformers ];
description = "The MonadTell class and related monad transformers";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"tellbot" = callPackage
@@ -296060,7 +296025,9 @@ self: {
testHaskellDepends = [ base ];
description = "A dead-simple shell interpolation templating utility";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "tempered";
+ broken = true;
}) {};
"tempgres-client" = callPackage
@@ -296283,8 +296250,8 @@ self: {
}:
mkDerivation {
pname = "templatise";
- version = "0.1.1.0";
- sha256 = "1vkqnb0h7gqrm50vndrg4xz1g5izzn93wbmkcsy3wrb99isl4yj4";
+ version = "0.1.2.0";
+ sha256 = "0plgfhvdhs8bwyldcc84scmaw7cw1rva7m3rxx14jn3dhc2k65qj";
isLibrary = false;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -296297,7 +296264,7 @@ self: {
testHaskellDepends = [
base bytestring Cabal-syntax directory filepath hspec mtl
network-uri optparse-applicative process tasty tasty-golden
- tasty-hspec temporary text
+ tasty-hspec temporary text time
];
doHaddock = false;
description = "You can use template.hs to create a new Haskell GitHub repository.";
@@ -296326,6 +296293,8 @@ self: {
testHaskellDepends = [ base ];
description = "Command-line tool to log time-tracking information into JIRA Tempo plugin";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"tempodb" = callPackage
@@ -296745,7 +296714,6 @@ self: {
];
description = "Code generation for TensorFlow operations";
license = lib.licenses.asl20;
- hydraPlatforms = lib.platforms.none;
}) {};
"tensorflow-ops" = callPackage
@@ -296794,7 +296762,6 @@ self: {
libraryToolDepends = [ protobuf ];
description = "TensorFlow protocol buffers";
license = lib.licenses.asl20;
- hydraPlatforms = lib.platforms.none;
}) {inherit (pkgs) protobuf;};
"tensorflow-records" = callPackage
@@ -296874,20 +296841,10 @@ self: {
testHaskellDepends = [ base containers HUnit QuickCheck ];
description = "Term Rewriting Library";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"termbox" = callPackage
- ({ mkDerivation, base, termbox-bindings-hs }:
- mkDerivation {
- pname = "termbox";
- version = "1.1.0.2";
- sha256 = "09pn1h2rq5r8w51ikzfnrvqxdq5f9qdh5lc7yv2zlzkrgz2vq5rz";
- libraryHaskellDepends = [ base termbox-bindings-hs ];
- description = "termbox";
- license = lib.licenses.bsd3;
- }) {};
-
- "termbox_2_0_0_1" = callPackage
({ mkDerivation, base, termbox-bindings-hs }:
mkDerivation {
pname = "termbox";
@@ -296896,23 +296853,9 @@ self: {
libraryHaskellDepends = [ base termbox-bindings-hs ];
description = "termbox";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"termbox-banana" = callPackage
- ({ mkDerivation, base, reactive-banana, termbox }:
- mkDerivation {
- pname = "termbox-banana";
- version = "1.0.0";
- sha256 = "0fj9h3nbgsr9321kb4a2m0m1afmjass5x22pc2dbn602k9d9fmyy";
- revision = "1";
- editedCabalFile = "0mpc4nwzhbfpv64c714g77jfq1hm1qzd52008w0f4vbhf8x9f0fw";
- libraryHaskellDepends = [ base reactive-banana termbox ];
- description = "termbox + reactive-banana";
- license = lib.licenses.bsd3;
- }) {};
-
- "termbox-banana_2_0_0" = callPackage
({ mkDerivation, base, reactive-banana, termbox }:
mkDerivation {
pname = "termbox-banana";
@@ -296925,7 +296868,6 @@ self: {
libraryHaskellDepends = [ base reactive-banana termbox ];
description = "termbox + reactive-banana";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"termbox-bindings" = callPackage
@@ -296958,17 +296900,6 @@ self: {
}) {};
"termbox-bindings-hs" = callPackage
- ({ mkDerivation, base, termbox-bindings-c }:
- mkDerivation {
- pname = "termbox-bindings-hs";
- version = "0.1.1";
- sha256 = "1f50j6z72g5lsdvakxvlsg77iqspcm2qmwyc1csafgs1zn40ks2i";
- libraryHaskellDepends = [ base termbox-bindings-c ];
- description = "termbox bindings";
- license = lib.licenses.bsd3;
- }) {};
-
- "termbox-bindings-hs_1_0_0" = callPackage
({ mkDerivation, base, termbox-bindings-c }:
mkDerivation {
pname = "termbox-bindings-hs";
@@ -296977,23 +296908,9 @@ self: {
libraryHaskellDepends = [ base termbox-bindings-c ];
description = "termbox bindings";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"termbox-tea" = callPackage
- ({ mkDerivation, base, ki, termbox }:
- mkDerivation {
- pname = "termbox-tea";
- version = "0.1.0.1";
- sha256 = "12wcqysm52ln1ppc9a8jhn2a085h2i2hyzvmm5cjrbwxbrnkaawd";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [ base ki termbox ];
- description = "termbox + The Elm Architecture";
- license = lib.licenses.bsd3;
- }) {};
-
- "termbox-tea_1_0_0" = callPackage
({ mkDerivation, base, ki, termbox }:
mkDerivation {
pname = "termbox-tea";
@@ -297004,7 +296921,6 @@ self: {
libraryHaskellDepends = [ base ki termbox ];
description = "termbox + The Elm Architecture";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"termcolor" = callPackage
@@ -297188,6 +297104,43 @@ self: {
}) {inherit (pkgs) gtk3; inherit (pkgs) pcre2;
vte_291 = pkgs.vte;};
+ "termonad_4_6_0_0" = callPackage
+ ({ mkDerivation, aeson, base, bytestring, Cabal, cabal-doctest
+ , colour, containers, data-default, directory, doctest, dyre
+ , file-embed, filepath, focuslist, gi-gdk, gi-gdkpixbuf, gi-gio
+ , gi-glib, gi-gtk, gi-pango, gi-vte, gtk3, haskell-gi-base
+ , inline-c, lens, optparse-applicative, pcre2, pretty-simple
+ , QuickCheck, tasty, template-haskell, text, transformers
+ , unordered-containers, vte_291, xml-conduit, xml-html-qq, yaml
+ }:
+ mkDerivation {
+ pname = "termonad";
+ version = "4.6.0.0";
+ sha256 = "1dyhmjjjsd3lh9mr6w3v2ciajcmnya7k9gb54pfapb85hylqccfr";
+ isLibrary = true;
+ isExecutable = true;
+ setupHaskellDepends = [ base Cabal cabal-doctest ];
+ libraryHaskellDepends = [
+ aeson base bytestring colour containers data-default directory dyre
+ file-embed filepath focuslist gi-gdk gi-gdkpixbuf gi-gio gi-glib
+ gi-gtk gi-pango gi-vte haskell-gi-base inline-c lens
+ optparse-applicative pretty-simple text transformers
+ unordered-containers xml-conduit xml-html-qq yaml
+ ];
+ libraryPkgconfigDepends = [ gtk3 pcre2 vte_291 ];
+ executableHaskellDepends = [ base ];
+ testHaskellDepends = [
+ base doctest QuickCheck tasty template-haskell
+ ];
+ description = "Terminal emulator configurable in Haskell";
+ license = lib.licenses.bsd3;
+ badPlatforms = lib.platforms.darwin;
+ hydraPlatforms = lib.platforms.none;
+ mainProgram = "termonad";
+ maintainers = [ lib.maintainers.cdepillabout ];
+ }) {inherit (pkgs) gtk3; inherit (pkgs) pcre2;
+ vte_291 = pkgs.vte;};
+
"termplot" = callPackage
({ mkDerivation, base, brick, data-default, optparse-applicative
, process, split, time-units, transformers, unix, vty
@@ -297346,8 +297299,8 @@ self: {
pname = "test-framework";
version = "0.8.2.0";
sha256 = "1hhacrzam6b8f10hyldmjw8pb7frdxh04rfg3farxcxwbnhwgbpm";
- revision = "10";
- editedCabalFile = "087hnvbnzyw3by6ag0gk8bmk27w52iqplml9lm6wx08mrw0d2myx";
+ revision = "11";
+ editedCabalFile = "1p0a12cf6h2wfhrym8zl1iv0isg5mxs3ydzp770q6ahkm4lqsfz6";
libraryHaskellDepends = [
ansi-terminal ansi-wl-pprint base containers hostname old-locale
random regex-posix time xml
@@ -297392,6 +297345,8 @@ self: {
];
description = "Golden tests support for test-framework";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"test-framework-hunit" = callPackage
@@ -297664,6 +297619,8 @@ self: {
];
description = "Laws for mtl classes as QuickCheck properties";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"test-pkg" = callPackage
@@ -298062,29 +298019,6 @@ self: {
}) {};
"texmath" = callPackage
- ({ mkDerivation, base, bytestring, containers, directory, filepath
- , mtl, pandoc-types, parsec, pretty-show, split, syb, tagged, tasty
- , tasty-golden, text, typst-symbols, xml
- }:
- mkDerivation {
- pname = "texmath";
- version = "0.12.8.4";
- sha256 = "1pkilvc2v1s5h6kxshwwkgjzm6f5dzya30rfpxg09zsrqpffjlzv";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- base containers mtl pandoc-types parsec split syb text
- typst-symbols xml
- ];
- testHaskellDepends = [
- base bytestring directory filepath pretty-show tagged tasty
- tasty-golden text xml
- ];
- description = "Conversion between math formats";
- license = lib.licenses.gpl2Only;
- }) {};
-
- "texmath_0_12_8_6" = callPackage
({ mkDerivation, base, bytestring, containers, directory, filepath
, mtl, pandoc-types, parsec, pretty-show, split, syb, tagged, tasty
, tasty-golden, text, typst-symbols, xml
@@ -298105,7 +298039,6 @@ self: {
];
description = "Conversion between math formats";
license = lib.licenses.gpl2Only;
- hydraPlatforms = lib.platforms.none;
}) {};
"texrunner" = callPackage
@@ -298117,6 +298050,8 @@ self: {
pname = "texrunner";
version = "0.0.1.3";
sha256 = "0lck7b6gw217jabgz2sa3r32i7yxm35hx32jn0s86dbckc2xqili";
+ revision = "1";
+ editedCabalFile = "1z719yygpzr8lsdvyldq1dca7lffll4wlbv7mkm7phyks6zfdn1m";
libraryHaskellDepends = [
attoparsec base bytestring directory filepath io-streams mtl
process semigroups temporary
@@ -298225,17 +298160,6 @@ self: {
}) {};
"text-ansi" = callPackage
- ({ mkDerivation, base, text, text-builder }:
- mkDerivation {
- pname = "text-ansi";
- version = "0.2.1.1";
- sha256 = "1lcpcyi16qly7pdb41ixm0qcdi2lq1z7cv0v1gfwryvp7d0sjgar";
- libraryHaskellDepends = [ base text text-builder ];
- description = "Text styling for ANSI terminals";
- license = lib.licenses.bsd3;
- }) {};
-
- "text-ansi_0_3_0_1" = callPackage
({ mkDerivation, base, text, text-builder-linear }:
mkDerivation {
pname = "text-ansi";
@@ -298244,21 +298168,20 @@ self: {
libraryHaskellDepends = [ base text text-builder-linear ];
description = "Text styling for ANSI terminals";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"text-ascii" = callPackage
({ mkDerivation, base, bytestring, case-insensitive, deepseq
- , hashable, megaparsec, optics-core, optics-extra, template-haskell
- , text
+ , hashable, megaparsec, monoid-subclasses, optics-core
+ , optics-extra, template-haskell, text
}:
mkDerivation {
pname = "text-ascii";
- version = "1.1";
- sha256 = "0i9fmb41sc05nz1jjfz33cbqqy894xaw604dnb4fb7248xbnmc3c";
+ version = "1.2.1";
+ sha256 = "0qxy9ikhzqb4zhvfcwvnm6vyjni3mslr74w0f42m51kxjs8vxys9";
libraryHaskellDepends = [
base bytestring case-insensitive deepseq hashable megaparsec
- optics-core optics-extra template-haskell text
+ monoid-subclasses optics-core optics-extra template-haskell text
];
description = "ASCII string and character processing";
license = lib.licenses.asl20;
@@ -298278,25 +298201,6 @@ self: {
}) {};
"text-builder" = callPackage
- ({ mkDerivation, base, bytestring, criterion, QuickCheck
- , quickcheck-instances, rerebase, tasty, tasty-hunit
- , tasty-quickcheck, text, text-builder-dev
- }:
- mkDerivation {
- pname = "text-builder";
- version = "0.6.7";
- sha256 = "00pl4jbqpcrfc00m3hf871g9k7s0n6xf2igb7ba1dnqh76w4lw4h";
- libraryHaskellDepends = [ base bytestring text text-builder-dev ];
- testHaskellDepends = [
- QuickCheck quickcheck-instances rerebase tasty tasty-hunit
- tasty-quickcheck
- ];
- benchmarkHaskellDepends = [ criterion rerebase ];
- description = "An efficient strict text builder";
- license = lib.licenses.mit;
- }) {};
-
- "text-builder_0_6_7_2" = callPackage
({ mkDerivation, base, bytestring, criterion, rerebase, tasty
, tasty-hunit, tasty-quickcheck, text, text-builder-dev
}:
@@ -298311,32 +298215,9 @@ self: {
benchmarkHaskellDepends = [ criterion rerebase ];
description = "Efficient strict text builder";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"text-builder-dev" = callPackage
- ({ mkDerivation, base, bytestring, criterion, deferred-folds
- , isomorphism-class, QuickCheck, quickcheck-instances, rerebase
- , split, tasty, tasty-hunit, tasty-quickcheck, text, transformers
- }:
- mkDerivation {
- pname = "text-builder-dev";
- version = "0.3.3.2";
- sha256 = "1bsm4yl22mpzggcnh908ccw9mvg3prckydwxxqa4rfj4k8hy4x7m";
- libraryHaskellDepends = [
- base bytestring deferred-folds isomorphism-class split text
- transformers
- ];
- testHaskellDepends = [
- QuickCheck quickcheck-instances rerebase tasty tasty-hunit
- tasty-quickcheck
- ];
- benchmarkHaskellDepends = [ criterion rerebase ];
- description = "Edge of developments for \"text-builder\"";
- license = lib.licenses.mit;
- }) {};
-
- "text-builder-dev_0_3_4_2" = callPackage
({ mkDerivation, base, base-compat, bytestring, criterion
, deferred-folds, isomorphism-class, QuickCheck, quickcheck-classes
, quickcheck-instances, rerebase, split, tasty, tasty-hunit
@@ -298357,7 +298238,6 @@ self: {
benchmarkHaskellDepends = [ criterion rerebase ];
description = "Edge of developments for \"text-builder\"";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"text-builder-linear" = callPackage
@@ -298952,6 +298832,8 @@ self: {
libraryHaskellDepends = [ base classy-prelude mtl parsec text ];
description = "A type class for rendering objects as text, pretty-printing, etc";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"text-replace" = callPackage
@@ -298971,7 +298853,9 @@ self: {
testHaskellDepends = [ base hedgehog neat-interpolation text ];
description = "Simple text replacements from a list of search/replace pairs";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "text-replace";
+ broken = true;
}) {};
"text-rope" = callPackage
@@ -299433,22 +299317,6 @@ self: {
}) {};
"th-abstraction" = callPackage
- ({ mkDerivation, base, containers, ghc-prim, template-haskell }:
- mkDerivation {
- pname = "th-abstraction";
- version = "0.4.5.0";
- sha256 = "09hm0famyqsq09lal2ylnhsb31hybj8zanldi7cqncky4i7y5m80";
- revision = "1";
- editedCabalFile = "1hyjz6v788yiazhpkgcgipsvg52ik3w8jdpnajg0ayl1x5m1i3y2";
- libraryHaskellDepends = [
- base containers ghc-prim template-haskell
- ];
- testHaskellDepends = [ base containers template-haskell ];
- description = "Nicer interface for reified information about data types";
- license = lib.licenses.isc;
- }) {};
-
- "th-abstraction_0_5_0_0" = callPackage
({ mkDerivation, base, containers, ghc-prim, template-haskell }:
mkDerivation {
pname = "th-abstraction";
@@ -299460,7 +299328,6 @@ self: {
testHaskellDepends = [ base containers template-haskell ];
description = "Nicer interface for reified information about data types";
license = lib.licenses.isc;
- hydraPlatforms = lib.platforms.none;
}) {};
"th-abstraction_0_6_0_0" = callPackage
@@ -299544,8 +299411,8 @@ self: {
pname = "th-compat";
version = "0.1.4";
sha256 = "1f5ssi24mnhmmi91dl5ddg2jwci6akwlznqggf56nyxl9b0pmyfq";
- revision = "3";
- editedCabalFile = "1zrjfmbm9dm3mh3s4h6nb80ixwypkmc2dzgxim6b1jkjkj7inz9y";
+ revision = "4";
+ editedCabalFile = "0drd28785137wfwy2say899qviz5ck2nrnv85lyvihf1xiwwdwpm";
libraryHaskellDepends = [ base template-haskell ];
testHaskellDepends = [
base base-compat hspec mtl template-haskell
@@ -299594,8 +299461,8 @@ self: {
({ mkDerivation, base, template-haskell }:
mkDerivation {
pname = "th-data-compat";
- version = "0.1.3.0";
- sha256 = "0ll67hmrb0hfdpgyryppp1rplr8fmyj09zka931gwial0kwkhlir";
+ version = "0.1.3.1";
+ sha256 = "1ppp3l0mvwsn1xh4x939svwl24p9844lv6hd1nbv6sd4lpkm7g92";
libraryHaskellDepends = [ base template-haskell ];
description = "Compatibility for data definition template of TH";
license = lib.licenses.bsd3;
@@ -299604,22 +299471,21 @@ self: {
"th-desugar" = callPackage
({ mkDerivation, base, containers, ghc-prim, hspec, HUnit, mtl
, ordered-containers, syb, template-haskell, th-abstraction
- , th-lift, th-orphans, transformers-compat
+ , th-orphans, transformers-compat
}:
mkDerivation {
pname = "th-desugar";
- version = "1.14";
- sha256 = "1b57v15xx0z0xjlijv61dh07p6rvfkdpxnxiaaa1iv7zyg2x7cnz";
- revision = "2";
- editedCabalFile = "16i6x4w286mhhkxzjid5pfbnn51dzyxq6brawlppqb15qbnvs744";
+ version = "1.15";
+ sha256 = "167ybxp9233xlihmj28hmqmd82liyhjgl17310nn9bximgfjr7hp";
+ revision = "1";
+ editedCabalFile = "1mpig192p595d3961w1x35w50h8i6c9zp0h4d44mnjy2wd7hb99j";
libraryHaskellDepends = [
base containers ghc-prim mtl ordered-containers syb
- template-haskell th-abstraction th-lift th-orphans
- transformers-compat
+ template-haskell th-abstraction th-orphans transformers-compat
];
testHaskellDepends = [
base containers hspec HUnit mtl syb template-haskell th-abstraction
- th-lift th-orphans
+ th-orphans
];
description = "Functions to desugar Template Haskell";
license = lib.licenses.bsd3;
@@ -299948,28 +299814,6 @@ self: {
}) {};
"th-printf" = callPackage
- ({ mkDerivation, base, charset, containers, dlist, hspec, HUnit
- , integer-logarithms, microlens-platform, mtl, parsec, QuickCheck
- , semigroups, template-haskell, text, th-lift, transformers
- }:
- mkDerivation {
- pname = "th-printf";
- version = "0.7";
- sha256 = "1f9lw0scm2bpnhmhgvywzphxn85ln3xcn8cjyvy8h4cn2ymw4aza";
- revision = "1";
- editedCabalFile = "0q9b8xv6qf8yg5c6rn1jgmgwr4ckf4yzd9qv9ysr3c363cgnccyv";
- libraryHaskellDepends = [
- base charset containers dlist integer-logarithms microlens-platform
- mtl parsec semigroups template-haskell text th-lift transformers
- ];
- testHaskellDepends = [
- base hspec HUnit QuickCheck template-haskell text
- ];
- description = "Quasiquoters for printf";
- license = lib.licenses.mit;
- }) {};
-
- "th-printf_0_8" = callPackage
({ mkDerivation, base, charset, containers, dlist, hspec, HUnit
, integer-logarithms, microlens-platform, mtl, parsec, QuickCheck
, semigroups, template-haskell, text, th-lift, transformers
@@ -299987,7 +299831,6 @@ self: {
];
description = "Quasiquoters for printf";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"th-reify-compat" = callPackage
@@ -300340,18 +300183,14 @@ self: {
}) {};
"these" = callPackage
- ({ mkDerivation, assoc, base, binary, deepseq
- , foldable1-classes-compat, hashable
- }:
+ ({ mkDerivation, assoc, base, binary, deepseq, hashable }:
mkDerivation {
pname = "these";
version = "1.2";
sha256 = "1f6lmjmy3yl1d2ycfsfk7q4sd0m3zrrap2plc11pd47pnxs73vd8";
revision = "1";
editedCabalFile = "0vpcgbyrykzzyycwda8k6dcjvjcncrwlish4gkw2i80wi7v247h1";
- libraryHaskellDepends = [
- assoc base binary deepseq foldable1-classes-compat hashable
- ];
+ libraryHaskellDepends = [ assoc base binary deepseq hashable ];
description = "An either-or-both data type";
license = lib.licenses.bsd3;
}) {};
@@ -300776,6 +300615,31 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "threepenny-gui_0_9_4_1" = callPackage
+ ({ mkDerivation, aeson, async, base, bytestring, containers
+ , data-default, deepseq, exceptions, file-embed, filepath, hashable
+ , safe, snap-core, snap-server, stm, template-haskell, text
+ , transformers, unordered-containers, vault, vector, websockets
+ , websockets-snap
+ }:
+ mkDerivation {
+ pname = "threepenny-gui";
+ version = "0.9.4.1";
+ sha256 = "199jpgzmjlraspazaq9crv1zl92xmi8k2fif5kvznzpjvl4b1k1k";
+ isLibrary = true;
+ isExecutable = true;
+ enableSeparateDataOutput = true;
+ libraryHaskellDepends = [
+ aeson async base bytestring containers data-default deepseq
+ exceptions file-embed filepath hashable safe snap-core snap-server
+ stm template-haskell text transformers unordered-containers vault
+ vector websockets websockets-snap
+ ];
+ description = "GUI framework that uses the web browser as a display";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"threepenny-gui-contextmenu" = callPackage
({ mkDerivation, base, threepenny-gui }:
mkDerivation {
@@ -301483,8 +301347,8 @@ self: {
({ mkDerivation, base, time }:
mkDerivation {
pname = "time-domain";
- version = "0.1.0.2";
- sha256 = "1ld3g176mk3nrq6mczc4r079bp961gnhlkj52j381va233bv3zk3";
+ version = "0.1.0.3";
+ sha256 = "1wwhqgg0fv8c55fzpwvk57xhgm0ir54zkchrzijjbia5591pzb81";
libraryHaskellDepends = [ base time ];
description = "A library for time domains and durations";
license = lib.licenses.mit;
@@ -302104,20 +301968,6 @@ self: {
}) {};
"timer-wheel" = callPackage
- ({ mkDerivation, array, atomic-primops, base, ki, psqueues }:
- mkDerivation {
- pname = "timer-wheel";
- version = "0.4.0.1";
- sha256 = "0h8g9mgp7hcmksvgwwx2n1p9mavdsykqlavpdms7xbh0kgsb445z";
- revision = "1";
- editedCabalFile = "1gqbqkjvyvgkra6w8zy4sdxr2gvqj9wcf15rqsx4dbz3dlyjz06k";
- libraryHaskellDepends = [ array atomic-primops base ki psqueues ];
- testHaskellDepends = [ base ];
- description = "A timer wheel";
- license = lib.licenses.bsd3;
- }) {};
-
- "timer-wheel_1_0_0" = callPackage
({ mkDerivation, atomic-primops, base, ki, primitive, random
, tasty-bench
}:
@@ -302130,7 +301980,6 @@ self: {
benchmarkHaskellDepends = [ base ki random tasty-bench ];
description = "A timer wheel";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"timerep" = callPackage
@@ -302404,6 +302253,8 @@ self: {
testHaskellDepends = [ base QuickCheck ];
description = "Tiny INI file and configuration library with a minimal dependency footprint";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"tinkoff-invest-sdk" = callPackage
@@ -302527,8 +302378,8 @@ self: {
({ mkDerivation, base, bytestring, entropy }:
mkDerivation {
pname = "tinyid";
- version = "0.1.0.0";
- sha256 = "01863iq39skw38x1c7v809shfgmxdnj680a6zxjviraqfzyk8h0z";
+ version = "0.1.1.0";
+ sha256 = "1qblv2gvzkikw3wnaz77bb7327904a18s76jxnpyl7lz12k3dmzg";
libraryHaskellDepends = [ base bytestring entropy ];
description = "A secure URL-friendly string ID generator";
license = lib.licenses.mit;
@@ -302580,8 +302431,8 @@ self: {
}:
mkDerivation {
pname = "tinytools";
- version = "0.1.0.6";
- sha256 = "0n69x1fk82pmhfn67r7i8xipxp4jqj3m1wy7n5b7garq3gwj5k4c";
+ version = "0.1.0.7";
+ sha256 = "19sxqs5jdhbasssd5qvkzy2afjcww85fp60vb9iany8g90dfp3vs";
libraryHaskellDepends = [
aeson aeson-pretty base bimap binary bytestring constraints-extras
containers data-default data-ordlist deepseq dependent-map
@@ -302590,11 +302441,8 @@ self: {
reflex-test-host relude semialign text text-icu these vector vty
];
testHaskellDepends = [
- aeson base bimap binary bytestring constraints-extras containers
- data-default data-ordlist deepseq dependent-map dependent-sum
- dependent-sum-template extra hspec hspec-contrib HUnit ilist lens
- linear mtl pretty-simple ref-tf reflex reflex-potatoes
- reflex-test-host relude semialign text text-icu these vector vty
+ base containers data-default data-ordlist dependent-sum extra hspec
+ hspec-contrib HUnit reflex reflex-test-host relude these vector
];
testToolDepends = [ hspec-discover ];
description = "tinytools is a monospace unicode diagram editor";
@@ -302613,8 +302461,8 @@ self: {
}:
mkDerivation {
pname = "tinytools-vty";
- version = "0.1.0.4";
- sha256 = "1hcnwnq5imjqdcvlcri8dmc4lr08dg3nx6rklrv8a0rx34rd8naa";
+ version = "0.1.0.7";
+ sha256 = "0wzwl8k581iw6xa31gc9706qfizk7milnb03qg1xixnrrd9375ah";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -302634,17 +302482,13 @@ self: {
template-haskell text these time tinytools vty
];
testHaskellDepends = [
- aeson aeson-pretty ansi-terminal base bimap bytestring clock
- constraints-extras containers data-default dependent-map
- dependent-sum directory extra file-embed filepath hspec
- hspec-contrib http-conduit HUnit ilist lens mtl
- optparse-applicative primitive ref-tf reflex reflex-potatoes
- reflex-test-host reflex-vty relude semialign template-haskell text
- these time tinytools vty
+ base data-default hspec hspec-contrib HUnit ref-tf reflex
+ reflex-test-host reflex-vty relude tinytools vty
];
description = "tinytools-vty is a terminal based monospace unicode diagram editing tool";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ mainProgram = "tinytools";
}) {};
"tip-haskell-frontend" = callPackage
@@ -302991,26 +302835,29 @@ self: {
"tls" = callPackage
({ mkDerivation, asn1-encoding, asn1-types, async, base, bytestring
- , cereal, cryptonite, data-default-class, gauge, hourglass, memory
- , mtl, network, QuickCheck, tasty, tasty-quickcheck, transformers
- , x509, x509-store, x509-validation
+ , cereal, crypton, crypton-x509, crypton-x509-store
+ , crypton-x509-validation, data-default-class, gauge, hourglass
+ , memory, mtl, network, QuickCheck, tasty, tasty-quickcheck
+ , transformers, unix-time
}:
mkDerivation {
pname = "tls";
- version = "1.6.0";
- sha256 = "1674i73dwha42ia1wlngi346lnfbag46w1wvqfim5f61q6pj17fj";
+ version = "1.8.0";
+ sha256 = "024qj5w5l1z1hmi24l433jwiyh2jnadziibs7rsnbn0v7zgqd12a";
libraryHaskellDepends = [
- asn1-encoding asn1-types async base bytestring cereal cryptonite
- data-default-class hourglass memory mtl network transformers x509
- x509-store x509-validation
+ asn1-encoding asn1-types async base bytestring cereal crypton
+ crypton-x509 crypton-x509-store crypton-x509-validation
+ data-default-class memory mtl network transformers unix-time
];
testHaskellDepends = [
- asn1-types async base bytestring cryptonite data-default-class
- hourglass QuickCheck tasty tasty-quickcheck x509 x509-validation
+ asn1-types async base bytestring crypton crypton-x509
+ crypton-x509-validation data-default-class hourglass QuickCheck
+ tasty tasty-quickcheck
];
benchmarkHaskellDepends = [
- asn1-types async base bytestring cryptonite data-default-class
- gauge hourglass QuickCheck tasty-quickcheck x509 x509-validation
+ asn1-types async base bytestring crypton crypton-x509
+ crypton-x509-validation data-default-class gauge hourglass
+ QuickCheck tasty-quickcheck
];
description = "TLS/SSL protocol native implementation (Server and Client)";
license = lib.licenses.bsd3;
@@ -303196,33 +303043,11 @@ self: {
];
description = "Start and stop a temporary postgres";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"tmp-proc" = callPackage
- ({ mkDerivation, async, base, bytestring, connection, data-default
- , hspec, http-client, http-client-tls, http-types, mtl, network
- , process, req, text, unliftio, wai, warp, warp-tls
- }:
- mkDerivation {
- pname = "tmp-proc";
- version = "0.5.1.4";
- sha256 = "0ps2fh7c9s30yc2jvwz5qzlhr6qck23as7f1ddkvxfh07wll2bkz";
- isLibrary = true;
- isExecutable = true;
- enableSeparateDataOutput = true;
- libraryHaskellDepends = [
- async base bytestring mtl network process text unliftio wai warp
- warp-tls
- ];
- testHaskellDepends = [
- base bytestring connection data-default hspec http-client
- http-client-tls http-types req text wai warp warp-tls
- ];
- description = "Run 'tmp' processes in integration tests";
- license = lib.licenses.bsd3;
- }) {};
-
- "tmp-proc_0_5_3_0" = callPackage
({ mkDerivation, async, base, bytestring, data-default, hspec
, http-client, http-types, mtl, network, process, text, unliftio
, wai, warp, warp-tls
@@ -303244,6 +303069,30 @@ self: {
];
description = "Run 'tmp' processes in integration tests";
license = lib.licenses.bsd3;
+ }) {};
+
+ "tmp-proc_0_6_0_0" = callPackage
+ ({ mkDerivation, async, base, bytestring, data-default, hspec
+ , http-client, http-types, mtl, network, process, text, unliftio
+ , wai, warp, warp-tls
+ }:
+ mkDerivation {
+ pname = "tmp-proc";
+ version = "0.6.0.0";
+ sha256 = "148zvf2zwg84pyksir7j9y208y135i95dp0hh9514xahfh44ic3x";
+ isLibrary = true;
+ isExecutable = true;
+ enableSeparateDataOutput = true;
+ libraryHaskellDepends = [
+ async base bytestring mtl network process text unliftio wai warp
+ warp-tls
+ ];
+ testHaskellDepends = [
+ base bytestring data-default hspec http-client http-types text wai
+ warp
+ ];
+ description = "Run 'tmp' processes in integration tests";
+ license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
}) {};
@@ -303257,8 +303106,8 @@ self: {
}:
mkDerivation {
pname = "tmp-proc-example";
- version = "0.5.3.1";
- sha256 = "10p93rck5z4jhsy77rg6v1i19125561px1mjigqs1v1ip288ygfa";
+ version = "0.6.0.0";
+ sha256 = "0rk9rh2hpzx5hi6jpybwbbfmiqsgadb3gahhyvdiakzikbfjcn6y";
libraryHaskellDepends = [
aeson base bytestring exceptions hedis hspec hspec-tmp-proc
http-client http-client-tls monad-logger mtl persistent
@@ -303279,8 +303128,8 @@ self: {
}:
mkDerivation {
pname = "tmp-proc-postgres";
- version = "0.5.2.3";
- sha256 = "1db2nj009daglrdgwn89xab072ax1lcl3rvjzlp6fcqfmppdjj1g";
+ version = "0.5.3.1";
+ sha256 = "04kcqn2lg7ycf2hjv4gvq9y59w48dn5kijxs7cghiz8yq1qc75zf";
libraryHaskellDepends = [
base bytestring postgresql-simple text tmp-proc
];
@@ -303288,18 +303137,18 @@ self: {
base bytestring hspec hspec-tmp-proc postgresql-simple text
tmp-proc
];
- description = "Shows how to run a PostgreSQL database as a tmp proc";
+ description = "Launch a PostgreSQL database in docker using tmp-proc";
license = lib.licenses.bsd3;
}) {};
- "tmp-proc-postgres_0_5_3_1" = callPackage
+ "tmp-proc-postgres_0_6_0_0" = callPackage
({ mkDerivation, base, bytestring, hspec, hspec-tmp-proc
, postgresql-simple, text, tmp-proc
}:
mkDerivation {
pname = "tmp-proc-postgres";
- version = "0.5.3.1";
- sha256 = "04kcqn2lg7ycf2hjv4gvq9y59w48dn5kijxs7cghiz8yq1qc75zf";
+ version = "0.6.0.0";
+ sha256 = "1zddls7fax0wrs06db6p9457gs7ay0q0b4cmvykzdlkq3k38xnd8";
libraryHaskellDepends = [
base bytestring postgresql-simple text tmp-proc
];
@@ -303318,24 +303167,24 @@ self: {
}:
mkDerivation {
pname = "tmp-proc-rabbitmq";
- version = "0.5.1.4";
- sha256 = "0iv12gbahmxxb0ap616ziwj34dx25qbmj9j9ach29hfabsr45bx9";
+ version = "0.5.3.1";
+ sha256 = "0jkghypnlc9fsss8nqk7rbr42wkmdpgaa5v6bhfw6mwrcnz4i15h";
libraryHaskellDepends = [ amqp base bytestring text tmp-proc ];
testHaskellDepends = [
amqp base bytestring hspec hspec-tmp-proc text tmp-proc
];
- description = "Shows how to run RabbitMQ as a tmp proc";
+ description = "Launch RabbitMQ in docker using tmp-proc";
license = lib.licenses.bsd3;
}) {};
- "tmp-proc-rabbitmq_0_5_3_1" = callPackage
+ "tmp-proc-rabbitmq_0_6_0_0" = callPackage
({ mkDerivation, amqp, base, bytestring, hspec, hspec-tmp-proc
, text, tmp-proc
}:
mkDerivation {
pname = "tmp-proc-rabbitmq";
- version = "0.5.3.1";
- sha256 = "0jkghypnlc9fsss8nqk7rbr42wkmdpgaa5v6bhfw6mwrcnz4i15h";
+ version = "0.6.0.0";
+ sha256 = "104hsrr18ch40h9vqa3pxzq5nvhawqxyq8lvyjfwal2ncakyyzrn";
libraryHaskellDepends = [ amqp base bytestring text tmp-proc ];
testHaskellDepends = [
amqp base bytestring hspec hspec-tmp-proc text tmp-proc
@@ -303351,24 +303200,24 @@ self: {
}:
mkDerivation {
pname = "tmp-proc-redis";
- version = "0.5.1.4";
- sha256 = "0rmximk8asf59s89girbvg244dsd7h72x2bwswkrm2zmv42j2qhr";
+ version = "0.5.3.1";
+ sha256 = "1pqmg6xqfbl71q5pnryrmqsf443qpsq89wk1kax6k68zmyn7ws4i";
libraryHaskellDepends = [ base bytestring hedis text tmp-proc ];
testHaskellDepends = [
base bytestring hedis hspec hspec-tmp-proc text tmp-proc
];
- description = "Shows how to run redis as a tmp proc";
+ description = "Launch Redis in docker using tmp-proc";
license = lib.licenses.bsd3;
}) {};
- "tmp-proc-redis_0_5_3_1" = callPackage
+ "tmp-proc-redis_0_6_0_0" = callPackage
({ mkDerivation, base, bytestring, hedis, hspec, hspec-tmp-proc
, text, tmp-proc
}:
mkDerivation {
pname = "tmp-proc-redis";
- version = "0.5.3.1";
- sha256 = "1pqmg6xqfbl71q5pnryrmqsf443qpsq89wk1kax6k68zmyn7ws4i";
+ version = "0.6.0.0";
+ sha256 = "02l8x3z1ikpvd92cynda4s46sg35ql9f59y48w32vcgdlzs6v19v";
libraryHaskellDepends = [ base bytestring hedis text tmp-proc ];
testHaskellDepends = [
base bytestring hedis hspec hspec-tmp-proc text tmp-proc
@@ -303384,8 +303233,8 @@ self: {
}:
mkDerivation {
pname = "tmp-proc-zipkin";
- version = "0.5.3.1";
- sha256 = "1wwy50987h6bx9fyn5k9jamsmzrx8l58nqbjynmwymwzj82kwlwc";
+ version = "0.6.0.0";
+ sha256 = "01acz3c21wlkgrazlgmdl68y7pg2vz8cxk8776xi979w0q1kp56a";
libraryHaskellDepends = [
base bytestring http-client text tmp-proc tracing
];
@@ -303596,8 +303445,8 @@ self: {
}:
mkDerivation {
pname = "toilet";
- version = "0.0.2";
- sha256 = "0a570jkqgq7g2qrmbmpgx0kiin3gdqhqpdqcmj7psfg8fxvhd7k6";
+ version = "0.0.2.1";
+ sha256 = "0596k74gg33lmhlaznhb90sf5a5zsy6jr260p2s6fv6zv8i48rnk";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
@@ -303885,8 +303734,10 @@ self: {
}:
mkDerivation {
pname = "toml-parser";
- version = "1.3.1.1";
- sha256 = "0gh86i6z98zdpy7i4vh66jnivd440hg552a103zkfyg7qsfprmwh";
+ version = "1.3.2.0";
+ sha256 = "1cb748vkqzgswkbchfg73wqmhjarz2ws7c42nf4jlqfdhijly2qy";
+ isLibrary = true;
+ isExecutable = true;
libraryHaskellDepends = [
array base containers prettyprinter text time transformers
];
@@ -304402,6 +304253,18 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "torsor_0_1_0_1" = callPackage
+ ({ mkDerivation, base }:
+ mkDerivation {
+ pname = "torsor";
+ version = "0.1.0.1";
+ sha256 = "0ljcxlv019qfbs3wvp03j8l261i1p6vv3vycabcd0hpy0dbq80d9";
+ libraryHaskellDepends = [ base ];
+ description = "Torsor Typeclass";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"tostring" = callPackage
({ mkDerivation, base, case-insensitive, text, utf8-string }:
mkDerivation {
@@ -304843,8 +304706,8 @@ self: {
}:
mkDerivation {
pname = "tracing";
- version = "0.0.7.3";
- sha256 = "1v178byysbl6cpx8dqs4a1failfzpr80fqv7icddq28rh95b2aj2";
+ version = "0.0.7.4";
+ sha256 = "1fmfa75h8lnq5dz5gcqig34lg0zib893a316chmqfz91cfd5ws8r";
libraryHaskellDepends = [
aeson base base16-bytestring bytestring case-insensitive containers
http-client mtl network random stm text time transformers unliftio
@@ -304877,6 +304740,7 @@ self: {
];
description = "Distributed tracing";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"tracked-files" = callPackage
@@ -304997,7 +304861,9 @@ self: {
time transformers unordered-containers
];
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "trade-journal";
+ broken = true;
}) {inherit (pkgs) gmp; inherit (pkgs) mpfr;};
"traildb" = callPackage
@@ -305281,6 +305147,8 @@ self: {
libraryHaskellDepends = [ base transformers ];
description = "Control flow data type and monad transformer";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"transformers-convert" = callPackage
@@ -305359,6 +305227,8 @@ self: {
libraryHaskellDepends = [ base transformers ];
description = "Monad transformer for evaluating to a fixpoint";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"transformers-free" = callPackage
@@ -305372,6 +305242,8 @@ self: {
libraryHaskellDepends = [ base transformers ];
description = "Free monad transformers";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"transformers-lift" = callPackage
@@ -305411,6 +305283,8 @@ self: {
libraryHaskellDepends = [ base mtl transformers ];
description = "Supply applicative, monad, applicative transformer and monad transformer";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"transient" = callPackage
@@ -305846,8 +305720,8 @@ self: {
pname = "tree-diff";
version = "0.3.0.1";
sha256 = "1bkjsklsqxq8i8p3zx73pck4ca1kv21zjvn9xhbhz191gygprrj0";
- revision = "4";
- editedCabalFile = "1m13fy6igixsjg02nx2hhlxmhy2isvx28b1wzmllsdd99lmdasy5";
+ revision = "5";
+ editedCabalFile = "167hw7jsvajj68g9inqrjkh6wj50yvvy2i6g97is31i6fhqifg09";
libraryHaskellDepends = [
aeson ansi-terminal ansi-wl-pprint base base-compat bytestring
bytestring-builder containers deepseq hashable parsec parsers
@@ -306389,8 +306263,8 @@ self: {
pname = "trie-simple";
version = "0.4.2";
sha256 = "1ai4fn35312z6ydwadi0rxscglwqawjrzq6p1i2kpbf7vmflznjg";
- revision = "1";
- editedCabalFile = "08vpcln682lxpz6367f6h5mvi6p75g3gcav432jz0bkmhvr3yaa2";
+ revision = "2";
+ editedCabalFile = "1acm5zh0lmz20gakq5ghmrgb87w76350gfxim25y4rh4jzr39wv1";
libraryHaskellDepends = [
base containers deepseq hashable indexed-traversable matchable mtl
semialign these witherable
@@ -306461,6 +306335,31 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "trifecta_2_1_4" = callPackage
+ ({ mkDerivation, ansi-terminal, array, base, blaze-builder
+ , blaze-html, blaze-markup, bytestring, charset, comonad
+ , containers, deepseq, fingertree, ghc-prim, hashable
+ , indexed-traversable, lens, mtl, parsers, prettyprinter
+ , prettyprinter-ansi-terminal, profunctors, QuickCheck, reducers
+ , transformers, unordered-containers, utf8-string
+ }:
+ mkDerivation {
+ pname = "trifecta";
+ version = "2.1.4";
+ sha256 = "0a4wvj3g27xmkck150ci6wfp8lqbdlrqgi9q8y3k0cqsvfpl5n6l";
+ libraryHaskellDepends = [
+ ansi-terminal array base blaze-builder blaze-html blaze-markup
+ bytestring charset comonad containers deepseq fingertree ghc-prim
+ hashable indexed-traversable lens mtl parsers prettyprinter
+ prettyprinter-ansi-terminal profunctors reducers transformers
+ unordered-containers utf8-string
+ ];
+ testHaskellDepends = [ base parsers QuickCheck ];
+ description = "A modern parser combinator library with convenient diagnostics";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"trigger" = callPackage
({ mkDerivation, aeson, ansi-terminal, base, clock, directory
, exceptions, filepath, formatting, fsnotify, Glob, hspec, process
@@ -306569,6 +306468,8 @@ self: {
];
description = "TripleSec is a simple, triple-paranoid, symmetric encryption library";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"trivia" = callPackage
@@ -306647,6 +306548,8 @@ self: {
testHaskellDepends = [ base containers template-haskell time ];
description = "Template Haskell hack to violate module abstractions";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"truelevel" = callPackage
@@ -306995,24 +306898,6 @@ self: {
}) {};
"ttc" = callPackage
- ({ mkDerivation, base, bytestring, tasty, tasty-hunit
- , template-haskell, text
- }:
- mkDerivation {
- pname = "ttc";
- version = "1.2.1.0";
- sha256 = "001r357h1szs86xsivikvm4h57g6f6p63c2r83y2kpiflnaap4as";
- revision = "3";
- editedCabalFile = "01jci5kh9jrj38n91ghfq6z4nb29k6w33hwyccq2cx8j2433kykr";
- libraryHaskellDepends = [ base bytestring template-haskell text ];
- testHaskellDepends = [
- base bytestring tasty tasty-hunit template-haskell text
- ];
- description = "Textual Type Classes";
- license = lib.licenses.mit;
- }) {};
-
- "ttc_1_4_0_0" = callPackage
({ mkDerivation, base, bytestring, tasty, tasty-hunit
, template-haskell, text, text-short
}:
@@ -307028,7 +306913,6 @@ self: {
];
description = "Textual Type Classes";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"ttl-hashtables" = callPackage
@@ -307267,7 +307151,9 @@ self: {
testHaskellDepends = [ base tasty tasty-hunit ];
description = "Access tuple fields using record dot syntax";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "gen-source";
+ broken = true;
}) {};
"tuple-gen" = callPackage
@@ -307509,34 +307395,6 @@ self: {
}) {};
"turtle" = callPackage
- ({ mkDerivation, ansi-wl-pprint, async, base, bytestring, clock
- , containers, directory, doctest, exceptions, filepath, foldl
- , hostname, managed, optional-args, optparse-applicative, process
- , stm, streaming-commons, tasty, tasty-bench, tasty-hunit
- , temporary, text, time, transformers, unix, unix-compat
- }:
- mkDerivation {
- pname = "turtle";
- version = "1.6.1";
- sha256 = "171viripwn8hg3afkkswr243bv7q0r0bz3mn0bflddm4jdf49597";
- revision = "5";
- editedCabalFile = "1ll4pz1f2inhrfv1l6akzqlbycfwjxr6n1zzfspscjvwwni4vkm7";
- libraryHaskellDepends = [
- ansi-wl-pprint async base bytestring clock containers directory
- exceptions filepath foldl hostname managed optional-args
- optparse-applicative process stm streaming-commons temporary text
- time transformers unix unix-compat
- ];
- testHaskellDepends = [
- base doctest filepath tasty tasty-hunit temporary
- ];
- benchmarkHaskellDepends = [ base tasty-bench text ];
- description = "Shell programming, Haskell-style";
- license = lib.licenses.bsd3;
- maintainers = [ lib.maintainers.Gabriella439 ];
- }) {};
-
- "turtle_1_6_2" = callPackage
({ mkDerivation, ansi-wl-pprint, async, base, bytestring, clock
, containers, directory, doctest, exceptions, filepath, foldl
, hostname, managed, optional-args, optparse-applicative, process
@@ -307561,7 +307419,6 @@ self: {
benchmarkHaskellDepends = [ base tasty-bench text ];
description = "Shell programming, Haskell-style";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
maintainers = [ lib.maintainers.Gabriella439 ];
}) {};
@@ -308060,6 +307917,8 @@ self: {
];
description = "Haskell twirp foundations";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"twisty" = callPackage
@@ -308573,6 +308432,8 @@ self: {
];
description = "Tools for writing better type errors";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"type-errors-pretty" = callPackage
@@ -308890,8 +308751,8 @@ self: {
}:
mkDerivation {
pname = "type-natural";
- version = "1.3.0.0";
- sha256 = "0mdfc9hkwgisn8988gh5q0032wa7q97mp0vpzrgbnz617ai6mhy7";
+ version = "1.3.0.1";
+ sha256 = "0n07bcz5hi4l2a2lvgqkns4b158nsw10f1m7ij1vagwwvzsl0msf";
libraryHaskellDepends = [
base constraints equational-reasoning ghc ghc-typelits-knownnat
ghc-typelits-natnormalise ghc-typelits-presburger
@@ -309011,6 +308872,8 @@ self: {
testHaskellDepends = [ base tasty tasty-hunit ];
description = "Support functions to work with type representations";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"type-rig" = callPackage
@@ -309230,6 +309093,31 @@ self: {
broken = true;
}) {};
+ "typechain" = callPackage
+ ({ mkDerivation, aeson, base, bytestring, exceptions, http-conduit
+ , lens, mtl, split, template-haskell, unordered-containers
+ }:
+ mkDerivation {
+ pname = "typechain";
+ version = "0.2.0.0";
+ sha256 = "1d86474d6j05zkvgrjxzx6b46badcw421f3gzkjzggki2vswyg2l";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ aeson base bytestring exceptions http-conduit lens mtl
+ template-haskell unordered-containers
+ ];
+ executableHaskellDepends = [
+ aeson base bytestring exceptions http-conduit lens mtl split
+ template-haskell unordered-containers
+ ];
+ description = "An implementation of LangChain in Haskell";
+ license = lib.licenses.gpl3Plus;
+ hydraPlatforms = lib.platforms.none;
+ mainProgram = "typechain-exe";
+ broken = true;
+ }) {};
+
"typecheck-plugin-nat-simple" = callPackage
({ mkDerivation, base, containers, ghc, ghc-tcplugins-extra }:
mkDerivation {
@@ -309634,6 +309522,8 @@ self: {
libraryHaskellDepends = [ base symbols text ];
description = "Type-safe printf from parsing GHC TypeLits Symbol";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"typelits-witnesses" = callPackage
@@ -309952,26 +309842,16 @@ self: {
}) {};
"typst-symbols" = callPackage
- ({ mkDerivation, base, text }:
- mkDerivation {
- pname = "typst-symbols";
- version = "0.1.4";
- sha256 = "0kpb4fnw7hkj17mgwrlcbc1vs7pxqf73kc2z3yzdmj9hjjfiid6b";
- libraryHaskellDepends = [ base text ];
- description = "Symbol and emoji lookup for typst language";
- license = lib.licenses.mit;
- }) {};
-
- "typst-symbols_0_1_5" = callPackage
({ mkDerivation, base, text }:
mkDerivation {
pname = "typst-symbols";
version = "0.1.5";
sha256 = "1kgw8c0p3qj1an6dhfim310aydgzq05lx086mznbfs5saya1x590";
+ revision = "1";
+ editedCabalFile = "0fw1b5k32qvbdcb8ys089y4dnf30qdbbkyhnzwg7z2vjnsp1nb20";
libraryHaskellDepends = [ base text ];
description = "Symbol and emoji lookup for typst language";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"tyro" = callPackage
@@ -310030,10 +309910,8 @@ self: {
}:
mkDerivation {
pname = "tzdata";
- version = "0.2.20230322.0";
- sha256 = "1qir5cy2cyk4p923l3ibimvc0rn4h5pwx0wmjarx69bmxzm7jib7";
- revision = "3";
- editedCabalFile = "05z8d4l8pizzrs3rldhn8abyfaf263mx25y2z5l34bcj006iqgnm";
+ version = "0.2.20231222.0";
+ sha256 = "049brcgpqkr8bj7q5fqmxclb4s1ikrx9gawy2yn0ig3nd0kspnw9";
enableSeparateDataOutput = true;
libraryHaskellDepends = [
base bytestring containers deepseq vector
@@ -310045,6 +309923,26 @@ self: {
license = lib.licenses.asl20;
}) {};
+ "tzdata_0_2_20240201_0" = callPackage
+ ({ mkDerivation, base, bytestring, containers, deepseq, HUnit
+ , tasty, tasty-hunit, tasty-th, unix, vector
+ }:
+ mkDerivation {
+ pname = "tzdata";
+ version = "0.2.20240201.0";
+ sha256 = "1mkb9j4xr8m03hpby39pb3xdqxxkbp9idz3xxhxf2fznv98z2acx";
+ enableSeparateDataOutput = true;
+ libraryHaskellDepends = [
+ base bytestring containers deepseq vector
+ ];
+ testHaskellDepends = [
+ base bytestring HUnit tasty tasty-hunit tasty-th unix
+ ];
+ description = "Time zone database (as files and as a module)";
+ license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"tztime" = callPackage
({ mkDerivation, base, deepseq, directory, doctest-parallel
, filepath, mtl, safe-exceptions, tasty, tasty-discover
@@ -310066,6 +309964,8 @@ self: {
testToolDepends = [ tasty-discover ];
description = "Safe timezone-aware handling of time";
license = lib.licenses.mpl20;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"u2f" = callPackage
@@ -310154,7 +310054,9 @@ self: {
];
description = "Userspace Advanced Configuration and Power Interface event daemon";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "uacpid";
+ broken = true;
}) {};
"uber" = callPackage
@@ -310502,6 +310404,8 @@ self: {
pname = "ui-command";
version = "0.5.4";
sha256 = "1qq902p5q6z1m0556bdc6brads7m2qrhrwnzd8k8c4jynzc829w7";
+ revision = "1";
+ editedCabalFile = "0svwn4r596vnj1bjklzb8jjr2jk232hsp4frb3wc1yflq5pqkjm2";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [ base data-default mtl old-locale time ];
@@ -310801,6 +310705,8 @@ self: {
benchmarkHaskellDepends = [ base criterion deepseq ];
description = "Support for programming with names and binders using GHC Generics";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"unbound-kind-generics" = callPackage
@@ -310816,6 +310722,7 @@ self: {
];
description = "Support for programming with names and binders using kind-generics";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"unbounded-delays" = callPackage
@@ -310998,6 +310905,8 @@ self: {
libraryHaskellDepends = [ base ];
description = "Tiny package providing unescaping versions of show and print";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"unexceptionalio" = callPackage
@@ -311019,10 +310928,8 @@ self: {
({ mkDerivation, base, transformers, unexceptionalio }:
mkDerivation {
pname = "unexceptionalio-trans";
- version = "0.5.1";
- sha256 = "100sfbrpaldz37a176qpfkk1nx5acyh8pchjmb8g5vhzbhyrqniz";
- revision = "1";
- editedCabalFile = "0f15n8hqqczwjrcqxwjp2mrd9iycv53sylv407c95nb6d4hw93ci";
+ version = "0.5.2";
+ sha256 = "017hv1lx162wb8i28aax66z5lbi25i11lifbh2wbjy7j2bkrl6hd";
libraryHaskellDepends = [ base transformers unexceptionalio ];
description = "A wrapper around UnexceptionalIO using monad transformers";
license = "unknown";
@@ -311056,6 +310963,8 @@ self: {
];
description = "Class of data structures that can be unfolded";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"unfoldable-restricted" = callPackage
@@ -311072,6 +310981,7 @@ self: {
];
description = "An alternative to the Unfoldable typeclass";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"unfork" = callPackage
@@ -311250,8 +311160,8 @@ self: {
}:
mkDerivation {
pname = "unicode-collation";
- version = "0.1.3.5";
- sha256 = "0ya3a3s78hipzw95frw46ky2qv2a141gmwv2qvvgchplsl5f0a82";
+ version = "0.1.3.6";
+ sha256 = "0c1s1n4cqhjibiv05h5qfl4cg4h11ny4jay0gqnqg36z9kb66hfn";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -311304,6 +311214,8 @@ self: {
benchmarkHaskellDepends = [ base deepseq tasty tasty-bench ];
description = "Unicode characters names and aliases";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"unicode-data-scripts" = callPackage
@@ -311323,6 +311235,8 @@ self: {
];
description = "Unicode characters scripts";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"unicode-data-security" = callPackage
@@ -311341,6 +311255,8 @@ self: {
benchmarkHaskellDepends = [ base deepseq tasty tasty-bench ];
description = "Unicode security mechanisms database";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"unicode-general-category" = callPackage
@@ -311531,6 +311447,8 @@ self: {
libraryHaskellDepends = [ base containers logict mtl ];
description = "Simple generic unification algorithms";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"uniform-algebras" = callPackage
@@ -311817,6 +311735,8 @@ self: {
benchmarkHaskellDepends = [ base criterion deepseq lens ];
description = "Extensible type-safe unions";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"union-angle" = callPackage
@@ -311867,6 +311787,8 @@ self: {
libraryHaskellDepends = [ array base mtl ];
description = "union find data structure";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"union-map" = callPackage
@@ -312245,6 +312167,7 @@ self: {
];
description = "A domain-specific type system for dimensional analysis";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"units-attoparsec" = callPackage
@@ -312273,6 +312196,7 @@ self: {
libraryHaskellDepends = [ base template-haskell units ];
description = "Definitions for use with the units package";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"units-parser" = callPackage
@@ -312603,30 +312527,13 @@ self: {
pname = "unix-bytestring";
version = "0.4.0";
sha256 = "0vpg1yfv69ks7b8vyci5l480nj3b90dfvkxdfhw4n3mgch4s6rh4";
+ revision = "1";
+ editedCabalFile = "0p9akxwacfkbhpiqp0nvdsk5lsxlr5l3b0dgz86fwf7pp2zsd82m";
libraryHaskellDepends = [ base bytestring ];
description = "Unix/Posix-specific functions for ByteStrings";
license = lib.licenses.bsd3;
}) {};
- "unix-compat_0_6" = callPackage
- ({ mkDerivation, base, directory, extra, hspec, HUnit
- , monad-parallel, temporary, unix
- }:
- mkDerivation {
- pname = "unix-compat";
- version = "0.6";
- sha256 = "1y6m8ix8np6vambabdaj2h7ydgda8igwy3kliv53mba3clx85kdl";
- revision = "1";
- editedCabalFile = "0g5mi6rh977idajgxnnlsd7dp28vf4xwiiwpsc4pj1rqv0lhjp8g";
- libraryHaskellDepends = [ base unix ];
- testHaskellDepends = [
- base directory extra hspec HUnit monad-parallel temporary
- ];
- description = "Portable POSIX-compatibility layer";
- license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- }) {};
-
"unix-compat" = callPackage
({ mkDerivation, base, directory, extra, hspec, HUnit
, monad-parallel, temporary, unix
@@ -312696,6 +312603,8 @@ self: {
];
description = "Unix memory syscalls";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"unix-process-conduit" = callPackage
@@ -312781,6 +312690,25 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "unix-time_0_4_12" = callPackage
+ ({ mkDerivation, base, binary, bytestring, hspec, hspec-discover
+ , old-locale, old-time, QuickCheck, template-haskell, time
+ }:
+ mkDerivation {
+ pname = "unix-time";
+ version = "0.4.12";
+ sha256 = "1qlpmwd43kaybbq7vaa1wnbay575qwj4r7xynafixa6bwnc759ah";
+ libraryHaskellDepends = [ base binary bytestring old-time ];
+ testHaskellDepends = [
+ base bytestring hspec old-locale old-time QuickCheck
+ template-haskell time
+ ];
+ testToolDepends = [ hspec-discover ];
+ description = "Unix time parser/formatter and utilities";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"unjson" = callPackage
({ mkDerivation, aeson, attoparsec, base, bytestring, containers
, free, hashable, HUnit, invariant, pretty, primitive, scientific
@@ -312898,8 +312826,8 @@ self: {
({ mkDerivation, base, bytestring, text-short }:
mkDerivation {
pname = "unlifted";
- version = "0.2.1.0";
- sha256 = "1ypm4bwgcylphvj3f1vbc92xljj08dgdlwkwnnb617m0clc92cak";
+ version = "0.2.2.0";
+ sha256 = "06phlykja7x0xn07d78sik6rkzl0qwwihk310nfc3r22j4wym4kx";
libraryHaskellDepends = [ base bytestring text-short ];
description = "Unlifted and levity-polymorphic types";
license = lib.licenses.bsd3;
@@ -313004,15 +312932,12 @@ self: {
}) {};
"unliftio-pool" = callPackage
- ({ mkDerivation, base, resource-pool, transformers, unliftio-core
- }:
+ ({ mkDerivation, base, resource-pool, unliftio-core }:
mkDerivation {
pname = "unliftio-pool";
- version = "0.4.2.0";
- sha256 = "1j0751kb61hzxj3i4prbhhd2b94nmcckp92sandxvql3cbbkg4vr";
- libraryHaskellDepends = [
- base resource-pool transformers unliftio-core
- ];
+ version = "0.4.3.0";
+ sha256 = "1pwlyvlz7qf4vdgijzal9dmwdgx7hzqqan5ws6dg38qb5914j1rq";
+ libraryHaskellDepends = [ base resource-pool unliftio-core ];
description = "Data.Pool generalized to MonadUnliftIO.";
license = lib.licenses.bsd3;
}) {};
@@ -313085,10 +313010,10 @@ self: {
}:
mkDerivation {
pname = "unordered-containers";
- version = "0.2.19.1";
- sha256 = "1li8s6qw8mgv6a7011y7hg0cn2nllv2g9sr9c1xb48nmw32vw9qv";
- revision = "3";
- editedCabalFile = "1p9a2mvfbfz2d4cyr16b03bxvb6c4yj7k1ch601xwq1rkr1cla0w";
+ version = "0.2.20";
+ sha256 = "07gij1y9zhqg2dq8wy815j7s0zk2k65sqg4wvhwjsn80ry3v5kyr";
+ revision = "1";
+ editedCabalFile = "1zswa1qp1995mkyb25cqbw81ahwyss14xr894gji7jwk7yr34v3l";
libraryHaskellDepends = [ base deepseq hashable template-haskell ];
testHaskellDepends = [
base ChasingBottoms containers hashable HUnit nothunks QuickCheck
@@ -313226,8 +313151,8 @@ self: {
}:
mkDerivation {
pname = "unpacked-maybe-numeric";
- version = "0.1.2.1";
- sha256 = "0chb52gff3zm6f6p7dp5j6p7vpgmmirx0sfqbswx69w9jsf6p8bk";
+ version = "0.1.3.0";
+ sha256 = "04q6snimyvi2cznb8djh671y054x57gp6dvgzcsvv1y2fgqchpb7";
libraryHaskellDepends = [ base primitive wide-word word-compat ];
testHaskellDepends = [ base QuickCheck quickcheck-classes ];
description = "maybes of numeric values with fewer indirections";
@@ -313240,10 +313165,8 @@ self: {
({ mkDerivation, base, bytestring, text-short }:
mkDerivation {
pname = "unpacked-maybe-text";
- version = "0.1.0.0";
- sha256 = "10mc9kjjqf82ddi586g5r6h065znhj9s0ih9w800yw4xl65ygayv";
- revision = "1";
- editedCabalFile = "0g24c223yxsvkzk0y78q9qn94xxvmw088ihwk3rrd2q3l2nax4rw";
+ version = "0.1.0.1";
+ sha256 = "0z13j90djvphvwvd31d7xf84d8fw2bagaxrd350s6xfk4945j49r";
libraryHaskellDepends = [ base bytestring text-short ];
description = "optional text that unpacks well";
license = lib.licenses.bsd3;
@@ -313537,6 +313460,8 @@ self: {
sha256 = "0l6gbfw0rmhkk2iq3wd2zzyld2nvjmbrlg7rqqv962cahs5mydns";
libraryHaskellDepends = [ base mtl ];
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"update-nix-fetchgit" = callPackage
@@ -314406,6 +314331,8 @@ self: {
testHaskellDepends = [ base hspec postgresql-simple users-test ];
description = "A PostgreSQL backend for the users package";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"users-test" = callPackage
@@ -314670,6 +314597,8 @@ self: {
pname = "utility-ht";
version = "0.0.17";
sha256 = "164sy6vdq5vspvfcj59hsmynn97x0wimw4xa5jyzkl4b0vp8lhb2";
+ revision = "1";
+ editedCabalFile = "11i3n06rg580c87br6ic3m75bd83p9incz1nmkkqrawpr7s2fk5f";
libraryHaskellDepends = [ base ];
testHaskellDepends = [
base doctest-exitcode-stdio doctest-lib QuickCheck
@@ -314679,6 +314608,24 @@ self: {
maintainers = [ lib.maintainers.thielema ];
}) {};
+ "utility-ht_0_0_17_1" = callPackage
+ ({ mkDerivation, base, doctest-exitcode-stdio, doctest-lib
+ , QuickCheck
+ }:
+ mkDerivation {
+ pname = "utility-ht";
+ version = "0.0.17.1";
+ sha256 = "02pcjyi50jrxssirc8mf2mc17jqbr2a5w2vxiisfqwpwz2h4ivvb";
+ libraryHaskellDepends = [ base ];
+ testHaskellDepends = [
+ base doctest-exitcode-stdio doctest-lib QuickCheck
+ ];
+ description = "Various small helper functions for Lists, Maybes, Tuples, Functions";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ maintainers = [ lib.maintainers.thielema ];
+ }) {};
+
"uu-cco" = callPackage
({ mkDerivation, ansi-terminal, base }:
mkDerivation {
@@ -314790,10 +314737,8 @@ self: {
({ mkDerivation, base, uu-tc-error-error }:
mkDerivation {
pname = "uu-tc-error";
- version = "0.2.0.0";
- sha256 = "045xs8jlcqnfbvlbm95a5y9iqqam9gy2nfx4q9r0jdlq9i6fv2rf";
- revision = "1";
- editedCabalFile = "1dpa12gzz664yji95z9zc1y7prvz67a5fdcamd2vnjj2zww4hpjq";
+ version = "0.3.0.0";
+ sha256 = "0iaqpnlxlckf40asdj8y1b3sxixlc2sf9qkrpaqmfqqjy8gghcd5";
libraryHaskellDepends = [ base uu-tc-error-error ];
description = "Haskell 98 parser combintors for INFOB3TC at Utrecht University";
license = lib.licenses.bsd3;
@@ -314834,6 +314779,7 @@ self: {
executableHaskellDepends = [ base uuagc-cabal ];
description = "Attribute Grammar System of Universiteit Utrecht";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "uuagc";
}) {};
@@ -314875,6 +314821,8 @@ self: {
];
description = "Cabal plugin for UUAGC";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"uuagc-diagrams" = callPackage
@@ -315007,6 +314955,7 @@ self: {
];
description = "Orphan instances for the UUID datatype";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"uuid-quasi" = callPackage
@@ -315499,6 +315448,8 @@ self: {
testHaskellDepends = [ base doctest ];
description = "Straightforward validation monad";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"validators" = callPackage
@@ -315921,6 +315872,24 @@ self: {
broken = true;
}) {};
+ "vary" = callPackage
+ ({ mkDerivation, base, deepseq, doctest-parallel, hspec
+ , markdown-unlit, should-not-typecheck
+ }:
+ mkDerivation {
+ pname = "vary";
+ version = "0.1.0.3";
+ sha256 = "17c72sdnxwm27xqa8j5zpnyk8nl0ls6i0idmh8svr28q22xkam6m";
+ libraryHaskellDepends = [ base deepseq ];
+ testHaskellDepends = [
+ base deepseq doctest-parallel hspec markdown-unlit
+ should-not-typecheck
+ ];
+ testToolDepends = [ markdown-unlit ];
+ description = "Vary: Friendly and fast polymorphic variants (open unions/coproducts/extensible sums)";
+ license = lib.licenses.mit;
+ }) {};
+
"varying" = callPackage
({ mkDerivation, base, contravariant, criterion, doctest, hspec
, QuickCheck, time, transformers
@@ -315953,8 +315922,8 @@ self: {
pname = "vault";
version = "0.3.1.5";
sha256 = "181ksk1yixjg0jiggw5jvm8am8m8c7lim4xaixf8qnaqvxm6namc";
- revision = "5";
- editedCabalFile = "0piivz1plbr4zvgmvw5qh08zcwpw5pda8z3pzb8nz4h39fr27zwm";
+ revision = "6";
+ editedCabalFile = "10l6j8hdfraxfnzqq0l01y546q7rqgv95bci71gfs7lm15vnw2d3";
libraryHaskellDepends = [
base containers hashable unordered-containers
];
@@ -316797,6 +316766,26 @@ self: {
maintainers = [ lib.maintainers.expipiplus1 ];
}) {};
+ "vector-sized_1_6_1" = callPackage
+ ({ mkDerivation, adjunctions, base, binary, comonad, deepseq
+ , distributive, finite-typelits, hashable, indexed-list-literals
+ , indexed-traversable, primitive, vector
+ }:
+ mkDerivation {
+ pname = "vector-sized";
+ version = "1.6.1";
+ sha256 = "0gj9lgfakgzx2klhmbrlsf7lplc377s1mp6grbay7vranm2fj586";
+ libraryHaskellDepends = [
+ adjunctions base binary comonad deepseq distributive
+ finite-typelits hashable indexed-list-literals indexed-traversable
+ primitive vector
+ ];
+ description = "Size tagged vectors";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ maintainers = [ lib.maintainers.expipiplus1 ];
+ }) {};
+
"vector-space" = callPackage
({ mkDerivation, base, Boolean, MemoTrie, NumInstances }:
mkDerivation {
@@ -316900,10 +316889,8 @@ self: {
({ mkDerivation, base, ghc-prim }:
mkDerivation {
pname = "vector-stream";
- version = "0.1.0.0";
- sha256 = "0v40vdpp35lhnnnx7q17fah0c14jrkjlnwsk0q4mbwb7ch7j3258";
- revision = "3";
- editedCabalFile = "1bp859ly11xqipgr29d70kl2day7raibmm79qprdjm7c9ffraxw9";
+ version = "0.1.0.1";
+ sha256 = "0z5z88flyassdpgga412qci6brr9gyljbx875wd479fy9crhgxfh";
libraryHaskellDepends = [ base ghc-prim ];
description = "Efficient Streams";
license = lib.licenses.bsd3;
@@ -317286,8 +317273,8 @@ self: {
}:
mkDerivation {
pname = "versions";
- version = "6.0.3";
- sha256 = "0k7azl5n70yhwkyij73q8iv275arsxh8jj53lwvhndp0bpwxycng";
+ version = "6.0.5";
+ sha256 = "0cigjr3jmh3gn02daxan54g57rrq8xirackcz7ri3ziv3fy4ffa1";
libraryHaskellDepends = [
base deepseq hashable megaparsec parser-combinators
template-haskell text
@@ -317987,10 +317974,8 @@ self: {
}:
mkDerivation {
pname = "visualize-cbn";
- version = "0.1.0.2";
- sha256 = "1vlidljhy0ykflgf7k8gawlqazcrkvcs7r8wbv7h9x6wfnx0w334";
- revision = "3";
- editedCabalFile = "0318c6m8226183wlx0hvkrzldvdfh9f358hz4xxfm28jg44i2vb2";
+ version = "0.2.1";
+ sha256 = "08gc4r4xpxigjjgs205a65hjbwip9ycciqcj0h86kw2s3bh1p3gs";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
@@ -318033,6 +318018,8 @@ self: {
];
description = "Sound synthesis with SuperCollider";
license = "GPL";
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"vivid-osc" = callPackage
@@ -318428,30 +318415,6 @@ self: {
}) {};
"vty" = callPackage
- ({ mkDerivation, ansi-terminal, base, binary, blaze-builder
- , bytestring, containers, deepseq, directory, filepath, microlens
- , microlens-mtl, microlens-th, mtl, parsec, stm, terminfo, text
- , transformers, unix, utf8-string, vector
- }:
- mkDerivation {
- pname = "vty";
- version = "5.38";
- sha256 = "18s84rrc1dhm5j8c24r8lgk8hjdrwsdjgf6hilqcdm90dx6jz0al";
- isLibrary = true;
- isExecutable = true;
- libraryHaskellDepends = [
- ansi-terminal base binary blaze-builder bytestring containers
- deepseq directory filepath microlens microlens-mtl microlens-th mtl
- parsec stm terminfo text transformers unix utf8-string vector
- ];
- executableHaskellDepends = [
- base containers directory filepath microlens microlens-mtl mtl
- ];
- description = "A simple terminal UI library";
- license = lib.licenses.bsd3;
- }) {};
-
- "vty_6_1" = callPackage
({ mkDerivation, base, binary, blaze-builder, bytestring, deepseq
, directory, filepath, microlens, microlens-mtl, microlens-th, mtl
, parsec, stm, text, utf8-string, vector
@@ -318469,6 +318432,23 @@ self: {
];
description = "A simple terminal UI library";
license = lib.licenses.bsd3;
+ }) {};
+
+ "vty_6_2" = callPackage
+ ({ mkDerivation, base, binary, blaze-builder, bytestring, deepseq
+ , directory, filepath, microlens, microlens-mtl, mtl, parsec, stm
+ , text, utf8-string, vector
+ }:
+ mkDerivation {
+ pname = "vty";
+ version = "6.2";
+ sha256 = "0ywqfdngfv5pnsk5pa99yizpbhdq856sy3z70q2hmpmlc2r4h7vg";
+ libraryHaskellDepends = [
+ base binary blaze-builder bytestring deepseq directory filepath
+ microlens microlens-mtl mtl parsec stm text utf8-string vector
+ ];
+ description = "A simple terminal UI library";
+ license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
}) {};
@@ -318483,7 +318463,6 @@ self: {
libraryHaskellDepends = [ base vty vty-unix ];
description = "Cross-platform support for Vty";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"vty-examples" = callPackage
@@ -318580,9 +318559,7 @@ self: {
executableHaskellDepends = [ ansi-terminal base vty ];
description = "Unix backend for Vty";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
mainProgram = "vty-unix-build-width-table";
- broken = true;
}) {};
"vty-windows" = callPackage
@@ -318810,30 +318787,32 @@ self: {
}) {};
"wai-app-file-cgi" = callPackage
- ({ mkDerivation, array, attoparsec, attoparsec-conduit, base
- , bytestring, case-insensitive, conduit, conduit-extra, containers
- , data-default-class, directory, doctest, filepath, hspec, HTTP
- , http-client, http-conduit, http-date, http-types, mime-types
- , network, process, sockaddr, static-hash, text, transformers, unix
- , wai, wai-conduit, warp, word8
+ ({ mkDerivation, array, attoparsec, base, bytestring
+ , case-insensitive, conduit, conduit-extra, containers
+ , data-default-class, directory, filepath, hspec, HTTP, http-client
+ , http-conduit, http-date, http-types, mime-types, network, process
+ , sockaddr, static-hash, text, transformers, unix, wai, wai-conduit
+ , warp, word8
}:
mkDerivation {
pname = "wai-app-file-cgi";
- version = "3.1.10";
- sha256 = "1wspg5pjl24vvsdp2qxzx93a9ffj6pnv2kvm26ia5gh1kx570zfl";
+ version = "3.1.11";
+ sha256 = "0ba9f76pjx1yvjly2b1p29ypanrdw40kg05x1bqass3hiz3j1n4k";
libraryHaskellDepends = [
- array attoparsec attoparsec-conduit base bytestring
- case-insensitive conduit conduit-extra containers
- data-default-class directory filepath http-client http-conduit
- http-date http-types mime-types network process sockaddr
- static-hash text transformers unix wai wai-conduit warp word8
+ array attoparsec base bytestring case-insensitive conduit
+ conduit-extra containers data-default-class directory filepath
+ http-client http-conduit http-date http-types mime-types network
+ process sockaddr static-hash text transformers unix wai wai-conduit
+ warp word8
];
testHaskellDepends = [
- base bytestring conduit conduit-extra directory doctest filepath
- hspec HTTP http-types unix wai warp
+ base bytestring conduit conduit-extra directory filepath hspec HTTP
+ http-types unix wai warp
];
description = "File/CGI/Rev Proxy App of WAI";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"wai-app-static" = callPackage
@@ -319052,10 +319031,8 @@ self: {
}:
mkDerivation {
pname = "wai-extra";
- version = "3.1.13.0";
- sha256 = "1h4cqd5akrq0vhv3l0fzryy7qw0c2jb58lngx7x8ij63bckjs3fz";
- revision = "1";
- editedCabalFile = "0dyvg2bb37im790757khncxpnf45451dd8575p736ry4g8rpqgpw";
+ version = "3.1.14";
+ sha256 = "1db4iz6ls98n2y08fcb53gip0kf2sagvk1a82xzl25nf14dza12p";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -319068,7 +319045,7 @@ self: {
testHaskellDepends = [
aeson base bytestring case-insensitive cookie directory fast-logger
hspec http-types HUnit iproute resourcet temporary text time wai
- warp zlib
+ warp word8 zlib
];
testToolDepends = [ hspec-discover ];
description = "Provides some basic WAI handlers and middleware";
@@ -319187,27 +319164,26 @@ self: {
"wai-handler-hal" = callPackage
({ mkDerivation, aeson, base, base64-bytestring, bytestring
- , case-insensitive, hal, http-types, network, pretty-simple, tasty
- , tasty-discover, tasty-golden, tasty-hunit, text
- , unordered-containers, vault, wai
+ , case-insensitive, hal, http-media, http-types, network
+ , pretty-simple, tasty, tasty-discover, tasty-golden, tasty-hunit
+ , text, unordered-containers, vault, wai
}:
mkDerivation {
pname = "wai-handler-hal";
- version = "0.3.0.0";
- sha256 = "1chpg8vlyly7fmcg862j043mgnv8g5azs89nds9h0nvxalwvgnpn";
+ version = "0.4.0.0";
+ sha256 = "1qdw8ml3b0zgb09zrv37xp83yqw9v95nm22iw4ysdlampidz8s6d";
libraryHaskellDepends = [
- base base64-bytestring bytestring case-insensitive hal http-types
- network text unordered-containers vault wai
+ base base64-bytestring bytestring case-insensitive hal http-media
+ http-types network text unordered-containers vault wai
];
testHaskellDepends = [
aeson base base64-bytestring bytestring case-insensitive hal
- http-types network pretty-simple tasty tasty-golden tasty-hunit
- text unordered-containers vault wai
+ http-media http-types network pretty-simple tasty tasty-golden
+ tasty-hunit text unordered-containers vault wai
];
testToolDepends = [ tasty-discover ];
description = "Wrap WAI applications to run on AWS Lambda";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"wai-handler-launch" = callPackage
@@ -319796,35 +319772,6 @@ self: {
}) {};
"wai-middleware-delegate" = callPackage
- ({ mkDerivation, async, base, blaze-builder, bytestring
- , bytestring-lexing, case-insensitive, conduit, conduit-extra
- , connection, data-default, hspec, http-client, http-client-tls
- , http-conduit, http-types, network, random, resourcet
- , streaming-commons, text, vault, wai, wai-conduit, warp, warp-tls
- }:
- mkDerivation {
- pname = "wai-middleware-delegate";
- version = "0.1.3.1";
- sha256 = "05lrkcg1xkf0ci9nvzdvnrjmqnygqxs3yrvrjfwbml9p003cp33p";
- enableSeparateDataOutput = true;
- libraryHaskellDepends = [
- async base blaze-builder bytestring case-insensitive conduit
- conduit-extra data-default http-client http-conduit http-types
- streaming-commons text wai wai-conduit
- ];
- testHaskellDepends = [
- async base blaze-builder bytestring bytestring-lexing
- case-insensitive conduit conduit-extra connection data-default
- hspec http-client http-client-tls http-conduit http-types network
- random resourcet text vault wai wai-conduit warp warp-tls
- ];
- description = "WAI middleware that delegates handling of requests";
- license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
- broken = true;
- }) {};
-
- "wai-middleware-delegate_0_1_4_0" = callPackage
({ mkDerivation, async, base, blaze-builder, bytestring
, bytestring-lexing, case-insensitive, conduit, conduit-extra
, crypton-connection, data-default, hspec, hspec-tmp-proc
@@ -320210,6 +320157,7 @@ self: {
description = "WAI Middleware to validate the request and response bodies";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"wai-middleware-verbs" = callPackage
@@ -320320,6 +320268,8 @@ self: {
pname = "wai-rate-limit-redis";
version = "0.2.0.1";
sha256 = "1gizywhdrpngs5l6qh5ggnnfkcgplwsigcjj3z8l7vwif74j6qsd";
+ revision = "1";
+ editedCabalFile = "17rz0q3aki4qcci4mywx5g38zs80j5hs1l8a8yspwa2vj1zjklag";
libraryHaskellDepends = [ base bytestring hedis wai-rate-limit ];
testHaskellDepends = [
base bytestring hedis http-types tasty tasty-hedgehog tasty-hunit
@@ -320454,34 +320404,6 @@ self: {
}) {};
"wai-saml2" = callPackage
- ({ mkDerivation, base, base16-bytestring, base64-bytestring
- , bytestring, c14n, containers, cryptonite, data-default-class
- , filepath, http-types, mtl, network-uri, pretty-show, tasty
- , tasty-golden, text, time, vault, wai, wai-extra, x509, x509-store
- , xml-conduit, zlib
- }:
- mkDerivation {
- pname = "wai-saml2";
- version = "0.4";
- sha256 = "0rsp4bz20a5fsl3plg6k40vqvnjp2h0hy7pkx5xf9iyfadv1a5jw";
- libraryHaskellDepends = [
- base base16-bytestring base64-bytestring bytestring c14n containers
- cryptonite data-default-class http-types mtl network-uri text time
- vault wai wai-extra x509 x509-store xml-conduit zlib
- ];
- testHaskellDepends = [
- base base16-bytestring base64-bytestring bytestring c14n containers
- cryptonite data-default-class filepath http-types mtl network-uri
- pretty-show tasty tasty-golden text time vault wai wai-extra x509
- x509-store xml-conduit zlib
- ];
- description = "SAML2 assertion validation as WAI middleware";
- license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
- broken = true;
- }) {};
-
- "wai-saml2_0_5" = callPackage
({ mkDerivation, base, base16-bytestring, base64-bytestring
, bytestring, c14n, containers, cryptonite, data-default-class
, filepath, http-types, mtl, network-uri, pretty-show, tasty
@@ -320492,6 +320414,8 @@ self: {
pname = "wai-saml2";
version = "0.5";
sha256 = "1am7b933ipwphxzsxrbprx8fi2lk2z710sgsk6d66fd2l6axayki";
+ revision = "1";
+ editedCabalFile = "0aqmz8c6hlcrcq0nc3gg37lwzi2aqw5z330khsq4zk22szgjnhpw";
libraryHaskellDepends = [
base base16-bytestring base64-bytestring bytestring c14n containers
cryptonite data-default-class http-types mtl network-uri text time
@@ -321010,42 +320934,6 @@ self: {
}) {};
"warp" = callPackage
- ({ mkDerivation, array, auto-update, base, bsb-http-chunked
- , bytestring, case-insensitive, containers, directory, gauge
- , ghc-prim, hashable, hspec, hspec-discover, http-client, http-date
- , http-types, http2, iproute, network, process, QuickCheck, recv
- , simple-sendfile, stm, streaming-commons, text, time-manager, unix
- , unix-compat, unliftio, vault, wai, word8, x509
- }:
- mkDerivation {
- pname = "warp";
- version = "3.3.25";
- sha256 = "1wa62inv7ai32jb88gr2vjiv1mh8gb96wc521y6mv2w967q1hzga";
- revision = "1";
- editedCabalFile = "1qg5ca56nmgkpzxcq0140yc8d0761hj257n24gf3dfjdj6hzf0pq";
- libraryHaskellDepends = [
- array auto-update base bsb-http-chunked bytestring case-insensitive
- containers ghc-prim hashable http-date http-types http2 iproute
- network recv simple-sendfile stm streaming-commons text
- time-manager unix unix-compat unliftio vault wai word8 x509
- ];
- testHaskellDepends = [
- array auto-update base bsb-http-chunked bytestring case-insensitive
- containers directory ghc-prim hashable hspec http-client http-date
- http-types http2 iproute network process QuickCheck recv
- simple-sendfile stm streaming-commons text time-manager unix
- unix-compat unliftio vault wai word8 x509
- ];
- testToolDepends = [ hspec-discover ];
- benchmarkHaskellDepends = [
- auto-update base bytestring containers gauge hashable http-date
- http-types network recv time-manager unix unix-compat unliftio x509
- ];
- description = "A fast, light-weight web server for WAI applications";
- license = lib.licenses.mit;
- }) {};
-
- "warp_3_3_31" = callPackage
({ mkDerivation, array, auto-update, base, bsb-http-chunked
, bytestring, case-insensitive, containers, crypton-x509, directory
, gauge, ghc-prim, hashable, hspec, hspec-discover, http-client
@@ -321077,6 +320965,42 @@ self: {
];
description = "A fast, light-weight web server for WAI applications";
license = lib.licenses.mit;
+ }) {};
+
+ "warp_3_4_0" = callPackage
+ ({ mkDerivation, array, auto-update, base, bsb-http-chunked
+ , bytestring, case-insensitive, containers, crypton-x509, directory
+ , gauge, ghc-prim, hashable, hspec, hspec-discover, http-client
+ , http-date, http-types, http2, iproute, network, process
+ , QuickCheck, recv, simple-sendfile, stm, streaming-commons, text
+ , time-manager, unix, unliftio, vault, wai, word8
+ }:
+ mkDerivation {
+ pname = "warp";
+ version = "3.4.0";
+ sha256 = "0p68qzap25na55gnc521c8yvdf4zfy86l2x8cqfw9kmr28f71s75";
+ libraryHaskellDepends = [
+ array auto-update base bsb-http-chunked bytestring case-insensitive
+ containers crypton-x509 ghc-prim hashable http-date http-types
+ http2 iproute network recv simple-sendfile stm streaming-commons
+ text time-manager unix unliftio vault wai word8
+ ];
+ testHaskellDepends = [
+ array auto-update base bsb-http-chunked bytestring case-insensitive
+ containers crypton-x509 directory ghc-prim hashable hspec
+ http-client http-date http-types http2 iproute network process
+ QuickCheck recv simple-sendfile stm streaming-commons text
+ time-manager unix unliftio vault wai word8
+ ];
+ testToolDepends = [ hspec-discover ];
+ benchmarkHaskellDepends = [
+ array auto-update base bytestring case-insensitive containers
+ crypton-x509 gauge ghc-prim hashable http-date http-types network
+ recv streaming-commons text time-manager unix unliftio vault wai
+ word8
+ ];
+ description = "A fast, light-weight web server for WAI applications";
+ license = lib.licenses.mit;
hydraPlatforms = lib.platforms.none;
}) {};
@@ -321176,25 +321100,6 @@ self: {
}) {};
"warp-tls" = callPackage
- ({ mkDerivation, base, bytestring, cryptonite, data-default-class
- , network, recv, streaming-commons, tls, tls-session-manager
- , unliftio, wai, warp
- }:
- mkDerivation {
- pname = "warp-tls";
- version = "3.3.6";
- sha256 = "1davjsbfvybcd78scaqzxfwnaqmja4j7j3qbcdbb50gv1d87105f";
- revision = "1";
- editedCabalFile = "07wgs8q350caxl9ncbslhqlkm0zxpkx50qj6ljamwf9vd8ld0i5d";
- libraryHaskellDepends = [
- base bytestring cryptonite data-default-class network recv
- streaming-commons tls tls-session-manager unliftio wai warp
- ];
- description = "HTTP over TLS support for Warp via the TLS package";
- license = lib.licenses.mit;
- }) {};
-
- "warp-tls_3_4_3" = callPackage
({ mkDerivation, base, bytestring, data-default-class, network
, recv, streaming-commons, tls, tls-session-manager, unliftio, wai
, warp
@@ -321209,6 +321114,23 @@ self: {
];
description = "HTTP over TLS support for Warp via the TLS package";
license = lib.licenses.mit;
+ }) {};
+
+ "warp-tls_3_4_4" = callPackage
+ ({ mkDerivation, base, bytestring, data-default-class, network
+ , recv, streaming-commons, tls, tls-session-manager, unliftio, wai
+ , warp
+ }:
+ mkDerivation {
+ pname = "warp-tls";
+ version = "3.4.4";
+ sha256 = "1l8lxqakz5c060sif6qz3nz019xan5zhdf5l8xmwy00aq8ccs6ba";
+ libraryHaskellDepends = [
+ base bytestring data-default-class network recv streaming-commons
+ tls tls-session-manager unliftio wai warp
+ ];
+ description = "HTTP over TLS support for Warp via the TLS package";
+ license = lib.licenses.mit;
hydraPlatforms = lib.platforms.none;
}) {};
@@ -321230,6 +321152,8 @@ self: {
];
description = "set group and user id before running server";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"warped" = callPackage
@@ -321339,8 +321263,8 @@ self: {
}:
mkDerivation {
pname = "waterfall-cad";
- version = "0.1.1.1";
- sha256 = "0cv91x4z39b2rp5fwg7wqjbwkcmma66fznsqbbnr253036yy5p5b";
+ version = "0.1.2.2";
+ sha256 = "1nlzb5h9ysxzi96z0hms803lg1banir586d8xb2wsm3q3hcp6wm7";
libraryHaskellDepends = [
base lattices lens linear opencascade-hs resourcet
];
@@ -321355,8 +321279,8 @@ self: {
}:
mkDerivation {
pname = "waterfall-cad-examples";
- version = "0.1.1.1";
- sha256 = "05jigwrcsxh6mh7b2qvb4h6nkhcb3lkhf9j7djzr1k428k290iky";
+ version = "0.1.2.2";
+ sha256 = "1c5hkvbgam64z810zks2jc5q7jh65jncyky1hdii439jml65rr4d";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -321627,7 +321551,6 @@ self: {
];
description = "Wrappers for web frameworks to ease usage with the FP Complete environment";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"web-inv-route" = callPackage
@@ -321648,6 +321571,8 @@ self: {
testHaskellDepends = [ base bytestring HUnit network-uri text ];
description = "Composable, reversible, efficient web routing using invertible invariants and bijections";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"web-mongrel2" = callPackage
@@ -321759,8 +321684,8 @@ self: {
}:
mkDerivation {
pname = "web-rep";
- version = "0.11.0.0";
- sha256 = "10ngga8s2xpkpm7lhdpn67rdwg1q9k5fa4fx0hkwv1z8lh7gb43w";
+ version = "0.12.1.0";
+ sha256 = "0hiqmivic82plziwa2fvc3rfz1h60f8i7vcb6hw65pfrz1aswk6n";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -321793,6 +321718,8 @@ self: {
testHaskellDepends = [ base hspec HUnit QuickCheck text ];
description = "portable, type-safe URL routing";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"web-routes-boomerang" = callPackage
@@ -321806,6 +321733,7 @@ self: {
];
description = "Use boomerang for type-safe URL parsers/printers";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"web-routes-generics" = callPackage
@@ -321817,6 +321745,7 @@ self: {
libraryHaskellDepends = [ base parsec text web-routes ];
description = "portable, type-safe URL routing";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"web-routes-happstack" = callPackage
@@ -321832,6 +321761,7 @@ self: {
];
description = "Adds support for using web-routes with Happstack";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"web-routes-hsp" = callPackage
@@ -321843,6 +321773,7 @@ self: {
libraryHaskellDepends = [ base hsp text web-routes ];
description = "Adds XMLGenerator instance for RouteT monad";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"web-routes-mtl" = callPackage
@@ -321854,6 +321785,7 @@ self: {
libraryHaskellDepends = [ base web-routes ];
description = "Extends web-routes with mtl-based MonadIO / MonadTrans RouteT instances";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"web-routes-quasi" = callPackage
@@ -321897,6 +321829,7 @@ self: {
testHaskellDepends = [ base hspec HUnit QuickCheck web-routes ];
description = "Support for deriving PathInfo using Template Haskell";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"web-routes-transformers" = callPackage
@@ -321925,6 +321858,7 @@ self: {
];
description = "Library for maintaining correctness of URLs within an application";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"web-routing" = callPackage
@@ -322361,31 +322295,6 @@ self: {
}) {};
"webdriver" = callPackage
- ({ mkDerivation, aeson, attoparsec, base, base64-bytestring
- , bytestring, call-stack, data-default-class, directory
- , directory-tree, exceptions, filepath, http-client, http-types
- , lifted-base, monad-control, network, network-uri, scientific
- , temporary, text, time, transformers, transformers-base
- , unordered-containers, vector, zip-archive
- }:
- mkDerivation {
- pname = "webdriver";
- version = "0.11.0.0";
- sha256 = "0d9j0bw6znjsgxz2rqjrpcyybrn50nyz9pj5ajmpgs0pmgx0zbc2";
- revision = "1";
- editedCabalFile = "076jg2n99fqnk5bs7q20w7wafqykz4zp97kc34jnwrl9rx6bv2nl";
- libraryHaskellDepends = [
- aeson attoparsec base base64-bytestring bytestring call-stack
- data-default-class directory directory-tree exceptions filepath
- http-client http-types lifted-base monad-control network
- network-uri scientific temporary text time transformers
- transformers-base unordered-containers vector zip-archive
- ];
- description = "a Haskell client for the Selenium WebDriver protocol";
- license = lib.licenses.bsd3;
- }) {};
-
- "webdriver_0_12_0_0" = callPackage
({ mkDerivation, aeson, attoparsec, attoparsec-aeson, base
, base64-bytestring, bytestring, call-stack, data-default-class
, directory, directory-tree, exceptions, filepath, http-client
@@ -322406,7 +322315,6 @@ self: {
];
description = "a Haskell client for the Selenium WebDriver protocol";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"webdriver-angular" = callPackage
@@ -322601,19 +322509,19 @@ self: {
}) {};
"webgear-core" = callPackage
- ({ mkDerivation, arrows, base, bytestring, case-insensitive
- , filepath, http-api-data, http-media, http-types, jose, mime-types
- , network, safe-exceptions, tagged, template-haskell, text
- , unordered-containers, wai
+ ({ mkDerivation, arrows, base, binary, bytestring, case-insensitive
+ , cookie, filepath, http-api-data, http-media, http-types, jose
+ , mime-types, network, tagged, template-haskell, text, wai
+ , wai-extra
}:
mkDerivation {
pname = "webgear-core";
- version = "1.0.5";
- sha256 = "1l6y55vp9jq3h5d747bfcx66a9qvs2ki3kqyjxmga7rxrjyjy62c";
+ version = "1.1.1";
+ sha256 = "1fndgzkfcn55b9kwx6l3hs2489q1zj6jdk34y3j4x5y8vgf42i7f";
libraryHaskellDepends = [
- arrows base bytestring case-insensitive filepath http-api-data
- http-media http-types jose mime-types network safe-exceptions
- tagged template-haskell text unordered-containers wai
+ arrows base binary bytestring case-insensitive cookie filepath
+ http-api-data http-media http-types jose mime-types network tagged
+ template-haskell text wai wai-extra
];
description = "Composable, type-safe library to build HTTP APIs";
license = lib.licenses.mpl20;
@@ -322625,32 +322533,33 @@ self: {
}:
mkDerivation {
pname = "webgear-openapi";
- version = "1.0.5";
- sha256 = "1cyivf42vhpmjg60iqzifqlma5g6bsv22nahjvw6ishs7ik3vpvy";
+ version = "1.1.1";
+ sha256 = "1dw4cy4r5fdg9lay7xgw8grpgxij98chi24ckjl3dszyyqk26hgr";
libraryHaskellDepends = [
arrows base http-media http-types insert-ordered-containers lens
openapi3 text webgear-core
];
description = "Composable, type-safe library to build HTTP API servers";
license = lib.licenses.mpl20;
- hydraPlatforms = lib.platforms.none;
}) {};
"webgear-server" = callPackage
- ({ mkDerivation, aeson, arrows, base, base64-bytestring, bytestring
- , bytestring-conversion, http-api-data, http-media, http-types
- , jose, monad-time, mtl, QuickCheck, quickcheck-instances, tasty
- , tasty-hunit, tasty-quickcheck, text, unordered-containers, wai
+ ({ mkDerivation, aeson, arrows, base, base64-bytestring, binary
+ , bytestring, bytestring-conversion, cookie, http-api-data
+ , http-media, http-types, jose, monad-time, mtl, QuickCheck
+ , quickcheck-instances, resourcet, tasty, tasty-hunit
+ , tasty-quickcheck, text, text-conversions, wai, wai-extra
, webgear-core
}:
mkDerivation {
pname = "webgear-server";
- version = "1.0.5";
- sha256 = "1lacbvrfjv7m11q1di8g2dryyr9cqmi7khbxpvf056390hz19qw1";
+ version = "1.1.1";
+ sha256 = "1j7w37ssikqb8jlsnwyg3q03c2krrlv7kj7y3zdykms4y727bw3q";
libraryHaskellDepends = [
- aeson arrows base base64-bytestring bytestring
- bytestring-conversion http-api-data http-media http-types jose
- monad-time mtl text unordered-containers wai webgear-core
+ aeson arrows base base64-bytestring binary bytestring
+ bytestring-conversion cookie http-api-data http-media http-types
+ jose monad-time mtl resourcet text text-conversions wai wai-extra
+ webgear-core
];
testHaskellDepends = [
base base64-bytestring bytestring http-types QuickCheck
@@ -322661,6 +322570,37 @@ self: {
license = lib.licenses.mpl20;
}) {};
+ "webgear-swagger" = callPackage
+ ({ mkDerivation, arrows, base, http-types
+ , insert-ordered-containers, lens, swagger2, text, webgear-core
+ }:
+ mkDerivation {
+ pname = "webgear-swagger";
+ version = "1.1.1";
+ sha256 = "0s1hdw0inwc3a9r6y3jczhwc68akwri14x9kqi3zwbmxb5z0i8aw";
+ libraryHaskellDepends = [
+ arrows base http-types insert-ordered-containers lens swagger2 text
+ webgear-core
+ ];
+ description = "Composable, type-safe library to build HTTP API servers";
+ license = lib.licenses.mpl20;
+ }) {};
+
+ "webgear-swagger-ui" = callPackage
+ ({ mkDerivation, base, bytestring, file-embed, http-types
+ , mime-types, text, webgear-core
+ }:
+ mkDerivation {
+ pname = "webgear-swagger-ui";
+ version = "1.1.1";
+ sha256 = "0x9clbhvh2ak4lb4kamww37x8pgkr0svad4272a47g1ka9jabw9c";
+ libraryHaskellDepends = [
+ base bytestring file-embed http-types mime-types text webgear-core
+ ];
+ description = "Host swagger UI based on WebGear API specifications";
+ license = lib.licenses.mpl20;
+ }) {};
+
"webidl" = callPackage
({ mkDerivation, base, bytestring, HSFFIG, LEXER, parsec, pretty
, utf8-env, utf8-string
@@ -322945,6 +322885,41 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "websockets_0_13_0_0" = callPackage
+ ({ mkDerivation, async, attoparsec, base, base64-bytestring, binary
+ , bytestring, case-insensitive, containers, criterion, entropy
+ , HUnit, network, QuickCheck, random, SHA, streaming-commons
+ , test-framework, test-framework-hunit, test-framework-quickcheck2
+ , text
+ }:
+ mkDerivation {
+ pname = "websockets";
+ version = "0.13.0.0";
+ sha256 = "1da95b71akggyikbxdmja3gcaqrz8sp6ri5jrsyavc2ickvi9y4s";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ async attoparsec base base64-bytestring binary bytestring
+ case-insensitive containers entropy network random SHA
+ streaming-commons text
+ ];
+ testHaskellDepends = [
+ async attoparsec base base64-bytestring binary bytestring
+ case-insensitive containers entropy HUnit network QuickCheck random
+ SHA streaming-commons test-framework test-framework-hunit
+ test-framework-quickcheck2 text
+ ];
+ benchmarkHaskellDepends = [
+ async attoparsec base base64-bytestring binary bytestring
+ case-insensitive containers criterion entropy network random SHA
+ text
+ ];
+ doCheck = false;
+ description = "A sensible and clean way to write WebSocket-capable servers in Haskell";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"websockets-json" = callPackage
({ mkDerivation, aeson, base, bytestring, exceptions, websockets }:
mkDerivation {
@@ -323030,8 +323005,8 @@ self: {
pname = "websockets-snap";
version = "0.10.3.1";
sha256 = "1hpszqb61xhbgfvxd6g56kdfxsyi14q7xh12jbdnyycbfijb9bqk";
- revision = "1";
- editedCabalFile = "08rz1l4f84gcp2a2rsihk6zl3jm2x4igr13fwv2y8m5agsvd2lhy";
+ revision = "2";
+ editedCabalFile = "1gdg33lr9zz00246b3q8ydb572k65y1lllkyff2vb5g0i1bi62am";
libraryHaskellDepends = [
base bytestring bytestring-builder io-streams mtl snap-core
snap-server websockets
@@ -323087,7 +323062,9 @@ self: {
executableHaskellDepends = [ array base containers random ];
description = "Wedged postcard generator";
license = "unknown";
+ hydraPlatforms = lib.platforms.none;
mainProgram = "wedged";
+ broken = true;
}) {};
"weeder_2_2_0" = callPackage
@@ -323251,23 +323228,6 @@ self: {
}) {};
"weigh" = callPackage
- ({ mkDerivation, base, deepseq, ghc, mtl, process, split, temporary
- }:
- mkDerivation {
- pname = "weigh";
- version = "0.0.16";
- sha256 = "13pbjr7fzqy3s9c1nd2jhfwzbpccmpfwdn7y46z9k2bfkch1jam9";
- revision = "1";
- editedCabalFile = "0y71p1fg2q9ig955b21fhfaipdamdrlzfl302prqz8g0sfcxvmfg";
- libraryHaskellDepends = [
- base deepseq ghc mtl process split temporary
- ];
- testHaskellDepends = [ base deepseq ];
- description = "Measure allocations of a Haskell functions/values";
- license = lib.licenses.bsd3;
- }) {};
-
- "weigh_0_0_17" = callPackage
({ mkDerivation, base, criterion-measurement, deepseq, ghc, mtl
, process, split, temporary
}:
@@ -323281,7 +323241,6 @@ self: {
testHaskellDepends = [ base deepseq ];
description = "Measure allocations of a Haskell functions/values";
license = lib.licenses.bsd3;
- hydraPlatforms = lib.platforms.none;
}) {};
"weighted" = callPackage
@@ -323550,12 +323509,26 @@ self: {
hydraPlatforms = lib.platforms.none;
}) {};
+ "wherefrom-compat" = callPackage
+ ({ mkDerivation, base, tasty, tasty-hunit }:
+ mkDerivation {
+ pname = "wherefrom-compat";
+ version = "0.1.1.0";
+ sha256 = "14vzbm6skahqas3qflrw368d6llbq59fcxm31s9wj7fbmb239v3d";
+ libraryHaskellDepends = [ base ];
+ testHaskellDepends = [ base tasty tasty-hunit ];
+ description = "A compatibility layer for GHC's 'wherefrom' function";
+ license = lib.licenses.bsd2;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
+ }) {};
+
"which" = callPackage
({ mkDerivation, base, shelly, template-haskell, text }:
mkDerivation {
pname = "which";
- version = "0.2.0.1";
- sha256 = "0wa11a7x003fx3fx0l7bn8f339pbz9fhv1dnr6jv7xx2066mdnya";
+ version = "0.2.0.2";
+ sha256 = "08w398rm86slizy3fqb1rfd926zycajfnzx0sa1awrnbh2kjfx6m";
libraryHaskellDepends = [ base shelly template-haskell text ];
description = "Determine the full path to an executable";
license = lib.licenses.bsd3;
@@ -324133,8 +324106,8 @@ self: {
}:
mkDerivation {
pname = "witch";
- version = "1.2.0.3";
- sha256 = "1c5kmxb9y7i1amjb0qn1w4rx7c80f52z976d7id644v9sxp00kfx";
+ version = "1.2.0.4";
+ sha256 = "0yif1i7i83y51ky7j085b1sq9cx3gjn4vdcb8zpjwrzicw9m2nvz";
libraryHaskellDepends = [
base bytestring containers tagged template-haskell text time
];
@@ -324194,6 +324167,32 @@ self: {
mainProgram = "utf8-troubleshoot";
}) {};
+ "with-utf8_1_1_0_0" = callPackage
+ ({ mkDerivation, base, deepseq, directory, filepath, hedgehog
+ , HUnit, process, safe-exceptions, tasty, tasty-discover
+ , tasty-hedgehog, tasty-hunit, temporary, text, th-env, unix
+ }:
+ mkDerivation {
+ pname = "with-utf8";
+ version = "1.1.0.0";
+ sha256 = "01p1pxshm3kjawy6sx8j1jw0sqg5dwbp2cy6wd04qmccr3vx1f54";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [ base safe-exceptions text ];
+ executableHaskellDepends = [
+ base directory filepath process safe-exceptions text th-env
+ ];
+ testHaskellDepends = [
+ base deepseq hedgehog HUnit safe-exceptions tasty tasty-hedgehog
+ tasty-hunit temporary text unix
+ ];
+ testToolDepends = [ tasty-discover ];
+ description = "Get your IO right on the first try";
+ license = lib.licenses.mpl20;
+ hydraPlatforms = lib.platforms.none;
+ mainProgram = "utf8-troubleshoot";
+ }) {};
+
"withdependencies" = callPackage
({ mkDerivation, base, conduit, containers, hspec, HUnit, mtl
, profunctors
@@ -324667,6 +324666,33 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "word-note-sox" = callPackage
+ ({ mkDerivation, base, bytestring, directory, end-of-exe
+ , monoid-insertleft, typed-process
+ }:
+ mkDerivation {
+ pname = "word-note-sox";
+ version = "0.1.0.0";
+ sha256 = "0dv3479x352z1dxbqmk2kq6sw06bvzg8br1m1g1xv9hd5lgmyb3b";
+ libraryHaskellDepends = [
+ base bytestring directory end-of-exe monoid-insertleft
+ typed-process
+ ];
+ description = "SoX for algorithmic composition with groups of notes liken to words";
+ license = lib.licenses.mit;
+ }) {};
+
+ "word-notes-datatype" = callPackage
+ ({ mkDerivation, base }:
+ mkDerivation {
+ pname = "word-notes-datatype";
+ version = "0.1.0.0";
+ sha256 = "0kpw4gmy4yvpmcvz1sk0nfr21f7zvv3fnd2k59zx1amx8n4c5s7n";
+ libraryHaskellDepends = [ base ];
+ description = "General datatypes for music creation for one instrument";
+ license = lib.licenses.mit;
+ }) {};
+
"word-trie" = callPackage
({ mkDerivation, base, binary, containers, hspec, QuickCheck }:
mkDerivation {
@@ -324721,6 +324747,8 @@ self: {
benchmarkHaskellDepends = [ base bytestring criterion ];
description = "Word16 library";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"word24" = callPackage
@@ -324976,6 +325004,8 @@ self: {
];
description = "Validate Wordpress Cookies & Nonces; Build Wordpress Hashes & Salts";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"words" = callPackage
@@ -325178,6 +325208,8 @@ self: {
];
description = "Open Union and Open Product Types";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"worldturtle" = callPackage
@@ -325262,6 +325294,8 @@ self: {
libraryHaskellDepends = [ base data-default-class wrapped ];
description = "A Generic instance of Default";
license = lib.licenses.asl20;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"wraxml" = callPackage
@@ -325535,6 +325569,8 @@ self: {
];
description = "Buffer your writes, transparently";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"write-buffer-stm" = callPackage
@@ -325546,6 +325582,7 @@ self: {
libraryHaskellDepends = [ base stm stm-chans write-buffer-core ];
description = "A write buffer for STM channels and queues";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"writer-cps-exceptions" = callPackage
@@ -325561,6 +325598,8 @@ self: {
];
description = "Control.Monad.Catch instances for the stricter CPS WriterT and RWST";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"writer-cps-full" = callPackage
@@ -325646,6 +325685,8 @@ self: {
];
description = "MonadWriter orphan instances for writer-cps-transformers";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"writer-cps-transformers" = callPackage
@@ -325852,6 +325893,7 @@ self: {
];
description = "Tunneling program over websocket protocol";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
mainProgram = "wstunnel";
maintainers = [ lib.maintainers.gebner ];
}) {};
@@ -326003,34 +326045,18 @@ self: {
}) {};
"wuss" = callPackage
- ({ mkDerivation, base, bytestring, connection, exceptions, network
- , websockets
- }:
- mkDerivation {
- pname = "wuss";
- version = "2.0.1.3";
- sha256 = "037dsx4mrp5mz2fif9zqlsp1n35g7v8749wmji281ing8jfiyl37";
- libraryHaskellDepends = [
- base bytestring connection exceptions network websockets
- ];
- description = "Secure WebSocket (WSS) clients";
- license = lib.licenses.mit;
- }) {};
-
- "wuss_2_0_1_5" = callPackage
({ mkDerivation, base, bytestring, crypton-connection, exceptions
, network, websockets
}:
mkDerivation {
pname = "wuss";
- version = "2.0.1.5";
- sha256 = "1cxl02fmhybk4l6xxrf826nlf7pmnb1xgvd7661xnf1xwz7l23n2";
+ version = "2.0.1.7";
+ sha256 = "09ad7bxkg46kgmkf49n86wqkzpsz277kiiwhw81awgivfacqkcvy";
libraryHaskellDepends = [
base bytestring crypton-connection exceptions network websockets
];
description = "Secure WebSocket (WSS) clients";
license = lib.licenses.mit;
- hydraPlatforms = lib.platforms.none;
}) {};
"wx" = callPackage
@@ -326207,6 +326233,8 @@ self: {
];
description = "Console line fuzzy search";
license = lib.licenses.bsd2;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"wyvern" = callPackage
@@ -326384,6 +326412,8 @@ self: {
];
description = "Runtime code generation for x86 64 bit machine code";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"xattr" = callPackage
@@ -326428,6 +326458,8 @@ self: {
libraryHaskellDepends = [ base containers mtl pretty xml ];
description = "Parses XML files used by the XCB project";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"xcffib" = callPackage
@@ -326456,6 +326488,7 @@ self: {
];
description = "A cffi-based python binding for X";
license = "unknown";
+ hydraPlatforms = lib.platforms.none;
mainProgram = "xcffibgen";
}) {};
@@ -326553,6 +326586,7 @@ self: {
];
description = "XDG Basedir";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
}) {};
"xdg-desktop-entry" = callPackage
@@ -326591,8 +326625,8 @@ self: {
}:
mkDerivation {
pname = "xdot";
- version = "0.3.0.4";
- sha256 = "1qmg22dyx6q77cfr1l2cx8s0h19c1rc9aksc8lq4wzmm9pg1rapg";
+ version = "0.3.0.5";
+ sha256 = "0amk4cn9kxa8l4hp016lywlrr33df3gw6gbi5lydzqzdcwd6qg3d";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -326994,8 +327028,8 @@ self: {
}:
mkDerivation {
pname = "xlsx";
- version = "1.1.1";
- sha256 = "1sk2hnb71lk03q9rnldqd412j97ajji75jzx5v5hlcq4znw2cd6x";
+ version = "1.1.2.1";
+ sha256 = "12xadpj9anbkm170y54l8qc5mabwhf0i91fmckf995d8p2san8vy";
libraryHaskellDepends = [
attoparsec base base64-bytestring binary-search bytestring conduit
containers data-default deepseq dlist errors exceptions extra
@@ -327232,8 +327266,8 @@ self: {
}:
mkDerivation {
pname = "xml-conduit-writer";
- version = "0.1.1.4";
- sha256 = "1fn5g9gya9402cyabzgfjbm2dbhli86hcwwk6a2g5mm6f0sbz792";
+ version = "0.1.1.5";
+ sha256 = "1ma25a6kknrhm8n3ldj5bq203dmg52nshhmvi7zf3lz56psjf1f1";
libraryHaskellDepends = [
base containers data-default dlist mtl text xml-conduit xml-types
];
@@ -327293,6 +327327,8 @@ self: {
libraryHaskellDepends = [ base mtl transformers xml ];
description = "Extension to the xml package to extract data from parsed xml";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"xml-hamlet" = callPackage
@@ -327490,6 +327526,8 @@ self: {
];
description = "XML parser with informative error-reporting and simple API";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"xml-picklers" = callPackage
@@ -327640,21 +327678,18 @@ self: {
}) {};
"xml-syntax" = callPackage
- ({ mkDerivation, array-builder, array-chunks, base, bytebuild
- , byteslice, bytesmith, bytestring, primitive, tasty, tasty-hunit
- , text-short
+ ({ mkDerivation, array-builder, array-chunks, base, byteslice
+ , bytesmith, primitive, tasty, tasty-hunit, text-short
}:
mkDerivation {
pname = "xml-syntax";
- version = "0.1.0.0";
- sha256 = "1dbhh4rxvh0w17f9m2sd01bv6q20mg734wb87w6iqp4pv71mzhn3";
+ version = "0.1.0.2";
+ sha256 = "1x0q55481rhj3rvlapx3dv1nc8rl0l2lyv3jmxv939xckcmk54is";
libraryHaskellDepends = [
- array-builder array-chunks base bytebuild byteslice bytesmith
- bytestring primitive text-short
- ];
- testHaskellDepends = [
- base byteslice bytestring primitive tasty tasty-hunit
+ array-builder array-chunks base byteslice bytesmith primitive
+ text-short
];
+ testHaskellDepends = [ base byteslice tasty tasty-hunit ];
description = "Parse XML from bytes";
license = lib.licenses.bsd3;
hydraPlatforms = lib.platforms.none;
@@ -327999,8 +328034,8 @@ self: {
}:
mkDerivation {
pname = "xmobar";
- version = "0.47.2";
- sha256 = "03ln2c59v4aj5936c71zbjn075sia9x5nd7cwy60n92bn3n6wkk9";
+ version = "0.47.3";
+ sha256 = "186dwm3a4fznjgh3l7hknq9rgjhci12qgwwhaqhx2kihk93c6dsp";
configureFlags = [
"-fwith_alsa" "-fwith_conduit" "-fwith_datezone" "-fwith_dbus"
"-fwith_inotify" "-fwith_iwlib" "-fwith_mpd" "-fwith_mpris"
@@ -328068,6 +328103,39 @@ self: {
];
}) {};
+ "xmonad_0_18_0" = callPackage
+ ({ mkDerivation, base, containers, data-default-class, directory
+ , filepath, mtl, process, QuickCheck, quickcheck-classes, setlocale
+ , time, transformers, unix, X11
+ }:
+ mkDerivation {
+ pname = "xmonad";
+ version = "0.18.0";
+ sha256 = "1ysxxjkkx2l160nlj1h8ysxrfhxjlmbws2nm0wyiivmjgn20xs11";
+ isLibrary = true;
+ isExecutable = true;
+ libraryHaskellDepends = [
+ base containers data-default-class directory filepath mtl process
+ setlocale time transformers unix X11
+ ];
+ executableHaskellDepends = [ base ];
+ testHaskellDepends = [
+ base containers QuickCheck quickcheck-classes X11
+ ];
+ postInstall = ''
+ install -D man/xmonad.1 ''${!outputDoc}/share/man/man1/xmonad.1
+ install -D man/xmonad.hs ''${!outputDoc}/share/doc/$name/sample-xmonad.hs
+ '';
+ description = "A tiling window manager";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ mainProgram = "xmonad";
+ maintainers = [
+ lib.maintainers.dschrempf lib.maintainers.ivanbrennan
+ lib.maintainers.peti
+ ];
+ }) {};
+
"xmonad-bluetilebranch" = callPackage
({ mkDerivation, base, containers, directory, extensible-exceptions
, filepath, mtl, process, unix, X11
@@ -328097,10 +328165,8 @@ self: {
}:
mkDerivation {
pname = "xmonad-contrib";
- version = "0.17.1";
- sha256 = "0lwj8xkyaw6h0rv3lz2jdqrwzz7yghfmnhpndygkb3wgyhvq6dxb";
- revision = "1";
- editedCabalFile = "0dc9nbn0kaw98rgpi1rq8np601zjhdr1y0ydg6yb82wwaqawql6z";
+ version = "0.18.0";
+ sha256 = "1ccccz7jmksm2j5maqdfq2z135ggpdh0fl92k87w05663hxq1cb4";
libraryHaskellDepends = [
base bytestring containers deepseq directory filepath mtl process
random time unix utf8-string X11 X11-xft xmonad
@@ -329227,6 +329293,8 @@ self: {
libraryHaskellDepends = [ base containers mtl ];
description = "Simple memoisation function";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"yaml" = callPackage
@@ -329475,8 +329543,8 @@ self: {
}:
mkDerivation {
pname = "yaml-unscrambler";
- version = "0.1.0.18";
- sha256 = "0azmvi13znbyr3m0qzj9ijrqvl6pzkbskk9f7kr8gmhw31aid59v";
+ version = "0.1.0.19";
+ sha256 = "092778zd41i5zb5jhj2p2vfiib74zw05p6vqmlp3s2ziawj9s79g";
libraryHaskellDepends = [
acc attoparsec attoparsec-data attoparsec-time base
base64-bytestring bytestring conduit containers foldl hashable
@@ -329547,6 +329615,8 @@ self: {
];
description = "Declaritive configuration parsing with free docs";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"yampa-canvas" = callPackage
@@ -329642,8 +329712,8 @@ self: {
}:
mkDerivation {
pname = "yampa-test";
- version = "0.14.6";
- sha256 = "0gcb5wrgsi025dnmjaqzmg589nghfb6fwlp2yq71g9c2csbl1fai";
+ version = "0.14.7";
+ sha256 = "0h9f6ps4jnq88dadhwgsifw1r1jhqsw5cc1shplbwr0pva00s91x";
libraryHaskellDepends = [
base normaldistribution QuickCheck Yampa
];
@@ -329652,6 +329722,8 @@ self: {
];
description = "Testing library for Yampa";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"yampa2048" = callPackage
@@ -330609,6 +330681,7 @@ self: {
testToolDepends = [ hspec-discover ];
description = "A yesod-auth plugin for LTI 1.3";
license = lib.licenses.lgpl3Only;
+ hydraPlatforms = lib.platforms.none;
}) {};
"yesod-auth-nopassword" = callPackage
@@ -330684,6 +330757,8 @@ self: {
];
description = "A yesod-auth plugin for multi-tenant SSO via OpenID Connect";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"yesod-auth-pam" = callPackage
@@ -330814,10 +330889,8 @@ self: {
}:
mkDerivation {
pname = "yesod-bin";
- version = "1.6.2.2";
- sha256 = "18bnr7wjcb5w8v62gfkrx7ky35agbkwl8f8vn2cdbjksa6wsllvr";
- revision = "1";
- editedCabalFile = "07zc0jf8gpv1zhyglgq2xj89jl6rc22mjv2v2k8lywlm8i5vjvdm";
+ version = "1.6.2.3";
+ sha256 = "15lsiw4g0zf1wk13fvqw4kngqhg3c2fi9jh65blhdw8kzbznf8xg";
isLibrary = false;
isExecutable = true;
executableHaskellDepends = [
@@ -332010,6 +332083,7 @@ self: {
];
description = "Generate Flow routes for Yesod";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"yesod-routes-typescript" = callPackage
@@ -332083,6 +332157,30 @@ self: {
broken = true;
}) {};
+ "yesod-session-persist" = callPackage
+ ({ mkDerivation, aeson, annotated-exception, base, base64
+ , bytestring, containers, cookie, crypton, exceptions, hspec
+ , http-types, mtl, persistent, QuickCheck, random, stm, text, time
+ , transformers, wai, wai-extra, yesod, yesod-core, yesod-test
+ }:
+ mkDerivation {
+ pname = "yesod-session-persist";
+ version = "0.0.0.1";
+ sha256 = "0myiglk29wv320xq2405fizpzz17ax58cszq0pv1nlmd3r163yhz";
+ libraryHaskellDepends = [
+ annotated-exception base base64 bytestring containers cookie
+ crypton exceptions http-types mtl persistent text time transformers
+ wai yesod-core
+ ];
+ testHaskellDepends = [
+ aeson base containers cookie hspec mtl QuickCheck random stm text
+ time wai wai-extra yesod yesod-core yesod-test
+ ];
+ doHaddock = false;
+ description = "SQL session backend for Yesod";
+ license = lib.licenses.mit;
+ }) {};
+
"yesod-session-redis" = callPackage
({ mkDerivation, base, binary, bytestring, containers, cookie
, hedis, mtl, network, pool-conduit, random, text, time, wai
@@ -333109,14 +333207,17 @@ self: {
}) {};
"yoga" = callPackage
- ({ mkDerivation, base, bindings-DSL, ieee754 }:
+ ({ mkDerivation, base, bindings-DSL, hspec, hspec-discover, ieee754
+ }:
mkDerivation {
pname = "yoga";
- version = "0.0.0.5";
- sha256 = "14az05jh60ncsyw859b9v9m7lb5xcgsv2478pa3if93vxy1h40ih";
+ version = "0.0.0.8";
+ sha256 = "00gif2ai0dkj9nqrx0da3l96qljkfklvsddlbjl1609ydnnqmiq7";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [ base bindings-DSL ieee754 ];
+ testHaskellDepends = [ base hspec hspec-discover ];
+ testToolDepends = [ hspec-discover ];
description = "Bindings to Facebook's Yoga layout library";
license = lib.licenses.bsd3;
}) {};
@@ -333231,6 +333332,8 @@ self: {
];
description = "mtl-style transformations for Yesod sites";
license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"yu-auth" = callPackage
@@ -333680,8 +333783,8 @@ self: {
}:
mkDerivation {
pname = "zeolite-lang";
- version = "0.24.0.1";
- sha256 = "09xib3n7mmxcv0pknrp2xkbrr7lccsmbadx613mr7arcgf1n2a77";
+ version = "0.24.1.0";
+ sha256 = "0k6sxzdmgmyks9cjbymd0ngmsgk75wzsjz8r2v7hd80r7fhm9d45";
isLibrary = false;
isExecutable = true;
enableSeparateDataOutput = true;
@@ -334184,6 +334287,19 @@ self: {
license = lib.licenses.bsd3;
}) {};
+ "zigzag_0_1_0_0" = callPackage
+ ({ mkDerivation, base, tasty, tasty-hunit, tasty-quickcheck }:
+ mkDerivation {
+ pname = "zigzag";
+ version = "0.1.0.0";
+ sha256 = "1cxgirvzd61b3466934cwnwhfqj1kfk3yiwjy1hcg9iad7dyff7m";
+ libraryHaskellDepends = [ base ];
+ testHaskellDepends = [ base tasty tasty-hunit tasty-quickcheck ];
+ description = "Zigzag encoding of integers into unsigned integers";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {};
+
"zim-parser" = callPackage
({ mkDerivation, array, base, base-compat, binary, binary-conduit
, bytestring, conduit, conduit-extra, hspec, lzma
@@ -334242,6 +334358,8 @@ self: {
];
description = "App-centric Monad-transformer based on Scala ZIO (UIO + ReaderT + ExceptT)";
license = lib.licenses.mpl20;
+ hydraPlatforms = lib.platforms.none;
+ broken = true;
}) {};
"zip" = callPackage
@@ -334255,8 +334373,8 @@ self: {
pname = "zip";
version = "2.0.0";
sha256 = "1j3gwhgcn2j2jsdg4dw7a5y1pw0n273zkfk782pvzjqmccaywbdp";
- revision = "2";
- editedCabalFile = "15qqk75gd3w4rypwhxlxsq9aaj8iz9wd8pds2si69jsyivn1nrrg";
+ revision = "3";
+ editedCabalFile = "1b6izcvvrhdra0jxp2s7xk9xv41iwq3yc9bpi44nmc2fj4ra4r2v";
isLibrary = true;
isExecutable = true;
libraryHaskellDepends = [
@@ -334425,6 +334543,7 @@ self: {
];
description = "Zipper utils that weren't in Control.Comonad.Store.Zipper";
license = lib.licenses.mit;
+ hydraPlatforms = lib.platforms.none;
}) {};
"zippers" = callPackage
@@ -334520,6 +334639,24 @@ self: {
license = lib.licenses.bsd3;
}) {inherit (pkgs) zlib;};
+ "zlib_0_7_0_0" = callPackage
+ ({ mkDerivation, base, bytestring, QuickCheck, tasty
+ , tasty-quickcheck, zlib
+ }:
+ mkDerivation {
+ pname = "zlib";
+ version = "0.7.0.0";
+ sha256 = "0ivszx31jw560axchxz9g646baw2rs6fr1ih0d5mmzz1w42w4hvy";
+ libraryHaskellDepends = [ base bytestring ];
+ libraryPkgconfigDepends = [ zlib ];
+ testHaskellDepends = [
+ base bytestring QuickCheck tasty tasty-quickcheck
+ ];
+ description = "Compression and decompression in the gzip and zlib formats";
+ license = lib.licenses.bsd3;
+ hydraPlatforms = lib.platforms.none;
+ }) {inherit (pkgs) zlib;};
+
"zlib-bindings" = callPackage
({ mkDerivation, base, bytestring, hspec, QuickCheck, zlib }:
mkDerivation {
@@ -334536,13 +334673,15 @@ self: {
"zlib-bytes" = callPackage
({ mkDerivation, base, byteslice, bytestring, mtl, primitive, tasty
- , tasty-quickcheck
+ , tasty-quickcheck, transformers
}:
mkDerivation {
pname = "zlib-bytes";
- version = "0.1.0.0";
- sha256 = "0a8hqk5vw49fiwvdgf5glg2s49zkjgydy6bfasas2zl3ibvcy7xw";
- libraryHaskellDepends = [ base byteslice mtl primitive ];
+ version = "0.1.0.2";
+ sha256 = "0pv4qw9682xqymhjvil2mwib3cps9593gflc8fb3cq9irlnj4wfx";
+ libraryHaskellDepends = [
+ base byteslice mtl primitive transformers
+ ];
testHaskellDepends = [
base byteslice bytestring primitive tasty tasty-quickcheck
];
diff --git a/pkgs/development/haskell-modules/lib/compose.nix b/pkgs/development/haskell-modules/lib/compose.nix
index fe1a8ef7a014..09cee08b91c1 100644
--- a/pkgs/development/haskell-modules/lib/compose.nix
+++ b/pkgs/development/haskell-modules/lib/compose.nix
@@ -108,6 +108,11 @@ rec {
of test suites listed in the package description file.
*/
dontCheck = overrideCabal (drv: { doCheck = false; });
+ /* The dontCheckIf variant sets doCheck = false if the condition
+ applies. In any other case the previously set/default value is used.
+ This prevents accidentally re-enabling tests in a later override.
+ */
+ dontCheckIf = condition: if condition then dontCheck else lib.id;
/* doBenchmark enables dependency checking and compilation
for benchmarks listed in the package description file.
@@ -398,7 +403,7 @@ rec {
# Some information about which phases should be run.
controlPhases = ghc: let inherit (ghcInfo ghc) isCross; in
- { doCheck ? !isCross && (lib.versionOlder "7.4" ghc.version)
+ { doCheck ? !isCross
, doBenchmark ? false
, ...
}: { inherit doCheck doBenchmark; };
diff --git a/pkgs/development/haskell-modules/lib/default.nix b/pkgs/development/haskell-modules/lib/default.nix
index ffd9ac057890..2bcd8f25d114 100644
--- a/pkgs/development/haskell-modules/lib/default.nix
+++ b/pkgs/development/haskell-modules/lib/default.nix
@@ -105,6 +105,11 @@ rec {
of test suites listed in the package description file.
*/
dontCheck = compose.dontCheck;
+ /* The dontCheckIf variant sets doCheck = false if the condition
+ applies. In any other case the previously set/default value is used.
+ This prevents accidentally re-enabling tests in a later override.
+ */
+ dontCheckIf = drv: condition: compose.dontCheckIf condition drv;
/* doBenchmark enables dependency checking, compilation and execution
for benchmarks listed in the package description file.
diff --git a/pkgs/development/haskell-modules/make-package-set.nix b/pkgs/development/haskell-modules/make-package-set.nix
index 294ca295f22b..52d0af869cec 100644
--- a/pkgs/development/haskell-modules/make-package-set.nix
+++ b/pkgs/development/haskell-modules/make-package-set.nix
@@ -199,12 +199,16 @@ in package-set { inherit pkgs lib callPackage; } self // {
# for any version that has been released on hackage as opposed to only
# versions released before whatever version of all-cabal-hashes you happen
# to be currently using.
- callHackageDirect = {pkg, ver, sha256}:
+ callHackageDirect = {pkg, ver, sha256, rev ? { revision = null; sha256 = null; }}: args:
let pkgver = "${pkg}-${ver}";
- in self.callCabal2nix pkg (pkgs.fetchzip {
- url = "mirror://hackage/${pkgver}/${pkgver}.tar.gz";
- inherit sha256;
- });
+ firstRevision = self.callCabal2nix pkg (pkgs.fetchzip {
+ url = "mirror://hackage/${pkgver}/${pkgver}.tar.gz";
+ inherit sha256;
+ }) args;
+ in overrideCabal (orig: {
+ revision = rev.revision;
+ editedCabalFile = rev.sha256;
+ }) firstRevision;
# Creates a Haskell package from a source package by calling cabal2nix on the source.
callCabal2nixWithOptions = name: src: extraCabal2nixOptions: args:
@@ -635,7 +639,7 @@ in package-set { inherit pkgs lib callPackage; } self // {
Type: drv -> drv
*/
- forceLlvmCodegenBackend = haskellLib.overrideCabal (drv: {
+ forceLlvmCodegenBackend = overrideCabal (drv: {
configureFlags = drv.configureFlags or [ ] ++ [ "--ghc-option=-fllvm" ];
buildTools = drv.buildTools or [ ] ++ [ self.llvmPackages.llvm ];
});
diff --git a/pkgs/development/haskell-modules/non-hackage-packages.nix b/pkgs/development/haskell-modules/non-hackage-packages.nix
index f78e333ae1d7..bb995435fc60 100644
--- a/pkgs/development/haskell-modules/non-hackage-packages.nix
+++ b/pkgs/development/haskell-modules/non-hackage-packages.nix
@@ -1,3 +1,5 @@
+{ pkgs, haskellLib }:
+
# EXTRA HASKELL PACKAGES NOT ON HACKAGE
#
# This file should only contain packages that are not in ./hackage-packages.nix.
diff --git a/pkgs/development/haskell-modules/with-packages-wrapper.nix b/pkgs/development/haskell-modules/with-packages-wrapper.nix
index 03f59302a0d3..a3ca6f5b1d2f 100644
--- a/pkgs/development/haskell-modules/with-packages-wrapper.nix
+++ b/pkgs/development/haskell-modules/with-packages-wrapper.nix
@@ -47,8 +47,6 @@ let
isGhcjs = ghc.isGhcjs or false;
isHaLVM = ghc.isHaLVM or false;
- ghc761OrLater = isGhcjs || isHaLVM || lib.versionOlder "7.6.1" ghc.version;
- packageDBFlag = if ghc761OrLater then "--global-package-db" else "--global-conf";
ghcCommand' = if isGhcjs then "ghcjs" else "ghc";
ghcCommand = "${ghc.targetPrefix}${ghcCommand'}";
ghcCommandCaps= lib.toUpper ghcCommand';
@@ -122,7 +120,7 @@ symlinkJoin {
for prg in ${ghcCommand}-pkg ${ghcCommand}-pkg-${ghc.version}; do
if [[ -x "${ghc}/bin/$prg" ]]; then
rm -f $out/bin/$prg
- makeWrapper ${ghc}/bin/$prg $out/bin/$prg --add-flags "${packageDBFlag}=${packageCfgDir}"
+ makeWrapper ${ghc}/bin/$prg $out/bin/$prg --add-flags "--global-package-db=${packageCfgDir}"
fi
done
diff --git a/pkgs/development/idris-modules/cube.nix b/pkgs/development/idris-modules/cube.nix
index eac71fb8797c..60757ec1319b 100644
--- a/pkgs/development/idris-modules/cube.nix
+++ b/pkgs/development/idris-modules/cube.nix
@@ -16,7 +16,7 @@ build-idris-package {
meta = {
description = "An implementation of the Lambda Cube in Idris";
homepage = "https://github.com/aatxe/cube.idr";
- license = lib.licenses.agpl3;
+ license = lib.licenses.agpl3Only;
maintainers = [ lib.maintainers.brainrape ];
};
}
diff --git a/pkgs/development/idris-modules/mapping.nix b/pkgs/development/idris-modules/mapping.nix
index fbfb34ddbf9d..63b7a01bce14 100644
--- a/pkgs/development/idris-modules/mapping.nix
+++ b/pkgs/development/idris-modules/mapping.nix
@@ -16,7 +16,7 @@ build-idris-package {
meta = {
description = "Idris mapping library";
homepage = "https://github.com/zaoqi/Mapping.idr";
- license = lib.licenses.agpl3;
+ license = lib.licenses.agpl3Plus;
maintainers = [ lib.maintainers.brainrape ];
};
}
diff --git a/pkgs/development/interpreters/acl2/default.nix b/pkgs/development/interpreters/acl2/default.nix
index bf37d19cd8c4..bbb02e2fabc9 100644
--- a/pkgs/development/interpreters/acl2/default.nix
+++ b/pkgs/development/interpreters/acl2/default.nix
@@ -113,6 +113,7 @@ in stdenv.mkDerivation rec {
meta = with lib; {
description = "An interpreter and a prover for a Lisp dialect";
+ mainProgram = "acl2";
longDescription = ''
ACL2 is a logic and programming language in which you can model computer
systems, together with a tool to help you prove properties of those
diff --git a/pkgs/development/interpreters/babashka/default.nix b/pkgs/development/interpreters/babashka/default.nix
index 99528c2d7639..9844920366c7 100644
--- a/pkgs/development/interpreters/babashka/default.nix
+++ b/pkgs/development/interpreters/babashka/default.nix
@@ -9,11 +9,11 @@
let
babashka-unwrapped = buildGraalvmNativeImage rec {
pname = "babashka-unwrapped";
- version = "1.3.188";
+ version = "1.3.189";
src = fetchurl {
url = "https://github.com/babashka/babashka/releases/download/v${version}/babashka-${version}-standalone.jar";
- sha256 = "sha256-EjsSUPWiLQcCos2oyVXt3VzLlGEfiXK5CqJZ1NMvF/E=";
+ sha256 = "sha256-C3N++tTTvebtQid3p+zrnBgHTqQmECQhiS2/3VIEojI=";
};
graalvmDrv = graalvmCEPackages.graalvm-ce;
diff --git a/pkgs/development/interpreters/bats/default.nix b/pkgs/development/interpreters/bats/default.nix
index afffef1d1f68..000c6045922c 100644
--- a/pkgs/development/interpreters/bats/default.nix
+++ b/pkgs/development/interpreters/bats/default.nix
@@ -210,6 +210,7 @@ resholve.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/bats-core/bats-core";
description = "Bash Automated Testing System";
+ mainProgram = "bats";
maintainers = with maintainers; [ abathur ];
license = licenses.mit;
platforms = platforms.unix;
diff --git a/pkgs/development/interpreters/bats/libraries.nix b/pkgs/development/interpreters/bats/libraries.nix
index a7d5e2b87747..9ee0942e7e69 100644
--- a/pkgs/development/interpreters/bats/libraries.nix
+++ b/pkgs/development/interpreters/bats/libraries.nix
@@ -53,12 +53,12 @@
bats-detik = stdenv.mkDerivation (finalAttrs: {
pname = "bats-detik";
- version = "1.2.1";
+ version = "1.3.0";
src = fetchFromGitHub {
owner = "bats-core";
repo = "bats-detik";
rev = "v${finalAttrs.version}";
- hash = "sha256-2BEIqRSc21oPjd9BgTLg5mGyAdNJYA2b7gZe7Nj2dks=";
+ hash = "sha256-cbWNZ9a/Q9reJLQxUyTdqfLykOJcJKnoKB123ao8/xM=";
};
dontBuild = true;
installPhase = ''
diff --git a/pkgs/development/interpreters/bic/default.nix b/pkgs/development/interpreters/bic/default.nix
index 31b539a3ff3e..52dc7ac31c53 100644
--- a/pkgs/development/interpreters/bic/default.nix
+++ b/pkgs/development/interpreters/bic/default.nix
@@ -30,6 +30,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A C interpreter and API explorer";
+ mainProgram = "bic";
longDescription = ''
bic This a project that allows developers to explore and test C-APIs using a
read eval print loop, also known as a REPL.
diff --git a/pkgs/development/interpreters/boron/default.nix b/pkgs/development/interpreters/boron/default.nix
index e40ca2b5b4dc..541c3525b660 100644
--- a/pkgs/development/interpreters/boron/default.nix
+++ b/pkgs/development/interpreters/boron/default.nix
@@ -40,6 +40,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://urlan.sourceforge.net/boron/";
description = "Scripting language and C library useful for building DSLs";
+ mainProgram = "boron";
license = licenses.lgpl3Plus;
platforms = platforms.linux;
maintainers = with maintainers; [ mausch ];
diff --git a/pkgs/development/interpreters/bwbasic/default.nix b/pkgs/development/interpreters/bwbasic/default.nix
index 4fec1cc7b3e4..a99570888991 100644
--- a/pkgs/development/interpreters/bwbasic/default.nix
+++ b/pkgs/development/interpreters/bwbasic/default.nix
@@ -29,6 +29,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Bywater BASIC Interpreter";
+ mainProgram = "bwbasic";
license = licenses.gpl2Only;
maintainers = with maintainers; [ irenes ];
platforms = platforms.all;
diff --git a/pkgs/development/interpreters/cel-go/default.nix b/pkgs/development/interpreters/cel-go/default.nix
index 60d0586e094e..ad95711e6dfa 100644
--- a/pkgs/development/interpreters/cel-go/default.nix
+++ b/pkgs/development/interpreters/cel-go/default.nix
@@ -5,18 +5,18 @@
buildGoModule rec {
pname = "cel-go";
- version = "0.19.0";
+ version = "0.20.1";
src = fetchFromGitHub {
owner = "google";
repo = "cel-go";
rev = "v${version}";
- hash = "sha256-r3xBg+8C3VZ3sHYKMyQoBVGe+puWdRO4q3e9bur9ZoY=";
+ hash = "sha256-RN3Eqdf1Zon0gSsP3jGxydVEa0NL5filAei4+xPFNv8=";
};
modRoot = "repl";
- vendorHash = "sha256-7WBom6FS/GX+pM3zv59BZOwmAIokKkZcN3yGbcQb09Q=";
+ vendorHash = "sha256-jNlzsx1QII9UBHQDU7nSzkNLtfbuce4O1AcPaFqtj9c=";
subPackages = [
"main"
@@ -30,6 +30,7 @@ buildGoModule rec {
meta = with lib; {
description = "Fast, portable, non-Turing complete expression evaluation with gradual typing";
+ mainProgram = "cel-go";
homepage = "https://github.com/google/cel-go";
changelog = "https://github.com/google/cel-go/releases/tag/${src.rev}";
license = licenses.asl20;
diff --git a/pkgs/development/interpreters/ceptre/default.nix b/pkgs/development/interpreters/ceptre/default.nix
index 9771a8f72d2a..28be28f68be6 100644
--- a/pkgs/development/interpreters/ceptre/default.nix
+++ b/pkgs/development/interpreters/ceptre/default.nix
@@ -21,6 +21,7 @@ stdenv.mkDerivation {
meta = with lib; {
description = "A linear logic programming language for modeling generative interactive systems";
+ mainProgram = "ceptre";
homepage = "https://github.com/chrisamaphone/interactive-lp";
maintainers = with maintainers; [ pSub ];
platforms = platforms.unix;
diff --git a/pkgs/development/interpreters/cling/default.nix b/pkgs/development/interpreters/cling/default.nix
index f59c1910a6ff..ed204c8863f6 100644
--- a/pkgs/development/interpreters/cling/default.nix
+++ b/pkgs/development/interpreters/cling/default.nix
@@ -116,6 +116,7 @@ let
meta = with lib; {
description = "The Interactive C++ Interpreter";
+ mainProgram = "cling";
homepage = "https://root.cern/cling/";
license = with licenses; [ lgpl21 ncsa ];
maintainers = with maintainers; [ thomasjm ];
diff --git a/pkgs/development/interpreters/clips/default.nix b/pkgs/development/interpreters/clips/default.nix
index 2edeaf9e4e87..e79f4a92481f 100644
--- a/pkgs/development/interpreters/clips/default.nix
+++ b/pkgs/development/interpreters/clips/default.nix
@@ -25,6 +25,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A Tool for Building Expert Systems";
+ mainProgram = "clips";
homepage = "http://www.clipsrules.net/";
longDescription = ''
Developed at NASA's Johnson Space Center from 1985 to 1996,
diff --git a/pkgs/development/interpreters/clisp/default.nix b/pkgs/development/interpreters/clisp/default.nix
index 0ff0f6a49816..f6218ef8f3b2 100644
--- a/pkgs/development/interpreters/clisp/default.nix
+++ b/pkgs/development/interpreters/clisp/default.nix
@@ -126,6 +126,7 @@ stdenv.mkDerivation {
meta = {
description = "ANSI Common Lisp Implementation";
homepage = "http://clisp.org";
+ mainProgram = "clisp";
maintainers = lib.teams.lisp.members;
license = lib.licenses.gpl2Plus;
platforms = with lib.platforms; linux ++ darwin;
diff --git a/pkgs/development/interpreters/clojure/clooj.nix b/pkgs/development/interpreters/clojure/clooj.nix
index 0e8391d5a686..9b93a478aa68 100644
--- a/pkgs/development/interpreters/clojure/clooj.nix
+++ b/pkgs/development/interpreters/clojure/clooj.nix
@@ -22,6 +22,7 @@ stdenv.mkDerivation rec {
meta = {
description = "A lightweight IDE for Clojure";
+ mainProgram = "clooj";
homepage = "https://github.com/arthuredelstein/clooj";
sourceProvenance = with lib.sourceTypes; [ binaryBytecode ];
license = lib.licenses.bsd3;
diff --git a/pkgs/development/interpreters/clojure/default.nix b/pkgs/development/interpreters/clojure/default.nix
index c80af02c32a7..cedc8ef29232 100644
--- a/pkgs/development/interpreters/clojure/default.nix
+++ b/pkgs/development/interpreters/clojure/default.nix
@@ -2,12 +2,12 @@
stdenv.mkDerivation (finalAttrs: {
pname = "clojure";
- version = "1.11.1.1435";
+ version = "1.11.2.1446";
src = fetchurl {
# https://github.com/clojure/brew-install/releases
url = "https://github.com/clojure/brew-install/releases/download/${finalAttrs.version}/clojure-tools-${finalAttrs.version}.tar.gz";
- hash = "sha256-RS/FebIED8RYYXRXBKXZPRROO0HqyDo0zhb+p4Q5m8A=";
+ hash = "sha256-qn7/sPyVDfjZPLeWxlUBBljAW/d8cCw6lEm3/deS73E=";
};
nativeBuildInputs = [
diff --git a/pkgs/development/interpreters/cyber/default.nix b/pkgs/development/interpreters/cyber/default.nix
index d71ec5648100..710ec991edd0 100644
--- a/pkgs/development/interpreters/cyber/default.nix
+++ b/pkgs/development/interpreters/cyber/default.nix
@@ -29,6 +29,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A fast, efficient, and concurrent scripting language";
+ mainProgram = "cyber";
homepage = "https://github.com/fubark/cyber";
license = licenses.mit;
maintainers = with maintainers; [ figsoda ];
diff --git a/pkgs/development/interpreters/duktape/default.nix b/pkgs/development/interpreters/duktape/default.nix
index c296d013e3d1..8477aef9ca43 100644
--- a/pkgs/development/interpreters/duktape/default.nix
+++ b/pkgs/development/interpreters/duktape/default.nix
@@ -1,10 +1,10 @@
{ lib, stdenv, fetchurl, validatePkgConfig }:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "duktape";
version = "2.7.0";
src = fetchurl {
- url = "http://duktape.org/duktape-${version}.tar.xz";
+ url = "http://duktape.org/duktape-${finalAttrs.version}.tar.xz";
sha256 = "sha256-kPjS+otVZ8aJmDDd7ywD88J5YLEayiIvoXqnrGE8KJA=";
};
@@ -38,4 +38,4 @@ stdenv.mkDerivation rec {
mainProgram = "duk";
platforms = platforms.all;
};
-}
+})
diff --git a/pkgs/development/interpreters/eff/default.nix b/pkgs/development/interpreters/eff/default.nix
index a4565a1b8944..3ef2831a72e8 100644
--- a/pkgs/development/interpreters/eff/default.nix
+++ b/pkgs/development/interpreters/eff/default.nix
@@ -20,6 +20,7 @@ with ocamlPackages; buildDunePackage rec {
meta = with lib; {
homepage = "https://www.eff-lang.org";
description = "A functional programming language based on algebraic effects and their handlers";
+ mainProgram = "eff";
longDescription = ''
Eff is a functional language with handlers of not only exceptions,
but also of other computational effects such as state or I/O. With
diff --git a/pkgs/development/interpreters/elixir/1.16.nix b/pkgs/development/interpreters/elixir/1.16.nix
index 2f57e371e28b..2721ddd2207b 100644
--- a/pkgs/development/interpreters/elixir/1.16.nix
+++ b/pkgs/development/interpreters/elixir/1.16.nix
@@ -1,7 +1,7 @@
{ mkDerivation }:
mkDerivation {
- version = "1.16.1";
- sha256 = "sha256-rjUt3gCUszCbzGE7BriwH3ptrV81dqNB/d0nVOXrcGI=";
+ version = "1.16.2";
+ sha256 = "sha256-NUYYxf73Fuk3FUoVFKTo6IN9QCTvzz5wNshIf/nitJA=";
# https://hexdocs.pm/elixir/1.16.0/compatibility-and-deprecations.html#compatibility-between-elixir-and-erlang-otp
minimumOTPVersion = "24";
escriptPath = "lib/elixir/scripts/generate_app.escript";
diff --git a/pkgs/development/interpreters/emilua/default.nix b/pkgs/development/interpreters/emilua/default.nix
index c44621d661b1..03ba8d81cfcd 100644
--- a/pkgs/development/interpreters/emilua/default.nix
+++ b/pkgs/development/interpreters/emilua/default.nix
@@ -95,6 +95,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Lua execution engine";
+ mainProgram = "emilua";
homepage = "https://emilua.org/";
license = licenses.boost;
maintainers = with maintainers; [ manipuladordedados ];
diff --git a/pkgs/development/interpreters/erlang/24.nix b/pkgs/development/interpreters/erlang/24.nix
index 175640601e9a..4e7df413bb39 100644
--- a/pkgs/development/interpreters/erlang/24.nix
+++ b/pkgs/development/interpreters/erlang/24.nix
@@ -1,6 +1,6 @@
{ mkDerivation }:
mkDerivation {
- version = "24.3.4.15";
- sha256 = "sha256-1a/5jxTLDWlQHEMfKZoAO3wrg1U0wYBf+xXhyO/EnXA=";
+ version = "24.3.4.16";
+ sha256 = "sha256-oLfidJPgWTz7AsJz+C4adXnxcow8C/M828os6aB4Z/c=";
}
diff --git a/pkgs/development/interpreters/erlang/25.nix b/pkgs/development/interpreters/erlang/25.nix
index 6b3c8a43f92d..5f94c27dbd4a 100644
--- a/pkgs/development/interpreters/erlang/25.nix
+++ b/pkgs/development/interpreters/erlang/25.nix
@@ -1,6 +1,6 @@
{ mkDerivation }:
mkDerivation {
- version = "25.3.2.9";
- sha256 = "sha256-urj5wSNP/gOtN1O1IKesZLZg4jA640fbQBiWs/dUz8c=";
+ version = "25.3.2.10";
+ sha256 = "sha256-CibLQnzQxvFDMNiX26n0b725o8BV4FJXBXmwW1sEpkk=";
}
diff --git a/pkgs/development/interpreters/erlang/26.nix b/pkgs/development/interpreters/erlang/26.nix
index bec804dcddcf..257226866725 100644
--- a/pkgs/development/interpreters/erlang/26.nix
+++ b/pkgs/development/interpreters/erlang/26.nix
@@ -1,6 +1,6 @@
{ mkDerivation }:
mkDerivation {
- version = "26.2.2";
- sha256 = "sha256-7S+mC4pDcbXyhW2r5y8+VcX9JQXq5iEUJZiFmgVMPZ0=";
+ version = "26.2.3";
+ sha256 = "sha256-nUvGLzZ1PFc3Z/kDb3jspCFWXxkGZrazvGIpxfFLcbc=";
}
diff --git a/pkgs/development/interpreters/expr/default.nix b/pkgs/development/interpreters/expr/default.nix
index e81e56da9bf0..4a1dfd8d4f47 100644
--- a/pkgs/development/interpreters/expr/default.nix
+++ b/pkgs/development/interpreters/expr/default.nix
@@ -5,18 +5,18 @@
buildGoModule rec {
pname = "expr";
- version = "1.16.0";
+ version = "1.16.2";
src = fetchFromGitHub {
owner = "antonmedv";
repo = "expr";
rev = "v${version}";
- hash = "sha256-GLh4NayAbqGXI0Ekkk3lXCRwpLwGLbJIo7WjDfpKDhI=";
+ hash = "sha256-U9DlgC3iuYry99A1O5E737680mq1TCf2M4ZYTytm56k=";
};
sourceRoot = "${src.name}/repl";
- vendorHash = "sha256-42kFO7kXIdqVrp2FQGELZ90OUobOp4zbdo533vresIw=";
+ vendorHash = "sha256-olTmfSKLbkH95ArMHJWac7aw+DNKRyw4z+oGvW9j4tw=";
ldflags = [ "-s" "-w" ];
diff --git a/pkgs/development/interpreters/gauche/default.nix b/pkgs/development/interpreters/gauche/default.nix
index 301cd9db7830..aa58be639b9d 100644
--- a/pkgs/development/interpreters/gauche/default.nix
+++ b/pkgs/development/interpreters/gauche/default.nix
@@ -42,6 +42,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "R7RS Scheme scripting engine";
homepage = "https://practical-scheme.net/gauche/";
+ mainProgram = "gosh";
maintainers = with maintainers; [ mnacamura ];
license = licenses.bsd3;
platforms = platforms.unix;
diff --git a/pkgs/development/interpreters/gnudatalanguage/default.nix b/pkgs/development/interpreters/gnudatalanguage/default.nix
index f4d364fcd144..54b5c5898c87 100644
--- a/pkgs/development/interpreters/gnudatalanguage/default.nix
+++ b/pkgs/development/interpreters/gnudatalanguage/default.nix
@@ -78,13 +78,15 @@ let
if hdf5-forced != null
then hdf5-forced
else
- hdf5.override {
+ hdf5.override ({
usev110Api = useHdf5v110Api;
mpiSupport = enableMPI;
inherit mpi;
szipSupport = enableSzip;
inherit szip;
- };
+ } // lib.optionalAttrs enableMPI {
+ cppSupport = false;
+ });
netcdf-custom =
if netcdf-forced != null
then netcdf-forced
diff --git a/pkgs/development/interpreters/gpython/default.nix b/pkgs/development/interpreters/gpython/default.nix
index 8bb432e839ea..b0aae579bf2b 100644
--- a/pkgs/development/interpreters/gpython/default.nix
+++ b/pkgs/development/interpreters/gpython/default.nix
@@ -39,6 +39,7 @@ buildGoModule rec {
meta = with lib; {
description = "A Python interpreter written in Go";
+ mainProgram = "gpython";
homepage = "https://github.com/go-python/gpython";
changelog = "https://github.com/go-python/gpython/releases/tag/${src.rev}";
license = licenses.bsd3;
diff --git a/pkgs/development/interpreters/hashlink/default.nix b/pkgs/development/interpreters/hashlink/default.nix
index dc5f153c5ee1..880e480a1f85 100644
--- a/pkgs/development/interpreters/hashlink/default.nix
+++ b/pkgs/development/interpreters/hashlink/default.nix
@@ -50,6 +50,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A virtual machine for Haxe";
+ mainProgram = "hl";
homepage = "https://hashlink.haxe.org/";
license = licenses.mit;
platforms = [ "x86_64-linux" "x86_64-darwin" ];
diff --git a/pkgs/development/interpreters/ivy/default.nix b/pkgs/development/interpreters/ivy/default.nix
index 171b739bddff..eed0913c36ba 100644
--- a/pkgs/development/interpreters/ivy/default.nix
+++ b/pkgs/development/interpreters/ivy/default.nix
@@ -20,6 +20,7 @@ buildGoModule rec {
meta = with lib; {
homepage = "https://github.com/robpike/ivy";
description = "ivy, an APL-like calculator";
+ mainProgram = "ivy";
license = licenses.bsd3;
maintainers = with maintainers; [ smasher164 ];
};
diff --git a/pkgs/development/interpreters/janet/default.nix b/pkgs/development/interpreters/janet/default.nix
index 8fd424ce153b..7456619a9ae8 100644
--- a/pkgs/development/interpreters/janet/default.nix
+++ b/pkgs/development/interpreters/janet/default.nix
@@ -57,6 +57,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "Janet programming language";
+ mainProgram = "janet";
homepage = "https://janet-lang.org/";
license = licenses.mit;
maintainers = with maintainers; [ andrewchambers peterhoeg ];
diff --git a/pkgs/development/interpreters/janet/jpm.nix b/pkgs/development/interpreters/janet/jpm.nix
index 050a035e0666..31ed1e2fc1ff 100644
--- a/pkgs/development/interpreters/janet/jpm.nix
+++ b/pkgs/development/interpreters/janet/jpm.nix
@@ -54,6 +54,7 @@ stdenv.mkDerivation rec {
meta = janet.meta // {
description = "Janet Project Manager for the Janet programming language";
+ mainProgram = "jpm";
platforms = lib.attrNames platformFiles;
};
}
diff --git a/pkgs/development/interpreters/jelly/default.nix b/pkgs/development/interpreters/jelly/default.nix
index 46c27e91a02a..6f97b58dc636 100644
--- a/pkgs/development/interpreters/jelly/default.nix
+++ b/pkgs/development/interpreters/jelly/default.nix
@@ -20,6 +20,7 @@ python3Packages.buildPythonApplication {
meta = with lib; {
description = "A recreational programming language inspired by J";
+ mainProgram = "jelly";
homepage = "https://github.com/DennisMitchell/jellylanguage";
license = licenses.mit;
maintainers = [ maintainers.tckmn ];
diff --git a/pkgs/development/interpreters/jimtcl/default.nix b/pkgs/development/interpreters/jimtcl/default.nix
index e478ff945472..c5ea2f3ec026 100644
--- a/pkgs/development/interpreters/jimtcl/default.nix
+++ b/pkgs/development/interpreters/jimtcl/default.nix
@@ -16,14 +16,14 @@
, SDLSupport ? true
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "jimtcl";
version = "0.82";
src = fetchFromGitHub {
owner = "msteveb";
repo = "jimtcl";
- rev = version;
+ rev = finalAttrs.version;
sha256 = "sha256-CDjjrxpoTbLESAbCiCjQ8+E/oJP87gDv9SedQOzH3QY=";
};
@@ -77,4 +77,4 @@ stdenv.mkDerivation rec {
platforms = lib.platforms.all;
maintainers = with lib.maintainers; [ dbohdan fgaz vrthra ];
};
-}
+})
diff --git a/pkgs/development/interpreters/joker/default.nix b/pkgs/development/interpreters/joker/default.nix
index 50dd86f7bd86..6af8fc10160e 100644
--- a/pkgs/development/interpreters/joker/default.nix
+++ b/pkgs/development/interpreters/joker/default.nix
@@ -2,16 +2,16 @@
buildGoModule rec {
pname = "joker";
- version = "1.3.4";
+ version = "1.3.5";
src = fetchFromGitHub {
rev = "v${version}";
owner = "candid82";
repo = "joker";
- sha256 = "sha256-sueFfR5KVj6HXR+5XWowL0Zjbuu7K+p/+skcTaXlOMc=";
+ sha256 = "sha256-aBZ0KlXWKAF70xFxc+WWXucLPnxyaCxu97IYkPuKcCA=";
};
- vendorHash = "sha256-rxWYNGFbFUKjy232DOhVlh341GV2VKLngJKM+DEd27o=";
+ vendorHash = "sha256-k17BthjOjZs0WB88AVVIM00HcSZl2S5u8n9eB2NFdrk=";
doCheck = false;
@@ -24,6 +24,7 @@ buildGoModule rec {
meta = with lib; {
homepage = "https://github.com/candid82/joker";
description = "A small Clojure interpreter and linter written in Go";
+ mainProgram = "joker";
license = licenses.epl10;
maintainers = with maintainers; [ andrestylianos ];
};
diff --git a/pkgs/development/interpreters/jruby/default.nix b/pkgs/development/interpreters/jruby/default.nix
index f1cc624b59e7..5f31e8ef29ee 100644
--- a/pkgs/development/interpreters/jruby/default.nix
+++ b/pkgs/development/interpreters/jruby/default.nix
@@ -6,11 +6,11 @@ let
in
stdenv.mkDerivation (finalAttrs: {
pname = "jruby";
- version = "9.4.5.0";
+ version = "9.4.6.0";
src = fetchurl {
url = "https://s3.amazonaws.com/jruby.org/downloads/${finalAttrs.version}/jruby-bin-${finalAttrs.version}.tar.gz";
- hash = "sha256-pA94xGQczIZ1Lhay2iR/1ryfvPmkhkzxvjb3/3s1aEw=";
+ hash = "sha256-LaFN5BUrcf2/o1ukaHpG7xLNRldAM3tUnMH+bHwTmBM=";
};
nativeBuildInputs = [ makeBinaryWrapper ];
diff --git a/pkgs/development/interpreters/jython/default.nix b/pkgs/development/interpreters/jython/default.nix
index 341dccb263c2..157ad76e6f8a 100644
--- a/pkgs/development/interpreters/jython/default.nix
+++ b/pkgs/development/interpreters/jython/default.nix
@@ -22,6 +22,7 @@ stdenv.mkDerivation rec {
meta = {
description = "Python interpreter written in Java";
+ mainProgram = "jython";
homepage = "https://jython.org/";
sourceProvenance = with lib.sourceTypes; [ binaryBytecode ];
license = lib.licenses.psfl;
diff --git a/pkgs/development/interpreters/kamilalisp/default.nix b/pkgs/development/interpreters/kamilalisp/default.nix
index e0554e10b421..7638b8f932e7 100644
--- a/pkgs/development/interpreters/kamilalisp/default.nix
+++ b/pkgs/development/interpreters/kamilalisp/default.nix
@@ -30,6 +30,7 @@ stdenv.mkDerivation rec {
meta = {
homepage = "https://github.com/kspalaiologos/kamilalisp";
description = "A functional, flexible, and concise Lisp";
+ mainProgram = "kamilalisp";
license = lib.licenses.gpl3Plus;
inherit (jre.meta) platforms;
maintainers = with lib.maintainers; [ cafkafk ];
diff --git a/pkgs/development/interpreters/kerf/default.nix b/pkgs/development/interpreters/kerf/default.nix
index 99d9bb8710e4..d019743bfb4d 100644
--- a/pkgs/development/interpreters/kerf/default.nix
+++ b/pkgs/development/interpreters/kerf/default.nix
@@ -74,6 +74,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Columnar tick database and time-series language";
+ mainProgram = "kerf";
longDescription = ''
Kerf is a columnar tick database and small programming
language that is a superset of JSON and SQL. It can be
diff --git a/pkgs/development/interpreters/love/0.10.nix b/pkgs/development/interpreters/love/0.10.nix
index 53c7b5e9435e..7dc82ce3248a 100644
--- a/pkgs/development/interpreters/love/0.10.nix
+++ b/pkgs/development/interpreters/love/0.10.nix
@@ -32,6 +32,7 @@ stdenv.mkDerivation rec {
meta = {
homepage = "https://love2d.org";
description = "A Lua-based 2D game engine/scripting language";
+ mainProgram = "love";
license = lib.licenses.zlib;
platforms = lib.platforms.linux;
maintainers = [ lib.maintainers.raskin ];
diff --git a/pkgs/development/interpreters/love/11.nix b/pkgs/development/interpreters/love/11.nix
index 177c3f46086a..93f5d5792ecc 100644
--- a/pkgs/development/interpreters/love/11.nix
+++ b/pkgs/development/interpreters/love/11.nix
@@ -32,6 +32,7 @@ stdenv.mkDerivation rec {
meta = {
homepage = "https://love2d.org";
description = "A Lua-based 2D game engine/scripting language";
+ mainProgram = "love";
license = lib.licenses.zlib;
platforms = lib.platforms.linux;
maintainers = [ lib.maintainers.raskin ];
diff --git a/pkgs/development/interpreters/lua-5/build-luarocks-package.nix b/pkgs/development/interpreters/lua-5/build-luarocks-package.nix
index ea46fd107980..97ac535c9303 100644
--- a/pkgs/development/interpreters/lua-5/build-luarocks-package.nix
+++ b/pkgs/development/interpreters/lua-5/build-luarocks-package.nix
@@ -51,9 +51,10 @@
# Appended to the generated luarocks config
, extraConfig ? ""
-# Inserted into the generated luarocks config in the "variables" table
-, extraVariables ? {}
-# The two above arguments have access to builder variables -- e.g. to $out
+
+# transparent mapping nix <-> lua used as LUAROCKS_CONFIG
+# Refer to https://github.com/luarocks/luarocks/wiki/Config-file-format for specs
+, luarocksConfig ? {}
# relative to srcRoot, path to the rockspec to use when using rocks
, rockspecFilename ? null
@@ -92,7 +93,7 @@ let
luarocks
];
- inherit doCheck extraVariables rockspecFilename knownRockspec externalDeps nativeCheckInputs;
+ inherit doCheck extraConfig rockspecFilename knownRockspec externalDeps nativeCheckInputs;
buildInputs = let
# example externalDeps': [ { name = "CRYPTO"; dep = pkgs.openssl; } ]
@@ -116,9 +117,18 @@ let
text = self.luarocks_content;
};
- luarocks_content = let
- externalDepsGenerated = lib.filter (drv: !drv ? luaModule)
- (self.nativeBuildInputs ++ self.propagatedBuildInputs ++ self.buildInputs);
+ luarocks_content =
+ (lib.generators.toLua { asBindings = true; } self.luarocksConfig) +
+ ''
+
+ ${self.extraConfig}
+ '';
+
+ # TODO make it the default variable
+ luarocksConfig = let
+ externalDepsGenerated = lib.filter (drv: !drv ? luaModule)
+ (self.nativeBuildInputs ++ self.propagatedBuildInputs ++ self.buildInputs);
+
generatedConfig = luaLib.generateLuarocksConfig {
externalDeps = lib.unique (self.externalDeps ++ externalDepsGenerated);
# Filter out the lua derivation itself from the Lua module dependency
@@ -126,13 +136,17 @@ let
# luaLib.hasLuaModule
requiredLuaRocks = lib.filter luaLib.hasLuaModule
(lua.pkgs.requiredLuaModules (self.nativeBuildInputs ++ self.propagatedBuildInputs));
- inherit (self) extraVariables rocksSubdir;
+ inherit (self) rocksSubdir;
};
- in
- ''
- ${generatedConfig}
- ${extraConfig}
- '';
+
+ luarocksConfig' = lib.recursiveUpdate luarocksConfig
+ (lib.optionalAttrs (attrs ? extraVariables) (lib.warn "extraVariables in buildLuarocksPackage is deprecated, use luarocksConfig instead"
+ {
+ variables = attrs.extraVariables;
+ }))
+ ;
+ in lib.recursiveUpdate generatedConfig luarocksConfig';
+
configurePhase = ''
runHook preConfigure
diff --git a/pkgs/development/interpreters/lua-5/hooks/setup-hook.sh b/pkgs/development/interpreters/lua-5/hooks/setup-hook.sh
index 1c445b82afde..7b2d2a4d83d8 100644
--- a/pkgs/development/interpreters/lua-5/hooks/setup-hook.sh
+++ b/pkgs/development/interpreters/lua-5/hooks/setup-hook.sh
@@ -22,6 +22,11 @@ addToLuaSearchPathWithCustomDelimiter() {
# export only if we haven't already got this dir in the search path
if [[ ${!varName-} == *"$absPattern"* ]]; then return; fi
+ # if the path variable has not yet been set, initialize it to ";;"
+ # this is a magic value that will be replaced by the default,
+ # allowing relative modules to be used even when there are system modules.
+ if [[ ! -v "${varName}" ]]; then export "${varName}=;;"; fi
+
export "${varName}=${!varName:+${!varName};}${absPattern}"
}
diff --git a/pkgs/development/interpreters/luau/default.nix b/pkgs/development/interpreters/luau/default.nix
index a6ffd232fc0b..80a696179693 100644
--- a/pkgs/development/interpreters/luau/default.nix
+++ b/pkgs/development/interpreters/luau/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "luau";
- version = "0.612";
+ version = "0.617";
src = fetchFromGitHub {
owner = "luau-lang";
repo = "luau";
rev = version;
- hash = "sha256-m7HIQIF6hiSg7Ho+QxMGEpKeoF7I6OWnzJZKRPP4BcM=";
+ hash = "sha256-5lWEihumXSyBsEOOb/oIz7NTgbdcI9C58m9h/d0MPRk=";
};
nativeBuildInputs = [ cmake ];
diff --git a/pkgs/development/interpreters/lune/default.nix b/pkgs/development/interpreters/lune/default.nix
index 6622c572692a..956fe3da666c 100644
--- a/pkgs/development/interpreters/lune/default.nix
+++ b/pkgs/development/interpreters/lune/default.nix
@@ -55,6 +55,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "A standalone Luau script runtime";
+ mainProgram = "lune";
homepage = "https://github.com/lune-org/lune";
changelog = "https://github.com/lune-org/lune/blob/${src.rev}/CHANGELOG.md";
license = licenses.mpl20;
diff --git a/pkgs/development/interpreters/maude/default.nix b/pkgs/development/interpreters/maude/default.nix
index 7c08b795d6a5..f8d4e98c90b2 100644
--- a/pkgs/development/interpreters/maude/default.nix
+++ b/pkgs/development/interpreters/maude/default.nix
@@ -56,9 +56,9 @@ stdenv.mkDerivation {
enableParallelBuilding = true;
meta = {
- broken = stdenv.isDarwin;
homepage = "http://maude.cs.illinois.edu/";
description = "High-level specification language";
+ mainProgram = "maude";
license = lib.licenses.gpl2Plus;
longDescription = ''
diff --git a/pkgs/development/interpreters/metamath/default.nix b/pkgs/development/interpreters/metamath/default.nix
index c9a490ddc4e3..3d36b8501f10 100644
--- a/pkgs/development/interpreters/metamath/default.nix
+++ b/pkgs/development/interpreters/metamath/default.nix
@@ -15,6 +15,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Interpreter for the metamath proof language";
+ mainProgram = "metamath";
longDescription = ''
The metamath program is an ASCII-based ANSI C program with a command-line
interface. It was used (along with mmj2) to build and verify the proofs
diff --git a/pkgs/development/interpreters/micropython/default.nix b/pkgs/development/interpreters/micropython/default.nix
index e97afb643c11..6437e942ae34 100644
--- a/pkgs/development/interpreters/micropython/default.nix
+++ b/pkgs/development/interpreters/micropython/default.nix
@@ -9,13 +9,13 @@
stdenv.mkDerivation rec {
pname = "micropython";
- version = "1.21.0";
+ version = "1.22.2";
src = fetchFromGitHub {
owner = "micropython";
repo = "micropython";
rev = "v${version}";
- sha256 = "sha256-nUQSj2grq4fNyqOZyYZfYvLwoEXI4PZCYdVXvxLGmPk=";
+ sha256 = "sha256-sdok17HvKub/sI+8cAIIDaLD/3mu8yXXqrTOej8/UfU=";
fetchSubmodules = true;
};
@@ -32,7 +32,7 @@ stdenv.mkDerivation rec {
doCheck = true;
- skippedTests = ""
+ skippedTests = " -e select_poll_fd"
+ lib.optionalString (stdenv.isDarwin && stdenv.isAarch64) " -e ffi_callback"
+ lib.optionalString (stdenv.isLinux && stdenv.isAarch64) " -e float_parse"
;
@@ -49,6 +49,7 @@ stdenv.mkDerivation rec {
runHook preInstall
mkdir -p $out/bin
install -Dm755 ports/unix/build-standard/micropython -t $out/bin
+ install -Dm755 mpy-cross/build/mpy-cross -t $out/bin
runHook postInstall
'';
diff --git a/pkgs/development/interpreters/oak/default.nix b/pkgs/development/interpreters/oak/default.nix
index 734576d862b0..3e5d952a1d49 100644
--- a/pkgs/development/interpreters/oak/default.nix
+++ b/pkgs/development/interpreters/oak/default.nix
@@ -18,6 +18,7 @@ buildGoModule rec {
meta = with lib; {
description = "Expressive, simple, dynamic programming language";
+ mainProgram = "oak";
homepage = "https://oaklang.org/";
license = licenses.mit;
maintainers = with maintainers; [ tejasag ];
diff --git a/pkgs/development/interpreters/perl/intepreter.nix b/pkgs/development/interpreters/perl/intepreter.nix
index 8861a0ed0456..95ddc5a543cf 100644
--- a/pkgs/development/interpreters/perl/intepreter.nix
+++ b/pkgs/development/interpreters/perl/intepreter.nix
@@ -123,7 +123,7 @@ stdenv.mkDerivation (rec {
dontAddPrefix = !crossCompiling;
- enableParallelBuilding = false;
+ enableParallelBuilding = !crossCompiling;
# perl includes the build date, the uname of the build system and the
# username of the build user in some files.
diff --git a/pkgs/development/interpreters/php/8.2.nix b/pkgs/development/interpreters/php/8.2.nix
index 2d2a705c30e5..ce3dc313621f 100644
--- a/pkgs/development/interpreters/php/8.2.nix
+++ b/pkgs/development/interpreters/php/8.2.nix
@@ -2,8 +2,8 @@
let
base = callPackage ./generic.nix (_args // {
- version = "8.2.15";
- hash = "sha256-UMPiILeqY6hXFiM8kC60TMCkZn7QuDNXIq4jkbE1Xno=";
+ version = "8.2.17";
+ hash = "sha256-GRMWwgMmfZYWC0fSL5VdTcEXk96KXzJ+DCp2J1polOo=";
});
in
base.withExtensions ({ all, ... }: with all; ([
diff --git a/pkgs/development/interpreters/php/8.3.nix b/pkgs/development/interpreters/php/8.3.nix
index 877bde775262..4af1662b3694 100644
--- a/pkgs/development/interpreters/php/8.3.nix
+++ b/pkgs/development/interpreters/php/8.3.nix
@@ -2,8 +2,8 @@
let
base = callPackage ./generic.nix (_args // {
- version = "8.3.2";
- hash = "sha256-WCs8g3qNlS7//idKXklwbEOojBYoMMKow1gIn+dEkoQ=";
+ version = "8.3.4";
+ hash = "sha256-PFyvGODAokOq7JE6OeywkgQxla3eTD/ELpRdpbkndpU=";
});
in
base.withExtensions ({ all, ... }: with all; ([
diff --git a/pkgs/development/interpreters/php/generic.nix b/pkgs/development/interpreters/php/generic.nix
index 3bea507ad0a9..68ca8e4d3bba 100644
--- a/pkgs/development/interpreters/php/generic.nix
+++ b/pkgs/development/interpreters/php/generic.nix
@@ -272,12 +272,11 @@ let
# Don't record the configure flags since this causes unnecessary
# runtime dependencies
''
- for i in main/build-defs.h.in scripts/php-config.in; do
- substituteInPlace $i \
- --replace '@CONFIGURE_COMMAND@' '(omitted)' \
- --replace '@CONFIGURE_OPTIONS@' "" \
- --replace '@PHP_LDFLAGS@' ""
- done
+ substituteInPlace main/build-defs.h.in \
+ --replace-fail '@CONFIGURE_COMMAND@' '(omitted)'
+ substituteInPlace scripts/php-config.in \
+ --replace-fail '@CONFIGURE_OPTIONS@' "" \
+ --replace-fail '@PHP_LDFLAGS@' ""
export EXTENSION_DIR=$out/lib/php/extensions
@@ -287,7 +286,7 @@ let
./scripts/dev/genfiles
fi
'' + lib.optionalString stdenv.isDarwin ''
- substituteInPlace configure --replace "-lstdc++" "-lc++"
+ substituteInPlace configure --replace-fail "-lstdc++" "-lc++"
'';
# When compiling PHP sources from Github, this file is missing and we
diff --git a/pkgs/development/interpreters/picoc/default.nix b/pkgs/development/interpreters/picoc/default.nix
index e6e87f2b8a7b..51bf82aafbbe 100644
--- a/pkgs/development/interpreters/picoc/default.nix
+++ b/pkgs/development/interpreters/picoc/default.nix
@@ -36,6 +36,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
broken = (stdenv.isLinux && stdenv.isAarch64);
description = "Very small C interpreter for scripting";
+ mainProgram = "picoc";
longDescription = ''
PicoC is a very small C interpreter for scripting. It was originally
written as a script language for a UAV's on-board flight system. It's
diff --git a/pkgs/development/interpreters/python/catch_conflicts/catch_conflicts.py b/pkgs/development/interpreters/python/catch_conflicts/catch_conflicts.py
index d5c99e64751c..ad679d9f9f99 100644
--- a/pkgs/development/interpreters/python/catch_conflicts/catch_conflicts.py
+++ b/pkgs/development/interpreters/python/catch_conflicts/catch_conflicts.py
@@ -2,28 +2,78 @@ from importlib.metadata import PathDistribution
from pathlib import Path
import collections
import sys
+import os
+from typing import Dict, List, Tuple
+do_abort: bool = False
+packages: Dict[str, Dict[str, List[Dict[str, List[str]]]]] = collections.defaultdict(list)
+out_path: Path = Path(os.getenv("out"))
+version: Tuple[int, int] = sys.version_info
+site_packages_path: str = f'lib/python{version[0]}.{version[1]}/site-packages'
-do_abort = False
-packages = collections.defaultdict(list)
+def get_name(dist: PathDistribution) -> str:
+ return dist.metadata['name'].lower().replace('-', '_')
-for path in sys.path:
- for dist_info in Path(path).glob("*.dist-info"):
- dist = PathDistribution(dist_info)
-
- packages[dist._normalized_name].append(
- f"{dist._normalized_name} {dist.version} ({dist._path})"
- )
+# pretty print a package
+def describe_package(dist: PathDistribution) -> str:
+ return f"{get_name(dist)} {dist.version} ({dist._path})"
-for name, duplicates in packages.items():
- if len(duplicates) > 1:
+# pretty print a list of parents (dependency chain)
+def describe_parents(parents: List[str]) -> str:
+ if not parents:
+ return ""
+ return \
+ f" dependency chain:\n " \
+ + str(f"\n ...depending on: ".join(parents))
+
+
+# inserts an entry into 'packages'
+def add_entry(name: str, version: str, store_path: str, parents: List[str]) -> None:
+ if name not in packages:
+ packages[name] = {}
+ if store_path not in packages[name]:
+ packages[name][store_path] = []
+ packages[name][store_path].append(dict(
+ version=version,
+ parents=parents,
+ ))
+
+
+# transitively discover python dependencies and store them in 'packages'
+def find_packages(store_path: Path, site_packages_path: str, parents: List[str]) -> None:
+ site_packages: Path = (store_path / site_packages_path)
+ propagated_build_inputs: Path = (store_path / "nix-support/propagated-build-inputs")
+
+ # add the current package to the list
+ if site_packages.exists():
+ for dist_info in site_packages.glob("*.dist-info"):
+ dist: PathDistribution = PathDistribution(dist_info)
+ add_entry(get_name(dist), dist.version, store_path, parents)
+
+ # recursively add dependencies
+ if propagated_build_inputs.exists():
+ with open(propagated_build_inputs, "r") as f:
+ build_inputs: List[str] = f.read().strip().split(" ")
+ for build_input in build_inputs:
+ if build_input not in parents:
+ find_packages(Path(build_input), site_packages_path, parents + [build_input])
+
+
+find_packages(out_path, site_packages_path, [f"this derivation: {out_path}"])
+
+# print all duplicates
+for name, store_paths in packages.items():
+ if len(store_paths) > 1:
do_abort = True
print("Found duplicated packages in closure for dependency '{}': ".format(name))
- for duplicate in duplicates:
- print(f"\t{duplicate}")
+ for store_path, candidates in store_paths.items():
+ for candidate in candidates:
+ print(f" {name} {candidate['version']} ({store_path})")
+ print(describe_parents(candidate['parents']))
+# fail if duplicates were found
if do_abort:
print("")
print(
diff --git a/pkgs/development/interpreters/python/cpython/3.13/virtualenv-permissions.patch b/pkgs/development/interpreters/python/cpython/3.13/virtualenv-permissions.patch
new file mode 100644
index 000000000000..8099eefc88d0
--- /dev/null
+++ b/pkgs/development/interpreters/python/cpython/3.13/virtualenv-permissions.patch
@@ -0,0 +1,12 @@
+diff --git a/Lib/venv/__init__.py b/Lib/venv/__init__.py
+index 4856594755..6769ab8026 100644
+--- a/Lib/venv/__init__.py
++++ b/Lib/venv/__init__.py
+@@ -522,6 +522,7 @@ def skip_file(f):
+ with open(dstfile, 'wb') as f:
+ f.write(new_data)
+ shutil.copymode(srcfile, dstfile)
++ os.chmod(dstfile, 0o644)
+
+ def upgrade_dependencies(self, context):
+ logger.debug(
diff --git a/pkgs/development/interpreters/python/cpython/default.nix b/pkgs/development/interpreters/python/cpython/default.nix
index 1eea842871fc..301af7a29c9e 100644
--- a/pkgs/development/interpreters/python/cpython/default.nix
+++ b/pkgs/development/interpreters/python/cpython/default.nix
@@ -60,6 +60,7 @@
, static ? stdenv.hostPlatform.isStatic
, enableFramework ? false
, noldconfigPatch ? ./. + "/${sourceVersion.major}.${sourceVersion.minor}/no-ldconfig.patch"
+, enableGIL ? true
# pgo (not reproducible) + -fno-semantic-interposition
# https://docs.python.org/3/using/configure.html#cmdoption-enable-optimizations
@@ -95,9 +96,6 @@ assert x11Support -> tcl != null
assert bluezSupport -> bluez != null;
-assert lib.assertMsg (bluezSupport -> stdenv.isLinux)
- "Bluez support is only available on Linux.";
-
assert lib.assertMsg (enableFramework -> stdenv.isDarwin)
"Framework builds are only supported on Darwin.";
@@ -114,6 +112,7 @@ let
inherit (lib)
concatMapStringsSep
concatStringsSep
+ enableFeature
getDev
getLib
optionals
@@ -307,7 +306,10 @@ in with passthru; stdenv.mkDerivation (finalAttrs: {
# Make sure that the virtualenv activation scripts are
# owner-writable, so venvs can be recreated without permission
# errors.
+ ] ++ optionals (pythonOlder "3.13") [
./virtualenv-permissions.patch
+ ] ++ optionals (pythonAtLeast "3.13") [
+ ./3.13/virtualenv-permissions.patch
] ++ optionals mimetypesSupport [
# Make the mimetypes module refer to the right file
./mimetypes.patch
@@ -402,6 +404,8 @@ in with passthru; stdenv.mkDerivation (finalAttrs: {
"--enable-shared"
] ++ optionals enableFramework [
"--enable-framework=${placeholder "out"}/Library/Frameworks"
+ ] ++ optionals (pythonAtLeast "3.13") [
+ (enableFeature enableGIL "gil")
] ++ optionals enableOptimizations [
"--enable-optimizations"
] ++ optionals (sqlite != null) [
@@ -607,6 +611,14 @@ in with passthru; stdenv.mkDerivation (finalAttrs: {
inherit src;
name = "python${pythonVersion}-${version}-doc";
+ patches = optionals (pythonAtLeast "3.9" && pythonOlder "3.10") [
+ # https://github.com/python/cpython/issues/98366
+ (fetchpatch {
+ url = "https://github.com/python/cpython/commit/5612471501b05518287ed61c1abcb9ed38c03942.patch";
+ hash = "sha256-p41hJwAiyRgyVjCVQokMSpSFg/VDDrqkCSxsodVb6vY=";
+ })
+ ];
+
dontConfigure = true;
dontBuild = true;
diff --git a/pkgs/development/interpreters/python/default.nix b/pkgs/development/interpreters/python/default.nix
index 1322673c1bc6..5d4ae2117146 100644
--- a/pkgs/development/interpreters/python/default.nix
+++ b/pkgs/development/interpreters/python/default.nix
@@ -20,10 +20,10 @@
sourceVersion = {
major = "3";
minor = "11";
- patch = "7";
+ patch = "8";
suffix = "";
};
- hash = "sha256-GOGqfmb/OlhCPVntIoFaaVTlM0ISLEXfIMlod8Biubc=";
+ hash = "sha256-ngYAjIkBkkOVvB2jA+rFZ6cprgErqhgqs5Jp9lA4O7M=";
};
};
@@ -42,19 +42,6 @@ in {
inherit passthruFun;
};
- python38 = callPackage ./cpython {
- self = __splicedPackages.python38;
- sourceVersion = {
- major = "3";
- minor = "8";
- patch = "18";
- suffix = "";
- };
- hash = "sha256-P/txzTSaMmunsvrcfn34a6V33ZxJF+UqhAGtvadAXj8=";
- inherit (darwin) configd;
- inherit passthruFun;
- };
-
python39 = callPackage ./cpython {
self = __splicedPackages.python39;
sourceVersion = {
@@ -92,10 +79,10 @@ in {
sourceVersion = {
major = "3";
minor = "12";
- patch = "1";
+ patch = "2";
suffix = "";
};
- hash = "sha256-jfuPQm/NImZX+eK9Xx6W5TJkllF2+hfTJljoc1ka6yE=";
+ hash = "sha256-vigRLayBPSBTVFwUvxOhZAGiGHfxpp626l2ExKDz2HA=";
inherit (darwin) configd;
inherit passthruFun;
};
@@ -106,9 +93,9 @@ in {
major = "3";
minor = "13";
patch = "0";
- suffix = "a3";
+ suffix = "a5";
};
- hash = "sha256-IHhMgwTrHGnID5ZuvfB3W+LjfiPfO2JGHuwSqF3Pfq0=";
+ hash = "sha256-Hom1NVGD58iYpaAbL2AXKP5J/bidnJeB8TNghHqu+mI=";
inherit (darwin) configd;
inherit passthruFun;
};
diff --git a/pkgs/development/interpreters/python/hooks/default.nix b/pkgs/development/interpreters/python/hooks/default.nix
index 0557c62eeff4..04b1f4a512c9 100644
--- a/pkgs/development/interpreters/python/hooks/default.nix
+++ b/pkgs/development/interpreters/python/hooks/default.nix
@@ -8,7 +8,9 @@ let
pythonCheckInterpreter = python.interpreter;
setuppy = ../run_setup.py;
in {
- makePythonHook = args: pkgs.makeSetupHook ({passthru.provides.setupHook = true; } // args);
+ makePythonHook = let
+ defaultArgs = { passthru.provides.setupHook = true; };
+ in args: pkgs.makeSetupHook (lib.recursiveUpdate defaultArgs args);
condaInstallHook = callPackage ({ makePythonHook, gnutar, lbzip2 }:
makePythonHook {
@@ -68,8 +70,8 @@ in {
# Such conflicts don't happen within the standard nixpkgs python package
# set, but in downstream projects that build packages depending on other
# versions of this hook's dependencies.
- passthru.tests = import ./pypa-build-hook-test.nix {
- inherit pythonOnBuildForHost runCommand;
+ passthru.tests = callPackage ./pypa-build-hook-test.nix {
+ inherit pythonOnBuildForHost;
};
} ./pypa-build-hook.sh) {
inherit (pythonOnBuildForHost.pkgs) build;
@@ -108,7 +110,7 @@ in {
makePythonHook {
name = "python-catch-conflicts-hook";
substitutions = let
- useLegacyHook = lib.versionOlder python.pythonVersion "3.10";
+ useLegacyHook = lib.versionOlder python.pythonVersion "3";
in {
inherit pythonInterpreter pythonSitePackages;
catchConflicts = if useLegacyHook then
@@ -118,6 +120,10 @@ in {
} // lib.optionalAttrs useLegacyHook {
inherit setuptools;
};
+ passthru.tests = import ./python-catch-conflicts-hook-tests.nix {
+ inherit pythonOnBuildForHost runCommand;
+ inherit (pkgs) coreutils gnugrep writeShellScript;
+ };
} ./python-catch-conflicts-hook.sh) {};
pythonImportsCheckHook = callPackage ({ makePythonHook }:
diff --git a/pkgs/development/interpreters/python/hooks/python-catch-conflicts-hook-tests.nix b/pkgs/development/interpreters/python/hooks/python-catch-conflicts-hook-tests.nix
new file mode 100644
index 000000000000..cba1034e0963
--- /dev/null
+++ b/pkgs/development/interpreters/python/hooks/python-catch-conflicts-hook-tests.nix
@@ -0,0 +1,146 @@
+{ pythonOnBuildForHost, runCommand, writeShellScript, coreutils, gnugrep }: let
+
+ pythonPkgs = pythonOnBuildForHost.pkgs;
+
+ ### UTILITIES
+
+ # customize a package so that its store paths differs
+ customize = pkg: pkg.overrideAttrs { some_modification = true; };
+
+ # generates minimal pyproject.toml
+ pyprojectToml = pname: builtins.toFile "pyproject.toml" ''
+ [project]
+ name = "${pname}"
+ version = "1.0.0"
+ '';
+
+ # generates source for a python project
+ projectSource = pname: runCommand "my-project-source" {} ''
+ mkdir -p $out/src
+ cp ${pyprojectToml pname} $out/pyproject.toml
+ touch $out/src/__init__.py
+ '';
+
+ # helper to reduce boilerplate
+ generatePythonPackage = args: pythonPkgs.buildPythonPackage (
+ {
+ version = "1.0.0";
+ src = runCommand "my-project-source" {} ''
+ mkdir -p $out/src
+ cp ${pyprojectToml args.pname} $out/pyproject.toml
+ touch $out/src/__init__.py
+ '';
+ pyproject = true;
+ catchConflicts = true;
+ buildInputs = [ pythonPkgs.setuptools ];
+ }
+ // args
+ );
+
+ # in order to test for a failing build, wrap it in a shell script
+ expectFailure = build: errorMsg: build.overrideDerivation (old: {
+ builder = writeShellScript "test-for-failure" ''
+ export PATH=${coreutils}/bin:${gnugrep}/bin:$PATH
+ ${old.builder} "$@" > ./log 2>&1
+ status=$?
+ cat ./log
+ if [ $status -eq 0 ] || ! grep -q "${errorMsg}" ./log; then
+ echo "The build should have failed with '${errorMsg}', but it didn't"
+ exit 1
+ else
+ echo "The build failed as expected with: ${errorMsg}"
+ mkdir -p $out
+ fi
+ '';
+ });
+in {
+
+ ### TEST CASES
+
+ # Test case which must not trigger any conflicts.
+ # This derivation has runtime dependencies on custom versions of multiple build tools.
+ # This scenario is relevant for lang2nix tools which do not override the nixpkgs fix-point.
+ # see https://github.com/NixOS/nixpkgs/issues/283695
+ ignores-build-time-deps =
+ generatePythonPackage {
+ pname = "ignores-build-time-deps";
+ buildInputs = [
+ pythonPkgs.build
+ pythonPkgs.packaging
+ pythonPkgs.setuptools
+ pythonPkgs.wheel
+ ];
+ propagatedBuildInputs = [
+ # Add customized versions of build tools as runtime deps
+ (customize pythonPkgs.packaging)
+ (customize pythonPkgs.setuptools)
+ (customize pythonPkgs.wheel)
+ ];
+ };
+
+ # multi-output derivation with dependency on itself must not crash
+ cyclic-dependencies =
+ generatePythonPackage {
+ pname = "cyclic-dependencies";
+ preFixup = ''
+ propagatedBuildInputs+=("$out")
+ '';
+ };
+
+ # Simplest test case that should trigger a conflict
+ catches-simple-conflict = let
+ # this build must fail due to conflicts
+ package = pythonPkgs.buildPythonPackage rec {
+ pname = "catches-simple-conflict";
+ version = "0.0.0";
+ src = projectSource pname;
+ pyproject = true;
+ catchConflicts = true;
+ buildInputs = [
+ pythonPkgs.setuptools
+ ];
+ # depend on two different versions of packaging
+ # (an actual runtime dependency conflict)
+ propagatedBuildInputs = [
+ pythonPkgs.packaging
+ (customize pythonPkgs.packaging)
+ ];
+ };
+ in
+ expectFailure package "Found duplicated packages in closure for dependency 'packaging'";
+
+
+ /*
+ More complex test case with a transitive conflict
+
+ Test sets up this dependency tree:
+
+ toplevel
+ ├── dep1
+ │ └── leaf
+ └── dep2
+ └── leaf (customized version -> conflicting)
+ */
+ catches-transitive-conflict = let
+ # package depending on both dependency1 and dependency2
+ toplevel = generatePythonPackage {
+ pname = "catches-transitive-conflict";
+ propagatedBuildInputs = [ dep1 dep2 ];
+ };
+ # dep1 package depending on leaf
+ dep1 = generatePythonPackage {
+ pname = "dependency1";
+ propagatedBuildInputs = [ leaf ];
+ };
+ # dep2 package depending on conflicting version of leaf
+ dep2 = generatePythonPackage {
+ pname = "dependency2";
+ propagatedBuildInputs = [ (customize leaf) ];
+ };
+ # some leaf package
+ leaf = generatePythonPackage {
+ pname = "leaf";
+ };
+ in
+ expectFailure toplevel "Found duplicated packages in closure for dependency 'leaf'";
+}
diff --git a/pkgs/development/interpreters/python/mk-python-derivation.nix b/pkgs/development/interpreters/python/mk-python-derivation.nix
index 6944f70a4918..074ccbf1bd23 100644
--- a/pkgs/development/interpreters/python/mk-python-derivation.nix
+++ b/pkgs/development/interpreters/python/mk-python-derivation.nix
@@ -45,6 +45,14 @@
# C can import package A propagated by B
, propagatedBuildInputs ? []
+# Python module dependencies.
+# These are named after PEP-621.
+, dependencies ? []
+, optional-dependencies ? {}
+
+# Python PEP-517 build systems.
+, build-system ? []
+
# DEPRECATED: use propagatedBuildInputs
, pythonPath ? []
@@ -97,8 +105,6 @@
, meta ? {}
-, passthru ? {}
-
, doCheck ? config.doCheckByDefault or false
, disabledTestPaths ? []
@@ -193,10 +199,28 @@ let
"setuptools" "wheel"
];
+ passthru =
+ attrs.passthru or { }
+ // {
+ updateScript = let
+ filename = builtins.head (lib.splitString ":" self.meta.position);
+ in attrs.passthru.updateScript or [ update-python-libraries filename ];
+ }
+ // lib.optionalAttrs (dependencies != []) {
+ inherit dependencies;
+ }
+ // lib.optionalAttrs (optional-dependencies != {}) {
+ inherit optional-dependencies;
+ }
+ // lib.optionalAttrs (build-system != []) {
+ inherit build-system;
+ };
+
# Keep extra attributes from `attrs`, e.g., `patchPhase', etc.
self = toPythonModule (stdenv.mkDerivation ((builtins.removeAttrs attrs [
"disabled" "checkPhase" "checkInputs" "nativeCheckInputs" "doCheck" "doInstallCheck" "dontWrapPythonPrograms" "catchConflicts" "pyproject" "format"
"disabledTestPaths" "outputs" "stdenv"
+ "dependencies" "optional-dependencies" "build-system"
]) // {
name = namePrefix + name_;
@@ -256,11 +280,11 @@ let
pythonNamespacesHook
] ++ lib.optionals withDistOutput [
pythonOutputDistHook
- ] ++ nativeBuildInputs;
+ ] ++ nativeBuildInputs ++ build-system;
buildInputs = validatePythonMatches "buildInputs" (buildInputs ++ pythonPath);
- propagatedBuildInputs = validatePythonMatches "propagatedBuildInputs" (propagatedBuildInputs ++ [
+ propagatedBuildInputs = validatePythonMatches "propagatedBuildInputs" (propagatedBuildInputs ++ dependencies ++ [
# we propagate python even for packages transformed with 'toPythonApplication'
# this pollutes the PATH but avoids rebuilds
# see https://github.com/NixOS/nixpkgs/issues/170887 for more context
@@ -292,6 +316,8 @@ let
outputs = outputs ++ lib.optional withDistOutput "dist";
+ inherit passthru;
+
meta = {
# default to python's platforms
platforms = python.meta.platforms;
@@ -305,13 +331,7 @@ let
disabledTestPaths = lib.escapeShellArgs disabledTestPaths;
}));
- passthru.updateScript = let
- filename = builtins.head (lib.splitString ":" self.meta.position);
- in attrs.passthru.updateScript or [ update-python-libraries filename ];
-in
- if disabled then
- throw "${name} not supported for interpreter ${python.executable}"
-else
- self.overrideAttrs (attrs: {
- passthru = lib.recursiveUpdate passthru attrs.passthru;
- })
+in lib.extendDerivation
+ (disabled -> throw "${name} not supported for interpreter ${python.executable}")
+ passthru
+ self
diff --git a/pkgs/development/interpreters/python/pypy/default.nix b/pkgs/development/interpreters/python/pypy/default.nix
index c64c65df350e..9b414944bba5 100644
--- a/pkgs/development/interpreters/python/pypy/default.nix
+++ b/pkgs/development/interpreters/python/pypy/default.nix
@@ -201,6 +201,7 @@ in with passthru; stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://www.pypy.org/";
description = "Fast, compliant alternative implementation of the Python language (${pythonVersion})";
+ mainProgram = "pypy";
license = licenses.mit;
platforms = [ "aarch64-linux" "x86_64-linux" "aarch64-darwin" "x86_64-darwin" ];
broken = optimizationLevel == "0"; # generates invalid code
diff --git a/pkgs/development/interpreters/qnial/default.nix b/pkgs/development/interpreters/qnial/default.nix
index ae68c1ad6af3..e90c268719d5 100644
--- a/pkgs/development/interpreters/qnial/default.nix
+++ b/pkgs/development/interpreters/qnial/default.nix
@@ -31,6 +31,7 @@ stdenv.mkDerivation {
meta = {
description = "An array language from Nial Systems";
+ mainProgram = "nial";
homepage = "https://github.com/vrthra/qnial";
license = lib.licenses.artistic1;
maintainers = [ lib.maintainers.vrthra ];
diff --git a/pkgs/development/interpreters/quickjs/default.nix b/pkgs/development/interpreters/quickjs/default.nix
index 2f548207ea04..040516d6ba79 100644
--- a/pkgs/development/interpreters/quickjs/default.nix
+++ b/pkgs/development/interpreters/quickjs/default.nix
@@ -1,25 +1,23 @@
{ lib
, stdenv
-, fetchFromGitHub
+, fetchurl
, texinfo
}:
stdenv.mkDerivation rec {
pname = "quickjs";
- version = "2021-12-09";
+ version = "2024-01-13";
- src = fetchFromGitHub {
- owner = "bellard";
- repo = pname;
- rev = "daa35bc1e5d43192098af9b51caeb4f18f73f9f9";
- hash = "sha256-BhAsa8tumCQ4jK/TbRbptj2iOIUFFjU1MQYdIrDMpko=";
+ src = fetchurl {
+ url = "https://bellard.org/quickjs/quickjs-${version}.tar.xz";
+ hash = "sha256-PEv4+JW/pUvrSGyNEhgRJ3Hs/FrDvhA2hR70FWghLgM=";
};
postPatch = lib.optionalString stdenv.isDarwin ''
substituteInPlace Makefile --replace "CONFIG_LTO=y" ""
'';
- makeFlags = [ "prefix=${placeholder "out"}" ];
+ makeFlags = [ "PREFIX=${placeholder "out"}" ];
enableParallelBuilding = true;
nativeBuildInputs = [
diff --git a/pkgs/development/interpreters/rakudo/default.nix b/pkgs/development/interpreters/rakudo/default.nix
index db3153dc370f..bb0b8bcdcae8 100644
--- a/pkgs/development/interpreters/rakudo/default.nix
+++ b/pkgs/development/interpreters/rakudo/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "rakudo";
- version = "2023.08";
+ version = "2024.01";
src = fetchFromGitHub {
owner = "rakudo";
repo = "rakudo";
rev = version;
- hash = "sha256-wvHMyXMkI2RarmUeC8lKGgy3TNmVQsZo/3D/eS4FUrI=";
+ hash = "sha256-E4YwLds0eoh8PxcACntynQKeg8lRIsEy+JOiv8nF2t0=";
fetchSubmodules = true;
};
diff --git a/pkgs/development/interpreters/rakudo/nqp.nix b/pkgs/development/interpreters/rakudo/nqp.nix
index c774a65d01c4..75d4964d15b9 100644
--- a/pkgs/development/interpreters/rakudo/nqp.nix
+++ b/pkgs/development/interpreters/rakudo/nqp.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "nqp";
- version = "2023.08";
+ version = "2024.01";
src = fetchFromGitHub {
owner = "raku";
repo = "nqp";
rev = version;
- hash = "sha256-kVNj6zDT0z6eFxtTovpT1grbl0pygsPKkFoVcFW7baI=";
+ hash = "sha256-vcGj+PKCpCRLyjS158+U42BppJ0Yl53srZCde+fng0c=";
fetchSubmodules = true;
};
diff --git a/pkgs/development/interpreters/rakudo/zef.nix b/pkgs/development/interpreters/rakudo/zef.nix
index 60c14d9d4641..158fd6df4eb5 100644
--- a/pkgs/development/interpreters/rakudo/zef.nix
+++ b/pkgs/development/interpreters/rakudo/zef.nix
@@ -7,13 +7,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "zef";
- version = "0.21.2";
+ version = "0.21.4";
src = fetchFromGitHub {
owner = "ugexe";
repo = "zef";
rev = "v${finalAttrs.version}";
- hash = "sha256-7mqKcioMal4OR/xlzQ/EgGICau7Ijc13j4pSfu4/74E=";
+ hash = "sha256-k6jihTDbaSXv+XvfqxGIyCdD005tG8l3mSIkNG6FwPQ=";
};
nativeBuildInputs = [
diff --git a/pkgs/development/interpreters/rascal/default.nix b/pkgs/development/interpreters/rascal/default.nix
index aab9fffcd605..50bfb7e54d63 100644
--- a/pkgs/development/interpreters/rascal/default.nix
+++ b/pkgs/development/interpreters/rascal/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "rascal";
- version = "0.28.2";
+ version = "0.33.8";
src = fetchurl {
url = "https://update.rascal-mpl.org/console/${pname}-${version}.jar";
- sha256 = "sha256-KMoGTegjXuGSzNnwH6SkcM5GC/F3oluvFrlJ51Pms3M=";
+ sha256 = "sha256-8m7+ME0mu9LEMzklkz1CZ9s7ZCMjoA5oreICFSpb4S8=";
};
nativeBuildInputs = [ makeWrapper ];
@@ -24,6 +24,7 @@ stdenv.mkDerivation rec {
meta = {
homepage = "https://www.rascal-mpl.org/";
description = "Command-line REPL for the Rascal metaprogramming language";
+ mainProgram = "rascal";
sourceProvenance = with lib.sourceTypes; [ binaryBytecode ];
license = lib.licenses.epl10;
maintainers = [ lib.maintainers.eelco ];
diff --git a/pkgs/development/interpreters/red/default.nix b/pkgs/development/interpreters/red/default.nix
index 6689f7eb5c3c..676ecb721cc4 100644
--- a/pkgs/development/interpreters/red/default.nix
+++ b/pkgs/development/interpreters/red/default.nix
@@ -84,6 +84,7 @@ stdenv.mkDerivation rec {
programming to high-level scripting, while providing modern support for
concurrency and multi-core CPUs
'';
+ mainProgram = "red";
maintainers = with maintainers; [ uralbash ];
platforms = [ "i686-linux" "x86_64-linux" ];
license = licenses.bsd3;
diff --git a/pkgs/development/interpreters/renpy/default.nix b/pkgs/development/interpreters/renpy/default.nix
index d094018bad46..45a3630f7c57 100644
--- a/pkgs/development/interpreters/renpy/default.nix
+++ b/pkgs/development/interpreters/renpy/default.nix
@@ -81,6 +81,7 @@ in stdenv.mkDerivation rec {
meta = with lib; {
description = "Visual Novel Engine";
+ mainProgram = "renpy";
homepage = "https://renpy.org/";
changelog = "https://renpy.org/doc/html/changelog.html";
license = licenses.mit;
diff --git a/pkgs/development/interpreters/risor/default.nix b/pkgs/development/interpreters/risor/default.nix
index 22bbe5b9c73b..86c55107a44b 100644
--- a/pkgs/development/interpreters/risor/default.nix
+++ b/pkgs/development/interpreters/risor/default.nix
@@ -38,6 +38,7 @@ buildGoModule rec {
meta = with lib; {
description = "Fast and flexible scripting for Go developers and DevOps";
+ mainProgram = "risor";
homepage = "https://github.com/risor-io/risor";
changelog = "https://github.com/risor-io/risor/releases/tag/${src.rev}";
license = licenses.asl20;
diff --git a/pkgs/development/interpreters/rlci/default.nix b/pkgs/development/interpreters/rlci/default.nix
index ce8e0a9ee8f2..6c766c9d0037 100644
--- a/pkgs/development/interpreters/rlci/default.nix
+++ b/pkgs/development/interpreters/rlci/default.nix
@@ -18,6 +18,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "A lambda calculus interpreter";
+ mainProgram = "rlci";
homepage = "https://github.com/orsinium-labs/rlci";
changelog = "https://github.com/orsinium-labs/rlci/releases/tag/${src.rev}";
license = licenses.mit;
diff --git a/pkgs/development/interpreters/ruby/default.nix b/pkgs/development/interpreters/ruby/default.nix
index 781b3273e3a9..9ec7a448b59f 100644
--- a/pkgs/development/interpreters/ruby/default.nix
+++ b/pkgs/development/interpreters/ruby/default.nix
@@ -24,7 +24,7 @@ let
atLeast32 = lib.versionAtLeast ver.majMin "3.2";
# https://github.com/ruby/ruby/blob/v3_2_2/yjit.h#L21
yjitSupported = atLeast32 && (stdenv.hostPlatform.isx86_64 || (!stdenv.hostPlatform.isWindows && stdenv.hostPlatform.isAarch64));
- self = lib.makeOverridable (
+ rubyDrv = lib.makeOverridable (
{ stdenv, buildPackages, lib
, fetchurl, fetchpatch, fetchFromSavannah, fetchFromGitHub
, rubygemsSupport ? true
@@ -58,7 +58,7 @@ let
}
, useBaseRuby ? stdenv.hostPlatform != stdenv.buildPlatform
}:
- stdenv.mkDerivation rec {
+ stdenv.mkDerivation ( finalAttrs: {
pname = "ruby";
inherit version;
@@ -123,8 +123,8 @@ let
cargoRoot = opString yjitSupport "yjit";
cargoDeps = if yjitSupport then rustPlatform.fetchCargoTarball {
- inherit src;
- sourceRoot = "${pname}-${version}/${cargoRoot}";
+ inherit (finalAttrs) src;
+ sourceRoot = "${finalAttrs.pname}-${version}/${finalAttrs.cargoRoot}";
hash = cargoHash;
} else null;
@@ -175,8 +175,8 @@ let
preInstall = ''
# Ruby installs gems here itself now.
- mkdir -pv "$out/${passthru.gemPath}"
- export GEM_HOME="$out/${passthru.gemPath}"
+ mkdir -pv "$out/${finalAttrs.passthru.gemPath}"
+ export GEM_HOME="$out/${finalAttrs.passthru.gemPath}"
'';
installFlags = lib.optional docSupport "install-doc";
@@ -202,19 +202,21 @@ let
# Allow to override compiler. This is important for cross compiling as
# we need to set a compiler that is different from the build one.
- sed -i 's/CONFIG\["CC"\] = "\(.*\)"/CONFIG["CC"] = if ENV["CC"].nil? || ENV["CC"].empty? then "\1" else ENV["CC"] end/' "$rbConfig"
+ sed -i "$rbConfig" \
+ -e 's/CONFIG\["CC"\] = "\(.*\)"/CONFIG["CC"] = if ENV["CC"].nil? || ENV["CC"].empty? then "\1" else ENV["CC"] end/' \
+ -e 's/CONFIG\["CXX"\] = "\(.*\)"/CONFIG["CXX"] = if ENV["CXX"].nil? || ENV["CXX"].empty? then "\1" else ENV["CXX"] end/'
# Remove unnecessary external intermediate files created by gems
- extMakefiles=$(find $out/${passthru.gemPath} -name Makefile)
+ extMakefiles=$(find $out/${finalAttrs.passthru.gemPath} -name Makefile)
for makefile in $extMakefiles; do
make -C "$(dirname "$makefile")" distclean
done
- find "$out/${passthru.gemPath}" \( -name gem_make.out -o -name mkmf.log \) -delete
+ find "$out/${finalAttrs.passthru.gemPath}" \( -name gem_make.out -o -name mkmf.log \) -delete
# Bundler tries to create this directory
mkdir -p $out/nix-support
cat > $out/nix-support/setup-hook < wrapGAppsHook != null;
stdenv.mkDerivation rec {
pname = "aravis";
- version = "0.8.30";
+ version = "0.8.31";
src = fetchFromGitHub {
owner = "AravisProject";
repo = pname;
rev = version;
- sha256 = "sha256-1OxvLpzEKxIXiLJIUr+hCx+sxnH9Z5dBM5Lug1acCok=";
+ sha256 = "sha256-CsXnwrZqBCS7JVAB/7JlAAvks5HnYxgrdc4Bmg68QdE=";
};
outputs = [ "bin" "dev" "out" "lib" ];
diff --git a/pkgs/development/libraries/arguments/default.nix b/pkgs/development/libraries/arguments/default.nix
index bacb7662386e..524e00dc5a76 100644
--- a/pkgs/development/libraries/arguments/default.nix
+++ b/pkgs/development/libraries/arguments/default.nix
@@ -26,6 +26,6 @@ stdenv.mkDerivation rec {
description = "Library for argument handling for MINC programs";
maintainers = with maintainers; [ bcdarwin ];
platforms = platforms.unix;
- license = licenses.free;
+ license = licenses.gpl2Plus;
};
}
diff --git a/pkgs/development/libraries/armadillo/default.nix b/pkgs/development/libraries/armadillo/default.nix
index 412052c2e3df..e0c9f5b035e3 100644
--- a/pkgs/development/libraries/armadillo/default.nix
+++ b/pkgs/development/libraries/armadillo/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "armadillo";
- version = "12.8.0";
+ version = "12.8.1";
src = fetchurl {
url = "mirror://sourceforge/arma/armadillo-${version}.tar.xz";
- hash = "sha256-qJu2/s5c6f3R0BpLwUXPfMC5OcV3fMpG3mnC9eNBLPA=";
+ hash = "sha256-J4HdOmzF+aSckaRRnd4rHCQzWlv+DMHJiBtjYxQkUrQ=";
};
nativeBuildInputs = [ cmake ];
diff --git a/pkgs/development/libraries/aspell/dictionaries.nix b/pkgs/development/libraries/aspell/dictionaries.nix
index ea8a2133c82b..ba5b3d6ddd51 100644
--- a/pkgs/development/libraries/aspell/dictionaries.nix
+++ b/pkgs/development/libraries/aspell/dictionaries.nix
@@ -103,8 +103,9 @@ let
homepage = "http://ftp.gnu.org/gnu/aspell/dict/0index.html";
} // (args.meta or {});
- } // lib.optionalAttrs (stdenv.isDarwin && lib.elem language [ "is" "nb" ]) {
- # tar: Cannot open: Illegal byte sequence
+ } // lib.optionalAttrs (lib.elem language [ "is" "nb" ]) {
+ # These have Windows-1251 encoded non-ASCII characters,
+ # so need some special handling.
unpackPhase = ''
runHook preUnpack
diff --git a/pkgs/development/libraries/assimp/default.nix b/pkgs/development/libraries/assimp/default.nix
index ec2d5561570e..654935391602 100644
--- a/pkgs/development/libraries/assimp/default.nix
+++ b/pkgs/development/libraries/assimp/default.nix
@@ -32,6 +32,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A library to import various 3D model formats";
+ mainProgram = "assimp";
homepage = "https://www.assimp.org/";
license = licenses.bsd3;
maintainers = with maintainers; [ ehmry ];
diff --git a/pkgs/development/libraries/at-spi2-core/default.nix b/pkgs/development/libraries/at-spi2-core/default.nix
index 271c54ea97fa..6ebab7eb4760 100644
--- a/pkgs/development/libraries/at-spi2-core/default.nix
+++ b/pkgs/development/libraries/at-spi2-core/default.nix
@@ -23,14 +23,14 @@
stdenv.mkDerivation rec {
pname = "at-spi2-core";
- version = "2.50.0";
+ version = "2.50.1";
outputs = [ "out" "dev" ];
separateDebugInfo = true;
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "6fWoyCNcndljshcd6RIDARKcZ33ekzlV4d9hi5ScStw=";
+ sha256 = "Vye1wGh6xXuoBA55vWcxtxSja4/PMhkPI2uPs2mHiec=";
};
nativeBuildInputs = [
diff --git a/pkgs/development/libraries/audiality2/default.nix b/pkgs/development/libraries/audiality2/default.nix
index e0ab0accdfde..c189cd7e1ea4 100644
--- a/pkgs/development/libraries/audiality2/default.nix
+++ b/pkgs/development/libraries/audiality2/default.nix
@@ -7,14 +7,14 @@
, jack2
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "audiality2";
version = "1.9.4";
src = fetchFromGitHub {
owner = "olofson";
repo = "audiality2";
- rev = "v${version}";
+ rev = "v${finalAttrs.version}";
sha256 = "0ipqna7a9mxqm0fl9ggwhbc7i9yxz3jfyi0w3dymjp40v7jw1n20";
};
@@ -30,10 +30,10 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A realtime scripted modular audio engine for video games and musical applications";
+ mainProgram = "a2play";
homepage = "http://audiality.org";
license = licenses.zlib;
platforms = platforms.all;
maintainers = with maintainers; [ fgaz ];
};
-}
-
+})
diff --git a/pkgs/development/libraries/audio/cubeb/default.nix b/pkgs/development/libraries/audio/cubeb/default.nix
index baad8a1efd64..dd7033b1910e 100644
--- a/pkgs/development/libraries/audio/cubeb/default.nix
+++ b/pkgs/development/libraries/audio/cubeb/default.nix
@@ -60,6 +60,7 @@ in stdenv.mkDerivation {
meta = with lib; {
description = "Cross platform audio library";
+ mainProgram = "cubeb-test";
homepage = "https://github.com/mozilla/cubeb";
license = licenses.isc;
platforms = platforms.linux ++ platforms.darwin;
diff --git a/pkgs/development/libraries/audio/libopenmpt/default.nix b/pkgs/development/libraries/audio/libopenmpt/default.nix
index b8b89abc8ea7..cb515ebde884 100644
--- a/pkgs/development/libraries/audio/libopenmpt/default.nix
+++ b/pkgs/development/libraries/audio/libopenmpt/default.nix
@@ -16,13 +16,13 @@
stdenv.mkDerivation rec {
pname = "libopenmpt";
- version = "0.7.3";
+ version = "0.7.4";
outputs = [ "out" "dev" "bin" ];
src = fetchurl {
url = "https://lib.openmpt.org/files/libopenmpt/src/libopenmpt-${version}+release.autotools.tar.gz";
- hash = "sha256-LPg2m3kWsJJk8/FLn7bO81pum+4DKN7E9J2YIRzP1yI=";
+ hash = "sha256-FgD5M16uOQQImmKG9SWBKWHFTONqBd/m7qpXbdkyjz8=";
};
enableParallelBuilding = true;
@@ -57,6 +57,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Cross-platform C++ and C library to decode tracked music files into a raw PCM audio stream";
+ mainProgram = "openmpt123";
longDescription = ''
libopenmpt is a cross-platform C++ and C library to decode tracked music files (modules) into a raw PCM audio stream.
openmpt123 is a cross-platform command-line or terminal based module file player.
diff --git a/pkgs/development/libraries/audio/lv2/default.nix b/pkgs/development/libraries/audio/lv2/default.nix
index d0f85c95a077..473b246f3f9d 100644
--- a/pkgs/development/libraries/audio/lv2/default.nix
+++ b/pkgs/development/libraries/audio/lv2/default.nix
@@ -59,6 +59,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://lv2plug.in";
description = "A plugin standard for audio systems";
+ mainProgram = "lv2_validate";
license = licenses.mit;
maintainers = with maintainers; [ goibhniu ];
platforms = platforms.unix;
diff --git a/pkgs/development/libraries/audio/lvtk/default.nix b/pkgs/development/libraries/audio/lvtk/default.nix
index 9822640f8d67..b1a6adaaeca2 100644
--- a/pkgs/development/libraries/audio/lvtk/default.nix
+++ b/pkgs/development/libraries/audio/lvtk/default.nix
@@ -34,6 +34,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A set C++ wrappers around the LV2 C API";
+ mainProgram = "ttl2c";
homepage = "https://lvtk.org/";
license = licenses.gpl3;
maintainers = [ maintainers.goibhniu ];
diff --git a/pkgs/development/libraries/audio/vamp-plugin-sdk/default.nix b/pkgs/development/libraries/audio/vamp-plugin-sdk/default.nix
index 65ce6580dcec..198d94dbee7e 100644
--- a/pkgs/development/libraries/audio/vamp-plugin-sdk/default.nix
+++ b/pkgs/development/libraries/audio/vamp-plugin-sdk/default.nix
@@ -8,10 +8,10 @@ stdenv.mkDerivation rec {
version = "2.10";
src = fetchFromGitHub {
- owner = "c4dm";
+ owner = "vamp-plugins";
repo = "vamp-plugin-sdk";
rev = "vamp-plugin-sdk-v${version}";
- sha256 = "1lhmskcyk7qqfikmasiw7wjry74gc8g5q6a3j1iya84yd7ll0cz6";
+ hash = "sha256-5jNA6WmeIOVjkEMZXB5ijxyfJT88alVndBif6dnUFdI=";
};
nativeBuildInputs = [ pkg-config ];
diff --git a/pkgs/development/libraries/avahi/default.nix b/pkgs/development/libraries/avahi/default.nix
index df3d113dfd2c..0381146988a0 100644
--- a/pkgs/development/libraries/avahi/default.nix
+++ b/pkgs/development/libraries/avahi/default.nix
@@ -5,7 +5,6 @@
, pkg-config
, libdaemon
, dbus
-, perlPackages
, libpcap
, expat
, gettext
@@ -105,10 +104,7 @@ stdenv.mkDerivation rec {
expat
libiconv
libevent
- ] ++ (with perlPackages; [
- perl
- XMLParser
- ]) ++ lib.optionals stdenv.isFreeBSD [
+ ] ++ lib.optionals stdenv.isFreeBSD [
libpcap
] ++ lib.optionals gtk3Support [
gtk3
diff --git a/pkgs/development/libraries/avro-c++/default.nix b/pkgs/development/libraries/avro-c++/default.nix
index 57070c8f442e..d0d899fe9935 100644
--- a/pkgs/development/libraries/avro-c++/default.nix
+++ b/pkgs/development/libraries/avro-c++/default.nix
@@ -36,6 +36,7 @@ stdenv.mkDerivation rec {
meta = {
description = "A C++ library which implements parts of the Avro Specification";
+ mainProgram = "avrogencpp";
homepage = "https://avro.apache.org/";
license = lib.licenses.asl20;
maintainers = with lib.maintainers; [ rasendubi ];
diff --git a/pkgs/development/libraries/aws-c-cal/aws-c-cal-musl-compat.patch b/pkgs/development/libraries/aws-c-cal/aws-c-cal-musl-compat.patch
new file mode 100644
index 000000000000..2cf1d4e81e0b
--- /dev/null
+++ b/pkgs/development/libraries/aws-c-cal/aws-c-cal-musl-compat.patch
@@ -0,0 +1,33 @@
+From: Emil Lerch
+Date: Wed, 28 Apr 2021 17:46:24 -0700
+Subject: [PATCH] Allow dlopen to fail on musl systems
+
+Now that references are forced when linking statically, the assertion is
+no longer necessary. See https://github.com/awslabs/aws-c-cal/pull/54
+---
+ source/unix/openssl_platform_init.c | 5 +++--
+ 1 file changed, 3 insertions(+), 2 deletions(-)
+
+diff --git a/source/unix/openssl_platform_init.c b/source/unix/openssl_platform_init.c
+index 5266ecc1..99f210bd 100644
+--- a/source/unix/openssl_platform_init.c
++++ b/source/unix/openssl_platform_init.c
+@@ -496,7 +502,6 @@ static enum aws_libcrypto_version s_resolve_libcrypto(void) {
+ /* Try to auto-resolve against what's linked in/process space */
+ FLOGF("searching process and loaded modules");
+ void *process = dlopen(NULL, RTLD_NOW);
+- AWS_FATAL_ASSERT(process && "Unable to load symbols from process space");
+ enum aws_libcrypto_version result = s_resolve_libcrypto_symbols(AWS_LIBCRYPTO_LC, process);
+ if (result == AWS_LIBCRYPTO_NONE) {
+ result = s_resolve_libcrypto_symbols(AWS_LIBCRYPTO_1_0_2, process);
+@@ -504,7 +509,9 @@ static enum aws_libcrypto_version s_resolve_libcrypto(void) {
+ if (result == AWS_LIBCRYPTO_NONE) {
+ result = s_resolve_libcrypto_symbols(AWS_LIBCRYPTO_1_1_1, process);
+ }
+- dlclose(process);
++ if (process) {
++ dlclose(process);
++ }
+
+ if (result == AWS_LIBCRYPTO_NONE) {
+ FLOGF("libcrypto symbols were not statically linked, searching for shared libraries");
diff --git a/pkgs/development/libraries/aws-c-cal/default.nix b/pkgs/development/libraries/aws-c-cal/default.nix
index 6340545e3667..0188c8937c90 100644
--- a/pkgs/development/libraries/aws-c-cal/default.nix
+++ b/pkgs/development/libraries/aws-c-cal/default.nix
@@ -1,16 +1,21 @@
{ lib, stdenv, fetchFromGitHub, cmake, aws-c-common, nix, openssl, Security }:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "aws-c-cal";
- version = "0.6.9";
+ version = "0.6.10";
src = fetchFromGitHub {
owner = "awslabs";
- repo = pname;
- rev = "v${version}";
- sha256 = "sha256-m/RwGXeSjYOJQwCxfPyL4TdJ3gV66zHgVkWd3bpSaJE=";
+ repo = finalAttrs.pname;
+ rev = "v${finalAttrs.version}";
+ hash = "sha256-rzJypIf0DrKI/2Wt5vFop34dL+KYTeCfWC0RflZpiMo=";
};
+ patches = [
+ # Fix openssl adaptor code for musl based static binaries.
+ ./aws-c-cal-musl-compat.patch
+ ];
+
nativeBuildInputs = [ cmake ];
buildInputs = [ aws-c-common openssl ];
@@ -32,4 +37,4 @@ stdenv.mkDerivation rec {
platforms = platforms.unix;
maintainers = with maintainers; [ orivej ];
};
-}
+})
diff --git a/pkgs/development/libraries/aws-c-compression/default.nix b/pkgs/development/libraries/aws-c-compression/default.nix
index cc486bc3e6d7..e38279ff060a 100644
--- a/pkgs/development/libraries/aws-c-compression/default.nix
+++ b/pkgs/development/libraries/aws-c-compression/default.nix
@@ -7,13 +7,13 @@
stdenv.mkDerivation rec {
pname = "aws-c-compression";
- version = "0.2.17";
+ version = "0.2.18";
src = fetchFromGitHub {
owner = "awslabs";
repo = "aws-c-compression";
rev = "v${version}";
- sha256 = "sha256-XtmSThfz4Cu7CygYrLNQifDCQ6l/c/0OR0lX+OxCYJM=";
+ sha256 = "sha256-Cf3MvoRWGAy+vlE59JSpTGOBl07dI4mbIaL1HIiLN/I=";
};
nativeBuildInputs = [
diff --git a/pkgs/development/libraries/aws-checksums/default.nix b/pkgs/development/libraries/aws-checksums/default.nix
index 722c77f32de6..41da5e247e94 100644
--- a/pkgs/development/libraries/aws-checksums/default.nix
+++ b/pkgs/development/libraries/aws-checksums/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "aws-checksums";
- version = "0.1.17";
+ version = "0.1.18";
src = fetchFromGitHub {
owner = "awslabs";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-OoEwubEEkLJmlqmQR4/rp4+b1WYJEbcjYDSdXXHleZQ=";
+ sha256 = "sha256-EhIVa8/IK4evGt4vYECunLpxrCMSOsr1RZ/8hFbRi9M=";
};
nativeBuildInputs = [ cmake ];
diff --git a/pkgs/development/libraries/babl/default.nix b/pkgs/development/libraries/babl/default.nix
index 12dcbd12b9dd..b3ec8194c92a 100644
--- a/pkgs/development/libraries/babl/default.nix
+++ b/pkgs/development/libraries/babl/default.nix
@@ -35,6 +35,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Image pixel format conversion library";
+ mainProgram = "babl";
homepage = "https://gegl.org/babl/";
changelog = "https://gitlab.gnome.org/GNOME/babl/-/blob/BABL_${lib.replaceStrings [ "." ] [ "_" ] version}/NEWS";
license = licenses.lgpl3Plus;
diff --git a/pkgs/development/libraries/bashup-events/generic.nix b/pkgs/development/libraries/bashup-events/generic.nix
index f8a17f3bb11e..c3e2269a8a12 100644
--- a/pkgs/development/libraries/bashup-events/generic.nix
+++ b/pkgs/development/libraries/bashup-events/generic.nix
@@ -78,6 +78,7 @@ resholve.mkDerivation rec {
meta = with lib; {
inherit branch;
description = "An event listener/callback API for creating extensible bash programs";
+ mainProgram = "bashup.events";
homepage = "https://github.com/bashup/events";
license = licenses.cc0;
maintainers = with maintainers; [ abathur ];
diff --git a/pkgs/development/libraries/basu/default.nix b/pkgs/development/libraries/basu/default.nix
index 25e5adf65771..4665c4c2d158 100644
--- a/pkgs/development/libraries/basu/default.nix
+++ b/pkgs/development/libraries/basu/default.nix
@@ -48,6 +48,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
homepage = "https://sr.ht/~emersion/basu";
description = "The sd-bus library, extracted from systemd";
+ mainProgram = "basuctl";
license = lib.licenses.lgpl21Only;
maintainers = with lib.maintainers; [ AndersonTorres ];
platforms = lib.platforms.linux;
diff --git a/pkgs/development/libraries/bctoolbox/default.nix b/pkgs/development/libraries/bctoolbox/default.nix
index e473fa97a0ea..1da254093b1b 100644
--- a/pkgs/development/libraries/bctoolbox/default.nix
+++ b/pkgs/development/libraries/bctoolbox/default.nix
@@ -40,6 +40,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Utilities library for Linphone";
+ mainProgram = "bctoolbox_tester";
homepage = "https://gitlab.linphone.org/BC/public/bctoolbox";
license = licenses.gpl3Plus;
maintainers = with maintainers; [ raskin jluttine ];
diff --git a/pkgs/development/libraries/belle-sip/default.nix b/pkgs/development/libraries/belle-sip/default.nix
index 338b0082be5e..b4b5629cdafb 100644
--- a/pkgs/development/libraries/belle-sip/default.nix
+++ b/pkgs/development/libraries/belle-sip/default.nix
@@ -44,6 +44,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://linphone.org/technical-corner/belle-sip";
description = "Modern library implementing SIP (RFC 3261) transport, transaction and dialog layers. Part of the Linphone project.";
+ mainProgram = "belle_sip_tester";
license = licenses.gpl3Plus;
platforms = platforms.all;
maintainers = with maintainers; [ jluttine ];
diff --git a/pkgs/development/libraries/boost-ext/boost-sml/default.nix b/pkgs/development/libraries/boost-ext/boost-sml/default.nix
index 0383adca64a4..7987629b60d3 100644
--- a/pkgs/development/libraries/boost-ext/boost-sml/default.nix
+++ b/pkgs/development/libraries/boost-ext/boost-sml/default.nix
@@ -7,13 +7,13 @@
stdenv.mkDerivation rec {
pname = "boost-sml";
- version = "1.1.9";
+ version = "1.1.11";
src = fetchFromGitHub {
owner = "boost-ext";
repo = "sml";
rev = "v${version}";
- hash = "sha256-RYgSpnsmgZybpkJALIzxpkDRfe9QF2FHG+nA3msFaK0=";
+ hash = "sha256-/eR/B8rE+mh5zDPjx1kS9nVJf1rCeHP04QDavh6z6fM=";
};
buildInputs = [ boost ];
diff --git a/pkgs/development/libraries/boost/generic.nix b/pkgs/development/libraries/boost/generic.nix
index ad67806398f9..3db9b77bf05d 100644
--- a/pkgs/development/libraries/boost/generic.nix
+++ b/pkgs/development/libraries/boost/generic.nix
@@ -168,7 +168,7 @@ stdenv.mkDerivation {
preConfigure = lib.optionalString useMpi ''
cat << EOF >> user-config.jam
- using mpi : ${mpi}/bin/mpiCC ;
+ using mpi : ${lib.getDev mpi}/bin/mpiCC ;
EOF
''
# On darwin we need to add the `$out/lib` to the libraries' rpath explicitly,
diff --git a/pkgs/development/libraries/boringssl/default.nix b/pkgs/development/libraries/boringssl/default.nix
index 5cb04d05a08d..fadf478e037f 100644
--- a/pkgs/development/libraries/boringssl/default.nix
+++ b/pkgs/development/libraries/boringssl/default.nix
@@ -10,17 +10,17 @@
# reference: https://boringssl.googlesource.com/boringssl/+/2661/BUILDING.md
buildGoModule {
pname = "boringssl";
- version = "unstable-2023-09-27";
+ version = "unstable-2024-02-15";
src = fetchgit {
url = "https://boringssl.googlesource.com/boringssl";
- rev = "d24a38200fef19150eef00cad35b138936c08767";
- hash = "sha256-FBQ7y4N2rCM/Cyd6LBnDUXpSa2O3osUXukECTBjZL6s=";
+ rev = "5a1a5fbdb865fa58f1da0fd8bf6426f801ea37ac";
+ hash = "sha256-nu+5TeWEAVLGhTE15kxmTWZxo0V2elNUy67gdaU3Y+I=";
};
nativeBuildInputs = [ cmake ninja perl ];
- vendorHash = "sha256-EJPcx07WuvHPAgiS1ASU6WHlHkxjUOO72if4TkmrqwY=";
+ vendorHash = "sha256-McSmG+fMO8/T/bJR6YAJDYw9pxsWJoj1hcSTPv/wMsI=";
proxyVendor = true;
# hack to get both go and cmake configure phase
@@ -59,6 +59,7 @@ buildGoModule {
meta = with lib; {
description = "Free TLS/SSL implementation";
+ mainProgram = "bssl";
homepage = "https://boringssl.googlesource.com";
maintainers = [ maintainers.thoughtpolice ];
license = with licenses; [ openssl isc mit bsd3 ];
diff --git a/pkgs/development/libraries/botan/generic.nix b/pkgs/development/libraries/botan/generic.nix
index 8e053581e88b..a2acb8183283 100644
--- a/pkgs/development/libraries/botan/generic.nix
+++ b/pkgs/development/libraries/botan/generic.nix
@@ -56,6 +56,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Cryptographic algorithms library";
+ mainProgram = "botan";
maintainers = with maintainers; [ raskin thillux ];
platforms = platforms.unix;
license = licenses.bsd2;
diff --git a/pkgs/development/libraries/c-ares/default.nix b/pkgs/development/libraries/c-ares/default.nix
index 60578f79ea57..87b86036cff2 100644
--- a/pkgs/development/libraries/c-ares/default.nix
+++ b/pkgs/development/libraries/c-ares/default.nix
@@ -13,11 +13,11 @@
stdenv.mkDerivation rec {
pname = "c-ares";
- version = "1.19.1";
+ version = "1.27.0";
src = fetchurl {
url = "https://c-ares.org/download/${pname}-${version}.tar.gz";
- sha256 = "sha256-MhcAOZty7Q4DfQB0xinndB9rLsLdqSlWq+PpZx0+Jo4=";
+ hash = "sha256-CnK+ZpWZVcQ+KvL70DQY6Cor1UZGBOyaYhR+N6zrQgs=";
};
outputs = [ "out" "dev" "man" ];
diff --git a/pkgs/development/libraries/caf/default.nix b/pkgs/development/libraries/caf/default.nix
index 96593487bbeb..ae7075cd80df 100644
--- a/pkgs/development/libraries/caf/default.nix
+++ b/pkgs/development/libraries/caf/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "actor-framework";
- version = "0.19.5";
+ version = "0.19.6";
src = fetchFromGitHub {
owner = "actor-framework";
repo = "actor-framework";
rev = version;
- hash = "sha256-G69qZ8aoaRP9Ug+BIhXrYs6xteUG3Zhxbo2O09LEh3s=";
+ hash = "sha256-Nb/BF/+xDWV/6iPo3o/NO6EtIaAW+yGFj06AO2baFfc=";
};
nativeBuildInputs = [ cmake ];
diff --git a/pkgs/development/libraries/cairo/default.nix b/pkgs/development/libraries/cairo/default.nix
index 8ac9da237d19..7408a43272e9 100644
--- a/pkgs/development/libraries/cairo/default.nix
+++ b/pkgs/development/libraries/cairo/default.nix
@@ -93,6 +93,7 @@ in {
meta = with lib; {
description = "A 2D graphics library with support for multiple output devices";
+ mainProgram = "cairo-trace";
longDescription = ''
Cairo is a 2D graphics library with support for multiple output
devices. Currently supported output targets include the X
diff --git a/pkgs/development/libraries/catch2/3.nix b/pkgs/development/libraries/catch2/3.nix
index aa8bf62059bd..403c4f729b05 100644
--- a/pkgs/development/libraries/catch2/3.nix
+++ b/pkgs/development/libraries/catch2/3.nix
@@ -20,6 +20,8 @@ stdenv.mkDerivation rec {
cmake
];
+ hardeningDisable = [ "trivialautovarinit" ];
+
cmakeFlags = [
"-DCATCH_DEVELOPMENT_BUILD=ON"
"-DCATCH_BUILD_TESTING=${if doCheck then "ON" else "OFF"}"
diff --git a/pkgs/development/libraries/cctz/default.nix b/pkgs/development/libraries/cctz/default.nix
index 0b945c8c5990..c0f8a58a18d9 100644
--- a/pkgs/development/libraries/cctz/default.nix
+++ b/pkgs/development/libraries/cctz/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "cctz";
- version = "2.3";
+ version = "2.4";
src = fetchFromGitHub {
owner = "google";
repo = "cctz";
rev = "v${version}";
- sha256 = "0254xfwscfkjc3fbvx6qgifr3pwkc2rb03z8pbvvqy098di9alhr";
+ sha256 = "sha256-F4h8nT1karymV16FFHC0ldSbdOOx5AMstqi4Bc5m3UQ=";
};
makeFlags = [ "PREFIX=$(out)" ];
diff --git a/pkgs/development/libraries/cdo/default.nix b/pkgs/development/libraries/cdo/default.nix
index b016aa4d4d68..18a6dc57434a 100644
--- a/pkgs/development/libraries/cdo/default.nix
+++ b/pkgs/development/libraries/cdo/default.nix
@@ -30,6 +30,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Collection of command line Operators to manipulate and analyse Climate and NWP model Data";
+ mainProgram = "cdo";
longDescription = ''
Supported data formats are GRIB 1/2, netCDF 3/4, SERVICE, EXTRA and IEG.
There are more than 600 operators available.
diff --git a/pkgs/development/libraries/cegui/default.nix b/pkgs/development/libraries/cegui/default.nix
index c56c59d02ad1..1e884b3d8a81 100644
--- a/pkgs/development/libraries/cegui/default.nix
+++ b/pkgs/development/libraries/cegui/default.nix
@@ -52,6 +52,7 @@ stdenv.mkDerivation {
meta = with lib; {
homepage = "http://cegui.org.uk/";
description = "C++ Library for creating GUIs";
+ mainProgram = "CEGUISampleFramework-0.9999";
license = licenses.mit;
platforms = platforms.unix;
};
diff --git a/pkgs/development/libraries/cgreen/default.nix b/pkgs/development/libraries/cgreen/default.nix
index 60d5bc1289ac..921eb6c79724 100644
--- a/pkgs/development/libraries/cgreen/default.nix
+++ b/pkgs/development/libraries/cgreen/default.nix
@@ -26,6 +26,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
homepage = "https://github.com/cgreen-devs/cgreen";
description = "The Modern Unit Test and Mocking Framework for C and C++";
+ mainProgram = "cgreen-runner";
license = lib.licenses.isc;
maintainers = [ lib.maintainers.AndersonTorres ];
platforms = lib.platforms.unix;
diff --git a/pkgs/development/libraries/chipmunk/default.nix b/pkgs/development/libraries/chipmunk/default.nix
index 8290e60a4850..599cf9cfcee2 100644
--- a/pkgs/development/libraries/chipmunk/default.nix
+++ b/pkgs/development/libraries/chipmunk/default.nix
@@ -30,6 +30,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A fast and lightweight 2D game physics library";
+ mainProgram = "chipmunk_demos";
homepage = "http://chipmunk2d.net/";
license = licenses.mit;
platforms = platforms.unix; # supports Windows and MacOS as well, but those require more work
diff --git a/pkgs/development/libraries/chromaprint/default.nix b/pkgs/development/libraries/chromaprint/default.nix
index 3a169de590bb..bc53e2257f12 100644
--- a/pkgs/development/libraries/chromaprint/default.nix
+++ b/pkgs/development/libraries/chromaprint/default.nix
@@ -1,4 +1,12 @@
-{ lib, stdenv, fetchurl, cmake, boost, ffmpeg_4, darwin, zlib }:
+{ lib
+, stdenv
+, fetchurl
+, fetchpatch
+, cmake
+, ffmpeg_6
+, darwin
+, zlib
+}:
stdenv.mkDerivation rec {
pname = "chromaprint";
@@ -9,16 +17,33 @@ stdenv.mkDerivation rec {
sha256 = "sha256-oarY+juLGLeNN1Wzdn+v+au2ckLgG0eOyaZOGQ8zXhw=";
};
+ patches = [
+ # Use FFmpeg 5.x
+ # https://github.com/acoustid/chromaprint/pull/120
+ (fetchpatch {
+ url = "https://github.com/acoustid/chromaprint/commit/8ccad6937177b1b92e40ab8f4447ea27bac009a7.patch";
+ hash = "sha256-yO2iWmU9s2p0uJfwIdmk3jZ5HXBIQZ/NyOqG+Y5EHdg=";
+ excludes = [ "package/build.sh" ];
+ })
+ # ffmpeg5 fix for issue #122
+ # https://github.com/acoustid/chromaprint/pull/125
+ (fetchpatch {
+ url = "https://github.com/acoustid/chromaprint/commit/aa67c95b9e486884a6d3ee8b0c91207d8c2b0551.patch";
+ hash = "sha256-dLY8FBzBqJehAofE924ayZK0HA/aKiuFhEFxL7dg6rY=";
+ })
+ ];
+
nativeBuildInputs = [ cmake ];
- buildInputs = [ ffmpeg_4 ] ++ lib.optionals stdenv.isDarwin
- (with darwin.apple_sdk.frameworks; [Accelerate CoreGraphics CoreVideo zlib]);
+ buildInputs = [ ffmpeg_6 ] ++ lib.optionals stdenv.isDarwin
+ (with darwin.apple_sdk.frameworks; [ Accelerate CoreGraphics CoreVideo zlib ]);
cmakeFlags = [ "-DBUILD_EXAMPLES=ON" "-DBUILD_TOOLS=ON" ];
meta = with lib; {
homepage = "https://acoustid.org/chromaprint";
description = "AcoustID audio fingerprinting library";
+ mainProgram = "fpcalc";
license = licenses.lgpl21Plus;
platforms = platforms.unix;
};
diff --git a/pkgs/development/libraries/civetweb/default.nix b/pkgs/development/libraries/civetweb/default.nix
index 3222702f5a1a..f6fe616957d6 100644
--- a/pkgs/development/libraries/civetweb/default.nix
+++ b/pkgs/development/libraries/civetweb/default.nix
@@ -40,6 +40,7 @@ stdenv.mkDerivation rec {
meta = {
description = "Embedded C/C++ web server";
+ mainProgram = "civetweb";
homepage = "https://github.com/civetweb/civetweb";
license = [ lib.licenses.mit ];
};
diff --git a/pkgs/development/libraries/clipper2/default.nix b/pkgs/development/libraries/clipper2/default.nix
index f7bb635e63d0..4f4dee29ec98 100644
--- a/pkgs/development/libraries/clipper2/default.nix
+++ b/pkgs/development/libraries/clipper2/default.nix
@@ -6,13 +6,13 @@
stdenv.mkDerivation rec {
pname = "clipper2";
- version = "1.2.2";
+ version = "1.3.0";
src = fetchFromGitHub {
owner = "AngusJohnson";
repo = "Clipper2";
rev = "Clipper2_${version}";
- sha256 = "sha256-u/4GG1A2PAlk8VEWgJX8+EnZ5hpGhu1QbvHwct58sF4=";
+ sha256 = "sha256-3TKhb48cABl0QcbeG12xlA1taQ/8/RdUUHSp0Qh85eE=";
};
sourceRoot = "${src.name}/CPP";
diff --git a/pkgs/development/libraries/cln/default.nix b/pkgs/development/libraries/cln/default.nix
index a7094d18e6ea..ae3ed853a026 100644
--- a/pkgs/development/libraries/cln/default.nix
+++ b/pkgs/development/libraries/cln/default.nix
@@ -13,6 +13,7 @@ gccStdenv.mkDerivation rec {
meta = with lib; {
description = "C/C++ library for numbers, a part of GiNaC";
+ mainProgram = "pi";
homepage = "https://www.ginac.de/CLN/";
license = licenses.gpl2;
platforms = platforms.unix; # Once had cygwin problems
diff --git a/pkgs/development/libraries/cloog-ppl/default.nix b/pkgs/development/libraries/cloog-ppl/default.nix
index 65d6a392ffdb..3a6f926b3f32 100644
--- a/pkgs/development/libraries/cloog-ppl/default.nix
+++ b/pkgs/development/libraries/cloog-ppl/default.nix
@@ -25,6 +25,7 @@ stdenv.mkDerivation rec {
meta = {
description = "CLooG-PPL, the Chunky Loop Generator";
+ mainProgram = "cloog";
longDescription = ''
CLooG is a free software library to generate code for scanning
diff --git a/pkgs/development/libraries/cloog/0.18.0.nix b/pkgs/development/libraries/cloog/0.18.0.nix
index 12e279797e76..a1ba3aaf93f3 100644
--- a/pkgs/development/libraries/cloog/0.18.0.nix
+++ b/pkgs/development/libraries/cloog/0.18.0.nix
@@ -21,6 +21,7 @@ stdenv.mkDerivation rec {
meta = {
description = "Library that generates loops for scanning polyhedra";
+ mainProgram = "cloog";
longDescription = ''
CLooG is a free software library to generate code for scanning
diff --git a/pkgs/development/libraries/cloog/default.nix b/pkgs/development/libraries/cloog/default.nix
index bfca886e03d5..3ae266c61cdd 100644
--- a/pkgs/development/libraries/cloog/default.nix
+++ b/pkgs/development/libraries/cloog/default.nix
@@ -23,6 +23,7 @@ stdenv.mkDerivation rec {
meta = {
description = "Library that generates loops for scanning polyhedra";
+ mainProgram = "cloog";
longDescription = ''
CLooG is a free software library to generate code for scanning
diff --git a/pkgs/development/libraries/cmark-gfm/default.nix b/pkgs/development/libraries/cmark-gfm/default.nix
index 650913fc3888..75ff3acdb947 100644
--- a/pkgs/development/libraries/cmark-gfm/default.nix
+++ b/pkgs/development/libraries/cmark-gfm/default.nix
@@ -16,6 +16,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "GitHub's fork of cmark, a CommonMark parsing and rendering library and program in C";
+ mainProgram = "cmark-gfm";
homepage = "https://github.com/github/cmark-gfm";
changelog = "https://github.com/github/cmark-gfm/raw/${version}/changelog.txt";
maintainers = with maintainers; [ cyplo ];
diff --git a/pkgs/development/libraries/cmark/default.nix b/pkgs/development/libraries/cmark/default.nix
index 23d00769ee1b..5720f87c5bc4 100644
--- a/pkgs/development/libraries/cmark/default.nix
+++ b/pkgs/development/libraries/cmark/default.nix
@@ -29,6 +29,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "CommonMark parsing and rendering library and program in C";
+ mainProgram = "cmark";
homepage = "https://github.com/commonmark/cmark";
changelog = "https://github.com/commonmark/cmark/raw/${version}/changelog.txt";
maintainers = [ maintainers.michelk ];
diff --git a/pkgs/development/libraries/codec2/default.nix b/pkgs/development/libraries/codec2/default.nix
index 7b1a226de812..8637f5b7ab2d 100644
--- a/pkgs/development/libraries/codec2/default.nix
+++ b/pkgs/development/libraries/codec2/default.nix
@@ -43,6 +43,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Speech codec designed for communications quality speech at low data rates";
+ mainProgram = "freedv_rx";
homepage = "https://www.rowetel.com/codec2.html";
license = licenses.lgpl21Only;
platforms = platforms.unix;
diff --git a/pkgs/development/libraries/coin3d/default.nix b/pkgs/development/libraries/coin3d/default.nix
index 7675ddae378e..8c331a28dcb1 100644
--- a/pkgs/development/libraries/coin3d/default.nix
+++ b/pkgs/development/libraries/coin3d/default.nix
@@ -33,6 +33,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
homepage = "https://github.com/coin3d/coin";
description = "High-level, retained-mode toolkit for effective 3D graphics development";
+ mainProgram = "coin-config";
license = licenses.bsd3;
maintainers = with maintainers; [ gebner viric ];
platforms = platforms.linux;
diff --git a/pkgs/development/libraries/collada-dom/default.nix b/pkgs/development/libraries/collada-dom/default.nix
deleted file mode 100644
index 1cb5c4853969..000000000000
--- a/pkgs/development/libraries/collada-dom/default.nix
+++ /dev/null
@@ -1,35 +0,0 @@
-{ stdenv, lib, fetchFromGitHub, cmake, boost, libxml2, minizip, readline }:
-
-stdenv.mkDerivation {
- pname = "collada-dom";
- version = "unstable-2020-01-03";
-
- src = fetchFromGitHub {
- owner = "rdiankov";
- repo = "collada-dom";
- rev = "c1e20b7d6ff806237030fe82f126cb86d661f063";
- sha256 = "sha256-A1ne/D6S0shwCzb9spd1MoSt/238HWA8dvgd+DC9cXc=";
- };
-
- postInstall = ''
- chmod +w -R $out
- ln -s $out/include/*/* $out/include
- '';
-
- nativeBuildInputs = [ cmake ];
-
- buildInputs = [
- boost
- libxml2
- minizip
- readline
- ];
-
- meta = with lib; {
- description = "Lightweight version of collada-dom, with only the parser.";
- homepage = "https://github.com/rdiankov/collada-dom";
- license = licenses.mit;
- maintainers = with maintainers; [ marius851000 ];
- platforms = platforms.all;
- };
-}
diff --git a/pkgs/development/libraries/cpp-utilities/default.nix b/pkgs/development/libraries/cpp-utilities/default.nix
index 54a8637ebe21..49ebc34fbcf2 100644
--- a/pkgs/development/libraries/cpp-utilities/default.nix
+++ b/pkgs/development/libraries/cpp-utilities/default.nix
@@ -8,13 +8,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "cpp-utilities";
- version = "5.24.6";
+ version = "5.24.7";
src = fetchFromGitHub {
owner = "Martchus";
repo = "cpp-utilities";
rev = "v${finalAttrs.version}";
- sha256 = "sha256-Lzt/lINfYvzabBbEUdNbF4Ta767WgMre2dxBkMbQnp0=";
+ sha256 = "sha256-gfyvWnvUXgjocid0nvp3lWhdPb7MYm+1GJRP5RYInEY=";
};
nativeBuildInputs = [ cmake ];
diff --git a/pkgs/development/libraries/cppunit/default.nix b/pkgs/development/libraries/cppunit/default.nix
index fba624a861d7..73c96de4ff24 100644
--- a/pkgs/development/libraries/cppunit/default.nix
+++ b/pkgs/development/libraries/cppunit/default.nix
@@ -16,6 +16,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://freedesktop.org/wiki/Software/cppunit/";
description = "C++ unit testing framework";
+ mainProgram = "DllPlugInTester";
license = licenses.lgpl21;
platforms = platforms.linux ++ platforms.darwin;
};
diff --git a/pkgs/development/libraries/ctpl/default.nix b/pkgs/development/libraries/ctpl/default.nix
index 634c2cf44cf4..c9925d162f99 100644
--- a/pkgs/development/libraries/ctpl/default.nix
+++ b/pkgs/development/libraries/ctpl/default.nix
@@ -15,6 +15,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "http://ctpl.tuxfamily.org/";
description = "Template engine library written in C";
+ mainProgram = "ctpl";
platforms = platforms.linux;
maintainers = [ ];
license = licenses.gpl3Plus;
diff --git a/pkgs/development/libraries/ctranslate2/default.nix b/pkgs/development/libraries/ctranslate2/default.nix
index e8e67c3ad437..c7876a98bd54 100644
--- a/pkgs/development/libraries/ctranslate2/default.nix
+++ b/pkgs/development/libraries/ctranslate2/default.nix
@@ -24,13 +24,13 @@ let
in
stdenv.mkDerivation rec {
pname = "ctranslate2";
- version = "3.24.0";
+ version = "4.0.0";
src = fetchFromGitHub {
owner = "OpenNMT";
repo = "CTranslate2";
rev = "v${version}";
- hash = "sha256-RK5GQymtaYOM6HK2eRK5Rbz6NZva3Jt7lTPTUbSQXxI=";
+ hash = "sha256-pySnkDnV41rqr4OcNonPtSgv4AJYcF5vtkBg6Ad/IvU=";
fetchSubmodules = true;
};
@@ -84,6 +84,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Fast inference engine for Transformer models";
+ mainProgram = "ct2-translator";
homepage = "https://github.com/OpenNMT/CTranslate2";
changelog = "https://github.com/OpenNMT/CTranslate2/blob/${src.rev}/CHANGELOG.md";
license = licenses.mit;
diff --git a/pkgs/development/libraries/ctypes_sh/default.nix b/pkgs/development/libraries/ctypes_sh/default.nix
index 644c68e85d86..c06eff11aad0 100644
--- a/pkgs/development/libraries/ctypes_sh/default.nix
+++ b/pkgs/development/libraries/ctypes_sh/default.nix
@@ -20,6 +20,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A foreign function interface for bash";
+ mainProgram = "ctypes.sh";
homepage = "https://github.com/taviso/ctypes.sh";
license = licenses.mit;
maintainers = with maintainers; [ tadeokondrak ];
diff --git a/pkgs/development/libraries/curlpp/default.nix b/pkgs/development/libraries/curlpp/default.nix
index 0aee75751d9e..c8fe78d0462f 100644
--- a/pkgs/development/libraries/curlpp/default.nix
+++ b/pkgs/development/libraries/curlpp/default.nix
@@ -16,6 +16,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://www.curlpp.org/";
description = "C++ wrapper around libcURL";
+ mainProgram = "curlpp-config";
license = licenses.mit;
maintainers = with maintainers; [ CrazedProgrammer ];
};
diff --git a/pkgs/development/libraries/cutee/default.nix b/pkgs/development/libraries/cutee/default.nix
index 07e2b7cbcee2..eb5f51cbcfeb 100644
--- a/pkgs/development/libraries/cutee/default.nix
+++ b/pkgs/development/libraries/cutee/default.nix
@@ -18,6 +18,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "C++ Unit Testing Easy Environment";
+ mainProgram = "cutee";
homepage = "https://www.codesink.org/cutee_unit_testing.html";
license = licenses.gpl2Plus;
maintainers = with maintainers; [ leenaars];
diff --git a/pkgs/development/libraries/cxx-rs/default.nix b/pkgs/development/libraries/cxx-rs/default.nix
index c06171e72ce5..a2864fbb89df 100644
--- a/pkgs/development/libraries/cxx-rs/default.nix
+++ b/pkgs/development/libraries/cxx-rs/default.nix
@@ -47,6 +47,7 @@ rustPlatform.buildRustPackage rec {
meta = with lib; {
description = "Safe FFI between Rust and C++";
+ mainProgram = "cxxbridge";
homepage = "https://github.com/dtolnay/cxx";
license = licenses.mit;
maintainers = with maintainers; [ centromere ];
diff --git a/pkgs/development/libraries/cxxopts/default.nix b/pkgs/development/libraries/cxxopts/default.nix
index e4d1df23b7e5..89276c7a0b44 100644
--- a/pkgs/development/libraries/cxxopts/default.nix
+++ b/pkgs/development/libraries/cxxopts/default.nix
@@ -9,13 +9,13 @@
stdenv.mkDerivation rec {
pname = "cxxopts";
- version = "3.1.1";
+ version = "3.2.1";
src = fetchFromGitHub {
owner = "jarro2783";
repo = "cxxopts";
rev = "v${version}";
- sha256 = "sha256-lJPMaXBfrCeUhhXha5f7zmOGtyEDzU3oPTMirPTFZzQ=";
+ sha256 = "sha256-aOF3owz7SIV4trJY0PnMtIcwqoUpDbB3tNxZcsl9dzM=";
};
buildInputs = lib.optionals enableUnicodeHelp [ icu.dev ];
diff --git a/pkgs/development/libraries/cxxtest/default.nix b/pkgs/development/libraries/cxxtest/default.nix
index 4228a3cb5876..545aa4bc0e0c 100644
--- a/pkgs/development/libraries/cxxtest/default.nix
+++ b/pkgs/development/libraries/cxxtest/default.nix
@@ -40,6 +40,7 @@ python3Packages.buildPythonApplication rec {
meta = with lib; {
homepage = "http://cxxtest.com";
description = "Unit testing framework for C++";
+ mainProgram = "cxxtestgen";
license = licenses.lgpl3;
platforms = platforms.unix;
maintainers = with maintainers; [ juliendehos ];
diff --git a/pkgs/development/libraries/czmq/default.nix b/pkgs/development/libraries/czmq/default.nix
index bb8ecafbb347..db2b99c96650 100644
--- a/pkgs/development/libraries/czmq/default.nix
+++ b/pkgs/development/libraries/czmq/default.nix
@@ -15,6 +15,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "http://czmq.zeromq.org/";
description = "High-level C Binding for ZeroMQ";
+ mainProgram = "zmakecert";
license = licenses.mpl20;
platforms = platforms.all;
};
diff --git a/pkgs/development/libraries/dav1d/default.nix b/pkgs/development/libraries/dav1d/default.nix
index 4e48536fa3af..09b15ad4da78 100644
--- a/pkgs/development/libraries/dav1d/default.nix
+++ b/pkgs/development/libraries/dav1d/default.nix
@@ -26,13 +26,13 @@ assert useVulkan -> withExamples;
stdenv.mkDerivation rec {
pname = "dav1d";
- version = "1.3.0";
+ version = "1.4.0";
src = fetchFromGitHub {
owner = "videolan";
repo = pname;
rev = version;
- hash = "sha256-c7Dur+0HpteI7KkR9oo3WynoH/FCRaBwZA7bJmPDJp8=";
+ hash = "sha256-NDv4ZlmrbRoecd0qj/sy+camn4uRTrvte4/84L6oUUg=";
};
outputs = [ "out" "dev" ];
diff --git a/pkgs/development/libraries/db/db-6.0.nix b/pkgs/development/libraries/db/db-6.0.nix
index 0d8504c9234c..d92d28d094e6 100644
--- a/pkgs/development/libraries/db/db-6.0.nix
+++ b/pkgs/development/libraries/db/db-6.0.nix
@@ -3,7 +3,7 @@
import ./generic.nix (args // {
version = "6.0.30";
sha256 = "1lhglbvg65j5slrlv7qv4vi3cvd7kjywa07gq1abzschycf4p3k0";
- license = lib.licenses.agpl3;
+ license = lib.licenses.agpl3Only;
extraPatches = [
./clang-6.0.patch
./CVE-2017-10140-cwd-db_config.patch
diff --git a/pkgs/development/libraries/db/db-6.2.nix b/pkgs/development/libraries/db/db-6.2.nix
index 2c1cb455feae..fd5a53253522 100644
--- a/pkgs/development/libraries/db/db-6.2.nix
+++ b/pkgs/development/libraries/db/db-6.2.nix
@@ -3,7 +3,7 @@
import ./generic.nix (args // {
version = "6.2.32";
sha256 = "1yx8wzhch5wwh016nh0kfxvknjkafv6ybkqh6nh7lxx50jqf5id9";
- license = lib.licenses.agpl3;
+ license = lib.licenses.agpl3Only;
extraPatches = [
./clang-6.0.patch
./CVE-2017-10140-cwd-db_config.patch
diff --git a/pkgs/development/libraries/dbus-glib/default.nix b/pkgs/development/libraries/dbus-glib/default.nix
index c6be0dd419db..b2946872fbbd 100644
--- a/pkgs/development/libraries/dbus-glib/default.nix
+++ b/pkgs/development/libraries/dbus-glib/default.nix
@@ -32,6 +32,7 @@ stdenv.mkDerivation rec {
homepage = "https://dbus.freedesktop.org";
license = with lib.licenses; [ afl21 gpl2Plus ];
description = "Obsolete glib bindings for D-Bus lightweight IPC mechanism";
+ mainProgram = "dbus-binding-tool";
maintainers = [ ];
platforms = lib.platforms.unix;
};
diff --git a/pkgs/development/libraries/dbxml/default.nix b/pkgs/development/libraries/dbxml/default.nix
index c65e7ad93eca..b6dcf908c4f9 100644
--- a/pkgs/development/libraries/dbxml/default.nix
+++ b/pkgs/development/libraries/dbxml/default.nix
@@ -37,7 +37,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://www.oracle.com/database/berkeley-db/xml.html";
description = "Embeddable XML database based on Berkeley DB";
- license = licenses.agpl3;
+ license = licenses.agpl3Only;
maintainers = with maintainers; [ ];
platforms = platforms.unix;
};
diff --git a/pkgs/development/libraries/dee/default.nix b/pkgs/development/libraries/dee/default.nix
index 4a2199f450e6..4bece9069466 100644
--- a/pkgs/development/libraries/dee/default.nix
+++ b/pkgs/development/libraries/dee/default.nix
@@ -64,6 +64,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A library that uses DBus to provide objects allowing you to create Model-View-Controller type programs across DBus";
+ mainProgram = "dee-tool";
homepage = "https://launchpad.net/dee";
license = licenses.lgpl3;
platforms = platforms.linux;
diff --git a/pkgs/development/libraries/dlib/default.nix b/pkgs/development/libraries/dlib/default.nix
index 50709cae8c0b..e433ec46a302 100644
--- a/pkgs/development/libraries/dlib/default.nix
+++ b/pkgs/development/libraries/dlib/default.nix
@@ -54,7 +54,7 @@
lapack
]
++ lib.optionals guiSupport [ libX11 ]
- ++ lib.optionals config.cudaSupport (with cudaPackages; [
+ ++ lib.optionals cudaSupport (with cudaPackages; [
cuda_cudart.dev
cuda_cudart.lib
cuda_cudart.static
diff --git a/pkgs/development/libraries/double-conversion/default.nix b/pkgs/development/libraries/double-conversion/default.nix
index 9ba75c771662..cdca8c574fff 100644
--- a/pkgs/development/libraries/double-conversion/default.nix
+++ b/pkgs/development/libraries/double-conversion/default.nix
@@ -24,7 +24,7 @@ stdenv.mkDerivation rec {
description = "Binary-decimal and decimal-binary routines for IEEE doubles";
homepage = "https://github.com/google/double-conversion";
license = licenses.bsd3;
- platforms = platforms.unix;
+ platforms = platforms.unix ++ platforms.windows;
maintainers = with maintainers; [ abbradar ];
};
}
diff --git a/pkgs/development/libraries/dqlite/default.nix b/pkgs/development/libraries/dqlite/default.nix
index ff379c1a78b3..2746c53e6a01 100644
--- a/pkgs/development/libraries/dqlite/default.nix
+++ b/pkgs/development/libraries/dqlite/default.nix
@@ -3,13 +3,13 @@
stdenv.mkDerivation rec {
pname = "dqlite";
- version = "1.16.0";
+ version = "1.16.4";
src = fetchFromGitHub {
owner = "canonical";
repo = pname;
rev = "refs/tags/v${version}";
- hash = "sha256-8MPAyCqqjDpDHSyiZ1cvvgflaOLNzPGswZSsSjSffvw=";
+ hash = "sha256-Q90wVqb6321+SWW5j52fb6mVRf25nExqgN/+s6OwoMk=";
};
nativeBuildInputs = [ autoreconfHook file pkg-config ];
diff --git a/pkgs/development/libraries/drogon/default.nix b/pkgs/development/libraries/drogon/default.nix
index 5919a45467dc..3e155d6f2d90 100644
--- a/pkgs/development/libraries/drogon/default.nix
+++ b/pkgs/development/libraries/drogon/default.nix
@@ -9,13 +9,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "drogon";
- version = "1.9.2";
+ version = "1.9.3";
src = fetchFromGitHub {
owner = "drogonframework";
repo = "drogon";
rev = "v${finalAttrs.version}";
- sha256 = "sha256-/pLYBCwulHkHQAVEhuAlPUJSS/jc3uvGtU0Q0RWPNn0=";
+ sha256 = "sha256-en8w8kda0ijg6b6s2WHxHfuGaa+p08928Jw57UBevDU=";
fetchSubmodules = true;
};
diff --git a/pkgs/development/libraries/duckdb/default.nix b/pkgs/development/libraries/duckdb/default.nix
index 801ad7979aee..be1a20987881 100644
--- a/pkgs/development/libraries/duckdb/default.nix
+++ b/pkgs/development/libraries/duckdb/default.nix
@@ -1,10 +1,13 @@
{ lib
, stdenv
, fetchFromGitHub
+, fetchpatch
+, substituteAll
, cmake
, ninja
, openssl
, openjdk11
+, python3
, unixODBC
, withJdbc ? false
, withOdbc ? false
@@ -12,49 +15,48 @@
let
enableFeature = yes: if yes then "ON" else "OFF";
+ versions = lib.importJSON ./versions.json;
in
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "duckdb";
- version = "0.9.2";
+ inherit (versions) rev version;
src = fetchFromGitHub {
- owner = pname;
- repo = pname;
- rev = "v${version}";
- hash = "sha256-QFK8mEMcqQwALFNvAdD8yWixwMYHSbeo6xqx86PvejU=";
+ # to update run:
+ # nix-shell maintainers/scripts/update.nix --argstr path duckdb
+ inherit (versions) hash;
+ owner = "duckdb";
+ repo = "duckdb";
+ rev = "refs/tags/v${finalAttrs.version}";
};
- patches = [ ./version.patch ];
+ outputs = [ "out" "lib" "dev" ];
- postPatch = ''
- substituteInPlace CMakeLists.txt --subst-var-by DUCKDB_VERSION "v${version}"
- '';
-
- nativeBuildInputs = [ cmake ninja ];
+ nativeBuildInputs = [ cmake ninja python3 ];
buildInputs = [ openssl ]
++ lib.optionals withJdbc [ openjdk11 ]
++ lib.optionals withOdbc [ unixODBC ];
cmakeFlags = [
- "-DDUCKDB_EXTENSION_CONFIGS=${src}/.github/config/in_tree_extensions.cmake"
+ "-DDUCKDB_EXTENSION_CONFIGS=${finalAttrs.src}/.github/config/in_tree_extensions.cmake"
"-DBUILD_ODBC_DRIVER=${enableFeature withOdbc}"
"-DJDBC_DRIVER=${enableFeature withJdbc}"
- ] ++ lib.optionals doInstallCheck [
+ "-DOVERRIDE_GIT_DESCRIBE=v${finalAttrs.version}-0-g${finalAttrs.rev}"
+ ] ++ lib.optionals finalAttrs.doInstallCheck [
# development settings
"-DBUILD_UNITTESTS=ON"
];
- doInstallCheck = true;
-
- preInstallCheck = ''
- export HOME="$(mktemp -d)"
- '' + lib.optionalString stdenv.isDarwin ''
- export DYLD_LIBRARY_PATH="$out/lib''${DYLD_LIBRARY_PATH:+:}''${DYLD_LIBRARY_PATH}"
+ postInstall = ''
+ mkdir -p $lib
+ mv $out/lib $lib
'';
+ doInstallCheck = true;
+
installCheckPhase =
let
- excludes = map (pattern: "exclude:'${pattern}'") [
+ excludes = map (pattern: "exclude:'${pattern}'") ([
"[s3]"
"Test closing database during long running query"
"Test using a remote optimizer pass in case thats important to someone"
@@ -91,22 +93,31 @@ stdenv.mkDerivation rec {
"[!hide]"
# this test apparently never terminates
"test/sql/copy/csv/auto/test_csv_auto.test"
+ # test expects installed file timestamp to be > 2024
+ "test/sql/table_function/read_text_and_blob.test"
+ # fails with Out of Memory Error
+ "test/sql/copy/parquet/batched_write/batch_memory_usage.test"
+ # wants http connection
+ "test/sql/copy/csv/test_mixed_lines.test"
] ++ lib.optionals stdenv.isAarch64 [
"test/sql/aggregate/aggregates/test_kurtosis.test"
"test/sql/aggregate/aggregates/test_skewness.test"
"test/sql/function/list/aggregates/skewness.test"
- ];
+ ]);
+ LD_LIBRARY_PATH = lib.optionalString stdenv.isDarwin "DY" + "LD_LIBRARY_PATH";
in
''
runHook preInstallCheck
- $PWD/test/unittest ${lib.concatStringsSep " " excludes}
+ HOME="$(mktemp -d)" ${LD_LIBRARY_PATH}="$lib/lib" ./test/unittest ${toString excludes}
runHook postInstallCheck
'';
+ passthru.updateScript = ./update.sh;
+
meta = with lib; {
- changelog = "https://github.com/duckdb/duckdb/releases/tag/v${version}";
+ changelog = "https://github.com/duckdb/duckdb/releases/tag/v${finalAttrs.version}";
description = "Embeddable SQL OLAP Database Management System";
homepage = "https://duckdb.org/";
license = licenses.mit;
@@ -114,4 +125,4 @@ stdenv.mkDerivation rec {
maintainers = with maintainers; [ costrouc cpcloud ];
platforms = platforms.all;
};
-}
+})
diff --git a/pkgs/development/libraries/duckdb/update.sh b/pkgs/development/libraries/duckdb/update.sh
new file mode 100755
index 000000000000..f3acd176ee86
--- /dev/null
+++ b/pkgs/development/libraries/duckdb/update.sh
@@ -0,0 +1,45 @@
+#!/usr/bin/env nix-shell
+#!nix-shell --pure -i bash -p cacert curl jq moreutils nix-prefetch
+# shellcheck shell=bash
+
+set -euo pipefail
+cd "$(dirname "${BASH_SOURCE[0]}")"
+
+nixpkgs=$(while [[ ! -e .git ]]; do [[ ${PWD} != / ]] || exit 1; cd ..; done; echo "${PWD}")
+
+repo=duckdb
+owner=duckdb
+
+msg() {
+ echo "$*" >&2
+}
+
+json_get() {
+ jq -r "$1" < 'versions.json'
+}
+
+json_set() {
+ jq --arg x "$2" "$1 = \$x" < 'versions.json' | sponge 'versions.json'
+}
+
+get_latest() {
+ curl ${GITHUB_TOKEN:+" -u \":$GITHUB_TOKEN\""} -s \
+ "https://api.github.com/repos/${owner}/${repo}/releases/latest" | jq -r .tag_name
+}
+
+get_sha() {
+ curl ${GITHUB_TOKEN:+" -u \":$GITHUB_TOKEN\""} -s \
+ "https://api.github.com/repos/${owner}/${repo}/git/ref/tags/$1" | jq -r .object.sha
+}
+
+tag=$(get_latest)
+version=${tag/v/}
+
+[[ ${version} = $(json_get .version) ]] && { msg "${version} is up to date"; exit 0; }
+
+sha=$(get_sha "${tag}")
+sri=$(nix-prefetch -I nixpkgs="${nixpkgs}" -E "duckdb.overrideAttrs { version = \"${version}\"; }")
+
+json_set ".version" "${version}"
+json_set ".rev" "${sha}"
+json_set ".hash" "${sri}"
diff --git a/pkgs/development/libraries/duckdb/version.patch b/pkgs/development/libraries/duckdb/version.patch
deleted file mode 100644
index f40785b43079..000000000000
--- a/pkgs/development/libraries/duckdb/version.patch
+++ /dev/null
@@ -1,58 +0,0 @@
-diff --git a/CMakeLists.txt b/CMakeLists.txt
-index 2b49e11288..0a4a69b9a0 100644
---- a/CMakeLists.txt
-+++ b/CMakeLists.txt
-@@ -244,52 +244,7 @@ if(${CMAKE_SYSTEM_NAME} STREQUAL "SunOS")
- set(SUN TRUE)
- endif()
-
--find_package(Git)
--if(Git_FOUND)
-- if (NOT DEFINED GIT_COMMIT_HASH)
-- execute_process(
-- COMMAND ${GIT_EXECUTABLE} log -1 --format=%h
-- WORKING_DIRECTORY ${CMAKE_CURRENT_SOURCE_DIR}
-- RESULT_VARIABLE GIT_RESULT
-- OUTPUT_VARIABLE GIT_COMMIT_HASH
-- OUTPUT_STRIP_TRAILING_WHITESPACE)
-- endif()
-- execute_process(
-- COMMAND ${GIT_EXECUTABLE} describe --tags --abbrev=0
-- WORKING_DIRECTORY ${CMAKE_CURRENT_SOURCE_DIR}
-- OUTPUT_VARIABLE GIT_LAST_TAG
-- OUTPUT_STRIP_TRAILING_WHITESPACE)
-- execute_process(
-- COMMAND ${GIT_EXECUTABLE} describe --tags --long
-- WORKING_DIRECTORY ${CMAKE_CURRENT_SOURCE_DIR}
-- OUTPUT_VARIABLE GIT_ITERATION
-- OUTPUT_STRIP_TRAILING_WHITESPACE)
--else()
-- message("Git NOT FOUND")
--endif()
--
--if(GIT_RESULT EQUAL "0")
-- string(REGEX REPLACE "v([0-9]+).[0-9]+.[0-9]+" "\\1" DUCKDB_MAJOR_VERSION "${GIT_LAST_TAG}")
-- string(REGEX REPLACE "v[0-9]+.([0-9]+).[0-9]+" "\\1" DUCKDB_MINOR_VERSION "${GIT_LAST_TAG}")
-- string(REGEX REPLACE "v[0-9]+.[0-9]+.([0-9]+)" "\\1" DUCKDB_PATCH_VERSION "${GIT_LAST_TAG}")
-- string(REGEX REPLACE ".*-([0-9]+)-.*" "\\1" DUCKDB_DEV_ITERATION "${GIT_ITERATION}")
--
-- if(DUCKDB_DEV_ITERATION EQUAL 0)
-- # on a tag; directly use the version
-- set(DUCKDB_VERSION "${GIT_LAST_TAG}")
-- else()
-- # not on a tag, increment the patch version by one and add a -devX suffix
-- math(EXPR DUCKDB_PATCH_VERSION "${DUCKDB_PATCH_VERSION}+1")
-- set(DUCKDB_VERSION "v${DUCKDB_MAJOR_VERSION}.${DUCKDB_MINOR_VERSION}.${DUCKDB_PATCH_VERSION}-dev${DUCKDB_DEV_ITERATION}")
-- endif()
--else()
-- # fallback for when building from tarball
-- set(DUCKDB_MAJOR_VERSION 0)
-- set(DUCKDB_MINOR_VERSION 0)
-- set(DUCKDB_PATCH_VERSION 1)
-- set(DUCKDB_DEV_ITERATION 0)
-- set(DUCKDB_VERSION "v${DUCKDB_MAJOR_VERSION}.${DUCKDB_MINOR_VERSION}.${DUCKDB_PATCH_VERSION}-dev${DUCKDB_DEV_ITERATION}")
--endif()
-+set(DUCKDB_VERSION "@DUCKDB_VERSION@")
-
- message(STATUS "git hash ${GIT_COMMIT_HASH}, version ${DUCKDB_VERSION}")
-
diff --git a/pkgs/development/libraries/duckdb/versions.json b/pkgs/development/libraries/duckdb/versions.json
new file mode 100644
index 000000000000..efb151413e3d
--- /dev/null
+++ b/pkgs/development/libraries/duckdb/versions.json
@@ -0,0 +1,5 @@
+{
+ "version": "0.10.1",
+ "rev": "4a89d97db8a5a23a15f3025c8d2d2885337c2637",
+ "hash": "sha256-/j/DaUzsfACI5Izr4lblkYmIEmKsOXr760UTwC0l/qg="
+}
diff --git a/pkgs/development/libraries/easyloggingpp/default.nix b/pkgs/development/libraries/easyloggingpp/default.nix
index 3aa6024fc3d1..0923d2b450bc 100644
--- a/pkgs/development/libraries/easyloggingpp/default.nix
+++ b/pkgs/development/libraries/easyloggingpp/default.nix
@@ -15,7 +15,7 @@ stdenv.mkDerivation rec {
nativeBuildInputs = [cmake];
buildInputs = [gtest];
cmakeFlags = [ "-Dtest=ON" ];
- env.NIX_CFLAGS_COMPILE = "-std=c++11" +
+ env.NIX_CFLAGS_COMPILE = "-std=c++14" +
lib.optionalString stdenv.isLinux " -pthread";
postInstall = ''
mkdir -p $out/include
diff --git a/pkgs/development/libraries/eccodes/default.nix b/pkgs/development/libraries/eccodes/default.nix
index 844312768002..e8b58762f920 100644
--- a/pkgs/development/libraries/eccodes/default.nix
+++ b/pkgs/development/libraries/eccodes/default.nix
@@ -16,11 +16,11 @@
stdenv.mkDerivation rec {
pname = "eccodes";
- version = "2.33.0";
+ version = "2.34.1";
src = fetchurl {
url = "https://confluence.ecmwf.int/download/attachments/45757960/eccodes-${version}-Source.tar.gz";
- sha256 = "sha256-vc7IzmNlTsaANADFB/ASIKmqQDpF+mtb3/f9zET9fa8=";
+ hash = "sha256-+bhoASLjzOwm5u0kqB8bxQ7Z8iMrQx4F5XNniqxNlzQ=";
};
postPatch = ''
diff --git a/pkgs/development/libraries/edencommon/default.nix b/pkgs/development/libraries/edencommon/default.nix
index 022cecc27a61..68d6e5529157 100644
--- a/pkgs/development/libraries/edencommon/default.nix
+++ b/pkgs/development/libraries/edencommon/default.nix
@@ -1,14 +1,23 @@
-{ stdenv, lib, cmake, fetchFromGitHub, glog, folly, fmt_8, boost, gtest }:
+{ stdenv
+, lib
+, fetchFromGitHub
+, boost
+, cmake
+, fmt_8
+, folly
+, glog
+, gtest
+}:
stdenv.mkDerivation rec {
pname = "edencommon";
- version = "2023.03.06.00";
+ version = "2024.01.22.00";
src = fetchFromGitHub {
owner = "facebookexperimental";
repo = "edencommon";
rev = "v${version}";
- sha256 = "sha256-m54TaxThWe6bUa6Q1t+e99CLFOvut9vq9RSmimTNuaU=";
+ sha256 = "sha256-KY0vXptzOEJLDjHvGd3T5oiCCvggND2bPBzvll+YBo4=";
};
nativeBuildInputs = [ cmake ];
diff --git a/pkgs/development/libraries/eigen/default.nix b/pkgs/development/libraries/eigen/default.nix
index 18fe7450d508..4befab1e7b7f 100644
--- a/pkgs/development/libraries/eigen/default.nix
+++ b/pkgs/development/libraries/eigen/default.nix
@@ -1,6 +1,7 @@
{ lib
, stdenv
, fetchFromGitLab
+, fetchpatch
, cmake
}:
@@ -17,6 +18,20 @@ stdenv.mkDerivation rec {
patches = [
./include-dir.patch
+
+ # Fixes e.g. onnxruntime on aarch64-darwin:
+ # https://hydra.nixos.org/build/248915128/nixlog/1,
+ # originally suggested in https://github.com/NixOS/nixpkgs/pull/258392.
+ #
+ # The patch is from
+ # ["Fix vectorized reductions for Eigen::half"](https://gitlab.com/libeigen/eigen/-/merge_requests/699)
+ # which is two years old,
+ # but Eigen hasn't had a release in two years either:
+ # https://gitlab.com/libeigen/eigen/-/issues/2699.
+ (fetchpatch {
+ url = "https://gitlab.com/libeigen/eigen/-/commit/d0e3791b1a0e2db9edd5f1d1befdb2ac5a40efe0.patch";
+ hash = "sha256-8qiNpuYehnoiGiqy0c3Mcb45pwrmc6W4rzCxoLDSvj0=";
+ })
];
nativeBuildInputs = [ cmake ];
diff --git a/pkgs/development/libraries/enchant/2.x.nix b/pkgs/development/libraries/enchant/2.x.nix
index 10d9a4106bb9..fd79b8d7e97f 100644
--- a/pkgs/development/libraries/enchant/2.x.nix
+++ b/pkgs/development/libraries/enchant/2.x.nix
@@ -13,13 +13,13 @@
stdenv.mkDerivation rec {
pname = "enchant";
- version = "2.6.5";
+ version = "2.6.7";
outputs = [ "out" "dev" ];
src = fetchurl {
url = "https://github.com/AbiWord/${pname}/releases/download/v${version}/${pname}-${version}.tar.gz";
- hash = "sha256-no/SjLZae22jVFh4pcL1KhXwPASTOl/0jbif6GhFco4=";
+ hash = "sha256-ocLltZrMoAC7+ySBCvShFlcz1AfyFUeGWI4HbIzVe/w=";
};
strictDeps = true;
diff --git a/pkgs/development/libraries/exempi/default.nix b/pkgs/development/libraries/exempi/default.nix
index 2ebf1ce7cc91..e79bc6169802 100644
--- a/pkgs/development/libraries/exempi/default.nix
+++ b/pkgs/development/libraries/exempi/default.nix
@@ -25,6 +25,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "An implementation of XMP (Adobe's Extensible Metadata Platform)";
+ mainProgram = "exempi";
homepage = "https://libopenraw.freedesktop.org/exempi/";
platforms = platforms.linux ++ platforms.darwin;
license = licenses.bsd3;
diff --git a/pkgs/development/libraries/exiv2/default.nix b/pkgs/development/libraries/exiv2/default.nix
index fb2db6a963e7..0ef833b8aa23 100644
--- a/pkgs/development/libraries/exiv2/default.nix
+++ b/pkgs/development/libraries/exiv2/default.nix
@@ -20,7 +20,7 @@
stdenv.mkDerivation rec {
pname = "exiv2";
- version = "0.28.1";
+ version = "0.28.2";
outputs = [ "out" "lib" "dev" "doc" "man" ];
@@ -28,16 +28,9 @@ stdenv.mkDerivation rec {
owner = "exiv2";
repo = "exiv2";
rev = "v${version}";
- hash = "sha256-Jim8vYWyCa16LAJ1GuP8cCzhXIc2ouo6hVsHg3UQbdg=";
+ hash = "sha256-0TgvIiuHMeohStIwmHOq4yvTj2H07wyx4w3iIdkrLTc=";
};
- patches = [
- (fetchpatch {
- url = "https://github.com/Exiv2/exiv2/commit/c351c7cce317571934abf693055779a59df30d6e.patch";
- hash = "sha256-fWJT4IUBrAELl6ku0M1iTzGFX74le8Z0UzTJLU/gYls=";
- })
- ];
-
nativeBuildInputs = [
cmake
doxygen
@@ -94,7 +87,7 @@ stdenv.mkDerivation rec {
'';
preFixup = ''
- remove-references-to -t ${stdenv.cc.cc} $lib/lib/*.so.*.*.* $out/bin/exiv2 $static/lib/*.a
+ remove-references-to -t ${stdenv.cc.cc} $lib/lib/*.so.*.*.* $out/bin/exiv2
'';
disallowedReferences = [ stdenv.cc.cc ];
@@ -105,6 +98,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://exiv2.org";
description = "A library and command-line utility to manage image metadata";
+ mainProgram = "exiv2";
platforms = platforms.all;
license = licenses.gpl2Plus;
maintainers = with maintainers; [ wegank ];
diff --git a/pkgs/development/libraries/expat/2.6.0-fix-tests-flakiness.patch b/pkgs/development/libraries/expat/2.6.0-fix-tests-flakiness.patch
new file mode 100644
index 000000000000..9817b1833627
--- /dev/null
+++ b/pkgs/development/libraries/expat/2.6.0-fix-tests-flakiness.patch
@@ -0,0 +1,252 @@
+diff --git a/lib/internal.h b/lib/internal.h
+index cce71e4c..a217b3f9 100644
+--- a/lib/internal.h
++++ b/lib/internal.h
+@@ -31,7 +31,7 @@
+ Copyright (c) 2016-2023 Sebastian Pipping
+ Copyright (c) 2018 Yury Gribov
+ Copyright (c) 2019 David Loffredo
+- Copyright (c) 2023 Sony Corporation / Snild Dolkow
++ Copyright (c) 2023-2024 Sony Corporation / Snild Dolkow
+ Licensed under the MIT license:
+
+ Permission is hereby granted, free of charge, to any person obtaining
+@@ -162,7 +162,7 @@ const char *unsignedCharToPrintable(unsigned char c);
+ #endif
+
+ extern XML_Bool g_reparseDeferralEnabledDefault; // written ONLY in runtests.c
+-extern unsigned int g_parseAttempts; // used for testing only
++extern unsigned int g_bytesScanned; // used for testing only
+
+ #ifdef __cplusplus
+ }
+diff --git a/lib/xmlparse.c b/lib/xmlparse.c
+index aaf0fa9c..6de99d99 100644
+--- a/lib/xmlparse.c
++++ b/lib/xmlparse.c
+@@ -38,7 +38,7 @@
+ Copyright (c) 2022 Jann Horn
+ Copyright (c) 2022 Sean McBride
+ Copyright (c) 2023 Owain Davies
+- Copyright (c) 2023 Sony Corporation / Snild Dolkow
++ Copyright (c) 2023-2024 Sony Corporation / Snild Dolkow
+ Licensed under the MIT license:
+
+ Permission is hereby granted, free of charge, to any person obtaining
+@@ -630,7 +630,7 @@ static unsigned long getDebugLevel(const char *variableName,
+ : ((*((pool)->ptr)++ = c), 1))
+
+ XML_Bool g_reparseDeferralEnabledDefault = XML_TRUE; // write ONLY in runtests.c
+-unsigned int g_parseAttempts = 0; // used for testing only
++unsigned int g_bytesScanned = 0; // used for testing only
+
+ struct XML_ParserStruct {
+ /* The first member must be m_userData so that the XML_GetUserData
+@@ -1017,7 +1017,7 @@ callProcessor(XML_Parser parser, const char *start, const char *end,
+ return XML_ERROR_NONE;
+ }
+ }
+- g_parseAttempts += 1;
++ g_bytesScanned += (unsigned)have_now;
+ const enum XML_Error ret = parser->m_processor(parser, start, end, endPtr);
+ if (ret == XML_ERROR_NONE) {
+ // if we consumed nothing, remember what we had on this parse attempt.
+diff --git a/tests/basic_tests.c b/tests/basic_tests.c
+index 7112a440..a9cc3861 100644
+--- a/tests/basic_tests.c
++++ b/tests/basic_tests.c
+@@ -5202,13 +5202,7 @@ START_TEST(test_nested_entity_suspend) {
+ END_TEST
+
+ /* Regression test for quadratic parsing on large tokens */
+-START_TEST(test_big_tokens_take_linear_time) {
+- const char *const too_slow_failure_message
+- = "Compared to the baseline runtime of the first test, this test has a "
+- "slowdown of more than . "
+- "Please keep increasing the value by 1 until it reliably passes the "
+- "test on your hardware and open a bug sharing that number with us. "
+- "Thanks in advance!";
++START_TEST(test_big_tokens_scale_linearly) {
+ const struct {
+ const char *pre;
+ const char *post;
+@@ -5220,65 +5214,57 @@ START_TEST(test_big_tokens_take_linear_time) {
+ {"<", "/>"}, // big elem name, used to be O(N²)
+ };
+ const int num_cases = sizeof(text) / sizeof(text[0]);
+- // For the test we need a value that is:
+- // (1) big enough that the test passes reliably (avoiding flaky tests), and
+- // (2) small enough that the test actually catches regressions.
+- const int max_slowdown = 15;
+ char aaaaaa[4096];
+ const int fillsize = (int)sizeof(aaaaaa);
+ const int fillcount = 100;
++ const unsigned approx_bytes = fillsize * fillcount; // ignore pre/post.
++ const unsigned max_factor = 4;
++ const unsigned max_scanned = max_factor * approx_bytes;
+
+ memset(aaaaaa, 'a', fillsize);
+
+ if (! g_reparseDeferralEnabledDefault) {
+ return; // heuristic is disabled; we would get O(n^2) and fail.
+ }
+-#if ! defined(__linux__)
+- if (CLOCKS_PER_SEC < 100000) {
+- // Skip this test if clock() doesn't have reasonably good resolution.
+- // This workaround is primarily targeting Windows and FreeBSD, since
+- // XSI requires the value to be 1.000.000 (10x the condition here), and
+- // we want to be very sure that at least one platform in CI can catch
+- // regressions (through a failing test).
+- return;
+- }
+-#endif
+
+- clock_t baseline = 0;
+ for (int i = 0; i < num_cases; ++i) {
+ XML_Parser parser = XML_ParserCreate(NULL);
+ assert_true(parser != NULL);
+ enum XML_Status status;
+- set_subtest("max_slowdown=%d text=\"%saaaaaa%s\"", max_slowdown,
+- text[i].pre, text[i].post);
+- const clock_t start = clock();
++ set_subtest("text=\"%saaaaaa%s\"", text[i].pre, text[i].post);
+
+ // parse the start text
++ g_bytesScanned = 0;
+ status = _XML_Parse_SINGLE_BYTES(parser, text[i].pre,
+ (int)strlen(text[i].pre), XML_FALSE);
+ if (status != XML_STATUS_OK) {
+ xml_failure(parser);
+ }
++
+ // parse lots of 'a', failing the test early if it takes too long
++ unsigned past_max_count = 0;
+ for (int f = 0; f < fillcount; ++f) {
+ status = _XML_Parse_SINGLE_BYTES(parser, aaaaaa, fillsize, XML_FALSE);
+ if (status != XML_STATUS_OK) {
+ xml_failure(parser);
+ }
+- // i == 0 means we're still calculating the baseline value
+- if (i > 0) {
+- const clock_t now = clock();
+- const clock_t clocks_so_far = now - start;
+- const int slowdown = clocks_so_far / baseline;
+- if (slowdown >= max_slowdown) {
+- fprintf(
+- stderr,
+- "fill#%d: clocks_so_far=%d baseline=%d slowdown=%d max_slowdown=%d\n",
+- f, (int)clocks_so_far, (int)baseline, slowdown, max_slowdown);
+- fail(too_slow_failure_message);
+- }
++ if (g_bytesScanned > max_scanned) {
++ // We're not done, and have already passed the limit -- the test will
++ // definitely fail. This block allows us to save time by failing early.
++ const unsigned pushed
++ = (unsigned)strlen(text[i].pre) + (f + 1) * fillsize;
++ fprintf(
++ stderr,
++ "after %d/%d loops: pushed=%u scanned=%u (factor ~%.2f) max_scanned: %u (factor ~%u)\n",
++ f + 1, fillcount, pushed, g_bytesScanned,
++ g_bytesScanned / (double)pushed, max_scanned, max_factor);
++ past_max_count++;
++ // We are failing, but allow a few log prints first. If we don't reach
++ // a count of five, the test will fail after the loop instead.
++ assert_true(past_max_count < 5);
+ }
+ }
++
+ // parse the end text
+ status = _XML_Parse_SINGLE_BYTES(parser, text[i].post,
+ (int)strlen(text[i].post), XML_TRUE);
+@@ -5286,18 +5272,14 @@ START_TEST(test_big_tokens_take_linear_time) {
+ xml_failure(parser);
+ }
+
+- // how long did it take in total?
+- const clock_t end = clock();
+- const clock_t taken = end - start;
+- if (i == 0) {
+- assert_true(taken > 0); // just to make sure we don't div-by-0 later
+- baseline = taken;
+- }
+- const int slowdown = taken / baseline;
+- if (slowdown >= max_slowdown) {
+- fprintf(stderr, "taken=%d baseline=%d slowdown=%d max_slowdown=%d\n",
+- (int)taken, (int)baseline, slowdown, max_slowdown);
+- fail(too_slow_failure_message);
++ assert_true(g_bytesScanned > approx_bytes); // or the counter isn't working
++ if (g_bytesScanned > max_scanned) {
++ fprintf(
++ stderr,
++ "after all input: scanned=%u (factor ~%.2f) max_scanned: %u (factor ~%u)\n",
++ g_bytesScanned, g_bytesScanned / (double)approx_bytes, max_scanned,
++ max_factor);
++ fail("scanned too many bytes");
+ }
+
+ XML_ParserFree(parser);
+@@ -5774,19 +5756,17 @@ START_TEST(test_varying_buffer_fills) {
+ fillsize[2], fillsize[3]);
+ XML_Parser parser = XML_ParserCreate(NULL);
+ assert_true(parser != NULL);
+- g_parseAttempts = 0;
+
+ CharData storage;
+ CharData_Init(&storage);
+ XML_SetUserData(parser, &storage);
+ XML_SetStartElementHandler(parser, start_element_event_handler);
+
++ g_bytesScanned = 0;
+ int worstcase_bytes = 0; // sum of (buffered bytes at each XML_Parse call)
+- int scanned_bytes = 0; // sum of (buffered bytes at each actual parse)
+ int offset = 0;
+ while (*fillsize >= 0) {
+ assert_true(offset + *fillsize <= document_length); // or test is invalid
+- const unsigned attempts_before = g_parseAttempts;
+ const enum XML_Status status
+ = XML_Parse(parser, &document[offset], *fillsize, XML_FALSE);
+ if (status != XML_STATUS_OK) {
+@@ -5796,28 +5776,20 @@ START_TEST(test_varying_buffer_fills) {
+ fillsize++;
+ assert_true(offset <= INT_MAX - worstcase_bytes); // avoid overflow
+ worstcase_bytes += offset; // we might've tried to parse all pending bytes
+- if (g_parseAttempts != attempts_before) {
+- assert_true(g_parseAttempts == attempts_before + 1); // max 1/XML_Parse
+- assert_true(offset <= INT_MAX - scanned_bytes); // avoid overflow
+- scanned_bytes += offset; // we *did* try to parse all pending bytes
+- }
+ }
+ assert_true(storage.count == 1); // the big token should've been parsed
+- assert_true(scanned_bytes > 0); // test-the-test: does our counter work?
++ assert_true(g_bytesScanned > 0); // test-the-test: does our counter work?
+ if (g_reparseDeferralEnabledDefault) {
+ // heuristic is enabled; some XML_Parse calls may have deferred reparsing
+- const int max_bytes_scanned = -*fillsize;
+- if (scanned_bytes > max_bytes_scanned) {
++ const unsigned max_bytes_scanned = -*fillsize;
++ if (g_bytesScanned > max_bytes_scanned) {
+ fprintf(stderr,
+- "bytes scanned in parse attempts: actual=%d limit=%d \n",
+- scanned_bytes, max_bytes_scanned);
++ "bytes scanned in parse attempts: actual=%u limit=%u \n",
++ g_bytesScanned, max_bytes_scanned);
+ fail("too many bytes scanned in parse attempts");
+ }
+- assert_true(scanned_bytes <= worstcase_bytes);
+- } else {
+- // heuristic is disabled; every XML_Parse() will have reparsed
+- assert_true(scanned_bytes == worstcase_bytes);
+ }
++ assert_true(g_bytesScanned <= (unsigned)worstcase_bytes);
+
+ XML_ParserFree(parser);
+ }
+@@ -6065,7 +6037,7 @@ make_basic_test_case(Suite *s) {
+ tcase_add_test__ifdef_xml_dtd(tc_basic,
+ test_pool_integrity_with_unfinished_attr);
+ tcase_add_test__if_xml_ge(tc_basic, test_nested_entity_suspend);
+- tcase_add_test(tc_basic, test_big_tokens_take_linear_time);
++ tcase_add_test(tc_basic, test_big_tokens_scale_linearly);
+ tcase_add_test(tc_basic, test_set_reparse_deferral);
+ tcase_add_test(tc_basic, test_reparse_deferral_is_inherited);
+ tcase_add_test(tc_basic, test_set_reparse_deferral_on_null_parser);
diff --git a/pkgs/development/libraries/expat/default.nix b/pkgs/development/libraries/expat/default.nix
index 248e3774f4cd..d2f4aa392cb1 100644
--- a/pkgs/development/libraries/expat/default.nix
+++ b/pkgs/development/libraries/expat/default.nix
@@ -7,6 +7,7 @@
, haskellPackages
, luaPackages
, ocamlPackages
+, testers
}:
# Note: this package is used for bootstrapping fetchurl, and thus
@@ -14,15 +15,24 @@
# cgit) that are needed here should be included directly in Nixpkgs as
# files.
-stdenv.mkDerivation rec {
+let
+ version = "2.6.0";
+ tag = "R_${lib.replaceStrings ["."] ["_"] version}";
+in
+stdenv.mkDerivation (finalAttrs: {
pname = "expat";
- version = "2.5.0";
+ inherit version;
src = fetchurl {
- url = "https://github.com/libexpat/libexpat/releases/download/R_${lib.replaceStrings ["."] ["_"] version}/${pname}-${version}.tar.xz";
- sha256 = "1gnwihpfz4x18rwd6cbrdggmfqjzwsdfh1gpmc0ph21c4gq2097g";
+ url = with finalAttrs; "https://github.com/libexpat/libexpat/releases/download/${tag}/${pname}-${version}.tar.xz";
+ hash = "sha256-y19ajqIR4cq9Wb4KkzpS48Aswyboak04fY0hjn7kej4=";
};
+ patches = [
+ # Fix tests flakiness on some platforms (like aarch64-darwin), should be released in 2.6.1
+ ./2.6.0-fix-tests-flakiness.patch
+ ];
+
strictDeps = true;
outputs = [ "out" "dev" ]; # TODO: fix referrers
@@ -43,7 +53,7 @@ stdenv.mkDerivation rec {
# CMake files incorrectly calculate library path from dev prefix
# https://github.com/libexpat/libexpat/issues/501
postFixup = ''
- substituteInPlace $dev/lib/cmake/expat-${version}/expat-noconfig.cmake \
+ substituteInPlace $dev/lib/cmake/expat-${finalAttrs.version}/expat-noconfig.cmake \
--replace "$"'{_IMPORT_PREFIX}' $out
'';
@@ -54,12 +64,18 @@ stdenv.mkDerivation rec {
inherit (perlPackages) XMLSAXExpat XMLParser;
inherit (luaPackages) luaexpat;
inherit (ocamlPackages) ocaml_expat;
+ pkg-config = testers.hasPkgConfigModules {
+ package = finalAttrs.finalPackage;
+ };
};
meta = with lib; {
+ changelog = "https://github.com/libexpat/libexpat/blob/${tag}/expat/Changes";
homepage = "https://libexpat.github.io/";
description = "A stream-oriented XML parser library written in C";
+ mainProgram = "xmlwf";
platforms = platforms.all;
license = licenses.mit; # expat version
+ pkgConfigModules = [ "expat" ];
};
-}
+})
diff --git a/pkgs/development/libraries/faudio/default.nix b/pkgs/development/libraries/faudio/default.nix
index 96734d7325c1..22f422e25317 100644
--- a/pkgs/development/libraries/faudio/default.nix
+++ b/pkgs/development/libraries/faudio/default.nix
@@ -4,13 +4,13 @@
stdenv.mkDerivation rec {
pname = "faudio";
- version = "24.02";
+ version = "24.03";
src = fetchFromGitHub {
owner = "FNA-XNA";
repo = "FAudio";
rev = version;
- sha256 = "sha256-xEieWgPNSpRJNYh65F2+NLXVZIlKA5ZE+959+s9zQ/I=";
+ sha256 = "sha256-O2hnv74Uj3PJCmca9Ynz+jjeJXBw2zbGEWlqax3WfjA=";
};
nativeBuildInputs = [cmake];
diff --git a/pkgs/development/libraries/fb303/default.nix b/pkgs/development/libraries/fb303/default.nix
index aad545ca602f..d1de187ec2c4 100644
--- a/pkgs/development/libraries/fb303/default.nix
+++ b/pkgs/development/libraries/fb303/default.nix
@@ -2,27 +2,26 @@
, lib
, fetchFromGitHub
, cmake
-, glog
-, folly
-, fmt_8
-, boost
, fbthrift
-, zlib
, fizz
+, folly
+, glog
, libsodium
-, wangle
+, mvfst
, python3
+, wangle
+, zlib
}:
stdenv.mkDerivation rec {
pname = "fb303";
- version = "2023.06.12.00";
+ version = "2024.01.22.00";
src = fetchFromGitHub {
owner = "facebook";
repo = "fb303";
rev = "v${version}";
- sha256 = "sha256-nUOPYb5/tLyHjaZDvKuq0vdu4L7XOmO8R9nNLGAzeLI=";
+ sha256 = "sha256-EQpe0REGWUpYg+llsCo4x6vJ7UPdWXk3uPM3b8b9Uf0=";
};
nativeBuildInputs = [ cmake ];
@@ -33,16 +32,17 @@ stdenv.mkDerivation rec {
];
buildInputs = [
- glog
- folly
- fmt_8
- boost
fbthrift
- zlib
fizz
+ folly
+ folly.boost
+ folly.fmt
+ glog
libsodium
- wangle
+ mvfst
python3
+ wangle
+ zlib
];
meta = with lib; {
diff --git a/pkgs/development/libraries/fbthrift/default.nix b/pkgs/development/libraries/fbthrift/default.nix
index 6b660a491f23..373d01892203 100644
--- a/pkgs/development/libraries/fbthrift/default.nix
+++ b/pkgs/development/libraries/fbthrift/default.nix
@@ -13,6 +13,7 @@
, glog
, gflags
, libiberty
+, mvfst
, openssl
, lib
, wangle
@@ -22,13 +23,13 @@
stdenv.mkDerivation rec {
pname = "fbthrift";
- version = "2023.03.20.00";
+ version = "2024.01.22.00";
src = fetchFromGitHub {
owner = "facebook";
repo = "fbthrift";
rev = "v${version}";
- sha256 = "sha256-jCgdo7jE5QlRK5f2S6XEVM4+TPWI//4DKG/fDMFzgzg=";
+ sha256 = "sha256-vIYXX4NOs2JdhrAJKmIhf4+hQEXHue2Ok7e4cw6yups=";
};
nativeBuildInputs = [
@@ -51,6 +52,7 @@ stdenv.mkDerivation rec {
gflags
libevent
libiberty
+ mvfst
openssl
wangle
zlib
@@ -60,6 +62,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Facebook's branch of Apache Thrift";
+ mainProgram = "thrift1";
homepage = "https://github.com/facebook/fbthrift";
license = licenses.asl20;
platforms = platforms.unix;
diff --git a/pkgs/development/libraries/fcft/default.nix b/pkgs/development/libraries/fcft/default.nix
index 37084705d6ee..faf5906f7a98 100644
--- a/pkgs/development/libraries/fcft/default.nix
+++ b/pkgs/development/libraries/fcft/default.nix
@@ -17,14 +17,14 @@ in
stdenv.mkDerivation rec {
pname = "fcft";
- version = "3.1.7";
+ version = "3.1.8";
src = fetchFromGitea {
domain = "codeberg.org";
owner = "dnkl";
repo = "fcft";
rev = version;
- sha256 = "sha256-QS39vbf2JowovTBtT4DKDRbLXieOrzbO4cQObOdE788=";
+ sha256 = "sha256-Wgm2QdW4rg573soF/8HhDmlyN4S2cA0VWOejow464gU=";
};
depsBuildBuild = [ pkg-config ];
diff --git a/pkgs/development/libraries/fflas-ffpack/default.nix b/pkgs/development/libraries/fflas-ffpack/default.nix
index 7dadbcb39502..25bab6645e07 100644
--- a/pkgs/development/libraries/fflas-ffpack/default.nix
+++ b/pkgs/development/libraries/fflas-ffpack/default.nix
@@ -31,6 +31,7 @@ stdenv.mkDerivation rec {
configureFlags = [
"--with-blas-libs=-lcblas"
"--with-lapack-libs=-llapacke"
+ "--without-archnative"
] ++ lib.optionals stdenv.isx86_64 [
# disable SIMD instructions (which are enabled *when available* by default)
# for now we need to be careful to disable *all* relevant versions of an instruction set explicitly (https://github.com/linbox-team/fflas-ffpack/issues/284)
@@ -51,6 +52,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
broken = stdenv.isDarwin;
description = "Finite Field Linear Algebra Subroutines";
+ mainProgram = "fflas-ffpack-config";
license = licenses.lgpl21Plus;
maintainers = teams.sage.members;
platforms = platforms.unix;
diff --git a/pkgs/development/libraries/ffmpeg/4.nix b/pkgs/development/libraries/ffmpeg/4.nix
deleted file mode 100644
index e57c0fcff5bc..000000000000
--- a/pkgs/development/libraries/ffmpeg/4.nix
+++ /dev/null
@@ -1,23 +0,0 @@
-import ./generic.nix {
- version = "4.4.4";
- hash = "sha256-Q8bkuF/1uJfqttJJoObnnLX3BEduv+qxsvOrVhMvRjA=";
- extraPatches = [
- {
- name = "libsvtav1-1.5.0-compat-compressed_ten_bit_format.patch";
- url = "https://git.ffmpeg.org/gitweb/ffmpeg.git/patch/031f1561cd286596cdb374da32f8aa816ce3b135";
- hash = "sha256-mSnmAkoNikDpxcN+A/hpB7mUbbtcMvm4tG6gZFuroe8=";
- }
- # The upstream patch isn’t for ffmpeg 4, but it will apply with a few tweaks.
- # Fixes a crash when built with clang 16 due to UB in ff_seek_frame_binary.
- {
- name = "utils-fix_crash_in_ff_seek_frame_binary.patch";
- url = "https://git.ffmpeg.org/gitweb/ffmpeg.git/patch/ab792634197e364ca1bb194f9abe36836e42f12d";
- hash = "sha256-UxZ4VneZpw+Q/UwkEUDNdb2nOx1QnMrZ40UagspNTxI=";
- postFetch = ''
- substituteInPlace "$out" \
- --replace libavformat/seek.c libavformat/utils.c \
- --replace 'const AVInputFormat *const ' 'const AVInputFormat *'
- '';
- }
- ];
-}
diff --git a/pkgs/development/libraries/ffmpeg/5.nix b/pkgs/development/libraries/ffmpeg/5.nix
deleted file mode 100644
index 68edb0fd37e4..000000000000
--- a/pkgs/development/libraries/ffmpeg/5.nix
+++ /dev/null
@@ -1,21 +0,0 @@
-import ./generic.nix {
- version = "5.1.3";
- hash = "sha256-twfJvANLQGO7TiyHPMPqApfHLFUlOGZTTIIGEnjyvuE=";
- extraPatches = [
- {
- name = "libsvtav1-1.5.0-compat-compressed_ten_bit_format.patch";
- url = "https://git.ffmpeg.org/gitweb/ffmpeg.git/patch/031f1561cd286596cdb374da32f8aa816ce3b135";
- hash = "sha256-mSnmAkoNikDpxcN+A/hpB7mUbbtcMvm4tG6gZFuroe8=";
- }
- {
- name = "libsvtav1-1.5.0-compat-vbv_bufsize.patch";
- url = "https://git.ffmpeg.org/gitweb/ffmpeg.git/patch/1c6fd7d756afe0f8b7df14dbf7a95df275f8f5ee";
- hash = "sha256-v9Viyo12QfZpbcVqd1aHgLl/DgSkdE9F1kr6afTGPik=";
- }
- {
- name = "libsvtav1-1.5.0-compat-maximum_buffer_size_ms-conditional.patch";
- url = "https://git.ffmpeg.org/gitweb/ffmpeg.git/patch/96748ac54f998ba6fe22802799c16b4eba8d4ccc";
- hash = "sha256-Z5HSe7YpryYGHD3BYXejAhqR4EPnmfTGyccxNvU3AaU=";
- }
- ];
-}
diff --git a/pkgs/development/libraries/ffmpeg/6.nix b/pkgs/development/libraries/ffmpeg/6.nix
deleted file mode 100644
index 62d3919c0646..000000000000
--- a/pkgs/development/libraries/ffmpeg/6.nix
+++ /dev/null
@@ -1,4 +0,0 @@
-import ./generic.nix {
- version = "6.1";
- hash = "sha256-NzhD2D16bCVCyCXo0TRwZYp3Ta5eFSfoQPa+iRkeNZg=";
-}
diff --git a/pkgs/development/libraries/ffmpeg/default.nix b/pkgs/development/libraries/ffmpeg/default.nix
new file mode 100644
index 000000000000..5c15239541d8
--- /dev/null
+++ b/pkgs/development/libraries/ffmpeg/default.nix
@@ -0,0 +1,58 @@
+{ callPackage, darwin }:
+
+let
+ mkFFmpeg =
+ initArgs: ffmpegVariant:
+ callPackage ./generic.nix (
+ {
+ inherit (darwin.apple_sdk.frameworks)
+ Cocoa
+ CoreServices
+ CoreAudio
+ CoreMedia
+ AVFoundation
+ MediaToolbox
+ VideoDecodeAcceleration
+ VideoToolbox
+ ;
+ }
+ // (initArgs // { inherit ffmpegVariant; })
+ );
+
+ v4 = {
+ version = "4.4.4";
+ hash = "sha256-Q8bkuF/1uJfqttJJoObnnLX3BEduv+qxsvOrVhMvRjA=";
+ };
+
+ v5 = {
+ version = "5.1.4";
+ hash = "sha256-2jUL1/xGUf7aMooST2DW41KE7bC+BtgChXmj0sAJZ90=";
+ };
+
+ v6 = {
+ version = "6.1.1";
+ hash = "sha256-Q0c95hbCVUHQWPoh5uC8uzMylmB4BnWg+VhXEgSouzo=";
+ };
+in
+
+rec {
+ ffmpeg_4 = mkFFmpeg v4 "small";
+ ffmpeg_4-headless = mkFFmpeg v4 "headless";
+ ffmpeg_4-full = mkFFmpeg v4 "full";
+
+ ffmpeg_5 = mkFFmpeg v5 "small";
+ ffmpeg_5-headless = mkFFmpeg v5 "headless";
+ ffmpeg_5-full = mkFFmpeg v5 "full";
+
+ ffmpeg_6 = mkFFmpeg v6 "small";
+ ffmpeg_6-headless = mkFFmpeg v6 "headless";
+ ffmpeg_6-full = mkFFmpeg v6 "full";
+
+ # Please make sure this is updated to the latest version on the next major
+ # update to ffmpeg
+ # Packages which use ffmpeg as a library, should pin to the relevant major
+ # version number which the upstream support.
+ ffmpeg = ffmpeg_6;
+ ffmpeg-headless = ffmpeg_6-headless;
+ ffmpeg-full = ffmpeg_6-full;
+}
diff --git a/pkgs/development/libraries/ffmpeg/generic.nix b/pkgs/development/libraries/ffmpeg/generic.nix
index 89893d32ef5d..6dba78cad219 100644
--- a/pkgs/development/libraries/ffmpeg/generic.nix
+++ b/pkgs/development/libraries/ffmpeg/generic.nix
@@ -1,7 +1,15 @@
-{ version, hash, extraPatches ? [] }:
-
{ lib, stdenv, buildPackages, removeReferencesTo, addOpenGLRunpath, pkg-config, perl, texinfo, yasm
+ # You can fetch any upstream version using this derivation by specifying version and hash
+ # NOTICE: Always use this argument to override the version. Do not use overrideAttrs.
+, version # ffmpeg ABI version. Also declare this if you're overriding the source.
+, hash ? "" # hash of the upstream source for the given ABI version
+, source ? fetchgit {
+ url = "https://git.ffmpeg.org/ffmpeg.git";
+ rev = "n${version}";
+ inherit hash;
+ }
+
, ffmpegVariant ? "small" # Decides which dependencies are enabled by default
# Build with headless deps; excludes dependencies that are only necessary for
@@ -40,7 +48,7 @@
, withDav1d ? withHeadlessDeps # AV1 decoder (focused on speed and correctness)
, withDc1394 ? withFullDeps && !stdenv.isDarwin # IIDC-1394 grabbing (ieee 1394)
, withDrm ? withHeadlessDeps && (with stdenv; isLinux || isFreeBSD) # libdrm support
-, withFdkAac ? withFullDeps && withUnfree # Fraunhofer FDK AAC de/encoder
+, withFdkAac ? withFullDeps && (!withGPL || withUnfree) # Fraunhofer FDK AAC de/encoder
, withFlite ? withFullDeps # Voice Synthesis
, withFontconfig ? withHeadlessDeps # Needed for drawtext filter
, withFreetype ? withHeadlessDeps # Needed for drawtext filter
@@ -49,6 +57,7 @@
, withGme ? withFullDeps # Game Music Emulator
, withGnutls ? withHeadlessDeps
, withGsm ? withFullDeps # GSM de/encoder
+, withHarfbuzz ? withHeadlessDeps && lib.versionAtLeast version "6.1" # Needed for drawtext filter
, withIconv ? withHeadlessDeps
, withJack ? withFullDeps && !stdenv.isDarwin # Jack audio
, withLadspa ? withFullDeps # LADSPA audio filtering
@@ -62,14 +71,15 @@
, withOgg ? withHeadlessDeps # Ogg container used by vorbis & theora
, withOpenal ? withFullDeps # OpenAL 1.1 capture support
, withOpencl ? withFullDeps
-, withOpencoreAmrnb ? withFullDeps && withVersion3 # AMR-NB de/encoder & AMR-WB decoder
+, withOpencoreAmrnb ? withFullDeps && withVersion3 # AMR-NB de/encoder
+, withOpencoreAmrwb ? withFullDeps && withVersion3 # AMR-WB decoder
, withOpengl ? false # OpenGL rendering
, withOpenh264 ? withFullDeps # H.264/AVC encoder
, withOpenjpeg ? withFullDeps # JPEG 2000 de/encoder
, withOpenmpt ? withFullDeps # Tracked music files decoder
, withOpus ? withHeadlessDeps # Opus de/encoder
, withPlacebo ? withFullDeps && !stdenv.isDarwin # libplacebo video processing library
-, withPulse ? withSmallDeps && !stdenv.isDarwin # Pulseaudio input support
+, withPulse ? withSmallDeps && stdenv.isLinux # Pulseaudio input support
, withRav1e ? withFullDeps # AV1 encoder (focused on speed and safety)
, withRtmp ? false # RTMP[E] support
, withSamba ? withFullDeps && !stdenv.isDarwin && withGPLv3 # Samba protocol
@@ -80,19 +90,19 @@
, withSrt ? withHeadlessDeps # Secure Reliable Transport (SRT) protocol
, withSsh ? withHeadlessDeps # SFTP protocol
, withSvg ? withFullDeps # SVG protocol
-, withSvtav1 ? withHeadlessDeps && !stdenv.isAarch64 # AV1 encoder/decoder (focused on speed and correctness)
+, withSvtav1 ? withHeadlessDeps && !stdenv.isAarch64 && !stdenv.hostPlatform.isMinGW # AV1 encoder/decoder (focused on speed and correctness)
, withTensorflow ? false # Tensorflow dnn backend support
, withTheora ? withHeadlessDeps # Theora encoder
-, withV4l2 ? withHeadlessDeps && !stdenv.isDarwin # Video 4 Linux support
+, withV4l2 ? withHeadlessDeps && stdenv.isLinux # Video 4 Linux support
, withV4l2M2m ? withV4l2
, withVaapi ? withHeadlessDeps && (with stdenv; isLinux || isFreeBSD) # Vaapi hardware acceleration
-, withVdpau ? withSmallDeps # Vdpau hardware acceleration
+, withVdpau ? withSmallDeps && !stdenv.hostPlatform.isMinGW # Vdpau hardware acceleration
, withVidStab ? withFullDeps && withGPL # Video stabilization
, withVmaf ? withFullDeps && !stdenv.isAarch64 && lib.versionAtLeast version "5" # Netflix's VMAF (Video Multi-Method Assessment Fusion)
, withVoAmrwbenc ? withFullDeps && withVersion3 # AMR-WB encoder
, withVorbis ? withHeadlessDeps # Vorbis de/encoding, native encoder exists
, withVpx ? withHeadlessDeps && stdenv.buildPlatform == stdenv.hostPlatform # VP8 & VP9 de/encoding
-, withVulkan ? withFullDeps && !stdenv.isDarwin
+, withVulkan ? withSmallDeps && !stdenv.isDarwin
, withWebp ? withFullDeps # WebP encoder
, withX264 ? withHeadlessDeps && withGPL # H.264/AVC encoder
, withX265 ? withHeadlessDeps && withGPL # H.265/HEVC encoder
@@ -201,6 +211,7 @@
, game-music-emu
, gnutls
, gsm
+, harfbuzz
, intel-media-sdk
, ladspaH
, lame
@@ -302,7 +313,7 @@
*/
let
- inherit (lib) optional optionals optionalString enableFeature versionAtLeast;
+ inherit (lib) optional optionals optionalString enableFeature versionOlder versionAtLeast;
in
@@ -343,12 +354,7 @@ assert buildSwscale -> buildAvutil;
stdenv.mkDerivation (finalAttrs: {
pname = "ffmpeg" + (optionalString (ffmpegVariant != "small") "-${ffmpegVariant}");
inherit version;
-
- src = fetchgit {
- url = "https://git.ffmpeg.org/ffmpeg.git";
- rev = "n${finalAttrs.version}";
- inherit hash;
- };
+ src = source;
postPatch = ''
patchShebangs .
@@ -359,15 +365,40 @@ stdenv.mkDerivation (finalAttrs: {
--replace /usr/local/lib/frei0r-1 ${frei0r}/lib/frei0r-1
'';
- patches = map (patch: fetchpatch patch) (extraPatches
- ++ (lib.optional (lib.versionAtLeast finalAttrs.version "6" && lib.versionOlder finalAttrs.version "6.1")
+ patches = map (patch: fetchpatch patch) ([ ]
+ ++ optionals (versionOlder version "5") [
+ {
+ name = "libsvtav1-1.5.0-compat-compressed_ten_bit_format.patch";
+ url = "https://git.ffmpeg.org/gitweb/ffmpeg.git/patch/031f1561cd286596cdb374da32f8aa816ce3b135";
+ hash = "sha256-mSnmAkoNikDpxcN+A/hpB7mUbbtcMvm4tG6gZFuroe8=";
+ }
+ {
+ # Backport fix for binutils-2.41.
+ name = "binutils-2.41.patch";
+ url = "https://git.ffmpeg.org/gitweb/ffmpeg.git/patch/effadce6c756247ea8bae32dc13bb3e6f464f0eb";
+ hash = "sha256-vlBUMJ1bORQHRNpuzc5iXsTWwS/CN5BmGIA8g7H7mJE=";
+ }
+ # The upstream patch isn’t for ffmpeg 4, but it will apply with a few tweaks.
+ # Fixes a crash when built with clang 16 due to UB in ff_seek_frame_binary.
+ {
+ name = "utils-fix_crash_in_ff_seek_frame_binary.patch";
+ url = "https://git.ffmpeg.org/gitweb/ffmpeg.git/patch/ab792634197e364ca1bb194f9abe36836e42f12d";
+ hash = "sha256-UxZ4VneZpw+Q/UwkEUDNdb2nOx1QnMrZ40UagspNTxI=";
+ postFetch = ''
+ substituteInPlace "$out" \
+ --replace libavformat/seek.c libavformat/utils.c \
+ --replace 'const AVInputFormat *const ' 'const AVInputFormat *'
+ '';
+ }
+ ]
+ ++ (lib.optional (lib.versionAtLeast version "6" && lib.versionOlder version "6.1")
{ # this can be removed post 6.1
name = "fix_aacps_tablegen";
url = "https://git.ffmpeg.org/gitweb/ffmpeg.git/patch/814178f92647be2411516bbb82f48532373d2554";
hash = "sha256-FQV9/PiarPXCm45ldtCsxGHjlrriL8DKpn1LaKJ8owI=";
}
)
- ++ (lib.optional (lib.versionAtLeast finalAttrs.version "6.1" && lib.versionOlder finalAttrs.version "6.2")
+ ++ (lib.optional (lib.versionAtLeast version "6.1" && lib.versionOlder version "6.2")
{ # this can be removed post 6.1
name = "fix_build_failure_due_to_PropertyKey_EncoderID";
url = "https://git.ffmpeg.org/gitweb/ffmpeg.git/patch/cb049d377f54f6b747667a93e4b719380c3e9475";
@@ -428,7 +459,7 @@ stdenv.mkDerivation (finalAttrs: {
(enableFeature buildAvdevice "avdevice")
(enableFeature buildAvfilter "avfilter")
(enableFeature buildAvformat "avformat")
- ] ++ optionals (lib.versionOlder finalAttrs.version "5") [
+ ] ++ optionals (lib.versionOlder version "5") [
# Ffmpeg > 4 doesn't know about the flag anymore
(enableFeature buildAvresample "avresample")
] ++ [
@@ -459,13 +490,14 @@ stdenv.mkDerivation (finalAttrs: {
*/
(enableFeature withAlsa "alsa")
(enableFeature withAom "libaom")
- ] ++ optionals (versionAtLeast finalAttrs.version "6.1") [
+ ] ++ optionals (versionAtLeast version "6.1") [
(enableFeature withAribcaption "libaribcaption")
] ++ [
(enableFeature withAss "libass")
(enableFeature withBluray "libbluray")
(enableFeature withBs2b "libbs2b")
(enableFeature withBzlib "bzlib")
+ (enableFeature withCaca "libcaca")
(enableFeature withCelt "libcelt")
(enableFeature withChromaprint "chromaprint")
(enableFeature withCuda "cuda")
@@ -476,12 +508,16 @@ stdenv.mkDerivation (finalAttrs: {
(enableFeature withFdkAac "libfdk-aac")
(enableFeature withFlite "libflite")
(enableFeature withFontconfig "fontconfig")
+ (enableFeature withFontconfig "libfontconfig")
(enableFeature withFreetype "libfreetype")
(enableFeature withFrei0r "frei0r")
(enableFeature withFribidi "libfribidi")
(enableFeature withGme "libgme")
(enableFeature withGnutls "gnutls")
(enableFeature withGsm "libgsm")
+ ] ++ optionals (versionAtLeast version "6.1") [
+ (enableFeature withHarfbuzz "libharfbuzz")
+ ] ++ [
(enableFeature withIconv "iconv")
(enableFeature withJack "libjack")
(enableFeature withLadspa "ladspa")
@@ -496,12 +532,13 @@ stdenv.mkDerivation (finalAttrs: {
(enableFeature withOpenal "openal")
(enableFeature withOpencl "opencl")
(enableFeature withOpencoreAmrnb "libopencore-amrnb")
+ (enableFeature withOpencoreAmrwb "libopencore-amrwb")
(enableFeature withOpengl "opengl")
(enableFeature withOpenh264 "libopenh264")
(enableFeature withOpenjpeg "libopenjpeg")
(enableFeature withOpenmpt "libopenmpt")
(enableFeature withOpus "libopus")
- ] ++ optionals (versionAtLeast finalAttrs.version "5.0") [
+ ] ++ optionals (versionAtLeast version "5.0") [
(enableFeature withPlacebo "libplacebo")
] ++ [
(enableFeature withPulse "libpulse")
@@ -509,7 +546,7 @@ stdenv.mkDerivation (finalAttrs: {
(enableFeature withRtmp "librtmp")
(enableFeature withSamba "libsmbclient")
(enableFeature withSdl2 "sdl2")
- ] ++ optionals (versionAtLeast finalAttrs.version "5.0") [
+ ] ++ optionals (versionAtLeast version "5.0") [
(enableFeature withShaderc "libshaderc")
] ++ [
(enableFeature withSoxr "libsoxr")
@@ -575,7 +612,7 @@ stdenv.mkDerivation (finalAttrs: {
nativeBuildInputs = [ removeReferencesTo addOpenGLRunpath perl pkg-config texinfo yasm ]
++ optionals withCudaLLVM [ clang ];
- buildInputs = optionals (withNvdec || withNvenc) [ (if (lib.versionAtLeast finalAttrs.version "6") then nv-codec-headers-12 else nv-codec-headers) ]
+ buildInputs = []
++ optionals withAlsa [ alsa-lib ]
++ optionals withAom [ libaom ]
++ optionals withAribcaption [ libaribcaption ]
@@ -598,6 +635,7 @@ stdenv.mkDerivation (finalAttrs: {
++ optionals withGme [ game-music-emu ]
++ optionals withGnutls [ gnutls ]
++ optionals withGsm [ gsm ]
+ ++ optionals withHarfbuzz [ harfbuzz ]
++ optionals withIconv [ libiconv ] # On Linux this should be in libc, do we really need it?
++ optionals withJack [ libjack2 ]
++ optionals withLadspa [ ladspaH ]
@@ -606,16 +644,17 @@ stdenv.mkDerivation (finalAttrs: {
++ optionals withModplug [ libmodplug ]
++ optionals withMp3lame [ lame ]
++ optionals withMysofa [ libmysofa ]
+ ++ optionals (withNvdec || withNvenc) [ (if (lib.versionAtLeast version "6") then nv-codec-headers-12 else nv-codec-headers) ]
++ optionals withOgg [ libogg ]
++ optionals withOpenal [ openal ]
++ optionals withOpencl [ ocl-icd opencl-headers ]
- ++ optionals withOpencoreAmrnb [ opencore-amr ]
+ ++ optionals (withOpencoreAmrnb || withOpencoreAmrwb) [ opencore-amr ]
++ optionals withOpengl [ libGL libGLU ]
++ optionals withOpenh264 [ openh264 ]
++ optionals withOpenjpeg [ openjpeg ]
++ optionals withOpenmpt [ libopenmpt ]
++ optionals withOpus [ libopus ]
- ++ optionals withPlacebo [ (if (lib.versionAtLeast finalAttrs.version "6.1") then libplacebo else libplacebo_5) vulkan-headers ]
+ ++ optionals withPlacebo [ (if (lib.versionAtLeast version "6.1") then libplacebo else libplacebo_5) vulkan-headers ]
++ optionals withPulse [ libpulseaudio ]
++ optionals withRav1e [ rav1e ]
++ optionals withRtmp [ rtmpdump ]
@@ -701,6 +740,10 @@ stdenv.mkDerivation (finalAttrs: {
postFixup = optionalString (stdenv.isLinux && withLib) ''
addOpenGLRunpath ${placeholder "lib"}/lib/libavcodec.so
addOpenGLRunpath ${placeholder "lib"}/lib/libavutil.so
+ ''
+ # https://trac.ffmpeg.org/ticket/10809
+ + optionalString (versionAtLeast version "5.0" && withVulkan && !stdenv.hostPlatform.isMinGW) ''
+ patchelf $lib/lib/libavcodec.so --add-needed libvulkan.so --add-rpath ${lib.makeLibraryPath [ vulkan-loader ]}
'';
enableParallelBuilding = true;
@@ -710,7 +753,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "A complete, cross-platform solution to record, convert and stream audio and video";
homepage = "https://www.ffmpeg.org/";
- changelog = "https://github.com/FFmpeg/FFmpeg/blob/n${finalAttrs.version}/Changelog";
+ changelog = "https://github.com/FFmpeg/FFmpeg/blob/n${version}/Changelog";
longDescription = ''
FFmpeg is the leading multimedia framework, able to decode, encode, transcode,
mux, demux, stream, filter and play pretty much anything that humans and machines
@@ -726,6 +769,8 @@ stdenv.mkDerivation (finalAttrs: {
++ optional (withGPL && withUnfree) unfree;
pkgConfigModules = [ "libavutil" ];
platforms = platforms.all;
+ # See https://github.com/NixOS/nixpkgs/pull/295344#issuecomment-1992263658
+ broken = stdenv.hostPlatform.isMinGW && stdenv.hostPlatform.is64bit;
maintainers = with maintainers; [ atemu arthsmn jopejoe1 ];
mainProgram = "ffmpeg";
};
diff --git a/pkgs/development/libraries/ffmpegthumbnailer/default.nix b/pkgs/development/libraries/ffmpegthumbnailer/default.nix
index 381bb7b2984c..47e3c3ed42c7 100644
--- a/pkgs/development/libraries/ffmpegthumbnailer/default.nix
+++ b/pkgs/development/libraries/ffmpegthumbnailer/default.nix
@@ -25,6 +25,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/dirkvdb/ffmpegthumbnailer";
description = "A lightweight video thumbnailer";
+ mainProgram = "ffmpegthumbnailer";
longDescription = "FFmpegthumbnailer is a lightweight video
thumbnailer that can be used by file managers to create thumbnails
for your video files. The thumbnailer uses ffmpeg o decode frames
diff --git a/pkgs/development/libraries/ffms/default.nix b/pkgs/development/libraries/ffms/default.nix
index 06fce3891e27..370b4267bdb4 100644
--- a/pkgs/development/libraries/ffms/default.nix
+++ b/pkgs/development/libraries/ffms/default.nix
@@ -44,6 +44,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/FFMS/ffms2/";
description = "FFmpeg based source library for easy frame accurate access";
+ mainProgram = "ffmsindex";
license = licenses.mit;
maintainers = with maintainers; [ tadeokondrak ];
platforms = platforms.unix;
diff --git a/pkgs/development/libraries/fizz/default.nix b/pkgs/development/libraries/fizz/default.nix
index bdf7a68ffbe3..282400948769 100644
--- a/pkgs/development/libraries/fizz/default.nix
+++ b/pkgs/development/libraries/fizz/default.nix
@@ -17,23 +17,25 @@
, zlib
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "fizz";
- version = "2023.03.20.00";
+ version = "2024.01.22.00";
src = fetchFromGitHub {
owner = "facebookincubator";
repo = "fizz";
- rev = "refs/tags/v${version}";
- hash = "sha256-oBdTj7IPlmtF5rEgDVN/wwa0ZxkN6h2QMN3PQB0nCgQ=";
+ rev = "refs/tags/v${finalAttrs.version}";
+ hash = "sha256-17EELvRrWhUprxvm1Ur0FYNimvY1qgK0YH8ehxtLpxM=";
};
nativeBuildInputs = [ cmake ];
cmakeDir = "../fizz";
- cmakeFlags = [ "-Wno-dev" ]
- ++ lib.optionals stdenv.isDarwin [
+ cmakeFlags = [
+ "-Wno-dev"
+ (lib.cmakeBool "BUILD_TESTS" finalAttrs.finalPackage.doCheck)
+ ] ++ lib.optionals stdenv.isDarwin [
"-DCMAKE_OSX_DEPLOYMENT_TARGET=10.14" # For aligned allocation
];
@@ -46,7 +48,6 @@ stdenv.mkDerivation rec {
folly
glog
gflags
- gtest
libevent
libiberty
libsodium
@@ -55,6 +56,24 @@ stdenv.mkDerivation rec {
zstd
];
+ doCheck = true;
+ checkInputs = [
+ gtest
+ ];
+ preCheck = let
+ disabledTests = [
+ # these don't work with openssl 3.x probably due to
+ # https://github.com/openssl/openssl/issues/13283
+ "DefaultCertificateVerifierTest.TestVerifySuccess"
+ "DefaultCertificateVerifierTest.TestVerifyWithIntermediates"
+
+ # timing-related & flaky
+ "SlidingBloomReplayCacheTest.TestTimeBucketing"
+ ];
+ in ''
+ export GTEST_FILTER="-${lib.concatStringsSep ":" disabledTests}"
+ '';
+
meta = with lib; {
description = "C++14 implementation of the TLS-1.3 standard";
homepage = "https://github.com/facebookincubator/fizz";
@@ -63,4 +82,4 @@ stdenv.mkDerivation rec {
platforms = platforms.unix;
maintainers = with maintainers; [ pierreis kylesferrazza ];
};
-}
+})
diff --git a/pkgs/development/libraries/flatcc/default.nix b/pkgs/development/libraries/flatcc/default.nix
index 1f487955b3f3..4d74627ee3c9 100644
--- a/pkgs/development/libraries/flatcc/default.nix
+++ b/pkgs/development/libraries/flatcc/default.nix
@@ -27,6 +27,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "FlatBuffers Compiler and Library in C for C ";
+ mainProgram = "flatcc";
homepage = "https://github.com/dvidelabs/flatcc";
license = [ licenses.asl20 ];
maintainers = with maintainers; [ onny ];
diff --git a/pkgs/development/libraries/flint/3.nix b/pkgs/development/libraries/flint/3.nix
index 3be7fdc63904..b8b8c2d8ec7d 100644
--- a/pkgs/development/libraries/flint/3.nix
+++ b/pkgs/development/libraries/flint/3.nix
@@ -24,16 +24,19 @@ stdenv.mkDerivation rec {
sha256 = "sha256-ezEaAFA6hjiB64F32+uEMi8pOZ89fXLzsaTJuh1XlLQ=";
};
- propagatedBuildInputs = [
+ nativeBuildInputs = [
autoconf
automake
gettext
libtool
];
+ propagatedBuildInputs = [
+ mpfr
+ ];
+
buildInputs = [
gmp
- mpfr
] ++ lib.optionals withBlas [
openblas
] ++ lib.optionals withNtl [
diff --git a/pkgs/development/libraries/fmt/default.nix b/pkgs/development/libraries/fmt/default.nix
index e2677bdea25d..4dd6d86fdaeb 100644
--- a/pkgs/development/libraries/fmt/default.nix
+++ b/pkgs/development/libraries/fmt/default.nix
@@ -67,7 +67,7 @@ in
};
fmt_10 = generic {
- version = "10.1.1";
- sha256 = "sha256-H9+1lEaHM12nzXSmo9m8S6527t+97e6necayyjCPm1A=";
+ version = "10.2.1";
+ sha256 = "sha256-pEltGLAHLZ3xypD/Ur4dWPWJ9BGVXwqQyKcDWVmC3co=";
};
}
diff --git a/pkgs/development/libraries/folly/default.nix b/pkgs/development/libraries/folly/default.nix
index 22d395785434..eb0372a40aff 100644
--- a/pkgs/development/libraries/folly/default.nix
+++ b/pkgs/development/libraries/folly/default.nix
@@ -18,17 +18,21 @@
, zstd
, jemalloc
, follyMobile ? false
+
+# for passthru.tests
+, python3
+, watchman
}:
stdenv.mkDerivation rec {
pname = "folly";
- version = "2023.02.27.00";
+ version = "2024.01.22.00";
src = fetchFromGitHub {
owner = "facebook";
repo = "folly";
rev = "v${version}";
- sha256 = "sha256-DfZiVxncpKSPn9BN25d8o0/tC27+HhSG/t53WgzAT/s=";
+ sha256 = "sha256-+z1wuEOgr7CMHFnOn5gLm9mtVH7mVURLstOoDqzxKbk=";
};
nativeBuildInputs = [
@@ -80,11 +84,16 @@ stdenv.mkDerivation rec {
--replace '$'{_IMPORT_PREFIX}/lib/ $out/lib/
'';
- # folly-config.cmake, will `find_package` these, thus there should be
- # a way to ensure abi compatibility.
passthru = {
+ # folly-config.cmake, will `find_package` these, thus there should be
+ # a way to ensure abi compatibility.
inherit boost;
fmt = fmt_8;
+
+ tests = {
+ inherit watchman;
+ inherit (python3.pkgs) django pywatchman;
+ };
};
meta = with lib; {
diff --git a/pkgs/development/libraries/fontconfig/default.nix b/pkgs/development/libraries/fontconfig/default.nix
index 9ff72c202193..669c2dec851c 100644
--- a/pkgs/development/libraries/fontconfig/default.nix
+++ b/pkgs/development/libraries/fontconfig/default.nix
@@ -10,16 +10,17 @@
, dejavu_fonts
, autoreconfHook
, CoreFoundation
+, testers
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "fontconfig";
version = "2.15.0";
outputs = [ "bin" "dev" "lib" "out" ]; # $out contains all the config
src = fetchurl {
- url = "https://www.freedesktop.org/software/fontconfig/release/${pname}-${version}.tar.xz";
+ url = with finalAttrs; "https://www.freedesktop.org/software/fontconfig/release/${pname}-${version}.tar.xz";
hash = "sha256-Y6BljQ4G4PqIYQZFK1jvBPIfWCAuoCqUw53g0zNdfA4=";
};
@@ -77,11 +78,18 @@ stdenv.mkDerivation rec {
rm -r $bin/share/man/man3
'';
+ passthru.tests = {
+ pkg-config = testers.hasPkgConfigModules {
+ package = finalAttrs.finalPackage;
+ };
+ };
+
meta = with lib; {
description = "A library for font customization and configuration";
homepage = "http://fontconfig.org/";
license = licenses.bsd2; # custom but very bsd-like
platforms = platforms.all;
maintainers = with maintainers; teams.freedesktop.members ++ [ ];
+ pkgConfigModules = [ "fontconfig" ];
};
-}
+})
diff --git a/pkgs/development/libraries/frame/default.nix b/pkgs/development/libraries/frame/default.nix
index f0b37b7e633c..e56ce1e68416 100644
--- a/pkgs/development/libraries/frame/default.nix
+++ b/pkgs/development/libraries/frame/default.nix
@@ -19,6 +19,7 @@ stdenv.mkDerivation rec {
meta = {
homepage = "https://launchpad.net/frame";
description = "Handles the buildup and synchronization of a set of simultaneous touches";
+ mainProgram = "frame-test-x11";
license = lib.licenses.gpl3;
platforms = lib.platforms.linux;
};
diff --git a/pkgs/development/libraries/freealut/default.nix b/pkgs/development/libraries/freealut/default.nix
index c29899922979..dbae2b20b61c 100644
--- a/pkgs/development/libraries/freealut/default.nix
+++ b/pkgs/development/libraries/freealut/default.nix
@@ -21,6 +21,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
homepage = "http://openal.org/";
description = "Free implementation of OpenAL's ALUT standard";
+ mainProgram = "freealut-config";
license = lib.licenses.lgpl2;
pkgConfigModules = [ "freealut" ];
platforms = lib.platforms.unix;
diff --git a/pkgs/development/libraries/freeimage/default.nix b/pkgs/development/libraries/freeimage/default.nix
index de1b616fc20a..245ea93f63e4 100644
--- a/pkgs/development/libraries/freeimage/default.nix
+++ b/pkgs/development/libraries/freeimage/default.nix
@@ -72,6 +72,20 @@ stdenv.mkDerivation (finalAttrs: {
description = "Open Source library for accessing popular graphics image file formats";
homepage = "http://freeimage.sourceforge.net/";
license = "GPL";
+ knownVulnerabilities = [
+ "CVE-2021-33367"
+ "CVE-2021-40262"
+ "CVE-2021-40263"
+ "CVE-2021-40264"
+ "CVE-2021-40265"
+ "CVE-2021-40266"
+
+ "CVE-2023-47992"
+ "CVE-2023-47993"
+ "CVE-2023-47994"
+ "CVE-2023-47995"
+ "CVE-2023-47996"
+ ];
maintainers = with lib.maintainers; [viric l-as];
platforms = with lib.platforms; unix;
};
diff --git a/pkgs/development/libraries/freetts/default.nix b/pkgs/development/libraries/freetts/default.nix
index 06535c087dc6..ec948f1fdccc 100644
--- a/pkgs/development/libraries/freetts/default.nix
+++ b/pkgs/development/libraries/freetts/default.nix
@@ -1,29 +1,49 @@
-{stdenv, fetchurl, apacheAnt, unzip, sharutils, lib, jdk}:
+{ lib
+, stdenv
+, fetchzip
+, ant
+, jdk8
+, sharutils
+, stripJavaArchivesHook
+}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "freetts";
version = "1.2.2";
- src = fetchurl {
- url = "mirror://sourceforge/freetts/${pname}-${version}-src.zip";
- sha256 = "0mnikqhpf4f4jdr0irmibr8yy0dnffx1i257y22iamxi7a6by2r7";
+
+ src = fetchzip {
+ url = "mirror://sourceforge/freetts/${finalAttrs.pname}-${finalAttrs.version}-src.zip";
+ hash = "sha256-+bhM0ErEZVnmcz5CBqn/AeGaOhKnCjZzGeqgO/89wms=";
+ stripRoot = false;
};
- nativeBuildInputs = [ unzip ];
- buildInputs = [ apacheAnt sharutils jdk ];
- unpackPhase = ''
- unzip $src -x META-INF/*
- '';
+
+ nativeBuildInputs = [
+ ant
+ jdk8
+ sharutils
+ stripJavaArchivesHook
+ ];
+
+ sourceRoot = "${finalAttrs.src.name}/freetts-${finalAttrs.version}";
buildPhase = ''
- cd */lib
+ runHook preBuild
+
+ pushd lib
sed -i -e "s/more/cat/" jsapi.sh
echo y | sh jsapi.sh
- cd ..
+ popd
+
ln -s . src
ant
+
+ runHook postBuild
'';
+
installPhase = ''
- install -v -m755 -d $out/{lib,docs/{audio,images}}
- install -v -m644 lib/*.jar $out/lib
+ runHook preInstall
+ install -Dm644 lib/*.jar -t $out/lib
+ runHook postInstall
'';
meta = {
@@ -32,8 +52,12 @@ stdenv.mkDerivation rec {
Text to speech system based on Festival written in Java.
Can be used in combination with KDE accessibility.
'';
- license = "GPL";
homepage = "http://freetts.sourceforge.net";
- maintainers = [ lib.maintainers.sander ];
+ license = lib.licenses.bsdOriginal;
+ maintainers = with lib.maintainers; [ sander ];
+ sourceProvenance = with lib.sourceTypes; [
+ fromSource
+ binaryBytecode # jsapi.jar is bundled in a self-extracting shell-script
+ ];
};
-}
+})
diff --git a/pkgs/development/libraries/freetype/default.nix b/pkgs/development/libraries/freetype/default.nix
index 68e08a1a8b5f..1a8009f04ce7 100644
--- a/pkgs/development/libraries/freetype/default.nix
+++ b/pkgs/development/libraries/freetype/default.nix
@@ -104,6 +104,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "A font rendering engine";
+ mainProgram = "freetype-config";
longDescription = ''
FreeType is a portable and efficient library for rendering fonts. It
supports TrueType, Type 1, CFF fonts, and WOFF, PCF, FNT, BDF and PFR
diff --git a/pkgs/development/libraries/fribidi/default.nix b/pkgs/development/libraries/fribidi/default.nix
index 613725a2672e..d23cd352360b 100644
--- a/pkgs/development/libraries/fribidi/default.nix
+++ b/pkgs/development/libraries/fribidi/default.nix
@@ -6,9 +6,10 @@
, pkg-config
, fixDarwinDylibNames
, python3
+, testers
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "fribidi";
version = "1.0.13";
@@ -16,7 +17,7 @@ stdenv.mkDerivation rec {
# NOTE: Only URL tarball has "Have pre-generated man pages: true", which works-around upstream usage of some rare ancient `c2man` fossil application.
src = fetchurl {
- url = "https://github.com/fribidi/fribidi/releases/download/v${version}/${pname}-${version}.tar.xz";
+ url = with finalAttrs; "https://github.com/fribidi/fribidi/releases/download/v${version}/${pname}-${version}.tar.xz";
sha256 = "sha256-f6FsgMgb1iL3sZjTE1baE5zDGKY/x3YSF69BMJA/VKI=";
};
@@ -32,10 +33,18 @@ stdenv.mkDerivation rec {
doCheck = true;
nativeCheckInputs = [ python3 ];
+ passthru.tests = {
+ pkg-config = testers.hasPkgConfigModules {
+ package = finalAttrs.finalPackage;
+ };
+ };
+
meta = with lib; {
homepage = "https://github.com/fribidi/fribidi";
description = "GNU implementation of the Unicode Bidirectional Algorithm (bidi)";
+ mainProgram = "fribidi";
license = licenses.lgpl21;
platforms = platforms.unix;
+ pkgConfigModules = [ "fribidi" ];
};
-}
+})
diff --git a/pkgs/development/libraries/fstrcmp/default.nix b/pkgs/development/libraries/fstrcmp/default.nix
index 2dfa02e71059..ab7f410eddf2 100644
--- a/pkgs/development/libraries/fstrcmp/default.nix
+++ b/pkgs/development/libraries/fstrcmp/default.nix
@@ -19,6 +19,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Make fuzzy comparisons of strings and byte arrays";
+ mainProgram = "fstrcmp";
longDescription = ''
The fstrcmp project provides a library that is used to make fuzzy
comparisons of strings and byte arrays, including multi-byte character
diff --git a/pkgs/development/libraries/funambol/default.nix b/pkgs/development/libraries/funambol/default.nix
index 3e7bf0d21a2b..1ee66e008fef 100644
--- a/pkgs/development/libraries/funambol/default.nix
+++ b/pkgs/development/libraries/funambol/default.nix
@@ -18,7 +18,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "SyncML client sdk by Funambol project";
homepage = "https://www.funambol.com";
- license = licenses.agpl3;
+ license = licenses.agpl3Only;
platforms = platforms.unix;
};
}
diff --git a/pkgs/development/libraries/functionalplus/default.nix b/pkgs/development/libraries/functionalplus/default.nix
index af25030ab560..6d3092e53c35 100644
--- a/pkgs/development/libraries/functionalplus/default.nix
+++ b/pkgs/development/libraries/functionalplus/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "functionalplus";
- version = "0.2.22";
+ version = "0.2.23";
src = fetchFromGitHub {
owner = "Dobiasd";
repo = "FunctionalPlus";
rev = "v${version}";
- sha256 = "sha256-y0IRmgG9lhWO4IR4G9/VP2a3B+ORTnF7MCf4FU5EuMk=";
+ sha256 = "sha256-WDufVO0vQyu7O3w9tq+19JioRUFgYlnTbO/AQzg0FnM=";
};
nativeBuildInputs = [ cmake ];
diff --git a/pkgs/development/libraries/fuzzylite/default.nix b/pkgs/development/libraries/fuzzylite/default.nix
index a3f70c062e86..1fd6d6506c28 100644
--- a/pkgs/development/libraries/fuzzylite/default.nix
+++ b/pkgs/development/libraries/fuzzylite/default.nix
@@ -38,6 +38,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A fuzzy logic control library in C++";
+ mainProgram = "fuzzylite";
homepage = "https://fuzzylite.com";
changelog = "https://github.com/fuzzylite/fuzzylite/${src.rev}/release/CHANGELOG";
license = licenses.gpl3Only;
diff --git a/pkgs/development/libraries/ganv/default.nix b/pkgs/development/libraries/ganv/default.nix
index d53206ca667b..32318e1fc0fc 100644
--- a/pkgs/development/libraries/ganv/default.nix
+++ b/pkgs/development/libraries/ganv/default.nix
@@ -18,6 +18,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "An interactive Gtk canvas widget for graph-based interfaces";
+ mainProgram = "ganv_bench";
homepage = "http://drobilla.net";
license = licenses.gpl3;
maintainers = [ maintainers.goibhniu ];
diff --git a/pkgs/development/libraries/gcab/default.nix b/pkgs/development/libraries/gcab/default.nix
index b31e93553766..6136564e5412 100644
--- a/pkgs/development/libraries/gcab/default.nix
+++ b/pkgs/development/libraries/gcab/default.nix
@@ -73,6 +73,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "GObject library to create cabinet files";
+ mainProgram = "gcab";
homepage = "https://gitlab.gnome.org/GNOME/gcab";
license = licenses.lgpl21Plus;
maintainers = teams.gnome.members;
diff --git a/pkgs/development/libraries/gcr/4.nix b/pkgs/development/libraries/gcr/4.nix
index 650d87958c5e..243663e430d5 100644
--- a/pkgs/development/libraries/gcr/4.nix
+++ b/pkgs/development/libraries/gcr/4.nix
@@ -98,6 +98,7 @@ stdenv.mkDerivation rec {
platforms = platforms.unix;
maintainers = teams.gnome.members;
description = "GNOME crypto services (daemon and tools)";
+ mainProgram = "gcr-viewer-gtk4";
homepage = "https://gitlab.gnome.org/GNOME/gcr";
license = licenses.lgpl2Plus;
diff --git a/pkgs/development/libraries/gcr/default.nix b/pkgs/development/libraries/gcr/default.nix
index 1fec6100bf2b..5a388246c154 100644
--- a/pkgs/development/libraries/gcr/default.nix
+++ b/pkgs/development/libraries/gcr/default.nix
@@ -106,6 +106,7 @@ stdenv.mkDerivation rec {
platforms = platforms.unix;
maintainers = teams.gnome.members;
description = "GNOME crypto services (daemon and tools)";
+ mainProgram = "gcr-viewer";
homepage = "https://gitlab.gnome.org/GNOME/gcr";
license = licenses.lgpl2Plus;
diff --git a/pkgs/development/libraries/gdal/default.nix b/pkgs/development/libraries/gdal/default.nix
index 11228c572e34..6c298ac0e1d9 100644
--- a/pkgs/development/libraries/gdal/default.nix
+++ b/pkgs/development/libraries/gdal/default.nix
@@ -2,6 +2,7 @@
, stdenv
, callPackage
, fetchFromGitHub
+, fetchpatch
, useMinimalFeatures ? false
, useTiledb ? (!useMinimalFeatures) && !(stdenv.isDarwin && stdenv.isx86_64)
@@ -79,15 +80,23 @@
stdenv.mkDerivation (finalAttrs: {
pname = "gdal";
- version = "3.8.3";
+ version = "3.8.4";
src = fetchFromGitHub {
owner = "OSGeo";
repo = "gdal";
rev = "v${finalAttrs.version}";
- hash = "sha256-GYBGGZ2bobVYElO0WJrsQzLMdNR5AfQwgdjBtPeGH1g=";
+ hash = "sha256-R9VLof13OXPbWGHOG1Q4WZWSPoF739C6WuNWxoIwKTw=";
};
+ patches = [
+ # bump java source option to fix build with JDK 21
+ (fetchpatch {
+ url = "https://github.com/OSGeo/gdal/commit/ca2eb4130750b0e6365f738a5f8ff77081f5c5bb.patch";
+ sha256 = "sha256-wShYm9yA7twJR72co+Tvf/IuYXqbI0OrjWl0uqC3bwo=";
+ })
+ ];
+
nativeBuildInputs = [
bison
cmake
@@ -225,18 +234,19 @@ stdenv.mkDerivation (finalAttrs: {
'';
nativeInstallCheckInputs = with python3.pkgs; [
pytestCheckHook
+ pytest-benchmark
pytest-env
filelock
lxml
];
+ pytestFlagsArray = [
+ "--benchmark-disable"
+ ];
disabledTestPaths = [
# tests that attempt to make network requests
"gcore/vsis3.py"
"gdrivers/gdalhttp.py"
"gdrivers/wms.py"
-
- # disable benchmarks
- "benchmark/*"
];
disabledTests = [
# tests that attempt to make network requests
@@ -270,9 +280,7 @@ stdenv.mkDerivation (finalAttrs: {
popd # autotest
'';
- passthru.tests = {
- gdal = callPackage ./tests.nix { gdal = finalAttrs.finalPackage; };
- };
+ passthru.tests = callPackage ./tests.nix { gdal = finalAttrs.finalPackage; };
__darwinAllowLocalNetworking = true;
diff --git a/pkgs/development/libraries/gdal/tests.nix b/pkgs/development/libraries/gdal/tests.nix
index 87fbff2d5fa5..e6d767301403 100644
--- a/pkgs/development/libraries/gdal/tests.nix
+++ b/pkgs/development/libraries/gdal/tests.nix
@@ -1,34 +1,40 @@
-{ runCommand, gdal, jdk }:
+{ runCommand, gdal, jdk, lib, testers }:
let
inherit (gdal) pname version;
in
-runCommand "${pname}-tests" { meta.timeout = 60; }
- ''
- # test version
- ${gdal}/bin/ogrinfo --version \
- | grep 'GDAL ${version}'
+{
+ ogrinfo-version = testers.testVersion {
+ package = gdal;
+ command = "ogrinfo --version";
+ };
- ${gdal}/bin/gdalinfo --version \
- | grep 'GDAL ${version}'
+ gdalinfo-version = testers.testVersion {
+ package = gdal;
+ command = "gdalinfo --version";
+ };
-
- # test formats
- ${gdal}/bin/ogrinfo --formats \
+ ogrinfo-format-geopackage = runCommand "${pname}-ogrinfo-format-geopackage" { } ''
+ ${lib.getExe' gdal "ogrinfo"} --formats \
| grep 'GPKG.*GeoPackage'
+ touch $out
+ '';
- ${gdal}/bin/gdalinfo --formats \
+ gdalinfo-format-geotiff = runCommand "${pname}-gdalinfo-format-geotiff" { } ''
+ ${lib.getExe' gdal "gdalinfo"} --formats \
| grep 'GTiff.*GeoTIFF'
+ touch $out
+ '';
-
- # test vector file
+ vector-file = runCommand "${pname}-vector-file" { } ''
echo -e "Latitude,Longitude,Name\n48.1,0.25,'Test point'" > test.csv
- ${gdal}/bin/ogrinfo ./test.csv
+ ${lib.getExe' gdal "ogrinfo"} ./test.csv
+ touch $out
+ '';
-
- # test raster file
- ${gdal}/bin/gdal_create \
+ raster-file = runCommand "${pname}-raster-file" { } ''
+ ${lib.getExe' gdal "gdal_create"} \
-a_srs "EPSG:4326" \
-of GTiff \
-ot UInt16 \
@@ -38,9 +44,11 @@ runCommand "${pname}-tests" { meta.timeout = 60; }
-co COMPRESS=LZW \
test.tif
- ${gdal}/bin/gdalinfo ./test.tif
+ ${lib.getExe' gdal "gdalinfo"} ./test.tif
+ touch $out
+ '';
- # test java bindings
+ java-bindings = runCommand "${pname}-java-bindings" { } ''
cat < main.java
import org.gdal.gdal.gdal;
class Main {
@@ -49,7 +57,7 @@ runCommand "${pname}-tests" { meta.timeout = 60; }
}
}
EOF
- ${jdk}/bin/java -Djava.library.path=${gdal}/lib/ -cp ${gdal}/share/java/gdal-${version}.jar main.java
-
+ ${lib.getExe jdk} -Djava.library.path=${gdal}/lib/ -cp ${gdal}/share/java/gdal-${version}.jar main.java
touch $out
- ''
+ '';
+}
diff --git a/pkgs/development/libraries/gdome2/default.nix b/pkgs/development/libraries/gdome2/default.nix
index 5aa1c487b4f9..f71753b479d7 100644
--- a/pkgs/development/libraries/gdome2/default.nix
+++ b/pkgs/development/libraries/gdome2/default.nix
@@ -26,6 +26,7 @@ stdenv.mkDerivation {
meta = with lib; {
homepage = "http://gdome2.cs.unibo.it/";
description = "DOM C library developed for the Gnome project";
+ mainProgram = "gdome-config";
license = licenses.lgpl21Plus;
maintainers = with maintainers; [ prikhi roconnor ];
platforms = platforms.linux;
diff --git a/pkgs/development/libraries/gegl/default.nix b/pkgs/development/libraries/gegl/default.nix
index 914d72a7fe8a..93035b373eb4 100644
--- a/pkgs/development/libraries/gegl/default.nix
+++ b/pkgs/development/libraries/gegl/default.nix
@@ -1,13 +1,10 @@
{ lib
, stdenv
, fetchurl
-, fetchpatch2
, pkg-config
, vala
+, gi-docgen
, gobject-introspection
-, gtk-doc
-, docbook-xsl-nons
-, docbook_xml_dtd_43
, glib
, babl
, libpng
@@ -38,25 +35,16 @@
stdenv.mkDerivation rec {
pname = "gegl";
- version = "0.4.46";
+ version = "0.4.48";
outputs = [ "out" "dev" "devdoc" ];
outputBin = "dev";
src = fetchurl {
url = "https://download.gimp.org/pub/gegl/${lib.versions.majorMinor version}/gegl-${version}.tar.xz";
- hash = "sha256-0LOySBvId0xfPQpIdhGRAWbRju+COoWfuR54Grex6JI=";
+ hash = "sha256-QYwm2UvogF19mPbeDGglyia9dPystsGI2kdTPZ7igkc=";
};
- patches = [
- # https://gitlab.gnome.org/GNOME/gegl/-/merge_requests/136
- # Fix missing libm dependency.
- (fetchpatch2 {
- url = "https://gitlab.gnome.org/GNOME/gegl/-/commit/ee970f10f4fe442cbf8a4f5cb94049deab33e786.patch";
- hash = "sha256-0LLKH+Gg+1H83kN7hJGK2u+oLrw7Hxed7R4tTwT3C5s=";
- })
- ];
-
nativeBuildInputs = [
pkg-config
gettext
@@ -64,9 +52,7 @@ stdenv.mkDerivation rec {
ninja
vala
gobject-introspection
- gtk-doc
- docbook-xsl-nons
- docbook_xml_dtd_43
+ gi-docgen
];
buildInputs = [
@@ -102,7 +88,6 @@ stdenv.mkDerivation rec {
];
mesonFlags = [
- "-Dgtk-doc=true"
"-Dmrg=disabled" # not sure what that is
"-Dsdl2=disabled"
"-Dpygobject=disabled"
@@ -119,6 +104,11 @@ stdenv.mkDerivation rec {
patchShebangs tests/ff-load-save/tests_ff_load_save.sh tests/opencl/opencl_test.sh tools/xml_insert.sh
'';
+ postFixup = ''
+ # Cannot be in postInstall, otherwise _multioutDocs hook in preFixup will move right back.
+ moveToOutput "share/doc" "$devdoc"
+ '';
+
# tests fail to connect to the com.apple.fonts daemon in sandboxed mode
doCheck = !stdenv.isDarwin;
diff --git a/pkgs/development/libraries/gensio/default.nix b/pkgs/development/libraries/gensio/default.nix
index cd20eab42a62..74eb0f05d3f2 100644
--- a/pkgs/development/libraries/gensio/default.nix
+++ b/pkgs/development/libraries/gensio/default.nix
@@ -9,13 +9,13 @@
stdenv.mkDerivation rec {
pname = "gensio";
- version = "2.8.2";
+ version = "2.8.3";
src = fetchFromGitHub {
owner = "cminyard";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-SwY9FAUljaxap2ZlPS3JJ8VkYiJFWoSLU1miEQIEerE=";
+ sha256 = "sha256-GmVekTySfSOIWkKLdVuhhtJFQBBBfHBj410jNUfSrkc=";
};
passthru = {
diff --git a/pkgs/development/libraries/geos/3.9.nix b/pkgs/development/libraries/geos/3.9.nix
index 415746d3e544..4ca53e36971b 100644
--- a/pkgs/development/libraries/geos/3.9.nix
+++ b/pkgs/development/libraries/geos/3.9.nix
@@ -1,27 +1,28 @@
{ lib
, stdenv
, fetchurl
+, cmake
, testers
}:
stdenv.mkDerivation (finalAttrs: {
pname = "geos";
- version = "3.9.2";
+ version = "3.9.5";
src = fetchurl {
url = "https://download.osgeo.org/geos/${finalAttrs.pname}-${finalAttrs.version}.tar.bz2";
- sha256 = "sha256-RKWpviHX1HNDa/Yhwt3MPPWou+PHhuEyKWGKO52GEpc=";
+ hash = "sha256-xsmu36iGT7RLp4kRQIRCOCv9BpDPLUCRrjgFyGN4kDY=";
};
- enableParallelBuilding = true;
+ nativeBuildInputs = [ cmake ];
- # https://trac.osgeo.org/geos/ticket/993
- configureFlags = lib.optional stdenv.isAarch32 "--disable-inline";
+ enableParallelBuilding = true;
passthru.tests.pkg-config = testers.testMetaPkgConfig finalAttrs.finalPackage;
meta = with lib; {
description = "C++ port of the Java Topology Suite (JTS)";
+ mainProgram = "geos-config";
homepage = "https://trac.osgeo.org/geos";
license = licenses.lgpl21Only;
pkgConfigModules = [ "geos" ];
diff --git a/pkgs/development/libraries/getdns/default.nix b/pkgs/development/libraries/getdns/default.nix
index 0a6f34eab6cc..95844e36f3a0 100644
--- a/pkgs/development/libraries/getdns/default.nix
+++ b/pkgs/development/libraries/getdns/default.nix
@@ -78,6 +78,7 @@ in rec {
meta = with lib;
metaCommon // {
description = "A local DNS Privacy stub resolver (using DNS-over-TLS)";
+ mainProgram = "stubby";
longDescription = ''
Stubby is an application that acts as a local DNS Privacy stub
resolver (using RFC 7858, aka DNS-over-TLS). Stubby encrypts DNS
diff --git a/pkgs/development/libraries/gflags/default.nix b/pkgs/development/libraries/gflags/default.nix
index a690d8f2e154..3f07a67cbdae 100644
--- a/pkgs/development/libraries/gflags/default.nix
+++ b/pkgs/development/libraries/gflags/default.nix
@@ -27,6 +27,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A C++ library that implements commandline flags processing";
+ mainProgram = "gflags_completions.sh";
longDescription = ''
The gflags package contains a C++ library that implements commandline flags processing.
As such it's a replacement for getopt().
diff --git a/pkgs/development/libraries/ggz_base_libs/default.nix b/pkgs/development/libraries/ggz_base_libs/default.nix
index 162a64eeb5da..878f6bf97cff 100644
--- a/pkgs/development/libraries/ggz_base_libs/default.nix
+++ b/pkgs/development/libraries/ggz_base_libs/default.nix
@@ -24,6 +24,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "GGZ Gaming zone libraries";
+ mainProgram = "ggz-config";
maintainers = with maintainers;
[
raskin
diff --git a/pkgs/development/libraries/ghc_filesystem/default.nix b/pkgs/development/libraries/ghc_filesystem/default.nix
index 3232d7d8f615..8dd65a90e534 100644
--- a/pkgs/development/libraries/ghc_filesystem/default.nix
+++ b/pkgs/development/libraries/ghc_filesystem/default.nix
@@ -17,6 +17,6 @@ stdenv.mkDerivation rec {
description = "header-only single-file C++ std::filesystem compatible helper library";
homepage = "https://github.com/gulrak/filesystem";
license = licenses.mit;
- maintainers = with maintainers; [ lourkeur ];
+ maintainers = with maintainers; [ bbjubjub ];
};
}
diff --git a/pkgs/development/libraries/giflib/4.1.nix b/pkgs/development/libraries/giflib/4.1.nix
deleted file mode 100644
index 8f3ebcf7d3be..000000000000
--- a/pkgs/development/libraries/giflib/4.1.nix
+++ /dev/null
@@ -1,21 +0,0 @@
-{lib, stdenv, fetchurl}:
-
-stdenv.mkDerivation rec {
- pname = "giflib";
- version = "4.1.6";
-
- src = fetchurl {
- url = "mirror://sourceforge/giflib/giflib-${version}.tar.bz2";
- sha256 = "1v9b7ywz7qg8hli0s9vv1b8q9xxb2xvqq2mg1zpr73xwqpcwxhg1";
- };
-
- hardeningDisable = [ "format" ];
-
- meta = with lib; {
- description = "A library for reading and writing gif images";
- branch = "4.1";
- license = licenses.mit;
- platforms = platforms.unix;
- };
-}
-
diff --git a/pkgs/development/libraries/giflib/CVE-2021-40633.patch b/pkgs/development/libraries/giflib/CVE-2021-40633.patch
new file mode 100644
index 000000000000..8a665bb1638b
--- /dev/null
+++ b/pkgs/development/libraries/giflib/CVE-2021-40633.patch
@@ -0,0 +1,26 @@
+From ccbc956432650734c91acb3fc88837f7b81267ff Mon Sep 17 00:00:00 2001
+From: "Eric S. Raymond"
+Date: Wed, 21 Feb 2024 18:55:00 -0500
+Subject: [PATCH] Clean up memory better at end of run (CVE-2021-40633)
+
+---
+ gif2rgb.c | 3 +++
+ 1 file changed, 3 insertions(+)
+
+diff --git a/gif2rgb.c b/gif2rgb.c
+index d51226d..fc2e683 100644
+--- a/gif2rgb.c
++++ b/gif2rgb.c
+@@ -517,6 +517,9 @@ static void GIF2RGB(int NumFiles, char *FileName, bool OneFileFlag,
+ DumpScreen2RGB(OutFileName, OneFileFlag, ColorMap, ScreenBuffer,
+ GifFile->SWidth, GifFile->SHeight);
+
++ for (i = 0; i < GifFile->SHeight; i++) {
++ (void)free(ScreenBuffer[i]);
++ }
+ (void)free(ScreenBuffer);
+
+ {
+--
+2.44.0
+
diff --git a/pkgs/development/libraries/giflib/default.nix b/pkgs/development/libraries/giflib/default.nix
index 8c8a587ed548..a73d12061250 100644
--- a/pkgs/development/libraries/giflib/default.nix
+++ b/pkgs/development/libraries/giflib/default.nix
@@ -4,31 +4,20 @@
, fetchpatch
, fixDarwinDylibNames
, pkgsStatic
+, imagemagick_light
}:
stdenv.mkDerivation rec {
pname = "giflib";
- version = "5.2.1";
+ version = "5.2.2";
src = fetchurl {
url = "mirror://sourceforge/giflib/giflib-${version}.tar.gz";
- sha256 = "1gbrg03z1b6rlrvjyc6d41bc8j1bsr7rm8206gb1apscyii5bnii";
+ hash = "sha256-vn/70FfK3r4qoURUL9kMaDjGoIO16KkEi47jtmsp1fs=";
};
patches = [
- (fetchpatch {
- name = "CVE-2022-28506.patch";
- url = "https://src.fedoraproject.org/rpms/giflib/raw/2e9917bf13df114354163f0c0211eccc00943596/f/CVE-2022-28506.patch";
- sha256 = "sha256-TBemEXkuox8FdS9RvjnWcTWPaHRo4crcwSR9czrUwBY=";
- })
- ] ++ lib.optionals stdenv.hostPlatform.isDarwin [
- # https://sourceforge.net/p/giflib/bugs/133/
- (fetchpatch {
- name = "darwin-soname.patch";
- url = "https://sourceforge.net/p/giflib/bugs/_discuss/thread/4e811ad29b/c323/attachment/Makefile.patch";
- sha256 = "12afkqnlkl3n1hywwgx8sqnhp3bz0c5qrwcv8j9hifw1lmfhv67r";
- extraPrefix = "./";
- })
+ ./CVE-2021-40633.patch
] ++ lib.optionals stdenv.hostPlatform.isMinGW [
# Build dll libraries.
(fetchurl {
@@ -40,7 +29,9 @@ stdenv.mkDerivation rec {
./mingw-install-exes.patch
];
- nativeBuildInputs = lib.optionals stdenv.isDarwin [
+ nativeBuildInputs = [
+ imagemagick_light
+ ] ++ lib.optionals stdenv.isDarwin [
fixDarwinDylibNames
];
@@ -50,10 +41,11 @@ stdenv.mkDerivation rec {
postPatch = lib.optionalString stdenv.hostPlatform.isStatic ''
# Upstream build system does not support NOT building shared libraries.
- sed -i '/all:/ s/libgif.so//' Makefile
- sed -i '/all:/ s/libutil.so//' Makefile
- sed -i '/-m 755 libgif.so/ d' Makefile
- sed -i '/ln -sf libgif.so/ d' Makefile
+ sed -i '/all:/ s/$(LIBGIFSO)//' Makefile
+ sed -i '/all:/ s/$(LIBUTILSO)//' Makefile
+ sed -i '/-m 755 $(LIBGIFSO)/ d' Makefile
+ sed -i '/ln -sf $(LIBGIFSOVER)/ d' Makefile
+ sed -i '/ln -sf $(LIBGIFSOMAJOR)/ d' Makefile
'';
passthru.tests = {
@@ -63,7 +55,7 @@ stdenv.mkDerivation rec {
meta = {
description = "A library for reading and writing gif images";
homepage = "https://giflib.sourceforge.net/";
- platforms = lib.platforms.unix;
+ platforms = lib.platforms.unix ++ lib.platforms.windows;
license = lib.licenses.mit;
maintainers = with lib.maintainers; [ ];
branch = "5.2";
diff --git a/pkgs/development/libraries/git2-cpp/default.nix b/pkgs/development/libraries/git2-cpp/default.nix
index aae958518661..bf12d2c48948 100644
--- a/pkgs/development/libraries/git2-cpp/default.nix
+++ b/pkgs/development/libraries/git2-cpp/default.nix
@@ -23,7 +23,7 @@ stdenv.mkDerivation (finalAttrs: {
homepage = "https://github.com/ken-matsui/git2-cpp";
description = "libgit2 bindings for C++";
license = licenses.mit;
- maintainers = with maintainers; [ ken-matsui ];
+ maintainers = with maintainers; [ ];
platforms = platforms.unix;
};
})
diff --git a/pkgs/development/libraries/givaro/default.nix b/pkgs/development/libraries/givaro/default.nix
index c38419bed52b..a7b1eaa43831 100644
--- a/pkgs/development/libraries/givaro/default.nix
+++ b/pkgs/development/libraries/givaro/default.nix
@@ -34,7 +34,7 @@ stdenv.mkDerivation rec {
propagatedBuildInputs = [ gmpxx ];
configureFlags = [
- "--disable-optimization"
+ "--without-archnative"
] ++ lib.optionals stdenv.isx86_64 [
# disable SIMD instructions (which are enabled *when available* by default)
"--${if stdenv.hostPlatform.sse3Support then "enable" else "disable"}-sse3"
@@ -55,6 +55,7 @@ stdenv.mkDerivation rec {
meta = {
description = "A C++ library for arithmetic and algebraic computations";
+ mainProgram = "givaro-config";
license = lib.licenses.cecill-b;
maintainers = [lib.maintainers.raskin];
platforms = lib.platforms.unix;
diff --git a/pkgs/development/libraries/gjs/default.nix b/pkgs/development/libraries/gjs/default.nix
index 1c1c1d5ff407..dc5f5e46975d 100644
--- a/pkgs/development/libraries/gjs/default.nix
+++ b/pkgs/development/libraries/gjs/default.nix
@@ -32,13 +32,13 @@ let
];
in stdenv.mkDerivation (finalAttrs: {
pname = "gjs";
- version = "1.78.3";
+ version = "1.78.4";
outputs = [ "out" "dev" "installedTests" ];
src = fetchurl {
url = "mirror://gnome/sources/gjs/${lib.versions.majorMinor finalAttrs.version}/gjs-${finalAttrs.version}.tar.xz";
- hash = "sha256-QtUDZMql15LHZzT+W7zEudu0iBnaIKQGAGHouVJhNKQ=";
+ hash = "sha256-mux6uHLCBQQEkHrpTwrnq+yKVL2ciU3bXC0PUekyuaE=";
};
patches = [
diff --git a/pkgs/development/libraries/glfw/3.x.nix b/pkgs/development/libraries/glfw/3.x.nix
index 01db789a60bd..014e5f7e9f0e 100644
--- a/pkgs/development/libraries/glfw/3.x.nix
+++ b/pkgs/development/libraries/glfw/3.x.nix
@@ -6,14 +6,14 @@
}:
stdenv.mkDerivation rec {
- version = "3.3.9";
+ version = "3.3.10";
pname = "glfw";
src = fetchFromGitHub {
owner = "glfw";
repo = "GLFW";
rev = version;
- sha256 = "sha256-DlPRNGCBr6XF9Jn8kWs5lCRTyjPeDt/69PNDzBDhoyg=";
+ sha256 = "sha256-kTRXsfQ+9PFurG3ffz0lwnITAYAXtNl3h/3O6FSny5o=";
};
# Fix linkage issues on X11 (https://github.com/NixOS/nixpkgs/issues/142583)
diff --git a/pkgs/development/libraries/glib/default.nix b/pkgs/development/libraries/glib/default.nix
index 2a1bae9cf41b..7c14141554fb 100644
--- a/pkgs/development/libraries/glib/default.nix
+++ b/pkgs/development/libraries/glib/default.nix
@@ -2,13 +2,14 @@
, lib
, stdenv
, fetchurl
+, fetchpatch
, gettext
, meson
, ninja
, pkg-config
, perl
, python3
-, libiconv, zlib, libffi, pcre2, libelf, gnome, libselinux, bash, gnum4, gtk-doc, docbook_xsl, docbook_xml_dtd_45, libxslt
+, libiconv, zlib, libffi, pcre2, elfutils, gnome, libselinux, bash, gnum4, gtk-doc, docbook_xsl, docbook_xml_dtd_45, libxslt
# use util-linuxMinimal to avoid circular dependency (util-linux, systemd, glib)
, util-linuxMinimal ? null
, buildPackages
@@ -63,6 +64,12 @@ stdenv.mkDerivation (finalAttrs: {
./quark_init_on_demand.patch
./gobject_init_on_demand.patch
] ++ [
+ (fetchpatch {
+ name = "GLib-against-PCRE2-10.43.patch";
+ url = "https://gitlab.gnome.org/GNOME/glib/-/commit/cce3ae98a2c1966719daabff5a4ec6cf94a846f6.patch";
+ hash = "sha256-vgKzb5hQmFQGD8zxRrXnuX9Gpg/TeSrzehlOH2vA1xU=";
+ })
+
./glib-appinfo-watch.patch
./schema-override-variable.patch
@@ -103,11 +110,12 @@ stdenv.mkDerivation (finalAttrs: {
setupHook = ./setup-hook.sh;
buildInputs = [
- libelf
finalAttrs.setupHook
pcre2
] ++ lib.optionals (!stdenv.hostPlatform.isWindows) [
bash gnum4 # install glib-gettextize and m4 macros for other apps to use
+ ] ++ lib.optionals (lib.meta.availableOn stdenv.hostPlatform elfutils) [
+ elfutils
] ++ lib.optionals stdenv.isLinux [
libselinux
util-linuxMinimal # for libmount
@@ -151,6 +159,8 @@ stdenv.mkDerivation (finalAttrs: {
"-Dgtk_doc=${lib.boolToString buildDocs}"
"-Dnls=enabled"
"-Ddevbindir=${placeholder "dev"}/bin"
+ ] ++ lib.optionals (!lib.meta.availableOn stdenv.hostPlatform elfutils) [
+ "-Dlibelf=disabled"
] ++ lib.optionals (!stdenv.isDarwin) [
"-Dman=true" # broken on Darwin
] ++ lib.optionals stdenv.isFreeBSD [
diff --git a/pkgs/development/libraries/glibc/mtrace.nix b/pkgs/development/libraries/glibc/mtrace.nix
index 4dbcb19af2a4..926c0d91cdf0 100644
--- a/pkgs/development/libraries/glibc/mtrace.nix
+++ b/pkgs/development/libraries/glibc/mtrace.nix
@@ -36,5 +36,6 @@ glibc.overrideAttrs (oldAttrs: {
meta = oldAttrs.meta // {
description = "Perl script used to interpret and provide human readable output of the trace log contained in the file mtracedata, whose contents were produced by mtrace(3).";
+ mainProgram = "mtrace";
};
})
diff --git a/pkgs/development/libraries/gloox/default.nix b/pkgs/development/libraries/gloox/default.nix
index b4cd3ca75ee1..7bf1bfb4bd16 100644
--- a/pkgs/development/libraries/gloox/default.nix
+++ b/pkgs/development/libraries/gloox/default.nix
@@ -28,6 +28,7 @@ stdenv.mkDerivation rec{
meta = with lib; {
description = "A portable high-level Jabber/XMPP library for C++";
+ mainProgram = "gloox-config";
homepage = "http://camaya.net/gloox";
license = licenses.gpl3;
maintainers = with maintainers; [ ];
diff --git a/pkgs/development/libraries/gnome-online-accounts/default.nix b/pkgs/development/libraries/gnome-online-accounts/default.nix
index 6cdc54acd290..aee2056a8563 100644
--- a/pkgs/development/libraries/gnome-online-accounts/default.nix
+++ b/pkgs/development/libraries/gnome-online-accounts/default.nix
@@ -1,6 +1,6 @@
{ stdenv
, lib
-, fetchFromGitLab
+, fetchurl
, pkg-config
, vala
, glib
@@ -30,18 +30,15 @@
, wrapGAppsHook
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "gnome-online-accounts";
- version = "3.48.0";
+ version = "3.48.1";
outputs = [ "out" "dev" ] ++ lib.optionals enableBackend [ "man" "devdoc" ];
- src = fetchFromGitLab {
- domain = "gitlab.gnome.org";
- owner = "GNOME";
- repo = "gnome-online-accounts";
- rev = version;
- sha256 = "sha256-USl0Qay9pSgbbp3n/L8eBaRQwaBYledht5j+afmo++o=";
+ src = fetchurl {
+ url = "mirror://gnome/sources/gnome-online-accounts/${lib.versions.majorMinor finalAttrs.version}/gnome-online-accounts-${finalAttrs.version}.tar.xz";
+ hash = "sha256-PqDHEIS/WVzOXKo3zv8uhT0OyWRLsB/UZDMArblRf4o=";
};
mesonFlags = [
@@ -91,7 +88,7 @@ stdenv.mkDerivation rec {
passthru = {
updateScript = gnome.updateScript {
versionPolicy = "odd-unstable";
- packageName = pname;
+ packageName = "gnome-online-accounts";
};
};
@@ -102,4 +99,4 @@ stdenv.mkDerivation rec {
license = licenses.lgpl2Plus;
maintainers = teams.gnome.members;
};
-}
+})
diff --git a/pkgs/development/libraries/gnutls/default.nix b/pkgs/development/libraries/gnutls/default.nix
index b8c95653e366..3bef1d935564 100644
--- a/pkgs/development/libraries/gnutls/default.nix
+++ b/pkgs/development/libraries/gnutls/default.nix
@@ -1,14 +1,36 @@
-{ config, lib, stdenv, fetchurl, zlib, lzo, libtasn1, nettle, pkg-config, lzip
-, perl, gmp, autoconf, automake, libidn2, libiconv
+{ config
+, lib
+, stdenv
+, fetchurl
+, zlib
+, lzo
+, libtasn1
+, nettle
+, pkg-config
+, lzip
+, perl
+, gmp
+, autoconf
+, automake
+, libidn2
+, libiconv
, texinfo
-, unbound, dns-root-data, gettext, util-linux
+, unbound
+, dns-root-data
+, gettext
+, util-linux
, cxxBindings ? !stdenv.hostPlatform.isStatic # tries to link libstdc++.so
-, tpmSupport ? false, trousers, which, nettools, libunistring
-, withP11-kit ? !stdenv.hostPlatform.isStatic, p11-kit
+, tpmSupport ? false
+, trousers
+, which
+, nettools
+, libunistring
+, withP11-kit ? !stdenv.hostPlatform.isStatic
+, p11-kit
, Security # darwin Security.framework
-# certificate compression - only zlib now, more possible: zstd, brotli
+ # certificate compression - only zlib now, more possible: zstd, brotli
-# for passthru.tests
+ # for passthru.tests
, curlWithGnuTls
, emacs
, ffmpeg
@@ -28,7 +50,7 @@ let
# XXX: Gnulib's `test-select' fails on FreeBSD:
# https://hydra.nixos.org/build/2962084/nixlog/1/raw .
doCheck = !stdenv.isFreeBSD && !stdenv.isDarwin
- && stdenv.buildPlatform == stdenv.hostPlatform;
+ && stdenv.buildPlatform == stdenv.hostPlatform;
inherit (stdenv.hostPlatform) isDarwin;
in
@@ -42,10 +64,12 @@ stdenv.mkDerivation rec {
hash = "sha256-90/FlUsn1Oxt+7Ed6ph4iLWxJCiaNwOvytoO5SD0Fz4=";
};
- outputs = [ "bin" "dev" "out" "man" "devdoc" ];
+ outputs = [ "bin" "dev" "out" ]
+ ++ lib.optionals (!stdenv.hostPlatform.isMinGW) [ "man" "devdoc" ];
+
# Not normally useful docs.
outputInfo = "devdoc";
- outputDoc = "devdoc";
+ outputDoc = "devdoc";
patches = [
./nix-ssl-cert-file.patch
@@ -68,18 +92,22 @@ stdenv.mkDerivation rec {
preConfigure = "patchShebangs .";
configureFlags =
lib.optionals withP11-kit [
- "--with-default-trust-store-file=/etc/ssl/certs/ca-certificates.crt"
- "--with-default-trust-store-pkcs11=pkcs11:"
- ] ++ [
- "--disable-dependency-tracking"
- "--enable-fast-install"
- "--with-unbound-root-key-file=${dns-root-data}/root.key"
- (lib.withFeature withP11-kit "p11-kit")
- (lib.enableFeature cxxBindings "cxx")
- ];
+ "--with-default-trust-store-file=/etc/ssl/certs/ca-certificates.crt"
+ "--with-default-trust-store-pkcs11=pkcs11:"
+ ] ++ [
+ "--disable-dependency-tracking"
+ "--enable-fast-install"
+ "--with-unbound-root-key-file=${dns-root-data}/root.key"
+ (lib.withFeature withP11-kit "p11-kit")
+ (lib.enableFeature cxxBindings "cxx")
+ ] ++ lib.optionals (stdenv.hostPlatform.isMinGW) [
+ "--disable-doc"
+ ];
enableParallelBuilding = true;
+ hardeningDisable = [ "trivialautovarinit" ];
+
buildInputs = [ lzo lzip libtasn1 libidn2 zlib gmp libunistring unbound gettext libiconv ]
++ lib.optional (withP11-kit) p11-kit
++ lib.optional (tpmSupport && stdenv.isLinux) trousers;
@@ -121,17 +149,17 @@ stdenv.mkDerivation rec {
description = "The GNU Transport Layer Security Library";
longDescription = ''
- GnuTLS is a project that aims to develop a library which
- provides a secure layer, over a reliable transport
- layer. Currently the GnuTLS library implements the proposed standards by
- the IETF's TLS working group.
+ GnuTLS is a project that aims to develop a library which
+ provides a secure layer, over a reliable transport
+ layer. Currently the GnuTLS library implements the proposed standards by
+ the IETF's TLS working group.
- Quoting from the TLS protocol specification:
+ Quoting from the TLS protocol specification:
- "The TLS protocol provides communications privacy over the
- Internet. The protocol allows client/server applications to
- communicate in a way that is designed to prevent eavesdropping,
- tampering, or message forgery."
+ "The TLS protocol provides communications privacy over the
+ Internet. The protocol allows client/server applications to
+ communicate in a way that is designed to prevent eavesdropping,
+ tampering, or message forgery."
'';
homepage = "https://gnutls.org/";
diff --git a/pkgs/development/libraries/goffice/default.nix b/pkgs/development/libraries/goffice/default.nix
index 2d25a6d9e4d6..97779b477c30 100644
--- a/pkgs/development/libraries/goffice/default.nix
+++ b/pkgs/development/libraries/goffice/default.nix
@@ -6,13 +6,13 @@
stdenv.mkDerivation rec {
pname = "goffice";
- version = "0.10.56";
+ version = "0.10.57";
outputs = [ "out" "dev" "devdoc" ];
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "uGQKL+4MCld4SypbkpRKKTLHidsQOd31ommtY0eW5+I=";
+ hash = "sha256-Zr/X4x0vZ1bVpiw2cDg8u6ArPLTBBClQGSqAG3Kjyas=";
};
nativeBuildInputs = [
diff --git a/pkgs/development/libraries/google-cloud-cpp/default.nix b/pkgs/development/libraries/google-cloud-cpp/default.nix
index 0a6be882120b..4d8ef6821240 100644
--- a/pkgs/development/libraries/google-cloud-cpp/default.nix
+++ b/pkgs/development/libraries/google-cloud-cpp/default.nix
@@ -28,7 +28,6 @@ let
rev = googleapisRev;
hash = "sha256-4Qiz0pBgW3OZi+Z8Zq6k9E94+8q6/EFMwPh8eQxDjdI=";
};
- excludedTests = builtins.fromTOML (builtins.readFile ./skipped_tests.toml);
in
stdenv.mkDerivation rec {
pname = "google-cloud-cpp";
@@ -106,16 +105,17 @@ stdenv.mkDerivation rec {
lib.optionalString doInstallCheck (
lib.optionalString (!staticOnly) ''
export ${ldLibraryPathName}=${lib.concatStringsSep ":" additionalLibraryPaths}
- '' + ''
- export GTEST_FILTER="-${lib.concatStringsSep ":" excludedTests.cases}"
''
);
installCheckPhase = lib.optionalString doInstallCheck ''
runHook preInstallCheck
- # disable tests that contact the internet
- ctest --exclude-regex '^(${lib.concatStringsSep "|" excludedTests.whole})'
+ # Disable any integration tests, which need to contact the internet.
+ # Also disable the `storage_benchmark_*` tests.
+ # With Protobuf < 23.x they require -DGOOGLE_CLOUD_CPP_ENABLE_CTYPE_WORKAROUND=ON.
+ # With Protobuf >= 23.x they require They require setting -DGOOGLE_CLOUD_CPP_ENABLE_CTYPE_WORKAROUND=OFF
+ ctest --label-exclude integration-test --exclude-regex storage_benchmarks_
runHook postInstallCheck
'';
diff --git a/pkgs/development/libraries/google-cloud-cpp/skipped_tests.toml b/pkgs/development/libraries/google-cloud-cpp/skipped_tests.toml
deleted file mode 100644
index d4ac469fbcff..000000000000
--- a/pkgs/development/libraries/google-cloud-cpp/skipped_tests.toml
+++ /dev/null
@@ -1,139 +0,0 @@
-whole = [
- "common_samples_samples",
- "common_internal_grpc_impersonate_service_account_integration_test",
- "common_internal_unified_rest_credentials_integration_test",
- "iam_samples_iam_credentials_samples",
- "iam_samples_iam_samples",
- "iam_admin_v1_samples_iam_client_samples",
- "iam_credentials_v1_samples_iam_credentials_client_samples",
- "iam_v1_samples_iam_policy_client_samples",
- "iam_v2_samples_policies_client_samples",
- "bigtable_admin_admin_iam_policy_integration_test",
- "bigtable_bigtable_instance_admin_client_samples",
- "bigtable_bigtable_table_admin_client_samples",
- "bigtable_apply_read_latency_benchmark",
- "bigtable_endurance_benchmark",
- "bigtable_mutation_batcher_throughput_benchmark",
- "bigtable_read_sync_vs_async_benchmark",
- "bigtable_scan_throughput_benchmark",
- "bigtable_admin_iam_policy_integration_test",
- "bigtable_data_async_future_integration_test",
- "bigtable_data_integration_test",
- "bigtable_filters_integration_test",
- "bigtable_mutations_integration_test",
- "bigtable_table_sample_rows_integration_test",
- "bigquery_samples_bigquery_read_samples",
- "bigquery_analyticshub_v1_samples_analytics_hub_client_samples",
- "bigquery_biglake_v1_samples_metastore_client_samples",
- "bigquery_connection_v1_samples_connection_client_samples",
- "bigquery_datapolicies_v1_samples_data_policy_client_samples",
- "bigquery_datatransfer_v1_samples_data_transfer_client_samples",
- "bigquery_migration_v2_samples_migration_client_samples",
- "bigquery_reservation_v1_samples_reservation_client_samples",
- "bigquery_storage_v1_samples_bigquery_read_client_samples",
- "bigquery_storage_v1_samples_bigquery_write_client_samples",
- "logging_quickstart",
- "logging_v2_samples_config_service_v2_client_samples",
- "logging_v2_samples_logging_service_v2_client_samples",
- "logging_v2_samples_metrics_service_v2_client_samples",
- "pubsub_endurance",
- "pubsub_throughput",
- "pubsub_subscriber_integration_test",
- "pubsub_subscription_admin_integration_test",
- "pubsub_topic_admin_integration_test",
- "spanner_client_integration_test",
- "spanner_client_stress_test",
- "spanner_data_types_integration_test",
- "spanner_database_admin_integration_test",
- "spanner_instance_admin_integration_test",
- "spanner_session_pool_integration_test",
- "spanner_admin_database_admin_integration_test",
- "spanner_admin_instance_admin_integration_test",
- "spanner_database_admin_client_samples",
- "spanner_instance_admin_client_samples",
- "spanner_multiple_rows_cpu_benchmark",
- "spanner_single_row_throughput_benchmark",
- "storage_alternative_endpoint_integration_test",
- "storage_auto_finalize_integration_test",
- "storage_bucket_integration_test",
- "storage_create_client_integration_test",
- "storage_curl_sign_blob_integration_test",
- "storage_decompressive_transcoding_integration_test",
- "storage_grpc_bucket_acl_integration_test",
- "storage_grpc_bucket_metadata_integration_test",
- "storage_grpc_default_object_acl_integration_test",
- "storage_grpc_integration_test",
- "storage_grpc_object_acl_integration_test",
- "storage_grpc_object_media_integration_test",
- "storage_grpc_object_metadata_integration_test",
- "storage_key_file_integration_test",
- "storage_minimal_iam_credentials_rest_integration_test",
- "storage_object_basic_crud_integration_test",
- "storage_object_checksum_integration_test",
- "storage_object_compose_many_integration_test",
- "storage_object_file_integration_test",
- "storage_object_hash_integration_test",
- "storage_object_insert_integration_test",
- "storage_object_insert_preconditions_integration_test",
- "storage_object_integration_test",
- "storage_object_list_objects_versions_integration_test",
- "storage_object_media_integration_test",
- "storage_object_parallel_upload_integration_test",
- "storage_object_plenty_clients_serially_integration_test",
- "storage_object_plenty_clients_simultaneously_integration_test",
- "storage_object_read_headers_integration_test",
- "storage_object_read_preconditions_integration_test",
- "storage_object_read_range_integration_test",
- "storage_object_read_stream_integration_test",
- "storage_object_resumable_parallel_upload_integration_test",
- "storage_object_resumable_write_integration_test",
- "storage_object_rewrite_integration_test",
- "storage_object_write_preconditions_integration_test",
- "storage_object_write_stream_integration_test",
- "storage_object_write_streambuf_integration_test",
- "storage_service_account_integration_test",
- "storage_signed_url_integration_test",
- "storage_small_reads_integration_test",
- "storage_thread_integration_test",
- "storage_tracing_integration_test",
- "storage_unified_credentials_integration_test",
- "storage_aggregate_download_throughput_benchmark",
- "storage_aggregate_upload_throughput_benchmark",
- "storage_create_dataset",
- "storage_storage_file_transfer_benchmark",
- "storage_storage_parallel_uploads_benchmark",
- "storage_storage_throughput_vs_cpu_benchmark",
- "storage_throughput_experiment_test"
-]
-cases = [
- "BackupExtraIntegrationTest.CreateBackupWithExpiredVersionTime",
- "BackupExtraIntegrationTest.BackupWithExpiredVersionTime",
- "BackupExtraIntegrationTest.BackupWithFutureVersionTime",
- "BackupExtraIntegrationTest.CreateBackupWithFutureVersionTime",
- "BlockingPublisherIntegrationTest.Basic",
- "DatabaseAdminClientTest.CreateWithEncryptionKey",
- "DatabaseAdminClientTest.CreateWithNonexistentEncryptionKey",
- "DatabaseAdminClientTest.DatabaseBasicCRUD",
- "DatabaseAdminClientTest.VersionRetentionPeriodCreate",
- "DatabaseAdminClientTest.VersionRetentionPeriodCreateFailure",
- "DatabaseAdminClientTest.VersionRetentionPeriodUpdate",
- "DatabaseAdminClientTest.VersionRetentionPeriodUpdateFailure",
- "ErrorParsingIntegrationTest.FailureContainsErrorInfo",
- "GrpcServiceAccountIntegrationTest.GetServiceAccount",
- "GrpcBucketMetadataIntegrationTest.ObjectMetadataCRUD",
- "InstanceAdminClientTest.InstanceConfig",
- "InstanceAdminClientTest.InstanceIam",
- "InstanceAdminClientTest.InstanceReadOperations",
- "LoggingIntegrationTest.ListMonitoredResourceDescriptors",
- "LoggingIntegrationTest.WriteLogEntries",
- "ObjectFileMultiThreadedTest.Download",
- "ObjectReadLargeIntegrationTest.LimitedMemoryGrowth",
- "SubscriberIntegrationTest.FireAndForget",
- "SubscriberIntegrationTest.PublishOrdered",
- "SubscriberIntegrationTest.PublishPullAck",
- "SubscriberIntegrationTest.RawStub",
- "SubscriberIntegrationTest.ReportNotFound",
- "SubscriberIntegrationTest.StreamingSubscriptionBatchSource",
- "SubscriptionAdminIntegrationTest.SubscriptionCRUD",
- "TopicAdminIntegrationTest.TopicCRUD"
-]
diff --git a/pkgs/development/libraries/gpgme/default.nix b/pkgs/development/libraries/gpgme/default.nix
index ca359fcc912b..c712b5195dc5 100644
--- a/pkgs/development/libraries/gpgme/default.nix
+++ b/pkgs/development/libraries/gpgme/default.nix
@@ -1,7 +1,6 @@
{ lib
, stdenv
, fetchurl
-, fetchpatch
, autoreconfHook
, libgpg-error
, gnupg
@@ -9,7 +8,6 @@
, glib
, pth
, libassuan
-, file
, which
, ncurses
, texinfo
@@ -22,29 +20,35 @@
, qt6Packages
, python3
}:
-let
- inherit (stdenv.hostPlatform) system;
-in
+
stdenv.mkDerivation rec {
pname = "gpgme";
version = "1.23.2";
-
- src = fetchurl {
- url = "mirror://gnupg/gpgme/${pname}-${version}.tar.bz2";
- hash = "sha256-lJnosfM8zLaBVSehvBYEnTWmGYpsX64BhfK9VhvOUiQ=";
- };
-
- patches = [
- # Support Python 3.10 version detection without distutils, https://dev.gnupg.org/D545
- ./python-310-detection-without-distutils.patch
- # Fix a test after disallowing compressed signatures in gpg (PR #180336)
- ./test_t-verify_double-plaintext.patch
- ];
+ pyproject = true;
outputs = [ "out" "dev" "info" ];
outputBin = "dev"; # gpgme-config; not so sure about gpgme-tool
+ src = fetchurl {
+ url = "mirror://gnupg/gpgme/gpgme-${version}.tar.bz2";
+ hash = "sha256-lJnosfM8zLaBVSehvBYEnTWmGYpsX64BhfK9VhvOUiQ=";
+ };
+
+ patches = [
+ # Support Python 3.10-3.12, remove distutils, https://dev.gnupg.org/D545
+ ./python-310-312-remove-distutils.patch
+ # Fix a test after disallowing compressed signatures in gpg (PR #180336)
+ ./test_t-verify_double-plaintext.patch
+ ];
+
+ postPatch = ''
+ # autoconf's beta detection requires a git repo to work
+ # and otherwise appends -unknown to the version number used in the python package which pip stumbles upon
+ substituteInPlace autogen.sh \
+ --replace-fail 'tmp="-unknown"' 'tmp=""'
+ '';
+
nativeBuildInputs = [
autoreconfHook
gnupg
@@ -52,6 +56,9 @@ stdenv.mkDerivation rec {
texinfo
] ++ lib.optionals pythonSupport [
python3.pythonOnBuildForHost
+ python3.pkgs.pip
+ python3.pkgs.setuptools
+ python3.pkgs.wheel
ncurses
swig2
which
diff --git a/pkgs/development/libraries/gpgme/python-310-312-remove-distutils.patch b/pkgs/development/libraries/gpgme/python-310-312-remove-distutils.patch
new file mode 100644
index 000000000000..774188aee89c
--- /dev/null
+++ b/pkgs/development/libraries/gpgme/python-310-312-remove-distutils.patch
@@ -0,0 +1,647 @@
+diff --git a/lang/python/Makefile.am b/lang/python/Makefile.am
+--- a/lang/python/Makefile.am
++++ b/lang/python/Makefile.am
+@@ -34,8 +34,8 @@
+ .PHONY: prepare
+ prepare: copystamp
+
+-# For VPATH builds we need to copy some files because Python's
+-# distutils are not VPATH-aware.
++# For VPATH builds we need to copy some files because Python
++# is not VPATH-aware.
+ copystamp:
+ ln -sf "$(top_srcdir)/src/data.h" .
+ ln -sf "$(top_builddir)/conf/config.h" .
+@@ -48,7 +48,7 @@
+ CFLAGS="$(CFLAGS)" \
+ srcdir="$(srcdir)" \
+ top_builddir="$(top_builddir)" \
+- $$PYTHON setup.py build --verbose --build-base="$$(basename "$${PYTHON}")-gpg" ; \
++ $$PYTHON -m pip --verbose install --no-index --no-build-isolation --root="$$(basename "$${PYTHON}")-gpg" ${srcdir} ; \
+ done
+
+ python$(PYTHON_VERSION)-gpg/dist/gpg-$(VERSION).tar.gz.asc: copystamp
+@@ -57,8 +57,7 @@
+ CFLAGS="$(CFLAGS)" \
+ srcdir="$(srcdir)" \
+ top_builddir="$(top_builddir)" \
+- $(PYTHON) setup.py sdist --verbose --dist-dir=python$(PYTHON_VERSION)-gpg-dist \
+- --manifest=python$(PYTHON_VERSION)-gpg-dist/MANIFEST
++ $(PYTHON) -m build --sdist --outdir=python$(PYTHON_VERSION)-gpg-dist
+ gpgbin=gpgconf --list-components | grep OpenPGP | sed -e 's/gpg:OpenPGP://g'
+ $(gpgbin) --detach-sign --armor python$(PYTHON_VERSION)-gpg-dist/gpg-$(VERSION).tar.gz
+
+@@ -92,17 +91,16 @@
+ CFLAGS="$(CFLAGS)" \
+ srcdir="$(srcdir)" \
+ top_builddir="$(top_builddir)" \
+- $$PYTHON setup.py \
+- build \
+- --build-base="$$(basename "$${PYTHON}")-gpg" \
++ $$PYTHON -m pip --verbose \
+ install \
++ --no-index --no-build-isolation \
+ --prefix "$(DESTDIR)$(prefix)" \
+- --verbose ; \
++ ${srcdir} ; \
+ done
+
+ uninstall-local:
+ set -x; GV=$$(echo $(VERSION) | tr - _); for PYTHON in $(PYTHONS); do \
+ PLATLIB="$(prefix)/$$("$${PYTHON}" -c 'import sysconfig, os; print(os.path.relpath(sysconfig.get_path("platlib", scheme="posix_prefix"), sysconfig.get_config_var("prefix")))')" ; \
+ rm -rf -- "$(DESTDIR)$${PLATLIB}/gpg" \
+- "$(DESTDIR)$${PLATLIB}"/gpg-$$GV-py*.egg-info ; \
++ "$(DESTDIR)$${PLATLIB}"/gpg-$$GV.dist-info ; \
+ done
+diff --git a/lang/python/doc/src/gpgme-python-howto.org b/lang/python/doc/src/gpgme-python-howto.org
+--- a/lang/python/doc/src/gpgme-python-howto.org
++++ b/lang/python/doc/src/gpgme-python-howto.org
+@@ -2945,7 +2945,7 @@
+ =setup.py= file which contains this:
+
+ #+BEGIN_SRC python -i
+-from distutils.core import setup
++from setuptools import setup
+ from Cython.Build import cythonize
+
+ setup(
+diff --git a/lang/python/examples/howto/advanced/cython/setup.py b/lang/python/examples/howto/advanced/cython/setup.py
+--- a/lang/python/examples/howto/advanced/cython/setup.py
++++ b/lang/python/examples/howto/advanced/cython/setup.py
+@@ -1,4 +1,4 @@
+-from distutils.core import setup
++from setuptools import setup
+ from Cython.Build import cythonize
+
+ setup(
+diff --git a/lang/python/setup.py.in b/lang/python/setup.py.in
+--- a/lang/python/setup.py.in
++++ b/lang/python/setup.py.in
+@@ -18,8 +18,8 @@
+ # License along with this library; if not, write to the Free Software
+ # Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+
+-from distutils.core import setup, Extension
+-from distutils.command.build import build
++from setuptools import setup, Extension
++from setuptools.command.build import build
+
+ import glob
+ import os
+@@ -225,9 +225,8 @@
+ build.run(self)
+
+
+-py3 = [] if sys.version_info.major < 3 else ['-py3']
+ swig_sources = []
+-swig_opts = ['-threads'] + py3 + extra_swig_opts
++swig_opts = ['-threads'] + extra_swig_opts
+ swige = Extension(
+ 'gpg._gpgme',
+ sources=swig_sources,
+diff --git a/m4/ax_python_devel.m4 b/m4/ax_python_devel.m4
+--- a/m4/ax_python_devel.m4
++++ b/m4/ax_python_devel.m4
+@@ -1,10 +1,10 @@
+ # ===========================================================================
+-# https://www.gnu.org/software/autoconf-archive/ax_python_devel.html
++# https://www.gnu.org/software/autoconf-archive/ax_python_devel.html
+ # ===========================================================================
+ #
+ # SYNOPSIS
+ #
+-# AX_PYTHON_DEVEL([version])
++# AX_PYTHON_DEVEL([version[,optional]])
+ #
+ # DESCRIPTION
+ #
+@@ -12,8 +12,8 @@
+ # in your configure.ac.
+ #
+ # This macro checks for Python and tries to get the include path to
+-# 'Python.h'. It provides the $(PYTHON_CPPFLAGS) and $(PYTHON_LDFLAGS)
+-# output variables. It also exports $(PYTHON_EXTRA_LIBS) and
++# 'Python.h'. It provides the $(PYTHON_CPPFLAGS) and $(PYTHON_LIBS) output
++# variables. It also exports $(PYTHON_EXTRA_LIBS) and
+ # $(PYTHON_EXTRA_LDFLAGS) for embedding Python in your code.
+ #
+ # You can search for some particular version of Python by passing a
+@@ -23,6 +23,11 @@
+ # version number. Don't use "PYTHON_VERSION" for this: that environment
+ # variable is declared as precious and thus reserved for the end-user.
+ #
++# By default this will fail if it does not detect a development version of
++# python. If you want it to continue, set optional to true, like
++# AX_PYTHON_DEVEL([], [true]). The ax_python_devel_found variable will be
++# "no" if it fails.
++#
+ # This macro should work for all versions of Python >= 2.1.0. As an end
+ # user, you can disable the check for the python version by setting the
+ # PYTHON_NOVERSIONCHECK environment variable to something else than the
+@@ -67,10 +72,18 @@
+ # modified version of the Autoconf Macro, you may extend this special
+ # exception to the GPL to apply to your modified version as well.
+
+-#serial 17
++#serial 36
+
+ AU_ALIAS([AC_PYTHON_DEVEL], [AX_PYTHON_DEVEL])
+ AC_DEFUN([AX_PYTHON_DEVEL],[
++ # Get whether it's optional
++ if test -z "$2"; then
++ ax_python_devel_optional=false
++ else
++ ax_python_devel_optional=$2
++ fi
++ ax_python_devel_found=yes
++
+ #
+ # Allow the use of a (user set) custom python version
+ #
+@@ -81,81 +94,147 @@
+
+ AC_PATH_PROG([PYTHON],[python[$PYTHON_VERSION]])
+ if test -z "$PYTHON"; then
+- AC_MSG_ERROR([Cannot find python$PYTHON_VERSION in your system path])
++ AC_MSG_WARN([Cannot find python$PYTHON_VERSION in your system path])
++ if ! $ax_python_devel_optional; then
++ AC_MSG_ERROR([Giving up, python development not available])
++ fi
++ ax_python_devel_found=no
+ PYTHON_VERSION=""
+ fi
+
+- #
+- # Check for a version of Python >= 2.1.0
+- #
+- AC_MSG_CHECKING([for a version of Python >= '2.1.0'])
+- ac_supports_python_ver=`$PYTHON -c "import sys; \
++ if test $ax_python_devel_found = yes; then
++ #
++ # Check for a version of Python >= 2.1.0
++ #
++ AC_MSG_CHECKING([for a version of Python >= '2.1.0'])
++ ac_supports_python_ver=`$PYTHON -c "import sys; \
+ ver = sys.version.split ()[[0]]; \
+ print (ver >= '2.1.0')"`
+- if test "$ac_supports_python_ver" != "True"; then
++ if test "$ac_supports_python_ver" != "True"; then
+ if test -z "$PYTHON_NOVERSIONCHECK"; then
+ AC_MSG_RESULT([no])
+- AC_MSG_FAILURE([
++ AC_MSG_WARN([
+ This version of the AC@&t@_PYTHON_DEVEL macro
+ doesn't work properly with versions of Python before
+ 2.1.0. You may need to re-run configure, setting the
+-variables PYTHON_CPPFLAGS, PYTHON_LDFLAGS, PYTHON_SITE_PKG,
++variables PYTHON_CPPFLAGS, PYTHON_LIBS, PYTHON_SITE_PKG,
+ PYTHON_EXTRA_LIBS and PYTHON_EXTRA_LDFLAGS by hand.
+ Moreover, to disable this check, set PYTHON_NOVERSIONCHECK
+ to something else than an empty string.
+ ])
++ if ! $ax_python_devel_optional; then
++ AC_MSG_FAILURE([Giving up])
++ fi
++ ax_python_devel_found=no
++ PYTHON_VERSION=""
+ else
+ AC_MSG_RESULT([skip at user request])
+ fi
+- else
++ else
+ AC_MSG_RESULT([yes])
++ fi
+ fi
+
+- #
+- # if the macro parameter ``version'' is set, honour it
+- #
+- if test -n "$1"; then
++ if test $ax_python_devel_found = yes; then
++ #
++ # If the macro parameter ``version'' is set, honour it.
++ # A Python shim class, VPy, is used to implement correct version comparisons via
++ # string expressions, since e.g. a naive textual ">= 2.7.3" won't work for
++ # Python 2.7.10 (the ".1" being evaluated as less than ".3").
++ #
++ if test -n "$1"; then
+ AC_MSG_CHECKING([for a version of Python $1])
+- ac_supports_python_ver=`$PYTHON -c "import sys; \
+- ver = sys.version.split ()[[0]]; \
++ cat << EOF > ax_python_devel_vpy.py
++class VPy:
++ def vtup(self, s):
++ return tuple(map(int, s.strip().replace("rc", ".").split(".")))
++ def __init__(self):
++ import sys
++ self.vpy = tuple(sys.version_info)[[:3]]
++ def __eq__(self, s):
++ return self.vpy == self.vtup(s)
++ def __ne__(self, s):
++ return self.vpy != self.vtup(s)
++ def __lt__(self, s):
++ return self.vpy < self.vtup(s)
++ def __gt__(self, s):
++ return self.vpy > self.vtup(s)
++ def __le__(self, s):
++ return self.vpy <= self.vtup(s)
++ def __ge__(self, s):
++ return self.vpy >= self.vtup(s)
++EOF
++ ac_supports_python_ver=`$PYTHON -c "import ax_python_devel_vpy; \
++ ver = ax_python_devel_vpy.VPy(); \
+ print (ver $1)"`
++ rm -rf ax_python_devel_vpy*.py* __pycache__/ax_python_devel_vpy*.py*
+ if test "$ac_supports_python_ver" = "True"; then
+- AC_MSG_RESULT([yes])
++ AC_MSG_RESULT([yes])
+ else
+ AC_MSG_RESULT([no])
+- AC_MSG_ERROR([this package requires Python $1.
++ AC_MSG_WARN([this package requires Python $1.
+ If you have it installed, but it isn't the default Python
+ interpreter in your system path, please pass the PYTHON_VERSION
+ variable to configure. See ``configure --help'' for reference.
+ ])
++ if ! $ax_python_devel_optional; then
++ AC_MSG_ERROR([Giving up])
++ fi
++ ax_python_devel_found=no
+ PYTHON_VERSION=""
+ fi
++ fi
+ fi
+
+- #
+- # Check if you have distutils, else fail
+- #
+- AC_MSG_CHECKING([for the distutils Python package])
+- ac_distutils_result=`$PYTHON -c "import distutils" 2>&1`
+- if test -z "$ac_distutils_result"; then
++ if test $ax_python_devel_found = yes; then
++ #
++ # Check if you have distutils, else fail
++ #
++ AC_MSG_CHECKING([for the sysconfig Python package])
++ ac_sysconfig_result=`$PYTHON -c "import sysconfig" 2>&1`
++ if test $? -eq 0; then
+ AC_MSG_RESULT([yes])
+- else
++ IMPORT_SYSCONFIG="import sysconfig"
++ else
+ AC_MSG_RESULT([no])
+- AC_MSG_ERROR([cannot import Python module "distutils".
++
++ AC_MSG_CHECKING([for the distutils Python package])
++ ac_sysconfig_result=`$PYTHON -c "from distutils import sysconfig" 2>&1`
++ if test $? -eq 0; then
++ AC_MSG_RESULT([yes])
++ IMPORT_SYSCONFIG="from distutils import sysconfig"
++ else
++ AC_MSG_WARN([cannot import Python module "distutils".
+ Please check your Python installation. The error was:
+-$ac_distutils_result])
+- PYTHON_VERSION=""
++$ac_sysconfig_result])
++ if ! $ax_python_devel_optional; then
++ AC_MSG_ERROR([Giving up])
++ fi
++ ax_python_devel_found=no
++ PYTHON_VERSION=""
++ fi
++ fi
+ fi
+
+- #
+- # Check for Python include path
+- #
+- AC_MSG_CHECKING([for Python include path])
+- if test -z "$PYTHON_CPPFLAGS"; then
+- python_path=`$PYTHON -c "import distutils.sysconfig; \
+- print (distutils.sysconfig.get_python_inc ());"`
+- plat_python_path=`$PYTHON -c "import distutils.sysconfig; \
+- print (distutils.sysconfig.get_python_inc (plat_specific=1));"`
++ if test $ax_python_devel_found = yes; then
++ #
++ # Check for Python include path
++ #
++ AC_MSG_CHECKING([for Python include path])
++ if test -z "$PYTHON_CPPFLAGS"; then
++ if test "$IMPORT_SYSCONFIG" = "import sysconfig"; then
++ # sysconfig module has different functions
++ python_path=`$PYTHON -c "$IMPORT_SYSCONFIG; \
++ print (sysconfig.get_path ('include'));"`
++ plat_python_path=`$PYTHON -c "$IMPORT_SYSCONFIG; \
++ print (sysconfig.get_path ('platinclude'));"`
++ else
++ # old distutils way
++ python_path=`$PYTHON -c "$IMPORT_SYSCONFIG; \
++ print (sysconfig.get_python_inc ());"`
++ plat_python_path=`$PYTHON -c "$IMPORT_SYSCONFIG; \
++ print (sysconfig.get_python_inc (plat_specific=1));"`
++ fi
+ if test -n "${python_path}"; then
+ if test "${plat_python_path}" != "${python_path}"; then
+ python_path="-I$python_path -I$plat_python_path"
+@@ -164,22 +243,22 @@
+ fi
+ fi
+ PYTHON_CPPFLAGS=$python_path
+- fi
+- AC_MSG_RESULT([$PYTHON_CPPFLAGS])
+- AC_SUBST([PYTHON_CPPFLAGS])
++ fi
++ AC_MSG_RESULT([$PYTHON_CPPFLAGS])
++ AC_SUBST([PYTHON_CPPFLAGS])
+
+- #
+- # Check for Python library path
+- #
+- AC_MSG_CHECKING([for Python library path])
+- if test -z "$PYTHON_LDFLAGS"; then
++ #
++ # Check for Python library path
++ #
++ AC_MSG_CHECKING([for Python library path])
++ if test -z "$PYTHON_LIBS"; then
+ # (makes two attempts to ensure we've got a version number
+ # from the interpreter)
+ ac_python_version=`cat<]],
+ [[Py_Initialize();]])
+ ],[pythonexists=yes],[pythonexists=no])
+- AC_LANG_POP([C])
+- # turn back to default flags
+- CPPFLAGS="$ac_save_CPPFLAGS"
+- LIBS="$ac_save_LIBS"
++ AC_LANG_POP([C])
++ # turn back to default flags
++ CPPFLAGS="$ac_save_CPPFLAGS"
++ LIBS="$ac_save_LIBS"
++ LDFLAGS="$ac_save_LDFLAGS"
+
+- AC_MSG_RESULT([$pythonexists])
++ AC_MSG_RESULT([$pythonexists])
+
+- if test ! "x$pythonexists" = "xyes"; then
+- AC_MSG_WARN([
++ if test ! "x$pythonexists" = "xyes"; then
++ AC_MSG_WARN([
+ Could not link test program to Python. Maybe the main Python library has been
+ installed in some non-standard library path. If so, pass it to configure,
+- via the LDFLAGS environment variable.
+- Example: ./configure LDFLAGS="-L/usr/non-standard-path/python/lib"
++ via the LIBS environment variable.
++ Example: ./configure LIBS="-L/usr/non-standard-path/python/lib"
+ ============================================================================
++ ERROR!
+ You probably have to install the development version of the Python package
+ for your distribution. The exact name of this package varies among them.
+ ============================================================================
+- ])
+- PYTHON_VERSION=""
++ ])
++ if ! $ax_python_devel_optional; then
++ AC_MSG_ERROR([Giving up])
++ fi
++ ax_python_devel_found=no
++ PYTHON_VERSION=""
++ fi
+ fi
+
+ #
+ # all done!
+ #
+-])
++])
+\ No newline at end of file
+diff --git a/m4/python.m4 b/m4/python.m4
+--- a/m4/python.m4
++++ b/m4/python.m4
+@@ -41,7 +41,7 @@
+ m4_define_default([_AM_PYTHON_INTERPRETER_LIST],
+ [python2 python2.7 dnl
+ python dnl
+- python3 python3.10 python3.9 python3.8 python3.7 python3.6 python3.5 python3.4
++ python3 python3.12 python3.11 python3.10 python3.9 python3.8 python3.7 python3.6 python3.5 python3.4
+ ])
+
+ AC_ARG_VAR([PYTHON], [the Python interpreter])
+
diff --git a/pkgs/development/libraries/gpgme/python-310-detection-without-distutils.patch b/pkgs/development/libraries/gpgme/python-310-detection-without-distutils.patch
deleted file mode 100644
index e0eea9ff0429..000000000000
--- a/pkgs/development/libraries/gpgme/python-310-detection-without-distutils.patch
+++ /dev/null
@@ -1,273 +0,0 @@
-diff --git a/m4/ax_python_devel.m4 b/m4/ax_python_devel.m4
---- a/m4/ax_python_devel.m4
-+++ b/m4/ax_python_devel.m4
-@@ -1,5 +1,5 @@
- # ===========================================================================
--# https://www.gnu.org/software/autoconf-archive/ax_python_devel.html
-+# https://www.gnu.org/software/autoconf-archive/ax_python_devel.html
- # ===========================================================================
- #
- # SYNOPSIS
-@@ -12,8 +12,8 @@
- # in your configure.ac.
- #
- # This macro checks for Python and tries to get the include path to
--# 'Python.h'. It provides the $(PYTHON_CPPFLAGS) and $(PYTHON_LDFLAGS)
--# output variables. It also exports $(PYTHON_EXTRA_LIBS) and
-+# 'Python.h'. It provides the $(PYTHON_CPPFLAGS) and $(PYTHON_LIBS) output
-+# variables. It also exports $(PYTHON_EXTRA_LIBS) and
- # $(PYTHON_EXTRA_LDFLAGS) for embedding Python in your code.
- #
- # You can search for some particular version of Python by passing a
-@@ -67,7 +67,7 @@
- # modified version of the Autoconf Macro, you may extend this special
- # exception to the GPL to apply to your modified version as well.
-
--#serial 17
-+#serial 23
-
- AU_ALIAS([AC_PYTHON_DEVEL], [AX_PYTHON_DEVEL])
- AC_DEFUN([AX_PYTHON_DEVEL],[
-@@ -99,7 +99,7 @@
- This version of the AC@&t@_PYTHON_DEVEL macro
- doesn't work properly with versions of Python before
- 2.1.0. You may need to re-run configure, setting the
--variables PYTHON_CPPFLAGS, PYTHON_LDFLAGS, PYTHON_SITE_PKG,
-+variables PYTHON_CPPFLAGS, PYTHON_LIBS, PYTHON_SITE_PKG,
- PYTHON_EXTRA_LIBS and PYTHON_EXTRA_LDFLAGS by hand.
- Moreover, to disable this check, set PYTHON_NOVERSIONCHECK
- to something else than an empty string.
-@@ -135,16 +135,25 @@
- #
- # Check if you have distutils, else fail
- #
-- AC_MSG_CHECKING([for the distutils Python package])
-- ac_distutils_result=`$PYTHON -c "import distutils" 2>&1`
-- if test -z "$ac_distutils_result"; then
-+ AC_MSG_CHECKING([for the sysconfig Python package])
-+ ac_sysconfig_result=`$PYTHON -c "import sysconfig" 2>&1`
-+ if test $? -eq 0; then
- AC_MSG_RESULT([yes])
-+ IMPORT_SYSCONFIG="import sysconfig"
- else
- AC_MSG_RESULT([no])
-- AC_MSG_ERROR([cannot import Python module "distutils".
-+
-+ AC_MSG_CHECKING([for the distutils Python package])
-+ ac_sysconfig_result=`$PYTHON -c "from distutils import sysconfig" 2>&1`
-+ if test $? -eq 0; then
-+ AC_MSG_RESULT([yes])
-+ IMPORT_SYSCONFIG="from distutils import sysconfig"
-+ else
-+ AC_MSG_ERROR([cannot import Python module "distutils".
- Please check your Python installation. The error was:
--$ac_distutils_result])
-- PYTHON_VERSION=""
-+$ac_sysconfig_result])
-+ PYTHON_VERSION=""
-+ fi
- fi
-
- #
-@@ -152,10 +161,19 @@
- #
- AC_MSG_CHECKING([for Python include path])
- if test -z "$PYTHON_CPPFLAGS"; then
-- python_path=`$PYTHON -c "import distutils.sysconfig; \
-- print (distutils.sysconfig.get_python_inc ());"`
-- plat_python_path=`$PYTHON -c "import distutils.sysconfig; \
-- print (distutils.sysconfig.get_python_inc (plat_specific=1));"`
-+ if test "$IMPORT_SYSCONFIG" = "import sysconfig"; then
-+ # sysconfig module has different functions
-+ python_path=`$PYTHON -c "$IMPORT_SYSCONFIG; \
-+ print (sysconfig.get_path ('include'));"`
-+ plat_python_path=`$PYTHON -c "$IMPORT_SYSCONFIG; \
-+ print (sysconfig.get_path ('platinclude'));"`
-+ else
-+ # old distutils way
-+ python_path=`$PYTHON -c "$IMPORT_SYSCONFIG; \
-+ print (sysconfig.get_python_inc ());"`
-+ plat_python_path=`$PYTHON -c "$IMPORT_SYSCONFIG; \
-+ print (sysconfig.get_python_inc (plat_specific=1));"`
-+ fi
- if test -n "${python_path}"; then
- if test "${plat_python_path}" != "${python_path}"; then
- python_path="-I$python_path -I$plat_python_path"
-@@ -172,14 +190,14 @@
- # Check for Python library path
- #
- AC_MSG_CHECKING([for Python library path])
-- if test -z "$PYTHON_LDFLAGS"; then
-+ if test -z "$PYTHON_LIBS"; then
- # (makes two attempts to ensure we've got a version number
- # from the interpreter)
- ac_python_version=`cat< (stdenv.isLinux && stdenv.isAarch32);
stdenv.mkDerivation rec {
pname = "gst-plugins-good";
- version = "1.22.8";
+ version = "1.22.9";
outputs = [ "out" "dev" ];
src = fetchurl {
url = "https://gstreamer.freedesktop.org/src/${pname}/${pname}-${version}.tar.xz";
- hash = "sha256-4wW58H9SdDykgdoKTgx2w179YK2vGwaU6zuwIeITfjk=";
+ hash = "sha256-JpWfz+v/9jfU6gjvQDFrrzG2G7dymCCwaE6ADDoUeLY=";
};
+ patches = [
+ # dlopen libsoup_3 with an absolute path
+ (substituteAll {
+ src = ./souploader.diff;
+ nixLibSoup3Path = "${lib.getLib libsoup_3}/lib";
+ })
+ ];
+
strictDeps = true;
depsBuildBuild = [ pkg-config ];
@@ -102,8 +111,9 @@ stdenv.mkDerivation rec {
gdk-pixbuf
aalib
libcaca
- libsoup
+ libsoup_3
libshout
+ libxml2
lame
mpg123
twolame
diff --git a/pkgs/development/libraries/gstreamer/good/souploader.diff b/pkgs/development/libraries/gstreamer/good/souploader.diff
new file mode 100644
index 000000000000..8662207de8f2
--- /dev/null
+++ b/pkgs/development/libraries/gstreamer/good/souploader.diff
@@ -0,0 +1,13 @@
+diff --git a/ext/soup/gstsouploader.c b/ext/soup/gstsouploader.c
+index 85048ce303..d7d818cf95 100644
+--- a/ext/soup/gstsouploader.c
++++ b/ext/soup/gstsouploader.c
+@@ -181,7 +181,7 @@ gst_soup_load_library (void)
+ GST_DEBUG ("LibSoup 2 found");
+ } else {
+ GST_DEBUG ("Trying all libsoups");
+- libsoup_sonames[0] = LIBSOUP_3_SONAME;
++ libsoup_sonames[0] = "@nixLibSoup3Path@/" LIBSOUP_3_SONAME;
+ libsoup_sonames[1] = LIBSOUP_2_SONAME;
+ }
+
diff --git a/pkgs/development/libraries/gstreamer/libav/default.nix b/pkgs/development/libraries/gstreamer/libav/default.nix
index f3dfac82c799..ae54995a1c3e 100644
--- a/pkgs/development/libraries/gstreamer/libav/default.nix
+++ b/pkgs/development/libraries/gstreamer/libav/default.nix
@@ -18,11 +18,11 @@
stdenv.mkDerivation rec {
pname = "gst-libav";
- version = "1.22.8";
+ version = "1.22.9";
src = fetchurl {
url = "https://gstreamer.freedesktop.org/src/${pname}/${pname}-${version}.tar.xz";
- hash = "sha256-vjk0m8B6tM29ml/W6phIxgHHVguloFd61SALg71CSYE=";
+ hash = "sha256-GS99J9IcHnxywzmiZHqbDCR/7cYupQKRFfjD4i67h9g=";
};
outputs = [ "out" "dev" ];
diff --git a/pkgs/development/libraries/gstreamer/rs/default.nix b/pkgs/development/libraries/gstreamer/rs/default.nix
index 708a735939af..eeef11e9a464 100644
--- a/pkgs/development/libraries/gstreamer/rs/default.nix
+++ b/pkgs/development/libraries/gstreamer/rs/default.nix
@@ -248,6 +248,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "GStreamer plugins written in Rust";
+ mainProgram = "gst-webrtc-signalling-server";
homepage = "https://gitlab.freedesktop.org/gstreamer/gst-plugins-rs";
license = with licenses; [ mpl20 asl20 mit lgpl21Plus ];
platforms = platforms.unix;
diff --git a/pkgs/development/libraries/gstreamer/rtsp-server/default.nix b/pkgs/development/libraries/gstreamer/rtsp-server/default.nix
index 6b0dfcc8598f..b49da94955e3 100644
--- a/pkgs/development/libraries/gstreamer/rtsp-server/default.nix
+++ b/pkgs/development/libraries/gstreamer/rtsp-server/default.nix
@@ -15,11 +15,11 @@
stdenv.mkDerivation rec {
pname = "gst-rtsp-server";
- version = "1.22.8";
+ version = "1.22.9";
src = fetchurl {
url = "https://gstreamer.freedesktop.org/src/${pname}/${pname}-${version}.tar.xz";
- hash = "sha256-cFF3BRwimXbxca3Nerl2Kua8xLt33DCKC9gKY9psM38=";
+ hash = "sha256-gIrxSPiUBP90hQ+MpScr7Uv+Z/liAjHcRRT9B+sm0KQ=";
};
outputs = [
diff --git a/pkgs/development/libraries/gstreamer/ugly/default.nix b/pkgs/development/libraries/gstreamer/ugly/default.nix
index cc78a6ec0f80..d64fcae68965 100644
--- a/pkgs/development/libraries/gstreamer/ugly/default.nix
+++ b/pkgs/development/libraries/gstreamer/ugly/default.nix
@@ -26,13 +26,13 @@
stdenv.mkDerivation rec {
pname = "gst-plugins-ugly";
- version = "1.22.8";
+ version = "1.22.9";
outputs = [ "out" "dev" ];
src = fetchurl {
url = "https://gstreamer.freedesktop.org/src/${pname}/${pname}-${version}.tar.xz";
- hash = "sha256-B2HZa6UI4BwCcYgbJoKMK//X2K/VCHIhnwiPdVslLKc=";
+ hash = "sha256-C/aF1mAVoB3T/BZxtkocissyHdnUq54Fopqxl4KqYjY=";
};
nativeBuildInputs = [
diff --git a/pkgs/development/libraries/gstreamer/vaapi/default.nix b/pkgs/development/libraries/gstreamer/vaapi/default.nix
index b3a7142edae9..1a6358839707 100644
--- a/pkgs/development/libraries/gstreamer/vaapi/default.nix
+++ b/pkgs/development/libraries/gstreamer/vaapi/default.nix
@@ -24,11 +24,11 @@
stdenv.mkDerivation rec {
pname = "gstreamer-vaapi";
- version = "1.22.8";
+ version = "1.22.9";
src = fetchurl {
url = "https://gstreamer.freedesktop.org/src/${pname}/${pname}-${version}.tar.xz";
- hash = "sha256-Epi6NHpwxCuIzev5G2Wf6gKxu3Jp6r+OKePAvVgniSg=";
+ hash = "sha256-i6INqMTL9bKVPbqQRnLEJ10AU+FSj5f9+OWZQseIPKg=";
};
outputs = [
diff --git a/pkgs/development/libraries/gtdialog/default.nix b/pkgs/development/libraries/gtdialog/default.nix
index d7e60659a5ea..6a09d8cbe9e2 100644
--- a/pkgs/development/libraries/gtdialog/default.nix
+++ b/pkgs/development/libraries/gtdialog/default.nix
@@ -21,6 +21,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Cross-platform helper for creating interactive dialogs";
+ mainProgram = "gtdialog";
license = licenses.mit;
maintainers = with maintainers; [ raskin ];
platforms = platforms.linux;
diff --git a/pkgs/development/libraries/gtest/default.nix b/pkgs/development/libraries/gtest/default.nix
index 80013e3613d0..51e0c685a43d 100644
--- a/pkgs/development/libraries/gtest/default.nix
+++ b/pkgs/development/libraries/gtest/default.nix
@@ -8,15 +8,15 @@
stdenv.mkDerivation rec {
pname = "gtest";
- version = "1.12.1";
+ version = "1.14.0";
outputs = [ "out" "dev" ];
src = fetchFromGitHub {
owner = "google";
repo = "googletest";
- rev = "release-${version}";
- hash = "sha256-W+OxRTVtemt2esw4P7IyGWXOonUN5ZuscjvzqkYvZbM=";
+ rev = "v${version}";
+ hash = "sha256-t0RchAHTJbuI5YW4uyBPykTvcjy90JW9AOPNjIhwh6U=";
};
patches = [
diff --git a/pkgs/development/libraries/gtest/fix-cmake-config-includedir.patch b/pkgs/development/libraries/gtest/fix-cmake-config-includedir.patch
index 573884fdcbcd..6d29e04b2568 100644
--- a/pkgs/development/libraries/gtest/fix-cmake-config-includedir.patch
+++ b/pkgs/development/libraries/gtest/fix-cmake-config-includedir.patch
@@ -1,34 +1,34 @@
diff --git a/googlemock/CMakeLists.txt b/googlemock/CMakeLists.txt
-index 5c1f0daf..ed8aae58 100644
+index 428bd9f8..04b84539 100644
--- a/googlemock/CMakeLists.txt
+++ b/googlemock/CMakeLists.txt
-@@ -108,10 +108,10 @@ if (DEFINED CMAKE_VERSION AND NOT "${CMAKE_VERSION}" VERSION_LESS "2.8.11")
- string(REPLACE ";" "$" dirs "${gmock_build_include_dirs}")
- target_include_directories(gmock SYSTEM INTERFACE
- "$"
-- "$/${CMAKE_INSTALL_INCLUDEDIR}>")
-+ "$")
- target_include_directories(gmock_main SYSTEM INTERFACE
- "$"
-- "$/${CMAKE_INSTALL_INCLUDEDIR}>")
-+ "$")
- endif()
+@@ -104,10 +104,10 @@ endif()
+ string(REPLACE ";" "$" dirs "${gmock_build_include_dirs}")
+ target_include_directories(gmock SYSTEM INTERFACE
+ "$"
+- "$/${CMAKE_INSTALL_INCLUDEDIR}>")
++ "$")
+ target_include_directories(gmock_main SYSTEM INTERFACE
+ "$"
+- "$/${CMAKE_INSTALL_INCLUDEDIR}>")
++ "$")
########################################################################
+ #
diff --git a/googletest/CMakeLists.txt b/googletest/CMakeLists.txt
-index aa00a5f3..50434fed 100644
+index 51a67c91..fb09e978 100644
--- a/googletest/CMakeLists.txt
+++ b/googletest/CMakeLists.txt
-@@ -134,10 +134,10 @@ if (DEFINED CMAKE_VERSION AND NOT "${CMAKE_VERSION}" VERSION_LESS "2.8.11")
- string(REPLACE ";" "$" dirs "${gtest_build_include_dirs}")
- target_include_directories(gtest SYSTEM INTERFACE
- "$"
-- "$/${CMAKE_INSTALL_INCLUDEDIR}>")
-+ "$")
- target_include_directories(gtest_main SYSTEM INTERFACE
- "$"
-- "$/${CMAKE_INSTALL_INCLUDEDIR}>")
-+ "$")
- endif()
+@@ -143,10 +143,10 @@ set_target_properties(gtest_main PROPERTIES VERSION ${GOOGLETEST_VERSION})
+ string(REPLACE ";" "$" dirs "${gtest_build_include_dirs}")
+ target_include_directories(gtest SYSTEM INTERFACE
+ "$"
+- "$/${CMAKE_INSTALL_INCLUDEDIR}>")
++ "$")
+ target_include_directories(gtest_main SYSTEM INTERFACE
+ "$"
+- "$/${CMAKE_INSTALL_INCLUDEDIR}>")
++ "$")
if(CMAKE_SYSTEM_NAME MATCHES "QNX")
target_link_libraries(gtest PUBLIC regex)
+ endif()
diff --git a/pkgs/development/libraries/gtk-layer-shell/default.nix b/pkgs/development/libraries/gtk-layer-shell/default.nix
index 5ecfc299edd4..c137eb608132 100644
--- a/pkgs/development/libraries/gtk-layer-shell/default.nix
+++ b/pkgs/development/libraries/gtk-layer-shell/default.nix
@@ -58,6 +58,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "A library to create panels and other desktop components for Wayland using the Layer Shell protocol";
+ mainProgram = "gtk-layer-demo";
homepage = "https://github.com/wmww/gtk-layer-shell";
license = licenses.lgpl3Plus;
maintainers = with maintainers; [ eonpatapon donovanglover ];
diff --git a/pkgs/development/libraries/gtk/3.x.nix b/pkgs/development/libraries/gtk/3.x.nix
index c244414c5508..4692bbc97126 100644
--- a/pkgs/development/libraries/gtk/3.x.nix
+++ b/pkgs/development/libraries/gtk/3.x.nix
@@ -64,7 +64,7 @@ in
stdenv.mkDerivation (finalAttrs: {
pname = "gtk+3";
- version = "3.24.39";
+ version = "3.24.41";
outputs = [ "out" "dev" ] ++ lib.optional withIntrospection "devdoc";
outputBin = "dev";
@@ -78,7 +78,7 @@ stdenv.mkDerivation (finalAttrs: {
inherit (finalAttrs) version;
in fetchurl {
url = "mirror://gnome/sources/gtk+/${lib.versions.majorMinor version}/gtk+-${version}.tar.xz";
- sha256 = "sha256-HKw+VmubLzZTpFjAjC3N/cqfkIA3rAPJ2FZLQpV3jXk=";
+ sha256 = "sha256-R9phSHrzCHqUvEkpb9AlygvAL5bvBsVW58iYi9ZRtvo=";
};
patches = [
diff --git a/pkgs/development/libraries/gtk/4.x.nix b/pkgs/development/libraries/gtk/4.x.nix
index 2d14823accf4..036d1ab0d49d 100644
--- a/pkgs/development/libraries/gtk/4.x.nix
+++ b/pkgs/development/libraries/gtk/4.x.nix
@@ -54,6 +54,7 @@
, Cocoa
, libexecinfo
, broadwaySupport ? true
+, testers
}:
let
@@ -66,9 +67,9 @@ let
in
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "gtk4";
- version = "4.12.4";
+ version = "4.12.5";
outputs = [ "out" "dev" ] ++ lib.optionals x11Support [ "devdoc" ];
outputBin = "dev";
@@ -79,15 +80,10 @@ stdenv.mkDerivation rec {
];
src = fetchurl {
- url = "mirror://gnome/sources/gtk/${lib.versions.majorMinor version}/gtk-${version}.tar.xz";
- sha256 = "umfGSY5Vmfko7a+54IoyCt+qUKsvDab8arIlL8LVdSA=";
+ url = with finalAttrs; "mirror://gnome/sources/gtk/${lib.versions.majorMinor version}/gtk-${version}.tar.xz";
+ sha256 = "KLNW1ZDuaO9ibi75ggst0hRBSEqaBCpaPwxA6d/E9Pg=";
};
- patches = [
- # https://github.com/NixOS/nixpkgs/pull/218143#issuecomment-1501059486
- ./patches/4.0-fix-darwin-build.patch
- ];
-
depsBuildBuild = [
pkg-config
];
@@ -109,7 +105,7 @@ stdenv.mkDerivation rec {
wayland-scanner
] ++ lib.optionals vulkanSupport [
shaderc # for glslc
- ] ++ setupHooks;
+ ] ++ finalAttrs.setupHooks;
buildInputs = [
libxkbcommon
@@ -245,7 +241,7 @@ stdenv.mkDerivation rec {
for program in ''${demos[@]}; do
wrapProgram $dev/bin/$program \
- --prefix XDG_DATA_DIRS : "$GSETTINGS_SCHEMAS_PATH:$out/share/gsettings-schemas/${pname}-${version}"
+ --prefix XDG_DATA_DIRS : "$GSETTINGS_SCHEMAS_PATH:$out/share/gsettings-schemas/${finalAttrs.pname}-${finalAttrs.version}"
done
'' + lib.optionalString x11Support ''
# Cannot be in postInstall, otherwise _multioutDocs hook in preFixup will move right back.
@@ -258,6 +254,11 @@ stdenv.mkDerivation rec {
versionPolicy = "odd-unstable";
attrPath = "gtk4";
};
+ tests = {
+ pkg-config = testers.hasPkgConfigModules {
+ package = finalAttrs.finalPackage;
+ };
+ };
};
meta = with lib; {
@@ -276,6 +277,13 @@ stdenv.mkDerivation rec {
license = licenses.lgpl2Plus;
maintainers = teams.gnome.members ++ (with maintainers; [ raskin ]);
platforms = platforms.all;
- changelog = "https://gitlab.gnome.org/GNOME/gtk/-/raw/${version}/NEWS";
+ changelog = "https://gitlab.gnome.org/GNOME/gtk/-/raw/${finalAttrs.version}/NEWS";
+ pkgConfigModules = [
+ "gtk4"
+ "gtk4-broadway"
+ "gtk4-unix-print"
+ "gtk4-wayland"
+ "gtk4-x11"
+ ];
};
-}
+})
diff --git a/pkgs/development/libraries/gtk/patches/4.0-fix-darwin-build.patch b/pkgs/development/libraries/gtk/patches/4.0-fix-darwin-build.patch
deleted file mode 100644
index 01f4377aa0a7..000000000000
--- a/pkgs/development/libraries/gtk/patches/4.0-fix-darwin-build.patch
+++ /dev/null
@@ -1,31 +0,0 @@
-diff --git a/gdk/macos/gdkmacospasteboard-private.h b/gdk/macos/gdkmacospasteboard-private.h
-index fdeb936..c4b8666 100644
---- a/gdk/macos/gdkmacospasteboard-private.h
-+++ b/gdk/macos/gdkmacospasteboard-private.h
-@@ -27,6 +27,10 @@
-
- G_BEGIN_DECLS
-
-+#ifndef AVAILABLE_MAC_OS_X_VERSION_10_13_AND_LATER
-+typedef NSString *NSPasteboardType;
-+#endif
-+
- @interface GdkMacosPasteboardItemDataProvider : NSObject
- {
- GdkContentProvider *_contentProvider;
-diff --git a/gdk/macos/gdkmacospasteboard.c b/gdk/macos/gdkmacospasteboard.c
-index 66b3c9f..b9e0d8a 100644
---- a/gdk/macos/gdkmacospasteboard.c
-+++ b/gdk/macos/gdkmacospasteboard.c
-@@ -400,7 +400,11 @@ _gdk_macos_pasteboard_register_drag_types (NSWindow *window)
- gdk_content_formats_get_gtypes (formats, &n_gtypes);
-
- if (n_gtypes)
-+#ifdef AVAILABLE_MAC_OS_X_VERSION_10_13_AND_LATER
- [ret addObject:NSPasteboardTypeURL];
-+#else
-+ [ret addObject:[[NSString alloc] initWithUTF8String:"public.url"]];
-+#endif
-
- gdk_content_formats_unref (formats);
- }
diff --git a/pkgs/development/libraries/gtk4-layer-shell/default.nix b/pkgs/development/libraries/gtk4-layer-shell/default.nix
index d69970193080..e41735fb7129 100644
--- a/pkgs/development/libraries/gtk4-layer-shell/default.nix
+++ b/pkgs/development/libraries/gtk4-layer-shell/default.nix
@@ -58,6 +58,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "A library to create panels and other desktop components for Wayland using the Layer Shell protocol and GTK4";
+ mainProgram = "gtk4-layer-demo";
license = licenses.mit;
maintainers = with maintainers; [ donovanglover ];
platforms = platforms.linux;
diff --git a/pkgs/development/libraries/gupnp/1.6.nix b/pkgs/development/libraries/gupnp/1.6.nix
index eb33748c4589..44ae478e42f5 100644
--- a/pkgs/development/libraries/gupnp/1.6.nix
+++ b/pkgs/development/libraries/gupnp/1.6.nix
@@ -66,6 +66,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "http://www.gupnp.org/";
description = "An implementation of the UPnP specification";
+ mainProgram = "gupnp-binding-tool-1.6";
license = licenses.lgpl2Plus;
platforms = platforms.linux;
};
diff --git a/pkgs/development/libraries/gupnp/default.nix b/pkgs/development/libraries/gupnp/default.nix
index 4a8b250d2644..d9e9f483a63b 100644
--- a/pkgs/development/libraries/gupnp/default.nix
+++ b/pkgs/development/libraries/gupnp/default.nix
@@ -96,6 +96,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "http://www.gupnp.org/";
description = "An implementation of the UPnP specification";
+ mainProgram = "gupnp-binding-tool-1.2";
license = licenses.lgpl2Plus;
platforms = platforms.unix;
};
diff --git a/pkgs/development/libraries/gusb/default.nix b/pkgs/development/libraries/gusb/default.nix
index d6fe70440132..515eeb7de975 100644
--- a/pkgs/development/libraries/gusb/default.nix
+++ b/pkgs/development/libraries/gusb/default.nix
@@ -82,6 +82,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "GLib libusb wrapper";
+ mainProgram = "gusbcmd";
homepage = "https://github.com/hughsie/libgusb";
license = licenses.lgpl21;
maintainers = [ maintainers.marcweber ];
diff --git a/pkgs/development/libraries/harfbuzz/default.nix b/pkgs/development/libraries/harfbuzz/default.nix
index 993fca591e84..814b6042544c 100644
--- a/pkgs/development/libraries/harfbuzz/default.nix
+++ b/pkgs/development/libraries/harfbuzz/default.nix
@@ -1,11 +1,9 @@
{ lib
, stdenv
, fetchurl
-, fetchpatch
, pkg-config
, glib
, freetype
-, fontconfig
, libintl
, meson
, ninja
@@ -35,11 +33,11 @@
stdenv.mkDerivation (finalAttrs: {
pname = "harfbuzz${lib.optionalString withIcu "-icu"}";
- version = "7.3.0";
+ version = "8.3.0";
src = fetchurl {
url = "https://github.com/harfbuzz/harfbuzz/releases/download/${finalAttrs.version}/harfbuzz-${finalAttrs.version}.tar.xz";
- hash = "sha256-IHcHiXSaybqEbfM5g9vaItuDbHDZ9dBQy5qlNHCUqPs=";
+ hash = "sha256-EJUB6uuL3j6tsl+rQWTpk/us4pw9d1vKocHlji8V+Ec=";
};
postPatch = ''
@@ -65,6 +63,7 @@ stdenv.mkDerivation (finalAttrs: {
(lib.mesonEnable "graphite" withGraphite2)
(lib.mesonEnable "icu" withIcu)
(lib.mesonEnable "introspection" withIntrospection)
+ (lib.mesonOption "cmakepackagedir" "${placeholder "dev"}/lib/cmake")
];
depsBuildBuild = [
diff --git a/pkgs/development/libraries/hotpatch/default.nix b/pkgs/development/libraries/hotpatch/default.nix
index 66be524a86ca..7dcf09c5c5b2 100644
--- a/pkgs/development/libraries/hotpatch/default.nix
+++ b/pkgs/development/libraries/hotpatch/default.nix
@@ -31,6 +31,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Hot patching executables on Linux using .so file injection";
+ mainProgram = "hotpatcher";
homepage = src.meta.homepage;
license = licenses.bsd3;
maintainers = [ ];
diff --git a/pkgs/development/libraries/hpp-fcl/default.nix b/pkgs/development/libraries/hpp-fcl/default.nix
index c91d3cbaac4f..59bf04f72609 100644
--- a/pkgs/development/libraries/hpp-fcl/default.nix
+++ b/pkgs/development/libraries/hpp-fcl/default.nix
@@ -3,6 +3,7 @@
, fetchFromGitHub
, fetchpatch
, cmake
+, doxygen
, boost
, eigen
, assimp
@@ -14,20 +15,21 @@
stdenv.mkDerivation (finalAttrs: {
pname = "hpp-fcl";
- version = "2.4.1";
+ version = "2.4.4";
src = fetchFromGitHub {
owner = "humanoid-path-planner";
repo = finalAttrs.pname;
rev = "v${finalAttrs.version}";
fetchSubmodules = true;
- hash = "sha256-Suow6dvDZI0uS/CkzfkWIxYjn+i4Fbyd2EnqlxM2gMY=";
+ hash = "sha256-BwS9RSirdlD6Cqwp7KD59dkh2WsJVwdlH9LzM2AFjI4=";
};
strictDeps = true;
nativeBuildInputs = [
cmake
+ doxygen
];
propagatedBuildInputs = [
@@ -44,6 +46,7 @@ stdenv.mkDerivation (finalAttrs: {
cmakeFlags = [
"-DHPP_FCL_HAS_QHULL=ON"
+ "-DINSTALL_DOCUMENTATION=ON"
] ++ lib.optionals (!pythonSupport) [
"-DBUILD_PYTHON_INTERFACE=OFF"
];
@@ -53,6 +56,13 @@ stdenv.mkDerivation (finalAttrs: {
"hppfcl"
];
+ outputs = [ "dev" "out" "doc" ];
+ postFixup = ''
+ moveToOutput share/ament_index "$dev"
+ moveToOutput share/${finalAttrs.pname} "$dev"
+ '';
+
+
meta = with lib; {
description = "An extension of the Flexible Collision Library";
homepage = "https://github.com/humanoid-path-planner/hpp-fcl";
diff --git a/pkgs/development/libraries/hspell/default.nix b/pkgs/development/libraries/hspell/default.nix
index 2a65afad809a..4d35bb34b91d 100644
--- a/pkgs/development/libraries/hspell/default.nix
+++ b/pkgs/development/libraries/hspell/default.nix
@@ -5,17 +5,18 @@ stdenv.mkDerivation rec {
passthru = {
pname = "hspell";
- version = "1.1";
+ version = "1.4";
};
PERL_USE_UNSAFE_INC = "1";
src = fetchurl {
url = "${meta.homepage}${name}.tar.gz";
- sha256 = "08x7rigq5pa1pfpl30qp353hbdkpadr1zc49slpczhsn0sg36pd6";
+ hash = "sha256-cxD11YdA0h1tIVwReWWGAu99qXqBa8FJfIdkvpeqvqM=";
};
- patchPhase = "patchShebangs .";
+ patches = [./remove-shared-library-checks.patch];
+ postPatch = "patchShebangs .";
preBuild = lib.optionalString (stdenv.hostPlatform != stdenv.buildPlatform) ''
make CC=${buildPackages.stdenv.cc}/bin/cc find_sizes
mv find_sizes find_sizes_build
diff --git a/pkgs/development/libraries/hspell/dicts.nix b/pkgs/development/libraries/hspell/dicts.nix
index e11cbbce3336..8b629d9b6194 100644
--- a/pkgs/development/libraries/hspell/dicts.nix
+++ b/pkgs/development/libraries/hspell/dicts.nix
@@ -2,7 +2,7 @@
let
dict = variant: a: stdenv.mkDerivation ({
- inherit (hspell) version src patchPhase nativeBuildInputs;
+ inherit (hspell) version src patches postPatch nativeBuildInputs;
buildFlags = [ variant ];
meta = hspell.meta // {
diff --git a/pkgs/development/libraries/hspell/remove-shared-library-checks.patch b/pkgs/development/libraries/hspell/remove-shared-library-checks.patch
new file mode 100644
index 000000000000..b1f2fbe37147
--- /dev/null
+++ b/pkgs/development/libraries/hspell/remove-shared-library-checks.patch
@@ -0,0 +1,12 @@
+--- a/Makefile.in 2017-06-22 22:32:50.000000000 +0100
++++ a/Makefile.in.patched 2024-01-20 21:41:59.135013186 +0000
+@@ -142,9 +142,6 @@
+ test -d $(DESTDIR)$(INCLUDEDIR) || mkdir -m 755 -p $(DESTDIR)$(INCLUDEDIR)
+ cp hspell.h linginfo.h $(DESTDIR)$(INCLUDEDIR)/
+ chmod 644 $(DESTDIR)$(INCLUDEDIR)/hspell.h $(DESTDIR)$(INCLUDEDIR)/linginfo.h
+- test -f libhspell.so.0 && cp libhspell.so.0 $(DESTDIR)$(LIBDIR)/
+- test -f libhspell.so.0 && chmod 755 $(DESTDIR)$(LIBDIR)/libhspell.so.0
+- test -f libhspell.so.0 && ln -sf libhspell.so.0 $(DESTDIR)$(LIBDIR)/libhspell.so
+
+
+ ################################################
diff --git a/pkgs/development/libraries/htmlcxx/default.nix b/pkgs/development/libraries/htmlcxx/default.nix
index 41e86b275fe4..f42674e902f0 100644
--- a/pkgs/development/libraries/htmlcxx/default.nix
+++ b/pkgs/development/libraries/htmlcxx/default.nix
@@ -19,6 +19,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://htmlcxx.sourceforge.net/";
description = "A simple non-validating css1 and html parser for C++";
+ mainProgram = "htmlcxx";
license = licenses.lgpl2;
platforms = platforms.all;
};
diff --git a/pkgs/development/libraries/hunspell/dictionaries.nix b/pkgs/development/libraries/hunspell/dictionaries.nix
index dbaa4888922c..d62f672f0660 100644
--- a/pkgs/development/libraries/hunspell/dictionaries.nix
+++ b/pkgs/development/libraries/hunspell/dictionaries.nix
@@ -828,14 +828,14 @@ rec {
th_TH = th-th;
th-th = mkDict {
pname = "hunspell-dict-th-th";
- version = "experimental-2023-03-01";
+ version = "experimental-2024-02-27";
dictFileName = "th_TH";
readmeFile = "README.md";
src = fetchFromGitHub {
owner = "SyafiqHadzir";
repo = "Hunspell-TH";
- rev = "9c09f1b7c0eb4d04b9f6f427901686c5c3d9fa54";
- sha256 = "1wszpnbgj31k72x1vvcfkzcpmxsncdpqsi3zagah7swilpi7cqm4";
+ rev = "62d35f9211ca1eb4c367eac2ae57193efe6e88d2";
+ sha256 = "sha256-t4m4u+qIgJPrKz58Cu2Q+knYm/+cvrNLzQsiiSRTB1A=";
};
meta = with lib; {
description = "Hunspell dictionary for Central Thai (Thailand)";
diff --git a/pkgs/development/libraries/hyphen/default.nix b/pkgs/development/libraries/hyphen/default.nix
index ed3980f7a90d..122834570cfa 100644
--- a/pkgs/development/libraries/hyphen/default.nix
+++ b/pkgs/development/libraries/hyphen/default.nix
@@ -19,6 +19,7 @@ in stdenv.mkDerivation rec {
meta = with lib; {
description = "A text hyphenation library";
+ mainProgram = "substrings.pl";
homepage = "https://sourceforge.net/projects/hunspell/files/Hyphen/";
platforms = platforms.all;
license = with licenses; [ gpl2 lgpl21 mpl11 ];
diff --git a/pkgs/development/libraries/icu/58.nix b/pkgs/development/libraries/icu/58.nix
deleted file mode 100644
index e53e4e3737ed..000000000000
--- a/pkgs/development/libraries/icu/58.nix
+++ /dev/null
@@ -1,14 +0,0 @@
-fetchurl:
-let
- keywordFix = fetchurl {
- url = "http://bugs.icu-project.org/trac/changeset/39484?format=diff";
- name = "icu-changeset-39484.diff";
- sha256 = "0hxhpgydalyxacaaxlmaddc1sjwh65rsnpmg0j414mnblq74vmm8";
- };
-in
-import ./base.nix {
- version = "58.2";
- sha256 = "036shcb3f8bm1lynhlsb4kpjm9s9c2vdiir01vg216rs2l8482ib";
- patches = [ keywordFix ];
- patchFlags = [ "-p4" ];
-}
diff --git a/pkgs/development/libraries/icu/60.nix b/pkgs/development/libraries/icu/60.nix
deleted file mode 100644
index e56135b15c62..000000000000
--- a/pkgs/development/libraries/icu/60.nix
+++ /dev/null
@@ -1,4 +0,0 @@
-import ./base.nix {
- version = "60.2";
- sha256 = "065l3n0q9wqaw8dz20x82srshhm6i987fr9ync5xf9mr6n7ylwzh";
-}
diff --git a/pkgs/development/libraries/icu/63.nix b/pkgs/development/libraries/icu/63.nix
deleted file mode 100644
index a83e2cd5f5eb..000000000000
--- a/pkgs/development/libraries/icu/63.nix
+++ /dev/null
@@ -1,16 +0,0 @@
-{ stdenv, lib, fetchurl, fetchpatch, fixDarwinDylibNames, nativeBuildRoot, testers
-, buildRootOnly ? false
-}:
-
-import ./base.nix {
- version = "63.1";
- sha256 = "17fbk0lm2clsxbmjzvyp245ayx0n4chji3ky1f3fbz2ljjv91i05";
- patches = [
- # https://bugzilla.mozilla.org/show_bug.cgi?id=1499398
- (fetchpatch {
- url = "https://github.com/unicode-org/icu/commit/8baff8f03e07d8e02304d0c888d0bb21ad2eeb01.patch";
- sha256 = "1awfa98ljcf95a85cssahw6bvdnpbq5brf1kgspy14w4mlmhd0jb";
- })
- ];
- patchFlags = [ "-p3" ];
-} { inherit stdenv lib fetchurl fixDarwinDylibNames nativeBuildRoot testers buildRootOnly; }
diff --git a/pkgs/development/libraries/icu/64.nix b/pkgs/development/libraries/icu/64.nix
deleted file mode 100644
index c7bdd22c1793..000000000000
--- a/pkgs/development/libraries/icu/64.nix
+++ /dev/null
@@ -1,4 +0,0 @@
-import ./base.nix {
- version = "64.2";
- sha256 = "0v0xsf14xwlj125y9fd8lrhsaych4d8liv8gr746zng6g225szb2";
-}
diff --git a/pkgs/development/libraries/icu/66.nix b/pkgs/development/libraries/icu/66.nix
deleted file mode 100644
index 5dfad945d291..000000000000
--- a/pkgs/development/libraries/icu/66.nix
+++ /dev/null
@@ -1,4 +0,0 @@
-import ./base.nix {
- version = "66.1";
- sha256 = "0bharwzc9nzkbrcf405z2nb3h7q0711z450arz0mjmdrk8hg58sj";
-}
diff --git a/pkgs/development/libraries/icu/67.nix b/pkgs/development/libraries/icu/67.nix
deleted file mode 100644
index 23a1069ea14f..000000000000
--- a/pkgs/development/libraries/icu/67.nix
+++ /dev/null
@@ -1,4 +0,0 @@
-import ./base.nix {
- version = "67.1";
- sha256 = "1p6mhvxl0xr2n0g6xdps3mwzwlv6mjsz3xlpm793p9aiybb0ra4l";
-}
diff --git a/pkgs/development/libraries/icu/68.nix b/pkgs/development/libraries/icu/68.nix
deleted file mode 100644
index 5aeba0f2628c..000000000000
--- a/pkgs/development/libraries/icu/68.nix
+++ /dev/null
@@ -1,4 +0,0 @@
-import ./base.nix {
- version = "68.2";
- sha256 = "09fng7a80xj8d5r1cgbgq8r47dsw5jsr6si9p2cj2ylhwgg974f7";
-}
diff --git a/pkgs/development/libraries/icu/69.nix b/pkgs/development/libraries/icu/69.nix
deleted file mode 100644
index 8cea41daadb9..000000000000
--- a/pkgs/development/libraries/icu/69.nix
+++ /dev/null
@@ -1,4 +0,0 @@
-import ./base.nix {
- version = "69.1";
- sha256 = "0icps0avkwy5df3wwc5kybxcg63hcgk4phdh9g244g0xrmx7pfjc";
-}
diff --git a/pkgs/development/libraries/icu/70.nix b/pkgs/development/libraries/icu/70.nix
deleted file mode 100644
index 955bbcac02f8..000000000000
--- a/pkgs/development/libraries/icu/70.nix
+++ /dev/null
@@ -1,4 +0,0 @@
-import ./base.nix {
- version = "70.1";
- sha256 = "1m9zgkaf5lyh65nyc6n0n5bs2f5k53nnj1ih6nskpwbvq4l5884d";
-}
diff --git a/pkgs/development/libraries/icu/71.nix b/pkgs/development/libraries/icu/71.nix
deleted file mode 100644
index 456dffc322a4..000000000000
--- a/pkgs/development/libraries/icu/71.nix
+++ /dev/null
@@ -1,4 +0,0 @@
-import ./base.nix {
- version = "71.1";
- sha256 = "sha256-Z6fm5R9h+vEwa2k1Mz4TssSKvY2m0vRs5q3KJLHiHr8=";
-}
diff --git a/pkgs/development/libraries/icu/72.nix b/pkgs/development/libraries/icu/72.nix
deleted file mode 100644
index 8eeb10138d12..000000000000
--- a/pkgs/development/libraries/icu/72.nix
+++ /dev/null
@@ -1,4 +0,0 @@
-import ./base.nix {
- version = "72.1";
- sha256 = "sha256-otLTghcJKn7VZjXjRGf5L5drNw4gGCrTJe3qZoGnHWg=";
-}
diff --git a/pkgs/development/libraries/icu/73.nix b/pkgs/development/libraries/icu/73.nix
deleted file mode 100644
index dfbdf1403adc..000000000000
--- a/pkgs/development/libraries/icu/73.nix
+++ /dev/null
@@ -1,4 +0,0 @@
-import ./base.nix {
- version = "73.2";
- sha256 = "sha256-gYqAcS7TyqzZtlIwXgGvx/oWfm8ulJltpEuQwqtgTOE=";
-}
diff --git a/pkgs/development/libraries/icu/default.nix b/pkgs/development/libraries/icu/default.nix
new file mode 100644
index 000000000000..bf595108baaf
--- /dev/null
+++ b/pkgs/development/libraries/icu/default.nix
@@ -0,0 +1,77 @@
+{ stdenv, lib, fetchurl, fetchpatch, fixDarwinDylibNames, testers, buildPackages }:
+
+let
+ make-icu = (import ./make-icu.nix) {
+ inherit stdenv lib buildPackages fetchurl fixDarwinDylibNames testers;
+ };
+in
+{
+ icu74 = make-icu {
+ version = "74.2";
+ hash = "sha256-aNsIIhKpbW9T411g9H04uWLp+dIHp0z6x4Apro/14Iw=";
+ };
+ icu73 = make-icu {
+ version = "73.2";
+ hash = "sha256-gYqAcS7TyqzZtlIwXgGvx/oWfm8ulJltpEuQwqtgTOE=";
+ };
+ icu72 = make-icu {
+ version = "72.1";
+ hash = "sha256-otLTghcJKn7VZjXjRGf5L5drNw4gGCrTJe3qZoGnHWg=";
+ };
+ icu71 = make-icu {
+ version = "71.1";
+ hash = "sha256-Z6fm5R9h+vEwa2k1Mz4TssSKvY2m0vRs5q3KJLHiHr8=";
+ };
+ icu70 = make-icu {
+ version = "70.1";
+ hash = "sha256-jSBUKMF78Tu1NTAGae0oszihV7HAGuZtMdDT4tR8P9U=";
+ };
+ icu69 = make-icu {
+ version = "69.1";
+ hash = "sha256-TLp7es0dPELES7DBS+ZjcJjH+vKzMM6Ha8XzuRXQl0U=";
+ };
+ icu68 = make-icu {
+ version = "68.2";
+ hash = "sha256-x5GT3uOQeiGZuClqk7UsXLdDMsJvPRZyaUh2gNR51iU=";
+ };
+ icu67 = make-icu {
+ version = "67.1";
+ hash = "sha256-lKgM1vJRpTvSqZf28bWsZlP+eR36tm4esCJ3QPuG1dw=";
+ };
+ icu66 = make-icu {
+ version = "66.1";
+ hash = "sha256-UqPyIJq5VVnBzwoU8kM4AB84lhW/AOJYXvPbxD7PCi4=";
+ };
+ icu64 = make-icu {
+ version = "64.2";
+ hash = "sha256-Yn1dhHjm2W/IyQ/tSFEjkHmlYaaoueSLCJLyToLTHWw=";
+ };
+ icu63 = make-icu {
+ version = "63.1";
+ hash = "sha256-BcSQtpRU/OWGC36OKCEjFnSvChHX7y/r6poyUSmYy50=";
+ patches = [
+ # https://bugzilla.mozilla.org/show_bug.cgi?id=1499398
+ (fetchpatch {
+ url = "https://github.com/unicode-org/icu/commit/8baff8f03e07d8e02304d0c888d0bb21ad2eeb01.patch";
+ sha256 = "1awfa98ljcf95a85cssahw6bvdnpbq5brf1kgspy14w4mlmhd0jb";
+ })
+ ];
+ patchFlags = [ "-p3" ];
+ };
+ icu60 = make-icu {
+ version = "60.2";
+ hash = "sha256-8HPqjzW5JtcLsz5ld1CKpkKosxaoA/Eb4grzhIEdtBg=";
+ };
+ icu58 = make-icu {
+ version = "58.2";
+ hash = "sha256-KwpEEBU6myDeDiDH2LZgSacq7yRLU2g9DXUhNxaD2gw=";
+ patches = [
+ (fetchurl {
+ url = "http://bugs.icu-project.org/trac/changeset/39484?format=diff";
+ name = "icu-changeset-39484.diff";
+ sha256 = "0hxhpgydalyxacaaxlmaddc1sjwh65rsnpmg0j414mnblq74vmm8";
+ })
+ ];
+ patchFlags = [ "-p4" ];
+ };
+}
diff --git a/pkgs/development/libraries/icu/base.nix b/pkgs/development/libraries/icu/make-icu.nix
similarity index 87%
rename from pkgs/development/libraries/icu/base.nix
rename to pkgs/development/libraries/icu/make-icu.nix
index c5e46fa4530d..836a7e3c73a6 100644
--- a/pkgs/development/libraries/icu/base.nix
+++ b/pkgs/development/libraries/icu/make-icu.nix
@@ -1,17 +1,17 @@
-{ version, sha256, patches ? [], patchFlags ? [] }:
-{ stdenv, lib, fetchurl, fixDarwinDylibNames
- # Cross-compiled icu4c requires a build-root of a native compile
-, buildRootOnly ? false, nativeBuildRoot
-, testers
-}:
+{ stdenv, lib, buildPackages, fetchurl, fixDarwinDylibNames, testers }:
+
+{ version, hash, patches ? [], patchFlags ? [] }:
let
+ # Cross-compiled icu4c requires a build-root of a native compile
+ nativeBuildRoot = buildPackages."icu${lib.versions.major version}".buildRootOnly;
+
pname = "icu4c";
baseAttrs = {
src = fetchurl {
url = "https://github.com/unicode-org/icu/releases/download/release-${lib.replaceStrings [ "." ] [ "-" ] version}/icu4c-${lib.replaceStrings [ "." ] [ "_" ] version}-src.tgz";
- inherit sha256;
+ inherit hash;
};
postUnpack = ''
@@ -99,10 +99,9 @@ let
'';
};
- attrs = if buildRootOnly
- then buildRootOnlyAttrs
- else realAttrs;
+ mkWithAttrs = attrs: stdenv.mkDerivation (finalAttrs: attrs // {
+ passthru.tests.pkg-config = testers.testMetaPkgConfig finalAttrs.finalPackage;
+ passthru.buildRootOnly = mkWithAttrs buildRootOnlyAttrs;
+ });
in
-stdenv.mkDerivation (finalAttrs: attrs // {
- passthru.tests.pkg-config = testers.testMetaPkgConfig finalAttrs.finalPackage;
-})
+ mkWithAttrs realAttrs
diff --git a/pkgs/development/libraries/igraph/default.nix b/pkgs/development/libraries/igraph/default.nix
index b0017e03ebc7..55915b4bb523 100644
--- a/pkgs/development/libraries/igraph/default.nix
+++ b/pkgs/development/libraries/igraph/default.nix
@@ -26,13 +26,13 @@ assert (blas.isILP64 == lapack.isILP64 &&
stdenv.mkDerivation (finalAttrs: {
pname = "igraph";
- version = "0.10.9";
+ version = "0.10.10";
src = fetchFromGitHub {
owner = "igraph";
repo = finalAttrs.pname;
rev = finalAttrs.version;
- hash = "sha256-Iaez6Rrd684vsraCkEH5a16rXfc53MyPXcYf3sOcaOY=";
+ hash = "sha256-xFX48qAr0x0iNRns/aGH9UKL0wGJyfccQLdEXUFI/TU=";
};
postPatch = ''
diff --git a/pkgs/development/libraries/imath/default.nix b/pkgs/development/libraries/imath/default.nix
index 17d5f57c76c1..ae7bbe2fba3f 100644
--- a/pkgs/development/libraries/imath/default.nix
+++ b/pkgs/development/libraries/imath/default.nix
@@ -6,13 +6,13 @@
stdenv.mkDerivation rec {
pname = "imath";
- version = "3.1.9";
+ version = "3.1.11";
src = fetchFromGitHub {
owner = "AcademySoftwareFoundation";
repo = "imath";
rev = "v${version}";
- sha256 = "sha256-NcGiYz7jbxLyVd80lOIyN3zXcC4mHh+dcFEY4Kqw9BY=";
+ sha256 = "sha256-Cnl0BTXUzkDvgLSNXJbh5ATczBdbxiwbS4J9L6N8sKI=";
};
nativeBuildInputs = [ cmake ];
diff --git a/pkgs/development/libraries/imgui/default.nix b/pkgs/development/libraries/imgui/default.nix
index 244a3570c031..275d44e88dd9 100644
--- a/pkgs/development/libraries/imgui/default.nix
+++ b/pkgs/development/libraries/imgui/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "imgui";
- version = "1.90.2";
+ version = "1.90.4";
src = fetchFromGitHub {
owner = "ocornut";
repo = "imgui";
rev = "v${version}";
- sha256 = "sha256-0sqV1TgidSuZQLihRTUCd46jKIgwcOJlKjRyOvopqlo=";
+ sha256 = "sha256-7+Ay7H97tIO6CUsEyaQv4i9q2FCw98eQUq/KYZyfTAw=";
};
dontBuild = true;
diff --git a/pkgs/development/libraries/imlib2/default.nix b/pkgs/development/libraries/imlib2/default.nix
index ab237d9dca00..cc1f6b544048 100644
--- a/pkgs/development/libraries/imlib2/default.nix
+++ b/pkgs/development/libraries/imlib2/default.nix
@@ -31,11 +31,11 @@ let
in
stdenv.mkDerivation (finalAttrs: {
pname = "imlib2";
- version = "1.12.1";
+ version = "1.12.2";
src = fetchurl {
url = "mirror://sourceforge/enlightenment/${finalAttrs.pname}-${finalAttrs.version}.tar.xz";
- hash = "sha256-jCTS0YnE1a5gLb8vwPuxF6qSPqtsiDBB8P7spOjGd04=";
+ hash = "sha256-zEmTGiBWCWioZIycoHkIWXYIXqltWaAbHhfLVa8P/kI=";
};
buildInputs = [
diff --git a/pkgs/development/libraries/impy/default.nix b/pkgs/development/libraries/impy/default.nix
index 6d148dd14639..8682e95a5758 100644
--- a/pkgs/development/libraries/impy/default.nix
+++ b/pkgs/development/libraries/impy/default.nix
@@ -9,14 +9,14 @@
, libjpeg
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "impy";
version = "0.2";
src = fetchFromGitHub {
owner = "bcampbell";
repo = "impy";
- rev = "v${version}";
+ rev = "v${finalAttrs.version}";
sha256 = "sha256-0bHm3jawYgcIeF2COALWlypX7kvPw1hifB/W+TKcC4M=";
};
@@ -40,5 +40,4 @@ stdenv.mkDerivation rec {
maintainers = with maintainers; [ fgaz ];
platforms = platforms.all;
};
-}
-
+})
diff --git a/pkgs/development/libraries/inih/default.nix b/pkgs/development/libraries/inih/default.nix
index 61bca95d9b2e..91d27fbc675c 100644
--- a/pkgs/development/libraries/inih/default.nix
+++ b/pkgs/development/libraries/inih/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "inih";
- version = "57";
+ version = "58";
src = fetchFromGitHub {
owner = "benhoyt";
repo = pname;
rev = "r${version}";
- hash = "sha256-a4nvhJSmZGqu2sdZSPNPjdnkzZ9dSKocL/XG2aDyFw4=";
+ hash = "sha256-b2f6hQvkmWgni/zdfv3I1b9ypd7zSyEBv/JVBA6K7/w=";
};
nativeBuildInputs = [ meson ninja ];
diff --git a/pkgs/development/libraries/intel-gmmlib/default.nix b/pkgs/development/libraries/intel-gmmlib/default.nix
index 58eba3ddc6d9..6d21c7bc6e1a 100644
--- a/pkgs/development/libraries/intel-gmmlib/default.nix
+++ b/pkgs/development/libraries/intel-gmmlib/default.nix
@@ -9,13 +9,13 @@
stdenv.mkDerivation rec {
pname = "intel-gmmlib";
- version = "22.3.17";
+ version = "22.3.18";
src = fetchFromGitHub {
owner = "intel";
repo = "gmmlib";
rev = "intel-gmmlib-${version}";
- sha256 = "sha256-9utlENByIQSayKTdSJapLBWMI2gFpOReNZe7bpbEoj8=";
+ sha256 = "sha256-woEYEgvwf12aWCeYqSiosQAUJErGaEP3q8JER971lys=";
};
nativeBuildInputs = [ cmake ];
diff --git a/pkgs/development/libraries/intel-media-sdk/default.nix b/pkgs/development/libraries/intel-media-sdk/default.nix
index b20b6782d9b0..506281a7e6e9 100644
--- a/pkgs/development/libraries/intel-media-sdk/default.nix
+++ b/pkgs/development/libraries/intel-media-sdk/default.nix
@@ -37,6 +37,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Intel Media SDK";
+ mainProgram = "mfx-tracer-config";
license = licenses.mit;
maintainers = with maintainers; [ midchildan ];
platforms = [ "x86_64-linux" ];
diff --git a/pkgs/development/libraries/ip2location-c/default.nix b/pkgs/development/libraries/ip2location-c/default.nix
index 43db1ac56b09..0e74478982f3 100644
--- a/pkgs/development/libraries/ip2location-c/default.nix
+++ b/pkgs/development/libraries/ip2location-c/default.nix
@@ -27,6 +27,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Library to look up locations of host names and IP addresses";
+ mainProgram = "ip2location";
longDescription = ''
A C library to find the country, region, city,coordinates,
zip code, time zone, ISP, domain name, connection type, area code,
diff --git a/pkgs/development/libraries/isa-l/default.nix b/pkgs/development/libraries/isa-l/default.nix
index d81f1120742a..88fcbc04d586 100644
--- a/pkgs/development/libraries/isa-l/default.nix
+++ b/pkgs/development/libraries/isa-l/default.nix
@@ -19,6 +19,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A collection of optimised low-level functions targeting storage applications";
+ mainProgram = "igzip";
license = licenses.bsd3;
homepage = "https://github.com/intel/isa-l";
maintainers = with maintainers; [ jbedo ];
diff --git a/pkgs/development/libraries/iso-codes/default.nix b/pkgs/development/libraries/iso-codes/default.nix
index 5539a97f0ce6..0b9b316f4433 100644
--- a/pkgs/development/libraries/iso-codes/default.nix
+++ b/pkgs/development/libraries/iso-codes/default.nix
@@ -1,11 +1,11 @@
-{ lib, stdenv, fetchurl, gettext, python3 }:
+{ lib, stdenv, fetchurl, gettext, python3, testers }:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "iso-codes";
version = "4.16.0";
src = fetchurl {
- url = "https://salsa.debian.org/iso-codes-team/iso-codes/-/archive/v${version}/${pname}-v${version}.tar.gz";
+ url = with finalAttrs; "https://salsa.debian.org/iso-codes-team/iso-codes/-/archive/v${version}/${pname}-v${version}.tar.gz";
sha256 = "sha256-fJkPw5oFl1vtsBdeP/Cfw4MEiBX2i0Yqu/BVqAMuZsw=";
};
@@ -13,10 +13,17 @@ stdenv.mkDerivation rec {
enableParallelBuilding = true;
+ passthru.tests = {
+ pkg-config = testers.hasPkgConfigModules {
+ package = finalAttrs.finalPackage;
+ };
+ };
+
meta = with lib; {
homepage = "https://salsa.debian.org/iso-codes-team/iso-codes";
description = "Various ISO codes packaged as XML files";
license = licenses.lgpl21;
platforms = platforms.all;
+ pkgConfigModules = [ "iso-codes" ];
};
-}
+})
diff --git a/pkgs/development/libraries/itk/generic.nix b/pkgs/development/libraries/itk/generic.nix
index 652fce4a6797..be59969aaecb 100644
--- a/pkgs/development/libraries/itk/generic.nix
+++ b/pkgs/development/libraries/itk/generic.nix
@@ -109,6 +109,7 @@ stdenv.mkDerivation {
meta = {
description = "Insight Segmentation and Registration Toolkit";
+ mainProgram = "itkTestDriver";
homepage = "https://www.itk.org";
license = lib.licenses.asl20;
maintainers = with lib.maintainers; [viric];
diff --git a/pkgs/development/libraries/java/commons/bcel/default.nix b/pkgs/development/libraries/java/commons/bcel/default.nix
index 49cc12b2b33e..f25f4a552b7c 100644
--- a/pkgs/development/libraries/java/commons/bcel/default.nix
+++ b/pkgs/development/libraries/java/commons/bcel/default.nix
@@ -1,12 +1,12 @@
{lib, stdenv, fetchurl}:
stdenv.mkDerivation rec {
- version = "6.8.0";
+ version = "6.8.1";
pname = "commons-bcel";
src = fetchurl {
url = "mirror://apache/commons/bcel/binaries/bcel-${version}-bin.tar.gz";
- hash = "sha256-DdH+LcVY7C9sFqMY1UkMHRcAbtAsyINdTEmaj5Dr0OI=";
+ hash = "sha256-a7PqcVvS+7tHSU2uXi5gLpl82ZN9hA03VEnCnc5cnRc=";
};
installPhase = ''
diff --git a/pkgs/development/libraries/java/commons/compress/default.nix b/pkgs/development/libraries/java/commons/compress/default.nix
index 53265baaf8fe..7827c1788dca 100644
--- a/pkgs/development/libraries/java/commons/compress/default.nix
+++ b/pkgs/development/libraries/java/commons/compress/default.nix
@@ -1,12 +1,12 @@
{ lib, stdenv, fetchurl }:
stdenv.mkDerivation rec {
- version = "1.25.0";
+ version = "1.26.0";
pname = "commons-compress";
src = fetchurl {
url = "mirror://apache/commons/compress/binaries/${pname}-${version}-bin.tar.gz";
- sha256 = "sha256-isxV/gTOjVQW3716cr8ZrlLnOn8YWWr6p1SHYlwhdvA=";
+ sha256 = "sha256-AXdkqMrzTtURpPXKOmXxo0RnfFtflcDf6mmVmqVFz5k=";
};
installPhase = ''
diff --git a/pkgs/development/libraries/java/commons/io/default.nix b/pkgs/development/libraries/java/commons/io/default.nix
index 7c1c9f361145..4c010829c6ff 100644
--- a/pkgs/development/libraries/java/commons/io/default.nix
+++ b/pkgs/development/libraries/java/commons/io/default.nix
@@ -1,12 +1,12 @@
{ lib, stdenv, fetchurl }:
stdenv.mkDerivation rec {
- version = "2.11.0";
+ version = "2.15.1";
pname = "commons-io";
src = fetchurl {
url = "mirror://apache/commons/io/binaries/${pname}-${version}-bin.tar.gz";
- sha256 = "sha256-9RXVNzjEhdYCYWbB9/xW3rm+gSOuD6+jwAO9zJVt4fk=";
+ sha256 = "sha256-nYoYGHetdd3vFryFXLxuvvSUCIs6VZyPwWb8s0h+edg=";
};
installPhase = ''
diff --git a/pkgs/development/libraries/java/commons/logging/default.nix b/pkgs/development/libraries/java/commons/logging/default.nix
index 71832498c34a..085efc6e52b5 100644
--- a/pkgs/development/libraries/java/commons/logging/default.nix
+++ b/pkgs/development/libraries/java/commons/logging/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "commons-logging";
- version = "1.2";
+ version = "1.3.0";
src = fetchurl {
url = "mirror://apache/commons/logging/binaries/commons-logging-${version}-bin.tar.gz";
- sha256 = "1gc70pmcv0x6ibl89jglmr22f8zpr63iaifi49nrq399qw2qhx9z";
+ sha256 = "sha256-ij6jOi1Y/iQ/9Ht41nKtmOdZCvf0NmNseFGxBpyq1fg=";
};
installPhase = ''
diff --git a/pkgs/development/libraries/java/cup/default.nix b/pkgs/development/libraries/java/cup/default.nix
index 2f673a8e5a67..65df9d6cfa22 100644
--- a/pkgs/development/libraries/java/cup/default.nix
+++ b/pkgs/development/libraries/java/cup/default.nix
@@ -4,7 +4,7 @@
, ant
, jdk
, makeWrapper
-, canonicalize-jars-hook
+, stripJavaArchivesHook
}:
stdenv.mkDerivation (finalAttrs: {
@@ -24,7 +24,7 @@ stdenv.mkDerivation (finalAttrs: {
ant
jdk
makeWrapper
- canonicalize-jars-hook
+ stripJavaArchivesHook
];
buildPhase = ''
diff --git a/pkgs/development/libraries/java/hydra-ant-logger/default.nix b/pkgs/development/libraries/java/hydra-ant-logger/default.nix
index 96b6cd05ed1a..8f7282df0bb2 100644
--- a/pkgs/development/libraries/java/hydra-ant-logger/default.nix
+++ b/pkgs/development/libraries/java/hydra-ant-logger/default.nix
@@ -3,7 +3,7 @@
, fetchFromGitHub
, ant
, jdk
-, canonicalize-jars-hook
+, stripJavaArchivesHook
}:
stdenv.mkDerivation {
@@ -20,7 +20,7 @@ stdenv.mkDerivation {
nativeBuildInputs = [
ant
jdk
- canonicalize-jars-hook
+ stripJavaArchivesHook
];
buildPhase = ''
diff --git a/pkgs/development/libraries/java/jffi/default.nix b/pkgs/development/libraries/java/jffi/default.nix
index b4351f4e9df9..3ba336aa18e9 100644
--- a/pkgs/development/libraries/java/jffi/default.nix
+++ b/pkgs/development/libraries/java/jffi/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "jffi";
- version = "1.3.12";
+ version = "1.3.13";
src = fetchFromGitHub {
owner = "jnr";
repo = "jffi";
rev = "jffi-${version}";
- sha256 = "sha256-U0pBoKewZEen7aH3rIvZ8dKKeXKE1+Z5WtfM0HK6/LQ=";
+ sha256 = "sha256-aBQkkZyXZkaJc4sr/jHnIRaJYP116u4Jqsr9XXzfOBA=";
};
nativeBuildInputs = [ jdk ant texinfo pkg-config ];
diff --git a/pkgs/development/libraries/java/jflex/default.nix b/pkgs/development/libraries/java/jflex/default.nix
index 90e4d4329d5e..3a55febff294 100644
--- a/pkgs/development/libraries/java/jflex/default.nix
+++ b/pkgs/development/libraries/java/jflex/default.nix
@@ -32,6 +32,7 @@ stdenv.mkDerivation rec {
meta = {
homepage = "https://www.jflex.de/";
description = "Lexical analyzer generator for Java, written in Java";
+ mainProgram = "jflex";
license = lib.licenses.bsd3;
platforms = lib.platforms.unix;
};
diff --git a/pkgs/development/libraries/java/lombok/default.nix b/pkgs/development/libraries/java/lombok/default.nix
index 017f0581dc93..a2eca1ca9c23 100644
--- a/pkgs/development/libraries/java/lombok/default.nix
+++ b/pkgs/development/libraries/java/lombok/default.nix
@@ -24,6 +24,7 @@ stdenv.mkDerivation rec {
meta = {
description = "A library that can write a lot of boilerplate for your Java project";
+ mainProgram = "lombok";
platforms = lib.platforms.all;
sourceProvenance = with lib.sourceTypes; [ binaryBytecode ];
license = lib.licenses.mit;
diff --git a/pkgs/development/libraries/java/swt/default.nix b/pkgs/development/libraries/java/swt/default.nix
index 4c900ed21ac6..2d3f4eeb6575 100644
--- a/pkgs/development/libraries/java/swt/default.nix
+++ b/pkgs/development/libraries/java/swt/default.nix
@@ -1,6 +1,6 @@
{ lib
, stdenv
-, canonicalize-jars-hook
+, stripJavaArchivesHook
, fetchzip
, pkg-config
, atk
@@ -58,7 +58,7 @@ in stdenv.mkDerivation rec {
};
nativeBuildInputs = [
- canonicalize-jars-hook
+ stripJavaArchivesHook
pkg-config
];
buildInputs = [
diff --git a/pkgs/development/libraries/jbig2dec/default.nix b/pkgs/development/libraries/jbig2dec/default.nix
index af99944dae72..f0938df820bf 100644
--- a/pkgs/development/libraries/jbig2dec/default.nix
+++ b/pkgs/development/libraries/jbig2dec/default.nix
@@ -25,7 +25,8 @@ stdenv.mkDerivation rec {
meta = {
homepage = "https://www.jbig2dec.com/";
description = "Decoder implementation of the JBIG2 image compression format";
- license = lib.licenses.agpl3;
+ mainProgram = "jbig2dec";
+ license = lib.licenses.agpl3Only;
platforms = lib.platforms.unix;
};
}
diff --git a/pkgs/development/libraries/jellyfin-ffmpeg/default.nix b/pkgs/development/libraries/jellyfin-ffmpeg/default.nix
index 6398f8b872d5..750a5aa8d002 100644
--- a/pkgs/development/libraries/jellyfin-ffmpeg/default.nix
+++ b/pkgs/development/libraries/jellyfin-ffmpeg/default.nix
@@ -3,16 +3,20 @@
, lib
}:
-ffmpeg_6-full.overrideAttrs (old: rec {
- pname = "jellyfin-ffmpeg";
- version = "6.0.1-2";
+let
+ version = "6.0.1-3";
+in
- src = fetchFromGitHub {
+(ffmpeg_6-full.override {
+ inherit version; # Important! This sets the ABI.
+ source = fetchFromGitHub {
owner = "jellyfin";
repo = "jellyfin-ffmpeg";
rev = "v${version}";
- hash = "sha256-wc9OGwjcRDTDxlHYVTlbLe1B/F11z0Xcz6WRrO42zn4=";
+ hash = "sha256-UINiXO61nB/AL0HJJy7G7emujakk/mQv81aUioyJz0Y=";
};
+}).overrideAttrs (old: {
+ pname = "jellyfin-ffmpeg";
# Clobber upstream patches as they don't apply to the Jellyfin fork
patches = [];
diff --git a/pkgs/development/libraries/jemalloc/default.nix b/pkgs/development/libraries/jemalloc/default.nix
index 8a3b8658fbb8..902c0d77739c 100644
--- a/pkgs/development/libraries/jemalloc/default.nix
+++ b/pkgs/development/libraries/jemalloc/default.nix
@@ -48,7 +48,6 @@ stdenv.mkDerivation rec {
;
env.NIX_CFLAGS_COMPILE = lib.optionalString stdenv.isDarwin "-Wno-error=array-bounds";
- env.NIX_LDFLAGS = lib.optionalString (stdenv.cc.libcxx != null) "-l${stdenv.cc.libcxx.cxxabi.libName}";
# Tries to link test binaries binaries dynamically and fails
doCheck = !stdenv.hostPlatform.isStatic;
diff --git a/pkgs/development/libraries/jose/default.nix b/pkgs/development/libraries/jose/default.nix
index 08fc7e6dc99e..656b014b733d 100644
--- a/pkgs/development/libraries/jose/default.nix
+++ b/pkgs/development/libraries/jose/default.nix
@@ -4,13 +4,13 @@
stdenv.mkDerivation rec {
pname = "jose";
- version = "11";
+ version = "12";
src = fetchFromGitHub {
owner = "latchset";
repo = pname;
rev = "v${version}";
- hash = "sha256-TKcXswF50B8MS+XHSEvqHaFSAct7VdsnZ0RtZCF04Lc=";
+ hash = "sha256-MuYRgYskIT2rmd32gziCdiRwIWMKQ6iTx0Qm/jJI+Iw=";
};
nativeBuildInputs = [ meson pkg-config ninja asciidoc ];
@@ -21,6 +21,7 @@ stdenv.mkDerivation rec {
meta = {
description = "C-language implementation of Javascript Object Signing and Encryption";
+ mainProgram = "jose";
homepage = "https://github.com/latchset/jose";
maintainers = with lib.maintainers; [ ];
license = lib.licenses.asl20;
diff --git a/pkgs/development/libraries/json-fortran/default.nix b/pkgs/development/libraries/json-fortran/default.nix
index 422248520fc4..410a767f9991 100644
--- a/pkgs/development/libraries/json-fortran/default.nix
+++ b/pkgs/development/libraries/json-fortran/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "json-fortran";
- version = "8.3.0";
+ version = "8.4.0";
src = fetchFromGitHub {
owner = "jacobwilliams";
repo = pname;
rev = version;
- hash = "sha256-96W9bzWEZ3EN4wtnDT3G3pvLdcI4SIhGJWBVPU3rNZ4=";
+ hash = "sha256-qy3CK8Op3YVNpXjq60UYq9V9qWBEXpX/li/lYxXW9Fk=";
};
nativeBuildInputs = [
diff --git a/pkgs/development/libraries/kcolorpicker/default.nix b/pkgs/development/libraries/kcolorpicker/default.nix
index 4647d65882cd..9a96b92c1258 100644
--- a/pkgs/development/libraries/kcolorpicker/default.nix
+++ b/pkgs/development/libraries/kcolorpicker/default.nix
@@ -1,19 +1,29 @@
-{ lib, mkDerivation, fetchFromGitHub, cmake, qtbase }:
+{ lib, stdenv, fetchFromGitHub, cmake, qtbase }:
-mkDerivation rec {
+let
+ isQt6 = lib.versions.major qtbase.version == "6";
+in stdenv.mkDerivation rec {
pname = "kcolorpicker";
- version = "0.2.0";
+ version = "0.3.0";
src = fetchFromGitHub {
owner = "ksnip";
repo = "kColorPicker";
rev = "v${version}";
- sha256 = "sha256-ahmMj/yzQiRP9oJwDuhJGxe849kxNsoGFDZ2CGQNhKs=";
+ hash = "sha256-gkjlIiLB3/074EEFrQUa0djvVt/C44O3afqqNis64P0=";
};
nativeBuildInputs = [ cmake ];
buildInputs = [ qtbase ];
+ cmakeFlags = [
+ (lib.cmakeBool "BUILD_WITH_QT6" isQt6)
+ (lib.cmakeBool "BUILD_SHARED_LIBS" true)
+ ];
+
+ # Library only
+ dontWrapQtApps = true;
+
meta = with lib; {
description = "Qt based Color Picker with popup menu";
homepage = "https://github.com/ksnip/kColorPicker";
diff --git a/pkgs/development/libraries/kdb/default.nix b/pkgs/development/libraries/kdb/default.nix
index f9aa5dc369c6..d3688442f898 100644
--- a/pkgs/development/libraries/kdb/default.nix
+++ b/pkgs/development/libraries/kdb/default.nix
@@ -42,6 +42,7 @@ mkDerivation rec {
meta = with lib; {
description = "A database connectivity and creation framework for various database vendors";
+ mainProgram = "kdb3_sqlite3_dump";
license = licenses.lgpl2;
platforms = platforms.linux;
maintainers = with maintainers; [ zraexy ];
diff --git a/pkgs/development/libraries/kde-frameworks/fetch.sh b/pkgs/development/libraries/kde-frameworks/fetch.sh
index a6797786ee53..358803e37355 100644
--- a/pkgs/development/libraries/kde-frameworks/fetch.sh
+++ b/pkgs/development/libraries/kde-frameworks/fetch.sh
@@ -1 +1 @@
-WGET_ARGS=( https://download.kde.org/stable/frameworks/5.114/ -A '*.tar.xz' )
+WGET_ARGS=( https://download.kde.org/stable/frameworks/5.115/ -A '*.tar.xz' )
diff --git a/pkgs/development/libraries/kde-frameworks/srcs.nix b/pkgs/development/libraries/kde-frameworks/srcs.nix
index d51c74cc4e64..713e43920e7c 100644
--- a/pkgs/development/libraries/kde-frameworks/srcs.nix
+++ b/pkgs/development/libraries/kde-frameworks/srcs.nix
@@ -4,667 +4,667 @@
{
attica = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/attica-5.114.0.tar.xz";
- sha256 = "0gkdsm1vyyyxxyl4rni9s2bdz5w6zphzjl58fddjl899da06hqfq";
- name = "attica-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/attica-5.115.0.tar.xz";
+ sha256 = "0n54m7sx2nagplr6ps9b3cvwy6cg0yqrba5xl90j1gg4ya14j1db";
+ name = "attica-5.115.0.tar.xz";
};
};
baloo = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/baloo-5.114.0.tar.xz";
- sha256 = "19sib1y0m5h2gnnpr9rfk810p6pdfm4zzxlm0a44r7910llp8i50";
- name = "baloo-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/baloo-5.115.0.tar.xz";
+ sha256 = "0261a7c81pavnq27ww5q5z8acpl18zr2nnfm2wx2zcy4xww21jwm";
+ name = "baloo-5.115.0.tar.xz";
};
};
bluez-qt = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/bluez-qt-5.114.0.tar.xz";
- sha256 = "1ni50jwnb5ww8mkql0p3q8660c0srj8p0ik27lvxakwdq4wf6l9s";
- name = "bluez-qt-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/bluez-qt-5.115.0.tar.xz";
+ sha256 = "18b4pjjgr9fbmdyacz8p078j6wm5vk5lkx18jikhrgkm84ad6zxx";
+ name = "bluez-qt-5.115.0.tar.xz";
};
};
breeze-icons = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/breeze-icons-5.114.0.tar.xz";
- sha256 = "0z5cpv10jyjdwjfkm7nj6hyp4vj29apm476hvbpb4gan27jyb91y";
- name = "breeze-icons-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/breeze-icons-5.115.0.tar.xz";
+ sha256 = "1f89dw05mfmlir8dvabnvxwszq1wqnrrgb7yw54wh7cnxah8gz64";
+ name = "breeze-icons-5.115.0.tar.xz";
};
};
extra-cmake-modules = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/extra-cmake-modules-5.114.0.tar.xz";
- sha256 = "0z4nqravsfzlsgvkg5rha2d0qxfr3pfncw7z2fxzzqvzj7mfk6im";
- name = "extra-cmake-modules-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/extra-cmake-modules-5.115.0.tar.xz";
+ sha256 = "1vj5rsq029qjp1by9cal715yza180lcxv1m0jn4nnljplbv3agpf";
+ name = "extra-cmake-modules-5.115.0.tar.xz";
};
};
frameworkintegration = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/frameworkintegration-5.114.0.tar.xz";
- sha256 = "1dqgzhhh8gnvl8jsvh2i6pjn935d61avh63b4z9kpllhvp9a2lnd";
- name = "frameworkintegration-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/frameworkintegration-5.115.0.tar.xz";
+ sha256 = "0vk3j6ivwk2y9jik54jxa4wm1c9179yrapia979a48zi5ibrlabf";
+ name = "frameworkintegration-5.115.0.tar.xz";
};
};
kactivities = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kactivities-5.114.0.tar.xz";
- sha256 = "10pyynqz8c22la9aqms080iqlisj3irbi1kwnn3s0vg5dsjxr1p3";
- name = "kactivities-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kactivities-5.115.0.tar.xz";
+ sha256 = "06wxmg085czrx2cyds7gwgdksvy5nchyshkgr2lxck20asjgn5p0";
+ name = "kactivities-5.115.0.tar.xz";
};
};
kactivities-stats = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kactivities-stats-5.114.0.tar.xz";
- sha256 = "1zhrs2p3c831rwx7ww87i82k5i236vfywdxv7zhz93k3vffyqby7";
- name = "kactivities-stats-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kactivities-stats-5.115.0.tar.xz";
+ sha256 = "0rp6j4nr4y5ijf4khsha98pvp8zy6fzjzhdjyj9z67jjpb045sd4";
+ name = "kactivities-stats-5.115.0.tar.xz";
};
};
kapidox = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kapidox-5.114.0.tar.xz";
- sha256 = "0xxw3lvipyax8r1af3ypwjj6waarbp2z9n11fjb4kvyigsypglmb";
- name = "kapidox-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kapidox-5.115.0.tar.xz";
+ sha256 = "0kp1z0cz60d6sb2wcqa3wawvqqijjyndyn21l2lrrdfz256m5fil";
+ name = "kapidox-5.115.0.tar.xz";
};
};
karchive = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/karchive-5.114.0.tar.xz";
- sha256 = "015gc1zarny8r478p7g9m6r67l5dk3r0vcp28ilmfmznxy0k0hda";
- name = "karchive-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/karchive-5.115.0.tar.xz";
+ sha256 = "0xdlsqkxsn3jjkbklhyys8sbbygg5f5qnfls5f0gk8gcig2m36g8";
+ name = "karchive-5.115.0.tar.xz";
};
};
kauth = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kauth-5.114.0.tar.xz";
- sha256 = "1rkf9mc9718wn8pzd3d3wcg3lsn0vkr9a2cqnz86rbg3cf2qdbir";
- name = "kauth-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kauth-5.115.0.tar.xz";
+ sha256 = "18jsygwkd4irdsz2lpdmsnjpj3dcz9fzajknl5z4csn6xxmzbaak";
+ name = "kauth-5.115.0.tar.xz";
};
};
kbookmarks = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kbookmarks-5.114.0.tar.xz";
- sha256 = "06lnsyjhh80mdcqjww40glinmrjydbmkhv27a267vf34r7kam9rc";
- name = "kbookmarks-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kbookmarks-5.115.0.tar.xz";
+ sha256 = "1h6yihcc0jczi51imw4a3zvyglvvzaksc1yjam44via84nli2kqn";
+ name = "kbookmarks-5.115.0.tar.xz";
};
};
kcalendarcore = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kcalendarcore-5.114.0.tar.xz";
- sha256 = "0aimda01zqw4fz5ldvz4vh767bi10r00kvm62n89nxhsq46wlk7p";
- name = "kcalendarcore-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kcalendarcore-5.115.0.tar.xz";
+ sha256 = "18sl7yg8xsnba6aar7q1w31jsxsmhz9d4hv67m1w86qv8wn8gn2r";
+ name = "kcalendarcore-5.115.0.tar.xz";
};
};
kcmutils = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kcmutils-5.114.0.tar.xz";
- sha256 = "1pblf3c60m0gn3vhdprw28f8y54kij02jwz91r2vnmng8d1xkrp9";
- name = "kcmutils-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kcmutils-5.115.0.tar.xz";
+ sha256 = "07jx2yc820d9xj5pcywx7nwccm5f1r7wjgqvjr6l3d9irjpm54q3";
+ name = "kcmutils-5.115.0.tar.xz";
};
};
kcodecs = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kcodecs-5.114.0.tar.xz";
- sha256 = "080zvcqd8iq05p5x3qaf3rryx75lg2l2j1dr18sp50ir50zfwh2w";
- name = "kcodecs-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kcodecs-5.115.0.tar.xz";
+ sha256 = "1gq4335w4bxz0al7diql5mlam7vgvvwdvm0iy3hqr6czk135wf4l";
+ name = "kcodecs-5.115.0.tar.xz";
};
};
kcompletion = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kcompletion-5.114.0.tar.xz";
- sha256 = "0qvdxqlh1dklkbmqfjg5gc3dkdicgzn6q5lgvyf8cv46dinj6mwc";
- name = "kcompletion-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kcompletion-5.115.0.tar.xz";
+ sha256 = "1iqdf67mcmf1vq0z2c13gzvrssywrp8nqa4lwshwi2amag4sad5i";
+ name = "kcompletion-5.115.0.tar.xz";
};
};
kconfig = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kconfig-5.114.0.tar.xz";
- sha256 = "0hghdh4p6cq9ckp4g5jdgd8w47pdsxxvzimrdfjrs71lmy8ydiy2";
- name = "kconfig-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kconfig-5.115.0.tar.xz";
+ sha256 = "04l6g2qy2qc3ykwvx2gbikgsh9n3rnz05m72n47lmianvwm2nsdx";
+ name = "kconfig-5.115.0.tar.xz";
};
};
kconfigwidgets = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kconfigwidgets-5.114.0.tar.xz";
- sha256 = "16layydkcwfbvzxqjzprkq8bbxifn0z0wm7mc9bzwrfxy761rjnj";
- name = "kconfigwidgets-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kconfigwidgets-5.115.0.tar.xz";
+ sha256 = "0nwmgva1zwfbr36slhziq4a84nhh4swckm6ajcg6imksv41k5nwd";
+ name = "kconfigwidgets-5.115.0.tar.xz";
};
};
kcontacts = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kcontacts-5.114.0.tar.xz";
- sha256 = "0lyqvbs216p5zpssaf4pyccph7nbwkbvhpmhbi32y2rm23cmxlwf";
- name = "kcontacts-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kcontacts-5.115.0.tar.xz";
+ sha256 = "1xwp77nzcjxrk97mqzyfc5b1zvv9s76fvhv6fb8wnmm80l21w7r7";
+ name = "kcontacts-5.115.0.tar.xz";
};
};
kcoreaddons = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kcoreaddons-5.114.0.tar.xz";
- sha256 = "1wv3s3xsiii96k17nzs2fb0ih2lyg52krf58v44nlk9wfi4wmnqx";
- name = "kcoreaddons-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kcoreaddons-5.115.0.tar.xz";
+ sha256 = "1jcriqndsbi1jpvb3pn2hs9cnq66v9qgpilsa7lqvnp9wg9y3l4c";
+ name = "kcoreaddons-5.115.0.tar.xz";
};
};
kcrash = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kcrash-5.114.0.tar.xz";
- sha256 = "1avi4yd3kpjqxrvci1nicxbh9mjafj1w2vgfmqanq66b76s4kxj1";
- name = "kcrash-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kcrash-5.115.0.tar.xz";
+ sha256 = "1b83dvmflvjiqhjz6i1b007ah4sg85d57mmz3m9zdyjicfygbsmj";
+ name = "kcrash-5.115.0.tar.xz";
};
};
kdav = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kdav-5.114.0.tar.xz";
- sha256 = "11959fxz24snk2l31kw8w96wah0s2fjimimrxh6xhppiy5qp2fp2";
- name = "kdav-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kdav-5.115.0.tar.xz";
+ sha256 = "02aqs24q4skh6ngm7bhkqqa3l1xf9bgxdr95v9mgc20hyavy22pv";
+ name = "kdav-5.115.0.tar.xz";
};
};
kdbusaddons = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kdbusaddons-5.114.0.tar.xz";
- sha256 = "0pzzznyxhi48z5hhdsdxz3vaaihrdshpx65ha2v2nn2gh3ww7ikm";
- name = "kdbusaddons-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kdbusaddons-5.115.0.tar.xz";
+ sha256 = "0x4vsw43irxy00mvda7kah0d3nrs1vgbimz6d9d2a8rzkf61vizc";
+ name = "kdbusaddons-5.115.0.tar.xz";
};
};
kdeclarative = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kdeclarative-5.114.0.tar.xz";
- sha256 = "0w98pj8acxb4m9645963rzq5vja1fbih5czz24mf9zdqlg2dkz8g";
- name = "kdeclarative-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kdeclarative-5.115.0.tar.xz";
+ sha256 = "0i530dij27g0w8rcqq81bml2dpacgd2czsjcx1dzf9i2x83arv36";
+ name = "kdeclarative-5.115.0.tar.xz";
};
};
kded = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kded-5.114.0.tar.xz";
- sha256 = "00n4isc4ahii0ldrg761lkmnq27kmrfqs9zkmpvmgbg57259mvc3";
- name = "kded-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kded-5.115.0.tar.xz";
+ sha256 = "1cr3mx5lkhp4zsr85n0cl5m9p1zgmwlvapdpyb161z5k6402mhla";
+ name = "kded-5.115.0.tar.xz";
};
};
kdelibs4support = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/portingAids/kdelibs4support-5.114.0.tar.xz";
- sha256 = "17473him2fjfcw5f88diarqac815wsakfyb9fka82a4qqh9l41mc";
- name = "kdelibs4support-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/portingAids/kdelibs4support-5.115.0.tar.xz";
+ sha256 = "051ga7jn18nwiybvvrkkfrw9csgi35g9wd4gajkzykq4r4bgm8kj";
+ name = "kdelibs4support-5.115.0.tar.xz";
};
};
kdesignerplugin = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/portingAids/kdesignerplugin-5.114.0.tar.xz";
- sha256 = "0zlvkayv6zl5rp1076bscmdzyw93y7sxqb5848w11vs0g9amcj9n";
- name = "kdesignerplugin-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/portingAids/kdesignerplugin-5.115.0.tar.xz";
+ sha256 = "1s788wz6i3ngk44a0fy9cp0vvidgp5f4yid470v85jfkpm1nxv50";
+ name = "kdesignerplugin-5.115.0.tar.xz";
};
};
kdesu = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kdesu-5.114.0.tar.xz";
- sha256 = "14dcf32izn4lxr8vx372rfznflc1rcxwanx06phkd8mx9zyg4jxr";
- name = "kdesu-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kdesu-5.115.0.tar.xz";
+ sha256 = "0qlbd7q69pdqc46ydpmn63sxac1lf9v0rllkj5ir49607fbbgqh3";
+ name = "kdesu-5.115.0.tar.xz";
};
};
kdewebkit = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/portingAids/kdewebkit-5.114.0.tar.xz";
- sha256 = "04zc2qs13k04gsn124mnh6sqi3pax8c014jcb0qdh3h2r2y72bz3";
- name = "kdewebkit-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/portingAids/kdewebkit-5.115.0.tar.xz";
+ sha256 = "039wcylnz1wx26r4d5bppzx51gknv3fj2xvw1mb5d29036dp9q1h";
+ name = "kdewebkit-5.115.0.tar.xz";
};
};
kdnssd = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kdnssd-5.114.0.tar.xz";
- sha256 = "1zw5rkprr54j05ic8zljk57zahp2v6333slr253r3n1679zqlv64";
- name = "kdnssd-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kdnssd-5.115.0.tar.xz";
+ sha256 = "1psjrvxnaw2r9fh2kikpbw71scch0b7vjdiwv0a8mhyr6g163qxj";
+ name = "kdnssd-5.115.0.tar.xz";
};
};
kdoctools = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kdoctools-5.114.0.tar.xz";
- sha256 = "15s58r2zvdckw30x9q9ir8h1i8q2ncfgjn9h4jnmylwm79z3z27v";
- name = "kdoctools-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kdoctools-5.115.0.tar.xz";
+ sha256 = "0hz25yfz64qabmd9gw8344ljzi8658483a3194rlbbswyw80ksai";
+ name = "kdoctools-5.115.0.tar.xz";
};
};
kemoticons = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kemoticons-5.114.0.tar.xz";
- sha256 = "0w87prkhdmba7y8ylbycdpwdzd2djmp7hvv5ljb9s4aqqhnn3vw4";
- name = "kemoticons-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kemoticons-5.115.0.tar.xz";
+ sha256 = "163p3mbmhzd0pzggh13kj0qrl7bid84pabb8p59w42f3rhzdv3fb";
+ name = "kemoticons-5.115.0.tar.xz";
};
};
kfilemetadata = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kfilemetadata-5.114.0.tar.xz";
- sha256 = "15va29chlsrxii02w1ax718hp1b14ym59lcfyzh7w30zlf681560";
- name = "kfilemetadata-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kfilemetadata-5.115.0.tar.xz";
+ sha256 = "03694d8sn0c5629llw6ikpcs0x7gj4nd08c6jh4zca4g0v3hxzgy";
+ name = "kfilemetadata-5.115.0.tar.xz";
};
};
kglobalaccel = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kglobalaccel-5.114.0.tar.xz";
- sha256 = "19mmav055fnzyl760fyhf0pdvaidd5i1h04l2hcnpin4p1jnpfap";
- name = "kglobalaccel-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kglobalaccel-5.115.0.tar.xz";
+ sha256 = "1hxcd6pbymmq7z8bwbhyykgd3r54gkwzlvg896ix9phdgm2dacy5";
+ name = "kglobalaccel-5.115.0.tar.xz";
};
};
kguiaddons = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kguiaddons-5.114.0.tar.xz";
- sha256 = "0riya9plcz9c1ndhdbsradssndshbm12705swn7vf7am17n7f947";
- name = "kguiaddons-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kguiaddons-5.115.0.tar.xz";
+ sha256 = "0qpj7yvvxv8dswmg8m4jgd6hk2r5m3702cvhgagqyb0qx8vdlvag";
+ name = "kguiaddons-5.115.0.tar.xz";
};
};
kholidays = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kholidays-5.114.0.tar.xz";
- sha256 = "19r8dxglz5ll6iyvigsccil3ikvcsnyy5nwcpjvjr1c0brigcjmy";
- name = "kholidays-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kholidays-5.115.0.tar.xz";
+ sha256 = "1921nr4k758v4ci7rz1napsg6y2spv8z00qqjrqmka0grwrdgw6d";
+ name = "kholidays-5.115.0.tar.xz";
};
};
khtml = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/portingAids/khtml-5.114.0.tar.xz";
- sha256 = "1mf84zs9hjvmi74f8rgqzrfkqjq597f9k64dn1bqcj13v0w10vry";
- name = "khtml-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/portingAids/khtml-5.115.0.tar.xz";
+ sha256 = "0q6gx0xwq98cdqby4k4cgfqm4m7n915mfanf5vlhalld01jrjakl";
+ name = "khtml-5.115.0.tar.xz";
};
};
ki18n = {
- version = "5.114.0";
+ version = "5.115.1";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/ki18n-5.114.0.tar.xz";
- sha256 = "1yg03awcx5ay6lgbgwv91i0ankrm94z9m0wky4v03gnwnvw8pa0v";
- name = "ki18n-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/ki18n-5.115.1.tar.xz";
+ sha256 = "0x40z8jkr7bvn4fjnqrdqf0914286zbxpcn7bdqg2rqr982ksdvy";
+ name = "ki18n-5.115.1.tar.xz";
};
};
kiconthemes = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kiconthemes-5.114.0.tar.xz";
- sha256 = "0ndiqmcs1ybj4acc6k3p9jwq09slqc4nj12ifqvlxrfj3ak6sb28";
- name = "kiconthemes-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kiconthemes-5.115.0.tar.xz";
+ sha256 = "0bwxf4491hi0qz000ymajshhzxnqvq4a1bdb7mmvzf3byx5i69b9";
+ name = "kiconthemes-5.115.0.tar.xz";
};
};
kidletime = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kidletime-5.114.0.tar.xz";
- sha256 = "06sc9w54g4n7s5gjkqz08rgcz6v3pr0bdgx3gbjgzass6l4m8w7p";
- name = "kidletime-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kidletime-5.115.0.tar.xz";
+ sha256 = "0mmf6ybs4ajhkphdxwxnwyf3d3skjz88g2cqwvgbq3bldam2k04x";
+ name = "kidletime-5.115.0.tar.xz";
};
};
kimageformats = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kimageformats-5.114.0.tar.xz";
- sha256 = "1nfzpgnrbwncx9zp9cwa169jlfv7i85p00a07d4jc5hrdyvvkn0w";
- name = "kimageformats-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kimageformats-5.115.0.tar.xz";
+ sha256 = "10vjmbhy6p4vks5wmrn91vjdi3sykjil4kp1ph88nszqcq6h4qcz";
+ name = "kimageformats-5.115.0.tar.xz";
};
};
kinit = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kinit-5.114.0.tar.xz";
- sha256 = "0b6z9gq05vz20hm5y9ai3sbqq3gxwm3a3z88dkvi7dywk7vbqcph";
- name = "kinit-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kinit-5.115.0.tar.xz";
+ sha256 = "1bbxcbd6g1jhh5c2i127xzn77q3a4ia2180kymc6wyiqa3z7vj3q";
+ name = "kinit-5.115.0.tar.xz";
};
};
kio = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kio-5.114.0.tar.xz";
- sha256 = "0nwmxbfhvfw69q07vxvflri7rkdczyc89xv4ll3nrzrhgf15kb2z";
- name = "kio-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kio-5.115.0.tar.xz";
+ sha256 = "02zrixb73ranj08xk14m5pa198w54h3c3dqhvgrwwgw8b9xkhlqg";
+ name = "kio-5.115.0.tar.xz";
};
};
kirigami2 = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kirigami2-5.114.0.tar.xz";
- sha256 = "1bd232gs4394fa3aq31mjqrn8f3vjsghx7817szi7ryvnn6fnqkw";
- name = "kirigami2-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kirigami2-5.115.0.tar.xz";
+ sha256 = "1fd2q08a40xy5rv297q7084hbkgfkyq05k8y9gpk77460px45qhm";
+ name = "kirigami2-5.115.0.tar.xz";
};
};
kitemmodels = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kitemmodels-5.114.0.tar.xz";
- sha256 = "1bfmcrbcbrvp2rcaf32vzvarqwp41gn6s4xpf56hnxbwf9kgk1fl";
- name = "kitemmodels-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kitemmodels-5.115.0.tar.xz";
+ sha256 = "037gd15y93m4rcjxc6r4y5l3mgalyg6ryib837jcv0dv825x3ypz";
+ name = "kitemmodels-5.115.0.tar.xz";
};
};
kitemviews = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kitemviews-5.114.0.tar.xz";
- sha256 = "00vl2ck0pq0sqcxvhlr2pimgr27hd9v7y9dz6w4arb5smi5q1ixg";
- name = "kitemviews-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kitemviews-5.115.0.tar.xz";
+ sha256 = "1nb58pz1xhg7nyxjb22w6j60cjf34qpwqbg5ycy6n4n5wp15nbkf";
+ name = "kitemviews-5.115.0.tar.xz";
};
};
kjobwidgets = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kjobwidgets-5.114.0.tar.xz";
- sha256 = "1ymlqi5cqcs79nj1vff8pqwgvy0dxj5vv7l529w3a3n315hkrny8";
- name = "kjobwidgets-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kjobwidgets-5.115.0.tar.xz";
+ sha256 = "0q9h9sz7xwv0zdvszqmfwq3zv0w12gci88jprwp5gk09sgl7pqyf";
+ name = "kjobwidgets-5.115.0.tar.xz";
};
};
kjs = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/portingAids/kjs-5.114.0.tar.xz";
- sha256 = "08nh6yr6bqifpb5s9a4wbjwmwnm7zp5k8hcdmyb6mlcbam9qp6j7";
- name = "kjs-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/portingAids/kjs-5.115.0.tar.xz";
+ sha256 = "0ch42gwl9py7bcrx8liryp2w2sz6c46c7r6m44xg8sjqf00f1x4w";
+ name = "kjs-5.115.0.tar.xz";
};
};
kjsembed = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/portingAids/kjsembed-5.114.0.tar.xz";
- sha256 = "1xglisxv7nfsbj9lgpvc4c5ql4f6m7n71vf7vih5ff3aqybrkgxa";
- name = "kjsembed-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/portingAids/kjsembed-5.115.0.tar.xz";
+ sha256 = "0l9i7rjjbdllzbcxb1wmg8vspcjy5jiai87kkqhy873yrhfsrbpn";
+ name = "kjsembed-5.115.0.tar.xz";
};
};
kmediaplayer = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/portingAids/kmediaplayer-5.114.0.tar.xz";
- sha256 = "092yvzvrkvr8xxncw7h5ghfd2bggzxsqfj67c2vhymhfw4i0c54x";
- name = "kmediaplayer-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/portingAids/kmediaplayer-5.115.0.tar.xz";
+ sha256 = "1ydadms12xhjxkm5c7shk7ssw327nkv3qlg5rn9bbzq35nc79llm";
+ name = "kmediaplayer-5.115.0.tar.xz";
};
};
knewstuff = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/knewstuff-5.114.0.tar.xz";
- sha256 = "15xmx7rnnrsz2cj044aviyr4hi9h8r0nnva9qzcjcq2hkkgj7wjj";
- name = "knewstuff-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/knewstuff-5.115.0.tar.xz";
+ sha256 = "1zqwblssr03kdk9swsc4jqmzgfyixrhby05mb0df07hdh29938cb";
+ name = "knewstuff-5.115.0.tar.xz";
};
};
knotifications = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/knotifications-5.114.0.tar.xz";
- sha256 = "0cjd5ml9hyzprjgmrc132cmp7g9hnl0h5swlxw2ifqnxxyfkg72b";
- name = "knotifications-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/knotifications-5.115.0.tar.xz";
+ sha256 = "0hh6ay8nfm1ak6w74wdhwixxjdpywg7qrckf694hjmxvpgzp30pv";
+ name = "knotifications-5.115.0.tar.xz";
};
};
knotifyconfig = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/knotifyconfig-5.114.0.tar.xz";
- sha256 = "049n64qlr69zv1dc1dhgbsca37179hp06xfsxnhg97lblz3p3gds";
- name = "knotifyconfig-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/knotifyconfig-5.115.0.tar.xz";
+ sha256 = "1mh8phfixddi1h06kd42xfmdxgd2g9cqq8d1h9kp2vw5g4i9hiq5";
+ name = "knotifyconfig-5.115.0.tar.xz";
};
};
kpackage = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kpackage-5.114.0.tar.xz";
- sha256 = "0v165az3k5lfszxy0kl2464573y0dcq92fyfiklwnkkcjsvba69d";
- name = "kpackage-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kpackage-5.115.0.tar.xz";
+ sha256 = "0zbw8akrhx4g8sdlbh02qjwbxg0mzk7nbs5isrhi3s34xhzqg03y";
+ name = "kpackage-5.115.0.tar.xz";
};
};
kparts = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kparts-5.114.0.tar.xz";
- sha256 = "1rrf765p554r7l8j23gx5zxdq6wimh0v91qdkwz7ilm2qr16vd5v";
- name = "kparts-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kparts-5.115.0.tar.xz";
+ sha256 = "153xrci1fp7y4iby8d8izx70572h2rj8psf3xbpx6hqqsxwk7bd2";
+ name = "kparts-5.115.0.tar.xz";
};
};
kpeople = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kpeople-5.114.0.tar.xz";
- sha256 = "04v0s3amn6lbb16qvp1r6figckva6xk8z7djk8jda8fbnx8dx2r1";
- name = "kpeople-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kpeople-5.115.0.tar.xz";
+ sha256 = "0bvijsd14r153x2fjjk8slznwdxlxb4s3vwdfbimhp8vxln83hdq";
+ name = "kpeople-5.115.0.tar.xz";
};
};
kplotting = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kplotting-5.114.0.tar.xz";
- sha256 = "17x58pplln0plqiyhjpzdiqxngylxq5gkc5gk7b91xzm783x2k0n";
- name = "kplotting-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kplotting-5.115.0.tar.xz";
+ sha256 = "1wz1y32y2lfhjbwz9lz721w1rdlwqb19qfg2zkj15j64m5s5qvl3";
+ name = "kplotting-5.115.0.tar.xz";
};
};
kpty = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kpty-5.114.0.tar.xz";
- sha256 = "0fm7bfp89kvg1a64q8piiyal71p6vjnqcm13zak6r9fbfwcm0gs9";
- name = "kpty-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kpty-5.115.0.tar.xz";
+ sha256 = "06alh2i1j2k07rdxdm6rx1ajvd1355sz4nh1ba0a0vr6ic306k44";
+ name = "kpty-5.115.0.tar.xz";
};
};
kquickcharts = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kquickcharts-5.114.0.tar.xz";
- sha256 = "1f91x92qdzxp31z7ixx9jn41hq9f3w9hjia94pab9vsnaz8prbd1";
- name = "kquickcharts-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kquickcharts-5.115.0.tar.xz";
+ sha256 = "1fv64m86s5srcdqf37blhz3fdf7zx1z4l3xm0cc6f0xgwhfdhy5a";
+ name = "kquickcharts-5.115.0.tar.xz";
};
};
kross = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/portingAids/kross-5.114.0.tar.xz";
- sha256 = "0bbpi63lxbb4ylx2jd172a2bqyxkd606n7w8zrvcjy466lkv3sz4";
- name = "kross-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/portingAids/kross-5.115.0.tar.xz";
+ sha256 = "0vqb4kbxlncj90n93akz4m3svhbx4p16mpzaxb9xsc8y8bl2fvz2";
+ name = "kross-5.115.0.tar.xz";
};
};
krunner = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/krunner-5.114.0.tar.xz";
- sha256 = "1rjs9b87bi4f6pdm9fwnha2sj2mrq260l80iz2jq1zah83p546sw";
- name = "krunner-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/krunner-5.115.0.tar.xz";
+ sha256 = "0agsqs3x218jwmn1237bh70w50l99xyxn1w75md31v6sxszkkqhm";
+ name = "krunner-5.115.0.tar.xz";
};
};
kservice = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kservice-5.114.0.tar.xz";
- sha256 = "0jdvlplnsb9w628wh3ip6awxvhgyc097zh7ls9614ymkbnpc9xca";
- name = "kservice-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kservice-5.115.0.tar.xz";
+ sha256 = "1zayvj1f95azan48l1mhs9zm8rm7f4s20gpn61qqfn5abvxq7jwv";
+ name = "kservice-5.115.0.tar.xz";
};
};
ktexteditor = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/ktexteditor-5.114.0.tar.xz";
- sha256 = "06amzk6290imi2gj3v1k3f56zdlad7zbz4wwlf34v4iibj9mfgw8";
- name = "ktexteditor-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/ktexteditor-5.115.0.tar.xz";
+ sha256 = "1hxnwbpwf0bsfxn0g0yd6d2n5i0rf60871n9yyzxn6i7hzbprxll";
+ name = "ktexteditor-5.115.0.tar.xz";
};
};
ktextwidgets = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/ktextwidgets-5.114.0.tar.xz";
- sha256 = "0w1wwyd3fy351rmkhf3i55is5031j2zxvswm0b1sb3pd159v888v";
- name = "ktextwidgets-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/ktextwidgets-5.115.0.tar.xz";
+ sha256 = "13lxlc8g6hjk9vnyan12z9fjgzgj50ddf2bz6d2p18y01j68hj33";
+ name = "ktextwidgets-5.115.0.tar.xz";
};
};
kunitconversion = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kunitconversion-5.114.0.tar.xz";
- sha256 = "1qyqvl8fy105zwma5nrkz9zg5932w2f33daw0azhj322iffrm39n";
- name = "kunitconversion-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kunitconversion-5.115.0.tar.xz";
+ sha256 = "0x4fjsh4ms1qnlrsyrlhrkkl56wdmbcq6sqc2pldzxks6f5yp2cz";
+ name = "kunitconversion-5.115.0.tar.xz";
};
};
kwallet = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kwallet-5.114.0.tar.xz";
- sha256 = "1cji8bvy5m77zljyrrgipsw8pxcds1sgikxlq3sdfxymcsw2wr36";
- name = "kwallet-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kwallet-5.115.0.tar.xz";
+ sha256 = "0gggxbhck1s7sqrhq1px22jiyikprf5rm4h30wlwjh4lr0hzrczj";
+ name = "kwallet-5.115.0.tar.xz";
};
};
kwayland = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kwayland-5.114.0.tar.xz";
- sha256 = "1lzmlbv5vl656cigjj07hbc0gj6g1i2xqanvnhxj360109kzilf1";
- name = "kwayland-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kwayland-5.115.0.tar.xz";
+ sha256 = "0g34nihnim0a20fbsc0fxnpp4wxm8dhw5h8kdp6cm8airvci5d87";
+ name = "kwayland-5.115.0.tar.xz";
};
};
kwidgetsaddons = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kwidgetsaddons-5.114.0.tar.xz";
- sha256 = "1cc8lsk9v0cp2wiy1q26mlkf8np0yj01sq8a7w13ga5s6hv4sh2n";
- name = "kwidgetsaddons-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kwidgetsaddons-5.115.0.tar.xz";
+ sha256 = "0mdfp15iv2mqrkwbq2b3g653y4k5gs2s2mx2xg822bnfcx2q8ng3";
+ name = "kwidgetsaddons-5.115.0.tar.xz";
};
};
kwindowsystem = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kwindowsystem-5.114.0.tar.xz";
- sha256 = "03xbsf1pmswd2kpn3pdszp4vndclsh7j02fp22npxaxllmfr4va9";
- name = "kwindowsystem-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kwindowsystem-5.115.0.tar.xz";
+ sha256 = "0lsz6pxmkgvpmq76a30wg4p4bj8id6wivnsxncsfag7vnlcnp870";
+ name = "kwindowsystem-5.115.0.tar.xz";
};
};
kxmlgui = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/kxmlgui-5.114.0.tar.xz";
- sha256 = "0gvjf32ssc0r0bdpb1912ldsr5rjls8vrscwy5gm9g5gw504hmmr";
- name = "kxmlgui-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/kxmlgui-5.115.0.tar.xz";
+ sha256 = "0gbqndnc1w0fjkpazg5p565izb9p5dwsgnhi6n00y8a4shi2swbb";
+ name = "kxmlgui-5.115.0.tar.xz";
};
};
kxmlrpcclient = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/portingAids/kxmlrpcclient-5.114.0.tar.xz";
- sha256 = "1fgjai3vj3yk67ynhd7blilyrdhdn5nvma3v3j1sbdg98pr7qzar";
- name = "kxmlrpcclient-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/portingAids/kxmlrpcclient-5.115.0.tar.xz";
+ sha256 = "021j52d62z2lc1hqqw8dhcn7acxmrzn1m643586m06bi2rfgsx40";
+ name = "kxmlrpcclient-5.115.0.tar.xz";
};
};
modemmanager-qt = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/modemmanager-qt-5.114.0.tar.xz";
- sha256 = "16jqhmcpsffl9a7c0bb4hwjy3bw5rakdsnc5n6y8djc6237jl9pi";
- name = "modemmanager-qt-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/modemmanager-qt-5.115.0.tar.xz";
+ sha256 = "14ahzp2vn7xdhk9wcxfccn80a3ca6a652g1y0whp0szy0pnpajx0";
+ name = "modemmanager-qt-5.115.0.tar.xz";
};
};
networkmanager-qt = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/networkmanager-qt-5.114.0.tar.xz";
- sha256 = "10anjsnrzawrfjlznjvvl2sbxrajl2ddnq2kgl314b5dk7z3yk4n";
- name = "networkmanager-qt-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/networkmanager-qt-5.115.0.tar.xz";
+ sha256 = "0ygbvbdrxrb1nblgddkwsmvskg48y7ri816w9hbi3wxhjniag4hx";
+ name = "networkmanager-qt-5.115.0.tar.xz";
};
};
oxygen-icons = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/oxygen-icons-5.114.0.tar.xz";
- sha256 = "0f6hv5g8y2ggagrq9x9b78bqxqg6bqcpm1xxhf69ybgjikrqdf2r";
- name = "oxygen-icons-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/oxygen-icons-5.115.0.tar.xz";
+ sha256 = "1f54wqz2ypi9qca9rrac3d0rnzzxay1x8cl2zdar2lk4qas304qj";
+ name = "oxygen-icons-5.115.0.tar.xz";
};
};
plasma-framework = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/plasma-framework-5.114.0.tar.xz";
- sha256 = "058hl76q35bw3rzmv348azk1lmhkpgmfrxr3jd9s1hphijr8sgcx";
- name = "plasma-framework-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/plasma-framework-5.115.0.tar.xz";
+ sha256 = "1xqijqn7a92abp4zk1f8glbrhk4y3jpzblildxq6nix626xk0vrc";
+ name = "plasma-framework-5.115.0.tar.xz";
};
};
prison = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/prison-5.114.0.tar.xz";
- sha256 = "1wbr1lryxmrx65ilq1bhqsdhhikrih977nhpb02fq0cqnvv7v9i7";
- name = "prison-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/prison-5.115.0.tar.xz";
+ sha256 = "1pkk8azcwadiqcmd3g61v076f928dz2037gf5kb46dibpa8gqr49";
+ name = "prison-5.115.0.tar.xz";
};
};
purpose = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/purpose-5.114.0.tar.xz";
- sha256 = "1lj67f0x4gvbh9by3c3crbbwwnx7b9ifjna9ggziya4m6zj0m4z1";
- name = "purpose-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/purpose-5.115.0.tar.xz";
+ sha256 = "03z0vq8h2244mmsj1nkjwkk71dhsisx7aw497i09y7hypggaa1ms";
+ name = "purpose-5.115.0.tar.xz";
};
};
qqc2-desktop-style = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/qqc2-desktop-style-5.114.0.tar.xz";
- sha256 = "1y5g91vybjvhwmzpfwrc70q5j7jxf5b972f9fh2vzb930jir6c8g";
- name = "qqc2-desktop-style-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/qqc2-desktop-style-5.115.0.tar.xz";
+ sha256 = "001pnzchm5h73h10p4nbv11gp71n9wnkvr2mxn96qmqw3976aisq";
+ name = "qqc2-desktop-style-5.115.0.tar.xz";
};
};
solid = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/solid-5.114.0.tar.xz";
- sha256 = "1slxlj5jhp8g745l328932934633nl81sq3n8fd73h655hymsk4s";
- name = "solid-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/solid-5.115.0.tar.xz";
+ sha256 = "0yrxfzj2qgm8pc7jqgpxdkbc2p7c2zm6fg3mwdvbh9zgl3vcd8d7";
+ name = "solid-5.115.0.tar.xz";
};
};
sonnet = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/sonnet-5.114.0.tar.xz";
- sha256 = "0zxi96i3gfpx759qc1nyz7jqlswg5ivgr1w9gbbsm1x5fi9ikadx";
- name = "sonnet-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/sonnet-5.115.0.tar.xz";
+ sha256 = "054ra5kvmwv6f21cf3fd7x4z0sfar7hm4vi33rn6hzsh0b7q4qfy";
+ name = "sonnet-5.115.0.tar.xz";
};
};
syndication = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/syndication-5.114.0.tar.xz";
- sha256 = "13rjb1zm9yd8vbm9h7avqih5v0rr2srqwglm29l7mcnankqlh4n7";
- name = "syndication-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/syndication-5.115.0.tar.xz";
+ sha256 = "0imr1n0jc0lc0jr5r2r7yzbniq6wbmzlsf8l85d8sinb2d1wgdhv";
+ name = "syndication-5.115.0.tar.xz";
};
};
syntax-highlighting = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/syntax-highlighting-5.114.0.tar.xz";
- sha256 = "1skblg2m0sar63qrgkjsg0w9scixggm5qj7lp4gzjn4hwq6m3n63";
- name = "syntax-highlighting-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/syntax-highlighting-5.115.0.tar.xz";
+ sha256 = "02nyyb9x53mnzc2bxdwswz3cjsn6alf2k4hmgaw26c14mhcsf8h6";
+ name = "syntax-highlighting-5.115.0.tar.xz";
};
};
threadweaver = {
- version = "5.114.0";
+ version = "5.115.0";
src = fetchurl {
- url = "${mirror}/stable/frameworks/5.114/threadweaver-5.114.0.tar.xz";
- sha256 = "1y07g58w6z3i11y3djg3aaxanhp9hzaciq61l4dn1gqwghn09xgh";
- name = "threadweaver-5.114.0.tar.xz";
+ url = "${mirror}/stable/frameworks/5.115/threadweaver-5.115.0.tar.xz";
+ sha256 = "1f2fs946scr34kvrb5qrw5mg2id25lwysd3q45wkigxmymc8dl4z";
+ name = "threadweaver-5.115.0.tar.xz";
};
};
}
diff --git a/pkgs/development/libraries/kerberos/krb5.nix b/pkgs/development/libraries/kerberos/krb5.nix
index fdf01c195af5..bfa2974bdc5b 100644
--- a/pkgs/development/libraries/kerberos/krb5.nix
+++ b/pkgs/development/libraries/kerberos/krb5.nix
@@ -14,6 +14,7 @@
# This is called "staticOnly" because krb5 does not support
# builting both static and shared, see below.
, staticOnly ? false
+, withLdap ? false
, withVerto ? false
}:
@@ -25,6 +26,9 @@
let
libOnly = type == "lib";
in
+
+assert withLdap -> !libOnly;
+
stdenv.mkDerivation rec {
pname = "${type}krb5";
version = "1.21.2";
@@ -40,6 +44,7 @@ stdenv.mkDerivation rec {
# krb5's ./configure does not allow passing --enable-shared and --enable-static at the same time.
# See https://bbs.archlinux.org/viewtopic.php?pid=1576737#p1576737
++ lib.optionals staticOnly [ "--enable-static" "--disable-shared" ]
+ ++ lib.optional withLdap "--with-ldap"
++ lib.optional withVerto "--with-system-verto"
++ lib.optional stdenv.isFreeBSD ''WARN_CFLAGS=""''
++ lib.optionals (stdenv.buildPlatform != stdenv.hostPlatform)
@@ -55,7 +60,8 @@ stdenv.mkDerivation rec {
buildInputs = [ openssl ]
++ lib.optionals (stdenv.hostPlatform.isLinux && stdenv.hostPlatform.libc != "bionic" && !(stdenv.hostPlatform.useLLVM or false)) [ keyutils ]
- ++ lib.optionals (!libOnly) [ openldap libedit ]
+ ++ lib.optionals (!libOnly) [ libedit ]
+ ++ lib.optionals withLdap [ openldap ]
++ lib.optionals withVerto [ libverto ];
propagatedBuildInputs = lib.optionals stdenv.isDarwin (with darwin.apple_sdk; [
diff --git a/pkgs/development/libraries/khronos-ocl-icd-loader/default.nix b/pkgs/development/libraries/khronos-ocl-icd-loader/default.nix
index 57fe21a27c7b..330657f41fd0 100644
--- a/pkgs/development/libraries/khronos-ocl-icd-loader/default.nix
+++ b/pkgs/development/libraries/khronos-ocl-icd-loader/default.nix
@@ -20,6 +20,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Official Khronos OpenCL ICD Loader";
+ mainProgram = "cllayerinfo";
homepage = "https://github.com/KhronosGroup/OpenCL-ICD-Loader";
license = licenses.asl20;
maintainers = with maintainers; [ davidtwco ];
diff --git a/pkgs/development/libraries/kimageannotator/default.nix b/pkgs/development/libraries/kimageannotator/default.nix
index ce1be1d18062..e32247a488a3 100644
--- a/pkgs/development/libraries/kimageannotator/default.nix
+++ b/pkgs/development/libraries/kimageannotator/default.nix
@@ -1,18 +1,29 @@
-{ lib, mkDerivation, fetchFromGitHub, cmake, qtbase, kcolorpicker, qttools }:
+{ lib, stdenv, fetchFromGitHub, cmake, qttools, qtbase, qtsvg, kcolorpicker }:
-mkDerivation rec {
+let
+ isQt6 = lib.versions.major qtbase.version == "6";
+in stdenv.mkDerivation rec {
pname = "kimageannotator";
- version = "0.6.1";
+ version = "0.7.0";
src = fetchFromGitHub {
owner = "ksnip";
repo = "kImageAnnotator";
rev = "v${version}";
- sha256 = "sha256-lNoYAJ5yTC5H0gWPVkBGhLroRhFCPyC1DsVBy0IrqL4=";
+ hash = "sha256-Dq9CM/D3nA7MaY9rfwqF/UAw/+1ptKLf3P8jhFdngKk=";
};
nativeBuildInputs = [ cmake qttools ];
- buildInputs = [ qtbase kcolorpicker ];
+ buildInputs = [ qtbase qtsvg ];
+ propagatedBuildInputs = [ kcolorpicker ];
+
+ cmakeFlags = [
+ (lib.cmakeBool "BUILD_WITH_QT6" isQt6)
+ (lib.cmakeBool "BUILD_SHARED_LIBS" true)
+ ];
+
+ # Library only
+ dontWrapQtApps = true;
meta = with lib; {
description = "Tool for annotating images";
diff --git a/pkgs/development/libraries/languagemachines/libfolia.nix b/pkgs/development/libraries/languagemachines/libfolia.nix
index 6cc5bcade205..ec7129396540 100644
--- a/pkgs/development/libraries/languagemachines/libfolia.nix
+++ b/pkgs/development/libraries/languagemachines/libfolia.nix
@@ -21,6 +21,7 @@ stdenv.mkDerivation {
meta = with lib; {
description = "A C++ API for FoLiA documents; an XML-based linguistic annotation format.";
+ mainProgram = "folialint";
homepage = "https://proycon.github.io/folia/";
license = licenses.gpl3;
platforms = platforms.all;
diff --git a/pkgs/development/libraries/languagemachines/timbl.nix b/pkgs/development/libraries/languagemachines/timbl.nix
index 1585798170b3..e1884e56132d 100644
--- a/pkgs/development/libraries/languagemachines/timbl.nix
+++ b/pkgs/development/libraries/languagemachines/timbl.nix
@@ -22,6 +22,7 @@ stdenv.mkDerivation {
meta = with lib; {
description = "TiMBL implements several memory-based learning algorithms";
+ mainProgram = "timbl";
homepage = "https://github.com/LanguageMachines/timbl/";
license = licenses.gpl3;
platforms = platforms.all;
diff --git a/pkgs/development/libraries/languagemachines/ucto.nix b/pkgs/development/libraries/languagemachines/ucto.nix
index f707d9fb8b6e..6a3e31bcd553 100644
--- a/pkgs/development/libraries/languagemachines/ucto.nix
+++ b/pkgs/development/libraries/languagemachines/ucto.nix
@@ -34,6 +34,7 @@ stdenv.mkDerivation {
meta = with lib; {
description = "A rule-based tokenizer for natural language";
+ mainProgram = "ucto";
homepage = "https://languagemachines.github.io/ucto/";
license = licenses.gpl3;
platforms = platforms.all;
diff --git a/pkgs/development/libraries/lasem/default.nix b/pkgs/development/libraries/lasem/default.nix
index 9c49429ece45..80a5fc0e24d0 100644
--- a/pkgs/development/libraries/lasem/default.nix
+++ b/pkgs/development/libraries/lasem/default.nix
@@ -30,6 +30,7 @@ stdenv.mkDerivation rec {
meta = {
description = "SVG and MathML rendering library";
+ mainProgram = "lasem-render-0.4";
homepage = "https://wiki.gnome.org/Projects/Lasem";
license = lib.licenses.gpl2Plus;
diff --git a/pkgs/development/libraries/leatherman/default.nix b/pkgs/development/libraries/leatherman/default.nix
index 47bdd0ffb480..06b528ff8cf7 100644
--- a/pkgs/development/libraries/leatherman/default.nix
+++ b/pkgs/development/libraries/leatherman/default.nix
@@ -2,10 +2,10 @@
stdenv.mkDerivation rec {
pname = "leatherman";
- version = "1.12.11";
+ version = "1.12.12";
src = fetchFromGitHub {
- sha256 = "sha256-XB5qIXPe1Tu+cfxuL+HAfR9QQNiUY/noO51CVEz9hsU=";
+ sha256 = "sha256-V/AMdJ3ldlgBaO8gS0FOqb5tTdRGGW/+3LZq/TKkdog=";
rev = version;
repo = "leatherman";
owner = "puppetlabs";
diff --git a/pkgs/development/libraries/level-zero/default.nix b/pkgs/development/libraries/level-zero/default.nix
index 50cbe4a18cc3..fb5c3ba283b6 100644
--- a/pkgs/development/libraries/level-zero/default.nix
+++ b/pkgs/development/libraries/level-zero/default.nix
@@ -7,13 +7,13 @@
stdenv.mkDerivation rec {
pname = "level-zero";
- version = "1.15.8";
+ version = "1.16.1";
src = fetchFromGitHub {
owner = "oneapi-src";
repo = "level-zero";
rev = "refs/tags/v${version}";
- hash = "sha256-n1dcsI2sLeB68HpI5oQ5p3zdAcSvnSY+qpHL9vp6FOk=";
+ hash = "sha256-iPWEZ9aJ3uI4cAKRgur78zdVwGtD6q1TqwNpK+mg5hw=";
};
nativeBuildInputs = [ cmake addOpenGLRunpath ];
diff --git a/pkgs/development/libraries/lib3mf/default.nix b/pkgs/development/libraries/lib3mf/default.nix
index 0da54cd61c07..2436fbc00287 100644
--- a/pkgs/development/libraries/lib3mf/default.nix
+++ b/pkgs/development/libraries/lib3mf/default.nix
@@ -12,6 +12,8 @@ stdenv.mkDerivation rec {
sha256 = "sha256-WMTTYYgpCIM86a6Jw8iah/YVXN9T5youzEieWL/d+Bc=";
};
+ patches = [ ./upgrade-to-cpp-14.patch ];
+
nativeBuildInputs = [ cmake ninja pkg-config ];
outputs = [ "out" "dev" ];
diff --git a/pkgs/development/libraries/lib3mf/upgrade-to-cpp-14.patch b/pkgs/development/libraries/lib3mf/upgrade-to-cpp-14.patch
new file mode 100644
index 000000000000..a453571838d0
--- /dev/null
+++ b/pkgs/development/libraries/lib3mf/upgrade-to-cpp-14.patch
@@ -0,0 +1,18 @@
+diff --git a/CMakeLists.txt b/CMakeLists.txt
+index 9f719beb7..a20f84eb8 100644
+--- a/CMakeLists.txt
++++ b/CMakeLists.txt
+@@ -34,12 +34,12 @@ if ("${CMAKE_CXX_COMPILER_ID}" STREQUAL "GNU")
+ # using GCC
+ add_definitions(-DBUILD_DLL)
+ add_compile_options(-Wall)
+- SET(CMAKE_CXX_FLAGS "${CMAKE_CXX_FLAGS} -std=c++11 -O2")
++ SET(CMAKE_CXX_FLAGS "${CMAKE_CXX_FLAGS} -std=c++14 -O2")
+ elseif ("${CMAKE_SYSTEM_NAME}" MATCHES "Darwin")
+ # using GCC
+ add_definitions(-DBUILD_DLL)
+ add_compile_options(-Wall)
+- set(CMAKE_CXX_FLAGS "${CMAKE_CXX_FLAGS} -std=c++11 -O2")
++ set(CMAKE_CXX_FLAGS "${CMAKE_CXX_FLAGS} -std=c++14 -O2")
+ set(CMAKE_MACOSX_RPATH ON)
+ endif()
diff --git a/pkgs/development/libraries/libaacs/default.nix b/pkgs/development/libraries/libaacs/default.nix
index a270051f48ab..c0d3b91885e6 100644
--- a/pkgs/development/libraries/libaacs/default.nix
+++ b/pkgs/development/libraries/libaacs/default.nix
@@ -23,6 +23,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://www.videolan.org/developers/libaacs.html";
description = "Library to access AACS protected Blu-Ray disks";
+ mainProgram = "aacs_info";
license = licenses.lgpl21;
maintainers = with maintainers; [ abbradar ];
platforms = with platforms; linux;
diff --git a/pkgs/development/libraries/libadwaita/default.nix b/pkgs/development/libraries/libadwaita/default.nix
index 40ff3f9b807f..bb520e7c2274 100644
--- a/pkgs/development/libraries/libadwaita/default.nix
+++ b/pkgs/development/libraries/libadwaita/default.nix
@@ -17,11 +17,12 @@
, xvfb-run
, AppKit
, Foundation
+, testers
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "libadwaita";
- version = "1.4.3";
+ version = "1.4.4";
outputs = [ "out" "dev" "devdoc" ];
outputBin = "devdoc"; # demo app
@@ -30,8 +31,8 @@ stdenv.mkDerivation rec {
domain = "gitlab.gnome.org";
owner = "GNOME";
repo = "libadwaita";
- rev = version;
- hash = "sha256-ctHAN0SY6k68jaBpmIpMm8DngC9DPiL1vAmGhECpNic=";
+ rev = finalAttrs.version;
+ hash = "sha256-AZP5OH/LIroBeKioe7AIVx0FvFdTpWJ1INdRPZcjmHQ=";
};
depsBuildBuild = [
@@ -50,7 +51,7 @@ stdenv.mkDerivation rec {
mesonFlags = [
"-Dgtk_doc=true"
- ] ++ lib.optionals (!doCheck) [
+ ] ++ lib.optionals (!finalAttrs.doCheck) [
"-Dtests=false"
];
@@ -77,6 +78,7 @@ stdenv.mkDerivation rec {
# not ok /Adwaita/ButtonContent/style_class_button - Gdk-FATAL-CRITICAL:
# gdk_macos_monitor_get_workarea: assertion 'GDK_IS_MACOS_MONITOR (self)' failed
doCheck = !stdenv.isDarwin;
+ separateDebugInfo = true;
checkPhase = ''
runHook preCheck
@@ -106,16 +108,21 @@ stdenv.mkDerivation rec {
passthru = {
updateScript = gnome.updateScript {
- packageName = pname;
+ packageName = finalAttrs.pname;
+ };
+ tests.pkg-config = testers.hasPkgConfigModules {
+ package = finalAttrs.finalPackage;
};
};
meta = with lib; {
- changelog = "https://gitlab.gnome.org/GNOME/libadwaita/-/blob/${src.rev}/NEWS";
+ changelog = "https://gitlab.gnome.org/GNOME/libadwaita/-/blob/${finalAttrs.src.rev}/NEWS";
description = "Library to help with developing UI for mobile devices using GTK/GNOME";
+ mainProgram = "adwaita-1-demo";
homepage = "https://gitlab.gnome.org/GNOME/libadwaita";
license = licenses.lgpl21Plus;
maintainers = teams.gnome.members ++ (with maintainers; [ dotlambda ]);
platforms = platforms.unix;
+ pkgConfigModules = [ "libadwaita-1" ];
};
-}
+})
diff --git a/pkgs/development/libraries/libagar/libagar_test.nix b/pkgs/development/libraries/libagar/libagar_test.nix
index c54a7957f436..e365479f90a5 100644
--- a/pkgs/development/libraries/libagar/libagar_test.nix
+++ b/pkgs/development/libraries/libagar/libagar_test.nix
@@ -25,6 +25,7 @@ stdenv.mkDerivation {
meta = with lib; {
broken = (stdenv.isLinux && stdenv.isAarch64);
description = "Tests for libagar";
+ mainProgram = "agartest";
homepage = "http://libagar.org/index.html";
license = with licenses; bsd3;
maintainers = with maintainers; [ ramkromberg ];
diff --git a/pkgs/development/libraries/libajantv2/default.nix b/pkgs/development/libraries/libajantv2/default.nix
index d0d94c2d125a..89d609b327ab 100644
--- a/pkgs/development/libraries/libajantv2/default.nix
+++ b/pkgs/development/libraries/libajantv2/default.nix
@@ -6,6 +6,9 @@
, pkg-config
}:
+# Warning: We are aware that the upstream changed and there are new releases,
+# this got initally packaged for obs-studio which appears to fail to build even upstream with the new version.
+# https://github.com/NixOS/nixpkgs/pull/296191 / https://github.com/obsproject/obs-studio/pull/10037
stdenv.mkDerivation rec {
pname = "libajantv2";
version = "16.2-bugfix5";
@@ -29,7 +32,7 @@ stdenv.mkDerivation rec {
description = "AJA NTV2 Open Source Static Libs and Headers for building applications that only wish to statically link against";
homepage = "https://github.com/aja-video/ntv2";
license = with licenses; [ mit ];
- maintainers = with maintainers; [ sebtm ];
+ maintainers = with maintainers; [];
platforms = platforms.linux;
};
}
diff --git a/pkgs/development/libraries/libarchive-qt/default.nix b/pkgs/development/libraries/libarchive-qt/default.nix
index 14608b9f970d..77f498967ecc 100644
--- a/pkgs/development/libraries/libarchive-qt/default.nix
+++ b/pkgs/development/libraries/libarchive-qt/default.nix
@@ -26,6 +26,7 @@ mkDerivation rec {
meta = with lib; {
description = "A Qt based archiving solution with libarchive backend";
+ mainProgram = "archiver";
homepage = "https://gitlab.com/marcusbritanicus/libarchive-qt";
license = licenses.lgpl3Plus;
maintainers = with maintainers; [ dan4ik605743 ];
diff --git a/pkgs/development/libraries/libassuan/default.nix b/pkgs/development/libraries/libassuan/default.nix
index 60c13040ae5e..9998bfdceb7b 100644
--- a/pkgs/development/libraries/libassuan/default.nix
+++ b/pkgs/development/libraries/libassuan/default.nix
@@ -1,12 +1,12 @@
-{ fetchurl, lib, stdenv, gettext, npth, libgpg-error, buildPackages }:
+{ fetchurl, lib, stdenv, gettext, npth, libgpg-error, buildPackages, gitUpdater }:
stdenv.mkDerivation rec {
pname = "libassuan";
- version = "2.5.6";
+ version = "2.5.7";
src = fetchurl {
url = "mirror://gnupg/${pname}/${pname}-${version}.tar.bz2";
- sha256 = "sha256-6f0nIY1TlJBOTjl4j5sXQnEcPmtBaJoxqjOAvVqk9CY=";
+ sha256 = "sha256-AQMIH/wng4ouUEeRU8oQXoc9PWXYqVkygunJTH5q+3Y=";
};
outputs = [ "out" "dev" "info" ];
@@ -27,15 +27,23 @@ stdenv.mkDerivation rec {
sed -i 's,#include ,#include "${libgpg-error.dev}/include/gpg-error.h",g' $dev/include/assuan.h
'';
+ passthru.updateScript = gitUpdater {
+ url = "https://dev.gnupg.org/source/libassuan.git";
+ rev-prefix = "libassuan-";
+ ignoredVersions = ".*-base";
+ };
+
meta = with lib; {
description = "IPC library used by GnuPG and related software";
+ mainProgram = "libassuan-config";
longDescription = ''
Libassuan is a small library implementing the so-called Assuan
protocol. This protocol is used for IPC between most newer
GnuPG components. Both, server and client side functions are
provided.
'';
- homepage = "http://gnupg.org";
+ homepage = "https://gnupg.org/software/libassuan/";
+ changelog = "https://dev.gnupg.org/source/libassuan/browse/master/NEWS;libassuan-${version}";
license = licenses.lgpl2Plus;
platforms = platforms.all;
maintainers = [ ];
diff --git a/pkgs/development/libraries/libast/default.nix b/pkgs/development/libraries/libast/default.nix
index f4dae188f31c..49db79aaf978 100644
--- a/pkgs/development/libraries/libast/default.nix
+++ b/pkgs/development/libraries/libast/default.nix
@@ -24,6 +24,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
inherit (src.meta) homepage;
description = "Library of Assorted Spiffy Things";
+ mainProgram = "libast-config";
license = licenses.bsd2;
maintainers = [ maintainers.AndersonTorres ];
platforms = platforms.unix;
diff --git a/pkgs/development/libraries/libavif/default.nix b/pkgs/development/libraries/libavif/default.nix
index 2cb7920cb5f9..6df341d68eb8 100644
--- a/pkgs/development/libraries/libavif/default.nix
+++ b/pkgs/development/libraries/libavif/default.nix
@@ -19,13 +19,13 @@ in
stdenv.mkDerivation rec {
pname = "libavif";
- version = "1.0.3";
+ version = "1.0.4";
src = fetchFromGitHub {
owner = "AOMediaCodec";
repo = pname;
rev = "v${version}";
- hash = "sha256-0MLr9wdIs3c4pOAF4rlC8QNQXlrK3YGXILS9foVKfVM=";
+ hash = "sha256-9YP4Zq6dehIJanNkpAJK1aYwSOAueqonF8k2t/3B4kw=";
};
# reco: encode libaom slowest but best, decode dav1d fastest
diff --git a/pkgs/development/libraries/libbacktrace/0001-libbacktrace-avoid-libtool-wrapping-tests.patch b/pkgs/development/libraries/libbacktrace/0001-libbacktrace-avoid-libtool-wrapping-tests.patch
index 3ee3198cb229..61fd56ee0880 100644
--- a/pkgs/development/libraries/libbacktrace/0001-libbacktrace-avoid-libtool-wrapping-tests.patch
+++ b/pkgs/development/libraries/libbacktrace/0001-libbacktrace-avoid-libtool-wrapping-tests.patch
@@ -1,4 +1,4 @@
-From 1cf6b108882669f1b20c18fb5f2d6dff0fc83296 Mon Sep 17 00:00:00 2001
+From eadfee17e7d3a1c1bb2a0ff8585772b40331ebd7 Mon Sep 17 00:00:00 2001
From: Jan Tojnar
Date: Sat, 24 Dec 2022 15:31:51 +0100
Subject: [PATCH 1/4] libbacktrace: avoid libtool wrapping tests
@@ -21,7 +21,7 @@ https://autotools.info/libtool/wrappers.html
1 file changed, 23 insertions(+), 5 deletions(-)
diff --git a/Makefile.am b/Makefile.am
-index c53cbae..6eab991 100644
+index 3d67909..06ccf3f 100644
--- a/Makefile.am
+++ b/Makefile.am
@@ -107,6 +107,8 @@ check_DATA =
@@ -90,7 +90,7 @@ index c53cbae..6eab991 100644
unittest_LDADD = libbacktrace.la
BUILDTESTS += unittest
-@@ -253,7 +263,7 @@ if HAVE_OBJCOPY_DEBUGLINK
+@@ -254,7 +264,7 @@ if HAVE_OBJCOPY_DEBUGLINK
b2test_SOURCES = $(btest_SOURCES)
b2test_CFLAGS = $(libbacktrace_TEST_CFLAGS)
@@ -99,7 +99,7 @@ index c53cbae..6eab991 100644
b2test_LDADD = libbacktrace_elf_for_test.la
check_PROGRAMS += b2test
-@@ -263,7 +273,7 @@ if HAVE_DWZ
+@@ -264,7 +274,7 @@ if HAVE_DWZ
b3test_SOURCES = $(btest_SOURCES)
b3test_CFLAGS = $(libbacktrace_TEST_CFLAGS)
@@ -108,7 +108,7 @@ index c53cbae..6eab991 100644
b3test_LDADD = libbacktrace_elf_for_test.la
check_PROGRAMS += b3test
-@@ -276,6 +286,7 @@ endif HAVE_ELF
+@@ -278,6 +288,7 @@ endif HAVE_ELF
btest_SOURCES = btest.c testlib.c
btest_CFLAGS = $(libbacktrace_TEST_CFLAGS) -O
@@ -116,7 +116,7 @@ index c53cbae..6eab991 100644
btest_LDADD = libbacktrace.la
BUILDTESTS += btest
-@@ -330,6 +341,7 @@ endif HAVE_DWZ
+@@ -332,6 +343,7 @@ endif HAVE_DWZ
stest_SOURCES = stest.c
stest_CFLAGS = $(libbacktrace_TEST_CFLAGS)
@@ -124,7 +124,7 @@ index c53cbae..6eab991 100644
stest_LDADD = libbacktrace.la
BUILDTESTS += stest
-@@ -352,6 +364,7 @@ if HAVE_ELF
+@@ -354,6 +366,7 @@ if HAVE_ELF
ztest_SOURCES = ztest.c testlib.c
ztest_CFLAGS = $(libbacktrace_TEST_CFLAGS) -DSRCDIR=\"$(srcdir)\"
@@ -132,7 +132,7 @@ index c53cbae..6eab991 100644
ztest_LDADD = libbacktrace.la
ztest_alloc_LDADD = libbacktrace_alloc.la
-@@ -371,6 +384,7 @@ BUILDTESTS += ztest_alloc
+@@ -373,6 +386,7 @@ BUILDTESTS += ztest_alloc
zstdtest_SOURCES = zstdtest.c testlib.c
zstdtest_CFLAGS = $(libbacktrace_TEST_CFLAGS) -DSRCDIR=\"$(srcdir)\"
@@ -140,7 +140,7 @@ index c53cbae..6eab991 100644
zstdtest_LDADD = libbacktrace.la
zstdtest_alloc_LDADD = libbacktrace_alloc.la
-@@ -392,6 +406,7 @@ endif HAVE_ELF
+@@ -394,6 +408,7 @@ endif HAVE_ELF
edtest_SOURCES = edtest.c edtest2_build.c testlib.c
edtest_CFLAGS = $(libbacktrace_TEST_CFLAGS)
@@ -148,7 +148,7 @@ index c53cbae..6eab991 100644
edtest_LDADD = libbacktrace.la
BUILDTESTS += edtest
-@@ -422,6 +437,7 @@ BUILDTESTS += ttest
+@@ -424,6 +439,7 @@ BUILDTESTS += ttest
ttest_SOURCES = ttest.c testlib.c
ttest_CFLAGS = $(libbacktrace_TEST_CFLAGS) -pthread
@@ -156,7 +156,7 @@ index c53cbae..6eab991 100644
ttest_LDADD = libbacktrace.la
if USE_DSYMUTIL
-@@ -460,12 +476,12 @@ if HAVE_COMPRESSED_DEBUG
+@@ -472,12 +488,12 @@ if HAVE_COMPRESSED_DEBUG
ctestg_SOURCES = btest.c testlib.c
ctestg_CFLAGS = $(libbacktrace_TEST_CFLAGS)
@@ -171,7 +171,7 @@ index c53cbae..6eab991 100644
ctesta_LDADD = libbacktrace.la
BUILDTESTS += ctestg ctesta
-@@ -474,7 +490,7 @@ if HAVE_COMPRESSED_DEBUG_ZSTD
+@@ -486,7 +502,7 @@ if HAVE_COMPRESSED_DEBUG_ZSTD
ctestzstd_SOURCES = btest.c testlib.c
ctestzstd_CFLAGS = $(libbacktrace_TEST_CFLAGS)
@@ -180,7 +180,7 @@ index c53cbae..6eab991 100644
ctestzstd_LDADD = libbacktrace.la
BUILDTESTS += ctestzstd
-@@ -521,6 +537,7 @@ endif
+@@ -533,6 +549,7 @@ endif
mtest_SOURCES = mtest.c testlib.c
mtest_CFLAGS = $(libbacktrace_TEST_CFLAGS) -O
@@ -188,7 +188,7 @@ index c53cbae..6eab991 100644
mtest_LDADD = libbacktrace.la
BUILDTESTS += mtest
-@@ -553,6 +570,7 @@ if HAVE_ELF
+@@ -565,6 +582,7 @@ if HAVE_ELF
xztest_SOURCES = xztest.c testlib.c
xztest_CFLAGS = $(libbacktrace_TEST_CFLAGS) -DSRCDIR=\"$(srcdir)\"
@@ -197,5 +197,5 @@ index c53cbae..6eab991 100644
xztest_alloc_SOURCES = $(xztest_SOURCES)
--
-2.38.1
+2.43.1
diff --git a/pkgs/development/libraries/libbacktrace/0002-libbacktrace-Allow-configuring-debug-dir.patch b/pkgs/development/libraries/libbacktrace/0002-libbacktrace-Allow-configuring-debug-dir.patch
index 37da7ef4d964..ee6063875091 100644
--- a/pkgs/development/libraries/libbacktrace/0002-libbacktrace-Allow-configuring-debug-dir.patch
+++ b/pkgs/development/libraries/libbacktrace/0002-libbacktrace-Allow-configuring-debug-dir.patch
@@ -1,4 +1,4 @@
-From f409ee343fe6cdc059bb411746f27a515aec66a8 Mon Sep 17 00:00:00 2001
+From 2ceaa9bc8a9a0c8a02806a92e19bd21b3fccf3a0 Mon Sep 17 00:00:00 2001
From: Jan Tojnar
Date: Sat, 24 Dec 2022 16:46:18 +0100
Subject: [PATCH 2/4] libbacktrace: Allow configuring debug dir
@@ -14,13 +14,13 @@ the path can be changed. The same flag is supported by gdb:
https://github.com/bminor/binutils-gdb/blob/095f84c7e3cf85cd68c657c46b80be078f336bc9/gdb/configure.ac#L113-L115
---
- Makefile.am | 11 ++++++-----
+ Makefile.am | 13 +++++++------
configure.ac | 8 ++++++++
elf.c | 4 ++--
- 3 files changed, 16 insertions(+), 7 deletions(-)
+ 3 files changed, 17 insertions(+), 8 deletions(-)
diff --git a/Makefile.am b/Makefile.am
-index 6eab991..da443c1 100644
+index 06ccf3f..6304faa 100644
--- a/Makefile.am
+++ b/Makefile.am
@@ -33,7 +33,8 @@ ACLOCAL_AMFLAGS = -I config
@@ -53,7 +53,7 @@ index 6eab991..da443c1 100644
$(SED) "s%$$SEARCH%$$REPLACE%" \
$< \
> $@.tmp
-@@ -468,7 +469,7 @@ endif HAVE_OBJCOPY_DEBUGLINK
+@@ -474,13 +475,13 @@ endif HAVE_OBJCOPY_DEBUGLINK
%_buildid: %
./install-debuginfo-for-buildid.sh \
@@ -62,8 +62,15 @@ index 6eab991..da443c1 100644
$<
$(OBJCOPY) --strip-debug $< $@
+ %_buildidfull: %
+ ./install-debuginfo-for-buildid.sh \
+- "$(TEST_BUILD_ID_DIR)" \
++ "$(TEST_DEBUG_DIR)/.build-id" \
+ $<
+ $(OBJCOPY) --strip-all $< $@
+
diff --git a/configure.ac b/configure.ac
-index 7f122cb..bb590ab 100644
+index 69304ea..aeb2ee9 100644
--- a/configure.ac
+++ b/configure.ac
@@ -67,6 +67,14 @@ AM_MAINTAINER_MODE
@@ -82,7 +89,7 @@ index 7f122cb..bb590ab 100644
# the wrong, non-multilib-adjusted value will be used in multilibs.
# As a side effect, we have to subst CFLAGS ourselves.
diff --git a/elf.c b/elf.c
-index e82ecc5..8b1189c 100644
+index 3ef07bb..21fbe4f 100644
--- a/elf.c
+++ b/elf.c
@@ -856,7 +856,7 @@ elf_readlink (struct backtrace_state *state, const char *filename,
@@ -104,5 +111,5 @@ index e82ecc5..8b1189c 100644
const char * const suffix = ".debug";
const size_t suffix_len = strlen (suffix);
--
-2.38.1
+2.43.1
diff --git a/pkgs/development/libraries/libbacktrace/0003-libbacktrace-Support-multiple-build-id-directories.patch b/pkgs/development/libraries/libbacktrace/0003-libbacktrace-Support-multiple-build-id-directories.patch
index f223217a8313..7b8f8f0f61dc 100644
--- a/pkgs/development/libraries/libbacktrace/0003-libbacktrace-Support-multiple-build-id-directories.patch
+++ b/pkgs/development/libraries/libbacktrace/0003-libbacktrace-Support-multiple-build-id-directories.patch
@@ -1,4 +1,4 @@
-From de122af5382d8017cae63bdee946206c6c6c23ab Mon Sep 17 00:00:00 2001
+From 47c3503938c863d55c835463d8815b5fa4ab8326 Mon Sep 17 00:00:00 2001
From: Jan Tojnar
Date: Sat, 24 Dec 2022 20:19:27 +0100
Subject: [PATCH 3/4] libbacktrace: Support multiple build id directories
@@ -16,7 +16,7 @@ to debug data installed using distribution’s package manager.
1 file changed, 36 insertions(+), 21 deletions(-)
diff --git a/elf.c b/elf.c
-index 8b1189c..65c647a 100644
+index 21fbe4f..ccffa95 100644
--- a/elf.c
+++ b/elf.c
@@ -865,12 +865,12 @@ elf_readlink (struct backtrace_state *state, const char *filename,
@@ -34,7 +34,7 @@ index 8b1189c..65c647a 100644
const size_t prefix_len = strlen (prefix);
const char * const suffix = ".debug";
const size_t suffix_len = strlen (suffix);
-@@ -6936,27 +6936,42 @@ elf_add (struct backtrace_state *state, const char *filename, int descriptor,
+@@ -6947,27 +6947,42 @@ elf_add (struct backtrace_state *state, const char *filename, int descriptor,
if (buildid_data != NULL)
{
int d;
@@ -53,9 +53,9 @@ index 8b1189c..65c647a 100644
- elf_release_view (state, &debuglink_view, error_callback, data);
- if (debugaltlink_view_valid)
- elf_release_view (state, &debugaltlink_view, error_callback, data);
-- ret = elf_add (state, "", d, NULL, 0, base_address, error_callback,
-- data, fileline_fn, found_sym, found_dwarf, NULL, 0,
-- 1, NULL, 0);
+- ret = elf_add (state, "", d, NULL, 0, base_address, opd,
+- error_callback, data, fileline_fn, found_sym,
+- found_dwarf, NULL, 0, 1, NULL, 0);
- if (ret < 0)
- backtrace_close (d, error_callback, data);
- else if (descriptor >= 0)
@@ -81,9 +81,9 @@ index 8b1189c..65c647a 100644
+ elf_release_view (state, &debuglink_view, error_callback, data);
+ if (debugaltlink_view_valid)
+ elf_release_view (state, &debugaltlink_view, error_callback, data);
-+ ret = elf_add (state, "", d, NULL, 0, base_address, error_callback,
-+ data, fileline_fn, found_sym, found_dwarf, NULL, 0,
-+ 1, NULL, 0);
++ ret = elf_add (state, "", d, NULL, 0, base_address, opd,
++ error_callback, data, fileline_fn, found_sym,
++ found_dwarf, NULL, 0, 1, NULL, 0);
+ if (ret < 0)
+ backtrace_close (d, error_callback, data);
+ else if (descriptor >= 0)
@@ -97,5 +97,5 @@ index 8b1189c..65c647a 100644
if (buildid_view_valid)
--
-2.38.1
+2.43.1
diff --git a/pkgs/development/libraries/libbacktrace/0004-libbacktrace-Support-NIX_DEBUG_INFO_DIRS-environment.patch b/pkgs/development/libraries/libbacktrace/0004-libbacktrace-Support-NIX_DEBUG_INFO_DIRS-environment.patch
index 9abbbedb9eaa..2da495e95521 100644
--- a/pkgs/development/libraries/libbacktrace/0004-libbacktrace-Support-NIX_DEBUG_INFO_DIRS-environment.patch
+++ b/pkgs/development/libraries/libbacktrace/0004-libbacktrace-Support-NIX_DEBUG_INFO_DIRS-environment.patch
@@ -1,4 +1,4 @@
-From a3b7510e4c9e7201a4301f2a45d8569b06354607 Mon Sep 17 00:00:00 2001
+From 884ef7c843be906d62e4240c2a0e885dcd5a5726 Mon Sep 17 00:00:00 2001
From: Jan Tojnar
Date: Sat, 24 Dec 2022 20:30:22 +0100
Subject: [PATCH 4/4] libbacktrace: Support NIX_DEBUG_INFO_DIRS environment
@@ -13,10 +13,10 @@ Let’s make debug data lookup work on NixOS just like in gdb.
1 file changed, 9 insertions(+), 2 deletions(-)
diff --git a/elf.c b/elf.c
-index 65c647a..5c8abc0 100644
+index ccffa95..e86950d 100644
--- a/elf.c
+++ b/elf.c
-@@ -6935,11 +6935,18 @@ elf_add (struct backtrace_state *state, const char *filename, int descriptor,
+@@ -6946,11 +6946,18 @@ elf_add (struct backtrace_state *state, const char *filename, int descriptor,
if (buildid_data != NULL)
{
@@ -38,5 +38,5 @@ index 65c647a..5c8abc0 100644
debug_dir = strtok (debug_directories, ":");
while (debug_dir != NULL)
--
-2.38.1
+2.43.1
diff --git a/pkgs/development/libraries/libbacktrace/default.nix b/pkgs/development/libraries/libbacktrace/default.nix
index 288185f92281..32c199a57c4e 100644
--- a/pkgs/development/libraries/libbacktrace/default.nix
+++ b/pkgs/development/libraries/libbacktrace/default.nix
@@ -9,13 +9,13 @@
stdenv.mkDerivation {
pname = "libbacktrace";
- version = "unstable-2023-11-30";
+ version = "0-unstable-2024-03-02";
src = fetchFromGitHub {
owner = "ianlancetaylor";
repo = "libbacktrace";
- rev = "14818b7783eeb9a56c3f0fca78cefd3143f8c5f6";
- sha256 = "DQZQsqzeQ/0v87bfqs6sXqS2M5Tunc1OydTWRSB3PCw=";
+ rev = "28824f2cc9069e3fdc39d3702acdf753e35c41b4";
+ sha256 = "1k1O1GT22hZAWPF8NYP0y4qe+e3pGfzT9Mz2TH+H/v4=";
};
patches = [
diff --git a/pkgs/development/libraries/libbap/default.nix b/pkgs/development/libraries/libbap/default.nix
index ebbf02603cbb..3ed92edd78e1 100644
--- a/pkgs/development/libraries/libbap/default.nix
+++ b/pkgs/development/libraries/libbap/default.nix
@@ -1,4 +1,4 @@
-{ lib, stdenv, fetchFromGitHub, bap, ocaml, findlib, ctypes, autoreconfHook,
+{ lib, stdenv, fetchFromGitHub, bap, ocaml, findlib, ctypes, ctypes-foreign, autoreconfHook,
which }:
stdenv.mkDerivation {
@@ -13,7 +13,7 @@ stdenv.mkDerivation {
};
nativeBuildInputs = [ autoreconfHook which ocaml findlib ];
- buildInputs = [ bap ctypes ];
+ buildInputs = [ bap ctypes ctypes-foreign ];
preInstall = ''
mkdir -p $out/lib
diff --git a/pkgs/development/libraries/libbsd/default.nix b/pkgs/development/libraries/libbsd/default.nix
index 4f06eb7f8624..9e80d17b8a03 100644
--- a/pkgs/development/libraries/libbsd/default.nix
+++ b/pkgs/development/libraries/libbsd/default.nix
@@ -1,25 +1,18 @@
{ lib
, stdenv
-, fetchFromGitLab
-, fetchpatch
+, fetchurl
, autoreconfHook
, libmd
, gitUpdater
}:
-# Run `./get-version` for the new value when bumping the Git revision.
-let gitVersion = "0.11.7-55-g73b2"; in
-
-stdenv.mkDerivation {
+stdenv.mkDerivation rec {
pname = "libbsd";
- version = "unstable-2023-04-29";
+ version = "0.11.8";
- src = fetchFromGitLab {
- domain = "gitlab.freedesktop.org";
- owner = "libbsd";
- repo = "libbsd";
- rev = "73b25a8f871b3a20f6ff76679358540f95d7dbfd";
- hash = "sha256-LS28taIMjRCl6xqg75eYOIrTDl8PzSa+OvrdiEOP1+U=";
+ src = fetchurl {
+ url = "https://libbsd.freedesktop.org/releases/${pname}-${version}.tar.xz";
+ hash = "sha256-Vf36Jpb7TVWlkvqa0Uqd+JfHsACN2zswxBmRSEH4XzM=";
};
outputs = [ "out" "dev" "man" ];
@@ -31,24 +24,12 @@ stdenv.mkDerivation {
nativeBuildInputs = [ autoreconfHook ];
propagatedBuildInputs = [ libmd ];
- patches = [
- # Fix `{get,set}progname(3bsd)` conditionalization
- # https://gitlab.freedesktop.org/libbsd/libbsd/-/issues/24
- (fetchpatch {
- url = "https://github.com/emilazy/libbsd/commit/0381f8d92873c5a19ced3ff861ee8ffe7825953e.patch";
- hash = "sha256-+RMg5eHLgC4gyX9zXM0ttNf7rd9E3UzJX/7UVCYGXx4=";
- })
- ] ++ lib.optionals stdenv.isDarwin [
+ patches = lib.optionals stdenv.isDarwin [
# Temporary build system hack from upstream maintainer
# https://gitlab.freedesktop.org/libbsd/libbsd/-/issues/19#note_2017684
./darwin-fix-libbsd.sym.patch
];
- postPatch = ''
- substituteInPlace configure.ac \
- --replace 'm4_esyscmd([./get-version])' '[${gitVersion}]'
- '';
-
passthru.updateScript = gitUpdater {
# No nicer place to find latest release.
url = "https://gitlab.freedesktop.org/libbsd/libbsd.git";
diff --git a/pkgs/development/libraries/libbson/default.nix b/pkgs/development/libraries/libbson/default.nix
deleted file mode 100644
index e03d7c194847..000000000000
--- a/pkgs/development/libraries/libbson/default.nix
+++ /dev/null
@@ -1,23 +0,0 @@
-{ fetchFromGitHub, perl, lib, stdenv, cmake }:
-
-stdenv.mkDerivation rec {
- pname = "libbson";
- version = "1.9.5";
-
- src = fetchFromGitHub {
- owner = "mongodb";
- repo = "libbson";
- rev = version;
- sha256 = "16rmzxhhmbvhp4q6qac5j9c74z2pcg5raag5w16mynzikdd2l05b";
- };
-
- nativeBuildInputs = [ cmake ];
- buildInputs = [ perl ];
-
- meta = with lib; {
- description = "A C Library for parsing, editing, and creating BSON documents";
- homepage = "https://github.com/mongodb/libbson";
- license = licenses.asl20;
- platforms = platforms.all;
- };
-}
diff --git a/pkgs/development/libraries/libbytesize/default.nix b/pkgs/development/libraries/libbytesize/default.nix
index 6604bb322294..08fc56931250 100644
--- a/pkgs/development/libraries/libbytesize/default.nix
+++ b/pkgs/development/libraries/libbytesize/default.nix
@@ -49,6 +49,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
homepage = "https://github.com/storaged-project/libbytesize";
description = "A tiny library providing a C 'class' for working with arbitrary big sizes in bytes";
+ mainProgram = "bscalc";
license = lib.licenses.lgpl2Plus;
maintainers = with lib.maintainers; [ AndersonTorres ];
platforms = lib.platforms.linux;
diff --git a/pkgs/development/libraries/libcanberra/default.nix b/pkgs/development/libraries/libcanberra/default.nix
index cda60e4d215f..684c6d9d242e 100644
--- a/pkgs/development/libraries/libcanberra/default.nix
+++ b/pkgs/development/libraries/libcanberra/default.nix
@@ -60,6 +60,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "An implementation of the XDG Sound Theme and Name Specifications";
+ mainProgram = "canberra-gtk-play";
longDescription = ''
libcanberra is an implementation of the XDG Sound Theme and Name
Specifications, for generating event sounds on free desktops
diff --git a/pkgs/development/libraries/libcbor/default.nix b/pkgs/development/libraries/libcbor/default.nix
index 43a73d374ea5..349f4589172a 100644
--- a/pkgs/development/libraries/libcbor/default.nix
+++ b/pkgs/development/libraries/libcbor/default.nix
@@ -1,7 +1,6 @@
{ lib
, stdenv
, fetchFromGitHub
-, fetchpatch
, cmake
, cmocka
@@ -14,28 +13,17 @@
stdenv.mkDerivation (finalAttrs: {
pname = "libcbor";
- version = "0.10.2";
+ version = "0.11.0";
src = fetchFromGitHub {
owner = "PJK";
repo = "libcbor";
rev = "v${finalAttrs.version}";
- hash = "sha256-eE11hYPsOKqfoX8fx/oYfOAichhUe4mMpNQNVZ6vAUI=";
+ hash = "sha256-N1xYkZw/6lX/nX/TE6/pVuEFgSyDiUJ50msK42NrKwI=";
};
outputs = [ "out" "dev" ];
- patches = [
- # Pull fix pending upstream inclusion to support
- # `CMAKE_INSTALL_INCLUDEDIR`:
- # https://github.com/PJK/libcbor/pull/297
- (fetchpatch {
- name = "includedir.patch";
- url = "https://github.com/PJK/libcbor/commit/d00a63e6d6858a2ed6be9b431b42799ed2c99ad8.patch";
- hash = "sha256-kBCSbAHOCGOs/4Yu6Vh0jcmzA/jYPkkPXPGPrptRfyk=";
- })
- ];
-
strictDeps = true;
nativeBuildInputs = [ cmake ];
diff --git a/pkgs/development/libraries/libcdada/default.nix b/pkgs/development/libraries/libcdada/default.nix
index e78d845cf5be..5d1d295f74a8 100644
--- a/pkgs/development/libraries/libcdada/default.nix
+++ b/pkgs/development/libraries/libcdada/default.nix
@@ -6,13 +6,13 @@
stdenv.mkDerivation rec {
pname = "libcdada";
- version = "0.4.0";
+ version = "0.5.2";
src = fetchFromGitHub {
owner = "msune";
repo = "libcdada";
rev = "v${version}";
- hash = "sha256-vUasCukDRZYB67eu87ckEZG9i6rsNf0aKY2kZsVezRE=";
+ hash = "sha256-rrBmsXBatvaf/u/UVHpTm9YUo+gsQv1rtuprpRF/3kU=";
};
nativeBuildInputs = [
diff --git a/pkgs/development/libraries/libcdaudio/default.nix b/pkgs/development/libraries/libcdaudio/default.nix
index 134f9d7f8f33..fa873672dbcf 100644
--- a/pkgs/development/libraries/libcdaudio/default.nix
+++ b/pkgs/development/libraries/libcdaudio/default.nix
@@ -11,6 +11,7 @@ stdenv.mkDerivation rec {
meta = {
description = "A portable library for controlling audio CDs";
+ mainProgram = "libcdaudio-config";
homepage = "https://libcdaudio.sourceforge.net";
platforms = lib.platforms.linux;
license = lib.licenses.lgpl2;
diff --git a/pkgs/development/libraries/libcef/default.nix b/pkgs/development/libraries/libcef/default.nix
index d0a9092f6898..d6d098110af8 100644
--- a/pkgs/development/libraries/libcef/default.nix
+++ b/pkgs/development/libraries/libcef/default.nix
@@ -70,16 +70,16 @@ let
projectArch = "x86_64";
};
};
- platforms."aarch64-linux".sha256 = "12sp58nxa3nv800badv62vpvc30hyb0ykywdaxgv9y8pswp9lq0z";
- platforms."x86_64-linux".sha256 = "0vzzwq1k6bv9d209yg3samvfnfwj7s58y9r3p3pd98wxa9iyzf4j";
+ platforms."aarch64-linux".sha256 = "16sbfk599h96wcsmpbxlwsvq0n1pssmm8dpwmjsqfrn1464dvs68";
+ platforms."x86_64-linux".sha256 = "1wa4nv28saz96kar9svdarfz6c4rnbcqz0rqxzl9zclnhfzhqdiw";
platformInfo = builtins.getAttr stdenv.hostPlatform.system platforms;
in
stdenv.mkDerivation rec {
pname = "cef-binary";
- version = "117.2.4";
- gitRevision = "5053a95";
- chromiumVersion = "117.0.5938.150";
+ version = "121.3.13";
+ gitRevision = "5c4a81b";
+ chromiumVersion = "121.0.6167.184";
src = fetchurl {
url = "https://cef-builds.spotifycdn.com/cef_binary_${version}+g${gitRevision}+chromium-${chromiumVersion}_${platformInfo.platformStr}_minimal.tar.bz2";
diff --git a/pkgs/development/libraries/libchardet/default.nix b/pkgs/development/libraries/libchardet/default.nix
index 47ce911a6951..a362e8ab2bb7 100644
--- a/pkgs/development/libraries/libchardet/default.nix
+++ b/pkgs/development/libraries/libchardet/default.nix
@@ -17,6 +17,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Mozilla's Universal Charset Detector C/C++ API";
+ mainProgram = "chardet-config";
homepage = "ftp://ftp.oops.org/pub/oops/libchardet/index.html";
license = licenses.mpl11;
maintainers = [ maintainers.abbradar ];
diff --git a/pkgs/development/libraries/libcifpp/default.nix b/pkgs/development/libraries/libcifpp/default.nix
index c98068f2809a..a41736004ecb 100644
--- a/pkgs/development/libraries/libcifpp/default.nix
+++ b/pkgs/development/libraries/libcifpp/default.nix
@@ -10,13 +10,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "libcifpp";
- version = "6.1.0";
+ version = "7.0.3";
src = fetchFromGitHub {
owner = "PDB-REDO";
repo = "libcifpp";
rev = "refs/tags/v${finalAttrs.version}";
- hash = "sha256-MddldYpvZHgAb/ndtWKdAf0TzKIYJalaywmSRZCtBmc=";
+ hash = "sha256-YRK648gJ2UlgeG5GHMjTid1At0lYt7Zqu4/+O5WG/OM=";
};
nativeBuildInputs = [
diff --git a/pkgs/development/libraries/libcork/default.nix b/pkgs/development/libraries/libcork/default.nix
index 305ba24e280e..87a068c24d1a 100644
--- a/pkgs/development/libraries/libcork/default.nix
+++ b/pkgs/development/libraries/libcork/default.nix
@@ -44,6 +44,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/dcreager/libcork";
description = "A simple, easily embeddable cross-platform C library";
+ mainProgram = "cork-hash";
license = licenses.bsd3;
platforms = platforms.unix;
maintainers = with maintainers; [ lovesegfault ];
diff --git a/pkgs/development/libraries/libcredis/default.nix b/pkgs/development/libraries/libcredis/default.nix
index e0224d865d55..3515bce2da20 100644
--- a/pkgs/development/libraries/libcredis/default.nix
+++ b/pkgs/development/libraries/libcredis/default.nix
@@ -22,6 +22,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "C client library for Redis (key-value database)";
+ mainProgram = "credis-test";
homepage = "https://code.google.com/archive/p/credis/";
license = licenses.bsd3; # from homepage
platforms = platforms.all;
diff --git a/pkgs/development/libraries/libcryptui/default.nix b/pkgs/development/libraries/libcryptui/default.nix
index 89b7dfa66444..c0537e7e367b 100644
--- a/pkgs/development/libraries/libcryptui/default.nix
+++ b/pkgs/development/libraries/libcryptui/default.nix
@@ -30,6 +30,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Interface components for OpenPGP";
+ mainProgram = "seahorse-daemon";
homepage = "https://gitlab.gnome.org/GNOME/libcryptui";
license = licenses.lgpl21Plus;
platforms = platforms.unix;
diff --git a/pkgs/development/libraries/libctl/default.nix b/pkgs/development/libraries/libctl/default.nix
index d7fed2415df0..6969f6166beb 100644
--- a/pkgs/development/libraries/libctl/default.nix
+++ b/pkgs/development/libraries/libctl/default.nix
@@ -24,6 +24,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Guile-based library for supporting flexible control files in scientific simulations";
+ mainProgram = "gen-ctl-io";
homepage = "https://github.com/NanoComp/libctl";
license = licenses.gpl2Only;
maintainers = with maintainers; [ carpinchomug ];
diff --git a/pkgs/development/libraries/libdatachannel/default.nix b/pkgs/development/libraries/libdatachannel/default.nix
index 64351a85a9ae..9c090399dbc5 100644
--- a/pkgs/development/libraries/libdatachannel/default.nix
+++ b/pkgs/development/libraries/libdatachannel/default.nix
@@ -14,13 +14,13 @@
stdenv.mkDerivation rec {
pname = "libdatachannel";
- version = "0.19.5";
+ version = "0.20.2";
src = fetchFromGitHub {
owner = "paullouisageneau";
- repo = pname;
+ repo = "libdatachannel";
rev = "v${version}";
- hash = "sha256-XTfe0NqDQWx4ISgEiUbzACH9csaG+IrUvwss07dnz80=";
+ hash = "sha256-bBSsD845iwCMA7TdMBxzezjNbMaGwvRq6rvY/8NLYRU=";
};
outputs = [ "out" "dev" ];
diff --git a/pkgs/development/libraries/libdatovka/default.nix b/pkgs/development/libraries/libdatovka/default.nix
index 99fce98b1926..7d6896efa639 100644
--- a/pkgs/development/libraries/libdatovka/default.nix
+++ b/pkgs/development/libraries/libdatovka/default.nix
@@ -15,11 +15,11 @@
stdenv.mkDerivation rec {
pname = "libdatovka";
- version = "0.6.0";
+ version = "0.6.2";
src = fetchurl {
url = "https://gitlab.nic.cz/datovka/libdatovka/-/archive/v${version}/libdatovka-v${version}.tar.gz";
- sha256 = "sha256-+n2gKEi0TyTl/zEdJYpX1oPfGSftk6TzVjbVOuIMU3Q=";
+ sha256 = "sha256-4JFPlEpSFv5t3p/NGq0cfn+neJj2M0BNWWd6nlCjHE0=";
};
patches = [
diff --git a/pkgs/development/libraries/libdazzle/default.nix b/pkgs/development/libraries/libdazzle/default.nix
index ec616b4a5fab..38ba2e3b3615 100644
--- a/pkgs/development/libraries/libdazzle/default.nix
+++ b/pkgs/development/libraries/libdazzle/default.nix
@@ -73,6 +73,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A library to delight your users with fancy features";
+ mainProgram = "dazzle-list-counters";
longDescription = ''
The libdazzle library is a companion library to GObject and GTK. It
provides various features that we wish were in the underlying library but
diff --git a/pkgs/development/libraries/libdbi-drivers/default.nix b/pkgs/development/libraries/libdbi-drivers/default.nix
index 461a3c0b7bbd..f1352cd47b92 100644
--- a/pkgs/development/libraries/libdbi-drivers/default.nix
+++ b/pkgs/development/libraries/libdbi-drivers/default.nix
@@ -16,6 +16,11 @@ stdenv.mkDerivation rec {
buildInputs = [ libdbi sqlite postgresql ] ++ lib.optional (libmysqlclient != null) libmysqlclient;
+ patches = [
+ # https://sourceforge.net/p/libdbi-drivers/libdbi-drivers/ci/24f48b86c8988ee3aaebc5f303d71e9d789f77b6
+ ./libdbi-drivers-0.9.0-buffer_overflow.patch
+ ];
+
postPatch = ''
sed -i '/SQLITE3_LIBS/ s/-lsqlite/-lsqlite3/' configure;
'';
@@ -41,6 +46,11 @@ stdenv.mkDerivation rec {
"--with-pgsql_libdir=${postgresql.lib}/lib"
];
+ env.NIX_CFLAGS_COMPILE = toString (lib.optionals stdenv.cc.isClang [
+ "-Wno-error=incompatible-function-pointer-types"
+ "-Wno-error=int-conversion"
+ ]);
+
installFlags = [ "DESTDIR=\${out}" ];
postInstall = ''
diff --git a/pkgs/development/libraries/libdbi-drivers/libdbi-drivers-0.9.0-buffer_overflow.patch b/pkgs/development/libraries/libdbi-drivers/libdbi-drivers-0.9.0-buffer_overflow.patch
new file mode 100644
index 000000000000..1891c1a143ba
--- /dev/null
+++ b/pkgs/development/libraries/libdbi-drivers/libdbi-drivers-0.9.0-buffer_overflow.patch
@@ -0,0 +1,11 @@
+--- a/drivers/sqlite3/dbd_sqlite3.c
++++ b/drivers/sqlite3/dbd_sqlite3.c
+@@ -1451,7 +1451,7 @@ static int getTables(char** tables, int
+ break;
+ }
+
+- word_lower[item-start+1];
++ char word_lower[item-start+1];
+ strncpy(word_lower,start,item-start);
+ word_lower[item-start] = '\0';
+ int i = 0;
diff --git a/pkgs/development/libraries/libde265/default.nix b/pkgs/development/libraries/libde265/default.nix
index 6259fa5b4839..e4dfba60fe99 100644
--- a/pkgs/development/libraries/libde265/default.nix
+++ b/pkgs/development/libraries/libde265/default.nix
@@ -40,6 +40,7 @@ stdenv.mkDerivation (finalAttrs: rec {
meta = {
homepage = "https://github.com/strukturag/libde265";
description = "Open h.265 video codec implementation";
+ mainProgram = "dec265";
license = lib.licenses.lgpl3;
platforms = lib.platforms.unix;
maintainers = with lib.maintainers; [ gebner ];
diff --git a/pkgs/development/libraries/libdeflate/default.nix b/pkgs/development/libraries/libdeflate/default.nix
index 3bbf449d89aa..52bf3a272bab 100644
--- a/pkgs/development/libraries/libdeflate/default.nix
+++ b/pkgs/development/libraries/libdeflate/default.nix
@@ -4,15 +4,17 @@
, fixDarwinDylibNames
, pkgsStatic
, cmake
+, testers
}:
-stdenv.mkDerivation rec {
+
+stdenv.mkDerivation (finalAttrs: {
pname = "libdeflate";
version = "1.19";
src = fetchFromGitHub {
owner = "ebiggers";
repo = "libdeflate";
- rev = "v${version}";
+ rev = "v${finalAttrs.version}";
sha256 = "sha256-HgZ2an1PCPhiLsd3ZA7tgZ1wVTOdHzDr8FHrqJhEbQw=";
};
@@ -21,14 +23,20 @@ stdenv.mkDerivation rec {
nativeBuildInputs = [ cmake ]
++ lib.optional stdenv.hostPlatform.isDarwin fixDarwinDylibNames;
- passthru.tests.static = pkgsStatic.libdeflate;
+ passthru.tests = {
+ static = pkgsStatic.libdeflate;
+ pkg-config = testers.hasPkgConfigModules {
+ package = finalAttrs.finalPackage;
+ };
+ };
meta = with lib; {
description = "Fast DEFLATE/zlib/gzip compressor and decompressor";
license = licenses.mit;
homepage = "https://github.com/ebiggers/libdeflate";
- changelog = "https://github.com/ebiggers/libdeflate/blob/v${version}/NEWS.md";
- platforms = platforms.unix;
+ changelog = "https://github.com/ebiggers/libdeflate/blob/v${finalAttrs.version}/NEWS.md";
+ platforms = platforms.unix ++ platforms.windows;
maintainers = with maintainers; [ orivej kaction ];
+ pkgConfigModules = [ "libdeflate" ];
};
-}
+})
diff --git a/pkgs/development/libraries/libdeltachat/Cargo.lock b/pkgs/development/libraries/libdeltachat/Cargo.lock
index 551b2d30e951..ae5294d3104f 100644
--- a/pkgs/development/libraries/libdeltachat/Cargo.lock
+++ b/pkgs/development/libraries/libdeltachat/Cargo.lock
@@ -32,9 +32,9 @@ checksum = "f26201604c87b1e01bd3d98f8d5d9a8fcbb815e8cedb41ffccbeb4bf593a35fe"
[[package]]
name = "aes"
-version = "0.8.3"
+version = "0.8.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ac1f845298e95f983ff1944b728ae08b8cebab80d684f0a832ed0fc74dfa27e2"
+checksum = "b169f7a6d4742236a0a00c541b845991d0ac43e546831af1249753ab4c3aa3a0"
dependencies = [
"cfg-if",
"cipher",
@@ -43,9 +43,9 @@ dependencies = [
[[package]]
name = "ahash"
-version = "0.8.6"
+version = "0.8.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "91429305e9f0a25f6205c5b8e0d2db09e0708a7a6df0f42212bb56c32c8ac97a"
+checksum = "e89da841a80418a9b391ebaea17f5c112ffaaa96f621d2c285b5174da76b9011"
dependencies = [
"cfg-if",
"once_cell",
@@ -115,15 +115,15 @@ dependencies = [
[[package]]
name = "anstyle"
-version = "1.0.4"
+version = "1.0.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7079075b41f533b8c61d2a4d073c4676e1f8b249ff94a393b0595db304e0dd87"
+checksum = "8901269c6307e8d93993578286ac0edf7f195079ffff5ebdeea6a59ffb7e36bc"
[[package]]
name = "anyhow"
-version = "1.0.75"
+version = "1.0.80"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a4668cab20f66d8d020e1fbc0ebe47217433c1b6c8f2040faf858554e394ace6"
+checksum = "5ad32ce52e4161730f7098c077cd2ed6229b5804ccf99e5366be1ab72a98b4e1"
dependencies = [
"backtrace",
]
@@ -159,7 +159,7 @@ dependencies = [
"num-traits",
"rusticata-macros",
"thiserror",
- "time 0.3.30",
+ "time 0.3.34",
]
[[package]]
@@ -198,12 +198,12 @@ dependencies = [
[[package]]
name = "async-channel"
-version = "2.1.1"
+version = "2.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ca33f4bc4ed1babef42cad36cc1f51fa88be00420404e5b1e80ab1b18f7678c"
+checksum = "f28243a43d821d11341ab73c80bed182dc015c514b951616cf79bd4af39af0c3"
dependencies = [
"concurrent-queue",
- "event-listener 4.0.0",
+ "event-listener 5.2.0",
"event-listener-strategy",
"futures-core",
"pin-project-lite",
@@ -224,12 +224,12 @@ dependencies = [
[[package]]
name = "async-imap"
-version = "0.9.4"
+version = "0.9.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d736a74edf6c327b53dd9c932eae834253470ac5f0c55770e7e133bcbf986362"
+checksum = "98892ebee4c05fc66757e600a7466f0d9bfcde338f645d64add323789f26cb36"
dependencies = [
- "async-channel 2.1.1",
- "base64 0.21.5",
+ "async-channel 2.2.0",
+ "base64 0.21.7",
"bytes",
"chrono",
"futures",
@@ -284,13 +284,13 @@ dependencies = [
[[package]]
name = "async-trait"
-version = "0.1.74"
+version = "0.1.77"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a66537f1bb974b254c98ed142ff995236e81b9d0fe4db0575f46612cb15eb0f9"
+checksum = "c980ee35e870bd1a4d2c8294d4c04d0499e67bca1e4b5cefcc693c2fa00caea9"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.52",
]
[[package]]
@@ -315,19 +315,20 @@ checksum = "d468802bab17cbc0cc575e9b053f41e72aa36bfa6b7f55e3529ffa43161b97fa"
[[package]]
name = "axum"
-version = "0.6.20"
+version = "0.7.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3b829e4e32b91e643de6eafe82b1d90675f5874230191a4ffbc1b336dec4d6bf"
+checksum = "1236b4b292f6c4d6dc34604bb5120d85c3fe1d1aa596bd5cc52ca054d13e7b9e"
dependencies = [
"async-trait",
"axum-core",
- "base64 0.21.5",
- "bitflags 1.3.2",
+ "base64 0.21.7",
"bytes",
"futures-util",
- "http",
- "http-body",
- "hyper",
+ "http 1.1.0",
+ "http-body 1.0.0",
+ "http-body-util",
+ "hyper 1.2.0",
+ "hyper-util",
"itoa",
"matchit",
"memchr",
@@ -346,23 +347,28 @@ dependencies = [
"tower",
"tower-layer",
"tower-service",
+ "tracing",
]
[[package]]
name = "axum-core"
-version = "0.3.4"
+version = "0.4.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "759fa577a247914fd3f7f76d62972792636412fbfd634cd452f6a385a74d2d2c"
+checksum = "a15c63fd72d41492dc4f497196f5da1fb04fb7529e631d73630d1b491e47a2e3"
dependencies = [
"async-trait",
"bytes",
"futures-util",
- "http",
- "http-body",
+ "http 1.1.0",
+ "http-body 1.0.0",
+ "http-body-util",
"mime",
+ "pin-project-lite",
"rustversion",
+ "sync_wrapper",
"tower-layer",
"tower-service",
+ "tracing",
]
[[package]]
@@ -412,9 +418,9 @@ checksum = "9e1b586273c5702936fe7b7d6896644d8be71e6314cfe09d3167c95f712589e8"
[[package]]
name = "base64"
-version = "0.21.5"
+version = "0.21.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "35636a1494ede3b646cc98f74f8e62c773a38a659ebc777a2cf26b9b74171df9"
+checksum = "9d297deb1925b89f2ccc13d7635fa0714f12c87adce1c75356b39ca9b7178567"
[[package]]
name = "base64ct"
@@ -445,9 +451,9 @@ checksum = "bef38d45163c2f1dde094a7dfd33ccf595c92905c8f8f4fdc18d06fb1037718a"
[[package]]
name = "bitflags"
-version = "2.4.1"
+version = "2.4.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "327762f6e5a765692301e5bb513e0d9fef63be86bbc14528052b1cd3e6f03e07"
+checksum = "ed570934406eb16438a4e976b1b4500774099c13b8cb96eec99f620f05090ddf"
[[package]]
name = "blake3"
@@ -522,9 +528,9 @@ dependencies = [
[[package]]
name = "bstr"
-version = "1.8.0"
+version = "1.9.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "542f33a8835a0884b006a0c3df3dadd99c0c3f296ed26c2fdc8028e01ad6230c"
+checksum = "05efc5cfd9110c8416e471df0e96702d58690178e206e61b7173706673c93706"
dependencies = [
"memchr",
"serde",
@@ -532,25 +538,24 @@ dependencies = [
[[package]]
name = "buffer-redux"
-version = "1.0.0"
+version = "1.0.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d2886ea01509598caac116942abd33ab5a88fa32acdf7e4abfa0fc489ca520c9"
+checksum = "4c9f8ddd22e0a12391d1e7ada69ec3b0da1914f1cec39c5cf977143c5b2854f5"
dependencies = [
"memchr",
- "safemem",
]
[[package]]
name = "bumpalo"
-version = "3.14.0"
+version = "3.15.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7f30e7476521f6f8af1a1c4c0b8cc94f0bee37d91763d0ca2665f299b6cd8aec"
+checksum = "8ea184aa71bb362a1157c896979544cc23974e08fd265f29ea96b59f0b4a555b"
[[package]]
name = "bytemuck"
-version = "1.14.0"
+version = "1.14.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "374d28ec25809ee0e23827c2ab573d729e293f281dfe393500e7ad618baa61c6"
+checksum = "a2ef034f05691a48569bd920a96c81b9d91bbad1ab5ac7c4616c1f6ef36cb79f"
[[package]]
name = "byteorder"
@@ -585,9 +590,9 @@ dependencies = [
[[package]]
name = "cargo-platform"
-version = "0.1.5"
+version = "0.1.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e34637b3140142bdf929fb439e8aa4ebad7651ebf7b1080b3930aa16ac1459ff"
+checksum = "694c8807f2ae16faecc43dc17d74b3eb042482789fd0eb64b39a2e04e087053f"
dependencies = [
"serde",
]
@@ -656,23 +661,23 @@ dependencies = [
[[package]]
name = "chrono"
-version = "0.4.31"
+version = "0.4.34"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7f2c685bad3eb3d45a01354cedb7d5faa66194d1d58ba6e267a8de788f79db38"
+checksum = "5bc015644b92d5890fab7489e49d21f879d5c990186827d42ec511919404f38b"
dependencies = [
"android-tzdata",
"iana-time-zone",
"js-sys",
"num-traits",
"wasm-bindgen",
- "windows-targets",
+ "windows-targets 0.52.4",
]
[[package]]
name = "ciborium"
-version = "0.2.1"
+version = "0.2.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "effd91f6c78e5a4ace8a5d3c0b6bfaec9e2baaef55f3efc00e45fb2e477ee926"
+checksum = "42e69ffd6f0917f5c029256a24d0161db17cea3997d185db0d35926308770f0e"
dependencies = [
"ciborium-io",
"ciborium-ll",
@@ -681,15 +686,15 @@ dependencies = [
[[package]]
name = "ciborium-io"
-version = "0.2.1"
+version = "0.2.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cdf919175532b369853f5d5e20b26b43112613fd6fe7aee757e35f7a44642656"
+checksum = "05afea1e0a06c9be33d539b876f1ce3692f4afea2cb41f740e7743225ed1c757"
[[package]]
name = "ciborium-ll"
-version = "0.2.1"
+version = "0.2.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "defaa24ecc093c77630e6c15e17c51f5e187bf35ee514f4e2d67baaa96dae22b"
+checksum = "57663b653d948a338bfb3eeba9bb2fd5fcfaecb9e199e87e1eda4d9e8b240fd9"
dependencies = [
"ciborium-io",
"half",
@@ -707,18 +712,18 @@ dependencies = [
[[package]]
name = "clap"
-version = "4.4.10"
+version = "4.4.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "41fffed7514f420abec6d183b1d3acfd9099c79c3a10a06ade4f8203f1411272"
+checksum = "1e578d6ec4194633722ccf9544794b71b1385c3c027efe0c55db226fc880865c"
dependencies = [
"clap_builder",
]
[[package]]
name = "clap_builder"
-version = "4.4.9"
+version = "4.4.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "63361bae7eef3771745f02d8d892bec2fee5f6e34af316ba556e7f97a7069ff1"
+checksum = "4df4df40ec50c46000231c914968278b1eb05098cf8f1b3a518a95030e71d1c7"
dependencies = [
"anstyle",
"clap_lex",
@@ -732,13 +737,11 @@ checksum = "702fc72eb24e5a1e48ce58027a675bc24edd52096d5397d4aea7c6dd9eca0bd1"
[[package]]
name = "clipboard-win"
-version = "4.5.0"
+version = "5.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7191c27c2357d9b7ef96baac1773290d4ca63b24205b82a3fd8a0637afcf0362"
+checksum = "12f9a0700e0127ba15d1d52dd742097f821cd9c65939303a44d970465040a297"
dependencies = [
"error-code",
- "str-buf",
- "winapi",
]
[[package]]
@@ -755,18 +758,18 @@ checksum = "3d7b894f5411737b7867f4827955924d7c254fc9f4d91a6aad6b097804b1018b"
[[package]]
name = "concurrent-queue"
-version = "2.3.0"
+version = "2.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f057a694a54f12365049b0958a1685bb52d567f5593b355fbf685838e873d400"
+checksum = "d16048cd947b08fa32c24458a22f5dc5e835264f689f4f5653210c69fd107363"
dependencies = [
"crossbeam-utils",
]
[[package]]
name = "const-oid"
-version = "0.9.5"
+version = "0.9.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "28c122c3980598d243d63d9a704629a2d748d101f278052ff068be5a4423ab6f"
+checksum = "c2459377285ad874054d797f3ccebf984978aa39129f6eafde5cdc8315b612f8"
[[package]]
name = "const_format"
@@ -824,9 +827,9 @@ checksum = "06ea2b9bc92be3c2baa9334a323ebca2d6f074ff852cd1d7b11064035cd3868f"
[[package]]
name = "cpufeatures"
-version = "0.2.11"
+version = "0.2.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ce420fe07aecd3e67c5f910618fe65e94158f6dcc0adf44e00d69ce2bdfe0fd0"
+checksum = "53fe5e26ff1b7aef8bca9c6080520cfb8d9333c7568e1829cef191a9723e5504"
dependencies = [
"libc",
]
@@ -839,9 +842,9 @@ checksum = "fd121741cf3eb82c08dd3023eb55bf2665e5f60ec20f89760cf836ae4562e6a0"
[[package]]
name = "crc32fast"
-version = "1.3.2"
+version = "1.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b540bd8bc810d3885c6ea91e2018302f68baba2129ab3e88f32389ee9370880d"
+checksum = "b3855a8a784b474f333699ef2bbca9db2c4a1f6d9088a90a2d25b1eb53111eaa"
dependencies = [
"cfg-if",
]
@@ -886,36 +889,34 @@ dependencies = [
[[package]]
name = "crossbeam-deque"
-version = "0.8.3"
+version = "0.8.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ce6fd6f855243022dcecf8702fef0c297d4338e226845fe067f6341ad9fa0cef"
+checksum = "613f8cc01fe9cf1a3eb3d7f488fd2fa8388403e97039e2f73692932e291a770d"
dependencies = [
- "cfg-if",
"crossbeam-epoch",
"crossbeam-utils",
]
[[package]]
name = "crossbeam-epoch"
-version = "0.9.15"
+version = "0.9.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ae211234986c545741a7dc064309f67ee1e5ad243d0e48335adc0484d960bcc7"
+checksum = "5b82ac4a3c2ca9c3460964f020e1402edd5753411d7737aa39c3714ad1b5420e"
dependencies = [
- "autocfg",
- "cfg-if",
"crossbeam-utils",
- "memoffset",
- "scopeguard",
]
[[package]]
name = "crossbeam-utils"
-version = "0.8.16"
+version = "0.8.19"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5a22b2d63d4d1dc0b7f1b6b2747dd0088008a9be28b6ddf0b1e7d335e3037294"
-dependencies = [
- "cfg-if",
-]
+checksum = "248e3bacc7dc6baa3b21e405ee045c3047101a49145e7e9eca583ab4c2ca5345"
+
+[[package]]
+name = "crunchy"
+version = "0.2.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7a81dae078cea95a014a339291cec439d2f232ebe854a9d672b796c6afafa9b7"
[[package]]
name = "crypto-bigint"
@@ -966,9 +967,9 @@ dependencies = [
[[package]]
name = "curve25519-dalek"
-version = "4.1.1"
+version = "4.1.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e89b8c6a2e4b1f45971ad09761aafb85514a84744b67a95e32c3cc1352d1f65c"
+checksum = "0a677b8922c94e01bdbb12126b0bc852f00447528dee1782229af9c720c3f348"
dependencies = [
"cfg-if",
"cpufeatures",
@@ -989,7 +990,7 @@ checksum = "f46882e17999c6cc590af592290432be3bce0428cb0d5f8b6715e4dc7b383eb3"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.52",
]
[[package]]
@@ -1087,20 +1088,21 @@ dependencies = [
[[package]]
name = "deltachat"
-version = "1.131.9"
+version = "1.136.3"
dependencies = [
"ansi_term",
"anyhow",
- "async-channel 2.1.1",
+ "async-channel 2.2.0",
"async-imap",
"async-native-tls",
"async-smtp",
"async_zip",
"backtrace",
- "base64 0.21.5",
+ "base64 0.21.7",
"brotli",
"chrono",
"criterion",
+ "deltachat-time",
"deltachat_derive",
"email",
"encoded-words",
@@ -1125,6 +1127,7 @@ dependencies = [
"num-traits",
"num_cpus",
"once_cell",
+ "openssl-src",
"parking_lot",
"percent-encoding",
"pgp",
@@ -1134,6 +1137,7 @@ dependencies = [
"proptest",
"qrcodegen",
"quick-xml",
+ "quoted_printable",
"rand 0.8.5",
"ratelimit",
"regex",
@@ -1165,12 +1169,12 @@ dependencies = [
[[package]]
name = "deltachat-jsonrpc"
-version = "1.131.9"
+version = "1.136.3"
dependencies = [
"anyhow",
- "async-channel 2.1.1",
+ "async-channel 2.2.0",
"axum",
- "base64 0.21.5",
+ "base64 0.21.7",
"deltachat",
"env_logger",
"futures",
@@ -1189,7 +1193,7 @@ dependencies = [
[[package]]
name = "deltachat-repl"
-version = "1.131.9"
+version = "1.136.3"
dependencies = [
"ansi_term",
"anyhow",
@@ -1204,7 +1208,7 @@ dependencies = [
[[package]]
name = "deltachat-rpc-server"
-version = "1.131.9"
+version = "1.136.3"
dependencies = [
"anyhow",
"deltachat",
@@ -1219,17 +1223,21 @@ dependencies = [
"yerpc",
]
+[[package]]
+name = "deltachat-time"
+version = "1.0.0"
+
[[package]]
name = "deltachat_derive"
version = "2.0.0"
dependencies = [
"quote",
- "syn 2.0.39",
+ "syn 2.0.52",
]
[[package]]
name = "deltachat_ffi"
-version = "1.131.9"
+version = "1.136.3"
dependencies = [
"anyhow",
"deltachat",
@@ -1296,9 +1304,9 @@ dependencies = [
[[package]]
name = "deranged"
-version = "0.3.9"
+version = "0.3.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0f32d04922c60427da6f9fef14d042d9edddef64cb9d4ce0d64d0685fbeb1fd3"
+checksum = "b42b6fa04a440b495c8b04d0e71b707c585f83cb9cb28cf8cd0d976c315e31b4"
dependencies = [
"powerfmt",
]
@@ -1411,7 +1419,7 @@ dependencies = [
"libc",
"option-ext",
"redox_users",
- "windows-sys",
+ "windows-sys 0.48.0",
]
[[package]]
@@ -1433,7 +1441,7 @@ checksum = "487585f4d0c6655fe74905e2504d8ad6908e4db67f744eb140876906c2f3175d"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.52",
]
[[package]]
@@ -1460,10 +1468,26 @@ dependencies = [
]
[[package]]
-name = "dyn-clone"
-version = "1.0.16"
+name = "dsa"
+version = "0.6.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "545b22097d44f8a9581187cdf93de7a71e4722bf51200cfaba810865b49a495d"
+checksum = "48bc224a9084ad760195584ce5abb3c2c34a225fa312a128ad245a6b412b7689"
+dependencies = [
+ "digest 0.10.7",
+ "num-bigint-dig",
+ "num-traits",
+ "pkcs8 0.10.2",
+ "rfc6979 0.4.0",
+ "sha2 0.10.8",
+ "signature 2.2.0",
+ "zeroize",
+]
+
+[[package]]
+name = "dyn-clone"
+version = "1.0.17"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "0d6ef0072f8a535281e4876be788938b528e9a1d43900b82c2569af7da799125"
[[package]]
name = "ecdsa"
@@ -1488,7 +1512,7 @@ dependencies = [
"elliptic-curve 0.13.8",
"rfc6979 0.4.0",
"signature 2.2.0",
- "spki 0.7.2",
+ "spki 0.7.3",
]
[[package]]
@@ -1528,11 +1552,11 @@ dependencies = [
[[package]]
name = "ed25519-dalek"
-version = "2.1.0"
+version = "2.1.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1f628eaec48bfd21b865dc2950cfa014450c01d2fa2b69a86c2fd5844ec523c0"
+checksum = "4a3daa8e81a3963a60642bcc1f90a670680bd4a77535faa384e9d1c79d620871"
dependencies = [
- "curve25519-dalek 4.1.1",
+ "curve25519-dalek 4.1.2",
"ed25519 2.2.3",
"serde",
"sha2 0.10.8",
@@ -1554,9 +1578,9 @@ dependencies = [
[[package]]
name = "either"
-version = "1.9.0"
+version = "1.10.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a26ae43d7bcc3b814de94796a5e736d4029efb0ee900c12e2d54c993ad1a1e07"
+checksum = "11157ac094ffbdde99aa67b23417ebdd801842852b500e395a45a9c0aac03e4a"
[[package]]
name = "elliptic-curve"
@@ -1600,8 +1624,8 @@ dependencies = [
[[package]]
name = "email"
-version = "0.0.21"
-source = "git+https://github.com/deltachat/rust-email?branch=master#37778c89d5eb5a94b7983f3f37ff67769bde3cf9"
+version = "0.0.20"
+source = "git+https://github.com/deltachat/rust-email?branch=master#5179cd68db44101ee3d3df7bfef96f014507352b"
dependencies = [
"base64 0.11.0",
"chrono",
@@ -1609,7 +1633,6 @@ dependencies = [
"encoding",
"lazy_static",
"rand 0.7.3",
- "time 0.1.45",
"version_check",
]
@@ -1727,7 +1750,7 @@ dependencies = [
"heck",
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.52",
]
[[package]]
@@ -1740,14 +1763,14 @@ dependencies = [
"num-traits",
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.52",
]
[[package]]
name = "env_logger"
-version = "0.10.1"
+version = "0.10.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "95b3f3e67048839cb0d0781f445682a35113da7121f7c949db0e2be96a4fbece"
+checksum = "4cd405aab171cb85d6735e5c8d9db038c17d3ca007a4d2c25f337935c3d90580"
dependencies = [
"humantime",
"is-terminal",
@@ -1764,23 +1787,19 @@ checksum = "5443807d6dff69373d433ab9ef5378ad8df50ca6298caf15de6e52e24aaf54d5"
[[package]]
name = "errno"
-version = "0.3.7"
+version = "0.3.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f258a7194e7f7c2a7837a8913aeab7fd8c383457034fa20ce4dd3dcb813e8eb8"
+checksum = "a258e46cdc063eb8519c00b9fc845fc47bcfca4130e2f08e88665ceda8474245"
dependencies = [
"libc",
- "windows-sys",
+ "windows-sys 0.52.0",
]
[[package]]
name = "error-code"
-version = "2.3.1"
+version = "3.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "64f18991e7bf11e7ffee451b5318b5c1a73c52d0d0ada6e5a3017c8c1ced6a21"
-dependencies = [
- "libc",
- "str-buf",
-]
+checksum = "a0474425d51df81997e2f90a21591180b38eccf27292d755f3e30750225c175b"
[[package]]
name = "escaper"
@@ -1799,9 +1818,9 @@ checksum = "0206175f82b8d6bf6652ff7d71a1e27fd2e4efde587fd368662814d6ec1d9ce0"
[[package]]
name = "event-listener"
-version = "4.0.0"
+version = "5.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "770d968249b5d99410d61f5bf89057f3199a077a04d087092f58e7d10692baae"
+checksum = "2b5fb89194fa3cad959b833185b3063ba881dbfc7030680b314250779fb4cc91"
dependencies = [
"concurrent-queue",
"parking",
@@ -1810,11 +1829,11 @@ dependencies = [
[[package]]
name = "event-listener-strategy"
-version = "0.4.0"
+version = "0.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "958e4d70b6d5e81971bebec42271ec641e7ff4e170a6fa605f2b8a8b65cb97d3"
+checksum = "feedafcaa9b749175d5ac357452a9d41ea2911da598fde46ce1fe02c37751291"
dependencies = [
- "event-listener 4.0.0",
+ "event-listener 5.2.0",
"pin-project-lite",
]
@@ -1832,11 +1851,12 @@ checksum = "7360491ce676a36bf9bb3c56c1aa791658183a54d2744120f27285738d90465a"
[[package]]
name = "fast-socks5"
-version = "0.8.2"
+version = "0.9.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "961ce1761191c157145a8c9f0c3ceabecd3a729d65c9a8d443674eaee3420f7e"
+checksum = "cbcc731f3c17a5053e07e6a2290918da75cd8b9b1217b419721f715674ac520c"
dependencies = [
"anyhow",
+ "async-trait",
"log",
"thiserror",
"tokio",
@@ -1860,20 +1880,20 @@ checksum = "25cbce373ec4653f1a01a31e8a5e5ec0c622dc27ff9c4e6606eefef5cbbed4a5"
[[package]]
name = "fd-lock"
-version = "3.0.13"
+version = "4.0.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ef033ed5e9bad94e55838ca0ca906db0e043f517adda0c8b79c7a8c66c93c1b5"
+checksum = "7e5768da2206272c81ef0b5e951a41862938a6070da63bcea197899942d3b947"
dependencies = [
"cfg-if",
"rustix",
- "windows-sys",
+ "windows-sys 0.52.0",
]
[[package]]
name = "fdeflate"
-version = "0.3.1"
+version = "0.3.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "64d6dafc854908ff5da46ff3f8f473c6984119a2876a383a860246dd7841a868"
+checksum = "4f9bfee30e4dedf0ab8b422f03af778d9612b63f502710fc500a334ebe2de645"
dependencies = [
"simd-adler32",
]
@@ -1900,20 +1920,20 @@ dependencies = [
[[package]]
name = "fiat-crypto"
-version = "0.2.5"
+version = "0.2.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "27573eac26f4dd11e2b1916c3fe1baa56407c83c71a773a8ba17ec0bca03b6b7"
+checksum = "1676f435fc1dadde4d03e43f5d62b259e1ce5f40bd4ffb21db2b42ebe59c1382"
[[package]]
name = "filetime"
-version = "0.2.22"
+version = "0.2.23"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d4029edd3e734da6fe05b6cd7bd2960760a616bd2ddd0d59a0124746d6272af0"
+checksum = "1ee447700ac8aa0b2f2bd7bc4462ad686ba06baa6727ac149a2d6277f0d240fd"
dependencies = [
"cfg-if",
"libc",
- "redox_syscall 0.3.5",
- "windows-sys",
+ "redox_syscall 0.4.1",
+ "windows-sys 0.52.0",
]
[[package]]
@@ -1928,14 +1948,13 @@ dependencies = [
[[package]]
name = "flume"
-version = "0.10.14"
+version = "0.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1657b4441c3403d9f7b3409e47575237dac27b1b5726df654a6ecbf92f0f7577"
+checksum = "55ac459de2512911e4b674ce33cf20befaba382d05b62b008afc1c8b57cbf181"
dependencies = [
"futures-core",
"futures-sink",
"nanorand",
- "pin-project",
"spin 0.9.8",
]
@@ -1962,9 +1981,9 @@ checksum = "00b0228411908ca8685dba7fc2cdd70ec9990a6e753e89b6ac91a84c40fbaf4b"
[[package]]
name = "form_urlencoded"
-version = "1.2.0"
+version = "1.2.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a62bc1cf6f830c2ec14a513a9fb124d0a213a629668a4186f329db21fe045652"
+checksum = "e13624c2627564efccf4934284bdd98cbaa14e79b0b5a141218e507b3a823456"
dependencies = [
"percent-encoding",
]
@@ -1975,9 +1994,9 @@ version = "1.0.0"
[[package]]
name = "futures"
-version = "0.3.29"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "da0290714b38af9b4a7b094b8a37086d1b4e61f2df9122c3cad2577669145335"
+checksum = "645c6916888f6cb6350d2550b80fb63e734897a8498abe35cfb732b6487804b0"
dependencies = [
"futures-channel",
"futures-core",
@@ -1990,9 +2009,9 @@ dependencies = [
[[package]]
name = "futures-channel"
-version = "0.3.29"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ff4dd66668b557604244583e3e1e1eada8c5c2e96a6d0d6653ede395b78bbacb"
+checksum = "eac8f7d7865dcb88bd4373ab671c8cf4508703796caa2b1985a9ca867b3fcb78"
dependencies = [
"futures-core",
"futures-sink",
@@ -2000,15 +2019,15 @@ dependencies = [
[[package]]
name = "futures-core"
-version = "0.3.29"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "eb1d22c66e66d9d72e1758f0bd7d4fd0bee04cad842ee34587d68c07e45d088c"
+checksum = "dfc6580bb841c5a68e9ef15c77ccc837b40a7504914d52e47b8b0e9bbda25a1d"
[[package]]
name = "futures-executor"
-version = "0.3.29"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0f4fb8693db0cf099eadcca0efe2a5a22e4550f98ed16aba6c48700da29597bc"
+checksum = "a576fc72ae164fca6b9db127eaa9a9dda0d61316034f33a0a0d4eda41f02b01d"
dependencies = [
"futures-core",
"futures-task",
@@ -2017,52 +2036,51 @@ dependencies = [
[[package]]
name = "futures-io"
-version = "0.3.29"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8bf34a163b5c4c52d0478a4d757da8fb65cabef42ba90515efee0f6f9fa45aaa"
+checksum = "a44623e20b9681a318efdd71c299b6b222ed6f231972bfe2f224ebad6311f0c1"
[[package]]
name = "futures-lite"
-version = "2.0.1"
+version = "2.2.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d3831c2651acb5177cbd83943f3d9c8912c5ad03c76afcc0e9511ba568ec5ebb"
+checksum = "445ba825b27408685aaecefd65178908c36c6e96aaf6d8599419d46e624192ba"
dependencies = [
"fastrand",
"futures-core",
"futures-io",
- "memchr",
"parking",
"pin-project-lite",
]
[[package]]
name = "futures-macro"
-version = "0.3.29"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "53b153fd91e4b0147f4aced87be237c98248656bb01050b96bf3ee89220a8ddb"
+checksum = "87750cf4b7a4c0625b1529e4c543c2182106e4dedc60a2a6455e00d212c489ac"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.52",
]
[[package]]
name = "futures-sink"
-version = "0.3.29"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e36d3378ee38c2a36ad710c5d30c2911d752cb941c00c72dbabfb786a7970817"
+checksum = "9fb8e00e87438d937621c1c6269e53f536c14d3fbd6a042bb24879e57d474fb5"
[[package]]
name = "futures-task"
-version = "0.3.29"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "efd193069b0ddadc69c46389b740bbccdd97203899b48d09c5f7969591d6bae2"
+checksum = "38d84fa142264698cdce1a9f9172cf383a0c82de1bddcf3092901442c4097004"
[[package]]
name = "futures-util"
-version = "0.3.29"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a19526d624e703a3179b3d322efec918b6246ea0fa51d41124525f00f1cc8104"
+checksum = "3d6401deb83407ab3da39eba7e33987a73c3df0c82b4bb5813ee871c19c41d48"
dependencies = [
"futures-channel",
"futures-core",
@@ -2100,9 +2118,9 @@ dependencies = [
[[package]]
name = "getrandom"
-version = "0.2.11"
+version = "0.2.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fe9006bed769170c11f845cf00c7c1e9092aeb3f268e007c3e760ac68008070f"
+checksum = "190092ea657667030ac6a35e305e62fc4dd69fd98ac98631e5d3a2b1575a12b5"
dependencies = [
"cfg-if",
"js-sys",
@@ -2113,9 +2131,9 @@ dependencies = [
[[package]]
name = "gif"
-version = "0.12.0"
+version = "0.13.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "80792593675e051cf94a4b111980da2ba60d4a83e43e0048c5693baab3977045"
+checksum = "3fb2d69b19215e18bb912fa30f7ce15846e301408695e44e0ef719f1da9e19f2"
dependencies = [
"color_quant",
"weezl",
@@ -2151,16 +2169,35 @@ dependencies = [
[[package]]
name = "h2"
-version = "0.3.22"
+version = "0.3.24"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4d6250322ef6e60f93f9a2162799302cd6f68f79f6e5d85c8c16f14d1d958178"
+checksum = "bb2c4422095b67ee78da96fbb51a4cc413b3b25883c7717ff7ca1ab31022c9c9"
dependencies = [
"bytes",
"fnv",
"futures-core",
"futures-sink",
"futures-util",
- "http",
+ "http 0.2.12",
+ "indexmap",
+ "slab",
+ "tokio",
+ "tokio-util",
+ "tracing",
+]
+
+[[package]]
+name = "h2"
+version = "0.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "31d030e59af851932b72ceebadf4a2b5986dba4c3b99dd2493f8273a0f151943"
+dependencies = [
+ "bytes",
+ "fnv",
+ "futures-core",
+ "futures-sink",
+ "futures-util",
+ "http 1.1.0",
"indexmap",
"slab",
"tokio",
@@ -2170,9 +2207,13 @@ dependencies = [
[[package]]
name = "half"
-version = "1.8.2"
+version = "2.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "eabb4a44450da02c90444cf74558da904edde8fb4e9035a9a6a4e15445af0bd7"
+checksum = "b5eceaaeec696539ddaf7b333340f1af35a5aa87ae3e4f3ead0532f72affab2e"
+dependencies = [
+ "cfg-if",
+ "crunchy",
+]
[[package]]
name = "hashbrown"
@@ -2186,9 +2227,9 @@ dependencies = [
[[package]]
name = "hashlink"
-version = "0.8.4"
+version = "0.9.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e8094feaf31ff591f651a2664fb9cfd92bba7a60ce3197265e9482ebe753c8f7"
+checksum = "692eaaf7f7607518dd3cef090f1474b61edc5301d8012f09579920df68b725ee"
dependencies = [
"hashbrown",
]
@@ -2201,9 +2242,9 @@ checksum = "95505c38b4572b2d910cecb0281560f54b440a19336cbbcb27bf6ce6adc6f5a8"
[[package]]
name = "hermit-abi"
-version = "0.3.3"
+version = "0.3.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d77f7ec81a6d05a3abb01ab6eb7590f6083d08449fe5a1c8b1e620283546ccb7"
+checksum = "d231dfb89cfffdbc30e7fc41579ed6066ad03abda9e567ccafae602b97ec5024"
[[package]]
name = "hex"
@@ -2224,7 +2265,7 @@ dependencies = [
"futures-channel",
"futures-io",
"futures-util",
- "idna",
+ "idna 0.4.0",
"ipnet",
"once_cell",
"rand 0.8.5",
@@ -2258,9 +2299,9 @@ dependencies = [
[[package]]
name = "hkdf"
-version = "0.12.3"
+version = "0.12.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "791a029f6b9fc27657f6f188ec6e5e43f6911f6f878e0dc5501396e09809d437"
+checksum = "7b5f8eb2ad728638ea2c7d47a21db23b7b58a72ed6a38256b8a1849f15fbbdf7"
dependencies = [
"hmac",
]
@@ -2276,11 +2317,11 @@ dependencies = [
[[package]]
name = "home"
-version = "0.5.5"
+version = "0.5.9"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5444c27eef6923071f7ebcc33e3444508466a76f7a2b93da00ed6e19f30c1ddb"
+checksum = "e3d1354bf6b7235cb4a0576c2619fd4ed18183f689b12b006a0ee7329eeff9a5"
dependencies = [
- "windows-sys",
+ "windows-sys 0.52.0",
]
[[package]]
@@ -2296,9 +2337,20 @@ dependencies = [
[[package]]
name = "http"
-version = "0.2.11"
+version = "0.2.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8947b1a6fad4393052c7ba1f4cd97bed3e953a95c79c92ad9b051a04611d9fbb"
+checksum = "601cbb57e577e2f5ef5be8e7b83f0f63994f25aa94d673e54a92d5c516d101f1"
+dependencies = [
+ "bytes",
+ "fnv",
+ "itoa",
+]
+
+[[package]]
+name = "http"
+version = "1.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "21b9ddb458710bc376481b842f5da65cdf31522de232c1ca8146abce2a358258"
dependencies = [
"bytes",
"fnv",
@@ -2307,12 +2359,35 @@ dependencies = [
[[package]]
name = "http-body"
-version = "0.4.5"
+version = "0.4.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d5f38f16d184e36f2408a55281cd658ecbd3ca05cce6d6510a176eca393e26d1"
+checksum = "7ceab25649e9960c0311ea418d17bee82c0dcec1bd053b5f9a66e265a693bed2"
dependencies = [
"bytes",
- "http",
+ "http 0.2.12",
+ "pin-project-lite",
+]
+
+[[package]]
+name = "http-body"
+version = "1.0.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1cac85db508abc24a2e48553ba12a996e87244a0395ce011e62b37158745d643"
+dependencies = [
+ "bytes",
+ "http 1.1.0",
+]
+
+[[package]]
+name = "http-body-util"
+version = "0.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "41cb79eb393015dadd30fc252023adb0b2400a0caee0fa2a077e6e21a551e840"
+dependencies = [
+ "bytes",
+ "futures-util",
+ "http 1.1.0",
+ "http-body 1.0.0",
"pin-project-lite",
]
@@ -2330,9 +2405,9 @@ checksum = "df3b46402a9d5adb4c86a0cf463f42e19994e3ee891101b1841f30a545cb49a9"
[[package]]
name = "human-panic"
-version = "1.2.2"
+version = "1.2.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7a79a67745be0cb8dd2771f03b24c2f25df98d5471fe7a595d668cfa2e6f843d"
+checksum = "c4f016c89920bbb30951a8405ecacbb4540db5524313b9445736e7e1855cf370"
dependencies = [
"backtrace",
"os_info",
@@ -2359,28 +2434,48 @@ checksum = "9a3a5bfb195931eeb336b2a7b4d761daec841b97f947d34394601737a7bba5e4"
[[package]]
name = "hyper"
-version = "0.14.27"
+version = "0.14.28"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ffb1cfd654a8219eaef89881fdb3bb3b1cdc5fa75ded05d6933b2b382e395468"
+checksum = "bf96e135eb83a2a8ddf766e426a841d8ddd7449d5f00d34ea02b41d2f19eef80"
dependencies = [
"bytes",
"futures-channel",
"futures-core",
"futures-util",
- "h2",
- "http",
- "http-body",
+ "h2 0.3.24",
+ "http 0.2.12",
+ "http-body 0.4.6",
"httparse",
"httpdate",
"itoa",
"pin-project-lite",
- "socket2 0.4.10",
+ "socket2",
"tokio",
"tower-service",
"tracing",
"want",
]
+[[package]]
+name = "hyper"
+version = "1.2.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "186548d73ac615b32a73aafe38fb4f56c0d340e110e5a200bcadbaf2e199263a"
+dependencies = [
+ "bytes",
+ "futures-channel",
+ "futures-util",
+ "h2 0.4.2",
+ "http 1.1.0",
+ "http-body 1.0.0",
+ "httparse",
+ "httpdate",
+ "itoa",
+ "pin-project-lite",
+ "smallvec",
+ "tokio",
+]
+
[[package]]
name = "hyper-tls"
version = "0.5.0"
@@ -2388,17 +2483,33 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "d6183ddfa99b85da61a140bea0efc93fdf56ceaa041b37d553518030827f9905"
dependencies = [
"bytes",
- "hyper",
+ "hyper 0.14.28",
"native-tls",
"tokio",
"tokio-native-tls",
]
[[package]]
-name = "iana-time-zone"
-version = "0.1.58"
+name = "hyper-util"
+version = "0.1.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8326b86b6cff230b97d0d312a6c40a60726df3332e721f72a1b035f451663b20"
+checksum = "ca38ef113da30126bbff9cd1705f9273e15d45498615d138b0c20279ac7a76aa"
+dependencies = [
+ "bytes",
+ "futures-util",
+ "http 1.1.0",
+ "http-body 1.0.0",
+ "hyper 1.2.0",
+ "pin-project-lite",
+ "socket2",
+ "tokio",
+]
+
+[[package]]
+name = "iana-time-zone"
+version = "0.1.60"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "e7ffbb5a1b541ea2561f8c41c087286cc091e21e556a4f09a8f6cbf17b69b141"
dependencies = [
"android_system_properties",
"core-foundation-sys",
@@ -2443,34 +2554,44 @@ dependencies = [
]
[[package]]
-name = "image"
-version = "0.24.7"
+name = "idna"
+version = "0.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6f3dfdbdd72063086ff443e297b61695500514b1e41095b6fb9a5ab48a70a711"
+checksum = "634d9b1461af396cad843f47fdba5597a4f9e6ddd4bfb6ff5d85028c25cb12f6"
+dependencies = [
+ "unicode-bidi",
+ "unicode-normalization",
+]
+
+[[package]]
+name = "image"
+version = "0.24.9"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5690139d2f55868e080017335e4b94cb7414274c74f1669c84fb5feba2c9f69d"
dependencies = [
"bytemuck",
"byteorder",
"color_quant",
"gif",
"jpeg-decoder",
- "num-rational",
"num-traits",
"png",
]
[[package]]
name = "imap-proto"
-version = "0.16.3"
-source = "git+https://github.com/djc/tokio-imap.git?rev=01ff256a7e42a9f7d2732706f8b71a16ce93427e#01ff256a7e42a9f7d2732706f8b71a16ce93427e"
+version = "0.16.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "22e70cd66882c8cb1c9802096ba75212822153c51478dc61621e1a22f6c92361"
dependencies = [
"nom",
]
[[package]]
name = "indexmap"
-version = "2.1.0"
+version = "2.2.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d530e1a18b1cb4c484e6e34556a0d948706958449fca0cab753d649f2bce3d1f"
+checksum = "7b0b929d511467233429c45a44ac1dcaa21ba0f5ba11e4879e6ed28ddb4f9df4"
dependencies = [
"equivalent",
"hashbrown",
@@ -2491,9 +2612,9 @@ version = "0.3.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "b58db92f96b720de98181bbbe63c831e87005ab460c1bf306eb2622b4707997f"
dependencies = [
- "socket2 0.5.5",
+ "socket2",
"widestring",
- "windows-sys",
+ "windows-sys 0.48.0",
"winreg",
]
@@ -2506,11 +2627,12 @@ checksum = "8f518f335dce6725a761382244631d86cf0ccb2863413590b31338feb467f9c3"
[[package]]
name = "iroh"
version = "0.4.2"
-source = "git+https://github.com/n0-computer/iroh?branch=maint-0.4#9881b7886235035a1124e4371f7a4cd59379e51b"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "85075391dcb8491a4939266334b28601052d418b37d20b33c58ffb5776adc912"
dependencies = [
"abao",
"anyhow",
- "base64 0.21.5",
+ "base64 0.21.7",
"blake3",
"bytes",
"default-net",
@@ -2548,15 +2670,21 @@ dependencies = [
[[package]]
name = "is-terminal"
-version = "0.4.9"
+version = "0.4.12"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cb0889898416213fab133e1d33a0e5858a48177452750691bde3666d0fdbaf8b"
+checksum = "f23ff5ef2b80d608d61efee834934d862cd92461afc0560dedf493e4c033738b"
dependencies = [
"hermit-abi",
- "rustix",
- "windows-sys",
+ "libc",
+ "windows-sys 0.52.0",
]
+[[package]]
+name = "iter-read"
+version = "1.0.1"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a598c1abae8e3456ebda517868b254b6bc2a9bb6501ffd5b9d0875bf332e048b"
+
[[package]]
name = "itertools"
version = "0.10.5"
@@ -2568,25 +2696,39 @@ dependencies = [
[[package]]
name = "itoa"
-version = "1.0.9"
+version = "1.0.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "af150ab688ff2122fcef229be89cb50dd66af9e01a4ff320cc137eecc9bacc38"
+checksum = "b1a46d1a171d865aa5f83f92695765caa047a9b4cbae2cbf37dbd613a793fd4c"
[[package]]
name = "jpeg-decoder"
-version = "0.3.0"
+version = "0.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "bc0000e42512c92e31c2252315bda326620a4e034105e900c98ec492fa077b3e"
+checksum = "f5d4a7da358eff58addd2877a45865158f0d78c911d43a5784ceb7bbf52833b0"
[[package]]
name = "js-sys"
-version = "0.3.65"
+version = "0.3.69"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "54c0c35952f67de54bb584e9fd912b3023117cbafc0a77d8f3dee1fb5f572fe8"
+checksum = "29c15563dc2726973df627357ce0c9ddddbea194836909d655df6a75d2cf296d"
dependencies = [
"wasm-bindgen",
]
+[[package]]
+name = "k256"
+version = "0.13.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "956ff9b67e26e1a6a866cb758f12c6f8746208489e3e4a4b5580802f2f0a587b"
+dependencies = [
+ "cfg-if",
+ "ecdsa 0.16.9",
+ "elliptic-curve 0.13.8",
+ "once_cell",
+ "sha2 0.10.8",
+ "signature 2.2.0",
+]
+
[[package]]
name = "kamadak-exif"
version = "0.5.5"
@@ -2598,9 +2740,9 @@ dependencies = [
[[package]]
name = "keccak"
-version = "0.1.4"
+version = "0.1.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8f6d5ed8676d904364de097082f4e7d240b571b67989ced0240f08b7f966f940"
+checksum = "ecc2af9a1119c51f12a14607e783cb977bde58bc069ff0c3da1095e635d70654"
dependencies = [
"cpufeatures",
]
@@ -2640,9 +2782,9 @@ dependencies = [
[[package]]
name = "libc"
-version = "0.2.150"
+version = "0.2.153"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "89d92a4743f9a61002fae18374ed11e7973f530cb3a3255fb354818118b2203c"
+checksum = "9c198f91728a82281a64e1f4f9eeb25d82cb32a5de251c6bd1b5154d63a8e7bd"
[[package]]
name = "libm"
@@ -2656,16 +2798,16 @@ version = "0.0.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "85c833ca1e66078851dba29046874e38f08b2c883700aa29a03ddd3b23814ee8"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"libc",
"redox_syscall 0.4.1",
]
[[package]]
name = "libsqlite3-sys"
-version = "0.27.0"
+version = "0.28.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cf4e226dcd58b4be396f7bd3c20da8fdee2911400705297ba7d2d7cc2c30f716"
+checksum = "0c10584274047cb335c23d3e61bcef8e323adae7c5c8c760540f73610177fc3f"
dependencies = [
"cc",
"openssl-sys",
@@ -2681,9 +2823,9 @@ checksum = "0717cef1bc8b636c6e1c1bbdefc09e6322da8a9321966e8928ef80d20f7f770f"
[[package]]
name = "linux-raw-sys"
-version = "0.4.11"
+version = "0.4.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "969488b55f8ac402214f3f5fd243ebb7206cf82de60d3172994707a4bcc2b829"
+checksum = "01cda141df6706de531b6c46c3a33ecca755538219bd484262fa09410c13539c"
[[package]]
name = "lock_api"
@@ -2697,9 +2839,9 @@ dependencies = [
[[package]]
name = "log"
-version = "0.4.20"
+version = "0.4.21"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "b5e6163cb8c49088c2c36f57875e58ccd8c87c7427f7fbd50ea6710b2f3f2e8f"
+checksum = "90ed8c1e510134f979dbc4f070f87d4313098b704861a105fe34231c70a3901c"
[[package]]
name = "lru-cache"
@@ -2712,9 +2854,9 @@ dependencies = [
[[package]]
name = "mailparse"
-version = "0.14.0"
+version = "0.14.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6b56570f5f8c0047260d1c8b5b331f62eb9c660b9dd4071a8c46f8c7d3f280aa"
+checksum = "2d096594926cab442e054e047eb8c1402f7d5b2272573b97ba68aa40629f9757"
dependencies = [
"charset",
"data-encoding",
@@ -2760,18 +2902,9 @@ checksum = "df39d232f5c40b0891c10216992c2f250c054105cb1e56f0fc9032db6203ecc1"
[[package]]
name = "memchr"
-version = "2.6.4"
+version = "2.7.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f665ee40bc4a3c5590afb1e9677db74a508659dfd71e126420da8274909a0167"
-
-[[package]]
-name = "memoffset"
-version = "0.9.0"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5a634b1c61a95585bd15607c6ab0c4e5b226e695ff2800ba0cdccddf208c406c"
-dependencies = [
- "autocfg",
-]
+checksum = "523dc4f511e55ab87b694dc30d0f820d60906ef06413f93d4d7a1385599cc149"
[[package]]
name = "mime"
@@ -2787,9 +2920,9 @@ checksum = "68354c5c6bd36d73ff3feceb05efa59b6acb7626617f4962be322a825e61f79a"
[[package]]
name = "miniz_oxide"
-version = "0.7.1"
+version = "0.7.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e7810e0be55b428ada41041c41f32c9f1a42817901b4ccf45fa3d4b6561e74c7"
+checksum = "9d811f3e15f28568be3407c8e7fdb6514c1cda3cb30683f15b6a1a1dc4ea14a7"
dependencies = [
"adler",
"simd-adler32",
@@ -2797,13 +2930,13 @@ dependencies = [
[[package]]
name = "mio"
-version = "0.8.9"
+version = "0.8.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3dce281c5e46beae905d4de1870d8b1509a9142b62eedf18b443b011ca8343d0"
+checksum = "a4a650543ca06a924e8b371db273b2756685faae30f8487da1b56505a8f78b0c"
dependencies = [
"libc",
"wasi 0.11.0+wasi-snapshot-preview1",
- "windows-sys",
+ "windows-sys 0.48.0",
]
[[package]]
@@ -2818,7 +2951,7 @@ version = "0.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "6a51313c5820b0b02bd422f4b44776fbf47961755c74ce64afc73bfad10226c3"
dependencies = [
- "getrandom 0.2.11",
+ "getrandom 0.2.12",
]
[[package]]
@@ -2899,11 +3032,11 @@ dependencies = [
[[package]]
name = "nix"
-version = "0.26.4"
+version = "0.27.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "598beaf3cc6fdd9a5dfb1630c2800c7acd31df7aaf0f565796fba2b53ca1af1b"
+checksum = "2eb04e9c688eff1c89d72b407f168cf79bb9e867a9d3323ed6c01519eb9cc053"
dependencies = [
- "bitflags 1.3.2",
+ "bitflags 2.4.2",
"cfg-if",
"libc",
]
@@ -2967,42 +3100,36 @@ dependencies = [
]
[[package]]
-name = "num-derive"
-version = "0.4.1"
+name = "num-conv"
+version = "0.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "cfb77679af88f8b125209d354a202862602672222e7f2313fdd6dc349bad4712"
+checksum = "51d515d32fb182ee37cda2ccdcb92950d6a3c2893aa280e540671c2cd0f3b1d9"
+
+[[package]]
+name = "num-derive"
+version = "0.4.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "ed3955f1a9c7c0c15e092f9c887db08b1fc683305fdf6eb6684f22555355e202"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.52",
]
[[package]]
name = "num-integer"
-version = "0.1.45"
+version = "0.1.46"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "225d3389fb3509a24c93f5c29eb6bde2586b98d9f016636dff58d7c6f7569cd9"
+checksum = "7969661fd2958a5cb096e56c8e1ad0444ac2bbcd0061bd28660485a44879858f"
dependencies = [
- "autocfg",
"num-traits",
]
[[package]]
name = "num-iter"
-version = "0.1.43"
+version = "0.1.44"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7d03e6c028c5dc5cac6e2dec0efda81fc887605bb3d884578bb6d6bf7514e252"
-dependencies = [
- "autocfg",
- "num-integer",
- "num-traits",
-]
-
-[[package]]
-name = "num-rational"
-version = "0.4.1"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0638a1c9d0a3c0914158145bc76cff373a75a627e6ecbfb71cbe6f453a5a19b0"
+checksum = "d869c01cc0c455284163fd0092f1f93835385ccab5a98a0dcc497b2f8bf055a9"
dependencies = [
"autocfg",
"num-integer",
@@ -3011,9 +3138,9 @@ dependencies = [
[[package]]
name = "num-traits"
-version = "0.2.17"
+version = "0.2.18"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "39e3200413f237f41ab11ad6d161bc7239c84dcb631773ccd7de3dfe4b5c267c"
+checksum = "da0df0e5185db44f69b44f26786fe401b6c293d1907744beaa7fa62b2e5a517a"
dependencies = [
"autocfg",
"libm",
@@ -3030,10 +3157,31 @@ dependencies = [
]
[[package]]
-name = "object"
-version = "0.32.1"
+name = "num_enum"
+version = "0.7.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9cf5f9dd3933bd50a9e1f149ec995f39ae2c496d31fd772c1fd45ebc27e902b0"
+checksum = "02339744ee7253741199f897151b38e72257d13802d4ee837285cc2990a90845"
+dependencies = [
+ "num_enum_derive",
+]
+
+[[package]]
+name = "num_enum_derive"
+version = "0.7.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "681030a937600a36906c185595136d26abfebb4aa9c65701cefcaf8578bb982b"
+dependencies = [
+ "proc-macro-crate",
+ "proc-macro2",
+ "quote",
+ "syn 2.0.52",
+]
+
+[[package]]
+name = "object"
+version = "0.32.2"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "a6a622008b6e321afc04970976f62ee297fdbaa6f95318ca343e3eebb9648441"
dependencies = [
"memchr",
]
@@ -3049,9 +3197,9 @@ dependencies = [
[[package]]
name = "once_cell"
-version = "1.18.0"
+version = "1.19.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dd8b5dd2ae5ed71462c540258bedcb51965123ad7e7ccf4b9a8cafaa4a63576d"
+checksum = "3fdb12b2476b595f9358c5161aa467c2438859caa136dec86c26fdd2efe17b92"
[[package]]
name = "oorandom"
@@ -3061,17 +3209,17 @@ checksum = "0ab1bc2a289d34bd04a330323ac98a1b4bc82c9d9fcb1e66b63caa84da26b575"
[[package]]
name = "opaque-debug"
-version = "0.3.0"
+version = "0.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "624a8340c38c1b80fd549087862da4ba43e08858af025b236e509b6649fc13d5"
+checksum = "c08d65885ee38876c4f86fa503fb49d7b507c2b62552df7c70b2fce627e06381"
[[package]]
name = "openssl"
-version = "0.10.60"
+version = "0.10.63"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "79a4c6c3a2b158f7f8f2a2fc5a969fa3a068df6fc9dbb4a43845436e3af7c800"
+checksum = "15c9d69dd87a29568d4d017cfe8ec518706046a05184e5aea92d0af890b803c8"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"cfg-if",
"foreign-types",
"libc",
@@ -3088,7 +3236,7 @@ checksum = "a948666b637a0f465e8564c73e89d4dde00d72d4d473cc972f390fc3dcee7d9c"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.52",
]
[[package]]
@@ -3108,9 +3256,9 @@ dependencies = [
[[package]]
name = "openssl-sys"
-version = "0.9.96"
+version = "0.9.99"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3812c071ba60da8b5677cc12bcb1d42989a65553772897a7e0355545a819838f"
+checksum = "22e1bf214306098e4832460f797824c05d25aacdf896f64a985fb0fd992454ae"
dependencies = [
"cc",
"libc",
@@ -3214,7 +3362,7 @@ dependencies = [
"libc",
"redox_syscall 0.4.1",
"smallvec",
- "windows-targets",
+ "windows-targets 0.48.5",
]
[[package]]
@@ -3258,12 +3406,12 @@ checksum = "e3148f5046208a5d56bcfc03053e3ca6334e51da8dfb19b6cdc8b306fae3283e"
[[package]]
name = "pgp"
-version = "0.10.2"
+version = "0.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "27e1f8e085bfa9b85763fe3ddaacbe90a09cd847b3833129153a6cb063bbe132"
+checksum = "031fa1e28c4cb54c90502ef0642a44ef10ec8349349ebe6372089f1b1ef4f297"
dependencies = [
"aes",
- "base64 0.21.5",
+ "base64 0.21.7",
"bitfield",
"block-padding",
"blowfish",
@@ -3275,28 +3423,32 @@ dependencies = [
"cfb-mode",
"chrono",
"cipher",
+ "const-oid",
"crc24",
- "curve25519-dalek 4.1.1",
+ "curve25519-dalek 4.1.2",
"derive_builder",
"des",
"digest 0.10.7",
- "ed25519-dalek 2.1.0",
+ "dsa",
+ "ed25519-dalek 2.1.1",
"elliptic-curve 0.13.8",
"flate2",
"generic-array",
"hex",
"idea",
+ "iter-read",
+ "k256",
"log",
"md-5",
"nom",
"num-bigint-dig",
- "num-derive",
"num-traits",
+ "num_enum",
"p256 0.13.2",
"p384 0.13.0",
"rand 0.8.5",
"ripemd",
- "rsa 0.9.5",
+ "rsa 0.9.6",
"sha1",
"sha2 0.10.8",
"sha3",
@@ -3310,22 +3462,22 @@ dependencies = [
[[package]]
name = "pin-project"
-version = "1.1.3"
+version = "1.1.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fda4ed1c6c173e3fc7a83629421152e01d7b1f9b7f65fb301e490e8cfc656422"
+checksum = "0302c4a0442c456bd56f841aee5c3bfd17967563f6fadc9ceb9f9c23cf3807e0"
dependencies = [
"pin-project-internal",
]
[[package]]
name = "pin-project-internal"
-version = "1.1.3"
+version = "1.1.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4359fd9c9171ec6e8c62926d6faaf553a8dc3f64e1507e76da7911b4f6a04405"
+checksum = "266c042b60c9c76b8d53061e52b2e0d1116abc57cefc8c5cd671619a56ac3690"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.52",
]
[[package]]
@@ -3360,7 +3512,7 @@ checksum = "c8ffb9f10fa047879315e6625af03c164b16962a5368d724ed16323b68ace47f"
dependencies = [
"der 0.7.8",
"pkcs8 0.10.2",
- "spki 0.7.2",
+ "spki 0.7.3",
]
[[package]]
@@ -3380,20 +3532,20 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "f950b2377845cebe5cf8b5165cb3cc1a5e0fa5cfa3e1f7f55707d8fd82e0a7b7"
dependencies = [
"der 0.7.8",
- "spki 0.7.2",
+ "spki 0.7.3",
]
[[package]]
name = "pkg-config"
-version = "0.3.27"
+version = "0.3.30"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "26072860ba924cbfa98ea39c8c19b4dd6a4a25423dbdf219c1eca91aa0cf6964"
+checksum = "d231b230927b5e4ad203db57bbcbee2802f6bce620b1e4a9024a07d94e2907ec"
[[package]]
name = "platforms"
-version = "3.2.0"
+version = "3.3.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "14e6ab3f592e6fb464fc9712d8d6e6912de6473954635fd76a589d832cffcbb0"
+checksum = "626dec3cac7cc0e1577a2ec3fc496277ec2baa084bebad95bb6fdbfae235f84c"
[[package]]
name = "plotters"
@@ -3425,9 +3577,9 @@ dependencies = [
[[package]]
name = "png"
-version = "0.17.10"
+version = "0.17.13"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dd75bf2d8dd3702b9707cdbc56a5b9ef42cec752eb8b3bafc01234558442aa64"
+checksum = "06e4b0d3d1312775e782c86c91a111aa1f910cbb65e1337f9975b5f9a554b5e1"
dependencies = [
"bitflags 1.3.2",
"crc32fast",
@@ -3438,9 +3590,9 @@ dependencies = [
[[package]]
name = "portable-atomic"
-version = "1.5.1"
+version = "1.6.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3bccab0e7fd7cc19f820a1c8c91720af652d0c88dc9664dd72aef2614f04af3b"
+checksum = "7170ef9988bc169ba16dd36a7fa041e5c4cbeb6a35b76d4c03daded371eae7c0"
[[package]]
name = "postcard"
@@ -3507,6 +3659,15 @@ dependencies = [
"elliptic-curve 0.13.8",
]
+[[package]]
+name = "proc-macro-crate"
+version = "3.1.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "6d37c51ca738a55da99dc0c4a34860fd675453b8b36209178c2249bb13651284"
+dependencies = [
+ "toml_edit 0.21.1",
+]
+
[[package]]
name = "proc-macro-error"
version = "1.0.4"
@@ -3533,9 +3694,9 @@ dependencies = [
[[package]]
name = "proc-macro2"
-version = "1.0.69"
+version = "1.0.78"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "134c189feb4956b20f6f547d2cf727d4c0fe06722b20a0eec87ed445a97f92da"
+checksum = "e2422ad645d89c99f8f3e6b88a9fdeca7fabeac836b1002371c4367c8f984aae"
dependencies = [
"unicode-ident",
]
@@ -3546,7 +3707,7 @@ version = "1.4.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "31b476131c3c86cb68032fdc5cb6d5a1045e3e42d96b69fa599fd77701e1f5bf"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"lazy_static",
"num-traits",
"rand 0.8.5",
@@ -3564,9 +3725,9 @@ checksum = "4339fc7a1021c9c1621d87f5e3505f2805c8c105420ba2f2a4df86814590c142"
[[package]]
name = "quic-rpc"
-version = "0.6.1"
+version = "0.6.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "6d60c2fc2390baad4b9d41ae9957ae88c3095496f88e252ef50722df8b5b78d7"
+checksum = "1428fcf30c17a159ff10c1f3c69fca92fd7cfa11e9fef7d573f3c6f0da3b5920"
dependencies = [
"bincode",
"educe",
@@ -3639,25 +3800,25 @@ checksum = "055b4e778e8feb9f93c4e439f71dc2156ef13360b432b799e179a8c4cdf0b1d7"
dependencies = [
"bytes",
"libc",
- "socket2 0.5.5",
+ "socket2",
"tracing",
- "windows-sys",
+ "windows-sys 0.48.0",
]
[[package]]
name = "quote"
-version = "1.0.33"
+version = "1.0.35"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5267fca4496028628a95160fc423a33e8b2e6af8a5302579e322e4b520293cae"
+checksum = "291ec9ab5efd934aaf503a6466c5d5251535d108ee747472c3977cc5acc868ef"
dependencies = [
"proc-macro2",
]
[[package]]
name = "quoted_printable"
-version = "0.4.8"
+version = "0.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5a3866219251662ec3b26fc217e3e05bf9c4f84325234dfb96bf0bf840889e49"
+checksum = "79ec282e887b434b68c18fe5c121d38e72a5cf35119b59e54ec5b992ea9c8eb0"
[[package]]
name = "radix_trie"
@@ -3728,7 +3889,7 @@ version = "0.6.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "ec0be4795e2f6a28069bec0b5ff3e2ac9bafc99e6a9a7dc3547996c5c816922c"
dependencies = [
- "getrandom 0.2.11",
+ "getrandom 0.2.12",
]
[[package]]
@@ -3755,9 +3916,9 @@ version = "1.0.0"
[[package]]
name = "rayon"
-version = "1.8.0"
+version = "1.9.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9c27db03db7734835b3f53954b534c91069375ce6ccaa2e065441e07d9b6cdb1"
+checksum = "e4963ed1bc86e4f3ee217022bd855b297cef07fb9eac5dfa1f788b220b49b3bd"
dependencies = [
"either",
"rayon-core",
@@ -3765,9 +3926,9 @@ dependencies = [
[[package]]
name = "rayon-core"
-version = "1.12.0"
+version = "1.12.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5ce3fb6ad83f861aac485e76e1985cd109d9a3713802152be56c3b1f0e0658ed"
+checksum = "1465873a3dfdaa8ae7cb14b4383657caab0b3e8a0aa9ae8e04b044854c8dfce2"
dependencies = [
"crossbeam-deque",
"crossbeam-utils",
@@ -3781,7 +3942,7 @@ checksum = "ffbe84efe2f38dea12e9bfc1f65377fdf03e53a18cb3b995faedf7934c7e785b"
dependencies = [
"pem",
"ring 0.16.20",
- "time 0.3.30",
+ "time 0.3.34",
"yasna",
]
@@ -3809,20 +3970,20 @@ version = "0.4.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "a18479200779601e498ada4e8c1e1f50e3ee19deb0259c25825a98b5603b2cb4"
dependencies = [
- "getrandom 0.2.11",
+ "getrandom 0.2.12",
"libredox",
"thiserror",
]
[[package]]
name = "regex"
-version = "1.10.2"
+version = "1.10.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "380b951a9c5e80ddfd6136919eef32310721aa4aacd4889a8d39124b026ab343"
+checksum = "b62dbe01f0b06f9d8dc7d49e05a0785f153b00b2c227856282f671e0318c9b15"
dependencies = [
"aho-corasick",
"memchr",
- "regex-automata 0.4.3",
+ "regex-automata 0.4.6",
"regex-syntax 0.8.2",
]
@@ -3837,9 +3998,9 @@ dependencies = [
[[package]]
name = "regex-automata"
-version = "0.4.3"
+version = "0.4.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5f804c7828047e88b2d32e2d7fe5a105da8ee3264f01902f796c8e067dc2483f"
+checksum = "86b83b8b9847f9bf95ef68afb0b8e6cdb80f498442f5179a29fad448fcc1eaea"
dependencies = [
"aho-corasick",
"memchr",
@@ -3860,19 +4021,19 @@ checksum = "c08c74e62047bb2de4ff487b251e4a92e24f48745648451635cec7d591162d9f"
[[package]]
name = "reqwest"
-version = "0.11.22"
+version = "0.11.24"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "046cd98826c46c2ac8ddecae268eb5c2e58628688a5fc7a2643704a73faba95b"
+checksum = "c6920094eb85afde5e4a138be3f2de8bbdf28000f0029e72c45025a56b042251"
dependencies = [
- "base64 0.21.5",
+ "base64 0.21.7",
"bytes",
"encoding_rs",
"futures-core",
"futures-util",
- "h2",
- "http",
- "http-body",
- "hyper",
+ "h2 0.3.24",
+ "http 0.2.12",
+ "http-body 0.4.6",
+ "hyper 0.14.28",
"hyper-tls",
"ipnet",
"js-sys",
@@ -3882,9 +4043,11 @@ dependencies = [
"once_cell",
"percent-encoding",
"pin-project-lite",
+ "rustls-pemfile",
"serde",
"serde_json",
"serde_urlencoded",
+ "sync_wrapper",
"system-configuration",
"tokio",
"tokio-native-tls",
@@ -3944,16 +4107,17 @@ dependencies = [
[[package]]
name = "ring"
-version = "0.17.5"
+version = "0.17.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fb0205304757e5d899b9c2e448b867ffd03ae7f988002e47cd24954391394d0b"
+checksum = "c17fa4cb658e3583423e915b9f3acc01cceaee1860e33d59ebae66adc3a2dc0d"
dependencies = [
"cc",
- "getrandom 0.2.11",
+ "cfg-if",
+ "getrandom 0.2.12",
"libc",
"spin 0.9.8",
"untrusted 0.9.0",
- "windows-sys",
+ "windows-sys 0.52.0",
]
[[package]]
@@ -3988,9 +4152,9 @@ dependencies = [
[[package]]
name = "rsa"
-version = "0.9.5"
+version = "0.9.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "af6c4b23d99685a1408194da11270ef8e9809aff951cc70ec9b17350b087e474"
+checksum = "5d0e5124fcb30e76a7e79bfee683a2746db83784b86289f6251b54b7950a0dfc"
dependencies = [
"const-oid",
"digest 0.10.7",
@@ -4001,18 +4165,18 @@ dependencies = [
"pkcs8 0.10.2",
"rand_core 0.6.4",
"signature 2.2.0",
- "spki 0.7.2",
+ "spki 0.7.3",
"subtle",
"zeroize",
]
[[package]]
name = "rusqlite"
-version = "0.30.0"
+version = "0.31.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a78046161564f5e7cd9008aff3b2990b3850dc8e0349119b98e8f251e099f24d"
+checksum = "b838eba278d213a8beaf485bd313fd580ca4505a00d5871caeb1457c55322cae"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"fallible-iterator",
"fallible-streaming-iterator",
"hashlink",
@@ -4058,24 +4222,24 @@ dependencies = [
[[package]]
name = "rustix"
-version = "0.38.25"
+version = "0.38.31"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "dc99bc2d4f1fed22595588a013687477aedf3cdcfb26558c559edb67b4d9b22e"
+checksum = "6ea3e1a662af26cd7a3ba09c0297a31af215563ecf42817c98df621387f4e949"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"errno",
"libc",
"linux-raw-sys",
- "windows-sys",
+ "windows-sys 0.52.0",
]
[[package]]
name = "rustls"
-version = "0.21.9"
+version = "0.21.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "629648aced5775d558af50b2b4c7b02983a04b312126d45eeead26e7caa498b9"
+checksum = "f9d5a6813c0759e4609cd494e8e725babae6a2ca7b62a5536a13daaec6fcb7ba"
dependencies = [
- "ring 0.17.5",
+ "ring 0.17.8",
"rustls-webpki",
"sct",
]
@@ -4098,7 +4262,7 @@ version = "1.0.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "1c74cae0a4cf6ccbbf5f359f08efdf8ee7e1dc532573bf0db71968cb56b1448c"
dependencies = [
- "base64 0.21.5",
+ "base64 0.21.7",
]
[[package]]
@@ -4107,7 +4271,7 @@ version = "0.101.7"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "8b6275d1ee7a1cd780b64aca7726599a1dbc893b1e64144529e55c3c2f745765"
dependencies = [
- "ring 0.17.5",
+ "ring 0.17.8",
"untrusted 0.9.0",
]
@@ -4119,11 +4283,11 @@ checksum = "7ffc183a10b4478d04cbbbfc96d0873219d962dd5accaff2ffbd4ceb7df837f4"
[[package]]
name = "rustyline"
-version = "12.0.0"
+version = "13.0.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "994eca4bca05c87e86e15d90fc7a91d1be64b4482b38cb2d27474568fe7c9db9"
+checksum = "02a2d683a4ac90aeef5b1013933f6d977bd37d51ff3f4dad829d4931a7e6be86"
dependencies = [
- "bitflags 2.4.1",
+ "bitflags 2.4.2",
"cfg-if",
"clipboard-win",
"fd-lock",
@@ -4133,7 +4297,6 @@ dependencies = [
"memchr",
"nix",
"radix_trie",
- "scopeguard",
"unicode-segmentation",
"unicode-width",
"utf8parse",
@@ -4142,15 +4305,9 @@ dependencies = [
[[package]]
name = "ryu"
-version = "1.0.15"
+version = "1.0.17"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1ad4cc8da4ef723ed60bced201181d83791ad433213d8c24efffda1eec85d741"
-
-[[package]]
-name = "safemem"
-version = "0.3.3"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ef703b7cb59335eae2eb93ceb664c0eb7ea6bf567079d843e09420219668e072"
+checksum = "e86697c916019a8588c99b5fac3cead74ec0b4b819707a682fd4d23fa0ce1ba1"
[[package]]
name = "same-file"
@@ -4173,11 +4330,11 @@ dependencies = [
[[package]]
name = "schannel"
-version = "0.1.22"
+version = "0.1.23"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0c3733bf4cf7ea0880754e19cb5a462007c4a8c1914bff372ccc95b464f1df88"
+checksum = "fbc91545643bcf3a0bbb6569265615222618bdf33ce4ffbbd13c4bbd4c093534"
dependencies = [
- "windows-sys",
+ "windows-sys 0.52.0",
]
[[package]]
@@ -4216,7 +4373,7 @@ version = "0.7.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "da046153aa2352493d6cb7da4b6e5c0c057d8a1d0a9aa8560baffdd945acd414"
dependencies = [
- "ring 0.17.5",
+ "ring 0.17.8",
"untrusted 0.9.0",
]
@@ -4273,24 +4430,24 @@ dependencies = [
[[package]]
name = "self_cell"
-version = "1.0.2"
+version = "1.0.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e388332cd64eb80cd595a00941baf513caffae8dce9cfd0467fc9c66397dade6"
+checksum = "58bf37232d3bb9a2c4e641ca2a11d83b5062066f88df7fed36c28772046d65ba"
[[package]]
name = "semver"
-version = "1.0.20"
+version = "1.0.22"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "836fa6a3e1e547f9a2c4040802ec865b5d85f4014efe00555d7090a3dcaa1090"
+checksum = "92d43fe69e652f3df9bdc2b85b2854a0825b86e4fb76bc44d945137d053639ca"
dependencies = [
"serde",
]
[[package]]
name = "serde"
-version = "1.0.193"
+version = "1.0.197"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "25dd9975e68d0cb5aa1120c288333fc98731bd1dd12f561e468ea4728c042b89"
+checksum = "3fb1c873e1b9b056a4dc4c0c198b24c3ffa059243875552b2bd0933b1aee4ce2"
dependencies = [
"serde_derive",
]
@@ -4306,22 +4463,22 @@ dependencies = [
[[package]]
name = "serde_bytes"
-version = "0.11.12"
+version = "0.11.14"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ab33ec92f677585af6d88c65593ae2375adde54efdbf16d597f2cbc7a6d368ff"
+checksum = "8b8497c313fd43ab992087548117643f6fcd935cbf36f176ffda0aacf9591734"
dependencies = [
"serde",
]
[[package]]
name = "serde_derive"
-version = "1.0.193"
+version = "1.0.197"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "43576ca501357b9b071ac53cdc7da8ef0cbd9493d8df094cd821777ea6e894d3"
+checksum = "7eb0b34b42edc17f6b7cac84a52a1c5f0e1bb2227e997ca9011ea3dd34e8610b"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.52",
]
[[package]]
@@ -4337,9 +4494,9 @@ dependencies = [
[[package]]
name = "serde_json"
-version = "1.0.108"
+version = "1.0.114"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3d1c7e3eac408d115102c4c24ad393e0821bb3a5df4d506a80f85f7a742a526b"
+checksum = "c5f09b1bd632ef549eaa9f60a1f8de742bdbc698e6cee2095fc84dde5f549ae0"
dependencies = [
"itoa",
"ryu",
@@ -4348,9 +4505,9 @@ dependencies = [
[[package]]
name = "serde_path_to_error"
-version = "0.1.14"
+version = "0.1.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4beec8bce849d58d06238cb50db2e1c417cfeafa4c63f692b15c82b7c80f8335"
+checksum = "ebd154a240de39fdebcf5775d2675c204d7c13cf39a4c697be6493c8e734337c"
dependencies = [
"itoa",
"serde",
@@ -4358,9 +4515,9 @@ dependencies = [
[[package]]
name = "serde_spanned"
-version = "0.6.4"
+version = "0.6.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "12022b835073e5b11e90a14f86838ceb1c8fb0325b72416845c487ac0fa95e80"
+checksum = "eb3622f419d1296904700073ea6cc23ad690adbd66f13ea683df73298736f0c1"
dependencies = [
"serde",
]
@@ -4488,9 +4645,9 @@ dependencies = [
[[package]]
name = "smallvec"
-version = "1.11.2"
+version = "1.13.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4dccd0940a2dcdf68d092b8cbab7dc0ad8fa938bf95787e1b916b0e3d0e8e970"
+checksum = "e6ecd384b10a64542d77071bd64bd7b231f4ed5940fba55e98c3de13824cf3d7"
[[package]]
name = "smawk"
@@ -4500,22 +4657,12 @@ checksum = "b7c388c1b5e93756d0c740965c41e8822f866621d41acbdf6336a6a168f8840c"
[[package]]
name = "socket2"
-version = "0.4.10"
+version = "0.5.6"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9f7916fc008ca5542385b89a3d3ce689953c143e9304a9bf8beec1de48994c0d"
+checksum = "05ffd9c0a93b7543e062e759284fcf5f5e3b098501104bfbdde4d404db792871"
dependencies = [
"libc",
- "winapi",
-]
-
-[[package]]
-name = "socket2"
-version = "0.5.5"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7b5fac59a5cb5dd637972e5fca70daf0523c9067fcdc4842f053dae04a18f8e9"
-dependencies = [
- "libc",
- "windows-sys",
+ "windows-sys 0.52.0",
]
[[package]]
@@ -4545,9 +4692,9 @@ dependencies = [
[[package]]
name = "spki"
-version = "0.7.2"
+version = "0.7.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9d1e996ef02c474957d681f1b05213dfb0abab947b446a62d37770b23500184a"
+checksum = "d91ed6c858b01f942cd56b37a94b3e0a1798290327d1236e4d9cf4eaca44d29d"
dependencies = [
"base64ct",
"der 0.7.8",
@@ -4594,12 +4741,6 @@ dependencies = [
"pin-project-lite",
]
-[[package]]
-name = "str-buf"
-version = "1.0.6"
-source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9e08d8363704e6c71fc928674353e6b7c23dcea9d82d7012c8faf2a3a025f8d0"
-
[[package]]
name = "strsim"
version = "0.10.0"
@@ -4608,21 +4749,21 @@ checksum = "73473c0e59e6d5812c5dfe2a064a6444949f089e20eec9a2e5506596494e4623"
[[package]]
name = "strum"
-version = "0.25.0"
+version = "0.26.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "290d54ea6f91c969195bdbcd7442c8c2a2ba87da8bf60a7ee86a235d4bc1e125"
+checksum = "723b93e8addf9aa965ebe2d11da6d7540fa2283fcea14b3371ff055f7ba13f5f"
[[package]]
name = "strum_macros"
-version = "0.25.3"
+version = "0.26.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "23dc1fa9ac9c169a78ba62f0b841814b7abae11bdd047b9c58f893439e309ea0"
+checksum = "7a3417fc93d76740d974a01654a09777cb500428cc874ca9f45edfe0c4d4cd18"
dependencies = [
"heck",
"proc-macro2",
"quote",
"rustversion",
- "syn 2.0.39",
+ "syn 2.0.52",
]
[[package]]
@@ -4644,9 +4785,9 @@ dependencies = [
[[package]]
name = "syn"
-version = "2.0.39"
+version = "2.0.52"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "23e78b90f2fcf45d3e842032ce32e3f2d1545ba6636271dcbf24fa306d87be7a"
+checksum = "b699d15b36d1f02c3e7c69f8ffef53de37aefae075d8488d4ba1a7788d574a07"
dependencies = [
"proc-macro2",
"quote",
@@ -4714,31 +4855,30 @@ checksum = "094c9f64d6de9a8506b1e49b63a29333b37ed9e821ee04be694d431b3264c3c5"
[[package]]
name = "tempfile"
-version = "3.8.1"
+version = "3.10.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7ef1adac450ad7f4b3c28589471ade84f25f731a7a0fe30d71dfa9f60fd808e5"
+checksum = "85b77fafb263dd9d05cbeac119526425676db3784113aa9295c88498cbf8bff1"
dependencies = [
"cfg-if",
"fastrand",
- "redox_syscall 0.4.1",
"rustix",
- "windows-sys",
+ "windows-sys 0.52.0",
]
[[package]]
name = "termcolor"
-version = "1.4.0"
+version = "1.4.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "ff1bc3d3f05aff0403e8ac0d92ced918ec05b666a43f83297ccef5bea8a3d449"
+checksum = "06794f8f6c5c898b3275aebefa6b8a1cb24cd2c6c79397ab15774837a0bc5755"
dependencies = [
"winapi-util",
]
[[package]]
name = "testdir"
-version = "0.8.1"
+version = "0.9.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "480060a2e7e1d3c779d3dea588a81c0df78b6a6322b7ce25c0d2ec14a0d5d869"
+checksum = "ee79e927b64d193f5abb60d20a0eb56be0ee5a242fdeb8ce3bf054177006de52"
dependencies = [
"anyhow",
"backtrace",
@@ -4750,9 +4890,9 @@ dependencies = [
[[package]]
name = "textwrap"
-version = "0.16.0"
+version = "0.16.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "222a222a5bfe1bba4a77b45ec488a741b3cb8872e5e499451fd7d0129c9c7c3d"
+checksum = "23d434d3f8967a09480fb04132ebe0a3e088c173e6d0ee7897abbdf4eab0f8b9"
dependencies = [
"smawk",
"unicode-linebreak",
@@ -4761,29 +4901,29 @@ dependencies = [
[[package]]
name = "thiserror"
-version = "1.0.50"
+version = "1.0.57"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f9a7210f5c9a7156bb50aa36aed4c95afb51df0df00713949448cf9e97d382d2"
+checksum = "1e45bcbe8ed29775f228095caf2cd67af7a4ccf756ebff23a306bf3e8b47b24b"
dependencies = [
"thiserror-impl",
]
[[package]]
name = "thiserror-impl"
-version = "1.0.50"
+version = "1.0.57"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "266b2e40bc00e5a6c09c3584011e08b06f123c00362c92b975ba9843aaaa14b8"
+checksum = "a953cb265bef375dae3de6663da4d3804eee9682ea80d8e2542529b73c531c81"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.52",
]
[[package]]
name = "thread_local"
-version = "1.1.7"
+version = "1.1.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3fdd6f064ccff2d6567adcb3873ca630700f00b5ad3f060c25b5dcfd9a4ce152"
+checksum = "8b9ef9bad013ada3808854ceac7b46812a6465ba368859a37e2100283d2d719c"
dependencies = [
"cfg-if",
"once_cell",
@@ -4802,12 +4942,13 @@ dependencies = [
[[package]]
name = "time"
-version = "0.3.30"
+version = "0.3.34"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "c4a34ab300f2dee6e562c10a046fc05e358b29f9bf92277f30c3c8d82275f6f5"
+checksum = "c8248b6521bb14bc45b4067159b9b6ad792e2d6d754d6c41fb50e29fefe38749"
dependencies = [
"deranged",
"itoa",
+ "num-conv",
"powerfmt",
"serde",
"time-core",
@@ -4822,10 +4963,11 @@ checksum = "ef927ca75afb808a4d64dd374f00a2adf8d0fcff8e7b184af886c3c87ec4a3f3"
[[package]]
name = "time-macros"
-version = "0.2.15"
+version = "0.2.17"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "4ad70d68dba9e1f8aceda7aa6711965dfec1cac869f311a51bd08b3a2ccbce20"
+checksum = "7ba3a3ef41e6672a2f0f001392bb5dcd3ff0a9992d618ca761a11c3121547774"
dependencies = [
+ "num-conv",
"time-core",
]
@@ -4856,9 +4998,9 @@ checksum = "1f3ccbac311fea05f86f61904b462b55fb3df8837a366dfc601a0161d0532f20"
[[package]]
name = "tokio"
-version = "1.34.0"
+version = "1.36.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d0c014766411e834f7af5b8f4cf46257aab4036ca95e9d2c144a10f59ad6f5b9"
+checksum = "61285f6515fa018fb2d1e46eb21223fff441ee8db5d0f1435e8ab4f5cdb80931"
dependencies = [
"backtrace",
"bytes",
@@ -4868,9 +5010,9 @@ dependencies = [
"parking_lot",
"pin-project-lite",
"signal-hook-registry",
- "socket2 0.5.5",
+ "socket2",
"tokio-macros",
- "windows-sys",
+ "windows-sys 0.48.0",
]
[[package]]
@@ -4891,7 +5033,7 @@ checksum = "5b8a1e28f2deaa14e508979454cb3a223b10b938b45af148bc0986de36f1923b"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.52",
]
[[package]]
@@ -4947,9 +5089,9 @@ dependencies = [
[[package]]
name = "tokio-tungstenite"
-version = "0.20.1"
+version = "0.21.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "212d5dcb2a1ce06d81107c3d0ffa3121fe974b73f068c8282cb1c32328113b6c"
+checksum = "c83b561d025642014097b66e6c1bb422783339e0909e4429cde4749d1990bc38"
dependencies = [
"futures-util",
"log",
@@ -4973,14 +5115,14 @@ dependencies = [
[[package]]
name = "toml"
-version = "0.8.8"
+version = "0.8.10"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "a1a195ec8c9da26928f773888e0742ca3ca1040c6cd859c919c9f59c1954ab35"
+checksum = "9a9aad4a3066010876e8dcf5a8a06e70a558751117a145c6ce2b82c2e2054290"
dependencies = [
"serde",
"serde_spanned",
"toml_datetime",
- "toml_edit",
+ "toml_edit 0.22.6",
]
[[package]]
@@ -4994,15 +5136,26 @@ dependencies = [
[[package]]
name = "toml_edit"
-version = "0.21.0"
+version = "0.21.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d34d383cd00a163b4a5b85053df514d45bc330f6de7737edfe0a93311d1eaa03"
+checksum = "6a8534fd7f78b5405e860340ad6575217ce99f38d4d5c8f2442cb5ecb50090e1"
+dependencies = [
+ "indexmap",
+ "toml_datetime",
+ "winnow 0.5.40",
+]
+
+[[package]]
+name = "toml_edit"
+version = "0.22.6"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "2c1b5fd4128cc8d3e0cb74d4ed9a9cc7c7284becd4df68f5f940e1ad123606f6"
dependencies = [
"indexmap",
"serde",
"serde_spanned",
"toml_datetime",
- "winnow",
+ "winnow 0.6.5",
]
[[package]]
@@ -5053,7 +5206,7 @@ checksum = "34704c8d6ebcbc939824180af020566b01a7c01f80641264eba0999f6c2b6be7"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.52",
]
[[package]]
@@ -5107,20 +5260,20 @@ dependencies = [
[[package]]
name = "try-lock"
-version = "0.2.4"
+version = "0.2.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "3528ecfd12c466c6f163363caf2d02a71161dd5e1cc6ae7b34207ea2d42d81ed"
+checksum = "e421abadd41a4225275504ea4d6566923418b7f05506fbc9c0fe86ba7396114b"
[[package]]
name = "tungstenite"
-version = "0.20.1"
+version = "0.21.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9e3dac10fd62eaf6617d3a904ae222845979aec67c615d1c842b4002c7666fb9"
+checksum = "9ef1a641ea34f399a848dea702823bbecfb4c486f911735368f1f137cb8257e1"
dependencies = [
"byteorder",
"bytes",
"data-encoding",
- "http",
+ "http 1.1.0",
"httparse",
"log",
"rand 0.8.5",
@@ -5147,9 +5300,9 @@ checksum = "42ff0bf0c66b8238c6f3b578df37d0b7848e55df8577b3f74f92a69acceeb825"
[[package]]
name = "typescript-type-def"
-version = "0.5.9"
+version = "0.5.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "8a548b68faefac1ef83c3682cece0046b4f3efc943a067aacb4dfb99be299f60"
+checksum = "19d9560109be8840693dcb09ed745851f3713bee7b23534b8cab65b7ff9383f1"
dependencies = [
"serde_json",
"typescript-type-def-derive",
@@ -5157,9 +5310,9 @@ dependencies = [
[[package]]
name = "typescript-type-def-derive"
-version = "0.5.9"
+version = "0.5.11"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "2f205a929a19c5dd74f80c9f795c33b4416f7efef7d7b2772f0eff96bdd71c35"
+checksum = "2835fe6badda3e20a012d19d6593ded0fc11f659d5d5152394061ffbb03b4b04"
dependencies = [
"darling 0.13.4",
"ident_case",
@@ -5177,9 +5330,9 @@ checksum = "eaea85b334db583fe3274d12b4cd1880032beab409c0d774be044d4480ab9a94"
[[package]]
name = "unicode-bidi"
-version = "0.3.13"
+version = "0.3.15"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "92888ba5573ff080736b3648696b70cafad7d250551175acbaa4e0385b3e1460"
+checksum = "08f95100a766bf4f8f28f90d77e0a5461bbdb219042e7679bebe79004fed8d75"
[[package]]
name = "unicode-ident"
@@ -5195,18 +5348,18 @@ checksum = "3b09c83c3c29d37506a3e260c08c03743a6bb66a9cd432c6934ab501a190571f"
[[package]]
name = "unicode-normalization"
-version = "0.1.22"
+version = "0.1.23"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5c5713f0fc4b5db668a2ac63cdb7bb4469d8c9fed047b1d0292cc7b0ce2ba921"
+checksum = "a56d1686db2308d901306f92a263857ef59ea39678a5458e7cb17f01415101f5"
dependencies = [
"tinyvec",
]
[[package]]
name = "unicode-segmentation"
-version = "1.10.1"
+version = "1.11.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1dd624098567895118886609431a7c3b8f516e41d30e0643f03d94592a147e36"
+checksum = "d4c87d22b6e3f4a18d4d40ef354e97c90fcb14dd91d7dc0aa9d8a1172ebf7202"
[[package]]
name = "unicode-width"
@@ -5234,12 +5387,12 @@ checksum = "8ecb6da28b8a351d773b68d5825ac39017e680750f980f3a1a85cd8dd28a47c1"
[[package]]
name = "url"
-version = "2.4.1"
+version = "2.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "143b538f18257fac9cad154828a57c6bf5157e1aa604d4816b5995bf6de87ae5"
+checksum = "31e6302e3bb753d46e83516cae55ae196fc0c309407cf11ab35cc51a4c2a4633"
dependencies = [
"form_urlencoded",
- "idna",
+ "idna 0.5.0",
"percent-encoding",
]
@@ -5257,11 +5410,11 @@ checksum = "711b9620af191e0cdc7468a8d14e709c3dcdb115b36f838e601583af800a370a"
[[package]]
name = "uuid"
-version = "1.6.1"
+version = "1.7.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5e395fcf16a7a3d8127ec99782007af141946b4795001f876d54fb0d55978560"
+checksum = "f00cc9702ca12d3c81455259621e676d0f7251cec66a21e98fe2e9a37db93b2a"
dependencies = [
- "getrandom 0.2.11",
+ "getrandom 0.2.12",
"serde",
]
@@ -5285,9 +5438,9 @@ checksum = "49874b5167b65d7193b8aba1567f5c7d93d001cafc34600cee003eda787e483f"
[[package]]
name = "walkdir"
-version = "2.4.0"
+version = "2.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "d71d857dc86794ca4c280d616f7da00d2dbfd8cd788846559a6813e6aa4b54ee"
+checksum = "29790946404f91d9c5d06f9874efddea1dc06c5efe94541a7d6863108e3a5e4b"
dependencies = [
"same-file",
"winapi-util",
@@ -5321,10 +5474,16 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "9c8d87e72b64a3b4db28d11ce29237c246188f4f51057d65a7eab63b7987e423"
[[package]]
-name = "wasm-bindgen"
-version = "0.2.89"
+name = "wasite"
+version = "0.1.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0ed0d4f68a3015cc185aff4db9506a015f4b96f95303897bfa23f846db54064e"
+checksum = "b8dad83b4f25e74f184f64c43b150b91efe7647395b42289f38e50566d82855b"
+
+[[package]]
+name = "wasm-bindgen"
+version = "0.2.92"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "4be2531df63900aeb2bca0daaaddec08491ee64ceecbee5076636a3b026795a8"
dependencies = [
"cfg-if",
"wasm-bindgen-macro",
@@ -5332,24 +5491,24 @@ dependencies = [
[[package]]
name = "wasm-bindgen-backend"
-version = "0.2.89"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "1b56f625e64f3a1084ded111c4d5f477df9f8c92df113852fa5a374dbda78826"
+checksum = "614d787b966d3989fa7bb98a654e369c762374fd3213d212cfc0251257e747da"
dependencies = [
"bumpalo",
"log",
"once_cell",
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.52",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-futures"
-version = "0.4.38"
+version = "0.4.42"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9afec9963e3d0994cac82455b2b3502b81a7f40f9a0d32181f7528d9f4b43e02"
+checksum = "76bc14366121efc8dbb487ab05bcc9d346b3b5ec0eaa76e46594cabbe51762c0"
dependencies = [
"cfg-if",
"js-sys",
@@ -5359,9 +5518,9 @@ dependencies = [
[[package]]
name = "wasm-bindgen-macro"
-version = "0.2.89"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "0162dbf37223cd2afce98f3d0785506dcb8d266223983e4b5b525859e6e182b2"
+checksum = "a1f8823de937b71b9460c0c34e25f3da88250760bec0ebac694b49997550d726"
dependencies = [
"quote",
"wasm-bindgen-macro-support",
@@ -5369,28 +5528,28 @@ dependencies = [
[[package]]
name = "wasm-bindgen-macro-support"
-version = "0.2.89"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f0eb82fcb7930ae6219a7ecfd55b217f5f0893484b7a13022ebb2b2bf20b5283"
+checksum = "e94f17b526d0a461a191c78ea52bbce64071ed5c04c9ffe424dcb38f74171bb7"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.52",
"wasm-bindgen-backend",
"wasm-bindgen-shared",
]
[[package]]
name = "wasm-bindgen-shared"
-version = "0.2.89"
+version = "0.2.92"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "7ab9b36309365056cd639da3134bf87fa8f3d86008abf99e612384a6eecd459f"
+checksum = "af190c94f2773fdb3729c55b007a722abb5384da03bc0986df4c289bf5567e96"
[[package]]
name = "web-sys"
-version = "0.3.65"
+version = "0.3.69"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "5db499c5f66323272151db0e666cd34f78617522fb0c1604d31a27c50c206a85"
+checksum = "77afa9a11836342370f4817622a2f0f418b134426d91a82dfb48f532d2ec13ef"
dependencies = [
"js-sys",
"wasm-bindgen",
@@ -5398,17 +5557,18 @@ dependencies = [
[[package]]
name = "weezl"
-version = "0.1.7"
+version = "0.1.8"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "9193164d4de03a926d909d3bc7c30543cecb35400c02114792c2cae20d5e2dbb"
+checksum = "53a85b86a771b1c87058196170769dd264f66c0782acf1ae6cc51bfd64b39082"
[[package]]
name = "whoami"
-version = "1.4.1"
+version = "1.5.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "22fc3756b8a9133049b26c7f61ab35416c130e8c09b660f5b3958b446f52cc50"
+checksum = "0fec781d48b41f8163426ed18e8fc2864c12937df9ce54c88ede7bd47270893e"
dependencies = [
- "wasm-bindgen",
+ "redox_syscall 0.4.1",
+ "wasite",
"web-sys",
]
@@ -5464,11 +5624,11 @@ dependencies = [
[[package]]
name = "windows-core"
-version = "0.51.1"
+version = "0.52.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f1f8cf84f35d2db49a46868f947758c7a1138116f7fac3bc844f43ade1292e64"
+checksum = "33ab640c8d7e35bf8ba19b884ba838ceb4fba93a4e8c65a9059d08afcfc683d9"
dependencies = [
- "windows-targets",
+ "windows-targets 0.52.4",
]
[[package]]
@@ -5477,7 +5637,16 @@ version = "0.48.0"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "677d2418bec65e3338edb076e806bc1ec15693c5d0104683f2efe857f61056a9"
dependencies = [
- "windows-targets",
+ "windows-targets 0.48.5",
+]
+
+[[package]]
+name = "windows-sys"
+version = "0.52.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "282be5f36a8ce781fad8c8ae18fa3f9beff57ec1b52cb3de0789201425d9a33d"
+dependencies = [
+ "windows-targets 0.52.4",
]
[[package]]
@@ -5486,21 +5655,42 @@ version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "9a2fa6e2155d7247be68c096456083145c183cbbbc2764150dda45a87197940c"
dependencies = [
- "windows_aarch64_gnullvm",
+ "windows_aarch64_gnullvm 0.48.5",
"windows_aarch64_msvc 0.48.5",
"windows_i686_gnu 0.48.5",
"windows_i686_msvc 0.48.5",
"windows_x86_64_gnu 0.48.5",
- "windows_x86_64_gnullvm",
+ "windows_x86_64_gnullvm 0.48.5",
"windows_x86_64_msvc 0.48.5",
]
+[[package]]
+name = "windows-targets"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "7dd37b7e5ab9018759f893a1952c9420d060016fc19a472b4bb20d1bdd694d1b"
+dependencies = [
+ "windows_aarch64_gnullvm 0.52.4",
+ "windows_aarch64_msvc 0.52.4",
+ "windows_i686_gnu 0.52.4",
+ "windows_i686_msvc 0.52.4",
+ "windows_x86_64_gnu 0.52.4",
+ "windows_x86_64_gnullvm 0.52.4",
+ "windows_x86_64_msvc 0.52.4",
+]
+
[[package]]
name = "windows_aarch64_gnullvm"
version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "2b38e32f0abccf9987a4e3079dfb67dcd799fb61361e53e2882c3cbaf0d905d8"
+[[package]]
+name = "windows_aarch64_gnullvm"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "bcf46cf4c365c6f2d1cc93ce535f2c8b244591df96ceee75d8e83deb70a9cac9"
+
[[package]]
name = "windows_aarch64_msvc"
version = "0.32.0"
@@ -5513,6 +5703,12 @@ version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "dc35310971f3b2dbbf3f0690a219f40e2d9afcf64f9ab7cc1be722937c26b4bc"
+[[package]]
+name = "windows_aarch64_msvc"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "da9f259dd3bcf6990b55bffd094c4f7235817ba4ceebde8e6d11cd0c5633b675"
+
[[package]]
name = "windows_i686_gnu"
version = "0.32.0"
@@ -5525,6 +5721,12 @@ version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "a75915e7def60c94dcef72200b9a8e58e5091744960da64ec734a6c6e9b3743e"
+[[package]]
+name = "windows_i686_gnu"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "b474d8268f99e0995f25b9f095bc7434632601028cf86590aea5c8a5cb7801d3"
+
[[package]]
name = "windows_i686_msvc"
version = "0.32.0"
@@ -5537,6 +5739,12 @@ version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "8f55c233f70c4b27f66c523580f78f1004e8b5a8b659e05a4eb49d4166cca406"
+[[package]]
+name = "windows_i686_msvc"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "1515e9a29e5bed743cb4415a9ecf5dfca648ce85ee42e15873c3cd8610ff8e02"
+
[[package]]
name = "windows_x86_64_gnu"
version = "0.32.0"
@@ -5549,12 +5757,24 @@ version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "53d40abd2583d23e4718fddf1ebec84dbff8381c07cae67ff7768bbf19c6718e"
+[[package]]
+name = "windows_x86_64_gnu"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "5eee091590e89cc02ad514ffe3ead9eb6b660aedca2183455434b93546371a03"
+
[[package]]
name = "windows_x86_64_gnullvm"
version = "0.48.5"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "0b7b52767868a23d5bab768e390dc5f5c55825b6d30b86c844ff2dc7414044cc"
+[[package]]
+name = "windows_x86_64_gnullvm"
+version = "0.52.4"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "77ca79f2451b49fa9e2af39f0747fe999fcda4f5e241b2898624dca97a1f2177"
+
[[package]]
name = "windows_x86_64_msvc"
version = "0.32.0"
@@ -5568,10 +5788,25 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "ed94fce61571a4006852b7389a063ab983c02eb1bb37b47f8272ce92d06d9538"
[[package]]
-name = "winnow"
-version = "0.5.19"
+name = "windows_x86_64_msvc"
+version = "0.52.4"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "829846f3e3db426d4cee4510841b71a8e58aa2a76b1132579487ae430ccd9c7b"
+checksum = "32b752e52a2da0ddfbdbcc6fceadfeede4c939ed16d13e648833a61dfb611ed8"
+
+[[package]]
+name = "winnow"
+version = "0.5.40"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "f593a95398737aeed53e489c785df13f3618e41dbcd6718c6addbf1395aa6876"
+dependencies = [
+ "memchr",
+]
+
+[[package]]
+name = "winnow"
+version = "0.6.5"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "dffa400e67ed5a4dd237983829e66475f0a4a26938c4b04c21baede6262215b8"
dependencies = [
"memchr",
]
@@ -5583,16 +5818,16 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "524e57b2c537c0f9b1e69f1965311ec12182b4122e45035b1508cd24d2adadb1"
dependencies = [
"cfg-if",
- "windows-sys",
+ "windows-sys 0.48.0",
]
[[package]]
name = "x25519-dalek"
-version = "2.0.0"
+version = "2.0.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "fb66477291e7e8d2b0ff1bcb900bf29489a9692816d79874bea351e7a8b6de96"
+checksum = "c7e468321c81fb07fa7f4c636c3972b9100f0346e5b6a9f2bd0603a52f7ed277"
dependencies = [
- "curve25519-dalek 4.1.1",
+ "curve25519-dalek 4.1.2",
"rand_core 0.6.4",
"serde",
"zeroize",
@@ -5613,16 +5848,18 @@ dependencies = [
"oid-registry",
"rusticata-macros",
"thiserror",
- "time 0.3.30",
+ "time 0.3.34",
]
[[package]]
name = "xattr"
-version = "1.0.1"
+version = "1.3.1"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f4686009f71ff3e5c4dbcf1a282d0a44db3f021ba69350cd42086b3e5f1c6985"
+checksum = "8da84f1a25939b27f6820d92aed108f83ff920fdf11a7b19366c27c4cda81d4f"
dependencies = [
"libc",
+ "linux-raw-sys",
+ "rustix",
]
[[package]]
@@ -5637,14 +5874,14 @@ version = "0.5.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "e17bb3549cc1321ae1296b9cdc2698e2b6cb1992adfa19a8c72e5b7a738f44cd"
dependencies = [
- "time 0.3.30",
+ "time 0.3.34",
]
[[package]]
name = "yerpc"
-version = "0.5.2"
+version = "0.5.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "75b5547af776328f66a5476ea3b7c0789e6fed164eb32d1a2122cfb39ffa505d"
+checksum = "31978be1300d9d078f34ebb05660fbf3b5114611316a4777bb9ce269a7a33f38"
dependencies = [
"anyhow",
"async-channel 1.9.0",
@@ -5665,9 +5902,9 @@ dependencies = [
[[package]]
name = "yerpc_derive"
-version = "0.5.2"
+version = "0.5.3"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f321bb5f728fb066af06c5a994e4375f1f8b054ee6d650766f0bd68dfa4faefe"
+checksum = "0e510aa045bc7be964b982c68f001933fce4fbe609bb98de60068fa8cefe6308"
dependencies = [
"convert_case 0.5.0",
"darling 0.14.4",
@@ -5678,22 +5915,22 @@ dependencies = [
[[package]]
name = "zerocopy"
-version = "0.7.27"
+version = "0.7.32"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "f43de342578a3a14a9314a2dab1942cbfcbe5686e1f91acdc513058063eafe18"
+checksum = "74d4d3961e53fa4c9a25a8637fc2bfaf2595b3d3ae34875568a5cf64787716be"
dependencies = [
"zerocopy-derive",
]
[[package]]
name = "zerocopy-derive"
-version = "0.7.27"
+version = "0.7.32"
source = "registry+https://github.com/rust-lang/crates.io-index"
-checksum = "e1012d89e3acb79fad7a799ce96866cfb8098b74638465ea1b1533d35900ca90"
+checksum = "9ce1b18ccd8e73a9321186f97e46f9f04b778851177567b1975109d26a08d2a6"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.52",
]
[[package]]
@@ -5713,5 +5950,5 @@ checksum = "ce36e65b0d2999d2aafac989fb249189a141aee1f53c612c1f37d72631959f69"
dependencies = [
"proc-macro2",
"quote",
- "syn 2.0.39",
+ "syn 2.0.52",
]
diff --git a/pkgs/development/libraries/libdeltachat/default.nix b/pkgs/development/libraries/libdeltachat/default.nix
index 0d7b96562104..75ba9ab621b2 100644
--- a/pkgs/development/libraries/libdeltachat/default.nix
+++ b/pkgs/development/libraries/libdeltachat/default.nix
@@ -23,22 +23,20 @@ let
cargoLock = {
lockFile = ./Cargo.lock;
outputHashes = {
- "email-0.0.21" = "sha256-u4CsK/JqFgq5z3iJGxxGtb7QbSkOAqmOvrmagsqfXIU=";
+ "email-0.0.20" = "sha256-rV4Uzqt2Qdrfi5Ti1r+Si1c2iW1kKyWLwOgLkQ5JGGw=";
"encoded-words-0.2.0" = "sha256-KK9st0hLFh4dsrnLd6D8lC6pRFFs8W+WpZSGMGJcosk=";
- "imap-proto-0.16.3" = "sha256-okIHA8MQ1K/tcKHZYGh83zom1ULGHZ/KGxgcwiE1+sE=";
- "iroh-0.4.2" = "sha256-VXNMmj+AvlY/W2JRWMICoNOqpFEahsUDxypHRg185Ao=";
"lettre-0.9.2" = "sha256-+hU1cFacyyeC9UGVBpS14BWlJjHy90i/3ynMkKAzclk=";
};
};
in stdenv.mkDerivation rec {
pname = "libdeltachat";
- version = "1.131.9";
+ version = "1.136.3";
src = fetchFromGitHub {
owner = "deltachat";
repo = "deltachat-core-rust";
rev = "v${version}";
- hash = "sha256-xZai5RsrfT6bYzMpNdKncmDzBzcAcEtZZmh7f+3g5Hs=";
+ hash = "sha256-/ZWpPpxnOCLGswrfbEPvfUn1LpdBQeR5LecRAB0PEhI=";
};
patches = [
diff --git a/pkgs/development/libraries/libdevil/default.nix b/pkgs/development/libraries/libdevil/default.nix
index 0cba50c3a924..36bd2f9ef12f 100644
--- a/pkgs/development/libraries/libdevil/default.nix
+++ b/pkgs/development/libraries/libdevil/default.nix
@@ -69,6 +69,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
homepage = "https://openil.sourceforge.net/";
description = "An image library which can can load, save, convert, manipulate, filter and display a wide variety of image formats";
+ mainProgram = "ilur";
license = licenses.lgpl2;
pkgConfigModules = [ "IL" ];
platforms = platforms.mesaPlatforms;
diff --git a/pkgs/development/libraries/libdicom/default.nix b/pkgs/development/libraries/libdicom/default.nix
index cb4d68121ef5..94dfaf81298d 100644
--- a/pkgs/development/libraries/libdicom/default.nix
+++ b/pkgs/development/libraries/libdicom/default.nix
@@ -1,6 +1,7 @@
{ lib
, stdenv
, fetchFromGitHub
+, fetchpatch
, uthash
, meson
, ninja
@@ -19,6 +20,15 @@ stdenv.mkDerivation (finalAttrs: {
sha256 = "sha256-9n0Gp9+fmTM/shgWC8zpwt1pic9BrvDubOt7f+ZDMeE=";
};
+ patches = [
+ (fetchpatch {
+ name = "CVE-2024-24793.CVE-2024-24794.patch";
+ url = "https://github.com/ImagingDataCommons/libdicom/commit/3661aa4cdbe9c39f67d38ae87520f9e3ed50ab16.patch";
+ excludes = [ "CHANGELOG.md" ];
+ hash = "sha256-/KTp0nKYk6jX4phNHY+nzjEptUBHKM2JkOftS5vHsEw=";
+ })
+ ];
+
buildInputs = [ uthash ];
nativeBuildInputs = [ meson ninja pkg-config ]
diff --git a/pkgs/development/libraries/libdigidocpp/default.nix b/pkgs/development/libraries/libdigidocpp/default.nix
index 49c3713bbe0e..90a169df7734 100644
--- a/pkgs/development/libraries/libdigidocpp/default.nix
+++ b/pkgs/development/libraries/libdigidocpp/default.nix
@@ -28,6 +28,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Library for creating DigiDoc signature files";
+ mainProgram = "digidoc-tool";
homepage = "https://www.id.ee/";
license = licenses.lgpl21Plus;
platforms = platforms.linux;
diff --git a/pkgs/development/libraries/libdisplay-info/default.nix b/pkgs/development/libraries/libdisplay-info/default.nix
index 783ee4ad483d..20376ba6a4b3 100644
--- a/pkgs/development/libraries/libdisplay-info/default.nix
+++ b/pkgs/development/libraries/libdisplay-info/default.nix
@@ -30,6 +30,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "EDID and DisplayID library";
+ mainProgram = "di-edid-decode";
homepage = "https://gitlab.freedesktop.org/emersion/libdisplay-info";
license = licenses.mit;
platforms = platforms.linux;
diff --git a/pkgs/development/libraries/libdivecomputer/default.nix b/pkgs/development/libraries/libdivecomputer/default.nix
index 8e37bd773cb3..f79e3dc717a5 100644
--- a/pkgs/development/libraries/libdivecomputer/default.nix
+++ b/pkgs/development/libraries/libdivecomputer/default.nix
@@ -14,6 +14,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "http://www.libdivecomputer.org";
description = "A cross-platform and open source library for communication with dive computers from various manufacturers";
+ mainProgram = "dctool";
maintainers = [ maintainers.mguentner ];
license = licenses.lgpl21;
platforms = platforms.all;
diff --git a/pkgs/development/libraries/libdvdnav/4.2.1.nix b/pkgs/development/libraries/libdvdnav/4.2.1.nix
index 0285ff22fe2f..5567d7c39c28 100644
--- a/pkgs/development/libraries/libdvdnav/4.2.1.nix
+++ b/pkgs/development/libraries/libdvdnav/4.2.1.nix
@@ -21,6 +21,7 @@ stdenv.mkDerivation rec {
meta = {
homepage = "http://dvdnav.mplayerhq.hu/";
description = "A library that implements DVD navigation features such as DVD menus";
+ mainProgram = "dvdnav-config";
license = lib.licenses.gpl2;
maintainers = [ lib.maintainers.wmertens ];
platforms = lib.platforms.linux;
diff --git a/pkgs/development/libraries/libedit/default.nix b/pkgs/development/libraries/libedit/default.nix
deleted file mode 100644
index 97636e36e848..000000000000
--- a/pkgs/development/libraries/libedit/default.nix
+++ /dev/null
@@ -1,43 +0,0 @@
-{ lib, stdenv, fetchurl, ncurses }:
-
-stdenv.mkDerivation rec {
- pname = "libedit";
- version = "20230828-3.1";
-
- src = fetchurl {
- url = "https://thrysoee.dk/editline/${pname}-${version}.tar.gz";
- sha256 = "sha256-TugYK25WkpDn0fRPD3jayHFrNfZWt2Uo9pnGnJiBTa0=";
- };
-
- outputs = [ "out" "dev" ];
-
- # Have `configure' avoid `/usr/bin/nroff' in non-chroot builds.
- # NROFF = "${groff}/bin/nroff";
-
- # GCC automatically include `stdc-predefs.h` while Clang does not do
- # this by default. While Musl is ISO 10646 compliant, doesn't define
- # __STDC_ISO_10646__. This definition is in `stdc-predefs.h` that's
- # why libedit builds just fine with GCC and Musl.
- # There is a DR to fix this issue with Clang which is not merged
- # yet.
- # https://reviews.llvm.org/D137043
- env.NIX_CFLAGS_COMPILE =
- lib.optionalString (stdenv.targetPlatform.isMusl && stdenv.cc.isClang)
- "-D__STDC_ISO_10646__=201103L";
-
- patches = [ ./01-cygwin.patch ];
-
- propagatedBuildInputs = [ ncurses ];
-
- postInstall = ''
- find $out/lib -type f | grep '\.\(la\|pc\)''$' | xargs sed -i \
- -e 's,-lncurses[a-z]*,-L${ncurses.out}/lib -lncursesw,g'
- '';
-
- meta = with lib; {
- homepage = "http://www.thrysoee.dk/editline/";
- description = "A port of the NetBSD Editline library (libedit)";
- license = licenses.bsd3;
- platforms = platforms.all;
- };
-}
diff --git a/pkgs/development/libraries/libei/default.nix b/pkgs/development/libraries/libei/default.nix
index 18bf680ca840..5ce873879064 100644
--- a/pkgs/development/libraries/libei/default.nix
+++ b/pkgs/development/libraries/libei/default.nix
@@ -2,7 +2,6 @@
, stdenv
, fetchFromGitHub
, fetchFromGitLab
-, attr
, libevdev
, libxkbcommon
, meson
@@ -10,8 +9,8 @@
, pkg-config
, protobuf
, protobufc
-, python3
, systemd
+, buildPackages
}:
let
munit = fetchFromGitHub {
@@ -23,14 +22,14 @@ let
in
stdenv.mkDerivation rec {
pname = "libei";
- version = "1.2.0";
+ version = "1.2.1";
src = fetchFromGitLab {
domain = "gitlab.freedesktop.org";
owner = "libinput";
repo = "libei";
rev = version;
- hash = "sha256-MHPWEBMtxoEJ8j3LyDPD+m3DsO9u8nE+/pPtRHHXEXA=";
+ hash = "sha256-8n/C0rBlyhY8SaxkwU+tDskwSQObLRrR+utk4Ktgb7U=";
};
buildInputs = [
@@ -44,7 +43,7 @@ stdenv.mkDerivation rec {
meson
ninja
pkg-config
- (python3.withPackages(ps: with ps; [
+ (buildPackages.python3.withPackages (ps: with ps; [
attrs
jinja2
pytest
@@ -61,6 +60,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Library for Emulated Input";
+ mainProgram = "ei-debug-events";
homepage = "https://gitlab.freedesktop.org/libinput/libei";
license = licenses.mit;
maintainers = [ maintainers.pedrohlc ];
diff --git a/pkgs/development/libraries/libemf2svg/default.nix b/pkgs/development/libraries/libemf2svg/default.nix
index 4bb7caa02615..351d9345f3f7 100644
--- a/pkgs/development/libraries/libemf2svg/default.nix
+++ b/pkgs/development/libraries/libemf2svg/default.nix
@@ -23,6 +23,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Microsoft EMF to SVG conversion library";
+ mainProgram = "emf2svg-conv";
homepage = "https://github.com/kakwa/libemf2svg";
maintainers = with maintainers; [ erdnaxe ];
license = licenses.gpl2Only;
diff --git a/pkgs/development/libraries/libepoxy/default.nix b/pkgs/development/libraries/libepoxy/default.nix
index cd98e2229fe2..9fab4c72947e 100644
--- a/pkgs/development/libraries/libepoxy/default.nix
+++ b/pkgs/development/libraries/libepoxy/default.nix
@@ -11,13 +11,14 @@
, Carbon
, OpenGL
, x11Support ? !stdenv.isDarwin
+, testers
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "libepoxy";
version = "1.5.10";
- src = fetchFromGitHub {
+ src = with finalAttrs; fetchFromGitHub {
owner = "anholt";
repo = pname;
rev = version;
@@ -58,7 +59,7 @@ stdenv.mkDerivation rec {
mesonFlags = [
"-Degl=${if (x11Support && !stdenv.isDarwin) then "yes" else "no"}"
"-Dglx=${if x11Support then "yes" else "no"}"
- "-Dtests=${lib.boolToString doCheck}"
+ "-Dtests=${lib.boolToString finalAttrs.doCheck}"
"-Dx11=${lib.boolToString x11Support}"
];
@@ -66,6 +67,12 @@ stdenv.mkDerivation rec {
doCheck = true;
+ passthru.tests = {
+ pkg-config = testers.hasPkgConfigModules {
+ package = finalAttrs.finalPackage;
+ };
+ };
+
meta = with lib; {
description = "A library for handling OpenGL function pointer management";
homepage = "https://github.com/anholt/libepoxy";
@@ -74,4 +81,4 @@ stdenv.mkDerivation rec {
platforms = platforms.unix;
pkgConfigModules = [ "epoxy" ];
};
-}
+})
diff --git a/pkgs/development/libraries/liberfa/default.nix b/pkgs/development/libraries/liberfa/default.nix
index b59d3aab4a33..612d5ec34b78 100644
--- a/pkgs/development/libraries/liberfa/default.nix
+++ b/pkgs/development/libraries/liberfa/default.nix
@@ -2,7 +2,7 @@
stdenv.mkDerivation rec {
pname = "erfa";
- version = "2.0.0";
+ version = "2.0.1";
nativeBuildInputs = [ autoreconfHook ];
@@ -10,7 +10,7 @@ stdenv.mkDerivation rec {
owner = "liberfa";
repo = "erfa";
rev = "v${version}";
- sha256 = "sha256-xBE8mWwmvlu0v3Up5y6J8jMhToMSACdKeQzPJoG8LWk=";
+ sha256 = "sha256-NtHYgiN5mo3kWC2H+5TUDbU1nFrwuhNyOIhg2jZbssM=";
};
configureFlags = [ "--enable-shared" ];
diff --git a/pkgs/development/libraries/libevent/default.nix b/pkgs/development/libraries/libevent/default.nix
index 782d86f1f581..ff9784064432 100644
--- a/pkgs/development/libraries/libevent/default.nix
+++ b/pkgs/development/libraries/libevent/default.nix
@@ -54,6 +54,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Event notification library";
+ mainProgram = "event_rpcgen.py";
longDescription = ''
The libevent API provides a mechanism to execute a callback function
when a specific event occurs on a file descriptor or after a timeout
diff --git a/pkgs/development/libraries/libextractor/default.nix b/pkgs/development/libraries/libextractor/default.nix
index 272cd3d9aa3e..d8e8d6101c13 100644
--- a/pkgs/development/libraries/libextractor/default.nix
+++ b/pkgs/development/libraries/libextractor/default.nix
@@ -75,6 +75,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Simple library for keyword extraction";
+ mainProgram = "extract";
longDescription =
'' GNU libextractor is a library used to extract meta-data from files
diff --git a/pkgs/development/libraries/libffi/default.nix b/pkgs/development/libraries/libffi/default.nix
index 6394a35d782d..edd16ec21506 100644
--- a/pkgs/development/libraries/libffi/default.nix
+++ b/pkgs/development/libraries/libffi/default.nix
@@ -6,15 +6,16 @@
, doCheck ? !(stdenv.hostPlatform.isStatic)
, dejagnu
, nix-update-script
+, testers
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "libffi";
- version = "3.4.4";
+ version = "3.4.6";
src = fetchurl {
- url = "https://github.com/libffi/libffi/releases/download/v${version}/${pname}-${version}.tar.gz";
- sha256 = "sha256-1mxWrSWags8qnfxAizK/XaUjcVALhHRff7i2RXEt9nY=";
+ url = with finalAttrs; "https://github.com/libffi/libffi/releases/download/v${version}/${pname}-${version}.tar.gz";
+ hash = "sha256-sN6p3yPIY6elDoJUQPPr/6vWXfFJcQjl1Dd0eEOJWk4=";
};
# Note: this package is used for bootstrapping fetchurl, and thus
@@ -22,9 +23,6 @@ stdenv.mkDerivation rec {
# cgit) that are needed here should be included directly in Nixpkgs as
# files.
patches = [
- # Fix implicit function declarations (clang-16 build failure):
- # https://github.com/libffi/libffi/pull/764
- ./fix-implicit-fun-decl.patch
];
strictDeps = true;
@@ -53,6 +51,11 @@ stdenv.mkDerivation rec {
passthru = {
updateScript = nix-update-script { };
+ tests = {
+ pkg-config = testers.hasPkgConfigModules {
+ package = finalAttrs.finalPackage;
+ };
+ };
};
meta = with lib; {
@@ -75,5 +78,6 @@ stdenv.mkDerivation rec {
license = licenses.mit;
maintainers = with maintainers; [ matthewbauer ];
platforms = platforms.all;
+ pkgConfigModules = [ "libffi" ];
};
-}
+})
diff --git a/pkgs/development/libraries/libffi/fix-implicit-fun-decl.patch b/pkgs/development/libraries/libffi/fix-implicit-fun-decl.patch
deleted file mode 100644
index c0bd32d4333c..000000000000
--- a/pkgs/development/libraries/libffi/fix-implicit-fun-decl.patch
+++ /dev/null
@@ -1,46 +0,0 @@
-https://github.com/libffi/libffi/commit/ce077e5565366171aa1b4438749b0922fce887a4.patch
-
-From ce077e5565366171aa1b4438749b0922fce887a4 Mon Sep 17 00:00:00 2001
-From: serge-sans-paille
-Date: Thu, 2 Feb 2023 14:46:29 +0000
-Subject: [PATCH] Forward declare open_temp_exec_file (#764)
-
-It's defined in closures.c and used in tramp.c.
-Also declare it as an hidden symbol, as it should be.
-
-Co-authored-by: serge-sans-paille
----
- include/ffi_common.h | 4 ++++
- src/tramp.c | 4 ++++
- 2 files changed, 8 insertions(+)
-
-diff --git a/include/ffi_common.h b/include/ffi_common.h
-index 2bd31b03d..c53a79493 100644
---- a/include/ffi_common.h
-+++ b/include/ffi_common.h
-@@ -128,6 +128,10 @@ void *ffi_data_to_code_pointer (void *data) FFI_HIDDEN;
- static trampoline. */
- int ffi_tramp_is_present (void *closure) FFI_HIDDEN;
-
-+/* Return a file descriptor of a temporary zero-sized file in a
-+ writable and executable filesystem. */
-+int open_temp_exec_file(void) FFI_HIDDEN;
-+
- /* Extended cif, used in callback from assembly routine */
- typedef struct
- {
-diff --git a/src/tramp.c b/src/tramp.c
-index 7e005b054..5f19b557f 100644
---- a/src/tramp.c
-+++ b/src/tramp.c
-@@ -39,6 +39,10 @@
- #ifdef __linux__
- #define _GNU_SOURCE 1
- #endif
-+
-+#include
-+#include
-+
- #include
- #include
- #include
diff --git a/pkgs/development/libraries/libfilezilla/default.nix b/pkgs/development/libraries/libfilezilla/default.nix
index 99a3351d56ee..7e90a05fb5b0 100644
--- a/pkgs/development/libraries/libfilezilla/default.nix
+++ b/pkgs/development/libraries/libfilezilla/default.nix
@@ -12,11 +12,11 @@
stdenv.mkDerivation rec {
pname = "libfilezilla";
- version = "0.45.0";
+ version = "0.46.0";
src = fetchurl {
url = "https://download.filezilla-project.org/${pname}/${pname}-${version}.tar.xz";
- hash = "sha256-PBRUvBWG0Xd29ix1BdQ6BtOr0uLjVkLMpHf6IvJ9mC8=";
+ hash = "sha256-OHr1xNSENIKl+/GD0B3ZYZtLha+g1olcXuyzpgEvrCE=";
};
nativeBuildInputs = [ autoreconfHook pkg-config ];
diff --git a/pkgs/development/libraries/libfive/default.nix b/pkgs/development/libraries/libfive/default.nix
index 95fcf7131103..3f3c7f753c70 100644
--- a/pkgs/development/libraries/libfive/default.nix
+++ b/pkgs/development/libraries/libfive/default.nix
@@ -2,6 +2,7 @@
, stdenv
, wrapQtAppsHook
, fetchFromGitHub
+, unstableGitUpdater
, cmake
, ninja
, pkg-config
@@ -17,13 +18,13 @@
stdenv.mkDerivation {
pname = "libfive";
- version = "unstable-2023-06-07";
+ version = "0-unstable-2024-02-14";
src = fetchFromGitHub {
owner = "libfive";
repo = "libfive";
- rev = "c85ffe1ba1570c2551434c5bad731884aaf80598";
- hash = "sha256-OITy3fJx+Z6856V3D/KpSQRJztvOdJdqUv1c65wNgCc=";
+ rev = "7af5f43684a8a497ac8610d39f7fca935364a9b9";
+ hash = "sha256-GQzsHKeKsCWKOVfBrTuUFq2XasPxhsN+19stWY0WtVc=";
};
nativeBuildInputs = [ wrapQtAppsHook cmake ninja pkg-config python.pkgs.pythonImportsCheckHook ];
@@ -95,6 +96,8 @@ stdenv.mkDerivation {
"libfive.stdlib"
];
+ passthru.updateScript = unstableGitUpdater { };
+
meta = with lib; {
description = "Infrastructure for solid modeling with F-Reps in C, C++, and Guile";
homepage = "https://libfive.com/";
diff --git a/pkgs/development/libraries/libgbinder/default.nix b/pkgs/development/libraries/libgbinder/default.nix
index 4a4a0ee6bf21..3f30a443e094 100644
--- a/pkgs/development/libraries/libgbinder/default.nix
+++ b/pkgs/development/libraries/libgbinder/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "libgbinder";
- version = "1.1.36";
+ version = "1.1.38";
src = fetchFromGitHub {
owner = "mer-hybris";
repo = pname;
rev = version;
- sha256 = "sha256-QTlOiZG6qpNeicMJpOTMSTk2WwKbOzkaLulgmsxYaVI=";
+ sha256 = "sha256-k5oCwi1KvyRVawH0d4Kp+MCxkymAtl6zVk7DVRXFVMg=";
};
outputs = [ "out" "dev" ];
diff --git a/pkgs/development/libraries/libgig/default.nix b/pkgs/development/libraries/libgig/default.nix
index 48d45797096b..fcc44025400c 100644
--- a/pkgs/development/libraries/libgig/default.nix
+++ b/pkgs/development/libraries/libgig/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "libgig";
- version = "4.4.0";
+ version = "4.4.1";
src = fetchurl {
url = "https://download.linuxsampler.org/packages/${pname}-${version}.tar.bz2";
- sha256 = "sha256-ZwQMrK8da12lFz7UAY2i+eW3rzPFhngdUfeV3hW65iI=";
+ sha256 = "sha256-/cie+rH5BhKObFRymWdXfo0EYgFwGLwSVRJX313+OqQ=";
};
nativeBuildInputs = [ autoconf automake libtool pkg-config ];
diff --git a/pkgs/development/libraries/libgit2/default.nix b/pkgs/development/libraries/libgit2/default.nix
index 957a146ce2a0..7e70b65423a9 100644
--- a/pkgs/development/libraries/libgit2/default.nix
+++ b/pkgs/development/libraries/libgit2/default.nix
@@ -20,7 +20,7 @@
stdenv.mkDerivation rec {
pname = "libgit2";
- version = "1.7.1";
+ version = "1.7.2";
# also check the following packages for updates: python3Packages.pygit2 and libgit2-glib
outputs = ["lib" "dev" "out"];
@@ -29,7 +29,7 @@ stdenv.mkDerivation rec {
owner = "libgit2";
repo = "libgit2";
rev = "v${version}";
- hash = "sha256-3W0/i6Pu7I7D1zMQhmEqJVsa7PZpKOqU1+udNENSBvM=";
+ hash = "sha256-fVPY/byE2/rxmv/bUykcAbmUFMlF3UZogVuTzjOXJUU=";
};
cmakeFlags = [
@@ -74,6 +74,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Linkable library implementation of Git that you can use in your application";
+ mainProgram = "git2";
homepage = "https://libgit2.org/";
license = licenses.gpl2Plus;
platforms = platforms.all;
diff --git a/pkgs/development/libraries/libgnomekbd/default.nix b/pkgs/development/libraries/libgnomekbd/default.nix
index 251f86b05789..fc9924b50834 100644
--- a/pkgs/development/libraries/libgnomekbd/default.nix
+++ b/pkgs/development/libraries/libgnomekbd/default.nix
@@ -53,6 +53,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Keyboard management library";
+ mainProgram = "gkbd-keyboard-display";
maintainers = teams.gnome.members;
license = licenses.gpl2;
platforms = platforms.linux;
diff --git a/pkgs/development/libraries/libgpg-error/default.nix b/pkgs/development/libraries/libgpg-error/default.nix
index fa3dc1b95b09..1d262a0a4402 100644
--- a/pkgs/development/libraries/libgpg-error/default.nix
+++ b/pkgs/development/libraries/libgpg-error/default.nix
@@ -17,11 +17,11 @@
};
in stdenv.mkDerivation (rec {
pname = "libgpg-error";
- version = "1.47";
+ version = "1.48";
src = fetchurl {
url = "mirror://gnupg/${pname}/${pname}-${version}.tar.bz2";
- sha256 = "sha256-njxnCWa5bsx0bCjCxBlUHjvLeH0ac5MPXl9eG8u7m9s=";
+ sha256 = "sha256-ic4a6JPhIpJLhY3oTcT2eq4p/6YQ6/Zo1apTkEVmPW8=";
};
postPatch = ''
@@ -64,6 +64,7 @@ in stdenv.mkDerivation (rec {
homepage = "https://www.gnupg.org/software/libgpg-error/index.html";
changelog = "https://git.gnupg.org/cgi-bin/gitweb.cgi?p=libgpg-error.git;a=blob;f=NEWS;hb=refs/tags/libgpg-error-${version}";
description = "A small library that defines common error values for all GnuPG components";
+ mainProgram = "gen-posix-lock-obj";
longDescription = ''
Libgpg-error is a small library that defines common error values
diff --git a/pkgs/development/libraries/libgpiod/default.nix b/pkgs/development/libraries/libgpiod/default.nix
index a5f914b39a95..519448b72e3d 100644
--- a/pkgs/development/libraries/libgpiod/default.nix
+++ b/pkgs/development/libraries/libgpiod/default.nix
@@ -3,11 +3,11 @@
stdenv.mkDerivation rec {
pname = "libgpiod";
- version = "2.1";
+ version = "2.1.1";
src = fetchurl {
url = "https://git.kernel.org/pub/scm/libs/libgpiod/libgpiod.git/snapshot/libgpiod-${version}.tar.gz";
- hash = "sha256-/W7UssZ0/mzDtIGID2zeHup54pbpWhObhUAequpt4/w=";
+ hash = "sha256-CvQ6YInWn50HXPZ8oq5ZcrkIHjjms9Rs6jfWfi32+5s=";
};
nativeBuildInputs = [
diff --git a/pkgs/development/libraries/libgpod/default.nix b/pkgs/development/libraries/libgpod/default.nix
index a34b108a0a5a..2de6d8dd4d2c 100644
--- a/pkgs/development/libraries/libgpod/default.nix
+++ b/pkgs/development/libraries/libgpod/default.nix
@@ -71,6 +71,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://sourceforge.net/projects/gtkpod/";
description = "Library used by gtkpod to access the contents of an ipod";
+ mainProgram = "ipod-read-sysinfo-extended";
license = licenses.lgpl21Plus;
platforms = platforms.linux;
maintainers = [ ];
diff --git a/pkgs/development/libraries/libgsf/default.nix b/pkgs/development/libraries/libgsf/default.nix
index eec93fa11169..bd3ece423599 100644
--- a/pkgs/development/libraries/libgsf/default.nix
+++ b/pkgs/development/libraries/libgsf/default.nix
@@ -19,7 +19,7 @@
stdenv.mkDerivation rec {
pname = "libgsf";
- version = "1.14.51";
+ version = "1.14.52";
outputs = [ "out" "dev" ];
@@ -28,7 +28,7 @@ stdenv.mkDerivation rec {
owner = "GNOME";
repo = "libgsf";
rev = "LIBGSF_${lib.replaceStrings ["."] ["_"] version}";
- hash = "sha256-iJcfR+iy1bbRkh+yCAEhY5ks8V6vXIPH7namZSvP98c=";
+ hash = "sha256-uSi2/pZiST07YutU8SHNoY2LifEQhohQeyaH9spyG2s=";
};
postPatch = ''
diff --git a/pkgs/development/libraries/libgudev/default.nix b/pkgs/development/libraries/libgudev/default.nix
index fd21c9e7f85e..68e3e42476e7 100644
--- a/pkgs/development/libraries/libgudev/default.nix
+++ b/pkgs/development/libraries/libgudev/default.nix
@@ -30,7 +30,7 @@ stdenv.mkDerivation (finalAttrs: {
./tests-skip-double-test-on-stub-locale-impls.patch
];
- postPatch = ''
+ postPatch = lib.optionalString finalAttrs.finalPackage.doCheck ''
# The relative location of LD_PRELOAD works for Glibc but not for other loaders (e.g. pkgsMusl)
substituteInPlace tests/meson.build \
--replace "LD_PRELOAD=libumockdev-preload.so.0" "LD_PRELOAD=${lib.getLib umockdev}/lib/libumockdev-preload.so.0"
diff --git a/pkgs/development/libraries/libhandy/0.x.nix b/pkgs/development/libraries/libhandy/0.x.nix
index a6945508e593..1ae6c72afc3e 100644
--- a/pkgs/development/libraries/libhandy/0.x.nix
+++ b/pkgs/development/libraries/libhandy/0.x.nix
@@ -45,6 +45,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A library full of GTK widgets for mobile phones";
+ mainProgram = "handy-0.0-demo";
homepage = "https://source.puri.sm/Librem5/libhandy";
license = licenses.lgpl21Plus;
maintainers = with maintainers; [ ];
diff --git a/pkgs/development/libraries/libhandy/default.nix b/pkgs/development/libraries/libhandy/default.nix
index 7507f40b604f..28e698e2a83f 100644
--- a/pkgs/development/libraries/libhandy/default.nix
+++ b/pkgs/development/libraries/libhandy/default.nix
@@ -132,6 +132,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
changelog = "https://gitlab.gnome.org/GNOME/libhandy/-/tags/${version}";
description = "Building blocks for modern adaptive GNOME apps";
+ mainProgram = "handy-1-demo";
homepage = "https://gitlab.gnome.org/GNOME/libhandy";
license = licenses.lgpl21Plus;
maintainers = teams.gnome.members;
diff --git a/pkgs/development/libraries/libhangul/default.nix b/pkgs/development/libraries/libhangul/default.nix
index 295ed9bf3054..2ff18376a461 100644
--- a/pkgs/development/libraries/libhangul/default.nix
+++ b/pkgs/development/libraries/libhangul/default.nix
@@ -11,6 +11,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Core algorithm library for Korean input routines";
+ mainProgram = "hangul";
homepage = "https://github.com/choehwanjin/libhangul";
license = licenses.lgpl21;
maintainers = [ maintainers.ianwookim ];
diff --git a/pkgs/development/libraries/libhdhomerun/default.nix b/pkgs/development/libraries/libhdhomerun/default.nix
index 558e6d6fa894..427ad20eca32 100644
--- a/pkgs/development/libraries/libhdhomerun/default.nix
+++ b/pkgs/development/libraries/libhdhomerun/default.nix
@@ -34,6 +34,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Implements the libhdhomerun protocol for use with Silicondust HDHomeRun TV tuners";
+ mainProgram = "hdhomerun_config";
homepage = "https://www.silicondust.com/support/linux";
license = licenses.lgpl21Only;
maintainers = with maintainers; [ sielicki titanous ];
diff --git a/pkgs/development/libraries/libheif/default.nix b/pkgs/development/libraries/libheif/default.nix
index d14df84d3483..cdff03fc09ae 100644
--- a/pkgs/development/libraries/libheif/default.nix
+++ b/pkgs/development/libraries/libheif/default.nix
@@ -1,7 +1,7 @@
{ lib
, stdenv
, fetchFromGitHub
-, autoreconfHook
+, cmake
, pkg-config
, dav1d
, rav1e
@@ -22,7 +22,7 @@
stdenv.mkDerivation rec {
pname = "libheif";
- version = "1.15.2";
+ version = "1.17.6";
outputs = [ "bin" "out" "dev" "man" ];
@@ -30,12 +30,12 @@ stdenv.mkDerivation rec {
owner = "strukturag";
repo = "libheif";
rev = "v${version}";
- sha256 = "sha256-VbMBZ17leGezng2FYKgiSq04Se0PT0a3WqjM6xZ3zS4=";
+ sha256 = "sha256-pp+PjV/pfExLqzFE61mxliOtVAYOePh1+i1pwZxDLAM=";
};
nativeBuildInputs = [
- autoreconfHook
pkg-config
+ cmake
];
buildInputs = [
@@ -49,11 +49,15 @@ stdenv.mkDerivation rec {
gdk-pixbuf
];
- enableParallelBuilding = true;
-
# Fix installation path for gdk-pixbuf module
PKG_CONFIG_GDK_PIXBUF_2_0_GDK_PIXBUF_MODULEDIR = "${placeholder "out"}/${gdk-pixbuf.moduleDir}";
+ # Wrong include path in .cmake. It's a bit difficult to patch because of special characters.
+ postFixup = ''
+ sed '/^ INTERFACE_INCLUDE_DIRECTORIES/s|"[^"]*/include"|"${placeholder "dev"}/include"|' \
+ -i "$dev"/lib/cmake/libheif/libheif-config.cmake
+ '';
+
passthru.tests = {
inherit gimp imagemagick imlib2Full imv vips;
};
diff --git a/pkgs/development/libraries/libhsts/default.nix b/pkgs/development/libraries/libhsts/default.nix
index 2150bbd24bfb..967ffe563172 100644
--- a/pkgs/development/libraries/libhsts/default.nix
+++ b/pkgs/development/libraries/libhsts/default.nix
@@ -33,6 +33,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Library to easily check a domain against the Chromium HSTS Preload list";
+ mainProgram = "hsts";
homepage = "https://gitlab.com/rockdaboot/libhsts";
license = with licenses; [ mit bsd3 ];
maintainers = with maintainers; [ ];
diff --git a/pkgs/development/libraries/libidn/default.nix b/pkgs/development/libraries/libidn/default.nix
index da37ef781b35..59cacfc4466e 100644
--- a/pkgs/development/libraries/libidn/default.nix
+++ b/pkgs/development/libraries/libidn/default.nix
@@ -39,6 +39,7 @@ stdenv.mkDerivation (finalAttrs: {
included.
'';
+ mainProgram = "idn";
license = lib.licenses.lgpl2Plus;
pkgConfigModules = [ "libidn" ];
platforms = lib.platforms.all;
diff --git a/pkgs/development/libraries/libidn2/default.nix b/pkgs/development/libraries/libidn2/default.nix
index 8fcbe42650fc..bfe9c2a24264 100644
--- a/pkgs/development/libraries/libidn2/default.nix
+++ b/pkgs/development/libraries/libidn2/default.nix
@@ -39,6 +39,7 @@ stdenv.mkDerivation rec {
detailed information.
'';
+ mainProgram = "idn2";
license = with lib.licenses; [ lgpl3Plus gpl2Plus gpl3Plus ];
platforms = lib.platforms.all;
maintainers = with lib.maintainers; [ fpletz ];
diff --git a/pkgs/development/libraries/libidn2/no-bootstrap-reference.nix b/pkgs/development/libraries/libidn2/no-bootstrap-reference.nix
index e5922073437d..216beb5c48bd 100644
--- a/pkgs/development/libraries/libidn2/no-bootstrap-reference.nix
+++ b/pkgs/development/libraries/libidn2/no-bootstrap-reference.nix
@@ -8,6 +8,7 @@ runCommandLocal
passthru = {
inherit (libidn2) out info devdoc; # no need to touch these store paths
};
+ inherit (libidn2) meta;
}
''
cp -r '${libidn2.bin}' "$bin"
diff --git a/pkgs/development/libraries/libieee1284/default.nix b/pkgs/development/libraries/libieee1284/default.nix
index a2cbd6e4096f..4b5bef5c32a6 100644
--- a/pkgs/development/libraries/libieee1284/default.nix
+++ b/pkgs/development/libraries/libieee1284/default.nix
@@ -40,6 +40,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Parallel port communication library";
+ mainProgram = "libieee1284_test";
homepage = "http://cyberelk.net/tim/software/libieee1284/";
license = licenses.gpl2Plus;
platforms = platforms.linux;
diff --git a/pkgs/development/libraries/libime/default.nix b/pkgs/development/libraries/libime/default.nix
index 5f914485993a..ff9301eb9caf 100644
--- a/pkgs/development/libraries/libime/default.nix
+++ b/pkgs/development/libraries/libime/default.nix
@@ -29,13 +29,13 @@ let
in
stdenv.mkDerivation rec {
pname = "libime";
- version = "1.1.5";
+ version = "1.1.6";
src = fetchFromGitHub {
owner = "fcitx";
repo = "libime";
rev = version;
- hash = "sha256-AvlQOpjrHSifUtWSTft2bywlWhwka26VcqqReqAlcv8=";
+ hash = "sha256-PhzJtAGmSkMeXMSe2uR/JKHKlZtL0e3tPDZVoRCvAis=";
fetchSubmodules = true;
};
diff --git a/pkgs/development/libraries/libinfinity/default.nix b/pkgs/development/libraries/libinfinity/default.nix
index f9963ce3e636..c4285220af3d 100644
--- a/pkgs/development/libraries/libinfinity/default.nix
+++ b/pkgs/development/libraries/libinfinity/default.nix
@@ -43,6 +43,7 @@ let
meta = {
homepage = "https://gobby.github.io/";
description = "An implementation of the Infinote protocol written in GObject-based C";
+ mainProgram = "infinoted-0.7";
license = lib.licenses.lgpl2Plus;
maintainers = [ ];
platforms = with lib.platforms; linux ++ darwin;
diff --git a/pkgs/development/libraries/libinput/default.nix b/pkgs/development/libraries/libinput/default.nix
index 16193e5e5148..f0005969bbcf 100644
--- a/pkgs/development/libraries/libinput/default.nix
+++ b/pkgs/development/libraries/libinput/default.nix
@@ -128,9 +128,10 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Handles input devices in Wayland compositors and provides a generic X.Org input driver";
+ mainProgram = "libinput";
homepage = "https://www.freedesktop.org/wiki/Software/libinput/";
license = licenses.mit;
- platforms = platforms.unix;
+ platforms = platforms.linux;
maintainers = with maintainers; [ codyopel ] ++ teams.freedesktop.members;
changelog = "https://gitlab.freedesktop.org/libinput/libinput/-/releases/${version}";
};
diff --git a/pkgs/development/libraries/libipfix/default.nix b/pkgs/development/libraries/libipfix/default.nix
index e62c456dd4ab..96fd190e15bb 100644
--- a/pkgs/development/libraries/libipfix/default.nix
+++ b/pkgs/development/libraries/libipfix/default.nix
@@ -18,6 +18,7 @@ stdenv.mkDerivation {
meta = with lib; {
homepage = "https://libipfix.sourceforge.net/";
description = "The libipfix C-library implements the IPFIX protocol defined by the IP Flow Information Export working group of the IETF";
+ mainProgram = "ipfix_collector";
license = licenses.lgpl3;
platforms = platforms.linux;
maintainers = with maintainers; [ lewo ];
diff --git a/pkgs/development/libraries/libipt/default.nix b/pkgs/development/libraries/libipt/default.nix
index 6a05aec46854..ed88ed8f2828 100644
--- a/pkgs/development/libraries/libipt/default.nix
+++ b/pkgs/development/libraries/libipt/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "libipt";
- version = "2.1";
+ version = "2.1.1";
src = fetchFromGitHub {
owner = "intel";
repo = "libipt";
rev = "v${version}";
- sha256 = "sha256-SLCuNTFRGFh0mTv1xLCIDg7b6DbWCxgHhrCoPu9xpmw=";
+ sha256 = "sha256-tyOheitSlccf/n3mklGL2oAKLBKYT60LSLre9/G/b9Q=";
};
nativeBuildInputs = [ cmake ];
diff --git a/pkgs/development/libraries/libiptcdata/default.nix b/pkgs/development/libraries/libiptcdata/default.nix
index 6bf670a40382..f6f918c1d522 100644
--- a/pkgs/development/libraries/libiptcdata/default.nix
+++ b/pkgs/development/libraries/libiptcdata/default.nix
@@ -34,6 +34,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Library for reading and writing the IPTC metadata in images and other files";
+ mainProgram = "iptc";
homepage = "https://github.com/ianw/libiptcdata";
license = licenses.gpl2Plus;
platforms = platforms.unix;
diff --git a/pkgs/development/libraries/libixp/default.nix b/pkgs/development/libraries/libixp/default.nix
index f6ad6d01b08f..7d235d7a7649 100644
--- a/pkgs/development/libraries/libixp/default.nix
+++ b/pkgs/development/libraries/libixp/default.nix
@@ -26,6 +26,7 @@ stdenv.mkDerivation rec {
meta = {
homepage = "https://github.com/0intro/libixp";
description = "Portable, simple C-language 9P client and server library";
+ mainProgram = "ixpc";
maintainers = with lib.maintainers; [ kovirobi ];
license = lib.licenses.mit;
platforms = with lib.platforms; unix;
diff --git a/pkgs/development/libraries/libjcat/default.nix b/pkgs/development/libraries/libjcat/default.nix
index 6d9481d1da2d..33fb21237e41 100644
--- a/pkgs/development/libraries/libjcat/default.nix
+++ b/pkgs/development/libraries/libjcat/default.nix
@@ -70,6 +70,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Library for reading and writing Jcat files";
+ mainProgram = "jcat-tool";
homepage = "https://github.com/hughsie/libjcat";
license = licenses.lgpl21Plus;
maintainers = with maintainers; [ ];
diff --git a/pkgs/development/libraries/libjson-rpc-cpp/default.nix b/pkgs/development/libraries/libjson-rpc-cpp/default.nix
index 8e80b9bd8405..4e97ff2f4d5d 100644
--- a/pkgs/development/libraries/libjson-rpc-cpp/default.nix
+++ b/pkgs/development/libraries/libjson-rpc-cpp/default.nix
@@ -94,6 +94,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "C++ framework for json-rpc (json remote procedure call)";
+ mainProgram = "jsonrpcstub";
homepage = "https://github.com/cinemast/libjson-rpc-cpp";
license = licenses.mit;
platforms = platforms.linux;
diff --git a/pkgs/development/libraries/libjwt/default.nix b/pkgs/development/libraries/libjwt/default.nix
index 4d2c11601135..3d26d6a090c6 100644
--- a/pkgs/development/libraries/libjwt/default.nix
+++ b/pkgs/development/libraries/libjwt/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "libjwt";
- version = "1.16.0";
+ version = "1.17.0";
src = fetchFromGitHub {
owner = "benmcollins";
repo = "libjwt";
rev = "v${version}";
- sha256 = "sha256-5hbmEen31lB6Xdv5WU+8InKa0+1OsuB8QG0jVa1+a2w=";
+ sha256 = "sha256-ZMmXn/vKARz9Erg3XS2YICSq5u38NZFMDAafXXzE1Ss=";
};
buildInputs = [ jansson openssl ];
diff --git a/pkgs/development/libraries/libjxl/default.nix b/pkgs/development/libraries/libjxl/default.nix
index 833b58e59428..10dcc29785bd 100644
--- a/pkgs/development/libraries/libjxl/default.nix
+++ b/pkgs/development/libraries/libjxl/default.nix
@@ -32,9 +32,10 @@ stdenv.mkDerivation rec {
fetchSubmodules = true;
};
+ strictDeps = true;
+
nativeBuildInputs = [
cmake
- gtest
pkg-config
asciidoc
doxygen
@@ -64,6 +65,7 @@ stdenv.mkDerivation rec {
buildInputs = [
giflib
gperftools # provides `libtcmalloc`
+ gtest
libjpeg
libpng
libwebp
diff --git a/pkgs/development/libraries/libks/default.nix b/pkgs/development/libraries/libks/default.nix
index d3279bbe991a..0b1135f8522b 100644
--- a/pkgs/development/libraries/libks/default.nix
+++ b/pkgs/development/libraries/libks/default.nix
@@ -13,13 +13,13 @@
stdenv.mkDerivation rec {
pname = "libks";
- version = "2.0.3";
+ version = "2.0.4";
src = fetchFromGitHub {
owner = "signalwire";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-iAgiGo/PMG0L4S/ZqSPL7Hl8akCNyva4JhaOkcHit8w=";
+ sha256 = "sha256-G2ZsTm7qyEhkys+3lcuq1VsKTz3RpfodDekecRRk++w=";
};
patches = [
diff --git a/pkgs/development/libraries/libksba/default.nix b/pkgs/development/libraries/libksba/default.nix
index 8f22ede81669..d33de73e4091 100644
--- a/pkgs/development/libraries/libksba/default.nix
+++ b/pkgs/development/libraries/libksba/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "libksba";
- version = "1.6.5";
+ version = "1.6.6";
src = fetchurl {
url = "mirror://gnupg/libksba/libksba-${version}.tar.bz2";
- hash = "sha256-pWRijFdMmSh5mHU/mNdQur2RpOnbRR9GrRQEZu8qbRY=";
+ hash = "sha256-XewDPSEVWTOIOMDElXxz39w+6G9zl31ieWQMnNCM5qQ=";
};
outputs = [ "out" "dev" "info" ];
@@ -26,6 +26,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://www.gnupg.org";
description = "CMS and X.509 access library";
+ mainProgram = "ksba-config";
platforms = platforms.all;
maintainers = with maintainers; [ ];
license = licenses.lgpl3;
diff --git a/pkgs/development/libraries/liblockfile/default.nix b/pkgs/development/libraries/liblockfile/default.nix
index 003991c80dde..17fc086704ec 100644
--- a/pkgs/development/libraries/liblockfile/default.nix
+++ b/pkgs/development/libraries/liblockfile/default.nix
@@ -20,6 +20,7 @@ stdenv.mkDerivation rec {
meta = {
description = "Shared library with NFS-safe locking functions";
+ mainProgram = "dotlockfile";
homepage = "http://packages.debian.org/unstable/libs/liblockfile1";
license = lib.licenses.gpl2Plus;
diff --git a/pkgs/development/libraries/liblogging/default.nix b/pkgs/development/libraries/liblogging/default.nix
index 2623e65f68b0..50b850b886a5 100644
--- a/pkgs/development/libraries/liblogging/default.nix
+++ b/pkgs/development/libraries/liblogging/default.nix
@@ -25,6 +25,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "http://www.liblogging.org/";
description = "Lightweight signal-safe logging library";
+ mainProgram = "stdlogctl";
license = licenses.bsd2;
platforms = platforms.all;
};
diff --git a/pkgs/development/libraries/liblouis/default.nix b/pkgs/development/libraries/liblouis/default.nix
index 6f22edae4f3d..a5c0bf92751f 100644
--- a/pkgs/development/libraries/liblouis/default.nix
+++ b/pkgs/development/libraries/liblouis/default.nix
@@ -13,7 +13,7 @@
stdenv.mkDerivation (finalAttrs: {
pname = "liblouis";
- version = "3.28.0";
+ version = "3.29.0";
outputs = [ "out" "dev" "info" "doc" ]
# configure: WARNING: cannot generate manual pages while cross compiling
@@ -23,7 +23,7 @@ stdenv.mkDerivation (finalAttrs: {
owner = "liblouis";
repo = "liblouis";
rev = "v${finalAttrs.version}";
- hash = "sha256-PvGlhsnAxQctcODiK628BDdzYaWUIF/F3dN2g//Gywg=";
+ hash = "sha256-TaMPl53FlUSZIsjhoTYcv3Y+j86fDHuBTjiyr/uP1tM=";
};
strictDeps = true;
diff --git a/pkgs/development/libraries/libmanette/default.nix b/pkgs/development/libraries/libmanette/default.nix
index a9e18f402320..ee8891d051f0 100644
--- a/pkgs/development/libraries/libmanette/default.nix
+++ b/pkgs/development/libraries/libmanette/default.nix
@@ -19,13 +19,13 @@
stdenv.mkDerivation rec {
pname = "libmanette";
- version = "0.2.6";
+ version = "0.2.7";
outputs = [ "out" "dev" ] ++ lib.optional withIntrospection "devdoc";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- sha256 = "1b3bcdkk5xd5asq797cch9id8692grsjxrc1ss87vv11m1ck4rb3";
+ hash = "sha256-zd1cAqExBywZxs3m8sss1X6ufay1DRTDN+/ZgLqlGlE=";
};
nativeBuildInputs = [
@@ -68,6 +68,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A simple GObject game controller library";
+ mainProgram = "manette-test";
homepage = "https://gnome.pages.gitlab.gnome.org/libmanette/";
license = licenses.lgpl21Plus;
maintainers = teams.gnome.members;
diff --git a/pkgs/development/libraries/libmcrypt/default.nix b/pkgs/development/libraries/libmcrypt/default.nix
index 668389091c01..672ba730be12 100644
--- a/pkgs/development/libraries/libmcrypt/default.nix
+++ b/pkgs/development/libraries/libmcrypt/default.nix
@@ -24,6 +24,7 @@ stdenv.mkDerivation rec {
meta = {
description = "Replacement for the old crypt() package and crypt(1) command, with extensions";
+ mainProgram = "libmcrypt-config";
homepage = "https://mcrypt.sourceforge.net";
license = "GPL";
platforms = lib.platforms.all;
diff --git a/pkgs/development/libraries/libmediainfo/default.nix b/pkgs/development/libraries/libmediainfo/default.nix
index 94acb13205b5..ee81e63ba5e4 100644
--- a/pkgs/development/libraries/libmediainfo/default.nix
+++ b/pkgs/development/libraries/libmediainfo/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "libmediainfo";
- version = "23.11";
+ version = "24.01";
src = fetchurl {
url = "https://mediaarea.net/download/source/libmediainfo/${version}/libmediainfo_${version}.tar.xz";
- hash = "sha256-GX5U/MeePA1d9EqPWNxOAYvC+F0T+jvtVK89xW1ehT0=";
+ hash = "sha256-oC38Zon0hc7Ab6EqNBTDw6ooU7Td4YrqtLVKVsgxYlk=";
};
nativeBuildInputs = [ autoreconfHook pkg-config ];
diff --git a/pkgs/development/libraries/libmicrohttpd/0.9.77.nix b/pkgs/development/libraries/libmicrohttpd/0.9.77.nix
new file mode 100644
index 000000000000..189b691e0b60
--- /dev/null
+++ b/pkgs/development/libraries/libmicrohttpd/0.9.77.nix
@@ -0,0 +1,10 @@
+{ callPackage, fetchurl }:
+
+callPackage ./generic.nix ( rec {
+ version = "0.9.77";
+
+ src = fetchurl {
+ url = "mirror://gnu/libmicrohttpd/libmicrohttpd-${version}.tar.gz";
+ hash = "sha256-nnAjoVESAGDSgGpupME8qZM+zk6s/FyUZNIO3dt2sKA=";
+ };
+})
diff --git a/pkgs/development/libraries/libmikmod/default.nix b/pkgs/development/libraries/libmikmod/default.nix
index 29f64116b7a8..90b548bef73a 100644
--- a/pkgs/development/libraries/libmikmod/default.nix
+++ b/pkgs/development/libraries/libmikmod/default.nix
@@ -28,6 +28,7 @@ in stdenv.mkDerivation rec {
meta = with lib; {
description = "A library for playing tracker music module files";
+ mainProgram = "libmikmod-config";
homepage = "https://mikmod.shlomifish.org/";
license = licenses.lgpl2Plus;
maintainers = with maintainers; [ astsmtl lovek323 ];
diff --git a/pkgs/development/libraries/libmng/default.nix b/pkgs/development/libraries/libmng/default.nix
index 15a68bdce589..19896b13998d 100644
--- a/pkgs/development/libraries/libmng/default.nix
+++ b/pkgs/development/libraries/libmng/default.nix
@@ -19,6 +19,6 @@ stdenv.mkDerivation rec {
homepage = "http://www.libmng.com";
license = licenses.zlib;
maintainers = with maintainers; [ marcweber ];
- platforms = platforms.unix;
+ platforms = platforms.unix ++ platforms.windows;
};
}
diff --git a/pkgs/development/libraries/libmodulemd/default.nix b/pkgs/development/libraries/libmodulemd/default.nix
index 7f21e52a037c..ce16753cf540 100644
--- a/pkgs/development/libraries/libmodulemd/default.nix
+++ b/pkgs/development/libraries/libmodulemd/default.nix
@@ -65,6 +65,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "C Library for manipulating module metadata files";
+ mainProgram = "modulemd-validator";
homepage = "https://github.com/fedora-modularity/libmodulemd";
license = licenses.mit;
maintainers = with maintainers; [ ];
diff --git a/pkgs/development/libraries/libmx/default.nix b/pkgs/development/libraries/libmx/default.nix
index 52d92840a346..c6bbde6940b1 100644
--- a/pkgs/development/libraries/libmx/default.nix
+++ b/pkgs/development/libraries/libmx/default.nix
@@ -49,6 +49,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "http://www.clutter-project.org/";
description = "A Clutter-based toolkit";
+ mainProgram = "mx-create-image-cache";
longDescription =
''Mx is a widget toolkit using Clutter that provides a set of standard
interface elements, including buttons, progress bars, scroll bars and
diff --git a/pkgs/development/libraries/libnabo/default.nix b/pkgs/development/libraries/libnabo/default.nix
index af5e78c25d66..c6268ea3ee7e 100644
--- a/pkgs/development/libraries/libnabo/default.nix
+++ b/pkgs/development/libraries/libnabo/default.nix
@@ -1,14 +1,14 @@
{lib, stdenv, fetchFromGitHub, cmake, eigen, boost}:
stdenv.mkDerivation rec {
- version = "1.0.7";
+ version = "1.1.0";
pname = "libnabo";
src = fetchFromGitHub {
owner = "ethz-asl";
repo = "libnabo";
rev = version;
- sha256 = "17vxlmszzpm95vvfdxnm98d5p297i10fyblblj6kf0ynq8r2mpsh";
+ sha256 = "sha256-KWqNJWdyFFe5zAs1HzGnIshGXkBAKjnbEmBZXxty99E=";
};
nativeBuildInputs = [ cmake ];
diff --git a/pkgs/development/libraries/libnats-c/default.nix b/pkgs/development/libraries/libnats-c/default.nix
index e0ee93c16286..35aff3d5deef 100644
--- a/pkgs/development/libraries/libnats-c/default.nix
+++ b/pkgs/development/libraries/libnats-c/default.nix
@@ -5,13 +5,13 @@
stdenv.mkDerivation rec {
pname = "libnats";
- version = "3.7.0";
+ version = "3.8.0";
src = fetchFromGitHub {
owner = "nats-io";
repo = "nats.c";
rev = "v${version}";
- sha256 = "sha256-BIEe3DhPqyK+vAAk/6x8Ui+4t+IUyvtHf5Lk2AZVuC8=";
+ sha256 = "sha256-fIm5RBX6m0zSeq2WvpIEi2+ibpnyqsFkeP0T9NS+sOw=";
};
nativeBuildInputs = [ cmake ];
diff --git a/pkgs/development/libraries/libnatspec/default.nix b/pkgs/development/libraries/libnatspec/default.nix
index 360aba08e709..4124422c85bd 100644
--- a/pkgs/development/libraries/libnatspec/default.nix
+++ b/pkgs/development/libraries/libnatspec/default.nix
@@ -18,6 +18,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://natspec.sourceforge.net/";
description = "A library intended to smooth national specificities in using of programs";
+ mainProgram = "natspec";
platforms = platforms.unix;
license = licenses.lgpl21;
};
diff --git a/pkgs/development/libraries/libndp/default.nix b/pkgs/development/libraries/libndp/default.nix
index 6109c48b036e..b04b22da1236 100644
--- a/pkgs/development/libraries/libndp/default.nix
+++ b/pkgs/development/libraries/libndp/default.nix
@@ -14,6 +14,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "http://libndp.org/";
description = "Library for Neighbor Discovery Protocol";
+ mainProgram = "ndptool";
platforms = platforms.linux;
maintainers = [ ];
license = licenses.lgpl21;
diff --git a/pkgs/development/libraries/libnet/default.nix b/pkgs/development/libraries/libnet/default.nix
index b835844491fb..c8f38387111f 100644
--- a/pkgs/development/libraries/libnet/default.nix
+++ b/pkgs/development/libraries/libnet/default.nix
@@ -22,6 +22,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/sam-github/libnet";
description = "Portable framework for low-level network packet construction";
+ mainProgram = "libnet-config";
license = licenses.bsd3;
platforms = platforms.unix;
};
diff --git a/pkgs/development/libraries/libnetfilter_conntrack/default.nix b/pkgs/development/libraries/libnetfilter_conntrack/default.nix
index e960c8d1bf48..c424879a8191 100644
--- a/pkgs/development/libraries/libnetfilter_conntrack/default.nix
+++ b/pkgs/development/libraries/libnetfilter_conntrack/default.nix
@@ -17,6 +17,8 @@ stdenv.mkDerivation rec {
})
];
+ hardeningDisable = [ "trivialautovarinit" ];
+
buildInputs = [ libmnl ];
propagatedBuildInputs = [ libnfnetlink ];
nativeBuildInputs = [ pkg-config ];
diff --git a/pkgs/development/libraries/libngspice/default.nix b/pkgs/development/libraries/libngspice/default.nix
index 840ff2177d85..6da456b26ed6 100644
--- a/pkgs/development/libraries/libngspice/default.nix
+++ b/pkgs/development/libraries/libngspice/default.nix
@@ -41,6 +41,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "The Next Generation Spice (Electronic Circuit Simulator)";
+ mainProgram = "ngspice";
homepage = "http://ngspice.sourceforge.net";
license = with licenses; [ bsd3 gpl2Plus lgpl2Plus ]; # See https://sourceforge.net/p/ngspice/ngspice/ci/master/tree/COPYING
maintainers = with maintainers; [ bgamari rongcuid ];
diff --git a/pkgs/development/libraries/liboil/default.nix b/pkgs/development/libraries/liboil/default.nix
index 4291b3668039..7a28ac119c34 100644
--- a/pkgs/development/libraries/liboil/default.nix
+++ b/pkgs/development/libraries/liboil/default.nix
@@ -25,6 +25,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A library of simple functions that are optimized for various CPUs";
+ mainProgram = "oil-bugreport";
homepage = "https://liboil.freedesktop.org";
license = licenses.bsd2;
maintainers = with maintainers; [ lovek323 ];
diff --git a/pkgs/development/libraries/libomxil-bellagio/default.nix b/pkgs/development/libraries/libomxil-bellagio/default.nix
index 4f129dc13781..027c0b8f499d 100644
--- a/pkgs/development/libraries/libomxil-bellagio/default.nix
+++ b/pkgs/development/libraries/libomxil-bellagio/default.nix
@@ -40,6 +40,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://omxil.sourceforge.net/";
description = "An opensource implementation of the Khronos OpenMAX Integration Layer API to access multimedia components";
+ mainProgram = "omxregister-bellagio";
license = licenses.lgpl21Plus;
platforms = platforms.linux;
};
diff --git a/pkgs/development/libraries/libopenshot-audio/default.nix b/pkgs/development/libraries/libopenshot-audio/default.nix
index e546dc7a5786..bbec90a4055a 100644
--- a/pkgs/development/libraries/libopenshot-audio/default.nix
+++ b/pkgs/development/libraries/libopenshot-audio/default.nix
@@ -65,6 +65,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
homepage = "http://openshot.org/";
description = "High-quality sound editing library";
+ mainProgram = "openshot-audio-demo";
longDescription = ''
OpenShot Audio Library (libopenshot-audio) is a program that allows the
high-quality editing and playback of audio, and is based on the amazing
diff --git a/pkgs/development/libraries/libopus/default.nix b/pkgs/development/libraries/libopus/default.nix
index b2b095f5607e..3592c537ac44 100644
--- a/pkgs/development/libraries/libopus/default.nix
+++ b/pkgs/development/libraries/libopus/default.nix
@@ -2,6 +2,7 @@
, stdenv
, fetchpatch
, fetchurl
+, gitUpdater
, meson
, python3
, ninja
@@ -12,27 +13,22 @@
# tests
, ffmpeg-headless
+, testers
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "libopus";
- version = "1.4";
+ version = "1.5.1";
src = fetchurl {
- url = "https://downloads.xiph.org/releases/opus/opus-${version}.tar.gz";
- sha256 = "sha256-ybMrQlO+WuY9H/Fu6ga5S18PKVG3oCrO71jjo85JxR8=";
+ url = "https://downloads.xiph.org/releases/opus/opus-${finalAttrs.version}.tar.gz";
+ hash = "sha256-uEYQlZuNQXthGqEqIlZeCjcyCXxjidGQmNhEVD40D4U=";
};
patches = [
./fix-pkg-config-paths.patch
# Some tests time out easily on slower machines
./test-timeout.patch
- # Fix meson build for arm64. Remove with next release
- # https://gitlab.xiph.org/xiph/opus/-/merge_requests/59
- (fetchpatch {
- url = "https://gitlab.xiph.org/xiph/opus/-/commit/20c032d27c59d65b19b8ffbb2608e5282fe817eb.patch";
- hash = "sha256-2pX+0ay5PTyHL2plameBX2L1Q4aTx7V7RGiTdhNIuE4=";
- })
];
postPatch = ''
@@ -58,16 +54,28 @@ stdenv.mkDerivation rec {
doCheck = !stdenv.isi686 && !stdenv.isAarch32; # test_unit_LPC_inv_pred_gain fails
- passthru.tests = {
- inherit ffmpeg-headless;
+ passthru = {
+ updateScript = gitUpdater {
+ url = "https://gitlab.xiph.org/xiph/opus.git";
+ rev-prefix = "v";
+ };
+
+ tests = {
+ inherit ffmpeg-headless;
+
+ pkg-config = testers.hasPkgConfigModules {
+ package = finalAttrs.finalPackage;
+ moduleNames = [ "opus" ];
+ };
+ };
};
meta = with lib; {
description = "Open, royalty-free, highly versatile audio codec";
homepage = "https://opus-codec.org/";
- changelog = "https://gitlab.xiph.org/xiph/opus/-/releases/v${version}";
+ changelog = "https://gitlab.xiph.org/xiph/opus/-/releases/v${finalAttrs.version}";
license = licenses.bsd3;
platforms = platforms.all;
maintainers = [ ];
};
-}
+})
diff --git a/pkgs/development/libraries/libopus/test-timeout.patch b/pkgs/development/libraries/libopus/test-timeout.patch
index e20f12cc1d54..76025e648d8f 100644
--- a/pkgs/development/libraries/libopus/test-timeout.patch
+++ b/pkgs/development/libraries/libopus/test-timeout.patch
@@ -1,16 +1,9 @@
-diff --git a/tests/meson.build b/tests/meson.build
-index 5f3ac9d..74b2de7 100644
--- a/tests/meson.build
+++ b/tests/meson.build
-@@ -1,8 +1,8 @@
+@@ -1,6 +1,5 @@
# Tests that link to libopus
opus_tests = [
- ['test_opus_api'],
-- ['test_opus_decode', [], 60],
-- ['test_opus_encode', 'opus_encode_regressions.c', 120],
-+ ['test_opus_api', [], 60],
-+ ['test_opus_decode', [], 120],
-+ ['test_opus_encode', 'opus_encode_regressions.c', 240],
- ['test_opus_padding'],
- ['test_opus_projection'],
- ]
+ ['test_opus_decode', [], 120],
+ ['test_opus_encode', 'opus_encode_regressions.c', 240],
+ ['test_opus_extensions', [], 120],
diff --git a/pkgs/development/libraries/libosmium/default.nix b/pkgs/development/libraries/libosmium/default.nix
index 63aab4c0bfb1..211ca0559b9a 100644
--- a/pkgs/development/libraries/libosmium/default.nix
+++ b/pkgs/development/libraries/libosmium/default.nix
@@ -27,6 +27,6 @@ stdenv.mkDerivation rec {
"https://github.com/osmcode/libosmium/releases/tag/v${version}"
"https://github.com/osmcode/libosmium/blob/v${version}/CHANGELOG.md"
];
- maintainers = with maintainers; [ das-g ];
+ maintainers = with maintainers; teams.geospatial.members ++ [ das-g ];
};
}
diff --git a/pkgs/development/libraries/libosmscout/default.nix b/pkgs/development/libraries/libosmscout/default.nix
index 8452ccffe389..c33b8881a03a 100644
--- a/pkgs/development/libraries/libosmscout/default.nix
+++ b/pkgs/development/libraries/libosmscout/default.nix
@@ -1,4 +1,4 @@
-{ lib, mkDerivation, fetchFromGitHub, cmake, pkg-config
+{ lib, mkDerivation, fetchFromGitHub, fetchpatch, cmake, pkg-config
, marisa, qttools, qtlocation }:
mkDerivation rec {
@@ -12,6 +12,16 @@ mkDerivation rec {
sha256 = "sha256-Qe5TkF4BwlsEI7emC0gdc7SmS4QrSGLiO0QdjuJA09g=";
};
+ patches = [
+ # Fix build with libxml v2.12
+ # FIXME: Remove at next package update
+ (fetchpatch {
+ name = "libxml-2.12-fix.patch";
+ url = "https://github.com/Framstag/libosmscout/commit/db7b307de1a1146a6868015a0adfc2e21b7d5e39.patch";
+ hash = "sha256-5NDamzb2K18sMVfREnUNksgD2NL7ELzLl83SlGIveO0=";
+ })
+ ];
+
cmakeFlags = [ "-DOSMSCOUT_BUILD_TESTS=OFF" ];
nativeBuildInputs = [ cmake pkg-config ];
diff --git a/pkgs/development/libraries/libpanel/default.nix b/pkgs/development/libraries/libpanel/default.nix
index a4f8e71eba2e..05b863ad2011 100644
--- a/pkgs/development/libraries/libpanel/default.nix
+++ b/pkgs/development/libraries/libpanel/default.nix
@@ -57,6 +57,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Dock/panel library for GTK 4";
+ mainProgram = "libpanel-example";
homepage = "https://gitlab.gnome.org/GNOME/libpanel";
license = licenses.lgpl3Plus;
maintainers = teams.gnome.members;
diff --git a/pkgs/development/libraries/libpaper/default.nix b/pkgs/development/libraries/libpaper/default.nix
index b9372ba1d407..9e36812e7e89 100644
--- a/pkgs/development/libraries/libpaper/default.nix
+++ b/pkgs/development/libraries/libpaper/default.nix
@@ -1,12 +1,12 @@
{ lib, stdenv, fetchurl, autoreconfHook }:
stdenv.mkDerivation rec {
- version = "1.1.28";
+ version = "1.1.29";
pname = "libpaper";
src = fetchurl {
url = "mirror://debian/pool/main/libp/libpaper/libpaper_${version}.tar.gz";
- sha256 = "sha256-yLuUbsk9PCxyu7HXJX6QFyoipEoHoH+2uAKluyyV/dw=";
+ hash = "sha256-JjMOIemjEkZY1RX9hQsM3lRv9C2JsllqUmTF8Wd/BUc=";
};
nativeBuildInputs = [ autoreconfHook ];
diff --git a/pkgs/development/libraries/libpcap/default.nix b/pkgs/development/libraries/libpcap/default.nix
index d1ea44b6ac2f..7256e4922605 100644
--- a/pkgs/development/libraries/libpcap/default.nix
+++ b/pkgs/development/libraries/libpcap/default.nix
@@ -63,6 +63,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://www.tcpdump.org";
description = "Packet Capture Library";
+ mainProgram = "pcap-config";
platforms = platforms.unix;
maintainers = with maintainers; [ fpletz ];
license = licenses.bsd3;
diff --git a/pkgs/development/libraries/libpeas/default.nix b/pkgs/development/libraries/libpeas/default.nix
index f0b6023117d9..f9d8be93c66c 100644
--- a/pkgs/development/libraries/libpeas/default.nix
+++ b/pkgs/development/libraries/libpeas/default.nix
@@ -83,6 +83,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A GObject-based plugins engine";
+ mainProgram = "peas-demo";
homepage = "https://wiki.gnome.org/Projects/Libpeas";
license = licenses.gpl2Plus;
platforms = platforms.unix;
diff --git a/pkgs/development/libraries/libpng/default.nix b/pkgs/development/libraries/libpng/default.nix
index e1d412b5006e..34d7a3e580b7 100644
--- a/pkgs/development/libraries/libpng/default.nix
+++ b/pkgs/development/libraries/libpng/default.nix
@@ -5,20 +5,20 @@
assert zlib != null;
let
- patchVersion = "1.6.40";
+ patchVersion = "1.6.43";
patch_src = fetchurl {
url = "mirror://sourceforge/libpng-apng/libpng-${patchVersion}-apng.patch.gz";
- hash = "sha256-CjykZIKTjY1sciZivtLH7gxlobViRESzztIa2NNW2y8=";
+ hash = "sha256-0QdXnpDVU4bQDmCG6nUJQvIqBLmrR2u6DGYHcM76/iI=";
};
whenPatched = lib.optionalString apngSupport;
in stdenv.mkDerivation (finalAttrs: {
pname = "libpng" + whenPatched "-apng";
- version = "1.6.40";
+ version = "1.6.43";
src = fetchurl {
url = "mirror://sourceforge/libpng/libpng-${finalAttrs.version}.tar.xz";
- hash = "sha256-U1tHmyRn/yMaPsbZKlJZBvuO8nl4vk9m2+BdPzoBs6E=";
+ hash = "sha256-alygZSOSotfJ2yrltAIQhDwLvAgcvUEIJasAzFnxSmw=";
};
postPatch = whenPatched "gunzip < ${patch_src} | patch -Np1";
@@ -38,7 +38,7 @@ in stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "The official reference implementation for the PNG file format" + whenPatched " with animation patch";
homepage = "http://www.libpng.org/pub/png/libpng.html";
- changelog = "https://github.com/glennrp/libpng/blob/v1.6.40/CHANGES";
+ changelog = "https://github.com/pnggroup/libpng/blob/v${finalAttrs.version}/CHANGES";
license = licenses.libpng2;
pkgConfigModules = [ "libpng" "libpng16" ];
platforms = platforms.all;
diff --git a/pkgs/development/libraries/libproxy/default.nix b/pkgs/development/libraries/libproxy/default.nix
index 5c7a31625023..076e4c33b964 100644
--- a/pkgs/development/libraries/libproxy/default.nix
+++ b/pkgs/development/libraries/libproxy/default.nix
@@ -78,5 +78,6 @@ stdenv.mkDerivation rec {
license = licenses.lgpl21;
homepage = "https://libproxy.github.io/libproxy/";
description = "A library that provides automatic proxy configuration management";
+ mainProgram = "proxy";
};
}
diff --git a/pkgs/development/libraries/libpsl/default.nix b/pkgs/development/libraries/libpsl/default.nix
index 3d0a3f208714..a8fcd2581caa 100644
--- a/pkgs/development/libraries/libpsl/default.nix
+++ b/pkgs/development/libraries/libpsl/default.nix
@@ -23,6 +23,9 @@ stdenv.mkDerivation rec {
hash = "sha256-mp9qjG7bplDPnqVUdc0XLdKEhzFoBOnHMgLZdXLNOi0=";
};
+ # bin/psl-make-dafsa brings a large runtime closure through python3
+ outputs = [ "bin" "out" "dev" ];
+
nativeBuildInputs = [
autoreconfHook
docbook_xsl
@@ -78,7 +81,7 @@ stdenv.mkDerivation rec {
license = licenses.mit;
maintainers = [ maintainers.c0bw3b ];
mainProgram = "psl";
- platforms = platforms.unix;
+ platforms = platforms.unix ++ platforms.windows;
pkgConfigModules = [ "libpsl" ];
};
}
diff --git a/pkgs/development/libraries/libqaccessibilityclient/default.nix b/pkgs/development/libraries/libqaccessibilityclient/default.nix
index e05400114d06..0d518a48308d 100644
--- a/pkgs/development/libraries/libqaccessibilityclient/default.nix
+++ b/pkgs/development/libraries/libqaccessibilityclient/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "libqaccessibilityclient";
- version = "0.5.0";
+ version = "0.6.0";
src = fetchurl {
url = "mirror://kde/stable/libqaccessibilityclient/libqaccessibilityclient-${version}.tar.xz";
- hash = "sha256-cEdyVDo7AFuUBhpT6vn51klE5oGLBMWcD7ClA8gaxKA=";
+ hash = "sha256-TFDESGItycUEHtENp9h7Pk5xzLSdSDGoSSEdQjxfXTM=";
};
nativeBuildInputs = [ cmake extra-cmake-modules ];
diff --git a/pkgs/development/libraries/libqalculate/default.nix b/pkgs/development/libraries/libqalculate/default.nix
index 84623e7459fc..34e34a7eeebf 100644
--- a/pkgs/development/libraries/libqalculate/default.nix
+++ b/pkgs/development/libraries/libqalculate/default.nix
@@ -18,13 +18,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "libqalculate";
- version = "4.9.0";
+ version = "5.0.0";
src = fetchFromGitHub {
owner = "qalculate";
repo = "libqalculate";
rev = "v${finalAttrs.version}";
- hash = "sha256-6W65dg2pZeio3ZVgVLQZrz/eReYcPiYf52zjcaRfE8E=";
+ hash = "sha256-VhaGgtSU6+7eMY7ksQMpybmt2JBI80Nwgu7PCKrTorA=";
};
outputs = [ "out" "dev" "doc" ];
diff --git a/pkgs/development/libraries/libqtdbusmock/default.nix b/pkgs/development/libraries/libqtdbusmock/default.nix
index d9993947b553..44532a0b9dae 100644
--- a/pkgs/development/libraries/libqtdbusmock/default.nix
+++ b/pkgs/development/libraries/libqtdbusmock/default.nix
@@ -1,6 +1,6 @@
{ stdenv
, lib
-, fetchbzr
+, fetchFromGitLab
, testers
, cmake
, cmake-extras
@@ -17,19 +17,16 @@
stdenv.mkDerivation (finalAttrs: {
pname = "libqtdbusmock";
- version = "unstable-2017-03-16";
+ version = "0.9.1";
- src = fetchbzr {
- url = "lp:libqtdbusmock";
- rev = "49";
- sha256 = "sha256-q3jL8yGLgcNxXHPh9M9cTVtUvonrBUPNxuPJIvu7Q/s=";
+ src = fetchFromGitLab {
+ owner = "ubports";
+ repo = "development/core/libqtdbusmock";
+ rev = finalAttrs.version;
+ hash = "sha256-hVw2HnIHlA7vvt0Sr6F2qVhvBZ33aCeqb9vgbu3rgBo=";
};
postPatch = ''
- # Look for the new(?) name
- substituteInPlace CMakeLists.txt \
- --replace 'NetworkManager' 'libnm'
-
# Workaround for "error: expected unqualified-id before 'public'" on "**signals"
sed -i -e '/add_definitions/a -DQT_NO_KEYWORDS' CMakeLists.txt
'' + lib.optionalString (!finalAttrs.finalPackage.doCheck) ''
diff --git a/pkgs/development/libraries/libqtdbustest/default.nix b/pkgs/development/libraries/libqtdbustest/default.nix
index fe6a3e041798..ab07a6577b83 100644
--- a/pkgs/development/libraries/libqtdbustest/default.nix
+++ b/pkgs/development/libraries/libqtdbustest/default.nix
@@ -1,6 +1,6 @@
{ stdenv
, lib
-, fetchbzr
+, fetchFromGitLab
, fetchpatch
, testers
, cmake
@@ -16,12 +16,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "libqtdbustest";
- version = "unstable-2017-01-06";
+ version = "0.3.2";
- src = fetchbzr {
- url = "lp:libqtdbustest";
- rev = "42";
- sha256 = "sha256-5MQdGGtEVE/pM9u0B0xFXyITiRln9p+8/MLtrrCZqi8=";
+ src = fetchFromGitLab {
+ owner = "ubports";
+ repo = "development/core/libqtdbustest";
+ rev = finalAttrs.version;
+ hash = "sha256-yqqyKxsbqiVTrkas79YoPMi28dKFNntiE7+dx1v+Qh4=";
};
patches = [
@@ -31,13 +32,13 @@ stdenv.mkDerivation (finalAttrs: {
# Disable QProcess start timeout
(fetchpatch {
- url = "https://salsa.debian.org/debian-ayatana-team/libqtdbustest/-/raw/0788df10bc6f2aa47c2b73fc1df944686a9ace1e/debian/patches/1003_no-QProcess-waitForstarted-timeout.patch";
+ url = "https://salsa.debian.org/ubports-team/libqtdbustest/-/raw/debian/0.3.2-3/debian/patches/1003_no-QProcess-waitForstarted-timeout.patch";
hash = "sha256-ThDbn6URvkj5ARDMj+xO0fb1Qh2YQRzVy24O03KglHI=";
})
# More robust dbus address reading
(fetchpatch {
- url = "https://salsa.debian.org/debian-ayatana-team/libqtdbustest/-/raw/7e55c79cd032c702b30d834c1fb0b65661fc6eeb/debian/patches/1004_make-reading-address-from-dbus-daemon-more-robust.patch";
+ url = "https://salsa.debian.org/ubports-team/libqtdbustest/-/raw/debian/0.3.2-3/debian/patches/1004_make-reading-address-from-dbus-daemon-more-robust.patch";
hash = "sha256-hq8pdducp/udxoGWGt1dgL/7VHcbJO/oT1dOY1zew8M=";
})
];
diff --git a/pkgs/development/libraries/libqtdbustest/less-pedantic-process-finding.patch b/pkgs/development/libraries/libqtdbustest/less-pedantic-process-finding.patch
index b50fc5d1e476..dc8bcd7763f9 100644
--- a/pkgs/development/libraries/libqtdbustest/less-pedantic-process-finding.patch
+++ b/pkgs/development/libraries/libqtdbustest/less-pedantic-process-finding.patch
@@ -74,12 +74,13 @@ diff '--color=auto' -ur '--color=never' a/tests/libqtdbustest/TestQProcessDBusSe
diff '--color=auto' -ur '--color=never' a/tests/libqtdbustest/TestSuicidalProcess.cpp b/tests/libqtdbustest/TestSuicidalProcess.cpp
--- a/tests/libqtdbustest/TestSuicidalProcess.cpp 2023-01-20 21:36:16.948292559 +0100
+++ b/tests/libqtdbustest/TestSuicidalProcess.cpp 2023-01-20 21:55:07.219951081 +0100
-@@ -51,8 +51,7 @@
+@@ -51,9 +51,7 @@
pgrep.waitForFinished();
pgrep.waitForReadyRead();
-
-- EXPECT_EQ("sleep 5",
-- QString::fromUtf8(pgrep.readAll().trimmed()).toStdString());
+
+- EXPECT_TRUE(QString::fromUtf8(pgrep.readAll().trimmed())
+- .toStdString()
+- .find("sleep 5") != std::string::npos);
+ EXPECT_TRUE(pgrep.readAll().contains("sleep 5"));
}
diff --git a/pkgs/development/libraries/librdf/raptor2.nix b/pkgs/development/libraries/librdf/raptor2.nix
index 4503a0cc43ed..b9d5252215cc 100644
--- a/pkgs/development/libraries/librdf/raptor2.nix
+++ b/pkgs/development/libraries/librdf/raptor2.nix
@@ -49,6 +49,7 @@ stdenv.mkDerivation rec {
meta = {
description = "The RDF Parser Toolkit";
+ mainProgram = "rapper";
homepage = "https://librdf.org/raptor";
license = with lib.licenses; [ lgpl21 asl20 ];
maintainers = with lib.maintainers; [ marcweber ];
diff --git a/pkgs/development/libraries/libre/default.nix b/pkgs/development/libraries/libre/default.nix
index 92749a35e93a..ace7d5f5f786 100644
--- a/pkgs/development/libraries/libre/default.nix
+++ b/pkgs/development/libraries/libre/default.nix
@@ -8,13 +8,13 @@
}:
stdenv.mkDerivation rec {
- version = "3.9.0";
+ version = "3.10.0";
pname = "libre";
src = fetchFromGitHub {
owner = "baresip";
repo = "re";
rev = "v${version}";
- sha256 = "sha256-oFaCeVaUrAN83DT8m4gvXSaKzxq5AJw2RHwOelm8HAU=";
+ sha256 = "sha256-OWVDuKlF7YLipDURC46s14WOLWWagUqWg20sH0kSIA4=";
};
buildInputs = [
diff --git a/pkgs/development/libraries/libredwg/default.nix b/pkgs/development/libraries/libredwg/default.nix
index 7759917d9750..6eb06a1ebe67 100644
--- a/pkgs/development/libraries/libredwg/default.nix
+++ b/pkgs/development/libraries/libredwg/default.nix
@@ -1,7 +1,6 @@
{ lib
, stdenv
, fetchFromGitHub
-, fetchpatch
, autoreconfHook
, writeShellScript
, pkg-config
@@ -18,24 +17,16 @@ let
in
stdenv.mkDerivation rec {
pname = "libredwg";
- version = "0.12.5.6313";
+ version = "0.13.3";
src = fetchFromGitHub {
owner = "LibreDWG";
repo = pname;
rev = version;
- hash = "sha256-TM+cZ7N5PD6UG9cvy0XFa0sNYc3apbAJvEMh3husjRk=";
+ hash = "sha256-FlBHwNsqVSBE8dTDewoKkCbs8Jd/4d69MPpEFzg6Ruc=";
fetchSubmodules = true;
};
- patches = [
- (fetchpatch {
- name = "CVE-2023-26157.patch";
- url = "https://github.com/LibreDWG/libredwg/commit/c8cf03ce4c2315b146caf582ea061c0460193bcc.patch";
- hash = "sha256-EEF3YYPW+6SvXRiAw3zz6tWU9w/qmGtc09Tf8wn7hVc=";
- })
- ];
-
postPatch = let
printVersion = writeShellScript "print-version" ''
echo -n ${lib.escapeShellArg version}
@@ -43,8 +34,6 @@ stdenv.mkDerivation rec {
in ''
# avoid git dependency
cp ${printVersion} build-aux/git-version-gen
- # failing to build otherwise since glibc-2.38
- sed '1i#include ' -i programs/dwg2SVG.c
'';
preConfigure = lib.optionalString (stdenv.isDarwin && enablePython) ''
@@ -64,8 +53,8 @@ stdenv.mkDerivation rec {
# prevent python tests from running when not building with python
configureFlags = lib.optional (!enablePython) "--disable-python";
- # example_r13.dxf roundtrip fail: expect 5286, got 5285 entities
- doCheck = !(stdenv.isLinux && stdenv.isAarch64);
+ # FAIL: alive.test
+ doCheck = !stdenv.isLinux;
# the "xmlsuite" test requires the libxml2 c library as well as the python module
nativeCheckInputs = lib.optionals enablePython [ libxml2 libxml2.dev ];
diff --git a/pkgs/development/libraries/libremidi/default.nix b/pkgs/development/libraries/libremidi/default.nix
index 3fad374e87be..4c19375a07f1 100644
--- a/pkgs/development/libraries/libremidi/default.nix
+++ b/pkgs/development/libraries/libremidi/default.nix
@@ -11,13 +11,13 @@
stdenv.mkDerivation rec {
pname = "libremidi";
- version = "4.2.4";
+ version = "4.4.0";
src = fetchFromGitHub {
owner = "jcelerier";
repo = "libremidi";
rev = "v${version}";
- hash = "sha256-AWONCZa4tVZ7HMze9WSVzHQUXIrn1i6ZZ4Hgufkrep8=";
+ hash = "sha256-raVBJ75/UmM3P69s8VNUXRE/2jV4WqPIfI4eXaf6UEg=";
};
nativeBuildInputs = [ cmake ];
diff --git a/pkgs/development/libraries/librime/default.nix b/pkgs/development/libraries/librime/default.nix
index 8bbcb7af80a3..95d88384b79e 100644
--- a/pkgs/development/libraries/librime/default.nix
+++ b/pkgs/development/libraries/librime/default.nix
@@ -11,13 +11,13 @@ let
in
stdenv.mkDerivation rec {
pname = "librime";
- version = "1.9.0";
+ version = "1.10.0";
src = fetchFromGitHub {
owner = "rime";
repo = pname;
rev = version;
- sha256 = "sha256-4gEdltdm9A3FxwyZqgSyUWgQ934glinfKwHF8S05f5I=";
+ sha256 = "sha256-tflWBfH1+1AFvkq0A6mgsKl+jq6m5c83GA56LWxdnlw=";
};
nativeBuildInputs = [ cmake pkg-config ];
diff --git a/pkgs/development/libraries/libs3/default.nix b/pkgs/development/libraries/libs3/default.nix
index b9f9278fad8c..4bea630c1b27 100644
--- a/pkgs/development/libraries/libs3/default.nix
+++ b/pkgs/development/libraries/libs3/default.nix
@@ -25,6 +25,7 @@ stdenv.mkDerivation {
meta = with lib; {
homepage = "https://github.com/bji/libs3";
description = "A library for interfacing with amazon s3";
+ mainProgram = "s3";
license = licenses.lgpl3Plus;
platforms = platforms.linux;
};
diff --git a/pkgs/development/libraries/libsamplerate/default.nix b/pkgs/development/libraries/libsamplerate/default.nix
index 5f0863bc49c8..198bc7362826 100644
--- a/pkgs/development/libraries/libsamplerate/default.nix
+++ b/pkgs/development/libraries/libsamplerate/default.nix
@@ -30,6 +30,7 @@ in stdenv.mkDerivation rec {
meta = with lib; {
description = "Sample Rate Converter for audio";
+ mainProgram = "sndfile-resample";
homepage = "http://www.mega-nerd.com/SRC/index.html";
license = licenses.bsd2;
maintainers = with maintainers; [ lovek323 ];
diff --git a/pkgs/development/libraries/libsass/default.nix b/pkgs/development/libraries/libsass/default.nix
index 57e58adb8c7e..005e74289df7 100644
--- a/pkgs/development/libraries/libsass/default.nix
+++ b/pkgs/development/libraries/libsass/default.nix
@@ -1,7 +1,6 @@
{ lib
, stdenv
, fetchFromGitHub
-, fetchpatch
, autoreconfHook
, testers
@@ -13,13 +12,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "libsass";
- version = "3.6.5"; # also check sassc for updates
+ version = "3.6.6"; # also check sassc for updates
src = fetchFromGitHub {
owner = "sass";
repo = finalAttrs.pname;
rev = finalAttrs.version;
- sha256 = "1cxj6r85d5f3qxdwzxrmkx8z875hig4cr8zsi30w6vj23cyds3l2";
+ hash = "sha256-FkLL3OAJXDptRQY6ZkYbss2pcc40f/wasIvEIyHRQFo=";
# Remove unicode file names which leads to different checksums on HFS+
# vs. other filesystems because of unicode normalisation.
postFetch = ''
@@ -27,14 +26,6 @@ stdenv.mkDerivation (finalAttrs: {
'';
};
- patches = [
- (fetchpatch {
- name = "CVE-2022-26592.CVE-2022-43357.CVE-2022-43358.patch";
- url = "https://github.com/sass/libsass/pull/3184/commits/5bb0ea0c4b2ebebe542933f788ffacba459a717a.patch";
- hash = "sha256-DR6pKFWL70uJt//drzq34LeTzT8rUqgUTpgfUHpD2s4=";
- })
- ];
-
preConfigure = ''
export LIBSASS_VERSION=${finalAttrs.version}
'';
diff --git a/pkgs/development/libraries/libsearpc/default.nix b/pkgs/development/libraries/libsearpc/default.nix
index 9ae8d87f8872..6d4675717f0d 100644
--- a/pkgs/development/libraries/libsearpc/default.nix
+++ b/pkgs/development/libraries/libsearpc/default.nix
@@ -34,6 +34,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/haiwen/libsearpc";
description = "A simple and easy-to-use C language RPC framework based on GObject System";
+ mainProgram = "searpc-codegen.py";
license = licenses.lgpl3;
platforms = platforms.linux;
maintainers = with maintainers; [ greizgh ];
diff --git a/pkgs/development/libraries/libseccomp/default.nix b/pkgs/development/libraries/libseccomp/default.nix
index e5a8f42940e0..19ba1f2b8911 100644
--- a/pkgs/development/libraries/libseccomp/default.nix
+++ b/pkgs/development/libraries/libseccomp/default.nix
@@ -37,6 +37,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "High level library for the Linux Kernel seccomp filter";
+ mainProgram = "scmp_sys_resolver";
homepage = "https://github.com/seccomp/libseccomp";
license = licenses.lgpl21Only;
platforms = platforms.linux;
diff --git a/pkgs/development/libraries/libsecret/default.nix b/pkgs/development/libraries/libsecret/default.nix
index 733fcafaf290..514b8c05177b 100644
--- a/pkgs/development/libraries/libsecret/default.nix
+++ b/pkgs/development/libraries/libsecret/default.nix
@@ -24,13 +24,13 @@
stdenv.mkDerivation rec {
pname = "libsecret";
- version = "0.21.2";
+ version = "0.21.4";
outputs = [ "out" "dev" ] ++ lib.optional withIntrospection "devdoc";
src = fetchurl {
url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
- hash = "sha256-5KNBSWoIFeZMjTuPq6sz17rn796rd7hDZpcx1bGB3O4=";
+ hash = "sha256-Fj0I14O+bUq5qXnOtaT+y8HZZg08NBaMWBMBzVORKyA=";
};
depsBuildBuild = [
diff --git a/pkgs/development/libraries/libserdes/default.nix b/pkgs/development/libraries/libserdes/default.nix
index 2a48c9a89770..ac776c4e4231 100644
--- a/pkgs/development/libraries/libserdes/default.nix
+++ b/pkgs/development/libraries/libserdes/default.nix
@@ -32,11 +32,6 @@ stdenv.mkDerivation rec {
postPatch = ''
patchShebangs configure lds-gen.pl
- '' + lib.optionalString (stdenv.cc.libcxx != null) ''
- # fix for https://github.com/NixOS/nixpkgs/issues/166205
- # llvm12+ isn't adding libc++abi
- substituteInPlace src-cpp/Makefile \
- --replace "LIBS += -lstdc++" "LIBS += -lc++ -l${stdenv.cc.libcxx.cxxabi.libName}"
'';
# Has a configure script but it’s not Autoconf so steal some bits from multiple-outputs.sh:
diff --git a/pkgs/development/libraries/libshumate/default.nix b/pkgs/development/libraries/libshumate/default.nix
index 4722781c1933..6306fd2a5548 100644
--- a/pkgs/development/libraries/libshumate/default.nix
+++ b/pkgs/development/libraries/libshumate/default.nix
@@ -32,6 +32,11 @@ stdenv.mkDerivation rec {
sha256 = "+h0dKLECtvfsxwD5aRTIgiNI9jG/tortUJYFiYMe60g=";
};
+ depsBuildBuild = [
+ # required to find native gi-docgen when cross compiling
+ pkg-config
+ ];
+
nativeBuildInputs = [
gi-docgen
meson
@@ -84,6 +89,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "GTK toolkit providing widgets for embedded maps";
+ mainProgram = "shumate-demo";
homepage = "https://gitlab.gnome.org/GNOME/libshumate";
license = licenses.lgpl21Plus;
maintainers = teams.gnome.members;
diff --git a/pkgs/development/libraries/libskk/default.nix b/pkgs/development/libraries/libskk/default.nix
index c4b36704cb97..2f6e98e7dd0a 100644
--- a/pkgs/development/libraries/libskk/default.nix
+++ b/pkgs/development/libraries/libskk/default.nix
@@ -33,6 +33,7 @@ stdenv.mkDerivation rec {
meta = {
description = "A library to deal with Japanese kana-to-kanji conversion method";
+ mainProgram = "skk";
longDescription = ''
Libskk is a library that implements basic features of SKK including:
new word registration, completion, numeric conversion, abbrev mode, kuten input,
diff --git a/pkgs/development/libraries/libsmartcols/default.nix b/pkgs/development/libraries/libsmartcols/default.nix
index 077055cbb9a4..5b4fcf875f6f 100644
--- a/pkgs/development/libraries/libsmartcols/default.nix
+++ b/pkgs/development/libraries/libsmartcols/default.nix
@@ -2,7 +2,7 @@
stdenv.mkDerivation rec {
pname = "libsmartcols";
- version = "2.36.1";
+ version = "2.39.3";
nativeBuildInputs = [ autoreconfHook pkg-config python3 gtk-doc ];
@@ -10,7 +10,7 @@ stdenv.mkDerivation rec {
owner = "karelzak";
repo = "util-linux";
rev = "v${version}";
- sha256 = "0z7nv054pqhlihqiw0vk3h40j0cxk1yxf8zzh0ddmvk6834cnyxs";
+ sha256 = "sha256-X39os2iHqSrrYP6HVHPOkuTfc6vNB3pmsOP3VjW50fI=";
};
configureFlags = [ "--disable-all-programs" "--enable-libsmartcols" ];
diff --git a/pkgs/development/libraries/libspelling/default.nix b/pkgs/development/libraries/libspelling/default.nix
index 812dddcc4a17..874e9eff22c4 100644
--- a/pkgs/development/libraries/libspelling/default.nix
+++ b/pkgs/development/libraries/libspelling/default.nix
@@ -17,7 +17,7 @@
stdenv.mkDerivation rec {
pname = "libspelling";
- version = "0.2.0";
+ version = "0.2.1";
outputs = [ "out" "dev" "devdoc" ];
@@ -26,7 +26,7 @@ stdenv.mkDerivation rec {
owner = "chergert";
repo = "libspelling";
rev = version;
- hash = "sha256-OOSQgdtnEx6/5yKwavCGdY/5L0Mr3XW0Srmd42ZTdUk=";
+ hash = "sha256-0OGcwPGWtYYf0XmvzXEaQgebBOW/6JWcDuF4MlQjCZQ=";
};
nativeBuildInputs = [
diff --git a/pkgs/development/libraries/libsrs2/default.nix b/pkgs/development/libraries/libsrs2/default.nix
index 3da48f772545..5cb0974af9f1 100644
--- a/pkgs/development/libraries/libsrs2/default.nix
+++ b/pkgs/development/libraries/libsrs2/default.nix
@@ -11,6 +11,7 @@ stdenv.mkDerivation rec {
meta = {
description = "The next generation SRS library from the original designer of SRS";
+ mainProgram = "srs";
license = with lib.licenses; [ gpl2 bsd3 ];
homepage = "https://www.libsrs2.org/";
platforms = lib.platforms.linux;
diff --git a/pkgs/development/libraries/libtar/default.nix b/pkgs/development/libraries/libtar/default.nix
index c6c89ecb4f08..83bb0708110b 100644
--- a/pkgs/development/libraries/libtar/default.nix
+++ b/pkgs/development/libraries/libtar/default.nix
@@ -43,6 +43,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "C library for manipulating POSIX tar files";
+ mainProgram = "libtar";
homepage = "https://repo.or.cz/libtar";
license = licenses.bsd3;
platforms = with platforms; linux ++ darwin;
diff --git a/pkgs/development/libraries/libtiff/default.nix b/pkgs/development/libraries/libtiff/default.nix
index 8e735369e076..80b5f411e663 100644
--- a/pkgs/development/libraries/libtiff/default.nix
+++ b/pkgs/development/libraries/libtiff/default.nix
@@ -20,9 +20,10 @@
, gdal
, openimageio
, freeimage
+, testers
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "libtiff";
version = "4.6.0";
@@ -32,7 +33,7 @@ stdenv.mkDerivation rec {
src = fetchFromGitLab {
owner = "libtiff";
repo = "libtiff";
- rev = "v${version}";
+ rev = "v${finalAttrs.version}";
hash = "sha256-qCg5qjsPPynCHIg0JsPJldwVdcYkI68zYmyNAKUCoyw=";
};
@@ -77,6 +78,9 @@ stdenv.mkDerivation rec {
tests = {
inherit libgeotiff imagemagick graphicsmagick gdal openimageio freeimage;
inherit (python3Packages) pillow imread;
+ pkg-config = testers.hasPkgConfigModules {
+ package = finalAttrs.finalPackage;
+ };
};
updateScript = nix-update-script { };
};
@@ -84,8 +88,9 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Library and utilities for working with the TIFF image file format";
homepage = "https://libtiff.gitlab.io/libtiff";
- changelog = "https://libtiff.gitlab.io/libtiff/v${version}.html";
+ changelog = "https://libtiff.gitlab.io/libtiff/v${finalAttrs.version}.html";
license = licenses.libtiff;
- platforms = platforms.unix;
+ platforms = platforms.unix ++ platforms.windows;
+ pkgConfigModules = [ "libtiff-4" ];
};
-}
+})
diff --git a/pkgs/development/libraries/libtins/0001-force-cpp-14.patch b/pkgs/development/libraries/libtins/0001-force-cpp-14.patch
new file mode 100644
index 000000000000..3426713a07e3
--- /dev/null
+++ b/pkgs/development/libraries/libtins/0001-force-cpp-14.patch
@@ -0,0 +1,26 @@
+This change bypasses all the code that attempts to see which C++11 features are enabled in your specific C++11 compiler. C++14 is required for gtest 1.13+.
+diff --git a/CMakeLists.txt b/CMakeLists.txt
+index 902233e676ee..49ac8a1010a4 100644
+--- a/CMakeLists.txt
++++ b/CMakeLists.txt
+@@ -103,17 +103,9 @@ ENDIF()
+ # C++11 support
+ OPTION(LIBTINS_ENABLE_CXX11 "Compile libtins with c++11 features" ON)
+ IF(LIBTINS_ENABLE_CXX11)
+- # We only use declval and decltype on gcc/clang as VC fails to build that code,
+- # at least on VC2013
+- IF(HAS_CXX11_RVALUE_REFERENCES AND HAS_CXX11_FUNCTIONAL AND HAS_CXX11_CHRONO AND
+- HAS_CXX11_NOEXCEPT AND ((HAS_CXX11_DECLVAL AND HAS_CXX11_DECLTYPE) OR MSVC))
+- SET(TINS_HAVE_CXX11 ON)
+- MESSAGE(STATUS "Enabling C++11 features")
+- SET(CMAKE_CXX_FLAGS "${CMAKE_CXX_FLAGS} ${CXX11_COMPILER_FLAGS}")
+- ELSE()
+- MESSAGE(WARNING "The compiler doesn't support the necessary C++11 features. "
+- "Disabling C++11 on this build")
+- ENDIF()
++ SET(TINS_HAVE_CXX11 ON)
++ MESSAGE(STATUS "Using C++11 features")
++ SET(CMAKE_CXX_FLAGS "${CMAKE_CXX_FLAGS} -std=c++14")
+ ELSE(LIBTINS_ENABLE_CXX11)
+ MESSAGE(
+ WARNING
diff --git a/pkgs/development/libraries/libtins/default.nix b/pkgs/development/libraries/libtins/default.nix
index b27ad6634797..6f195615551f 100644
--- a/pkgs/development/libraries/libtins/default.nix
+++ b/pkgs/development/libraries/libtins/default.nix
@@ -1,25 +1,20 @@
-{ boost, cmake, fetchFromGitHub, fetchpatch, gtest, libpcap, openssl, lib, stdenv }:
+{ boost, cmake, fetchFromGitHub, gtest, libpcap, openssl, lib, stdenv }:
stdenv.mkDerivation rec {
pname = "libtins";
- version = "4.4";
+ version = "4.5";
src = fetchFromGitHub {
owner = "mfontanini";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-mXbinXh/CO0SZZ71+K+FozbHCCoi12+AIa2o+P0QmUw=";
+ sha256 = "sha256-zL4C2Cgs9Y3NebL8MPQBO5j8Bm6xhl8ZggQBPJLRn0o=";
};
patches = [
- # Pull gcc-13 fixes:
- # https://github.com/mfontanini/libtins/pull/496
- # TODO: remove when upgrade to the next version.
- (fetchpatch {
- name = "gcc-13.patch";
- url = "https://github.com/mfontanini/libtins/commit/812be7966d445ec56e88eab512f8fd2d57152427.patch";
- hash = "sha256-5RCFPe95r1CBrAocjTPR2SvUlgaGa1aBc8RazyxUj3M=";
- })
+ # Required for gtest 1.13+, see also upstream report at:
+ # https://github.com/mfontanini/libtins/issues/529
+ ./0001-force-cpp-14.patch
];
postPatch = ''
diff --git a/pkgs/development/libraries/libtorrent-rasterbar/default.nix b/pkgs/development/libraries/libtorrent-rasterbar/default.nix
index 83c84fac602b..75f365ddcb38 100644
--- a/pkgs/development/libraries/libtorrent-rasterbar/default.nix
+++ b/pkgs/development/libraries/libtorrent-rasterbar/default.nix
@@ -3,7 +3,7 @@
}:
let
- version = "2.0.9";
+ version = "2.0.10";
# Make sure we override python, so the correct version is chosen
boostPython = boost.override { enablePython = true; inherit python; };
@@ -16,7 +16,7 @@ in stdenv.mkDerivation {
owner = "arvidn";
repo = "libtorrent";
rev = "v${version}";
- sha256 = "sha256-kUpeofullQ70uK/YZUD0ikHCquFTGwev7MxBYj0oHeU=";
+ sha256 = "sha256-JrAYtoS8wNmmhbgnprD7vNz1N64ekIryjK77rAKTyaQ=";
fetchSubmodules = true;
};
diff --git a/pkgs/development/libraries/libu2f-server/default.nix b/pkgs/development/libraries/libu2f-server/default.nix
index aac71bda3698..96506dfb85c9 100644
--- a/pkgs/development/libraries/libu2f-server/default.nix
+++ b/pkgs/development/libraries/libu2f-server/default.nix
@@ -23,6 +23,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://developers.yubico.com/libu2f-server/";
description = "A C library that implements the server-side of the U2F protocol";
+ mainProgram = "u2f-server";
license = licenses.bsd2;
platforms = platforms.linux;
maintainers = with maintainers; [ philandstuff ];
diff --git a/pkgs/development/libraries/libuchardet/default.nix b/pkgs/development/libraries/libuchardet/default.nix
index 14bf7f7c09c7..642c39e5974a 100644
--- a/pkgs/development/libraries/libuchardet/default.nix
+++ b/pkgs/development/libraries/libuchardet/default.nix
@@ -17,6 +17,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Mozilla's Universal Charset Detector C/C++ API";
+ mainProgram = "uchardet";
homepage = "https://www.freedesktop.org/wiki/Software/uchardet/";
license = licenses.mpl11;
maintainers = with maintainers; [ ];
diff --git a/pkgs/development/libraries/libunibreak/default.nix b/pkgs/development/libraries/libunibreak/default.nix
index f740bd82bb73..557ad37f23d2 100644
--- a/pkgs/development/libraries/libunibreak/default.nix
+++ b/pkgs/development/libraries/libunibreak/default.nix
@@ -2,7 +2,7 @@
stdenv.mkDerivation rec {
pname = "libunibreak";
- version = "5.1";
+ version = "6.1";
src = let
rev_version = lib.replaceStrings ["."] ["_"] version;
@@ -10,7 +10,7 @@ stdenv.mkDerivation rec {
owner = "adah1972";
repo = pname;
rev = "libunibreak_${rev_version}";
- sha256 = "sha256-hjgT5DCQ6KFXKlxk9LLzxGHz6B71X/3Ot7ipK3KY85A=";
+ sha256 = "sha256-8yheb+XSvc1AqITjSutF+/4OWb4+7hweedKzhKJcE1Y=";
};
nativeBuildInputs = [ autoreconfHook ];
diff --git a/pkgs/development/libraries/libunicode/default.nix b/pkgs/development/libraries/libunicode/default.nix
index 725dacebcc25..3fd47a9fc699 100644
--- a/pkgs/development/libraries/libunicode/default.nix
+++ b/pkgs/development/libraries/libunicode/default.nix
@@ -29,6 +29,7 @@ in stdenv.mkDerivation (final: {
meta = with lib; {
description = "Modern C++17 Unicode library";
+ mainProgram = "unicode-query";
license = licenses.asl20;
platforms = platforms.unix;
maintainers = with maintainers; [ moni ];
diff --git a/pkgs/development/libraries/libunwind/default.nix b/pkgs/development/libraries/libunwind/default.nix
index 19d6f0f6cd02..66f9f076dab4 100644
--- a/pkgs/development/libraries/libunwind/default.nix
+++ b/pkgs/development/libraries/libunwind/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "libunwind";
- version = "1.7.2";
+ version = "1.8.1";
src = fetchFromGitHub {
owner = "libunwind";
repo = "libunwind";
rev = "v${version}";
- hash = "sha256-z5YCue0zadQnMEbGFniFvDjXNy6dSHQDQnXRXS6Uh/Y=";
+ hash = "sha256-rCFBHs6rCSnp5FEwbUR5veNNTqSQpFblAv8ebSPX0qE=";
};
postPatch = if (stdenv.cc.isClang || stdenv.hostPlatform.isStatic) then ''
@@ -21,9 +21,15 @@ stdenv.mkDerivation rec {
outputs = [ "out" "dev" "devman" ];
- # Without latex2man, no man pages are installed despite being
- # prebuilt in the source tarball.
- configureFlags = [ "LATEX2MAN=${buildPackages.coreutils}/bin/true" ]
+ configureFlags = [
+ # Starting from 1.8.1 libunwind installs testsuite by default.
+ # As we don't run the tests we disable it (this also fixes circular
+ # reference install failure).
+ "--disable-tests"
+ # Without latex2man, no man pages are installed despite being
+ # prebuilt in the source tarball.
+ "LATEX2MAN=${buildPackages.coreutils}/bin/true"
+ ]
# See https://github.com/libunwind/libunwind/issues/693
++ lib.optionals (with stdenv.hostPlatform; isAarch64 && isMusl && !isStatic) [
"CFLAGS=-mno-outline-atomics"
diff --git a/pkgs/development/libraries/libusb-compat/0.1.nix b/pkgs/development/libraries/libusb-compat/0.1.nix
index db80cfd81d06..841e781dfd5f 100644
--- a/pkgs/development/libraries/libusb-compat/0.1.nix
+++ b/pkgs/development/libraries/libusb-compat/0.1.nix
@@ -35,6 +35,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://libusb.info/";
description = "cross-platform user-mode USB device library";
+ mainProgram = "libusb-config";
longDescription = ''
libusb is a cross-platform user-mode library that provides access to USB devices.
The current API is of 1.0 version (libusb-1.0 API), this library is a wrapper exposing the legacy API.
diff --git a/pkgs/development/libraries/libuv/default.nix b/pkgs/development/libraries/libuv/default.nix
index a150bddc626d..52e1de20327d 100644
--- a/pkgs/development/libraries/libuv/default.nix
+++ b/pkgs/development/libraries/libuv/default.nix
@@ -22,14 +22,14 @@
}:
stdenv.mkDerivation (finalAttrs: {
- version = "1.47.0";
+ version = "1.48.0";
pname = "libuv";
src = fetchFromGitHub {
owner = "libuv";
repo = "libuv";
rev = "v${finalAttrs.version}";
- hash = "sha256-J6qvq///A/tr+/vNRVCwCc80/VHKWQTYF6Mt1I+dBCU=";
+ hash = "sha256-U68BmIQNpmIy3prS7LkYl+wvDJQNikoeFiKh50yQFoA=";
};
outputs = [ "out" "dev" ];
@@ -48,6 +48,8 @@ stdenv.mkDerivation (finalAttrs: {
# Assertion failed in test/test-tcp-bind6-error.c on line 60: r == UV_EADDRINUSE
# Assertion failed in test/test-tcp-bind-error.c on line 99: r == UV_EADDRINUSE
"tcp_bind6_error_addrinuse" "tcp_bind_error_addrinuse_listen"
+ # https://github.com/libuv/libuv/pull/4075#issuecomment-1935572237
+ "thread_priority"
] ++ lib.optionals stdenv.isDarwin [
# Sometimes: timeout (no output), failed uv_listen. Someone
# should report these failures to libuv team. There tests should
diff --git a/pkgs/development/libraries/libva/utils.nix b/pkgs/development/libraries/libva/utils.nix
index 777119378d34..25db3a1149ac 100644
--- a/pkgs/development/libraries/libva/utils.nix
+++ b/pkgs/development/libraries/libva/utils.nix
@@ -4,13 +4,13 @@
stdenv.mkDerivation rec {
pname = "libva-utils";
- version = "2.20.1";
+ version = "2.21.0";
src = fetchFromGitHub {
owner = "intel";
repo = "libva-utils";
rev = version;
- sha256 = "sha256-ZX6ahKnOB5ZEg36iIWskq3q26GVg/trsCAKKttEKZ1s=";
+ sha256 = "sha256-+Ayx5Csgeip2qj1ywE7cBxupXiYJTNXhRo17009vG4I=";
};
nativeBuildInputs = [ meson ninja pkg-config ];
diff --git a/pkgs/development/libraries/libvarlink/default.nix b/pkgs/development/libraries/libvarlink/default.nix
index 941ee900edb2..0efca00a3e10 100644
--- a/pkgs/development/libraries/libvarlink/default.nix
+++ b/pkgs/development/libraries/libvarlink/default.nix
@@ -44,6 +44,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "C implementation of the Varlink protocol and command line tool";
+ mainProgram = "varlink";
homepage = "https://github.com/varlink/libvarlink";
license = licenses.asl20;
maintainers = with maintainers; [ artturin ];
diff --git a/pkgs/development/libraries/libwacom/default.nix b/pkgs/development/libraries/libwacom/default.nix
index ed5413464e1d..8e9840325bb9 100644
--- a/pkgs/development/libraries/libwacom/default.nix
+++ b/pkgs/development/libraries/libwacom/default.nix
@@ -15,7 +15,7 @@
stdenv.mkDerivation rec {
pname = "libwacom";
- version = "2.9.0";
+ version = "2.10.0";
outputs = [ "out" "dev" ];
@@ -23,7 +23,7 @@ stdenv.mkDerivation rec {
owner = "linuxwacom";
repo = "libwacom";
rev = "libwacom-${version}";
- hash = "sha256-oM3dd22hQaAXdNoO2Q2JvO2lJCkmfw8f0NWxYcVT3lA=";
+ hash = "sha256-mH0aBDXeaz0tZLwNPSWUepHu52DnlvPyQFw3EByIwys=";
};
postPatch = ''
diff --git a/pkgs/development/libraries/libwtk-sdl2/default.nix b/pkgs/development/libraries/libwtk-sdl2/default.nix
index bdfe404c547c..4da8873ca775 100644
--- a/pkgs/development/libraries/libwtk-sdl2/default.nix
+++ b/pkgs/development/libraries/libwtk-sdl2/default.nix
@@ -37,6 +37,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "Simplistic SDL2 GUI framework in early developement";
+ mainProgram = "libwtk-sdl2-test";
homepage = "https://github.com/muesli4/libwtk-sdl2";
# See: https://github.com/muesli4/mpd-touch-screen-gui/tree/master/LICENSES
license = licenses.lgpl3Plus;
diff --git a/pkgs/development/libraries/libxc/default.nix b/pkgs/development/libraries/libxc/default.nix
index b3f20d02c533..d5e543a1b5f3 100644
--- a/pkgs/development/libraries/libxc/default.nix
+++ b/pkgs/development/libraries/libxc/default.nix
@@ -41,6 +41,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Library of exchange-correlation functionals for density-functional theory";
+ mainProgram = "xc-info";
homepage = "https://www.tddft.org/programs/Libxc/";
license = licenses.mpl20;
platforms = platforms.unix;
diff --git a/pkgs/development/libraries/libxkbcommon/default.nix b/pkgs/development/libraries/libxkbcommon/default.nix
index b4683f673fba..03064a7e7b2a 100644
--- a/pkgs/development/libraries/libxkbcommon/default.nix
+++ b/pkgs/development/libraries/libxkbcommon/default.nix
@@ -17,14 +17,15 @@
, wayland
, wayland-protocols
, wayland-scanner
+, testers
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "libxkbcommon";
version = "1.5.0";
src = fetchurl {
- url = "https://xkbcommon.org/download/${pname}-${version}.tar.xz";
+ url = with finalAttrs; "https://xkbcommon.org/download/${pname}-${version}.tar.xz";
sha256 = "sha256-Vg8RxLu8oQ9JXz7306aqTKYrT4+wtS59RZ0Yom5G4Bc=";
};
@@ -49,6 +50,12 @@ stdenv.mkDerivation rec {
patchShebangs ../test/
'';
+ passthru = {
+ tests.pkg-config = testers.hasPkgConfigModules {
+ package = finalAttrs.finalPackage;
+ };
+ };
+
meta = with lib; {
description = "A library to handle keyboard descriptions";
longDescription = ''
@@ -58,7 +65,7 @@ stdenv.mkDerivation rec {
and dead keys.
''; # and a separate library for listing available keyboard layouts.
homepage = "https://xkbcommon.org";
- changelog = "https://github.com/xkbcommon/libxkbcommon/blob/xkbcommon-${version}/NEWS";
+ changelog = "https://github.com/xkbcommon/libxkbcommon/blob/xkbcommon-${finalAttrs.version}/NEWS";
license = licenses.mit;
maintainers = with maintainers; [ primeos ttuegel ];
mainProgram = "xkbcli";
@@ -69,4 +76,4 @@ stdenv.mkDerivation rec {
"xkbregistry"
];
};
-}
+})
diff --git a/pkgs/development/libraries/libxml2/default.nix b/pkgs/development/libraries/libxml2/default.nix
index ce7e51c04f48..78c5d09889aa 100644
--- a/pkgs/development/libraries/libxml2/default.nix
+++ b/pkgs/development/libraries/libxml2/default.nix
@@ -20,6 +20,7 @@
, enableShared ? !stdenv.hostPlatform.isMinGW && !stdenv.hostPlatform.isStatic
, enableStatic ? !enableShared
, gnome
+, testers
}:
let
@@ -32,9 +33,9 @@ in
assert oldVer -> stdenv.isDarwin; # reduce likelihood of using old libxml2 unintentionally
let
-libxml = stdenv.mkDerivation rec {
+libxml = stdenv.mkDerivation (finalAttrs: rec {
pname = "libxml2";
- version = "2.12.4";
+ version = "2.12.5";
outputs = [ "bin" "dev" "out" "doc" ]
++ lib.optional pythonSupport "py"
@@ -43,7 +44,7 @@ libxml = stdenv.mkDerivation rec {
src = fetchurl {
url = "mirror://gnome/sources/libxml2/${lib.versions.majorMinor version}/libxml2-${version}.tar.xz";
- hash = "sha256-SXNg5CPPC9merNt8YhXeqS5tbonulAOTwrrg53y5t9A=";
+ hash = "sha256-qXJ5Zpav04Bz4PWcKDw6L1pWC1JotLq8ORsoYWZSayE=";
};
strictDeps = true;
@@ -123,6 +124,11 @@ libxml = stdenv.mkDerivation rec {
packageName = pname;
versionPolicy = "none";
};
+ tests = {
+ pkg-config = testers.hasPkgConfigModules {
+ package = finalAttrs.finalPackage;
+ };
+ };
};
meta = with lib; {
@@ -131,8 +137,9 @@ libxml = stdenv.mkDerivation rec {
license = licenses.mit;
platforms = platforms.all;
maintainers = with maintainers; [ eelco jtojnar ];
+ pkgConfigModules = [ "libxml-2.0" ];
};
-};
+});
in
if oldVer then
libxml.overrideAttrs (attrs: rec {
diff --git a/pkgs/development/libraries/libxmlb/default.nix b/pkgs/development/libraries/libxmlb/default.nix
index 522d3546b8e0..d3f6f311df94 100644
--- a/pkgs/development/libraries/libxmlb/default.nix
+++ b/pkgs/development/libraries/libxmlb/default.nix
@@ -71,6 +71,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A library to help create and query binary XML blobs";
+ mainProgram = "xb-tool";
homepage = "https://github.com/hughsie/libxmlb";
license = licenses.lgpl21Plus;
maintainers = with maintainers; [ ];
diff --git a/pkgs/development/libraries/libxsmm/default.nix b/pkgs/development/libraries/libxsmm/default.nix
index 6530ee7f67ae..178e524fd1b7 100644
--- a/pkgs/development/libraries/libxsmm/default.nix
+++ b/pkgs/development/libraries/libxsmm/default.nix
@@ -49,6 +49,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
broken = (stdenv.isLinux && stdenv.isAarch64);
description = "Library targeting Intel Architecture for specialized dense and sparse matrix operations, and deep learning primitives";
+ mainProgram = "libxsmm_gemm_generator";
license = licenses.bsd3;
homepage = "https://github.com/hfp/libxsmm";
platforms = platforms.linux;
diff --git a/pkgs/development/libraries/libykclient/default.nix b/pkgs/development/libraries/libykclient/default.nix
index 6aacfc5ea2fa..240f771e49f1 100644
--- a/pkgs/development/libraries/libykclient/default.nix
+++ b/pkgs/development/libraries/libykclient/default.nix
@@ -15,6 +15,7 @@ stdenv.mkDerivation {
meta = with lib; {
description = "Yubikey C client library";
+ mainProgram = "ykclient";
homepage = "https://developers.yubico.com/yubico-c-client";
license = licenses.bsd2;
maintainers = with maintainers; [ dtzWill ];
diff --git a/pkgs/development/libraries/libyuv/default.nix b/pkgs/development/libraries/libyuv/default.nix
index cc9f78ccae6f..77e32c09de8f 100644
--- a/pkgs/development/libraries/libyuv/default.nix
+++ b/pkgs/development/libraries/libyuv/default.nix
@@ -40,6 +40,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://chromium.googlesource.com/libyuv/libyuv";
description = "Open source project that includes YUV scaling and conversion functionality";
+ mainProgram = "yuvconvert";
platforms = platforms.unix;
maintainers = with maintainers; [ leixb ];
license = licenses.bsd3;
diff --git a/pkgs/development/libraries/lightgbm/default.nix b/pkgs/development/libraries/lightgbm/default.nix
index 55d04fb4f374..5f8e6ceaecd0 100644
--- a/pkgs/development/libraries/lightgbm/default.nix
+++ b/pkgs/development/libraries/lightgbm/default.nix
@@ -23,14 +23,14 @@ stdenv.mkDerivation rec {
# in \
# rWrapper.override{ packages = [ lgbm ]; }"
pname = lib.optionalString rLibrary "r-" + pnameBase;
- version = "4.1.0";
+ version = "4.3.0";
src = fetchFromGitHub {
owner = "microsoft";
repo = pnameBase;
rev = "v${version}";
fetchSubmodules = true;
- hash = "sha256-AhXe/Mlor/i0y84wI9jVPKSnyVbSyAV52Y4yiNm7yLQ=";
+ hash = "sha256-hEoGdzC6n8t14ZUFWFrdljEkQRo9qaDGYTamvIAgrbg=";
};
nativeBuildInputs = [ cmake ]
@@ -47,6 +47,7 @@ stdenv.mkDerivation rec {
propagatedBuildInputs = lib.optionals rLibrary [
rPackages.data_table
+ rPackages.markdown
rPackages.rmarkdown
rPackages.jsonlite
rPackages.Matrix
@@ -70,6 +71,9 @@ stdenv.mkDerivation rec {
--replace \
"install_args <- c(\"CMD\", \"INSTALL\", \"--no-multiarch\", \"--with-keep.source\", tarball)" \
"install_args <- c(\"CMD\", \"INSTALL\", \"--no-multiarch\", \"--with-keep.source\", \"-l $out/library\", tarball)"
+
+ # Retry this test in next release. Something fails in the setup, so GTEST_FILTER is not enough
+ rm tests/cpp_tests/test_arrow.cpp
'';
cmakeFlags = lib.optionals doCheck [ "-DBUILD_CPP_TEST=ON" ]
@@ -142,6 +146,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description =
"LightGBM is a gradient boosting framework that uses tree based learning algorithms.";
+ mainProgram = "lightgbm";
homepage = "https://github.com/microsoft/LightGBM";
license = licenses.mit;
platforms = platforms.unix;
diff --git a/pkgs/development/libraries/linbox/default.nix b/pkgs/development/libraries/linbox/default.nix
index 6367b93b1a21..0997513f5a51 100644
--- a/pkgs/development/libraries/linbox/default.nix
+++ b/pkgs/development/libraries/linbox/default.nix
@@ -37,7 +37,7 @@ stdenv.mkDerivation rec {
configureFlags = [
"--with-blas-libs=-lblas"
- "--disable-optimization"
+ "--without-archnative"
] ++ lib.optionals stdenv.isx86_64 [
# disable SIMD instructions (which are enabled *when available* by default)
"--${if stdenv.hostPlatform.sse3Support then "enable" else "disable"}-sse3"
@@ -61,6 +61,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "C++ library for exact, high-performance linear algebra";
+ mainProgram = "linbox-config";
license = licenses.lgpl21Plus;
maintainers = teams.sage.members;
platforms = platforms.unix;
diff --git a/pkgs/development/libraries/litehtml/default.nix b/pkgs/development/libraries/litehtml/default.nix
index 3927f7cce4a5..b1864f6497a9 100644
--- a/pkgs/development/libraries/litehtml/default.nix
+++ b/pkgs/development/libraries/litehtml/default.nix
@@ -5,14 +5,14 @@
, gumbo
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "litehtml";
version = "0.6";
src = fetchFromGitHub {
owner = "litehtml";
repo = "litehtml";
- rev = "v${version}";
+ rev = "v${finalAttrs.version}";
hash = "sha256-9571d3k8RkzEpMWPuIejZ7njLmYstSwFUaSqT3sk6uQ=";
};
@@ -43,4 +43,4 @@ stdenv.mkDerivation rec {
platforms = platforms.all;
maintainers = with maintainers; [ fgaz ];
};
-}
+})
diff --git a/pkgs/development/libraries/llhttp/default.nix b/pkgs/development/libraries/llhttp/default.nix
index fbf7198f1d55..15b548af70b3 100644
--- a/pkgs/development/libraries/llhttp/default.nix
+++ b/pkgs/development/libraries/llhttp/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "llhttp";
- version = "9.1.3";
+ version = "9.2.0";
src = fetchFromGitHub {
owner = "nodejs";
repo = "llhttp";
rev = "release/v${finalAttrs.version}";
- hash = "sha256-QacjukWkRCuQA4qzUq45521YOBLPuOSKNR1pVxgxe9o=";
+ hash = "sha256-DX/CuTyvc2OfAVWvlJr6wVHwSuqWmqQt34vM1FEazwE=";
};
outputs = [ "out" "dev" ];
diff --git a/pkgs/development/libraries/lmdbxx/default.nix b/pkgs/development/libraries/lmdbxx/default.nix
index 4fc1573eea1a..c8b4dec313be 100644
--- a/pkgs/development/libraries/lmdbxx/default.nix
+++ b/pkgs/development/libraries/lmdbxx/default.nix
@@ -2,14 +2,14 @@
, fetchFromGitHub
, lmdb }:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "lmdbxx";
version = "1.0.0";
src = fetchFromGitHub {
owner = "hoytech";
repo = "lmdbxx";
- rev = version;
+ rev = finalAttrs.version;
sha256 = "sha256-7CxQZdgHVvmof6wVR9Mzic6tg89XJT3Z1ICGRs7PZYo=";
};
@@ -22,5 +22,4 @@ stdenv.mkDerivation rec {
license = lib.licenses.unlicense;
maintainers = with lib.maintainers; [ fgaz ];
};
-}
-
+})
diff --git a/pkgs/development/libraries/log4cpp/default.nix b/pkgs/development/libraries/log4cpp/default.nix
index c4255babca56..1a024a80cca0 100644
--- a/pkgs/development/libraries/log4cpp/default.nix
+++ b/pkgs/development/libraries/log4cpp/default.nix
@@ -14,6 +14,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://log4cpp.sourceforge.net/";
description = "A logging framework for C++ patterned after Apache log4j";
+ mainProgram = "log4cpp-config";
license = licenses.lgpl21Plus;
platforms = platforms.unix;
};
diff --git a/pkgs/development/libraries/log4shib/default.nix b/pkgs/development/libraries/log4shib/default.nix
index 42a428b35c7e..26313ddae580 100644
--- a/pkgs/development/libraries/log4shib/default.nix
+++ b/pkgs/development/libraries/log4shib/default.nix
@@ -16,6 +16,7 @@ stdenv.mkDerivation {
meta = with lib; {
description = "A forked version of log4cpp that has been created for the Shibboleth project";
+ mainProgram = "log4shib-config";
maintainers = [ ];
license = licenses.lgpl21;
homepage = "http://log4cpp.sf.net";
diff --git a/pkgs/development/libraries/lucene++/default.nix b/pkgs/development/libraries/lucene++/default.nix
index 7755692d2c04..41aadfcdc747 100644
--- a/pkgs/development/libraries/lucene++/default.nix
+++ b/pkgs/development/libraries/lucene++/default.nix
@@ -29,9 +29,12 @@ stdenv.mkDerivation rec {
})
];
+ # Don't use the built in gtest - but the nixpkgs one requires C++14.
postPatch = ''
substituteInPlace src/test/CMakeLists.txt \
--replace "add_subdirectory(gtest)" ""
+ substituteInPlace CMakeLists.txt \
+ --replace "set(CMAKE_CXX_STANDARD 11)" "set(CMAKE_CXX_STANDARD 14)"
'';
doCheck = true;
diff --git a/pkgs/development/libraries/luksmeta/default.nix b/pkgs/development/libraries/luksmeta/default.nix
index afefcfed82c7..976f6f188269 100644
--- a/pkgs/development/libraries/luksmeta/default.nix
+++ b/pkgs/development/libraries/luksmeta/default.nix
@@ -18,6 +18,7 @@ stdenv.mkDerivation rec {
meta = {
description = "Simple library for storing metadata in the LUKSv1 header";
+ mainProgram = "luksmeta";
homepage = "https://github.com/latchset/luksmeta/";
maintainers = with lib.maintainers; [ fpletz ];
license = lib.licenses.lgpl21Plus;
diff --git a/pkgs/development/libraries/magic-enum/default.nix b/pkgs/development/libraries/magic-enum/default.nix
index ccf439ca9190..ac8afa938ea8 100644
--- a/pkgs/development/libraries/magic-enum/default.nix
+++ b/pkgs/development/libraries/magic-enum/default.nix
@@ -5,26 +5,29 @@
}:
stdenv.mkDerivation rec{
pname = "magic-enum";
- version = "0.8.2";
+ version = "0.9.5";
+
src = fetchFromGitHub {
owner = "Neargye";
repo = "magic_enum";
- rev = "v${version}";
- sha256 = "sha256-k4zCEQxO0N/o1hDYxw5p9u0BMwP/5oIoe/4yw7oqEo0=";
+ rev = "refs/tags/v${version}";
+ hash = "sha256-Q82HdlEMXpiGISnqdjFd0rxiLgsobsoWiqqGLawu2pM=";
};
nativeBuildInputs = [ cmake ];
- # disable tests until upstream fixes build issues with gcc 12
- # see https://github.com/Neargye/magic_enum/issues/235
- doCheck = false;
cmakeFlags = [
- "-DMAGIC_ENUM_OPT_BUILD_TESTS=OFF"
+ # the cmake package does not handle absolute CMAKE_INSTALL_INCLUDEDIR correctly
+ # (setting it to an absolute path causes include files to go to $out/$out/include,
+ # because the absolute path is interpreted with root at $out).
+ "-DCMAKE_INSTALL_INCLUDEDIR=include"
+ "-DCMAKE_INSTALL_LIBDIR=lib"
];
meta = with lib;{
description = "Static reflection for enums (to string, from string, iteration) for modern C++";
homepage = "https://github.com/Neargye/magic_enum";
+ changelog = "https://github.com/Neargye/magic_enum/releases/tag/v${version}";
license = licenses.mit;
maintainers = with maintainers; [ Alper-Celik ];
};
diff --git a/pkgs/development/libraries/malcontent/default.nix b/pkgs/development/libraries/malcontent/default.nix
index d897230f8f37..34d1731e8a09 100644
--- a/pkgs/development/libraries/malcontent/default.nix
+++ b/pkgs/development/libraries/malcontent/default.nix
@@ -96,6 +96,7 @@ stdenv.mkDerivation rec {
outputsToInstall = [ "bin" "out" "man" ];
description = "Parental controls library";
+ mainProgram = "malcontent-client";
homepage = "https://gitlab.freedesktop.org/pwithnall/malcontent";
license = licenses.lgpl21Plus;
maintainers = with maintainers; [ jtojnar ];
diff --git a/pkgs/development/libraries/malcontent/ui.nix b/pkgs/development/libraries/malcontent/ui.nix
index 59950a75c745..cf421bd11c19 100644
--- a/pkgs/development/libraries/malcontent/ui.nix
+++ b/pkgs/development/libraries/malcontent/ui.nix
@@ -68,6 +68,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "UI components for parental controls library";
+ mainProgram = "malcontent-control";
homepage = "https://gitlab.freedesktop.org/pwithnall/malcontent";
license = licenses.lgpl21Plus;
maintainers = with maintainers; [ jtojnar ];
diff --git a/pkgs/development/libraries/mesa/backport-radeon-crash-fix.patch b/pkgs/development/libraries/mesa/backport-radeon-crash-fix.patch
new file mode 100644
index 000000000000..62915abcf242
--- /dev/null
+++ b/pkgs/development/libraries/mesa/backport-radeon-crash-fix.patch
@@ -0,0 +1,13 @@
+diff --git a/src/gallium/winsys/radeon/drm/radeon_drm_bo.c b/src/gallium/winsys/radeon/drm/radeon_drm_bo.c
+index 2e1d9c488e2..7979cad75fa 100644
+--- a/src/gallium/winsys/radeon/drm/radeon_drm_bo.c
++++ b/src/gallium/winsys/radeon/drm/radeon_drm_bo.c
+@@ -729,7 +729,7 @@ bool radeon_bo_can_reclaim_slab(void *priv, struct pb_slab_entry *entry)
+ {
+ struct radeon_bo *bo = container_of(entry, struct radeon_bo, u.slab.entry);
+
+- return radeon_bo_can_reclaim(NULL, &bo->base);
++ return radeon_bo_can_reclaim(priv, &bo->base);
+ }
+
+ static void radeon_bo_slab_destroy(void *winsys, struct pb_buffer_lean *_buf)
diff --git a/pkgs/development/libraries/mesa/backports/0001-dri-added-build-dependencies-for-systems-using-non-s.patch b/pkgs/development/libraries/mesa/backports/0001-dri-added-build-dependencies-for-systems-using-non-s.patch
deleted file mode 100644
index c0b239c92598..000000000000
--- a/pkgs/development/libraries/mesa/backports/0001-dri-added-build-dependencies-for-systems-using-non-s.patch
+++ /dev/null
@@ -1,56 +0,0 @@
-From 0000000000000000000000000000000000000000 Mon Sep 17 00:00:00 2001
-From: "duncan.hopkins"
-Date: Tue, 17 Oct 2023 09:34:31 +0100
-Subject: [PATCH] dri: added build dependencies for systems using non-standard
- prefixed X11 libs.
-
-To get MacOS to build, some extra dependencies need to be added to a couple of build targets.
-This mainly shows up when not installing the dependencies in the default prefix locations.
-On MacOS, this happens when using a custom build of brew to install the dependencies to 'odd' locations.
-
-Reviewed-by: Adam Jackson
-Part-of:
----
- src/gallium/targets/dri/meson.build | 2 +-
- src/glx/meson.build | 2 +-
- src/loader/meson.build | 2 +-
- 3 files changed, 3 insertions(+), 3 deletions(-)
-
-diff --git a/src/gallium/targets/dri/meson.build b/src/gallium/targets/dri/meson.build
-index 66619bba0db..6d3ef197e74 100644
---- a/src/gallium/targets/dri/meson.build
-+++ b/src/gallium/targets/dri/meson.build
-@@ -49,7 +49,7 @@ libgallium_dri = shared_library(
- link_depends : gallium_dri_link_depends,
- link_with : [
- libdri, libmesa, libgalliumvl,
-- libgallium, libglapi, libpipe_loader_static, libws_null, libwsw, libswdri,
-+ libgallium, libglapi, libloader, libpipe_loader_static, libws_null, libwsw, libswdri,
- libswkmsdri,
- ],
- dependencies : [
-diff --git a/src/glx/meson.build b/src/glx/meson.build
-index 7ec3e3e0d88..1a5e9833956 100644
---- a/src/glx/meson.build
-+++ b/src/glx/meson.build
-@@ -136,7 +136,7 @@ libglx = static_library(
- ],
- dependencies : [
- idep_mesautil, idep_xmlconfig,
-- dep_libdrm, dep_dri2proto, dep_glproto, dep_x11, dep_glvnd, dep_xxf86vm, dep_xshmfence
-+ dep_libdrm, dep_dri2proto, dep_glproto, dep_x11, dep_xext, dep_glvnd, dep_xxf86vm, dep_xshmfence
- ],
- )
-
-diff --git a/src/loader/meson.build b/src/loader/meson.build
-index 35f9991ba2f..043cc852112 100644
---- a/src/loader/meson.build
-+++ b/src/loader/meson.build
-@@ -47,6 +47,6 @@ libloader = static_library(
- c_args : loader_c_args,
- gnu_symbol_visibility : 'hidden',
- include_directories : [inc_include, inc_src, inc_util],
-- dependencies : [dep_libdrm, dep_thread, dep_xcb_xrandr],
-+ dependencies : [dep_libdrm, dep_thread, dep_xcb, dep_xcb_xrandr],
- build_by_default : false,
- )
diff --git a/pkgs/development/libraries/mesa/backports/0002-util-Update-util-libdrm.h-stubs-to-allow-loader.c-to.patch b/pkgs/development/libraries/mesa/backports/0002-util-Update-util-libdrm.h-stubs-to-allow-loader.c-to.patch
deleted file mode 100644
index 5a48ace8fbbc..000000000000
--- a/pkgs/development/libraries/mesa/backports/0002-util-Update-util-libdrm.h-stubs-to-allow-loader.c-to.patch
+++ /dev/null
@@ -1,103 +0,0 @@
-From 0000000000000000000000000000000000000000 Mon Sep 17 00:00:00 2001
-From: "duncan.hopkins"
-Date: Tue, 17 Oct 2023 14:36:39 +0100
-Subject: [PATCH] util: Update util/libdrm.h stubs to allow loader.c to compile
- on MacOS.
-
-MacOS does not have the libdrm libraries so is missing xf86drm.h.
-util/libdrm.h already has a collection of stubs for systems that do not support the libraries.
-
-A compile on MacOS will fail with the source that uses newer drm functions and structures.
-Update adds in missing items that MacOS code needs to compile and run.
-New code is copied from the public repository: https://gitlab.freedesktop.org/mesa/drm/-/blob/main/xf86drm.h
-
-Reviewed-by: Adam Jackson
-Part-of:
----
- src/util/libdrm.h | 57 +++++++++++++++++++++++++++++++++++++++++++++++
- 1 file changed, 57 insertions(+)
-
-diff --git a/src/util/libdrm.h b/src/util/libdrm.h
-index cc153cf88ab..e3af494b8d1 100644
---- a/src/util/libdrm.h
-+++ b/src/util/libdrm.h
-@@ -44,22 +44,79 @@
- #define DRM_BUS_PLATFORM 2
- #define DRM_BUS_HOST1X 3
-
-+typedef struct _drmPciDeviceInfo {
-+ uint16_t vendor_id;
-+ uint16_t device_id;
-+ uint16_t subvendor_id;
-+ uint16_t subdevice_id;
-+ uint8_t revision_id;
-+} drmPciDeviceInfo, *drmPciDeviceInfoPtr;
-+
-+#define DRM_PLATFORM_DEVICE_NAME_LEN 512
-+
-+typedef struct _drmPlatformBusInfo {
-+ char fullname[DRM_PLATFORM_DEVICE_NAME_LEN];
-+} drmPlatformBusInfo, *drmPlatformBusInfoPtr;
-+
-+typedef struct _drmPlatformDeviceInfo {
-+ char **compatible; /* NULL terminated list of compatible strings */
-+} drmPlatformDeviceInfo, *drmPlatformDeviceInfoPtr;
-+
-+#define DRM_HOST1X_DEVICE_NAME_LEN 512
-+
-+typedef struct _drmHost1xBusInfo {
-+ char fullname[DRM_HOST1X_DEVICE_NAME_LEN];
-+} drmHost1xBusInfo, *drmHost1xBusInfoPtr;
-+
-+typedef struct _drmPciBusInfo {
-+ uint16_t domain;
-+ uint8_t bus;
-+ uint8_t dev;
-+ uint8_t func;
-+} drmPciBusInfo, *drmPciBusInfoPtr;
-+
- typedef struct _drmDevice {
- char **nodes; /* DRM_NODE_MAX sized array */
- int available_nodes; /* DRM_NODE_* bitmask */
- int bustype;
-+ union {
-+ drmPciBusInfoPtr pci;
-+ drmPlatformBusInfoPtr platform;
-+ drmHost1xBusInfoPtr host1x;
-+ } businfo;
-+ union {
-+ drmPciDeviceInfoPtr pci;
-+ } deviceinfo;
- /* ... */
- } drmDevice, *drmDevicePtr;
-
-+static inline int
-+drmGetDevice2(int fd, uint32_t flags, drmDevicePtr *device)
-+{
-+ return -ENOENT;
-+}
-+
- static inline int
- drmGetDevices2(uint32_t flags, drmDevicePtr devices[], int max_devices)
- {
- return -ENOENT;
- }
-
-+static inline int
-+drmGetDeviceFromDevId(dev_t dev_id, uint32_t flags, drmDevicePtr *device)
-+{
-+ return -ENOENT;
-+}
-+
-+static inline void
-+drmFreeDevice(drmDevicePtr *device) {}
-+
- static inline void
- drmFreeDevices(drmDevicePtr devices[], int count) {}
-
-+static inline char*
-+drmGetDeviceNameFromFd2(int fd) { return NULL;}
-+
- typedef struct _drmVersion {
- int version_major; /**< Major version */
- int version_minor; /**< Minor version */
diff --git a/pkgs/development/libraries/mesa/backports/0003-glx-fix-automatic-zink-fallback-loading-between-hw-a.patch b/pkgs/development/libraries/mesa/backports/0003-glx-fix-automatic-zink-fallback-loading-between-hw-a.patch
deleted file mode 100644
index c7bde6411d8e..000000000000
--- a/pkgs/development/libraries/mesa/backports/0003-glx-fix-automatic-zink-fallback-loading-between-hw-a.patch
+++ /dev/null
@@ -1,53 +0,0 @@
-From 0000000000000000000000000000000000000000 Mon Sep 17 00:00:00 2001
-From: "duncan.hopkins"
-Date: Wed, 1 Nov 2023 11:31:13 +0000
-Subject: [PATCH] glx: fix automatic zink fallback loading between hw and sw
- drivers on MacOS
-
-The combination of defines used when compile the code on MacOS is hiding variables.
-Patch allows basic MacOS build to compile and run.
-
-Reviewed-by: Adam Jackson
-Part-of:
----
- src/glx/glxext.c | 10 ++++++++--
- 1 file changed, 8 insertions(+), 2 deletions(-)
-
-diff --git a/src/glx/glxext.c b/src/glx/glxext.c
-index 7712e54c1d6..454f2c36a77 100644
---- a/src/glx/glxext.c
-+++ b/src/glx/glxext.c
-@@ -878,12 +878,16 @@ __glXInitialize(Display * dpy)
-
- dpyPriv->glXDrawHash = __glxHashCreate();
-
-+ Bool zink = False;
-+ Bool try_zink = False;
-+
- #if defined(GLX_DIRECT_RENDERING) && !defined(GLX_USE_APPLEGL)
- Bool glx_direct = !debug_get_bool_option("LIBGL_ALWAYS_INDIRECT", false);
- Bool glx_accel = !debug_get_bool_option("LIBGL_ALWAYS_SOFTWARE", false);
- const char *env = getenv("MESA_LOADER_DRIVER_OVERRIDE");
-- Bool zink = env && !strcmp(env, "zink");
-- Bool try_zink = False;
-+
-+ zink = env && !strcmp(env, "zink");
-+ try_zink = False;
-
- dpyPriv->drawHash = __glxHashCreate();
-
-@@ -928,12 +932,14 @@ __glXInitialize(Display * dpy)
-
- if (!AllocAndFetchScreenConfigs(dpy, dpyPriv, zink | try_zink)) {
- Bool fail = True;
-+#if defined(GLX_DIRECT_RENDERING) && !defined(GLX_USE_APPLEGL)
- if (try_zink) {
- free(dpyPriv->screens);
- dpyPriv->driswDisplay->destroyDisplay(dpyPriv->driswDisplay);
- dpyPriv->driswDisplay = driswCreateDisplay(dpy, false);
- fail = !AllocAndFetchScreenConfigs(dpy, dpyPriv, False);
- }
-+#endif
- if (fail) {
- free(dpyPriv);
- return NULL;
diff --git a/pkgs/development/libraries/mesa/default.nix b/pkgs/development/libraries/mesa/default.nix
index 38b73f56cab6..7920b4405b2e 100644
--- a/pkgs/development/libraries/mesa/default.nix
+++ b/pkgs/development/libraries/mesa/default.nix
@@ -1,15 +1,16 @@
-{ stdenv, lib, fetchurl, fetchpatch, buildPackages
+{ stdenv, lib, fetchurl, fetchpatch, fetchCrate, buildPackages
, meson, pkg-config, ninja
, intltool, bison, flex, file, python3Packages, wayland-scanner
, expat, libdrm, xorg, wayland, wayland-protocols, openssl
, llvmPackages, libffi, libomxil-bellagio, libva-minimal
-, libelf, libvdpau
+, elfutils, libvdpau
, libglvnd, libunwind, lm_sensors
, vulkan-loader, glslang
, galliumDrivers ?
if stdenv.isLinux then
[
"d3d12" # WSL emulated GPU (aka Dozen)
+ "kmsro" # special "render only" driver for GPUs without a display controller
"nouveau" # Nvidia
"radeonsi" # new AMD (GCN+)
"r300" # very old AMD
@@ -38,6 +39,7 @@
[
"amd" # AMD (aka RADV)
"microsoft-experimental" # WSL virtualized GPU (aka DZN/Dozen)
+ "nouveau-experimental" # Nouveau (aka NVK)
"swrast" # software renderer (aka Lavapipe)
]
++ lib.optionals (stdenv.hostPlatform.isAarch -> lib.versionAtLeast stdenv.hostPlatform.parsed.cpu.version "6") [
@@ -66,6 +68,7 @@
, enableOpenCL ? stdenv.isLinux && stdenv.isx86_64
, enablePatentEncumberedCodecs ? true
, jdupes
+, rustPlatform
, rust-bindgen
, rustc
, spirv-llvm-translator
@@ -74,20 +77,12 @@
, udev
}:
-/** Packaging design:
- - The basic mesa ($out) contains headers and libraries (GLU is in libGLU now).
- This or the mesa attribute (which also contains GLU) are small (~ 2 MB, mostly headers)
- and are designed to be the buildInput of other packages.
- - DRI drivers are compiled into $drivers output, which is much bigger and
- depends on LLVM. These should be searched at runtime in
- "/run/opengl-driver{,-32}/lib/*" and so are kind-of impure (given by NixOS).
- (I suppose on non-NixOS one would create the appropriate symlinks from there.)
- - libOSMesa is in $osmesa (~4 MB)
-*/
+# When updating this package, please verify at least these build (assuming x86_64-linux):
+# nix build .#mesa .#pkgsi686Linux.mesa .#pkgsCross.aarch64-multiplatform.mesa .#pkgsMusl.mesa
let
- version = "23.3.5";
- hash = "sha256-acyxJ4ZB/1utccoPhmGIrrGpKq3E27nTX1CuvsW4tQ8=";
+ version = "24.0.3";
+ hash = "sha256-d67JoqN7fTWW6hZAs8xT0LXZs7Uqvtid4H43F+kb/b4=";
# Release calendar: https://www.mesa3d.org/release-calendar.html
# Release frequency: https://www.mesa3d.org/releasing.html#schedule
@@ -98,6 +93,37 @@ let
haveWayland = lib.elem "wayland" eglPlatforms;
haveZink = lib.elem "zink" galliumDrivers;
haveDozen = (lib.elem "d3d12" galliumDrivers) || (lib.elem "microsoft-experimental" vulkanDrivers);
+
+ rustDeps = [
+ {
+ pname = "proc-macro2";
+ version = "1.0.70";
+ hash = "sha256-e4ZgyZUTu5nAtaH5QVkLelqJQX/XPj/rWkzf/g2c+1g=";
+ }
+ {
+ pname = "quote";
+ version = "1.0.33";
+ hash = "sha256-VWRCZJO0/DJbNu0/V9TLaqlwMot65YjInWT9VWg57DY=";
+ }
+ {
+ pname = "syn";
+ version = "2.0.39";
+ hash = "sha256-Mjen2L/omhVbhU/+Ao65mogs3BP3fY+Bodab3uU63EI=";
+ }
+ {
+ pname = "unicode-ident";
+ version = "1.0.12";
+ hash = "sha256-KX8NqYYw6+rGsoR9mdZx8eT1HIPEUUyxErdk2H/Rlj8=";
+ }
+ ];
+
+ copyRustDep = dep: ''
+ cp -R --no-preserve=mode,ownership ${fetchCrate dep} subprojects/${dep.pname}-${dep.version}
+ cp -R subprojects/packagefiles/${dep.pname}/* subprojects/${dep.pname}-${dep.version}/
+ '';
+
+ copyRustDeps = lib.concatStringsSep "\n" (builtins.map copyRustDep rustDeps);
+
self = stdenv.mkDerivation {
pname = "mesa";
inherit version;
@@ -113,22 +139,13 @@ self = stdenv.mkDerivation {
inherit hash;
};
- # TODO:
- # revive ./dricore-gallium.patch when it gets ported (from Ubuntu), as it saved
- # ~35 MB in $drivers; watch https://launchpad.net/ubuntu/+source/mesa/+changelog
patches = [
- # fixes pkgsMusl.mesa build
- ./musl.patch
-
./opencl.patch
- # Backports to fix build
- # FIXME: remove when applied upstream
-
- # Fix build on macOS
- ./backports/0001-dri-added-build-dependencies-for-systems-using-non-s.patch
- ./backports/0002-util-Update-util-libdrm.h-stubs-to-allow-loader.c-to.patch
- ./backports/0003-glx-fix-automatic-zink-fallback-loading-between-hw-a.patch
+ # Backport crash fix for Radeon (legacy) kernel driver
+ # see https://gitlab.freedesktop.org/mesa/mesa/-/issues/10613
+ # FIXME: remove when merged upstream
+ ./backport-radeon-crash-fix.patch
];
postPatch = ''
@@ -141,6 +158,8 @@ self = stdenv.mkDerivation {
"get_option('datadir')" "'${placeholder "out"}/share'"
substituteInPlace src/amd/vulkan/meson.build --replace \
"get_option('datadir')" "'${placeholder "out"}/share'"
+
+ ${copyRustDeps}
'';
outputs = [ "out" "dev" "drivers" ]
@@ -152,15 +171,15 @@ self = stdenv.mkDerivation {
# in case anything wants to use it at some point
++ lib.optional haveDozen "spirv2dxil";
- # FIXME: this fixes rusticl/iris segfaulting on startup, _somehow_.
- # Needs more investigating.
+ # Keep build-ids so drivers can use them for caching, etc.
+ # Also some drivers segfault without this.
separateDebugInfo = true;
+ # Needed to discover llvm-config for cross
preConfigure = ''
PATH=${llvmPackages.libllvm.dev}/bin:$PATH
'';
- # TODO: Figure out how to enable opencl without having a runtime dependency on clang
mesonFlags = [
"--sysconfdir=/etc"
"--datadir=${placeholder "drivers"}/share" # Vendor files
@@ -212,43 +231,50 @@ self = stdenv.mkDerivation {
"-Dopencl-spirv=true"
# Rusticl, new OpenCL frontend
- "-Dgallium-rusticl=true" "-Drust_std=2021"
+ "-Dgallium-rusticl=true"
"-Dclang-libdir=${llvmPackages.clang-unwrapped.lib}/lib"
] ++ lib.optionals (!withValgrind) [
"-Dvalgrind=disabled"
] ++ lib.optionals (!withLibunwind) [
"-Dlibunwind=disabled"
] ++ lib.optional enablePatentEncumberedCodecs
- "-Dvideo-codecs=h264dec,h264enc,h265dec,h265enc,vc1dec"
+ "-Dvideo-codecs=all"
++ lib.optional (vulkanLayers != []) "-D vulkan-layers=${builtins.concatStringsSep "," vulkanLayers}";
+ strictDeps = true;
+
buildInputs = with xorg; [
expat glslang llvmPackages.libllvm libglvnd xorgproto
libX11 libXext libxcb libXt libXfixes libxshmfence libXrandr
- libffi libvdpau libelf libXvMC
- libpthreadstubs openssl /*or another sha1 provider*/
+ libffi libvdpau libXvMC
+ libpthreadstubs openssl
zstd
] ++ lib.optionals withLibunwind [
libunwind
] ++ [
python3Packages.python # for shebang
] ++ lib.optionals haveWayland [ wayland wayland-protocols ]
- ++ lib.optionals stdenv.isLinux [ libomxil-bellagio libva-minimal udev lm_sensors ]
+ ++ lib.optionals stdenv.isLinux [ elfutils libomxil-bellagio libva-minimal udev lm_sensors ]
++ lib.optionals enableOpenCL [ llvmPackages.libclc llvmPackages.clang llvmPackages.clang-unwrapped spirv-llvm-translator ]
++ lib.optional withValgrind valgrind-light
++ lib.optional haveZink vulkan-loader
++ lib.optional haveDozen directx-headers;
depsBuildBuild = [ pkg-config ]
- ++ lib.optional enableOpenCL buildPackages.stdenv.cc;
+ # Adding this unconditionally makes x86_64-darwin pick up an older toolchain, as
+ # we explicitly call Mesa with 11.0 stdenv, but buildPackages is still 10.something,
+ # and Mesa can't build with that.
+ # FIXME: figure this out, or figure out how to get rid of Mesa on Darwin,
+ # whichever is easier.
+ ++ lib.optional (!stdenv.isDarwin) buildPackages.stdenv.cc;
nativeBuildInputs = [
meson pkg-config ninja
intltool bison flex file
python3Packages.python python3Packages.mako python3Packages.ply
jdupes glslang
- ] ++ lib.optionals enableOpenCL [ rust-bindgen rustc ]
- ++ lib.optional haveWayland wayland-scanner;
+ rustc rust-bindgen rustPlatform.bindgenHook
+ ] ++ lib.optional haveWayland wayland-scanner;
propagatedBuildInputs = with xorg; [
libXdamage libXxf86vm
diff --git a/pkgs/development/libraries/mesa/musl.patch b/pkgs/development/libraries/mesa/musl.patch
deleted file mode 100644
index 687a159c1788..000000000000
--- a/pkgs/development/libraries/mesa/musl.patch
+++ /dev/null
@@ -1,59 +0,0 @@
-diff --git a/src/amd/vulkan/winsys/amdgpu/radv_amdgpu_winsys.h b/src/amd/vulkan/winsys/amdgpu/radv_amdgpu_winsys.h
-index 06ca90564f0..bb244f8f358 100644
---- a/src/amd/vulkan/winsys/amdgpu/radv_amdgpu_winsys.h
-+++ b/src/amd/vulkan/winsys/amdgpu/radv_amdgpu_winsys.h
-@@ -30,6 +30,7 @@
-
- #include
- #include
-+#include
- #include "util/list.h"
- #include "util/rwlock.h"
- #include "ac_gpu_info.h"
-diff --git a/src/gallium/drivers/freedreno/freedreno_util.h b/src/gallium/drivers/freedreno/freedreno_util.h
-index 22f99c41909..2f3195926be 100644
---- a/src/gallium/drivers/freedreno/freedreno_util.h
-+++ b/src/gallium/drivers/freedreno/freedreno_util.h
-@@ -108,6 +108,8 @@ extern bool fd_binning_enabled;
- #include
- #include
-
-+#define gettid() ((pid_t)syscall(SYS_gettid))
-+
- #define DBG(fmt, ...) \
- do { \
- if (FD_DBG(MSGS)) \
-diff --git a/src/gallium/frontends/nine/nine_debug.c b/src/gallium/frontends/nine/nine_debug.c
-index f3a6a945025..f4a6c41a612 100644
---- a/src/gallium/frontends/nine/nine_debug.c
-+++ b/src/gallium/frontends/nine/nine_debug.c
-@@ -65,7 +65,7 @@ _nine_debug_printf( unsigned long flag,
- {
- static boolean first = TRUE;
- static unsigned long dbg_flags = DBG_ERROR | DBG_WARN;
-- unsigned long tid = 0;
-+ pthread_t tid = 0;
-
- if (first) {
- first = FALSE;
-@@ -74,7 +74,7 @@ _nine_debug_printf( unsigned long flag,
-
- #if defined(HAVE_PTHREAD)
- if (dbg_flags & DBG_TID)
-- tid = (unsigned long)pthread_self();
-+ tid = pthread_self();
- #endif
-
- if (dbg_flags & flag) {
-diff --git a/src/util/rand_xor.c b/src/util/rand_xor.c
-index 81b64f1ea71..56ebd2eccdf 100644
---- a/src/util/rand_xor.c
-+++ b/src/util/rand_xor.c
-@@ -28,6 +28,7 @@
- #if defined(HAVE_GETRANDOM)
- #include
- #endif
-+#include /* size_t, ssize_t */
- #include
- #include
- #endif
diff --git a/pkgs/development/libraries/microsoft-gsl/default.nix b/pkgs/development/libraries/microsoft-gsl/default.nix
index 298aec48db75..59df670e9ec5 100644
--- a/pkgs/development/libraries/microsoft-gsl/default.nix
+++ b/pkgs/development/libraries/microsoft-gsl/default.nix
@@ -1,6 +1,7 @@
{ lib
, stdenv
, fetchFromGitHub
+, fetchpatch
, cmake
, gtest
, pkg-config
@@ -23,6 +24,15 @@ stdenv.mkDerivation rec {
# error: unsafe buffer access
env.NIX_CFLAGS_COMPILE = lib.optionalString stdenv.cc.isClang "-Wno-unsafe-buffer-usage";
+ patches = [
+ # nvcc doesn't recognize the "gsl" attribute namespace (microsoft/onnxruntime#13573)
+ # only affects nvcc
+ (fetchpatch {
+ url = "https://raw.githubusercontent.com/microsoft/onnxruntime/4bfa69def85476b33ccfaf68cf070f3fb65d39f7/cmake/patches/gsl/1064.patch";
+ hash = "sha256-0jESA+VENWQms9HGE0jRiZZuWLJehBlbArxSaQbYOrM=";
+ })
+ ];
+
doCheck = true;
meta = with lib; {
diff --git a/pkgs/development/libraries/mitama-cpp-result/default.nix b/pkgs/development/libraries/mitama-cpp-result/default.nix
index 9ae718de4db5..bc30b6de9f4a 100644
--- a/pkgs/development/libraries/mitama-cpp-result/default.nix
+++ b/pkgs/development/libraries/mitama-cpp-result/default.nix
@@ -29,7 +29,7 @@ stdenv.mkDerivation (finalAttrs: {
(like Result and Option in Programming Language Rust).
'';
license = licenses.mit;
- maintainers = with maintainers; [ ken-matsui ];
+ maintainers = with maintainers; [ ];
platforms = platforms.unix;
};
})
diff --git a/pkgs/development/libraries/mongoc/default.nix b/pkgs/development/libraries/mongoc/default.nix
index 16e7830a3547..576c2e7a9ed4 100644
--- a/pkgs/development/libraries/mongoc/default.nix
+++ b/pkgs/development/libraries/mongoc/default.nix
@@ -14,13 +14,13 @@
stdenv.mkDerivation rec {
pname = "mongoc";
- version = "1.25.4";
+ version = "1.26.1";
src = fetchFromGitHub {
owner = "mongodb";
repo = "mongo-c-driver";
rev = "refs/tags/${version}";
- hash = "sha256-4Bz6sftXSZDDV8PlTQG8ndOwwp+QHXtzacJ1BXfJAkQ=";
+ hash = "sha256-LUtKOAlQVpN5Y+mHsNTlgDSeCjodG4RDleO1eXzTdMg=";
};
nativeBuildInputs = [
diff --git a/pkgs/development/libraries/mono-addins/default.nix b/pkgs/development/libraries/mono-addins/default.nix
index 158b39a8186a..9a31fbb69eeb 100644
--- a/pkgs/development/libraries/mono-addins/default.nix
+++ b/pkgs/development/libraries/mono-addins/default.nix
@@ -22,6 +22,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://www.mono-project.com/archived/monoaddins/";
description = "A generic framework for creating extensible applications";
+ mainProgram = "mautil";
longDescription = ''
Mono.Addins is a generic framework for creating extensible applications,
and for creating libraries which extend those applications.
diff --git a/pkgs/development/libraries/mpdecimal/default.nix b/pkgs/development/libraries/mpdecimal/default.nix
index 3a2e4b1fe7d0..514c61ffcb0c 100644
--- a/pkgs/development/libraries/mpdecimal/default.nix
+++ b/pkgs/development/libraries/mpdecimal/default.nix
@@ -2,12 +2,12 @@
stdenv.mkDerivation rec {
pname = "mpdecimal";
- version = "2.5.1";
+ version = "4.0.0";
outputs = [ "out" "cxx" "doc" "dev" ];
src = fetchurl {
url = "https://www.bytereef.org/software/mpdecimal/releases/mpdecimal-${version}.tar.gz";
- hash = "sha256-n5zUwEH5m1xJ/7e1nZ8S2VtoPYhYVgiqVqYwdmeysh8=";
+ hash = "sha256-lCRFwyRbInMP1Bpnp8XCMdEcsbmTa5wPdjNPt9C0Row=";
};
configureFlags = [ "LD=${stdenv.cc.targetPrefix}cc" ];
diff --git a/pkgs/development/libraries/mpfi/default.nix b/pkgs/development/libraries/mpfi/default.nix
index 4bc568523c9f..54abf134d366 100644
--- a/pkgs/development/libraries/mpfi/default.nix
+++ b/pkgs/development/libraries/mpfi/default.nix
@@ -19,7 +19,7 @@ stdenv.mkDerivation rec {
sha256 = "sha256-aj/QmJ38ifsW36JFQcbp55aIQRvOpiqLHwEh/aFXsgo=";
};
- sourceRoot = "source/mpfi";
+ sourceRoot = "${src.name}/mpfi";
nativeBuildInputs = [ autoreconfHook texinfo ];
buildInputs = [ mpfr ];
diff --git a/pkgs/development/libraries/mps/default.nix b/pkgs/development/libraries/mps/default.nix
index 3cc6d9ce3510..fd6e5d60bf7b 100644
--- a/pkgs/development/libraries/mps/default.nix
+++ b/pkgs/development/libraries/mps/default.nix
@@ -16,17 +16,17 @@ stdenv.mkDerivation rec {
hash = "sha256-3ql3jWLccgnQHKf23B1en+nJ9rxqmHcWd7aBr93YER0=";
};
+ postPatch = ''
+ # Disable -Werror to avoid biuld failure on fresh toolchains like
+ # gcc-13.
+ substituteInPlace code/gc.gmk --replace-fail '-Werror ' ' '
+ substituteInPlace code/gp.gmk --replace-fail '-Werror ' ' '
+ substituteInPlace code/ll.gmk --replace-fail '-Werror ' ' '
+ '';
+
nativeBuildInputs = [ autoreconfHook ];
buildInputs = [ sqlite ];
- # needed for 1.116.0 to build with gcc7
- env.NIX_CFLAGS_COMPILE = toString [
- "-Wno-implicit-fallthrough"
- "-Wno-error=clobbered"
- "-Wno-error=cast-function-type"
- ];
-
-
meta = {
description = "A flexible memory management and garbage collection library";
homepage = "https://www.ravenbrook.com/project/mps";
diff --git a/pkgs/development/libraries/mtdev/default.nix b/pkgs/development/libraries/mtdev/default.nix
index 9e6a45e2975a..e067e0c3d274 100644
--- a/pkgs/development/libraries/mtdev/default.nix
+++ b/pkgs/development/libraries/mtdev/default.nix
@@ -14,6 +14,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://bitmath.org/code/mtdev/";
description = "Multitouch Protocol Translation Library";
+ mainProgram = "mtdev-test";
longDescription = ''
The mtdev is a stand-alone library which transforms all variants of
kernel MT events to the slotted type B protocol. The events put into
diff --git a/pkgs/development/libraries/mvfst/default.nix b/pkgs/development/libraries/mvfst/default.nix
new file mode 100644
index 000000000000..6b6e2d9c9e57
--- /dev/null
+++ b/pkgs/development/libraries/mvfst/default.nix
@@ -0,0 +1,48 @@
+{ stdenv
+, lib
+, fetchFromGitHub
+, cmake
+, fizz
+, folly
+, gflags
+, glog
+, libsodium
+, zlib
+}:
+
+stdenv.mkDerivation rec {
+ pname = "mvfst";
+ version = "2024.01.22.00";
+
+ src = fetchFromGitHub {
+ owner = "facebook";
+ repo = "mvfst";
+ rev = "v${version}";
+ sha256 = "sha256-vhLwxA91v+vt5PQejhPOaj9YSkulg86hTD9GkpQKB24=";
+ };
+
+ nativeBuildInputs = [ cmake ];
+
+ cmakeFlags = lib.optionals stdenv.isDarwin [
+ "-DCMAKE_OSX_DEPLOYMENT_TARGET=10.14" # For aligned allocation
+ ];
+
+ buildInputs = [
+ fizz
+ folly
+ folly.boost
+ folly.fmt
+ gflags
+ glog
+ libsodium
+ zlib
+ ];
+
+ meta = with lib; {
+ description = "An implementation of the QUIC transport protocol";
+ homepage = "https://github.com/facebook/mvfst";
+ license = licenses.mit;
+ platforms = platforms.unix;
+ maintainers = with maintainers; [ ris ];
+ };
+}
diff --git a/pkgs/development/libraries/mythes/default.nix b/pkgs/development/libraries/mythes/default.nix
index 4683940b9cc6..960fefc74ac1 100644
--- a/pkgs/development/libraries/mythes/default.nix
+++ b/pkgs/development/libraries/mythes/default.nix
@@ -15,6 +15,7 @@ stdenv.mkDerivation rec {
meta = {
homepage = "https://hunspell.sourceforge.net/";
description = "Thesaurus library from Hunspell project";
+ mainProgram = "th_gen_idx.pl";
license = lib.licenses.bsd3;
inherit (hunspell.meta) platforms;
};
diff --git a/pkgs/development/libraries/nanoflann/default.nix b/pkgs/development/libraries/nanoflann/default.nix
index b740e83f4c25..24b4a18c7088 100644
--- a/pkgs/development/libraries/nanoflann/default.nix
+++ b/pkgs/development/libraries/nanoflann/default.nix
@@ -6,14 +6,14 @@
}:
stdenv.mkDerivation (finalAttrs: {
- version = "1.5.4";
+ version = "1.5.5";
pname = "nanoflann";
src = fetchFromGitHub {
owner = "jlblancoc";
repo = "nanoflann";
rev = "v${finalAttrs.version}";
- hash = "sha256-7Vt/x9jHRqtVTv0MbHxIwd1/cTUB4aIgOiI+7cz0iu0=";
+ hash = "sha256-a1XX1tvXfrPCar9EGMXzxLg2bXJaK85t2Bd8CworwFk=";
};
nativeBuildInputs = [ cmake ];
diff --git a/pkgs/development/libraries/nco/default.nix b/pkgs/development/libraries/nco/default.nix
index 0ee442aedbcb..74f6c21d86e9 100644
--- a/pkgs/development/libraries/nco/default.nix
+++ b/pkgs/development/libraries/nco/default.nix
@@ -15,13 +15,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "nco";
- version = "5.1.9";
+ version = "5.2.1";
src = fetchFromGitHub {
owner = "nco";
repo = "nco";
rev = finalAttrs.version;
- hash = "sha256-D7WmJ53oK4craLx6PKAFA6Ue7wl5fRYPeEFeh78Kpdg=";
+ hash = "sha256-6j9gBvhNTww9nOKMcCvOxoLJwU/3RtS6OvofjrpZhmk=";
};
nativeBuildInputs = [
diff --git a/pkgs/development/libraries/neatvnc/default.nix b/pkgs/development/libraries/neatvnc/default.nix
index 281689c60b67..2680dbd1ae92 100644
--- a/pkgs/development/libraries/neatvnc/default.nix
+++ b/pkgs/development/libraries/neatvnc/default.nix
@@ -15,13 +15,13 @@
stdenv.mkDerivation rec {
pname = "neatvnc";
- version = "0.7.2";
+ version = "0.8.0";
src = fetchFromGitHub {
owner = "any1";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-S2LMD15MYd/t/Z8B1OQ0hVrZQLR6Gf4LB45mhmDwblg=";
+ hash = "sha256-BArEaQa+CNGzIoENsZSj9seFx9qdCLWiejh6EvpTch8=";
};
strictDeps = true;
diff --git a/pkgs/development/libraries/neon/default.nix b/pkgs/development/libraries/neon/default.nix
index f26dbf5ace45..cbc8d3207e01 100644
--- a/pkgs/development/libraries/neon/default.nix
+++ b/pkgs/development/libraries/neon/default.nix
@@ -46,6 +46,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "An HTTP and WebDAV client library";
+ mainProgram = "neon-config";
homepage = "https://notroj.github.io/neon/";
changelog = "https://github.com/notroj/${pname}/blob/${version}/NEWS";
platforms = platforms.unix;
diff --git a/pkgs/development/libraries/netcdf-cxx4/default.nix b/pkgs/development/libraries/netcdf-cxx4/default.nix
index 945eb219229f..08f812a272a2 100644
--- a/pkgs/development/libraries/netcdf-cxx4/default.nix
+++ b/pkgs/development/libraries/netcdf-cxx4/default.nix
@@ -30,6 +30,7 @@ stdenv.mkDerivation rec {
meta = {
description = "C++ API to manipulate netcdf files";
+ mainProgram = "ncxx4-config";
homepage = "https://www.unidata.ucar.edu/software/netcdf/";
license = lib.licenses.free;
platforms = lib.platforms.unix;
diff --git a/pkgs/development/libraries/netcdf-fortran/default.nix b/pkgs/development/libraries/netcdf-fortran/default.nix
index d64d631f94ce..978f906b7adc 100644
--- a/pkgs/development/libraries/netcdf-fortran/default.nix
+++ b/pkgs/development/libraries/netcdf-fortran/default.nix
@@ -20,6 +20,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Fortran API to manipulate netcdf files";
+ mainProgram = "nf-config";
homepage = "https://www.unidata.ucar.edu/software/netcdf/";
license = licenses.free;
maintainers = [ maintainers.bzizou ];
diff --git a/pkgs/development/libraries/netcdf/default.nix b/pkgs/development/libraries/netcdf/default.nix
index 8fde7050b97a..0796e7997d50 100644
--- a/pkgs/development/libraries/netcdf/default.nix
+++ b/pkgs/development/libraries/netcdf/default.nix
@@ -59,7 +59,7 @@ in stdenv.mkDerivation rec {
"--disable-dap-remote-tests"
"--with-plugin-dir=${placeholder "out"}/lib/hdf5-plugins"
]
- ++ (lib.optionals mpiSupport [ "--enable-parallel-tests" "CC=${mpi}/bin/mpicc" ]);
+ ++ (lib.optionals mpiSupport [ "--enable-parallel-tests" "CC=${lib.getDev mpi}/bin/mpicc" ]);
enableParallelBuilding = true;
diff --git a/pkgs/development/libraries/newt/default.nix b/pkgs/development/libraries/newt/default.nix
index e5dbb563cfb5..1e6f8de2d589 100644
--- a/pkgs/development/libraries/newt/default.nix
+++ b/pkgs/development/libraries/newt/default.nix
@@ -51,6 +51,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Library for color text mode, widget based user interfaces";
+ mainProgram = "whiptail";
homepage = "https://pagure.io/newt";
changelog = "https://pagure.io/newt/blob/master/f/CHANGES";
license = licenses.lgpl2;
diff --git a/pkgs/development/libraries/nghttp2/default.nix b/pkgs/development/libraries/nghttp2/default.nix
index f4ae615b6e94..805fe6e3bb20 100644
--- a/pkgs/development/libraries/nghttp2/default.nix
+++ b/pkgs/development/libraries/nghttp2/default.nix
@@ -32,11 +32,11 @@ assert enableJemalloc -> enableApp;
stdenv.mkDerivation rec {
pname = "nghttp2";
- version = "1.59.0";
+ version = "1.60.0";
src = fetchurl {
url = "https://github.com/${pname}/${pname}/releases/download/v${version}/${pname}-${version}.tar.bz2";
- sha256 = "sha256-A1P8u6ENKl9304ouSS5eZ3tjexdxI0WkcyXDw1+0d/g=";
+ sha256 = "sha256-W4wmgdQstLMcs+DaDggb+jAl1cNZKN6PYHAVUgFbAM4=";
};
outputs = [ "out" "dev" "lib" "doc" "man" ];
diff --git a/pkgs/development/libraries/ngtcp2/gnutls.nix b/pkgs/development/libraries/ngtcp2/gnutls.nix
index f8b31b703fcf..b52156977411 100644
--- a/pkgs/development/libraries/ngtcp2/gnutls.nix
+++ b/pkgs/development/libraries/ngtcp2/gnutls.nix
@@ -6,13 +6,13 @@
stdenv.mkDerivation rec {
pname = "ngtcp2";
- version = "1.2.0";
+ version = "1.4.0";
src = fetchFromGitHub {
owner = "ngtcp2";
repo = "ngtcp2";
rev = "v${version}";
- hash = "sha256-/lHsHkSySKyZZdjTTYCo0a6cwcMcbOWNvAEcO36/kEw=";
+ hash = "sha256-C1Rk0KzTvFpwCz8vXvhSqGjYmGyLZxmnzZhPLZiL97M=";
};
outputs = [ "out" "dev" ];
diff --git a/pkgs/development/libraries/nng/default.nix b/pkgs/development/libraries/nng/default.nix
index e6b851817eff..34f0aee7d707 100644
--- a/pkgs/development/libraries/nng/default.nix
+++ b/pkgs/development/libraries/nng/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "nng";
- version = "1.7.2";
+ version = "1.7.3";
src = fetchFromGitHub {
owner = "nanomsg";
repo = "nng";
rev = "v${version}";
- hash = "sha256-CG6Gw/Qrbi96koF2VxKMYPMPT2Zj9U97vNk2JdrfRro=";
+ hash = "sha256-oP7hO3wCXNPW7877wK+HpGsw7j+U0q4i8aTRVi1v0r0=";
};
nativeBuildInputs = [ cmake ninja ]
diff --git a/pkgs/development/libraries/npth/default.nix b/pkgs/development/libraries/npth/default.nix
index 208924bfd7b5..023d9cebb973 100644
--- a/pkgs/development/libraries/npth/default.nix
+++ b/pkgs/development/libraries/npth/default.nix
@@ -2,17 +2,18 @@
stdenv.mkDerivation rec {
pname = "npth";
- version = "1.6";
+ version = "1.7";
src = fetchurl {
url = "mirror://gnupg/npth/npth-${version}.tar.bz2";
- sha256 = "1lg2lkdd3z1s3rpyf88786l243adrzyk9p4q8z9n41ygmpcsp4qk";
+ sha256 = "sha256-hYn1aTe3XOM7KNMS/MvzArO3HsPzlF/eaqp0AnkUrQU=";
};
doCheck = true;
meta = with lib; {
description = "The New GNU Portable Threads Library";
+ mainProgram = "npth-config";
longDescription = ''
This is a library to provide the GNU Pth API and thus a non-preemptive
threads implementation.
diff --git a/pkgs/development/libraries/nss/esr.nix b/pkgs/development/libraries/nss/esr.nix
index 63308f385036..95c9aa0e1997 100644
--- a/pkgs/development/libraries/nss/esr.nix
+++ b/pkgs/development/libraries/nss/esr.nix
@@ -1,4 +1,4 @@
import ./generic.nix {
- version = "3.90.1";
- hash = "sha256-5Fx0p2WP/LbGIqfhm6+zEab71UZPWBubCUGBEKQIsX8=";
+ version = "3.90.2";
+ hash = "sha256-4r/LhKilkSeEhw/rl2IRAn5xMJ74W5ACg7fX0e4GQxA=";
}
diff --git a/pkgs/development/libraries/nss/latest.nix b/pkgs/development/libraries/nss/latest.nix
index 43cb876fa884..8ac5a3b23a85 100644
--- a/pkgs/development/libraries/nss/latest.nix
+++ b/pkgs/development/libraries/nss/latest.nix
@@ -5,6 +5,6 @@
# Example: nix-shell ./maintainers/scripts/update.nix --argstr package cacert
import ./generic.nix {
- version = "3.97";
- hash = "sha256-d26v8a+5EkQ6cFg5SZirT4L22AxfIteiUx4I42msyqw=";
+ version = "3.99";
+ hash = "sha256-6JocWJpA+VjEPZOxmD74toyEBLOTzCxSWUzrxPi52bU=";
}
diff --git a/pkgs/development/libraries/nss_wrapper/default.nix b/pkgs/development/libraries/nss_wrapper/default.nix
index 324c5269dfbc..8faa50f83b72 100644
--- a/pkgs/development/libraries/nss_wrapper/default.nix
+++ b/pkgs/development/libraries/nss_wrapper/default.nix
@@ -13,6 +13,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A wrapper for the user, group and hosts NSS API";
+ mainProgram = "nss_wrapper.pl";
homepage = "https://git.samba.org/?p=nss_wrapper.git;a=summary;";
license = licenses.bsd3;
platforms = platforms.unix;
diff --git a/pkgs/development/libraries/ntbtls/default.nix b/pkgs/development/libraries/ntbtls/default.nix
index 888b1fe4b3df..bdc2a919613c 100644
--- a/pkgs/development/libraries/ntbtls/default.nix
+++ b/pkgs/development/libraries/ntbtls/default.nix
@@ -20,6 +20,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A tiny TLS 1.2 only implementation";
+ mainProgram = "ntbtls-config";
homepage = "https://www.gnupg.org/software/ntbtls/";
license = licenses.gpl3Plus;
platforms = platforms.unix;
diff --git a/pkgs/development/libraries/nuspell/default.nix b/pkgs/development/libraries/nuspell/default.nix
index c9e6aeeba363..867843df8068 100644
--- a/pkgs/development/libraries/nuspell/default.nix
+++ b/pkgs/development/libraries/nuspell/default.nix
@@ -22,6 +22,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Free and open source C++ spell checking library";
+ mainProgram = "nuspell";
homepage = "https://nuspell.github.io/";
platforms = platforms.all;
maintainers = with maintainers; [ fpletz ];
diff --git a/pkgs/development/libraries/nv-codec-headers/10_x.nix b/pkgs/development/libraries/nv-codec-headers/10_x.nix
deleted file mode 100644
index b1fe1611301f..000000000000
--- a/pkgs/development/libraries/nv-codec-headers/10_x.nix
+++ /dev/null
@@ -1,22 +0,0 @@
-{ lib, stdenv, fetchgit }:
-
-stdenv.mkDerivation rec {
- pname = "nv-codec-headers";
- version = "10.0.26.2";
-
- src = fetchgit {
- url = "https://git.videolan.org/git/ffmpeg/nv-codec-headers.git";
- rev = "n${version}";
- sha256 = "0n5jlwjfv5irx1if1g0n52m279bw7ab6bd3jz2v4vwg9cdzbxx85";
- };
-
- makeFlags = [ "PREFIX=$(out)" ];
-
- meta = {
- description = "FFmpeg version of headers for NVENC";
- homepage = "https://ffmpeg.org/";
- license = lib.licenses.mit;
- maintainers = [ lib.maintainers.MP2E ];
- platforms = lib.platforms.all;
- };
-}
diff --git a/pkgs/development/libraries/nv-codec-headers/11_x.nix b/pkgs/development/libraries/nv-codec-headers/11_x.nix
deleted file mode 100644
index fba333ff6dbe..000000000000
--- a/pkgs/development/libraries/nv-codec-headers/11_x.nix
+++ /dev/null
@@ -1,27 +0,0 @@
-{ stdenv
-, lib
-, fetchgit
-}:
-
-stdenv.mkDerivation rec {
- pname = "nv-codec-headers";
- version = "11.1.5.2";
-
- src = fetchgit {
- url = "https://git.videolan.org/git/ffmpeg/nv-codec-headers.git";
- rev = "n${version}";
- sha256 = "sha256-KzaqwpzISHB7tSTruynEOJmSlJnAFK2h7/cRI/zkNPk=";
- };
-
- makeFlags = [
- "PREFIX=$(out)"
- ];
-
- meta = with lib; {
- description = "FFmpeg version of headers for NVENC";
- homepage = "https://git.videolan.org/?p=ffmpeg/nv-codec-headers.git";
- license = licenses.mit;
- maintainers = with maintainers; [ MP2E ];
- platforms = platforms.all;
- };
-}
diff --git a/pkgs/development/libraries/nv-codec-headers/12_x.nix b/pkgs/development/libraries/nv-codec-headers/12_x.nix
deleted file mode 100644
index dcbb34e33cdb..000000000000
--- a/pkgs/development/libraries/nv-codec-headers/12_x.nix
+++ /dev/null
@@ -1,27 +0,0 @@
-{ stdenv
-, lib
-, fetchgit
-}:
-
-stdenv.mkDerivation rec {
- pname = "nv-codec-headers";
- version = "12.1.14.0";
-
- src = fetchgit {
- url = "https://git.videolan.org/git/ffmpeg/nv-codec-headers.git";
- rev = "n${version}";
- sha256 = "sha256-WJYuFmMGSW+B32LwE7oXv/IeTln6TNEeXSkquHh85Go=";
- };
-
- makeFlags = [
- "PREFIX=$(out)"
- ];
-
- meta = with lib; {
- description = "FFmpeg version of headers for NVENC";
- homepage = "https://git.videolan.org/?p=ffmpeg/nv-codec-headers.git";
- license = licenses.mit;
- maintainers = with maintainers; [ MP2E ];
- platforms = platforms.all;
- };
-}
diff --git a/pkgs/development/libraries/nv-codec-headers/default.nix b/pkgs/development/libraries/nv-codec-headers/default.nix
index 9b8323e6c978..b582548d79f5 100644
--- a/pkgs/development/libraries/nv-codec-headers/default.nix
+++ b/pkgs/development/libraries/nv-codec-headers/default.nix
@@ -1,22 +1,32 @@
-{ lib, stdenv, fetchgit }:
+{ lib
+, fetchgit
+, stdenvNoCC
+}:
-stdenv.mkDerivation rec {
- pname = "nv-codec-headers";
- version = "9.1.23.1";
-
- src = fetchgit {
- url = "https://git.videolan.org/git/ffmpeg/nv-codec-headers.git";
- rev = "n${version}";
- sha256 = "1xfvb3mhz6wfx9c732888xa82ivaig903lhvvrqqzs31qfznsplh";
+let
+ make-nv-codec-headers = (import ./make-nv-codec-headers.nix) {
+ inherit lib fetchgit stdenvNoCC;
};
-
- makeFlags = [ "PREFIX=$(out)" ];
-
- meta = {
- description = "FFmpeg version of headers for NVENC";
- homepage = "https://ffmpeg.org/";
- license = lib.licenses.mit;
- maintainers = [ lib.maintainers.MP2E ];
- platforms = lib.platforms.all;
+in
+{
+ nv-codec-headers-8 = make-nv-codec-headers {
+ version = "8.2.15.2";
+ hash = "sha256-TKYT8vXqnUpq+M0grDeOR37n/ffqSWDYTrXIbl++BG4=";
+ };
+ nv-codec-headers-9 = make-nv-codec-headers {
+ version = "9.1.23.1";
+ hash = "sha256-kF5tv8Nh6I9x3hvSAdKLakeBVEcIiXFY6o6bD+tY2/U=";
+ };
+ nv-codec-headers-10 = make-nv-codec-headers {
+ version = "10.0.26.2";
+ hash = "sha256-BfW+fmPp8U22+HK0ZZY6fKUjqigWvOBi6DmW7SSnslg=";
+ };
+ nv-codec-headers-11 = make-nv-codec-headers {
+ version = "11.1.5.2";
+ hash = "sha256-KzaqwpzISHB7tSTruynEOJmSlJnAFK2h7/cRI/zkNPk=";
+ };
+ nv-codec-headers-12 = make-nv-codec-headers {
+ version = "12.1.14.0";
+ hash = "sha256-WJYuFmMGSW+B32LwE7oXv/IeTln6TNEeXSkquHh85Go=";
};
}
diff --git a/pkgs/development/libraries/nv-codec-headers/make-nv-codec-headers.nix b/pkgs/development/libraries/nv-codec-headers/make-nv-codec-headers.nix
new file mode 100644
index 000000000000..b6bdc6dd58d7
--- /dev/null
+++ b/pkgs/development/libraries/nv-codec-headers/make-nv-codec-headers.nix
@@ -0,0 +1,32 @@
+{ lib
+, stdenvNoCC
+, fetchgit
+}:
+
+{ pname ? "nv-codec-headers"
+, version
+, hash
+}:
+
+stdenvNoCC.mkDerivation {
+ inherit pname version;
+
+ src = fetchgit {
+ url = "https://git.videolan.org/git/ffmpeg/nv-codec-headers.git";
+ rev = "n${version}";
+ inherit hash;
+ };
+
+ makeFlags = [
+ "PREFIX=$(out)"
+ ];
+
+ meta = {
+ description = "FFmpeg version of headers for NVENC";
+ homepage = "https://ffmpeg.org/";
+ downloadPage = "https://git.videolan.org/?p=ffmpeg/nv-codec-headers.git";
+ license = with lib.licenses; [ mit ];
+ maintainers = with lib.maintainers; [ AndersonTorres ];
+ platforms = lib.platforms.all;
+ };
+}
diff --git a/pkgs/development/libraries/ocl-icd/default.nix b/pkgs/development/libraries/ocl-icd/default.nix
index dfacf433b77f..0930b8904bdf 100644
--- a/pkgs/development/libraries/ocl-icd/default.nix
+++ b/pkgs/development/libraries/ocl-icd/default.nix
@@ -5,6 +5,7 @@
, opencl-headers
, addOpenGLRunpath
, autoreconfHook
+, windows
}:
stdenv.mkDerivation rec {
@@ -23,17 +24,22 @@ stdenv.mkDerivation rec {
ruby
];
- buildInputs = [ opencl-headers ];
+ buildInputs = [ opencl-headers ]
+ ++ lib.optionals stdenv.hostPlatform.isWindows [ windows.dlfcn ];
configureFlags = [
"--enable-custom-vendordir=/run/opengl-driver/etc/OpenCL/vendors"
];
+ # fixes: can't build x86_64-w64-mingw32 shared library unless -no-undefined is specified
+ makeFlags = lib.optionals stdenv.hostPlatform.isWindows [ "LDFLAGS=-no-undefined" ];
+
meta = with lib; {
description = "OpenCL ICD Loader for ${opencl-headers.name}";
+ mainProgram = "cllayerinfo";
homepage = "https://github.com/OCL-dev/ocl-icd";
license = licenses.bsd2;
- platforms = platforms.unix;
+ platforms = platforms.unix ++ platforms.windows;
maintainers = with maintainers; [ r-burns ];
};
}
diff --git a/pkgs/development/libraries/ode/default.nix b/pkgs/development/libraries/ode/default.nix
index 6ae60b7b2d3a..be67a95ebfc5 100644
--- a/pkgs/development/libraries/ode/default.nix
+++ b/pkgs/development/libraries/ode/default.nix
@@ -25,6 +25,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "Open Dynamics Engine";
+ mainProgram = "ode-config";
homepage = "https://www.ode.org";
license = with licenses; [ bsd3 lgpl21Only lgpl3Only zlib ];
maintainers = with maintainers; [ wegank ];
diff --git a/pkgs/development/libraries/odpic/default.nix b/pkgs/development/libraries/odpic/default.nix
index 89502e6c3eb7..6ab232ae42e9 100644
--- a/pkgs/development/libraries/odpic/default.nix
+++ b/pkgs/development/libraries/odpic/default.nix
@@ -1,7 +1,7 @@
{ lib, stdenv, fetchFromGitHub, fixDarwinDylibNames, oracle-instantclient, libaio }:
let
- version = "5.1.0";
+ version = "5.2.0";
libPath = lib.makeLibraryPath [ oracle-instantclient.lib ];
in
@@ -14,7 +14,7 @@ stdenv.mkDerivation {
owner = "oracle";
repo = "odpi";
rev = "v${version}";
- sha256 = "sha256-J7v6nNwAXy0j2mXc9RcO/V54WutA9TvTGUubHkpNBWo=";
+ sha256 = "sha256-2oM78YgP14oyFBWZ8KdHlGsN3hjoWTBcIvOI+93sSyM=";
};
nativeBuildInputs = lib.optional stdenv.isDarwin fixDarwinDylibNames;
diff --git a/pkgs/development/libraries/ogre/default.nix b/pkgs/development/libraries/ogre/default.nix
index f6cd2987aa0d..6749be599a40 100644
--- a/pkgs/development/libraries/ogre/default.nix
+++ b/pkgs/development/libraries/ogre/default.nix
@@ -34,7 +34,16 @@
}:
let
- common = { version, hash }: stdenv.mkDerivation {
+ common = { version, hash, imguiVersion, imguiHash }:
+ let
+ imgui.src = fetchFromGitHub {
+ owner = "ocornut";
+ repo = "imgui";
+ rev = "v${imguiVersion}";
+ hash = imguiHash;
+ };
+ in
+ stdenv.mkDerivation {
pname = "ogre";
inherit version;
@@ -45,6 +54,12 @@ let
inherit hash;
};
+ postPatch = ''
+ mkdir -p build
+ cp -R ${imgui.src} build/imgui-${imguiVersion}
+ chmod -R u+w build/imgui-${imguiVersion}
+ '';
+
nativeBuildInputs = [
cmake
pkg-config
@@ -80,11 +95,10 @@ let
];
cmakeFlags = [
- "-DOGRE_BUILD_COMPONENT_OVERLAY_IMGUI=FALSE"
- "-DOGRE_BUILD_DEPENDENCIES=OFF"
- "-DOGRE_BUILD_SAMPLES=${toString withSamples}"
+ (lib.cmakeBool "OGRE_BUILD_DEPENDENCIES" false)
+ (lib.cmakeBool "OGRE_BUILD_SAMPLES" withSamples)
] ++ lib.optionals stdenv.isDarwin [
- "-DOGRE_BUILD_LIBS_AS_FRAMEWORKS=FALSE"
+ (lib.cmakeBool "OGRE_BUILD_LIBS_AS_FRAMEWORKS" false)
];
meta = {
@@ -98,12 +112,18 @@ let
in
{
ogre_14 = common {
- version = "14.1.2";
- hash = "sha256-qPoC5VXA9IC1xiFLrvE7cqCZFkuiEM0OMowUXDlmhF4=";
+ version = "14.2.2";
+ hash = "sha256-85hpujmlM3N81mkiA80xx2C4GsdzWkP61bwdfmw1zt8=";
+ # https://github.com/OGRECave/ogre/blob/v14.2.2/Components/Overlay/CMakeLists.txt
+ imguiVersion = "1.90.4";
+ imguiHash = "sha256-7+Ay7H97tIO6CUsEyaQv4i9q2FCw98eQUq/KYZyfTAw=";
};
ogre_13 = common {
version = "13.6.5";
hash = "sha256-8VQqePrvf/fleHijVIqWWfwOusGjVR40IIJ13o+HwaE=";
+ # https://github.com/OGRECave/ogre/blob/v13.6.5/Components/Overlay/CMakeLists.txt
+ imguiVersion = "1.87";
+ imguiHash = "sha256-H5rqXZFw+2PfVMsYvAK+K+pxxI8HnUC0GlPhooWgEYM=";
};
}
diff --git a/pkgs/development/libraries/oneDNN/default.nix b/pkgs/development/libraries/oneDNN/default.nix
index 2518cecb9b6c..44ff2ee1f88a 100644
--- a/pkgs/development/libraries/oneDNN/default.nix
+++ b/pkgs/development/libraries/oneDNN/default.nix
@@ -9,13 +9,13 @@
# https://github.com/oneapi-src/oneDNN#oneapi-deep-neural-network-library-onednn
stdenv.mkDerivation (finalAttrs: {
pname = "oneDNN";
- version = "3.3.1";
+ version = "3.4";
src = fetchFromGitHub {
owner = "oneapi-src";
repo = "oneDNN";
rev = "v${finalAttrs.version}";
- hash = "sha256-br2ssI4MRk9O6u+5voBmxBZtmTFPKoJJe3ZEN2blq7Y=";
+ hash = "sha256-uV/Skp//tGy/hZBeN2NCbectlSoaz+H0ukYQd2j1lC4=";
};
outputs = [ "out" "dev" "doc" ];
diff --git a/pkgs/development/libraries/oniguruma/default.nix b/pkgs/development/libraries/oniguruma/default.nix
index a1a9dc875735..cf00629e3842 100644
--- a/pkgs/development/libraries/oniguruma/default.nix
+++ b/pkgs/development/libraries/oniguruma/default.nix
@@ -19,6 +19,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/kkos/oniguruma";
description = "Regular expressions library";
+ mainProgram = "onig-config";
license = licenses.bsd2;
maintainers = with maintainers; [ artturin ];
platforms = platforms.unix;
diff --git a/pkgs/development/libraries/onnxruntime/default.nix b/pkgs/development/libraries/onnxruntime/default.nix
index 6faa3088fa3c..4ece5feea365 100644
--- a/pkgs/development/libraries/onnxruntime/default.nix
+++ b/pkgs/development/libraries/onnxruntime/default.nix
@@ -1,7 +1,7 @@
-{ stdenv
+{ config
+, stdenv
, lib
, fetchFromGitHub
-, fetchFromGitLab
, Foundation
, abseil-cpp
, cmake
@@ -18,10 +18,22 @@
, iconv
, protobuf_21
, pythonSupport ? true
-}:
+, cudaSupport ? config.cudaSupport
+, cudaPackages ? {}
+}@inputs:
let
+ version = "1.16.3";
+
+ stdenv = throw "Use effectiveStdenv instead";
+ effectiveStdenv = if cudaSupport then cudaPackages.backendStdenv else inputs.stdenv;
+
+ cudaCapabilities = cudaPackages.cudaFlags.cudaCapabilities;
+ # E.g. [ "80" "86" "90" ]
+ cudaArchitectures = (builtins.map cudaPackages.cudaFlags.dropDot cudaCapabilities);
+ cudaArchitecturesString = lib.strings.concatStringsSep ";" cudaArchitectures;
+
howard-hinnant-date = fetchFromGitHub {
owner = "HowardHinnant";
repo = "date";
@@ -58,26 +70,23 @@ let
hash = "sha256-L1B5Y/c897Jg9fGwT2J3+vaXsZ+lfXnskp8Gto1p/Tg=";
};
- gtest' = gtest.overrideAttrs (oldAttrs: rec {
- version = "1.13.0";
- src = fetchFromGitHub {
- owner = "google";
- repo = "googletest";
- rev = "v${version}";
- hash = "sha256-LVLEn+e7c8013pwiLzJiiIObyrlbBHYaioO/SWbItPQ=";
- };
- });
-
onnx = fetchFromGitHub {
owner = "onnx";
repo = "onnx";
rev = "refs/tags/v1.14.1";
hash = "sha256-ZVSdk6LeAiZpQrrzLxphMbc1b3rNUMpcxcXPP8s/5tE=";
};
+
+ cutlass = fetchFromGitHub {
+ owner = "NVIDIA";
+ repo = "cutlass";
+ rev = "v3.0.0";
+ sha256 = "sha256-YPD5Sy6SvByjIcGtgeGH80TEKg2BtqJWSg46RvnJChY=";
+ };
in
-stdenv.mkDerivation rec {
+effectiveStdenv.mkDerivation rec {
pname = "onnxruntime";
- version = "1.16.3";
+ inherit version;
src = fetchFromGitHub {
owner = "microsoft";
@@ -96,6 +105,10 @@ stdenv.mkDerivation rec {
# - use MakeAvailable instead of the low-level Populate,
# - use Eigen3::Eigen as the target name (as declared by libeigen/eigen).
./0001-eigen-allow-dependency-injection.patch
+ ] ++ lib.optionals cudaSupport [
+ # We apply the referenced 1064.patch ourselves to our nix dependency.
+ # FIND_PACKAGE_ARGS for CUDA was added in https://github.com/microsoft/onnxruntime/commit/87744e5 so it might be possible to delete this patch after upgrading to 1.17.0
+ ./nvcc-gsl.patch
];
nativeBuildInputs = [
@@ -109,7 +122,9 @@ stdenv.mkDerivation rec {
pythonOutputDistHook
setuptools
wheel
- ]);
+ ]) ++ lib.optionals cudaSupport [
+ cudaPackages.cuda_nvcc
+ ];
buildInputs = [
eigen
@@ -118,16 +133,24 @@ stdenv.mkDerivation rec {
nlohmann_json
microsoft-gsl
] ++ lib.optionals pythonSupport (with python3Packages; [
+ gtest
numpy
pybind11
packaging
- ]) ++ lib.optionals stdenv.isDarwin [
+ ]) ++ lib.optionals effectiveStdenv.isDarwin [
Foundation
iconv
- ];
+ ] ++ lib.optionals cudaSupport (with cudaPackages; [
+ cuda_cccl # cub/cub.cuh
+ libcublas # cublas_v2.h
+ libcurand # curand.h
+ libcusparse # cusparse.h
+ libcufft # cufft.h
+ cudnn # cudnn.h
+ cuda_cudart
+ ]);
nativeCheckInputs = lib.optionals pythonSupport (with python3Packages; [
- gtest'
pytest
sympy
onnx
@@ -159,23 +182,31 @@ stdenv.mkDerivation rec {
"-Donnxruntime_BUILD_UNIT_TESTS=ON"
"-Donnxruntime_ENABLE_LTO=ON"
"-Donnxruntime_USE_FULL_PROTOBUF=OFF"
+ (lib.cmakeBool "onnxruntime_USE_CUDA" cudaSupport)
+ (lib.cmakeBool "onnxruntime_USE_NCCL" cudaSupport)
] ++ lib.optionals pythonSupport [
"-Donnxruntime_ENABLE_PYTHON=ON"
+ ] ++ lib.optionals cudaSupport [
+ (lib.cmakeFeature "FETCHCONTENT_SOURCE_DIR_CUTLASS" "${cutlass}")
+ (lib.cmakeFeature "onnxruntime_CUDNN_HOME" "${cudaPackages.cudnn}")
+ (lib.cmakeFeature "CMAKE_CUDA_ARCHITECTURES" cudaArchitecturesString)
];
- env = lib.optionalAttrs stdenv.cc.isClang {
+ env = lib.optionalAttrs effectiveStdenv.cc.isClang {
NIX_CFLAGS_COMPILE = toString [
"-Wno-error=deprecated-declarations"
"-Wno-error=unused-but-set-variable"
];
};
- doCheck = true;
+ doCheck = !cudaSupport;
+
+ requiredSystemFeatures = lib.optionals cudaSupport [ "big-parallel" ];
postPatch = ''
substituteInPlace cmake/libonnxruntime.pc.cmake.in \
--replace-fail '$'{prefix}/@CMAKE_INSTALL_ @CMAKE_INSTALL_
- '' + lib.optionalString (stdenv.hostPlatform.system == "aarch64-linux") ''
+ '' + lib.optionalString (effectiveStdenv.hostPlatform.system == "aarch64-linux") ''
# https://github.com/NixOS/nixpkgs/pull/226734#issuecomment-1663028691
rm -v onnxruntime/test/optimizer/nhwc_transformer_test.cc
'';
diff --git a/pkgs/development/libraries/onnxruntime/nvcc-gsl.patch b/pkgs/development/libraries/onnxruntime/nvcc-gsl.patch
new file mode 100644
index 000000000000..948de62e7e75
--- /dev/null
+++ b/pkgs/development/libraries/onnxruntime/nvcc-gsl.patch
@@ -0,0 +1,32 @@
+diff --git a/cmake/external/onnxruntime_external_deps.cmake b/cmake/external/onnxruntime_external_deps.cmake
+index 9effd1a2db..faff5e8de7 100644
+--- a/cmake/external/onnxruntime_external_deps.cmake
++++ b/cmake/external/onnxruntime_external_deps.cmake
+@@ -280,21 +280,12 @@ if (NOT WIN32)
+ endif()
+ endif()
+
+-if(onnxruntime_USE_CUDA)
+- FetchContent_Declare(
+- GSL
+- URL ${DEP_URL_microsoft_gsl}
+- URL_HASH SHA1=${DEP_SHA1_microsoft_gsl}
+- PATCH_COMMAND ${Patch_EXECUTABLE} --binary --ignore-whitespace -p1 < ${PROJECT_SOURCE_DIR}/patches/gsl/1064.patch
+- )
+-else()
+- FetchContent_Declare(
+- GSL
+- URL ${DEP_URL_microsoft_gsl}
+- URL_HASH SHA1=${DEP_SHA1_microsoft_gsl}
+- FIND_PACKAGE_ARGS 4.0 NAMES Microsoft.GSL
+- )
+-endif()
++FetchContent_Declare(
++ GSL
++ URL ${DEP_URL_microsoft_gsl}
++ URL_HASH SHA1=${DEP_SHA1_microsoft_gsl}
++ FIND_PACKAGE_ARGS 4.0 NAMES Microsoft.GSL
++)
+
+ FetchContent_Declare(
+ safeint
diff --git a/pkgs/development/libraries/openbabel/default.nix b/pkgs/development/libraries/openbabel/default.nix
index fe12bbffa531..f472f7b06364 100644
--- a/pkgs/development/libraries/openbabel/default.nix
+++ b/pkgs/development/libraries/openbabel/default.nix
@@ -1,21 +1,21 @@
-{ stdenv, lib, fetchFromGitHub, cmake, zlib, libxml2, eigen, python, cairo, pcre, pkg-config, swig, rapidjson }:
+{ stdenv, lib, fetchFromGitHub, cmake, perl, zlib, libxml2, eigen, python, cairo, pcre, pkg-config, swig, rapidjson }:
stdenv.mkDerivation rec {
pname = "openbabel";
- version = "3.1.1";
+ version = "unstable-06-12-23";
src = fetchFromGitHub {
owner = "openbabel";
- repo = "openbabel";
- rev = "openbabel-${lib.replaceStrings ["."] ["-"] version}";
- sha256 = "sha256-wQpgdfCyBAoh4pmj9j7wPTlMtraJ62w/EShxi/olVMY=";
+ repo = pname;
+ rev = "32cf131444c1555c749b356dab44fb9fe275271f";
+ hash = "sha256-V0wrZVrojCZ9Knc5H6cPzPoYWVosRZ6Sn4PX+UFEfHY=";
};
postPatch = ''
sed '1i#include ' -i include/openbabel/obutil.h # gcc12
'';
- buildInputs = [ zlib libxml2 eigen python cairo pcre swig rapidjson ];
+ buildInputs = [ perl zlib libxml2 eigen python cairo pcre swig rapidjson ];
nativeBuildInputs = [ cmake pkg-config ];
@@ -26,13 +26,15 @@ stdenv.mkDerivation rec {
"-DPYTHON_BINDINGS=ON"
];
+ # Setuptools only accepts PEP 440 version strings. The "unstable" identifier
+ # can not be used. Instead we pretend to be the 3.2 beta release.
postFixup = ''
cat < $out/lib/python$pythonMajorMinor/site-packages/setup.py
from distutils.core import setup
setup(
name = 'pyopenbabel',
- version = '${version}',
+ version = '3.2b1',
packages = ['openbabel'],
package_data = {'openbabel' : ['_openbabel.so']}
)
diff --git a/pkgs/development/libraries/opencl-headers/default.nix b/pkgs/development/libraries/opencl-headers/default.nix
index 6a00c86105a8..b13dc2bb8dd7 100644
--- a/pkgs/development/libraries/opencl-headers/default.nix
+++ b/pkgs/development/libraries/opencl-headers/default.nix
@@ -33,7 +33,7 @@ stdenv.mkDerivation (finalAttrs: {
description = "Khronos OpenCL headers version ${finalAttrs.version}";
homepage = "https://www.khronos.org/registry/cl/";
license = licenses.asl20;
- platforms = platforms.unix;
+ platforms = platforms.unix ++ platforms.windows;
maintainers = [ ];
};
})
diff --git a/pkgs/development/libraries/opencollada/default.nix b/pkgs/development/libraries/opencollada/default.nix
index 340b1299e832..d822050c08a2 100644
--- a/pkgs/development/libraries/opencollada/default.nix
+++ b/pkgs/development/libraries/opencollada/default.nix
@@ -14,6 +14,8 @@ stdenv.mkDerivation rec {
};
patches = [
+ ./pcre.patch
+
# fix build with gcc 13
(fetchurl {
url = "https://gitweb.gentoo.org/repo/gentoo.git/plain/media-libs/opencollada/files/opencollada-1.6.68-gcc13.patch?id=b76590f9fb8615da3da9d783ad841c0e3881a27b";
@@ -27,7 +29,9 @@ stdenv.mkDerivation rec {
propagatedBuildInputs = [ libxml2 pcre ];
postPatch = ''
- patch -p1 < ${./pcre.patch}
+ # Drop blanket -Werror as it tends to fail on newer toolchain for
+ # minor warnings. In this case it was gcc-13 build failure.
+ substituteInPlace DAEValidator/CMakeLists.txt --replace-fail ' -Werror"' '"'
'' + lib.optionalString stdenv.isDarwin ''
substituteInPlace GeneratedSaxParser/src/GeneratedSaxParserUtils.cpp \
--replace math.h cmath
diff --git a/pkgs/development/libraries/opencolorio/default.nix b/pkgs/development/libraries/opencolorio/default.nix
index 21f50a6fe8a3..a5661d6e44e6 100644
--- a/pkgs/development/libraries/opencolorio/default.nix
+++ b/pkgs/development/libraries/opencolorio/default.nix
@@ -45,6 +45,8 @@ stdenv.mkDerivation rec {
# Workaround for https://gitlab.kitware.com/cmake/cmake/-/issues/25200.
# Needed for zlib >= 1.3 && cmake < 3.27.4.
./broken-cmake-zlib-version.patch
+ # Fix incorrect line number in test
+ ./line-numbers.patch
];
postPatch = lib.optionalString stdenv.isDarwin ''
diff --git a/pkgs/development/libraries/opencolorio/line-numbers.patch b/pkgs/development/libraries/opencolorio/line-numbers.patch
new file mode 100644
index 000000000000..21b6820b4f86
--- /dev/null
+++ b/pkgs/development/libraries/opencolorio/line-numbers.patch
@@ -0,0 +1,13 @@
+diff --git a/tests/cpu/fileformats/FileFormatCTF_tests.cpp b/tests/cpu/fileformats/FileFormatCTF_tests.cpp
+index 7a7ab67b..18c1f55e 100644
+--- a/tests/cpu/fileformats/FileFormatCTF_tests.cpp
++++ b/tests/cpu/fileformats/FileFormatCTF_tests.cpp
+@@ -1416,7 +1416,7 @@ OCIO_ADD_TEST(FileFormatCTF, difficult_xml_unknown_elements)
+ "(37): Unrecognized element 'just_ignore' where its parent is 'ProcessList' (8): Unknown element",
+ "(69): Unrecognized element 'just_ignore' where its parent is 'Description' (66)",
+ "(70): Unrecognized element 'just_ignore' where its parent is 'just_ignore' (69)",
+- "(75): Unrecognized element 'Matrix' where its parent is 'LUT1D' (43): 'Matrix' not allowed in this element",
++ "(75): Unrecognized element 'Matrix' where its parent is 'LUT1D' (46): 'Matrix' not allowed in this element",
+ "(76): Unrecognized element 'Description' where its parent is 'Matrix' (75)",
+ "(77): Unrecognized element 'Array' where its parent is 'Matrix' (75)"
+ };
diff --git a/pkgs/development/libraries/opencsg/default.nix b/pkgs/development/libraries/opencsg/default.nix
index 7625db9a5953..4adebaf72b16 100644
--- a/pkgs/development/libraries/opencsg/default.nix
+++ b/pkgs/development/libraries/opencsg/default.nix
@@ -45,6 +45,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Constructive Solid Geometry library";
+ mainProgram = "opencsgexample";
homepage = "http://www.opencsg.org/";
platforms = platforms.unix;
maintainers = [ maintainers.raskin ];
diff --git a/pkgs/development/libraries/opencv/4.x.nix b/pkgs/development/libraries/opencv/4.x.nix
index 7bb2649ff894..2f24d984c062 100644
--- a/pkgs/development/libraries/opencv/4.x.nix
+++ b/pkgs/development/libraries/opencv/4.x.nix
@@ -2,14 +2,14 @@
, stdenv
, fetchurl
, fetchFromGitHub
-, fetchpatch
, cmake
, pkg-config
, unzip
, zlib
-, pcre
+, pcre2
, hdf5
, boost
+, glib
, gflags
, protobuf_21
, config
@@ -35,6 +35,8 @@
, eigen
, enableBlas ? true
, blas
+, enableVA ? !stdenv.isDarwin
+, libva
, enableContrib ? true
, enableCuda ? config.cudaSupport
@@ -58,7 +60,11 @@
, enableFfmpeg ? true
, ffmpeg
, enableGStreamer ? true
+, elfutils
, gst_all_1
+, orc
+, libunwind
+, zstd
, enableTesseract ? false
, tesseract
, leptonica
@@ -85,7 +91,7 @@
}@inputs:
let
- version = "4.7.0";
+ version = "4.9.0";
# It's necessary to consistently use backendStdenv when building with CUDA
# support, otherwise we get libstdc++ errors downstream
@@ -96,21 +102,21 @@ let
owner = "opencv";
repo = "opencv";
rev = version;
- sha256 = "sha256-jUeGsu8+jzzCnIFbVMCW8DcUeGv/t1yCY/WXyW+uGDI=";
+ hash = "sha256-3qqu4xlRyMbPKHHTIT+iRRGtpFlcv0NU8GNZpgjdi6k=";
};
contribSrc = fetchFromGitHub {
owner = "opencv";
repo = "opencv_contrib";
rev = version;
- sha256 = "sha256-meya0J3RdOIeMM46e/6IOVwrKn3t/c0rhwP2WQaybkE=";
+ hash = "sha256-K74Ghk4uDqj4OWEzDxT2R3ERi+jkAWZszzezRenfuZ8=";
};
testDataSrc = fetchFromGitHub {
owner = "opencv";
repo = "opencv_extra";
rev = version;
- sha256 = "sha256-6hAdJdaUgtRGQanQKuY/q6fcXWXFZ3K/oLbGxvksry0=";
+ hash = "sha256-pActKi7aN5EOZq2Fpf5mALnZq71c037/R3Q6wJ4uCfQ=";
};
# Contrib must be built in order to enable Tesseract support:
@@ -121,16 +127,16 @@ let
src = fetchFromGitHub {
owner = "opencv";
repo = "opencv_3rdparty";
- rev = "a56b6ac6f030c312b2dce17430eef13aed9af274";
- sha256 = "1msbkc3zixx61rcg6a04i1bcfhw1phgsrh93glq1n80hgsk3nbjq";
+ rev = "0cc4aa06bf2bef4b05d237c69a5a96b9cd0cb85a";
+ hash = "sha256-/kHivOgCkY9YdcRRaVgytXal3ChE9xFfGAB0CfFO5ec=";
} + "/ippicv";
- files = let name = platform: "ippicv_2019_${platform}_general_20180723.tgz"; in
+ files = let name = platform: "ippicv_2021.10.0_${platform}_20230919_general.tgz"; in
if effectiveStdenv.hostPlatform.system == "x86_64-linux" then
- { ${name "lnx_intel64"} = "c0bd78adb4156bbf552c1dfe90599607"; }
+ { ${name "lnx_intel64"} = "606a19b207ebedfe42d59fd916cc4850"; }
else if effectiveStdenv.hostPlatform.system == "i686-linux" then
- { ${name "lnx_ia32"} = "4f38432c30bfd6423164b7a24bbc98a0"; }
+ { ${name "lnx_ia32"} = "ea08487b810baad2f68aca87b74a2db9"; }
else if effectiveStdenv.hostPlatform.system == "x86_64-darwin" then
- { ${name "mac_intel64"} = "fe6b2bb75ae0e3f19ad3ae1a31dfa4a2"; }
+ { ${name "mac_intel64"} = "14f01c5a4780bfae9dde9b0aaf5e56fc"; }
else
throw "ICV is not available for this platform (or not yet supported by this package)";
dst = ".cache/ippicv";
@@ -142,7 +148,7 @@ let
owner = "opencv";
repo = "opencv_3rdparty";
rev = "fccf7cd6a4b12079f73bbfb21745f9babcd4eb1d";
- sha256 = "0r9fam8dplyqqsd3qgpnnfgf9l7lj44di19rxwbm8mxiw0rlcdvy";
+ hash = "sha256-fjdGM+CxV1QX7zmF2AiR9NDknrP2PjyaxtjT21BVLmU=";
};
files = {
"vgg_generated_48.i" = "e8d0dcd54d1bcfdc29203d011a797179";
@@ -179,7 +185,7 @@ let
owner = "opencv";
repo = "opencv_3rdparty";
rev = "8afa57abc8229d611c4937165d20e2a2d9fc5a12";
- sha256 = "061lsvqdidq9xa2hwrcvwi9ixflr2c2lfpc8drr159g68zi8bp4v";
+ hash = "sha256-m9yF4kfmpRJybohdRwUTmboeU+SbZQ6F6gm32PDWNBg=";
};
files = {
"face_landmark_model.dat" = "7505c44ca4eb54b4ab1e4777cb96ac05";
@@ -191,10 +197,10 @@ let
ade = rec {
src = fetchurl {
url = "https://github.com/opencv/ade/archive/${name}";
- sha256 = "sha256-TjLRbFbC7MDY9PxIy560ryviBI58cbQwqgc7A7uOHkg=";
+ hash = "sha256-WG/GudVpkO10kOJhoKXFMj672kggvyRYCIpezal3wcE=";
};
- name = "v0.1.2a.zip";
- md5 = "fa4b3e25167319cb0fa9432ef8281945";
+ name = "v0.1.2d.zip";
+ md5 = "dbb095a8bf3008e91edbbf45d8d34885";
dst = ".cache/ade";
};
@@ -204,7 +210,7 @@ let
owner = "opencv";
repo = "opencv_3rdparty";
rev = "a8b69ccc738421293254aec5ddb38bd523503252";
- sha256 = "sha256-/n6zHwf0Rdc4v9o4rmETzow/HTv+81DnHP+nL56XiTY=";
+ hash = "sha256-/n6zHwf0Rdc4v9o4rmETzow/HTv+81DnHP+nL56XiTY=";
};
files = {
"detect.caffemodel" = "238e2b2d6f3c18d6c3a30de0c31e23cf";
@@ -260,20 +266,6 @@ effectiveStdenv.mkDerivation {
patches = [
./cmake-don-t-use-OpenCVFindOpenEXR.patch
] ++ lib.optionals enableContrib [
- (fetchpatch {
- name = "CVE-2023-2617.patch";
- url = "https://github.com/opencv/opencv_contrib/commit/ccc277247ac1a7aef0a90353edcdec35fbc5903c.patch";
- stripLen = 2;
- extraPrefix = [ "opencv_contrib/" ];
- sha256 = "sha256-drZ+DVn+Pk4zAZJ+LgX5u3Tz7MU0AEI/73EVvxDP3AU=";
- })
- (fetchpatch {
- name = "CVE-2023-2618.patch";
- url = "https://github.com/opencv/opencv_contrib/commit/ec406fa4748fb4b0630c1b986469e7918d5e8953.patch";
- stripLen = 2;
- extraPrefix = [ "opencv_contrib/" ];
- sha256 = "sha256-cB5Tsh2fDOsc0BNtSzd6U/QoCjkd9yMW1QutUU69JJ0=";
- })
] ++ lib.optional enableCuda ./cuda_opt_flow.patch;
# This prevents cmake from using libraries in impure paths (which
@@ -300,60 +292,104 @@ effectiveStdenv.mkDerivation {
echo '"(build info elided)"' > modules/core/version_string.inc
'';
- buildInputs = [ zlib pcre boost gflags protobuf_21 ]
- ++ lib.optional enablePython pythonPackages.python
- ++ lib.optional (effectiveStdenv.buildPlatform == effectiveStdenv.hostPlatform) hdf5
- ++ lib.optional enableGtk2 gtk2
- ++ lib.optional enableGtk3 gtk3
- ++ lib.optional enableVtk vtk
- ++ lib.optional enableJPEG libjpeg
- ++ lib.optional enablePNG libpng
- ++ lib.optional enableTIFF libtiff
- ++ lib.optional enableWebP libwebp
- ++ lib.optionals enableEXR [ openexr ilmbase ]
- ++ lib.optional enableJPEG2000 openjpeg
- ++ lib.optional enableFfmpeg ffmpeg
- ++ lib.optionals (enableFfmpeg && effectiveStdenv.isDarwin)
- [ VideoDecodeAcceleration bzip2 ]
- ++ lib.optionals enableGStreamer (with gst_all_1; [ gstreamer gst-plugins-base gst-plugins-good ])
- ++ lib.optional enableOvis ogre
- ++ lib.optional enableGPhoto2 libgphoto2
- ++ lib.optional enableDC1394 libdc1394
- ++ lib.optional enableEigen eigen
- ++ lib.optional enableBlas blas.provider
+ buildInputs = [
+ boost
+ gflags
+ glib
+ pcre2
+ protobuf_21
+ zlib
+ ] ++ lib.optionals enablePython [
+ pythonPackages.python
+ ] ++ lib.optionals (effectiveStdenv.buildPlatform == effectiveStdenv.hostPlatform) [
+ hdf5
+ ] ++ lib.optionals enableGtk2 [
+ gtk2
+ ] ++ lib.optionals enableGtk3 [
+ gtk3
+ ] ++ lib.optionals enableVtk [
+ vtk
+ ] ++ lib.optionals enableJPEG [
+ libjpeg
+ ] ++ lib.optionals enablePNG [
+ libpng
+ ] ++ lib.optionals enableTIFF [
+ libtiff
+ ] ++ lib.optionals enableWebP [
+ libwebp
+ ] ++ lib.optionals enableEXR [
+ openexr
+ ilmbase
+ ] ++ lib.optionals enableJPEG2000 [
+ openjpeg
+ ] ++ lib.optionals enableFfmpeg [
+ ffmpeg
+ ] ++ lib.optionals (enableFfmpeg && effectiveStdenv.isDarwin) [
+ bzip2
+ VideoDecodeAcceleration
+ ] ++ lib.optionals (enableGStreamer && effectiveStdenv.isLinux) (with gst_all_1; [
+ elfutils
+ gst-plugins-base
+ gst-plugins-good
+ gstreamer
+ libunwind
+ orc
+ zstd
+ ]) ++ lib.optionals enableOvis [
+ ogre
+ ] ++ lib.optionals enableGPhoto2 [
+ libgphoto2
+ ] ++ lib.optionals enableDC1394 [
+ libdc1394
+ ] ++ lib.optionals enableEigen [
+ eigen
+ ] ++ lib.optionals enableVA [
+ libva
+ ] ++ lib.optionals enableBlas [
+ blas.provider
+ ] ++ lib.optionals enableTesseract [
# There is seemingly no compile-time flag for Tesseract. It's
# simply enabled automatically if contrib is built, and it detects
# tesseract & leptonica.
- ++ lib.optionals enableTesseract [ tesseract leptonica ]
- ++ lib.optional enableTbb tbb
- ++ lib.optionals effectiveStdenv.isDarwin [
- bzip2 AVFoundation Cocoa VideoDecodeAcceleration CoreMedia MediaToolbox Accelerate
- ]
- ++ lib.optionals enableDocs [ doxygen graphviz-nox ]
- ++ lib.optionals enableCuda (with cudaPackages; [
- cuda_cudart.lib
- cuda_cudart.dev
- cuda_cccl.dev #
- libnpp.dev # npp.h
- libnpp.lib
- libnpp.static
- nvidia-optical-flow-sdk
- ] ++ lib.optionals enableCublas [
- # May start using the default $out instead once
- # https://github.com/NixOS/nixpkgs/issues/271792
- # has been addressed
- libcublas.static
- libcublas.lib
- libcublas.dev # cublas_v2.h
- ] ++ lib.optionals enableCudnn [
- cudnn.dev # cudnn.h
- cudnn.lib
- cudnn.static
- ] ++ lib.optionals enableCufft [
- libcufft.dev # cufft.h
- libcufft.lib
- libcufft.static
- ]);
+ tesseract
+ leptonica
+ ] ++ lib.optionals enableTbb [
+ tbb
+ ] ++ lib.optionals effectiveStdenv.isDarwin [
+ bzip2
+ AVFoundation
+ Cocoa
+ VideoDecodeAcceleration
+ CoreMedia
+ MediaToolbox
+ Accelerate
+ ] ++ lib.optionals enableDocs [
+ doxygen
+ graphviz-nox
+ ] ++ lib.optionals enableCuda (with cudaPackages; [
+ cuda_cudart.lib
+ cuda_cudart.dev
+ cuda_cccl.dev #
+ libnpp.dev # npp.h
+ libnpp.lib
+ libnpp.static
+ nvidia-optical-flow-sdk
+ ] ++ lib.optionals enableCublas [
+ # May start using the default $out instead once
+ # https://github.com/NixOS/nixpkgs/issues/271792
+ # has been addressed
+ libcublas.static
+ libcublas.lib
+ libcublas.dev # cublas_v2.h
+ ] ++ lib.optionals enableCudnn [
+ cudnn.dev # cudnn.h
+ cudnn.lib
+ cudnn.static
+ ] ++ lib.optionals enableCufft [
+ libcufft.dev # cufft.h
+ libcufft.lib
+ libcufft.static
+ ]);
propagatedBuildInputs = lib.optionals enablePython [ pythonPackages.numpy ];
diff --git a/pkgs/development/libraries/opendbx/default.nix b/pkgs/development/libraries/opendbx/default.nix
index b7a4a34390d9..04ffde29daa0 100644
--- a/pkgs/development/libraries/opendbx/default.nix
+++ b/pkgs/development/libraries/opendbx/default.nix
@@ -27,6 +27,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
broken = stdenv.isDarwin;
description = "Extremely lightweight but extensible database access library written in C";
+ mainProgram = "odbx-sql";
license = licenses.lgpl21;
platforms = platforms.all;
};
diff --git a/pkgs/development/libraries/openexr/3.nix b/pkgs/development/libraries/openexr/3.nix
index 826c7b2c854f..a59c9795b223 100644
--- a/pkgs/development/libraries/openexr/3.nix
+++ b/pkgs/development/libraries/openexr/3.nix
@@ -9,13 +9,13 @@
stdenv.mkDerivation rec {
pname = "openexr";
- version = "3.2.1";
+ version = "3.2.2";
src = fetchFromGitHub {
owner = "AcademySoftwareFoundation";
repo = "openexr";
rev = "v${version}";
- hash = "sha256-ycn2RbHM/vIDUGGGnfNZ0Zm0qjjKRRNhkMD11PkpGF0=";
+ hash = "sha256-7KBjZpImSaW4GiK0ZCpMcjBPQ9aMmN5LW+/m6lkGK68=";
};
outputs = [ "bin" "dev" "out" "doc" ];
diff --git a/pkgs/development/libraries/openh264/default.nix b/pkgs/development/libraries/openh264/default.nix
deleted file mode 100644
index c250b31ecd71..000000000000
--- a/pkgs/development/libraries/openh264/default.nix
+++ /dev/null
@@ -1,33 +0,0 @@
-{ lib, stdenv, fetchFromGitHub, nasm, windows }:
-
-stdenv.mkDerivation rec {
- pname = "openh264";
- version = "2.4.0";
-
- src = fetchFromGitHub {
- owner = "cisco";
- repo = pname;
- rev = "v${version}";
- hash = "sha256-vPVHXATsSWmqKOAj09WRR5jCi2NU2lq0j4K15KBzARY=";
- };
-
- nativeBuildInputs = [ nasm ];
-
- buildInputs = lib.optional stdenv.hostPlatform.isWindows windows.pthreads;
-
- makeFlags = [
- "PREFIX=${placeholder "out"}"
- "ARCH=${stdenv.hostPlatform.linuxArch}"
- ] ++ lib.optional stdenv.hostPlatform.isWindows "OS=mingw_nt";
-
- enableParallelBuilding = true;
-
- hardeningDisable = lib.optional stdenv.hostPlatform.isWindows "stackprotector";
-
- meta = with lib; {
- description = "A codec library which supports H.264 encoding and decoding";
- homepage = "https://www.openh264.org";
- license = licenses.bsd2;
- platforms = platforms.unix;
- };
-}
diff --git a/pkgs/development/libraries/openjpeg/default.nix b/pkgs/development/libraries/openjpeg/default.nix
index d528e2fc0302..12b05df234d8 100644
--- a/pkgs/development/libraries/openjpeg/default.nix
+++ b/pkgs/development/libraries/openjpeg/default.nix
@@ -1,4 +1,4 @@
-{ lib, stdenv, fetchFromGitHub, fetchpatch, cmake, pkg-config
+{ lib, stdenv, fetchFromGitHub, cmake, pkg-config
, libdeflate, libpng, libtiff, zlib, lcms2, jpylyzer
, jpipLibSupport ? false # JPIP library & executables
, jpipServerSupport ? false, curl, fcgi # JPIP Server
@@ -12,32 +12,17 @@ in
stdenv.mkDerivation rec {
pname = "openjpeg";
- version = "2.5.0";
+ version = "2.5.2";
src = fetchFromGitHub {
owner = "uclouvain";
repo = "openjpeg";
rev = "v${version}";
- sha256 = "sha256-/0o3Fl6/jx5zu854TCqMyOz/8mnEyEC9lpZ6ij/tbHc=";
+ hash = "sha256-mQ9B3MJY2/bg0yY/7jUJrAXM6ozAHT5fmwES5Q1SGxw=";
};
outputs = [ "out" "dev" ];
- patches = [
- # modernise cmake files, also fixes them for multiple outputs
- # https://github.com/uclouvain/openjpeg/pull/1424
- (fetchpatch {
- name = "uclouvain-openjpeg-pull-1424.patch";
- url = "https://github.com/uclouvain/openjpeg/compare/52927287402a9f7353de8854c88f931051211e2f...9d4f70cfe99626f82f9c8dcbf45f07709e3511b2.patch";
- sha256 = "sha256-CxVRt1u4HVOMUjWiZ2plmZC29t/zshCpSY+N4Wlrlvg=";
- })
- # fix cmake files cross compilation
- (fetchpatch {
- url = "https://github.com/uclouvain/openjpeg/commit/c6ceb84c221b5094f1e8a4c0c247dee3fb5074e8.patch";
- sha256 = "sha256-gBUtmO/7RwSWEl7rc8HGr8gNtvNFdhjEwm0Dd51p5O8=";
- })
- ];
-
cmakeFlags = [
"-DCMAKE_INSTALL_NAME_DIR=\${CMAKE_INSTALL_PREFIX}/lib"
"-DBUILD_SHARED_LIBS=ON"
diff --git a/pkgs/development/libraries/openmpi/default.nix b/pkgs/development/libraries/openmpi/default.nix
index a8bd8acacd59..8c54c13c9139 100644
--- a/pkgs/development/libraries/openmpi/default.nix
+++ b/pkgs/development/libraries/openmpi/default.nix
@@ -1,6 +1,6 @@
-{ lib, stdenv, fetchurl, gfortran, perl, libnl
+{ lib, stdenv, fetchurl, removeReferencesTo, gfortran, perl, libnl
, rdma-core, zlib, numactl, libevent, hwloc, targetPackages, symlinkJoin
-, libpsm2, libfabric, pmix, ucx, ucc
+, libpsm2, libfabric, pmix, ucx, ucc, makeWrapper
, config
# Enable CUDA support
, cudaSupport ? config.cudaSupport, cudaPackages
@@ -38,7 +38,7 @@ stdenv.mkDerivation rec {
find -name "Makefile.in" -exec sed -i "s/\`date\`/$ts/" \{} \;
'';
- outputs = [ "out" "man" ];
+ outputs = [ "out" "man" "dev" ];
buildInputs = [ zlib ]
++ lib.optionals stdenv.isLinux [ libnl numactl pmix ucx ucc ]
@@ -47,7 +47,7 @@ stdenv.mkDerivation rec {
++ lib.optional (stdenv.isLinux || stdenv.isFreeBSD) rdma-core
++ lib.optionals fabricSupport [ libpsm2 libfabric ];
- nativeBuildInputs = [ perl ]
+ nativeBuildInputs = [ perl removeReferencesTo makeWrapper ]
++ lib.optionals cudaSupport [ cudaPackages.cuda_nvcc ]
++ lib.optionals fortranSupport [ gfortran ];
@@ -71,24 +71,51 @@ stdenv.mkDerivation rec {
postInstall = ''
find $out/lib/ -name "*.la" -exec rm -f \{} \;
+
+ for f in mpi shmem osh; do
+ for i in f77 f90 CC c++ cxx cc fort; do
+ moveToOutput "bin/$f$i" "''${!outputDev}"
+ echo "move $fi$i"
+ moveToOutput "share/openmpi/$f$i-wrapper-data.txt" "''${!outputDev}"
+ done
+ done
+
+ for i in ortecc orte-info ompi_info oshmem_info opal_wrapper; do
+ moveToOutput "bin/$i" "''${!outputDev}"
+ done
+
+ moveToOutput "share/openmpi/ortecc-wrapper-data.txt" "''${!outputDev}"
'';
postFixup = ''
+ remove-references-to -t $dev $(readlink -f $out/lib/libopen-pal${stdenv.hostPlatform.extensions.sharedLibrary})
+ remove-references-to -t $man $(readlink -f $out/lib/libopen-pal${stdenv.hostPlatform.extensions.sharedLibrary})
+
+ # The path to the wrapper is hard coded in libopen-pal.so, which we just cleared.
+ wrapProgram $dev/bin/opal_wrapper \
+ --set OPAL_INCLUDEDIR $dev/include \
+ --set OPAL_PKGDATADIR $dev/share/openmpi
+
# default compilers should be indentical to the
# compilers at build time
+ echo "$dev/share/openmpi/mpicc-wrapper-data.txt"
sed -i 's:compiler=.*:compiler=${targetPackages.stdenv.cc}/bin/${targetPackages.stdenv.cc.targetPrefix}cc:' \
- $out/share/openmpi/mpicc-wrapper-data.txt
+ $dev/share/openmpi/mpicc-wrapper-data.txt
+ echo "$dev/share/openmpi/ortecc-wrapper-data.txt"
sed -i 's:compiler=.*:compiler=${targetPackages.stdenv.cc}/bin/${targetPackages.stdenv.cc.targetPrefix}cc:' \
- $out/share/openmpi/ortecc-wrapper-data.txt
+ $dev/share/openmpi/ortecc-wrapper-data.txt
+ echo "$dev/share/openmpi/mpic++-wrapper-data.txt"
sed -i 's:compiler=.*:compiler=${targetPackages.stdenv.cc}/bin/${targetPackages.stdenv.cc.targetPrefix}c++:' \
- $out/share/openmpi/mpic++-wrapper-data.txt
+ $dev/share/openmpi/mpic++-wrapper-data.txt
'' + lib.optionalString fortranSupport ''
+ echo "$dev/share/openmpi/mpifort-wrapper-data.txt"
sed -i 's:compiler=.*:compiler=${gfortran}/bin/${gfortran.targetPrefix}gfortran:' \
- $out/share/openmpi/mpifort-wrapper-data.txt
+ $dev/share/openmpi/mpifort-wrapper-data.txt
+
'';
doCheck = true;
diff --git a/pkgs/development/libraries/opensaml-cpp/default.nix b/pkgs/development/libraries/opensaml-cpp/default.nix
index 9e8ab07b3aa4..7e44f2a50eeb 100644
--- a/pkgs/development/libraries/opensaml-cpp/default.nix
+++ b/pkgs/development/libraries/opensaml-cpp/default.nix
@@ -26,6 +26,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://shibboleth.net/products/opensaml-cpp.html";
description = "A low-level library written in C++ that provides support for producing and consuming SAML messages";
+ mainProgram = "samlsign";
platforms = platforms.unix;
license = licenses.asl20;
maintainers = [ ];
diff --git a/pkgs/development/libraries/openscenegraph/default.nix b/pkgs/development/libraries/openscenegraph/default.nix
index 62f45de2bebf..05a559103576 100644
--- a/pkgs/development/libraries/openscenegraph/default.nix
+++ b/pkgs/development/libraries/openscenegraph/default.nix
@@ -10,7 +10,7 @@
tiffSupport ? true, libtiff,
gdalSupport ? false, gdal,
curlSupport ? true, curl,
- colladaSupport ? false, collada-dom,
+ colladaSupport ? false, opencollada,
opencascadeSupport ? false, opencascade-occt,
ffmpegSupport ? false, ffmpeg,
nvttSupport ? false, nvidia-texture-tools,
@@ -50,7 +50,7 @@ stdenv.mkDerivation rec {
++ lib.optional tiffSupport libtiff
++ lib.optional gdalSupport gdal
++ lib.optional curlSupport curl
- ++ lib.optional colladaSupport collada-dom
+ ++ lib.optional colladaSupport opencollada
++ lib.optional opencascadeSupport opencascade-occt
++ lib.optional ffmpegSupport ffmpeg
++ lib.optional nvttSupport nvidia-texture-tools
diff --git a/pkgs/development/libraries/openssl/default.nix b/pkgs/development/libraries/openssl/default.nix
index 7af5085410f7..c0b4fe75b610 100644
--- a/pkgs/development/libraries/openssl/default.nix
+++ b/pkgs/development/libraries/openssl/default.nix
@@ -86,6 +86,7 @@ let
aarch64-darwin = "./Configure darwin64-arm64-cc";
x86_64-linux = "./Configure linux-x86_64";
x86_64-solaris = "./Configure solaris64-x86_64-gcc";
+ powerpc64-linux = "./Configure linux-ppc64";
riscv64-linux = "./Configure linux64-riscv64";
}.${stdenv.hostPlatform.system} or (
if stdenv.hostPlatform == stdenv.buildPlatform
diff --git a/pkgs/development/libraries/opensubdiv/default.nix b/pkgs/development/libraries/opensubdiv/default.nix
index 9c485949a5c0..17b7c286229b 100644
--- a/pkgs/development/libraries/opensubdiv/default.nix
+++ b/pkgs/development/libraries/opensubdiv/default.nix
@@ -17,7 +17,7 @@ stdenv.mkDerivation rec {
sha256 = "sha256-uDKCT0Uoa5WQekMUFm2iZmzm+oWAZ6IWMwfpchkUZY0=";
};
- outputs = [ "out" "dev" ];
+ outputs = [ "out" "dev" "static" ];
nativeBuildInputs = [
cmake
@@ -31,9 +31,17 @@ stdenv.mkDerivation rec {
glew xorg.libX11 xorg.libXrandr xorg.libXxf86vm xorg.libXcursor
xorg.libXinerama xorg.libXi
]
- ++ lib.optional (openclSupport && !stdenv.isDarwin) ocl-icd
- ++ lib.optionals stdenv.isDarwin (with darwin.apple_sdk.frameworks; [OpenCL Cocoa CoreVideo IOKit AppKit AGL ])
- ++ lib.optional cudaSupport [
+ ++ lib.optionals (openclSupport && !stdenv.isDarwin) [ ocl-icd ]
+ ++ lib.optionals stdenv.isDarwin (with darwin.apple_sdk.frameworks; [
+ OpenCL
+ Cocoa
+ CoreVideo
+ IOKit
+ AppKit
+ AGL
+ MetalKit
+ ])
+ ++ lib.optionals cudaSupport [
cudaPackages.cuda_cudart
];
@@ -50,7 +58,7 @@ stdenv.mkDerivation rec {
[ "-DNO_TUTORIALS=1"
"-DNO_REGRESSION=1"
"-DNO_EXAMPLES=1"
- "-DNO_METAL=1" # don’t have metal in apple sdk
+ (lib.cmakeBool "NO_METAL" (!stdenv.isDarwin))
(lib.cmakeBool "NO_OPENCL" (!openclSupport))
(lib.cmakeBool "NO_CUDA" (!cudaSupport))
] ++ lib.optionals (!stdenv.isDarwin) [
@@ -65,7 +73,9 @@ stdenv.mkDerivation rec {
NIX_BUILD_CORES=$(( NIX_BUILD_CORES < ${toString maxBuildCores} ? NIX_BUILD_CORES : ${toString maxBuildCores} ))
'';
- postInstall = "rm $out/lib/*.a";
+ postInstall = ''
+ moveToOutput "lib/*.a" $static
+ '';
meta = {
description = "An Open-Source subdivision surface library";
diff --git a/pkgs/development/libraries/openvdb/default.nix b/pkgs/development/libraries/openvdb/default.nix
index c5397b259a30..5af5a27f34f5 100644
--- a/pkgs/development/libraries/openvdb/default.nix
+++ b/pkgs/development/libraries/openvdb/default.nix
@@ -33,6 +33,7 @@ stdenv.mkDerivation rec
meta = with lib; {
description = "An open framework for voxel";
+ mainProgram = "vdb_print";
homepage = "https://www.openvdb.org";
maintainers = [ maintainers.guibou ];
platforms = platforms.unix;
diff --git a/pkgs/development/libraries/openvr/default.nix b/pkgs/development/libraries/openvr/default.nix
index 01f73d3da667..5bdb10549001 100644
--- a/pkgs/development/libraries/openvr/default.nix
+++ b/pkgs/development/libraries/openvr/default.nix
@@ -11,13 +11,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "openvr";
- version = "1.26.7";
+ version = "2.2.3";
src = fetchFromGitHub {
owner = "ValveSoftware";
repo = "openvr";
rev = "v${finalAttrs.version}";
- hash = "sha256-verVIRyDdpF8lIjjjG8GllDJG7nhqByIfs/8O5TMOyc=";
+ hash = "sha256-Dpl88Te+EoVasoCtwERGrYt3xK8o03h15r8IVxxPPCw=";
};
patches = [
diff --git a/pkgs/development/libraries/openxr-loader/default.nix b/pkgs/development/libraries/openxr-loader/default.nix
index 3c739d30d386..c89baab7936b 100644
--- a/pkgs/development/libraries/openxr-loader/default.nix
+++ b/pkgs/development/libraries/openxr-loader/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "openxr-loader";
- version = "1.0.33";
+ version = "1.0.34";
src = fetchFromGitHub {
owner = "KhronosGroup";
repo = "OpenXR-SDK-Source";
rev = "release-${version}";
- sha256 = "sha256-26CRpxiTfZOq6sQSl0H53UmYzPY/6mP33EPObdkwnQs=";
+ sha256 = "sha256-AzqGNFJozmtivj+gXYHPZX2iYginQ2gXbLCImhMH9Jc=";
};
nativeBuildInputs = [ cmake python3 pkg-config ];
diff --git a/pkgs/development/libraries/orcania/default.nix b/pkgs/development/libraries/orcania/default.nix
index f0334ea4de16..14cc99622755 100644
--- a/pkgs/development/libraries/orcania/default.nix
+++ b/pkgs/development/libraries/orcania/default.nix
@@ -20,6 +20,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Potluck with different functions for different purposes that can be shared among C programs";
+ mainProgram = "base64url";
homepage = "https://github.com/babelouest/orcania";
license = licenses.lgpl21;
maintainers = with maintainers; [ johnazoidberg ];
diff --git a/pkgs/development/libraries/ortp/default.nix b/pkgs/development/libraries/ortp/default.nix
index 1c65fa1981f1..3c61e7b5c9d9 100644
--- a/pkgs/development/libraries/ortp/default.nix
+++ b/pkgs/development/libraries/ortp/default.nix
@@ -28,6 +28,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A Real-Time Transport Protocol (RFC3550) stack. Part of the Linphone project.";
+ mainProgram = "ortp_tester";
homepage = "https://linphone.org/technical-corner/ortp";
license = licenses.gpl3Plus;
platforms = platforms.all;
diff --git a/pkgs/development/libraries/paho-mqtt-c/default.nix b/pkgs/development/libraries/paho-mqtt-c/default.nix
index 149432788221..23f0bc99c88a 100644
--- a/pkgs/development/libraries/paho-mqtt-c/default.nix
+++ b/pkgs/development/libraries/paho-mqtt-c/default.nix
@@ -1,4 +1,4 @@
-{ lib, stdenv, fetchFromGitHub, cmake, openssl }:
+{ lib, stdenv, fetchFromGitHub, cmake, openssl, enableStatic ? stdenv.hostPlatform.isStatic, enableShared ? !stdenv.hostPlatform.isStatic }:
stdenv.mkDerivation rec {
pname = "paho.mqtt.c";
@@ -21,10 +21,15 @@ stdenv.mkDerivation rec {
buildInputs = [ openssl ];
- cmakeFlags = [ "-DPAHO_WITH_SSL=TRUE" ];
+ cmakeFlags = [
+ (lib.cmakeBool "PAHO_WITH_SSL" true)
+ (lib.cmakeBool "PAHO_BUILD_STATIC" enableStatic)
+ (lib.cmakeBool "PAHO_BUILD_SHARED" enableShared)
+ ];
meta = with lib; {
description = "Eclipse Paho MQTT C Client Library";
+ mainProgram = "MQTTVersion";
homepage = "https://www.eclipse.org/paho/";
license = licenses.epl20;
maintainers = with maintainers; [ sikmir ];
diff --git a/pkgs/development/libraries/paho-mqtt-cpp/default.nix b/pkgs/development/libraries/paho-mqtt-cpp/default.nix
index 62d9aa597792..6f1b9b215e26 100644
--- a/pkgs/development/libraries/paho-mqtt-cpp/default.nix
+++ b/pkgs/development/libraries/paho-mqtt-cpp/default.nix
@@ -1,4 +1,4 @@
-{ lib, stdenv, fetchFromGitHub, cmake, openssl, paho-mqtt-c }:
+{ lib, stdenv, fetchFromGitHub, cmake, openssl, paho-mqtt-c, enableStatic ? stdenv.hostPlatform.isStatic, enableShared ? !stdenv.hostPlatform.isStatic }:
stdenv.mkDerivation rec {
pname = "paho.mqtt.cpp";
@@ -15,6 +15,12 @@ stdenv.mkDerivation rec {
buildInputs = [ openssl paho-mqtt-c ];
+ cmakeFlags = [
+ (lib.cmakeBool "PAHO_WITH_SSL" true)
+ (lib.cmakeBool "PAHO_BUILD_STATIC" enableStatic)
+ (lib.cmakeBool "PAHO_BUILD_SHARED" enableShared)
+ ];
+
meta = with lib; {
description = "Eclipse Paho MQTT C++ Client Library";
homepage = "https://www.eclipse.org/paho/";
diff --git a/pkgs/development/libraries/pango/default.nix b/pkgs/development/libraries/pango/default.nix
index 0fae50cdbfed..fc722257a9c1 100644
--- a/pkgs/development/libraries/pango/default.nix
+++ b/pkgs/development/libraries/pango/default.nix
@@ -19,16 +19,17 @@
, x11Support? !stdenv.isDarwin, libXft
, withIntrospection ? lib.meta.availableOn stdenv.hostPlatform gobject-introspection && stdenv.hostPlatform.emulatorAvailable buildPackages
, buildPackages, gobject-introspection
+, testers
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "pango";
version = "1.51.0";
outputs = [ "bin" "out" "dev" ] ++ lib.optional withIntrospection "devdoc";
src = fetchurl {
- url = "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
+ url = with finalAttrs; "mirror://gnome/sources/${pname}/${lib.versions.majorMinor version}/${pname}-${version}.tar.xz";
sha256 = "dO/BCa5vkDu+avd+qirGCUuO4kWi4j8TKnqPCGLRqfU=";
};
@@ -93,10 +94,15 @@ stdenv.mkDerivation rec {
passthru = {
updateScript = gnome.updateScript {
- packageName = pname;
+ packageName = finalAttrs.pname;
# 1.90 is alpha for API 2.
freeze = "1.90.0";
};
+ tests = {
+ pkg-config = testers.hasPkgConfigModules {
+ package = finalAttrs.finalPackage;
+ };
+ };
};
meta = with lib; {
@@ -125,4 +131,4 @@ stdenv.mkDerivation rec {
"pangoxft"
];
};
-}
+})
diff --git a/pkgs/development/libraries/pangolin/default.nix b/pkgs/development/libraries/pangolin/default.nix
index 4618a6d43187..5e8ec502a65f 100644
--- a/pkgs/development/libraries/pangolin/default.nix
+++ b/pkgs/development/libraries/pangolin/default.nix
@@ -1,11 +1,10 @@
{ stdenv, lib, fetchFromGitHub, cmake, pkg-config, doxygen, libGL, glew
, xorg, ffmpeg_4, libjpeg, libpng, libtiff, eigen
-, Carbon ? null, Cocoa ? null
+, Carbon, Cocoa
}:
stdenv.mkDerivation rec {
pname = "pangolin";
-
version = "0.9.1";
src = fetchFromGitHub {
diff --git a/pkgs/development/libraries/partio/default.nix b/pkgs/development/libraries/partio/default.nix
index 472478e37100..75817ff568f7 100644
--- a/pkgs/development/libraries/partio/default.nix
+++ b/pkgs/development/libraries/partio/default.nix
@@ -16,13 +16,13 @@
stdenv.mkDerivation rec {
pname = "partio";
- version = "1.17.1";
+ version = "1.17.3";
src = fetchFromGitHub {
owner = "wdas";
repo = "partio";
rev = "refs/tags/v${version}";
- hash = "sha256-3t3y3r4R/ePw2QE747rqumbrYRm1wNkSKN3n8MPPIVg=";
+ hash = "sha256-wV9byR85qwOkoTyLjG0gOLC3Gc19ykwiLpDy4T/MENQ=";
};
outputs = [ "dev" "out" "lib" ];
diff --git a/pkgs/development/libraries/pcmsolver/default.nix b/pkgs/development/libraries/pcmsolver/default.nix
index a957d517bf7a..d17c806700f4 100644
--- a/pkgs/development/libraries/pcmsolver/default.nix
+++ b/pkgs/development/libraries/pcmsolver/default.nix
@@ -42,6 +42,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "An API for the Polarizable Continuum Model";
+ mainProgram = "run_pcm";
homepage = "https://pcmsolver.readthedocs.io/en/stable/";
license = licenses.lgpl3Only;
platforms = platforms.linux;
diff --git a/pkgs/development/libraries/pcre2/default.nix b/pkgs/development/libraries/pcre2/default.nix
index 2113b52047d6..4d1c256e301b 100644
--- a/pkgs/development/libraries/pcre2/default.nix
+++ b/pkgs/development/libraries/pcre2/default.nix
@@ -6,11 +6,11 @@
stdenv.mkDerivation rec {
pname = "pcre2";
- version = "10.42";
+ version = "10.43";
src = fetchurl {
url = "https://github.com/PhilipHazel/pcre2/releases/download/pcre2-${version}/pcre2-${version}.tar.bz2";
- hash = "sha256-jTbNjLbqKkwrs1j/ZBGwx4hjOipF2rvxrrS3AdG16EA=";
+ hash = "sha256-4qU5hP8LB9/bWuRIa7ubIcyo598kNAlsyb8bcow1C8s=";
};
configureFlags = [
diff --git a/pkgs/development/libraries/pdal/default.nix b/pkgs/development/libraries/pdal/default.nix
index edb2c866bdc1..6f362967a2a2 100644
--- a/pkgs/development/libraries/pdal/default.nix
+++ b/pkgs/development/libraries/pdal/default.nix
@@ -2,7 +2,6 @@
, stdenv
, callPackage
, fetchFromGitHub
-, fetchpatch
, testers
, enableE57 ? lib.meta.availableOn stdenv.hostPlatform libe57format
@@ -28,24 +27,15 @@
stdenv.mkDerivation (finalAttrs: {
pname = "pdal";
- version = "2.6.2";
+ version = "2.7.0";
src = fetchFromGitHub {
owner = "PDAL";
repo = "PDAL";
rev = finalAttrs.version;
- sha256 = "sha256-bYTSmrel8MLza+OxO+aOSsnkahjjqRRqUiVwAk23Gxk=";
+ sha256 = "sha256-knyDVUZH+X563UzKkvDpi08EcXU5s4+Jvya3Xprpt1A=";
};
- patches = [
- # Fix running tests
- # https://github.com/PDAL/PDAL/issues/4280
- (fetchpatch {
- url = "https://patch-diff.githubusercontent.com/raw/PDAL/PDAL/pull/4291.patch";
- sha256 = "sha256-jFS+trwMRBfm+MpT0CcuD/hdYmfyuQj2zyoe06B6G9U=";
- })
- ];
-
nativeBuildInputs = [
cmake
pkg-config
diff --git a/pkgs/development/libraries/phonon/default.nix b/pkgs/development/libraries/phonon/default.nix
index dd20b6dcebe6..58cd0245d052 100644
--- a/pkgs/development/libraries/phonon/default.nix
+++ b/pkgs/development/libraries/phonon/default.nix
@@ -24,6 +24,7 @@ stdenv.mkDerivation rec {
meta = {
homepage = "https://community.kde.org/Phonon";
description = "Multimedia API for Qt";
+ mainProgram = "phononsettings";
license = lib.licenses.lgpl2;
platforms = lib.platforms.unix;
maintainers = with lib.maintainers; [ ttuegel ];
diff --git a/pkgs/development/libraries/physfs/default.nix b/pkgs/development/libraries/physfs/default.nix
index 28b81867d203..27f7c019ac77 100644
--- a/pkgs/development/libraries/physfs/default.nix
+++ b/pkgs/development/libraries/physfs/default.nix
@@ -27,6 +27,7 @@ let
meta = with lib; {
homepage = "https://icculus.org/physfs/";
description = "Library to provide abstract access to various archives";
+ mainProgram = "test_physfs";
changelog = "https://github.com/icculus/physfs/releases/tag/release-${version}";
license = licenses.zlib;
platforms = platforms.all;
diff --git a/pkgs/development/libraries/physics/apfelgrid/default.nix b/pkgs/development/libraries/physics/apfelgrid/default.nix
index 92b6ae003fca..2c6c9702c249 100644
--- a/pkgs/development/libraries/physics/apfelgrid/default.nix
+++ b/pkgs/development/libraries/physics/apfelgrid/default.nix
@@ -18,6 +18,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Ultra-fast theory predictions for collider observables";
+ mainProgram = "apfelgrid-config";
license = licenses.mit;
homepage = "https://nhartland.github.io/APFELgrid/";
platforms = platforms.unix;
diff --git a/pkgs/development/libraries/physics/fastjet/default.nix b/pkgs/development/libraries/physics/fastjet/default.nix
index d9cd1661af8a..a4c01dd6ecf3 100644
--- a/pkgs/development/libraries/physics/fastjet/default.nix
+++ b/pkgs/development/libraries/physics/fastjet/default.nix
@@ -24,6 +24,7 @@ stdenv.mkDerivation rec {
meta = {
description = "A software package for jet finding in pp and e+e− collisions";
+ mainProgram = "fastjet-config";
license = lib.licenses.gpl2Plus;
homepage = "http://fastjet.fr/";
platforms = lib.platforms.unix;
diff --git a/pkgs/development/libraries/physics/geant4/default.nix b/pkgs/development/libraries/physics/geant4/default.nix
index 516d42aa577d..9dcaea8be041 100644
--- a/pkgs/development/libraries/physics/geant4/default.nix
+++ b/pkgs/development/libraries/physics/geant4/default.nix
@@ -48,12 +48,12 @@ in
lib.warnIf (enableQT != false) "geant4: enableQT is deprecated, please use enableQt"
stdenv.mkDerivation rec {
- version = "11.2.0";
+ version = "11.2.1";
pname = "geant4";
src = fetchurl {
url = "https://cern.ch/geant4-data/releases/geant4-v${version}.tar.gz";
- hash = "sha256-Rq1/qzxctL0L3XfdbT4igxhIGSNby8AbLRF9gbNVlqY=";
+ hash = "sha256-g122VD1csugBZ1lYllvpaHf2bWkHu1IZVLWYt4Xerl4=";
};
# Fix broken paths in a .pc
diff --git a/pkgs/development/libraries/physics/hepmc3/default.nix b/pkgs/development/libraries/physics/hepmc3/default.nix
index f803bea98f60..9d2eab6e7f15 100644
--- a/pkgs/development/libraries/physics/hepmc3/default.nix
+++ b/pkgs/development/libraries/physics/hepmc3/default.nix
@@ -55,6 +55,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "The HepMC package is an object oriented, C++ event record for High Energy Physics Monte Carlo generators and simulation";
+ mainProgram = "HepMC3-config";
license = licenses.gpl3;
homepage = "http://hepmc.web.cern.ch/hepmc/";
platforms = platforms.unix;
diff --git a/pkgs/development/libraries/physics/hoppet/default.nix b/pkgs/development/libraries/physics/hoppet/default.nix
index af90192878d7..af6200b9c578 100644
--- a/pkgs/development/libraries/physics/hoppet/default.nix
+++ b/pkgs/development/libraries/physics/hoppet/default.nix
@@ -19,6 +19,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Higher Order Perturbative Parton Evolution Toolkit";
+ mainProgram = "hoppet-config";
license = licenses.gpl2;
homepage = "https://hoppet.hepforge.org";
platforms = platforms.unix;
diff --git a/pkgs/development/libraries/physics/mela/default.nix b/pkgs/development/libraries/physics/mela/default.nix
index e48a2f4638bc..701fd149e45e 100644
--- a/pkgs/development/libraries/physics/mela/default.nix
+++ b/pkgs/development/libraries/physics/mela/default.nix
@@ -17,6 +17,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "a Mellin Evolution LibrAry";
+ mainProgram = "mela-config";
license = licenses.gpl3;
homepage = "https://github.com/vbertone/MELA";
platforms = platforms.unix;
diff --git a/pkgs/development/libraries/physics/pythia/default.nix b/pkgs/development/libraries/physics/pythia/default.nix
index 917dbf40a37d..a0daa91a1b54 100644
--- a/pkgs/development/libraries/physics/pythia/default.nix
+++ b/pkgs/development/libraries/physics/pythia/default.nix
@@ -26,6 +26,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "A program for the generation of high-energy physics events";
+ mainProgram = "pythia8-config";
license = licenses.gpl2Only;
homepage = "https://pythia.org";
platforms = platforms.unix;
diff --git a/pkgs/development/libraries/physics/qcdnum/default.nix b/pkgs/development/libraries/physics/qcdnum/default.nix
index e25b98498ddb..fdb79250ed3f 100644
--- a/pkgs/development/libraries/physics/qcdnum/default.nix
+++ b/pkgs/development/libraries/physics/qcdnum/default.nix
@@ -20,6 +20,7 @@ stdenv.mkDerivation rec {
meta = {
description = "A very fast QCD evolution program written in FORTRAN77";
+ mainProgram = "qcdnum-config";
license = lib.licenses.gpl3;
homepage = "https://www.nikhef.nl/~h24/qcdnum/index.html";
platforms = lib.platforms.unix;
diff --git a/pkgs/development/libraries/physics/rivet/default.nix b/pkgs/development/libraries/physics/rivet/default.nix
index 76a4d71b38e4..a59b34147a9f 100644
--- a/pkgs/development/libraries/physics/rivet/default.nix
+++ b/pkgs/development/libraries/physics/rivet/default.nix
@@ -2,11 +2,11 @@
stdenv.mkDerivation rec {
pname = "rivet";
- version = "3.1.9";
+ version = "3.1.10";
src = fetchurl {
url = "https://www.hepforge.org/archive/rivet/Rivet-${version}.tar.bz2";
- hash = "sha256-9lMgRdph7rKtwgqavEFmtLLUGrLByltQDNYWuxuS57E=";
+ hash = "sha256-RYuODfHec46ZctJLJg6qCH3xLJnU/p3uU3fUfqakmRk=";
};
latex = texliveBasic.withPackages (ps: with ps; [
diff --git a/pkgs/development/libraries/physics/yoda/default.nix b/pkgs/development/libraries/physics/yoda/default.nix
index 68f4e3714e09..a6ad9cbd9274 100644
--- a/pkgs/development/libraries/physics/yoda/default.nix
+++ b/pkgs/development/libraries/physics/yoda/default.nix
@@ -10,11 +10,11 @@
stdenv.mkDerivation rec {
pname = "yoda";
- version = "1.9.9";
+ version = "1.9.10";
src = fetchurl {
url = "https://www.hepforge.org/archive/yoda/YODA-${version}.tar.bz2";
- hash = "sha256-68rVU2mhztzuOi3gWUB8hRZSukRJURP1wJ2MLlf1Fqo=";
+ hash = "sha256-CnCO6dcElF0zh8xDexX/3fOCxw/lurOe0r2/g8LCjG8=";
};
nativeBuildInputs = with python.pkgs; [
diff --git a/pkgs/development/libraries/pipewire/default.nix b/pkgs/development/libraries/pipewire/default.nix
index 4cfcf9b3a6d8..3459112456fe 100644
--- a/pkgs/development/libraries/pipewire/default.nix
+++ b/pkgs/development/libraries/pipewire/default.nix
@@ -18,6 +18,7 @@
, libusb1
, udev
, libsndfile
+, vulkanSupport ? true
, vulkan-headers
, vulkan-loader
, webrtc-audio-processing
@@ -57,6 +58,7 @@
, avahi
, raopSupport ? true
, openssl
+, opusSupport ? true
, rocSupport ? true
, roc-toolkit
, x11Support ? true
@@ -64,7 +66,6 @@
, xorg
, mysofaSupport ? true
, libmysofa
-, tinycompress
, ffadoSupport ? x11Support && stdenv.buildPlatform.canExecute stdenv.hostPlatform
, ffado
, libselinux
@@ -75,7 +76,7 @@ assert ldacbtSupport -> bluezSupport;
stdenv.mkDerivation(finalAttrs: {
pname = "pipewire";
- version = "1.0.3";
+ version = "1.0.4";
outputs = [
"out"
@@ -91,7 +92,7 @@ stdenv.mkDerivation(finalAttrs: {
owner = "pipewire";
repo = "pipewire";
rev = finalAttrs.version;
- sha256 = "sha256-QVw7Q+RNo8BBy/uxoZeSQQn/vQcIl1bOiA9fYMR0+oI=";
+ sha256 = "sha256-LROI1rGQELlGXkapX3XfDqB7Rc5YAOdCwaMQUG/iU8c=";
};
patches = [
@@ -125,21 +126,20 @@ stdenv.mkDerivation(finalAttrs: {
ncurses
readline
udev
- vulkan-headers
- vulkan-loader
- tinycompress
] ++ (if enableSystemd then [ systemd ] else [ eudev ])
++ (if lib.meta.availableOn stdenv.hostPlatform webrtc-audio-processing_1 then [ webrtc-audio-processing_1 ] else [ webrtc-audio-processing ])
++ lib.optionals gstreamerSupport [ gst_all_1.gst-plugins-base gst_all_1.gstreamer ]
- ++ lib.optionals libcameraSupport [ libcamera libdrm ]
+ ++ lib.optionals libcameraSupport [ libcamera ]
++ lib.optional ffmpegSupport ffmpeg
++ lib.optionals bluezSupport [ bluez libfreeaptx liblc3 sbc fdk_aac libopus ]
++ lib.optional ldacbtSupport ldacbt
++ lib.optional nativeModemManagerSupport modemmanager
+ ++ lib.optional opusSupport libopus
++ lib.optional pulseTunnelSupport libpulseaudio
++ lib.optional zeroconfSupport avahi
++ lib.optional raopSupport openssl
++ lib.optional rocSupport roc-toolkit
+ ++ lib.optionals vulkanSupport [ libdrm vulkan-headers vulkan-loader ]
++ lib.optionals x11Support [ libcanberra xorg.libX11 xorg.libXfixes ]
++ lib.optional mysofaSupport libmysofa
++ lib.optional ffadoSupport ffado;
@@ -162,6 +162,7 @@ stdenv.mkDerivation(finalAttrs: {
(lib.mesonEnable "systemd-system-service" enableSystemd)
(lib.mesonEnable "udev" (!enableSystemd))
(lib.mesonEnable "ffmpeg" ffmpegSupport)
+ (lib.mesonEnable "pw-cat-ffmpeg" ffmpegSupport)
(lib.mesonEnable "bluez5" bluezSupport)
(lib.mesonEnable "bluez5-backend-hsp-native" nativeHspSupport)
(lib.mesonEnable "bluez5-backend-hfp-native" nativeHfpSupport)
@@ -172,10 +173,11 @@ stdenv.mkDerivation(finalAttrs: {
(lib.mesonEnable "bluez5-codec-lc3plus" false)
(lib.mesonEnable "bluez5-codec-lc3" bluezSupport)
(lib.mesonEnable "bluez5-codec-ldac" ldacbtSupport)
+ (lib.mesonEnable "opus" opusSupport)
(lib.mesonOption "sysconfdir" "/etc")
(lib.mesonEnable "raop" raopSupport)
(lib.mesonOption "session-managers" "")
- (lib.mesonEnable "vulkan" true)
+ (lib.mesonEnable "vulkan" vulkanSupport)
(lib.mesonEnable "x11" x11Support)
(lib.mesonEnable "x11-xfixes" x11Support)
(lib.mesonEnable "libcanberra" x11Support)
diff --git a/pkgs/development/libraries/pipewire/wireplumber.nix b/pkgs/development/libraries/pipewire/wireplumber.nix
index a5bdb729a102..c60ac184978a 100644
--- a/pkgs/development/libraries/pipewire/wireplumber.nix
+++ b/pkgs/development/libraries/pipewire/wireplumber.nix
@@ -24,7 +24,7 @@
stdenv.mkDerivation rec {
pname = "wireplumber";
- version = "0.4.17";
+ version = "0.5.0";
outputs = [ "out" "dev" ] ++ lib.optional enableDocs "doc";
@@ -33,7 +33,7 @@ stdenv.mkDerivation rec {
owner = "pipewire";
repo = "wireplumber";
rev = version;
- hash = "sha256-vhpQT67+849WV1SFthQdUeFnYe/okudTQJoL3y+wXwI=";
+ hash = "sha256-zcYZvyGsGuiwuL9nOD5mW6RFwa9cPB9HvoQqdw2jlmY=";
};
nativeBuildInputs = [
diff --git a/pkgs/development/libraries/pixman/default.nix b/pkgs/development/libraries/pixman/default.nix
index 1b5aaaaa06d4..e18bc6450206 100644
--- a/pkgs/development/libraries/pixman/default.nix
+++ b/pkgs/development/libraries/pixman/default.nix
@@ -16,18 +16,19 @@
, xwayland
, gitUpdater
+, testers
}:
-stdenv.mkDerivation rec {
+stdenv.mkDerivation (finalAttrs: {
pname = "pixman";
- version = "0.43.2";
+ version = "0.43.4";
src = fetchurl {
- urls = [
+ urls = with finalAttrs; [
"mirror://xorg/individual/lib/${pname}-${version}.tar.gz"
"https://cairographics.org/releases/${pname}-${version}.tar.gz"
];
- hash = "sha256-6nkpflQY+1KNBGbotbkdG+iIV/o3BvSXd7KSWnKumSQ=";
+ hash = "sha256-oGJNuQGAx923n8epFRCT3DfGRtjDjT8jL3Z89kuFoiY=";
};
separateDebugInfo = !stdenv.hostPlatform.isStatic;
@@ -53,13 +54,16 @@ stdenv.mkDerivation rec {
enableParallelBuilding = true;
- doCheck = true;
+ doCheck = !stdenv.isDarwin;
postInstall = glib.flattenInclude;
passthru = {
tests = {
inherit cairo qemu scribus tigervnc wlroots xwayland;
+ pkg-config = testers.hasPkgConfigModules {
+ package = finalAttrs.finalPackage;
+ };
};
updateScript = gitUpdater {
url = "https://gitlab.freedesktop.org/pixman/pixman.git";
@@ -72,5 +76,6 @@ stdenv.mkDerivation rec {
description = "A low-level library for pixel manipulation";
license = licenses.mit;
platforms = platforms.all;
+ pkgConfigModules = [ "pixman-1" ];
};
-}
+})
diff --git a/pkgs/development/libraries/pkger/default.nix b/pkgs/development/libraries/pkger/default.nix
index 073c57c013da..f2047c82f051 100644
--- a/pkgs/development/libraries/pkger/default.nix
+++ b/pkgs/development/libraries/pkger/default.nix
@@ -21,6 +21,7 @@ buildGoModule rec {
meta = with lib; {
description = "Embed static files in Go binaries (replacement for gobuffalo/packr) ";
+ mainProgram = "pkger";
homepage = "https://github.com/markbates/pkger";
changelog = "https://github.com/markbates/pkger/releases/tag/v${version}";
license = licenses.mit;
diff --git a/pkgs/development/libraries/plplot/default.nix b/pkgs/development/libraries/plplot/default.nix
index 66c498418d98..6e4e47f094ed 100644
--- a/pkgs/development/libraries/plplot/default.nix
+++ b/pkgs/development/libraries/plplot/default.nix
@@ -40,6 +40,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Cross-platform scientific graphics plotting library";
+ mainProgram = "pltek";
homepage = "https://plplot.org";
maintainers = with maintainers; [ bcdarwin ];
platforms = platforms.unix;
diff --git a/pkgs/development/libraries/poly2tri-c/default.nix b/pkgs/development/libraries/poly2tri-c/default.nix
index a0f084606906..0c68c2950eb2 100644
--- a/pkgs/development/libraries/poly2tri-c/default.nix
+++ b/pkgs/development/libraries/poly2tri-c/default.nix
@@ -35,6 +35,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Library for generating, refining and rendering 2-Dimensional Constrained Delaunay Triangulations";
+ mainProgram = "p2tc";
homepage = "https://code.google.com/archive/p/poly2tri-c/";
license = licenses.bsd3;
maintainers = with lib.maintainers; [ jtojnar ];
diff --git a/pkgs/development/libraries/poppler/default.nix b/pkgs/development/libraries/poppler/default.nix
index 3a37fcb87e6b..6e22ec349d5f 100644
--- a/pkgs/development/libraries/poppler/default.nix
+++ b/pkgs/development/libraries/poppler/default.nix
@@ -46,13 +46,13 @@ let
in
stdenv.mkDerivation (finalAttrs: rec {
pname = "poppler-${suffix}";
- version = "24.01.0"; # beware: updates often break cups-filters build, check scribus too!
+ version = "24.02.0"; # beware: updates often break cups-filters build, check scribus too!
outputs = [ "out" "dev" ];
src = fetchurl {
url = "https://poppler.freedesktop.org/poppler-${version}.tar.xz";
- hash = "sha256-x972k6ekkoMPSdSXqAzGuchctXsV6b4tLWFRU7ecrgg=";
+ hash = "sha256-GRh6P90F8z59YExHmcGD3lygEYZAyIs3DdzzE2NDIi4=";
};
nativeBuildInputs = [
diff --git a/pkgs/development/libraries/pplite/default.nix b/pkgs/development/libraries/pplite/default.nix
index c9c0d1863382..77841a3f5efd 100644
--- a/pkgs/development/libraries/pplite/default.nix
+++ b/pkgs/development/libraries/pplite/default.nix
@@ -14,6 +14,7 @@ stdenv.mkDerivation {
meta = {
homepage = "https://github.com/ezaffanella/PPLite";
description = "Convex polyhedra library for Abstract Interpretation";
+ mainProgram = "pplite_lcdd";
license = lib.licenses.gpl3Only;
};
}
diff --git a/pkgs/development/libraries/precice/default.nix b/pkgs/development/libraries/precice/default.nix
index 885945599e93..873386e90abd 100644
--- a/pkgs/development/libraries/precice/default.nix
+++ b/pkgs/development/libraries/precice/default.nix
@@ -1,16 +1,23 @@
-{ lib, stdenv, fetchFromGitHub, cmake, gcc, boost, eigen, libxml2, mpi, python3, petsc }:
+{ lib, stdenv, fetchFromGitHub, fetchpatch, cmake, gcc, boost, eigen, libxml2, mpi, python3, petsc, pkg-config }:
stdenv.mkDerivation rec {
pname = "precice";
- version = "2.5.0";
+ version = "3.0.0";
src = fetchFromGitHub {
owner = "precice";
repo = pname;
rev = "v${version}";
- sha256 = "sha256-n/UuiVHw1zwlhwR/HDaKgoMnPy6fm9HWZ5FmAr7F/GE=";
+ hash = "sha256-RuZ18BFdusMHC+Yuapc2N8cEetLu32e28J34HH+gHOg=";
};
+ patches = [
+ (fetchpatch {
+ url = "https://github.com/precice/precice/commit/9dffe04144ab0f6a92fbff9be91cda71718b9c8e.patch";
+ hash = "sha256-kSvIfBQH1mBA5CFJo9Usiypol0u9VgHMlUEHK/uHVNQ=";
+ })
+ ];
+
cmakeFlags = [
"-DPRECICE_PETScMapping=OFF"
"-DBUILD_SHARED_LIBS=ON"
@@ -24,8 +31,8 @@ stdenv.mkDerivation rec {
++ [ "-fpermissive" ]
);
- nativeBuildInputs = [ cmake gcc ];
- buildInputs = [ boost eigen libxml2 mpi python3 python3.pkgs.numpy ];
+ nativeBuildInputs = [ cmake gcc pkg-config python3 python3.pkgs.numpy ];
+ buildInputs = [ boost eigen libxml2 mpi petsc ];
meta = {
description = "preCICE stands for Precise Code Interaction Coupling Environment";
diff --git a/pkgs/development/libraries/proj/default.nix b/pkgs/development/libraries/proj/default.nix
index 326f219cddda..daab5ac2a566 100644
--- a/pkgs/development/libraries/proj/default.nix
+++ b/pkgs/development/libraries/proj/default.nix
@@ -17,13 +17,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "proj";
- version = "9.3.1";
+ version = "9.4.0";
src = fetchFromGitHub {
owner = "OSGeo";
repo = "PROJ";
rev = finalAttrs.version;
- hash = "sha256-M8Zgy5xnmZu7mzxXXGqaIfe7o7iMf/1sOJVOBsTvtdQ=";
+ hash = "sha256-m8u5+uWeXI2lxxsTcVJbvCiV30CQifw4reAY3GHHavA=";
};
patches = [
diff --git a/pkgs/development/libraries/protobuf/25.nix b/pkgs/development/libraries/protobuf/25.nix
index 0b05e81cc029..782906d0b5b7 100644
--- a/pkgs/development/libraries/protobuf/25.nix
+++ b/pkgs/development/libraries/protobuf/25.nix
@@ -1,6 +1,6 @@
{ callPackage, ... } @ args:
callPackage ./generic.nix ({
- version = "25.2";
- hash = "sha256-Bw7xOgcGLshFppH4qD8E48D8v21ZJRaRkK19LPSATMg=";
+ version = "25.3";
+ hash = "sha256-N/mO9a6NyC0GwxY3/u1fbFbkfH7NTkyuIti6L3bc+7k=";
} // args)
diff --git a/pkgs/development/libraries/protolock/default.nix b/pkgs/development/libraries/protolock/default.nix
index c1b54306dca8..f8483eb5d68b 100644
--- a/pkgs/development/libraries/protolock/default.nix
+++ b/pkgs/development/libraries/protolock/default.nix
@@ -19,6 +19,7 @@ buildGoModule rec {
meta = with lib; {
description = "Protocol Buffer companion tool. Track your .proto files and prevent changes to messages and services which impact API compatibility. https://protolock.dev";
+ mainProgram = "protolock";
homepage = "https://github.com/nilslice/protolock";
license = licenses.bsd3;
maintainers = with maintainers; [ groodt ];
diff --git a/pkgs/development/libraries/protozero/default.nix b/pkgs/development/libraries/protozero/default.nix
index 1e35ab700a08..ed3e67fdeed1 100644
--- a/pkgs/development/libraries/protozero/default.nix
+++ b/pkgs/development/libraries/protozero/default.nix
@@ -21,6 +21,6 @@ stdenv.mkDerivation rec {
"https://github.com/mapbox/protozero/releases/tag/v${version}"
"https://github.com/mapbox/protozero/blob/v${version}/CHANGELOG.md"
];
- maintainers = with maintainers; [ das-g ];
+ maintainers = with maintainers; teams.geospatial.members ++ [ das-g ];
};
}
diff --git a/pkgs/development/libraries/ptex/default.nix b/pkgs/development/libraries/ptex/default.nix
index a5827fe188c5..930217dab39c 100644
--- a/pkgs/development/libraries/ptex/default.nix
+++ b/pkgs/development/libraries/ptex/default.nix
@@ -19,6 +19,7 @@ stdenv.mkDerivation rec
meta = with lib; {
description = "Per-Face Texture Mapping for Production Rendering";
+ mainProgram = "ptxinfo";
homepage = "http://ptex.us/";
license = licenses.bsd3;
platforms = platforms.all;
diff --git a/pkgs/development/libraries/pth/default.nix b/pkgs/development/libraries/pth/default.nix
index c75b0d1db2d8..7cb9046acf21 100644
--- a/pkgs/development/libraries/pth/default.nix
+++ b/pkgs/development/libraries/pth/default.nix
@@ -24,6 +24,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "The GNU Portable Threads library";
+ mainProgram = "pth-config";
homepage = "https://www.gnu.org/software/pth";
license = licenses.lgpl21Plus;
platforms = platforms.all;
diff --git a/pkgs/development/libraries/py3c/default.nix b/pkgs/development/libraries/py3c/default.nix
index f4d2aa95a2e8..11ccba4c9ee3 100644
--- a/pkgs/development/libraries/py3c/default.nix
+++ b/pkgs/development/libraries/py3c/default.nix
@@ -34,6 +34,6 @@ stdenv.mkDerivation rec {
homepage = "https://github.com/encukou/py3c";
description = "Python 2/3 compatibility layer for C extensions";
license = licenses.mit;
- maintainers = with maintainers; [ ajs124 dotlambda ];
+ maintainers = with maintainers; [ dotlambda ];
};
}
diff --git a/pkgs/development/libraries/qoi/default.nix b/pkgs/development/libraries/qoi/default.nix
index b218fca11d1f..c5d527aa3ec5 100644
--- a/pkgs/development/libraries/qoi/default.nix
+++ b/pkgs/development/libraries/qoi/default.nix
@@ -43,6 +43,7 @@ stdenv.mkDerivation (finalAttrs: {
meta = with lib; {
description = "'Quite OK Image Format' for fast, lossless image compression";
+ mainProgram = "qoiconv";
homepage = "https://qoiformat.org/";
license = licenses.mit;
maintainers = with maintainers; [ hzeller ];
diff --git a/pkgs/development/libraries/qpdf/default.nix b/pkgs/development/libraries/qpdf/default.nix
index 872faafdd1ff..33967e39ccfa 100644
--- a/pkgs/development/libraries/qpdf/default.nix
+++ b/pkgs/development/libraries/qpdf/default.nix
@@ -2,13 +2,13 @@
stdenv.mkDerivation rec {
pname = "qpdf";
- version = "11.8.0";
+ version = "11.9.0";
src = fetchFromGitHub {
owner = "qpdf";
repo = "qpdf";
rev = "v${version}";
- hash = "sha256-EoFCRAWia8LAaLdoBW0ByndzIAjSvQ7bJFh0SZ/FKtY=";
+ hash = "sha256-HD7+2TBDLBIt+VaPO5WgnDjNZOj8naltFmYdYzOIn+4=";
};
nativeBuildInputs = [ cmake perl ];
diff --git a/pkgs/development/libraries/qt-5/5.15/srcs-generated.json b/pkgs/development/libraries/qt-5/5.15/srcs-generated.json
index 55ff3497baba..63a284be6c80 100644
--- a/pkgs/development/libraries/qt-5/5.15/srcs-generated.json
+++ b/pkgs/development/libraries/qt-5/5.15/srcs-generated.json
@@ -16,8 +16,8 @@
},
"qtbase": {
"url": "https://invent.kde.org/qt/qt/qtbase.git",
- "rev": "8907dedc858cc344d770a2e826d6acc516429540",
- "sha256": "185fmglzb3blfpk6vjd716xr4cx4grxpbqji5idddl4887w18s91"
+ "rev": "a43df98d037ad07cf096ef2f775958ceba743613",
+ "sha256": "01bjkfb7ql1f168q67d5jr2xjfrn8bvh1ggiba0algkgll8alad4"
},
"qtcharts": {
"url": "https://invent.kde.org/qt/qt/qtcharts.git",
@@ -161,8 +161,8 @@
},
"qtwayland": {
"url": "https://invent.kde.org/qt/qt/qtwayland.git",
- "rev": "c84d171fa84065fb3b4b6b3d33e7707676d87e47",
- "sha256": "0vxqp5577xig4m0x9pmc04svjy58pi5f0wvc1b4sk61jhj8vib23"
+ "rev": "c8b37a1bda9b8f29d56775ed6556d56ac5d3ea1d",
+ "sha256": "15spjyc6gq1r5vdryhm21mhaim1iw24y80p0srp58qm9jwqg9dys"
},
"qtwebchannel": {
"url": "https://invent.kde.org/qt/qt/qtwebchannel.git",
diff --git a/pkgs/development/libraries/qt-5/modules/qtwebengine.nix b/pkgs/development/libraries/qt-5/modules/qtwebengine.nix
index 3503067aca75..44007bec07b8 100644
--- a/pkgs/development/libraries/qt-5/modules/qtwebengine.nix
+++ b/pkgs/development/libraries/qt-5/modules/qtwebengine.nix
@@ -293,6 +293,7 @@ qtModule ({
meta = with lib; {
description = "A web engine based on the Chromium web browser";
+ mainProgram = "qwebengine_convert_dict";
maintainers = with maintainers; [ matthewbauer ];
# qtwebengine-5.15.8: "QtWebEngine can only be built for x86,
diff --git a/pkgs/development/libraries/qt-5/modules/qtwebkit.nix b/pkgs/development/libraries/qt-5/modules/qtwebkit.nix
index 9c5d347d110b..bd05954ddb35 100644
--- a/pkgs/development/libraries/qt-5/modules/qtwebkit.nix
+++ b/pkgs/development/libraries/qt-5/modules/qtwebkit.nix
@@ -2,7 +2,7 @@
, qtbase, qtdeclarative, qtlocation, qtmultimedia, qtsensors, qtwebchannel
, fontconfig, libwebp, libxml2, libxslt
, sqlite, systemd, glib, gst_all_1, cmake
-, bison, flex, gdb, gperf, perl, pkg-config, python38, ruby
+, bison, flex, gdb, gperf, perl, pkg-config, python3, ruby
, ICU, OpenGL
}:
@@ -26,7 +26,7 @@ qtModule {
++ lib.optional stdenv.isDarwin qtmultimedia;
buildInputs = [ fontconfig libwebp libxml2 libxslt sqlite glib gst_all_1.gstreamer gst_all_1.gst-plugins-base hyphen ]
++ lib.optionals stdenv.isDarwin [ ICU OpenGL ];
- nativeBuildInputs = [ bison flex gdb gperf perl pkg-config python38 ruby cmake ];
+ nativeBuildInputs = [ bison flex gdb gperf perl pkg-config python3 ruby cmake ];
cmakeFlags = [ "-DPORT=Qt" ]
++ lib.optionals stdenv.isDarwin [
diff --git a/pkgs/development/libraries/qt-6/default.nix b/pkgs/development/libraries/qt-6/default.nix
index a1d597adcd1d..ea65e5f3ae82 100644
--- a/pkgs/development/libraries/qt-6/default.nix
+++ b/pkgs/development/libraries/qt-6/default.nix
@@ -32,7 +32,7 @@ let
callPackage = self.newScope ({
inherit (self) qtModule;
inherit srcs python3;
- stdenv = if stdenv.isDarwin then darwin.apple_sdk_11_0.stdenv else stdenv;
+ stdenv = if stdenv.hostPlatform.isDarwin then darwin.apple_sdk_11_0.stdenv else stdenv;
});
in
{
@@ -42,7 +42,7 @@ let
qtModule = callPackage ./qtModule.nix { };
qtbase = callPackage ./modules/qtbase.nix {
- withGtk3 = true;
+ withGtk3 = !stdenv.hostPlatform.isMinGW;
inherit (srcs.qtbase) src version;
inherit developerBuild;
inherit (darwin.apple_sdk_11_0.frameworks)
@@ -66,60 +66,52 @@ let
revert = true;
hash = "sha256-cjB2sC4cvZn0UEc+sm6ZpjyC78ssqB1Kb5nlZQ15M4A=";
})
- # CVE-2023-51714: Potential Integer Overflow in Qt's HTTP2 implementation
- # https://www.qt.io/blog/security-advisory-potential-integer-overflow-in-qts-http2-implementation
- (fetchpatch2 {
- url = "https://download.qt.io/official_releases/qt/6.5/0001-CVE-2023-51714-qtbase-6.5.diff";
- hash = "sha256-0Xnolq9dWkKUrmLUlv15uQ9nkZXrY3AsmvChaLX8P2I=";
- })
- (fetchpatch2 {
- url = "https://download.qt.io/official_releases/qt/6.6/0002-CVE-2023-51714-qtbase-6.6.diff";
- hash = "sha256-+/u3vy5Ci6Z4jy00L07iYAnqHvVdqUzqVnT9uVIqs60=";
- })
];
};
env = callPackage ./qt-env.nix { };
- full = callPackage ({ env, qtbase }: env "qt-full-${qtbase.version}"
- # `with self` is ok to use here because having these spliced is unnecessary
- ( with self;[
- qt3d
- qt5compat
- qtcharts
- qtconnectivity
- qtdatavis3d
- qtdeclarative
- qtdoc
- qtgraphs
- qtgrpc
- qthttpserver
- qtimageformats
- qtlanguageserver
- qtlocation
- qtlottie
- qtmultimedia
- qtmqtt
- qtnetworkauth
- qtpositioning
- qtsensors
- qtserialbus
- qtserialport
- qtshadertools
- qtspeech
- qtquick3d
- qtquick3dphysics
- qtquickeffectmaker
- qtquicktimeline
- qtremoteobjects
- qtsvg
- qtscxml
- qttools
- qttranslations
- qtvirtualkeyboard
- qtwebchannel
- qtwebengine
- qtwebsockets
- qtwebview
- ] ++ lib.optionals (!stdenv.isDarwin) [ qtwayland libglvnd ])) { };
+ full = callPackage
+ ({ env, qtbase }: env "qt-full-${qtbase.version}"
+ # `with self` is ok to use here because having these spliced is unnecessary
+ (with self;[
+ qt3d
+ qt5compat
+ qtcharts
+ qtconnectivity
+ qtdatavis3d
+ qtdeclarative
+ qtdoc
+ qtgraphs
+ qtgrpc
+ qthttpserver
+ qtimageformats
+ qtlanguageserver
+ qtlocation
+ qtlottie
+ qtmultimedia
+ qtmqtt
+ qtnetworkauth
+ qtpositioning
+ qtsensors
+ qtserialbus
+ qtserialport
+ qtshadertools
+ qtspeech
+ qtquick3d
+ qtquick3dphysics
+ qtquickeffectmaker
+ qtquicktimeline
+ qtremoteobjects
+ qtsvg
+ qtscxml
+ qttools
+ qttranslations
+ qtvirtualkeyboard
+ qtwebchannel
+ qtwebengine
+ qtwebsockets
+ qtwebview
+ ] ++ lib.optionals (!stdenv.isDarwin) [ qtwayland libglvnd ]))
+ { };
qt3d = callPackage ./modules/qt3d.nix { };
qt5compat = callPackage ./modules/qt5compat.nix { };
@@ -172,11 +164,14 @@ let
GameController ImageCaptureCore LocalAuthentication
MediaAccessibility MediaPlayer MetalKit Network OpenDirectory Quartz
ReplayKit SecurityInterface Vision;
- qtModule = callPackage ({ qtModule }: qtModule.override {
- stdenv = if stdenv.isDarwin
- then overrideSDK stdenv { darwinMinVersion = "10.13"; darwinSdkVersion = "11.0"; }
- else stdenv;
- }) { };
+ qtModule = callPackage
+ ({ qtModule }: qtModule.override {
+ stdenv =
+ if stdenv.isDarwin
+ then overrideSDK stdenv { darwinMinVersion = "10.13"; darwinSdkVersion = "11.0"; }
+ else stdenv;
+ })
+ { };
xcbuild = buildPackages.xcbuild.override {
productBuildVer = "20A2408";
};
@@ -186,21 +181,25 @@ let
inherit (darwin.apple_sdk_11_0.frameworks) WebKit;
};
- wrapQtAppsHook = callPackage ({ makeBinaryWrapper }: makeSetupHook
- {
- name = "wrap-qt6-apps-hook";
- propagatedBuildInputs = [ makeBinaryWrapper ];
- } ./hooks/wrap-qt-apps-hook.sh) { };
+ wrapQtAppsHook = callPackage
+ ({ makeBinaryWrapper }: makeSetupHook
+ {
+ name = "wrap-qt6-apps-hook";
+ propagatedBuildInputs = [ makeBinaryWrapper ];
+ } ./hooks/wrap-qt-apps-hook.sh)
+ { };
- qmake = callPackage ({ qtbase }: makeSetupHook
- {
- name = "qmake6-hook";
- propagatedBuildInputs = [ qtbase.dev ];
- substitutions = {
- inherit debug;
- fix_qmake_libtool = ./hooks/fix-qmake-libtool.sh;
- };
- } ./hooks/qmake-hook.sh) { };
+ qmake = callPackage
+ ({ qtbase }: makeSetupHook
+ {
+ name = "qmake6-hook";
+ propagatedBuildInputs = [ qtbase.dev ];
+ substitutions = {
+ inherit debug;
+ fix_qmake_libtool = ./hooks/fix-qmake-libtool.sh;
+ };
+ } ./hooks/qmake-hook.sh)
+ { };
} // lib.optionalAttrs config.allowAliases {
# Convert to a throw on 03-01-2023 and backport the change.
# Warnings show up in various cli tool outputs, throws do not.
@@ -213,12 +212,13 @@ let
f = addPackages;
};
- bootstrapScope = baseScope.overrideScope(final: prev: {
+ bootstrapScope = baseScope.overrideScope (final: prev: {
qtbase = prev.qtbase.override { qttranslations = null; };
qtdeclarative = null;
});
- finalScope = baseScope.overrideScope(final: prev: {
+ finalScope = baseScope.overrideScope (final: prev: {
qttranslations = bootstrapScope.qttranslations;
});
-in finalScope
+in
+finalScope
diff --git a/pkgs/development/libraries/qt-6/fetch.sh b/pkgs/development/libraries/qt-6/fetch.sh
index 13ff1bef65a1..d7ef146a1957 100644
--- a/pkgs/development/libraries/qt-6/fetch.sh
+++ b/pkgs/development/libraries/qt-6/fetch.sh
@@ -1 +1 @@
-WGET_ARGS=( https://download.qt.io/official_releases/qt/6.6/6.6.1/submodules/ -A '*.tar.xz' )
+WGET_ARGS=( https://download.qt.io/official_releases/qt/6.6/6.6.2/submodules/ -A '*.tar.xz' )
diff --git a/pkgs/development/libraries/qt-6/modules/qtbase.nix b/pkgs/development/libraries/qt-6/modules/qtbase.nix
index edf29ab8d36f..c223a2236353 100644
--- a/pkgs/development/libraries/qt-6/modules/qtbase.nix
+++ b/pkgs/development/libraries/qt-6/modules/qtbase.nix
@@ -4,6 +4,7 @@
, patches ? [ ]
, version
, coreutils
+, buildPackages
, bison
, flex
, gdb
@@ -21,7 +22,7 @@
, double-conversion
, util-linux
, systemd
-, systemdSupport ? stdenv.isLinux
+, systemdSupport ? stdenv.hostPlatform.isLinux
, libb2
, md4c
, mtdev
@@ -79,6 +80,8 @@
, EventKit
, GSS
, MetalKit
+ # mingw
+, pkgsBuildBuild
# optional dependencies
, cups
, libmysqlclient
@@ -87,7 +90,7 @@
, dconf
, gtk3
# options
-, libGLSupported ? stdenv.isLinux
+, libGLSupported ? stdenv.hostPlatform.isLinux
, libGL
, debug ? false
, developerBuild ? false
@@ -96,6 +99,7 @@
let
debugSymbols = debug || developerBuild;
+ isCrossBuild = !stdenv.buildPlatform.canExecute stdenv.hostPlatform;
in
stdenv.mkDerivation rec {
pname = "qtbase";
@@ -110,7 +114,6 @@ stdenv.mkDerivation rec {
openssl
sqlite
zlib
- unixODBC
# Text rendering
harfbuzz
icu
@@ -119,20 +122,22 @@ stdenv.mkDerivation rec {
libpng
pcre2
pcre
- libproxy
zstd
- double-conversion
libb2
md4c
+ double-conversion
+ ] ++ lib.optionals (!stdenv.hostPlatform.isMinGW) [
+ libproxy
dbus
glib
# unixODBC drivers
+ unixODBC
unixODBCDrivers.psql
unixODBCDrivers.sqlite
unixODBCDrivers.mariadb
] ++ lib.optionals systemdSupport [
systemd
- ] ++ lib.optionals stdenv.isLinux [
+ ] ++ lib.optionals stdenv.hostPlatform.isLinux [
util-linux
mtdev
lksctp-tools
@@ -165,7 +170,7 @@ stdenv.mkDerivation rec {
xorg.libXtst
xorg.xcbutilcursor
libepoxy
- ] ++ lib.optionals stdenv.isDarwin [
+ ] ++ lib.optionals stdenv.hostPlatform.isDarwin [
AGL
AVFoundation
AppKit
@@ -174,24 +179,29 @@ stdenv.mkDerivation rec {
EventKit
GSS
MetalKit
- ] ++ lib.optional libGLSupported libGL;
+ ] ++ lib.optionals libGLSupported [
+ libGL
+ ] ++ lib.optionals stdenv.hostPlatform.isMinGW [
+ vulkan-headers
+ vulkan-loader
+ ];
- buildInputs = [
+ buildInputs = lib.optionals (lib.meta.availableOn stdenv.hostPlatform at-spi2-core) [
at-spi2-core
- ] ++ lib.optionals (!stdenv.isDarwin) [
+ ] ++ lib.optionals (lib.meta.availableOn stdenv.hostPlatform libinput) [
libinput
- ] ++ lib.optionals (stdenv.isDarwin && stdenv.isx86_64) [
+ ] ++ lib.optionals (stdenv.hostPlatform.isDarwin && stdenv.hostPlatform.isx86_64) [
AppKit
CoreBluetooth
]
++ lib.optional withGtk3 gtk3
++ lib.optional developerBuild gdb
- ++ lib.optional (cups != null) cups
- ++ lib.optional (libmysqlclient != null) libmysqlclient
- ++ lib.optional (postgresql != null) postgresql;
+ ++ lib.optional (cups != null && lib.meta.availableOn stdenv.hostPlatform cups) cups
+ ++ lib.optional (libmysqlclient != null && !stdenv.hostPlatform.isMinGW) libmysqlclient
+ ++ lib.optional (postgresql != null && lib.meta.availableOn stdenv.hostPlatform postgresql) postgresql;
nativeBuildInputs = [ bison flex gperf lndir perl pkg-config which cmake xmlstarlet ninja ]
- ++ lib.optionals stdenv.isDarwin [ moveBuildTree ];
+ ++ lib.optionals stdenv.hostPlatform.isDarwin [ moveBuildTree ];
propagatedNativeBuildInputs = [ lndir ];
@@ -203,9 +213,9 @@ stdenv.mkDerivation rec {
# https://bugreports.qt.io/browse/QTBUG-97568
postPatch = ''
- substituteInPlace src/corelib/CMakeLists.txt --replace /bin/ls ${coreutils}/bin/ls
- '' + lib.optionalString stdenv.isDarwin ''
- substituteInPlace cmake/QtAutoDetect.cmake --replace "/usr/bin/xcrun" "${xcbuild}/bin/xcrun"
+ substituteInPlace src/corelib/CMakeLists.txt --replace-fail "/bin/ls" "${buildPackages.coreutils}/bin/ls"
+ '' + lib.optionalString stdenv.hostPlatform.isDarwin ''
+ substituteInPlace cmake/QtPublicAppleHelpers.cmake --replace-fail "/usr/bin/xcrun" "${xcbuild}/bin/xcrun"
'';
fix_qt_builtin_paths = ../hooks/fix-qt-builtin-paths.sh;
@@ -225,16 +235,20 @@ stdenv.mkDerivation rec {
"-DQT_FEATURE_libproxy=ON"
"-DQT_FEATURE_system_sqlite=ON"
"-DQT_FEATURE_openssl_linked=ON"
- ] ++ lib.optionals (!stdenv.isDarwin) [
+ ] ++ lib.optionals (!stdenv.hostPlatform.isDarwin) [
"-DQT_FEATURE_sctp=ON"
"-DQT_FEATURE_journald=${if systemdSupport then "ON" else "OFF"}"
"-DQT_FEATURE_vulkan=ON"
- ] ++ lib.optionals stdenv.isDarwin [
+ ] ++ lib.optionals stdenv.hostPlatform.isDarwin [
# error: 'path' is unavailable: introduced in macOS 10.15
"-DQT_FEATURE_cxx17_filesystem=OFF"
- ] ++ lib.optional (qttranslations != null) "-DINSTALL_TRANSLATIONSDIR=${qttranslations}/translations";
+ ] ++ lib.optionals isCrossBuild [
+ "-DQT_HOST_PATH=${pkgsBuildBuild.qt6.qtbase}"
+ "-DQt6HostInfo_DIR=${pkgsBuildBuild.qt6.qtbase}/lib/cmake/Qt6HostInfo"
+ ]
+ ++ lib.optional (qttranslations != null && !isCrossBuild) "-DINSTALL_TRANSLATIONSDIR=${qttranslations}/translations";
- env.NIX_LDFLAGS = toString (lib.optionals stdenv.isDarwin [
+ env.NIX_LDFLAGS = toString (lib.optionals stdenv.hostPlatform.isDarwin [
# Undefined symbols for architecture arm64: "___gss_c_nt_hostbased_service_oid_desc"
"-framework GSS"
]);
@@ -249,10 +263,16 @@ stdenv.mkDerivation rec {
moveToOutput "mkspecs/modules" "$dev"
fixQtModulePaths "$dev/mkspecs/modules"
fixQtBuiltinPaths "$out" '*.pr?'
+ '' + lib.optionalString stdenv.isLinux ''
+
+ # FIXME: not sure why this isn't added automatically?
+ patchelf --add-rpath "${libmysqlclient}/lib/mariadb" $out/${qtPluginPrefix}/sqldrivers/libqsqlmysql.so
'';
dontStrip = debugSymbols;
+ dontWrapQtApps = true;
+
setupHook = ../hooks/qtbase-setup-hook.sh;
meta = with lib; {
@@ -260,6 +280,6 @@ stdenv.mkDerivation rec {
description = "A cross-platform application framework for C++";
license = with licenses; [ fdl13Plus gpl2Plus lgpl21Plus lgpl3Plus ];
maintainers = with maintainers; [ milahu nickcao LunNova ];
- platforms = platforms.unix;
+ platforms = platforms.unix ++ platforms.windows;
};
}
diff --git a/pkgs/development/libraries/qt-6/modules/qtconnectivity.nix b/pkgs/development/libraries/qt-6/modules/qtconnectivity.nix
index 46d8f925beb0..126920dd5051 100644
--- a/pkgs/development/libraries/qt-6/modules/qtconnectivity.nix
+++ b/pkgs/development/libraries/qt-6/modules/qtconnectivity.nix
@@ -12,11 +12,11 @@
qtModule {
pname = "qtconnectivity";
nativeBuildInputs = [ pkg-config ];
- buildInputs = lib.optionals stdenv.isLinux [ bluez ];
+ buildInputs = lib.optionals stdenv.hostPlatform.isLinux [ bluez ];
propagatedBuildInputs = [
qtbase
qtdeclarative
- ] ++ lib.optionals stdenv.isDarwin [
+ ] ++ lib.optionals stdenv.hostPlatform.isDarwin [
IOBluetooth
PCSC
];
diff --git a/pkgs/development/libraries/qt-6/modules/qtmqtt.nix b/pkgs/development/libraries/qt-6/modules/qtmqtt.nix
index bd8c0983282b..a9660b73710b 100644
--- a/pkgs/development/libraries/qt-6/modules/qtmqtt.nix
+++ b/pkgs/development/libraries/qt-6/modules/qtmqtt.nix
@@ -5,12 +5,14 @@
qtModule rec {
pname = "qtmqtt";
- version = "6.6.1";
+ version = "6.6.2";
+
src = fetchFromGitHub {
owner = "qt";
repo = "qtmqtt";
rev = "v${version}";
- hash = "sha256-6jQrUT1wLk6rhDIns0ubdUCZ7e/m38Oqvl8c1/sfWxI=";
+ hash = "sha256-R8B7Vt/XzI7+17DDZ+TVbqfGKdEfUMiLa1BqzIbo4OM=";
};
+
propagatedBuildInputs = [ qtbase ];
}
diff --git a/pkgs/development/libraries/qt-6/modules/qtmultimedia.nix b/pkgs/development/libraries/qt-6/modules/qtmultimedia.nix
index f77fdac059ce..5c9a0e58a82c 100644
--- a/pkgs/development/libraries/qt-6/modules/qtmultimedia.nix
+++ b/pkgs/development/libraries/qt-6/modules/qtmultimedia.nix
@@ -28,15 +28,15 @@ qtModule {
pname = "qtmultimedia";
nativeBuildInputs = [ pkg-config ];
buildInputs = [ libunwind orc ffmpeg_6 ]
- ++ lib.optionals stdenv.isLinux [ libpulseaudio elfutils alsa-lib wayland libXrandr libva ];
+ ++ lib.optionals stdenv.hostPlatform.isLinux [ libpulseaudio elfutils alsa-lib wayland libXrandr libva ];
propagatedBuildInputs = [ qtbase qtdeclarative qtsvg qtshadertools qtquick3d ]
- ++ lib.optionals stdenv.isLinux [ gstreamer gst-plugins-base gst-plugins-good gst-libav gst-vaapi ]
- ++ lib.optionals stdenv.isDarwin [ VideoToolbox ];
+ ++ lib.optionals stdenv.hostPlatform.isLinux [ gstreamer gst-plugins-base gst-plugins-good gst-libav gst-vaapi ]
+ ++ lib.optionals stdenv.hostPlatform.isDarwin [ VideoToolbox ];
cmakeFlags = [ "-DENABLE_DYNAMIC_RESOLVE_VAAPI_SYMBOLS=0" ];
- env.NIX_CFLAGS_COMPILE = lib.optionalString stdenv.isDarwin
+ env.NIX_CFLAGS_COMPILE = lib.optionalString stdenv.hostPlatform.isDarwin
"-include AudioToolbox/AudioToolbox.h";
- NIX_LDFLAGS = lib.optionalString stdenv.isDarwin
+ NIX_LDFLAGS = lib.optionalString stdenv.hostPlatform.isDarwin
"-framework AudioToolbox";
}
diff --git a/pkgs/development/libraries/qt-6/modules/qtquick3dphysics.nix b/pkgs/development/libraries/qt-6/modules/qtquick3dphysics.nix
index 05d121cd9b78..e9363f75ebbe 100644
--- a/pkgs/development/libraries/qt-6/modules/qtquick3dphysics.nix
+++ b/pkgs/development/libraries/qt-6/modules/qtquick3dphysics.nix
@@ -8,6 +8,7 @@
qtModule {
pname = "qtquick3dphysics";
propagatedBuildInputs = [ qtbase qtquick3d ];
- env.NIX_CFLAGS_COMPILE = lib.optionalString (stdenv.isDarwin && stdenv.isx86_64)
+ env.NIX_CFLAGS_COMPILE = lib.optionalString (stdenv.hostPlatform.isDarwin && stdenv.hostPlatform.isx86_64)
"-faligned-allocation";
+ meta.mainProgram = "cooker";
}
diff --git a/pkgs/development/libraries/qt-6/modules/qtquickeffectmaker.nix b/pkgs/development/libraries/qt-6/modules/qtquickeffectmaker.nix
index 213814a017e2..085ce493de61 100644
--- a/pkgs/development/libraries/qt-6/modules/qtquickeffectmaker.nix
+++ b/pkgs/development/libraries/qt-6/modules/qtquickeffectmaker.nix
@@ -6,4 +6,5 @@
qtModule {
pname = "qtquickeffectmaker";
propagatedBuildInputs = [ qtbase qtquick3d ];
+ meta.mainProgram = "qqem";
}
diff --git a/pkgs/development/libraries/qt-6/modules/qtserialbus.nix b/pkgs/development/libraries/qt-6/modules/qtserialbus.nix
index 3a7285d0a8b0..59033f421cc2 100644
--- a/pkgs/development/libraries/qt-6/modules/qtserialbus.nix
+++ b/pkgs/development/libraries/qt-6/modules/qtserialbus.nix
@@ -3,4 +3,5 @@
qtModule {
pname = "qtserialbus";
propagatedBuildInputs = [ qtbase qtserialport ];
+ meta.mainProgram = "canbusutil";
}
diff --git a/pkgs/development/libraries/qt-6/modules/qtserialport.nix b/pkgs/development/libraries/qt-6/modules/qtserialport.nix
index 27b9eb9c1915..00f668ef5631 100644
--- a/pkgs/development/libraries/qt-6/modules/qtserialport.nix
+++ b/pkgs/development/libraries/qt-6/modules/qtserialport.nix
@@ -9,5 +9,5 @@
qtModule {
pname = "qtserialport";
nativeBuildInputs = [ pkg-config ];
- propagatedBuildInputs = [ qtbase ] ++ lib.optionals stdenv.isLinux [ udev ];
+ propagatedBuildInputs = [ qtbase ] ++ lib.optionals stdenv.hostPlatform.isLinux [ udev ];
}
diff --git a/pkgs/development/libraries/qt-6/modules/qtshadertools.nix b/pkgs/development/libraries/qt-6/modules/qtshadertools.nix
index 5a4b894b9abd..ce0f381028c5 100644
--- a/pkgs/development/libraries/qt-6/modules/qtshadertools.nix
+++ b/pkgs/development/libraries/qt-6/modules/qtshadertools.nix
@@ -1,8 +1,15 @@
{ qtModule
, qtbase
+, stdenv
+, lib
+, pkgsBuildBuild
}:
qtModule {
pname = "qtshadertools";
propagatedBuildInputs = [ qtbase ];
+ cmakeFlags = lib.optionals (!stdenv.buildPlatform.canExecute stdenv.hostPlatform) [
+ "-DQt6ShaderToolsTools_DIR=${pkgsBuildBuild.qt6.qtshadertools}/lib/cmake/Qt6ShaderToolsTools"
+ ];
+ meta.mainProgram = "qsb";
}
diff --git a/pkgs/development/libraries/qt-6/modules/qtspeech.nix b/pkgs/development/libraries/qt-6/modules/qtspeech.nix
index 77c08fa91951..15672de8b980 100644
--- a/pkgs/development/libraries/qt-6/modules/qtspeech.nix
+++ b/pkgs/development/libraries/qt-6/modules/qtspeech.nix
@@ -13,7 +13,7 @@
qtModule {
pname = "qtspeech";
nativeBuildInputs = [ pkg-config ];
- buildInputs = lib.optionals stdenv.isLinux [ flite alsa-lib speechd ];
+ buildInputs = lib.optionals stdenv.hostPlatform.isLinux [ flite alsa-lib speechd ];
propagatedBuildInputs = [ qtbase qtmultimedia ]
- ++ lib.optionals stdenv.isDarwin [ Cocoa ];
+ ++ lib.optionals stdenv.hostPlatform.isDarwin [ Cocoa ];
}
diff --git a/pkgs/development/libraries/qt-6/modules/qtsvg.nix b/pkgs/development/libraries/qt-6/modules/qtsvg.nix
index d81634d9ef1b..3d7df288839a 100644
--- a/pkgs/development/libraries/qt-6/modules/qtsvg.nix
+++ b/pkgs/development/libraries/qt-6/modules/qtsvg.nix
@@ -5,11 +5,20 @@
, libmng
, zlib
, pkg-config
+, lib
+, stdenv
}:
qtModule {
pname = "qtsvg";
propagatedBuildInputs = [ qtbase ];
- buildInputs = [ libwebp jasper libmng zlib ];
+ buildInputs = [
+ libwebp
+ ] ++ lib.optionals (stdenv.buildPlatform.canExecute stdenv.hostPlatform) [
+ jasper
+ ] ++ [
+ libmng
+ zlib
+ ];
nativeBuildInputs = [ pkg-config ];
}
diff --git a/pkgs/development/libraries/qt-6/modules/qttools.nix b/pkgs/development/libraries/qt-6/modules/qttools.nix
index a1aa1026e57b..f13500d65464 100644
--- a/pkgs/development/libraries/qt-6/modules/qttools.nix
+++ b/pkgs/development/libraries/qt-6/modules/qttools.nix
@@ -16,7 +16,7 @@ qtModule {
llvmPackages.llvm
];
propagatedBuildInputs = [ qtbase qtdeclarative ]
- ++ lib.optionals stdenv.isDarwin [ cups ];
+ ++ lib.optionals stdenv.hostPlatform.isDarwin [ cups ];
patches = [
../patches/qttools-paths.patch
];
diff --git a/pkgs/development/libraries/qt-6/modules/qtwayland.nix b/pkgs/development/libraries/qt-6/modules/qtwayland.nix
index de13977304e2..b5f1c71fdd75 100644
--- a/pkgs/development/libraries/qt-6/modules/qtwayland.nix
+++ b/pkgs/development/libraries/qt-6/modules/qtwayland.nix
@@ -4,7 +4,6 @@
, wayland
, pkg-config
, libdrm
-, fetchpatch
}:
qtModule {
@@ -12,12 +11,4 @@ qtModule {
propagatedBuildInputs = [ qtbase qtdeclarative ];
buildInputs = [ wayland libdrm ];
nativeBuildInputs = [ pkg-config ];
- patches = [
- # Fix potential crash issues when some submenus are expanded
- # https://codereview.qt-project.org/c/qt/qtwayland/+/519344/
- (fetchpatch {
- url = "https://code.qt.io/cgit/qt/qtwayland.git/patch/?id=aae65c885d8e38d8abc2959cded7b5e9e5fc88b3";
- hash = "sha256-FD1VaiTgl9Z1y+5EDpWYShM1ULoFdET86FoFfqDmjyo=";
- })
- ];
}
diff --git a/pkgs/development/libraries/qt-6/modules/qtwebengine.nix b/pkgs/development/libraries/qt-6/modules/qtwebengine.nix
index 068c04b4c89e..f07aeefa0be0 100644
--- a/pkgs/development/libraries/qt-6/modules/qtwebengine.nix
+++ b/pkgs/development/libraries/qt-6/modules/qtwebengine.nix
@@ -105,9 +105,9 @@ qtModule {
which
gn
nodejs
- ] ++ lib.optionals (stdenv.isDarwin && stdenv.isAarch64) [
+ ] ++ lib.optionals (stdenv.hostPlatform.isDarwin && stdenv.hostPlatform.isAarch64) [
autoSignDarwinBinariesHook
- ] ++ lib.optionals stdenv.isDarwin [
+ ] ++ lib.optionals stdenv.hostPlatform.isDarwin [
bootstrap_cmds
cctools
xcbuild
@@ -134,10 +134,6 @@ qtModule {
# Override locales install path so they go to QtWebEngine's $out
../patches/qtwebengine-locales-path.patch
-
- # Cherry-pick libxml 2.12 build fix
- # FIXME: remove for 6.7
- ../patches/qtwebengine-libxml-2.12.patch
];
postPatch = ''
@@ -162,14 +158,14 @@ qtModule {
--replace "QLibraryInfo::path(QLibraryInfo::TranslationsPath)" "\"$out/translations\"" \
--replace "QLibraryInfo::path(QLibraryInfo::LibraryExecutablesPath)" "\"$out/libexec\""
''
- + lib.optionalString stdenv.isLinux ''
+ + lib.optionalString stdenv.hostPlatform.isLinux ''
sed -i -e '/lib_loader.*Load/s!"\(libudev\.so\)!"${lib.getLib systemd}/lib/\1!' \
src/3rdparty/chromium/device/udev_linux/udev?_loader.cc
sed -i -e '/libpci_loader.*Load/s!"\(libpci\.so\)!"${pciutils}/lib/\1!' \
src/3rdparty/chromium/gpu/config/gpu_info_collector_linux.cc
''
- + lib.optionalString stdenv.isDarwin ''
+ + lib.optionalString stdenv.hostPlatform.isDarwin ''
substituteInPlace configure.cmake src/gn/CMakeLists.txt \
--replace "AppleClang" "Clang"
substituteInPlace cmake/Functions.cmake \
@@ -194,7 +190,7 @@ qtModule {
# "-DQT_FEATURE_webengine_native_spellchecker=ON"
"-DQT_FEATURE_webengine_sanitizer=ON"
"-DQT_FEATURE_webengine_kerberos=ON"
- ] ++ lib.optionals stdenv.isLinux [
+ ] ++ lib.optionals stdenv.hostPlatform.isLinux [
"-DQT_FEATURE_webengine_system_libxml=ON"
"-DQT_FEATURE_webengine_webrtc_pipewire=ON"
@@ -203,7 +199,7 @@ qtModule {
"-DQT_FEATURE_webengine_system_icu=ON"
] ++ lib.optionals enableProprietaryCodecs [
"-DQT_FEATURE_webengine_proprietary_codecs=ON"
- ] ++ lib.optionals stdenv.isDarwin [
+ ] ++ lib.optionals stdenv.hostPlatform.isDarwin [
"-DCMAKE_OSX_DEPLOYMENT_TARGET=${stdenv.hostPlatform.darwinSdkVersion}"
];
@@ -236,7 +232,7 @@ qtModule {
libevent
ffmpeg_4
- ] ++ lib.optionals stdenv.isLinux [
+ ] ++ lib.optionals stdenv.hostPlatform.isLinux [
dbus
zlib
minizip
@@ -279,7 +275,7 @@ qtModule {
libkrb5
mesa
- ] ++ lib.optionals stdenv.isDarwin [
+ ] ++ lib.optionals stdenv.hostPlatform.isDarwin [
AGL
AVFoundation
Accelerate
@@ -306,7 +302,7 @@ qtModule {
buildInputs = [
cups
- ] ++ lib.optionals stdenv.isDarwin [
+ ] ++ lib.optionals stdenv.hostPlatform.isDarwin [
libpm
sandbox
];
diff --git a/pkgs/development/libraries/qt-6/modules/qtwebview.nix b/pkgs/development/libraries/qt-6/modules/qtwebview.nix
index e8ebea932f03..dfc2da1df555 100644
--- a/pkgs/development/libraries/qt-6/modules/qtwebview.nix
+++ b/pkgs/development/libraries/qt-6/modules/qtwebview.nix
@@ -9,6 +9,6 @@
qtModule {
pname = "qtwebview";
propagatedBuildInputs = [ qtdeclarative ]
- ++ lib.optionals (!stdenv.isDarwin) [ qtwebengine ]
- ++ lib.optionals stdenv.isDarwin [ WebKit ];
+ ++ lib.optionals (!stdenv.hostPlatform.isDarwin) [ qtwebengine ]
+ ++ lib.optionals stdenv.hostPlatform.isDarwin [ WebKit ];
}
diff --git a/pkgs/development/libraries/qt-6/patches/0002-qtbase-qmake-fix-mkspecs-for-darwin.patch b/pkgs/development/libraries/qt-6/patches/0002-qtbase-qmake-fix-mkspecs-for-darwin.patch
index d7b41691370e..6cdbec5abfc7 100644
--- a/pkgs/development/libraries/qt-6/patches/0002-qtbase-qmake-fix-mkspecs-for-darwin.patch
+++ b/pkgs/development/libraries/qt-6/patches/0002-qtbase-qmake-fix-mkspecs-for-darwin.patch
@@ -13,7 +13,7 @@ Subject: [PATCH 02/11] qtbase: qmake: fix mkspecs for darwin
6 files changed, 1 insertion(+), 415 deletions(-)
diff --git a/mkspecs/common/mac.conf b/mkspecs/common/mac.conf
-index 61bea952b22..9909dae7260 100644
+index 61bea952b2..9909dae726 100644
--- a/mkspecs/common/mac.conf
+++ b/mkspecs/common/mac.conf
@@ -23,7 +23,7 @@ QMAKE_INCDIR_OPENGL = \
@@ -26,7 +26,7 @@ index 61bea952b22..9909dae7260 100644
QMAKE_LFLAGS_REL_RPATH =
diff --git a/mkspecs/features/mac/default_post.prf b/mkspecs/features/mac/default_post.prf
-index f364716717c..3b40328304d 100644
+index 0b64a586b9..3b40328304 100644
--- a/mkspecs/features/mac/default_post.prf
+++ b/mkspecs/features/mac/default_post.prf
@@ -1,9 +1,5 @@
@@ -39,12 +39,13 @@ index f364716717c..3b40328304d 100644
contains(TEMPLATE, .*app) {
!macx-xcode:if(isEmpty(BUILDS)|build_pass) {
# Detect changes to the platform SDK
-@@ -15,269 +11,10 @@ contains(TEMPLATE, .*app) {
+@@ -15,270 +11,10 @@ contains(TEMPLATE, .*app) {
QMAKE_EXTRA_INCLUDES += $$shell_quote($$PWD/sdk.mk)
}
-
- # Detect incompatible SDK versions
+- # The CMake equivalent is in cmake/QtPublicAppleHelpers.cmake.
-
- isEmpty(QT_MAC_SDK_VERSION_MIN): \
- QT_MAC_SDK_VERSION_MIN = $$QT_MAC_SDK_VERSION
@@ -310,7 +311,7 @@ index f364716717c..3b40328304d 100644
generate_xcode_project.commands = @$(QMAKE) -spec macx-xcode \"$(EXPORT__PRO_FILE_)\" $$QMAKE_ARGS
generate_xcode_project.target = xcodeproj
diff --git a/mkspecs/features/mac/default_pre.prf b/mkspecs/features/mac/default_pre.prf
-index e3534561a56..3b01424e67b 100644
+index e3534561a5..3b01424e67 100644
--- a/mkspecs/features/mac/default_pre.prf
+++ b/mkspecs/features/mac/default_pre.prf
@@ -1,60 +1,2 @@
@@ -375,7 +376,7 @@ index e3534561a56..3b01424e67b 100644
-xcode_copy_phase_strip_setting.value = NO
-QMAKE_MAC_XCODE_SETTINGS += xcode_copy_phase_strip_setting
diff --git a/mkspecs/features/mac/sdk.mk b/mkspecs/features/mac/sdk.mk
-index a32ceacb6ce..e69de29bb2d 100644
+index a32ceacb6c..e69de29bb2 100644
--- a/mkspecs/features/mac/sdk.mk
+++ b/mkspecs/features/mac/sdk.mk
@@ -1,27 +0,0 @@
@@ -407,7 +408,7 @@ index a32ceacb6ce..e69de29bb2d 100644
- endif
-endif
diff --git a/mkspecs/features/mac/sdk.prf b/mkspecs/features/mac/sdk.prf
-index 3a9c2778bbe..e69de29bb2d 100644
+index 3a9c2778bb..e69de29bb2 100644
--- a/mkspecs/features/mac/sdk.prf
+++ b/mkspecs/features/mac/sdk.prf
@@ -1,61 +0,0 @@
@@ -473,7 +474,7 @@ index 3a9c2778bbe..e69de29bb2d 100644
- cache($$tool_variable, set stash, $$tool)
-}
diff --git a/mkspecs/features/mac/toolchain.prf b/mkspecs/features/mac/toolchain.prf
-index df191eb13c4..e69de29bb2d 100644
+index df191eb13c..e69de29bb2 100644
--- a/mkspecs/features/mac/toolchain.prf
+++ b/mkspecs/features/mac/toolchain.prf
@@ -1,5 +0,0 @@
@@ -482,6 +483,3 @@ index df191eb13c4..e69de29bb2d 100644
-sdk: load(sdk)
-
-load(toolchain)
---
-2.42.0
-
diff --git a/pkgs/development/libraries/qt-6/patches/0008-qtbase-allow-translations-outside-prefix.patch b/pkgs/development/libraries/qt-6/patches/0008-qtbase-allow-translations-outside-prefix.patch
index 40812599bb99..07f1973d7607 100644
--- a/pkgs/development/libraries/qt-6/patches/0008-qtbase-allow-translations-outside-prefix.patch
+++ b/pkgs/development/libraries/qt-6/patches/0008-qtbase-allow-translations-outside-prefix.patch
@@ -7,11 +7,11 @@ Subject: [PATCH 08/11] qtbase: allow translations outside prefix
cmake/QtBuild.cmake | 2 +-
1 file changed, 1 insertion(+), 1 deletion(-)
-diff --git a/cmake/QtBuild.cmake b/cmake/QtBuild.cmake
-index 1dc576d27af..4348eb97c37 100644
---- a/cmake/QtBuild.cmake
-+++ b/cmake/QtBuild.cmake
-@@ -30,7 +30,7 @@ function(qt_configure_process_path name default docstring)
+diff --git a/cmake/QtBuildPathsHelpers.cmake b/cmake/QtBuildPathsHelpers.cmake
+index edc43f2f14..78fa219515 100644
+--- a/cmake/QtBuildPathsHelpers.cmake
++++ b/cmake/QtBuildPathsHelpers.cmake
+@@ -134,7 +134,7 @@ function(qt_configure_process_path name default docstring)
set(rel_path ".")
elseif(rel_path MATCHES "^\.\./")
# INSTALL_SYSCONFDIR is allowed to be outside the prefix.
@@ -20,6 +20,3 @@ index 1dc576d27af..4348eb97c37 100644
message(FATAL_ERROR
"Path component '${name}' is outside computed install prefix: ${rel_path} ")
return()
---
-2.42.0
-
diff --git a/pkgs/development/libraries/qt-6/patches/0011-qtbase-derive-plugin-load-path-from-PATH.patch b/pkgs/development/libraries/qt-6/patches/0011-qtbase-derive-plugin-load-path-from-PATH.patch
index 22530f453536..cae39e879120 100644
--- a/pkgs/development/libraries/qt-6/patches/0011-qtbase-derive-plugin-load-path-from-PATH.patch
+++ b/pkgs/development/libraries/qt-6/patches/0011-qtbase-derive-plugin-load-path-from-PATH.patch
@@ -1,19 +1,19 @@
-From f0c4d3860b75cb064d066045907622d536044096 Mon Sep 17 00:00:00 2001
+From 6f0e6fe1e13ca5844a93d3b97111b7ece7e60f0f Mon Sep 17 00:00:00 2001
From: =?UTF-8?q?Milan=20P=C3=A4ssler?=
Date: Sun, 10 May 2020 12:47:28 +0200
Subject: [PATCH 11/11] qtbase: derive plugin load path from PATH
---
- src/corelib/kernel/qcoreapplication.cpp | 10 ++++++++++
- 1 file changed, 10 insertions(+)
+ src/corelib/kernel/qcoreapplication.cpp | 9 +++++++++
+ 1 file changed, 9 insertions(+)
diff --git a/src/corelib/kernel/qcoreapplication.cpp b/src/corelib/kernel/qcoreapplication.cpp
-index a80efbb5622..8cf9e85da43 100644
+index a80efbb5622..0d41dabeed3 100644
--- a/src/corelib/kernel/qcoreapplication.cpp
+++ b/src/corelib/kernel/qcoreapplication.cpp
-@@ -2991,6 +2991,16 @@ QStringList QCoreApplication::libraryPathsLocked()
- QStringList *app_libpaths = new QStringList;
- coreappdata()->app_libpaths.reset(app_libpaths);
+@@ -3032,6 +3032,15 @@ QStringList QCoreApplication::libraryPathsLocked()
+ app_libpaths->append(installPathPlugins);
+ }
+ // Add library paths derived from PATH
+ const QStringList paths = QFile::decodeName(qgetenv("PATH")).split(QStringLiteral(":"));
@@ -24,10 +24,9 @@ index a80efbb5622..8cf9e85da43 100644
+ }
+ }
+
-+
- auto setPathsFromEnv = [&](QString libPathEnv) {
- if (!libPathEnv.isEmpty()) {
- QStringList paths = libPathEnv.split(QDir::listSeparator(), Qt::SkipEmptyParts);
+ // If QCoreApplication is not yet instantiated,
+ // make sure we add the application path when we construct the QCoreApplication
+ if (self) self->d_func()->appendApplicationPathToLibraryPaths();
--
-2.42.0
+2.43.1
diff --git a/pkgs/development/libraries/qt-6/patches/qtwebengine-libxml-2.12.patch b/pkgs/development/libraries/qt-6/patches/qtwebengine-libxml-2.12.patch
deleted file mode 100644
index 3c3d59b488da..000000000000
--- a/pkgs/development/libraries/qt-6/patches/qtwebengine-libxml-2.12.patch
+++ /dev/null
@@ -1,29 +0,0 @@
---- a/src/3rdparty/chromium/third_party/blink/renderer/core/xml/xslt_processor.h
-+++ b/src/3rdparty/chromium/third_party/blink/renderer/core/xml/xslt_processor.h
-@@ -77,7 +77,12 @@ class XSLTProcessor final : public ScriptWrappable {
-
- void reset();
-
-+#if LIBXML_VERSION >= 21200
-+ static void ParseErrorFunc(void* user_data, const xmlError*);
-+#else
- static void ParseErrorFunc(void* user_data, xmlError*);
-+#endif
-+
- static void GenericErrorFunc(void* user_data, const char* msg, ...);
-
- // Only for libXSLT callbacks
---- a/src/3rdparty/chromium/third_party/blink/renderer/core/xml/xslt_processor_libxslt.cc
-+++ b/src/3rdparty/chromium/third_party/blink/renderer/core/xml/xslt_processor_libxslt.cc
-@@ -66,7 +66,11 @@ void XSLTProcessor::GenericErrorFunc(void*, const char*, ...) {
- // It would be nice to do something with this error message.
- }
-
-+#if LIBXML_VERSION >= 21200
-+void XSLTProcessor::ParseErrorFunc(void* user_data, const xmlError* error) {
-+#else
- void XSLTProcessor::ParseErrorFunc(void* user_data, xmlError* error) {
-+#endif
- FrameConsole* console = static_cast(user_data);
- if (!console)
- return;
diff --git a/pkgs/development/libraries/qt-6/qtModule.nix b/pkgs/development/libraries/qt-6/qtModule.nix
index 5434be84fa59..addcd53aea81 100644
--- a/pkgs/development/libraries/qt-6/qtModule.nix
+++ b/pkgs/development/libraries/qt-6/qtModule.nix
@@ -21,7 +21,7 @@ stdenv.mkDerivation (args // {
buildInputs = args.buildInputs or [ ];
nativeBuildInputs = (args.nativeBuildInputs or [ ]) ++ [ cmake ninja perl ]
- ++ lib.optionals stdenv.isDarwin [ moveBuildTree ];
+ ++ lib.optionals stdenv.hostPlatform.isDarwin [ moveBuildTree ];
propagatedBuildInputs =
(lib.warnIf (args ? qtInputs) "qt6.qtModule's qtInputs argument is deprecated" args.qtInputs or []) ++
(args.propagatedBuildInputs or []);
@@ -31,12 +31,15 @@ stdenv.mkDerivation (args // {
outputs = args.outputs or [ "out" "dev" ];
dontWrapQtApps = args.dontWrapQtApps or true;
-
- meta = with lib; {
+}) // {
+ meta = with lib; let
+ pos = builtins.unsafeGetAttrPos "pname" args;
+ in {
homepage = "https://www.qt.io/";
description = "A cross-platform application framework for C++";
license = with licenses; [ fdl13Plus gpl2Plus lgpl21Plus lgpl3Plus ];
maintainers = with maintainers; [ milahu nickcao ];
platforms = platforms.unix;
+ position = "${pos.file}:${toString pos.line}";
} // (args.meta or { });
-})
+}
diff --git a/pkgs/development/libraries/qt-6/srcs.nix b/pkgs/development/libraries/qt-6/srcs.nix
index 61b73c649dfa..5fef072f3990 100644
--- a/pkgs/development/libraries/qt-6/srcs.nix
+++ b/pkgs/development/libraries/qt-6/srcs.nix
@@ -1,318 +1,318 @@
# DO NOT EDIT! This file is generated automatically.
-# Command: ./maintainers/scripts/fetch-kde-qt.sh pkgs/development/libraries/qt-6
+# Command: ./maintainers/scripts/fetch-kde-qt.sh pkgs/development/libraries/qt-6/fetch.sh
{ fetchurl, mirror }:
{
qt3d = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qt3d-everywhere-src-6.6.1.tar.xz";
- sha256 = "0a9j8k1561hgsigpf3k5h9p788pab7lb38q7yrl1r9ql9zbsx17k";
- name = "qt3d-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qt3d-everywhere-src-6.6.2.tar.xz";
+ sha256 = "10l5ldw8g8m1ig3hh78pwg749xqf2gw9vsi8p67gbkanmipfqx4i";
+ name = "qt3d-everywhere-src-6.6.2.tar.xz";
};
};
qt5compat = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qt5compat-everywhere-src-6.6.1.tar.xz";
- sha256 = "1wn13filgwz9lh0jj7w8i9ma53vw4mbxj2c1421j65x4xnv1a78f";
- name = "qt5compat-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qt5compat-everywhere-src-6.6.2.tar.xz";
+ sha256 = "0rqr34lqf4mjdgjj09wzlvkxfknz8arjl9p30xpqbr2qfsmhhyz0";
+ name = "qt5compat-everywhere-src-6.6.2.tar.xz";
};
};
qtactiveqt = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qtactiveqt-everywhere-src-6.6.1.tar.xz";
- sha256 = "1v6g0hg5qfbvbvr9k5sn02l556c5mnnnak0bm1yrgqyw85qg2l4r";
- name = "qtactiveqt-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qtactiveqt-everywhere-src-6.6.2.tar.xz";
+ sha256 = "16vqb33s0dwxq1rrha81606fdwq1dz7az6mybgx18n7f081h3yl7";
+ name = "qtactiveqt-everywhere-src-6.6.2.tar.xz";
};
};
qtbase = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qtbase-everywhere-src-6.6.1.tar.xz";
- sha256 = "1xq2kpawq1f9qa3dzjcl1bl6h039807pykcm0znl1zmjfx35n325";
- name = "qtbase-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qtbase-everywhere-src-6.6.2.tar.xz";
+ sha256 = "0yv78bwqzy975854h53rbiilsms62f3v02i3jqz7v8ajk1ml56xq";
+ name = "qtbase-everywhere-src-6.6.2.tar.xz";
};
};
qtcharts = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qtcharts-everywhere-src-6.6.1.tar.xz";
- sha256 = "1dii5amdzpm65mq1yz7w1aql95yi0dshm06s62yf3dr68nlwlmhi";
- name = "qtcharts-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qtcharts-everywhere-src-6.6.2.tar.xz";
+ sha256 = "1x7m87lxbza4ynf6dq7yshann6003302a5fxih5l5d07xri64j5i";
+ name = "qtcharts-everywhere-src-6.6.2.tar.xz";
};
};
qtconnectivity = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qtconnectivity-everywhere-src-6.6.1.tar.xz";
- sha256 = "0i86iqjx8z6qymbmilrmr2d67piinwlr2pkcfj1zjks69538sijv";
- name = "qtconnectivity-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qtconnectivity-everywhere-src-6.6.2.tar.xz";
+ sha256 = "1dzsvs0hngrz6b66r9zb4al5a4r6xxfd29i8g3jqmvw3b0452vx3";
+ name = "qtconnectivity-everywhere-src-6.6.2.tar.xz";
};
};
qtdatavis3d = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qtdatavis3d-everywhere-src-6.6.1.tar.xz";
- sha256 = "18hvlz8l55jzhpp1ph1slj472l65pk3qdhmhib6gybi2iv6kpp5r";
- name = "qtdatavis3d-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qtdatavis3d-everywhere-src-6.6.2.tar.xz";
+ sha256 = "0iqw5afx8y29kjprn1hlz0zr0qwc9j0m7my75qf1av800hlnnjii";
+ name = "qtdatavis3d-everywhere-src-6.6.2.tar.xz";
};
};
qtdeclarative = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qtdeclarative-everywhere-src-6.6.1.tar.xz";
- sha256 = "0p4r12v9ih1l9cnbw0am878kjfpr3f6whkamx564cn36iqrxgzvy";
- name = "qtdeclarative-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qtdeclarative-everywhere-src-6.6.2.tar.xz";
+ sha256 = "0k6qndjvkkx3g8lr7f64xx86b3cwxzkgpl6fr6cp73s6qjkyk763";
+ name = "qtdeclarative-everywhere-src-6.6.2.tar.xz";
};
};
qtdoc = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qtdoc-everywhere-src-6.6.1.tar.xz";
- sha256 = "0ndh1if6886m9z9kc2aa02q135ar0rmy4vgln4rkr3lyx4jaajwl";
- name = "qtdoc-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qtdoc-everywhere-src-6.6.2.tar.xz";
+ sha256 = "0hvv40y2h7xa7wj2cqz2rrsvy1xf2l95199vmgx4q27wgmn1xixg";
+ name = "qtdoc-everywhere-src-6.6.2.tar.xz";
};
};
qtgraphs = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qtgraphs-everywhere-src-6.6.1.tar.xz";
- sha256 = "0xv4alb93rdqzbhhvvhg2miwjyax81pf9n4p5irlcg2xrw1qv5n8";
- name = "qtgraphs-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qtgraphs-everywhere-src-6.6.2.tar.xz";
+ sha256 = "19j9hdpxrclsdwqqblp4bk94zd2a5rvxnf548hm7r03npznjvb26";
+ name = "qtgraphs-everywhere-src-6.6.2.tar.xz";
};
};
qtgrpc = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qtgrpc-everywhere-src-6.6.1.tar.xz";
- sha256 = "1k7hv2f1s628rfls2klxvd0b2rb304pysbcvvqfrwkkv4ys4akhw";
- name = "qtgrpc-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qtgrpc-everywhere-src-6.6.2.tar.xz";
+ sha256 = "1flfm8j5vw2j6xzms1b470mbqyab1nrnj4z9s4mgwnbsp4m5p85w";
+ name = "qtgrpc-everywhere-src-6.6.2.tar.xz";
};
};
qthttpserver = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qthttpserver-everywhere-src-6.6.1.tar.xz";
- sha256 = "0k0jhgxfqq0l3jhrf5qyd38achvvv8x4zvx4jw0jl00m5zsv7zhv";
- name = "qthttpserver-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qthttpserver-everywhere-src-6.6.2.tar.xz";
+ sha256 = "1qzw96y20qr1kc9wmys61wm568jsknvlgvh09bbqjcmm6dm3lhd2";
+ name = "qthttpserver-everywhere-src-6.6.2.tar.xz";
};
};
qtimageformats = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qtimageformats-everywhere-src-6.6.1.tar.xz";
- sha256 = "13qqj8251l9885mcaafg6plxcza4vd7sdkv2wrdkfbh7a24x0kmc";
- name = "qtimageformats-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qtimageformats-everywhere-src-6.6.2.tar.xz";
+ sha256 = "1cvwm0hnspglydms6qhcp5g0ayz5pamigl52kz8km66l6s8lqn3i";
+ name = "qtimageformats-everywhere-src-6.6.2.tar.xz";
};
};
qtlanguageserver = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qtlanguageserver-everywhere-src-6.6.1.tar.xz";
- sha256 = "0vrywwjg5d2fx2kpjxmi6cm8vffpf0zg63zi3n9dz2d90db1yxmh";
- name = "qtlanguageserver-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qtlanguageserver-everywhere-src-6.6.2.tar.xz";
+ sha256 = "1bgazi44mwac20biybhp21icgwa8k7jd295j8jsfgzxbw12lq7y3";
+ name = "qtlanguageserver-everywhere-src-6.6.2.tar.xz";
};
};
qtlocation = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qtlocation-everywhere-src-6.6.1.tar.xz";
- sha256 = "0acwkwcr5dixhwhd102kmh5yq4y3wk1kddfdb8ychy3jwdi2pgld";
- name = "qtlocation-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qtlocation-everywhere-src-6.6.2.tar.xz";
+ sha256 = "05glwmasg0rlhybzpb640iibcs6gyrqbs7h1ws4b5vgcmzzdq9cy";
+ name = "qtlocation-everywhere-src-6.6.2.tar.xz";
};
};
qtlottie = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qtlottie-everywhere-src-6.6.1.tar.xz";
- sha256 = "1j4zl2yz9pybh21wscfr56pahfrn4fnkvxdhkz03d2gpcj9hbjs9";
- name = "qtlottie-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qtlottie-everywhere-src-6.6.2.tar.xz";
+ sha256 = "1hqhp55jfasavk7p8xb0srbc6lnk70w2q0x4iwn28z5s5kd1cvi7";
+ name = "qtlottie-everywhere-src-6.6.2.tar.xz";
};
};
qtmultimedia = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qtmultimedia-everywhere-src-6.6.1.tar.xz";
- sha256 = "0jnvc09msjqr2zbyjj7fgilf7zg3sdldbppnj8b9c52pdwly5r3y";
- name = "qtmultimedia-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qtmultimedia-everywhere-src-6.6.2.tar.xz";
+ sha256 = "1v0430jnv97ws6cizn9mi8zr9hcg7rixd0jg7smhdq8apacjb572";
+ name = "qtmultimedia-everywhere-src-6.6.2.tar.xz";
};
};
qtnetworkauth = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qtnetworkauth-everywhere-src-6.6.1.tar.xz";
- sha256 = "0j8dq10wq6y02cz4lkqw60nqi600qr9ssb36n74mywr2bfa12gk9";
- name = "qtnetworkauth-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qtnetworkauth-everywhere-src-6.6.2.tar.xz";
+ sha256 = "1lijsdwbj8gscfllmp358n5ysa8pvhx2msh7gpxvb4x81daxbg9j";
+ name = "qtnetworkauth-everywhere-src-6.6.2.tar.xz";
};
};
qtpositioning = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qtpositioning-everywhere-src-6.6.1.tar.xz";
- sha256 = "1f0n721k4w6jiva8hhgpd29im2h5vsd2ypfbk1j53f0j7czwgnix";
- name = "qtpositioning-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qtpositioning-everywhere-src-6.6.2.tar.xz";
+ sha256 = "1qn31vps9dj4g8m7d195qlsyj3p4dfqqszdc6yqq097dq5y5d9sd";
+ name = "qtpositioning-everywhere-src-6.6.2.tar.xz";
};
};
qtquick3d = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qtquick3d-everywhere-src-6.6.1.tar.xz";
- sha256 = "08l4rsw7v0xvdmpm80wpxy74798j70r37853hdgipmi34bp0058m";
- name = "qtquick3d-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qtquick3d-everywhere-src-6.6.2.tar.xz";
+ sha256 = "0f1sp7d1jzdzaxqs2l2yjprp0axcqbg2w82dza7wl4paan4rzp7w";
+ name = "qtquick3d-everywhere-src-6.6.2.tar.xz";
};
};
qtquick3dphysics = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qtquick3dphysics-everywhere-src-6.6.1.tar.xz";
- sha256 = "0np14lkvc3y0y896m9f754pfi83k5jnmg5i76kgfc7bvipsvbiic";
- name = "qtquick3dphysics-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qtquick3dphysics-everywhere-src-6.6.2.tar.xz";
+ sha256 = "10209x9hbr5bc4vlhhcvvfsmsn2h3dyb4rlg0f0gpllx68mr58ac";
+ name = "qtquick3dphysics-everywhere-src-6.6.2.tar.xz";
};
};
qtquickeffectmaker = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qtquickeffectmaker-everywhere-src-6.6.1.tar.xz";
- sha256 = "0lr6vms6vrmaki4ssmclsxi8xp3qnysgygqgn83vg727qx9hj65c";
- name = "qtquickeffectmaker-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qtquickeffectmaker-everywhere-src-6.6.2.tar.xz";
+ sha256 = "0lywm71wp943dk3w8zkklyxfk97w48v670zs6pc4pj4ja0ns37q7";
+ name = "qtquickeffectmaker-everywhere-src-6.6.2.tar.xz";
};
};
qtquicktimeline = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qtquicktimeline-everywhere-src-6.6.1.tar.xz";
- sha256 = "0s71zycq3l9px8hig8g229ln91h9czhxvvbj6zmmnhkx694gaq1q";
- name = "qtquicktimeline-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qtquicktimeline-everywhere-src-6.6.2.tar.xz";
+ sha256 = "06cr9p0hrq77ckqslxh0h3lpyw31fblyap1plcyyj8ssr1rm4klc";
+ name = "qtquicktimeline-everywhere-src-6.6.2.tar.xz";
};
};
qtremoteobjects = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qtremoteobjects-everywhere-src-6.6.1.tar.xz";
- sha256 = "16cmzc3cssfvqhvhc7lphbha00mdb1qykk877shgrh4bzyc5i7mq";
- name = "qtremoteobjects-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qtremoteobjects-everywhere-src-6.6.2.tar.xz";
+ sha256 = "0fbkjzykxpkz8myr6dy588gcmhyy3lar17v78zfam8kyxq7s5qxa";
+ name = "qtremoteobjects-everywhere-src-6.6.2.tar.xz";
};
};
qtscxml = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qtscxml-everywhere-src-6.6.1.tar.xz";
- sha256 = "15q8vlhd9yz33bdhm7md426a33px4dg8sa14ckirk4rryixcajw7";
- name = "qtscxml-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qtscxml-everywhere-src-6.6.2.tar.xz";
+ sha256 = "0gm4805570ds3jmkbwrjigbg93zc561bd5rc52r71042zzq84j89";
+ name = "qtscxml-everywhere-src-6.6.2.tar.xz";
};
};
qtsensors = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qtsensors-everywhere-src-6.6.1.tar.xz";
- sha256 = "1lwr6xw4flzcqvb017wl9g8p5yamf0z4zqx2wp4rmhrgbj0yw4xx";
- name = "qtsensors-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qtsensors-everywhere-src-6.6.2.tar.xz";
+ sha256 = "0a3w50bfnmxndyxnn9lsy1wxffhm2am0yjxqx3vx0gfjwv79yvsa";
+ name = "qtsensors-everywhere-src-6.6.2.tar.xz";
};
};
qtserialbus = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qtserialbus-everywhere-src-6.6.1.tar.xz";
- sha256 = "1b7pkvs131vqls4bahqkwgnbrnb8pcrnii47ww2c589h1dimw52w";
- name = "qtserialbus-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qtserialbus-everywhere-src-6.6.2.tar.xz";
+ sha256 = "0g7sx81lrb5r2ipinnghq4iss6clkwbzjb0ck4ay6hmpw54smzww";
+ name = "qtserialbus-everywhere-src-6.6.2.tar.xz";
};
};
qtserialport = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qtserialport-everywhere-src-6.6.1.tar.xz";
- sha256 = "1n5fsb3ayn1xnf1s5l7f6j1nm2pcdjywy382qr451b5wbhyj7z4n";
- name = "qtserialport-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qtserialport-everywhere-src-6.6.2.tar.xz";
+ sha256 = "16j5fprmdzzc1snnj5184ihq5avg1s0jrqqcjk70dvmimsf0q7ms";
+ name = "qtserialport-everywhere-src-6.6.2.tar.xz";
};
};
qtshadertools = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qtshadertools-everywhere-src-6.6.1.tar.xz";
- sha256 = "1fvkbrw6gy8v2ql6qw1ra08wl6z64w34b9d886794m29ypj8ycq8";
- name = "qtshadertools-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qtshadertools-everywhere-src-6.6.2.tar.xz";
+ sha256 = "0bxrczs9nw6az2p4n8x0f660vsmxxynx4iqgj75l4zsfzzbym2v2";
+ name = "qtshadertools-everywhere-src-6.6.2.tar.xz";
};
};
qtspeech = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qtspeech-everywhere-src-6.6.1.tar.xz";
- sha256 = "16aqjaf8c64l6qg0kz5hla6q2r7k9lryad7jy8jwyi2ir5921352";
- name = "qtspeech-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qtspeech-everywhere-src-6.6.2.tar.xz";
+ sha256 = "1qvf3p2p1pc5fw40d8zq0iawaaqkc0dp5yx85b1dnw1j809bn8y0";
+ name = "qtspeech-everywhere-src-6.6.2.tar.xz";
};
};
qtsvg = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qtsvg-everywhere-src-6.6.1.tar.xz";
- sha256 = "0a4jw02v50fzbnrqnldz9djzn37rric06lrg2vrkqikas9bfp394";
- name = "qtsvg-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qtsvg-everywhere-src-6.6.2.tar.xz";
+ sha256 = "10c1dmbv5d39n1q4m67gf2h4n6wfkzrlyk8plnxbyhhvxxcis8ss";
+ name = "qtsvg-everywhere-src-6.6.2.tar.xz";
};
};
qttools = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qttools-everywhere-src-6.6.1.tar.xz";
- sha256 = "0jliy2pz6czjw0ircd8h37a5prinm1a8dvnawwclxas5fdd10fa9";
- name = "qttools-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qttools-everywhere-src-6.6.2.tar.xz";
+ sha256 = "0ij7djy06xi4v5v29fh31gqq5rnc12vviv3qg3vqf4hiaagrxm76";
+ name = "qttools-everywhere-src-6.6.2.tar.xz";
};
};
qttranslations = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qttranslations-everywhere-src-6.6.1.tar.xz";
- sha256 = "127f40wjm1q9clp2dj7vgyvv7nazb5c23akwgsr50wdd4bl051v6";
- name = "qttranslations-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qttranslations-everywhere-src-6.6.2.tar.xz";
+ sha256 = "0xqcad8aa9lp6wzh1rs46id6r60zdw82qj3bq9k2b89sxy8c0fna";
+ name = "qttranslations-everywhere-src-6.6.2.tar.xz";
};
};
qtvirtualkeyboard = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qtvirtualkeyboard-everywhere-src-6.6.1.tar.xz";
- sha256 = "1akvip4h86r5j898w1yx0mnfgc78b1yqfygk8h25z613vqvdwg4r";
- name = "qtvirtualkeyboard-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qtvirtualkeyboard-everywhere-src-6.6.2.tar.xz";
+ sha256 = "07nqds49g2x748jsk17cnd2ph81165xnzn70jwxd0gpbi3dzshk1";
+ name = "qtvirtualkeyboard-everywhere-src-6.6.2.tar.xz";
};
};
qtwayland = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qtwayland-everywhere-src-6.6.1.tar.xz";
- sha256 = "1cb8amr9kmr4gdnyi1mzriv34xf1nx47y91m9v6cczy05mijvk36";
- name = "qtwayland-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qtwayland-everywhere-src-6.6.2.tar.xz";
+ sha256 = "0y6x84ckcc53ddclnrlzs08b1kvw6saw9nim0hz4wc5fyz7dbkcv";
+ name = "qtwayland-everywhere-src-6.6.2.tar.xz";
};
};
qtwebchannel = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qtwebchannel-everywhere-src-6.6.1.tar.xz";
- sha256 = "0hz5j6gpj4m74j74skj0lrjqmp30ns5s240gr6rrinisaz6qfq7i";
- name = "qtwebchannel-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qtwebchannel-everywhere-src-6.6.2.tar.xz";
+ sha256 = "1incvisc3j758b4k82vnwci8j1bba8zf6xgmgcrsm553k4wpsz1x";
+ name = "qtwebchannel-everywhere-src-6.6.2.tar.xz";
};
};
qtwebengine = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qtwebengine-everywhere-src-6.6.1.tar.xz";
- sha256 = "149nwwnarkiiz2vrgydz99agfc0z08lrnm4hr8ln1mjb44la4vks";
- name = "qtwebengine-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qtwebengine-everywhere-src-6.6.2.tar.xz";
+ sha256 = "15h3hniszfkxv2vnn3fnbgbar8wb41ypgn4b4iz4iy6csar8f7fn";
+ name = "qtwebengine-everywhere-src-6.6.2.tar.xz";
};
};
qtwebsockets = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qtwebsockets-everywhere-src-6.6.1.tar.xz";
- sha256 = "0hq6gg67x84fb6asfgx5jclvv1nqhr4gdr84cl27xn3nk0s18xbq";
- name = "qtwebsockets-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qtwebsockets-everywhere-src-6.6.2.tar.xz";
+ sha256 = "1y9q8jmspxbfxf07jdcg4n8zwmchccyzp0z68fxr0hnvr2dymrn0";
+ name = "qtwebsockets-everywhere-src-6.6.2.tar.xz";
};
};
qtwebview = {
- version = "6.6.1";
+ version = "6.6.2";
src = fetchurl {
- url = "${mirror}/official_releases/qt/6.6/6.6.1/submodules/qtwebview-everywhere-src-6.6.1.tar.xz";
- sha256 = "0v1598ycj1rgphb00r3mwkij8yjw26g0d73w2ijf8fp97fiippnn";
- name = "qtwebview-everywhere-src-6.6.1.tar.xz";
+ url = "${mirror}/official_releases/qt/6.6/6.6.2/submodules/qtwebview-everywhere-src-6.6.2.tar.xz";
+ sha256 = "0z3p1g26yg3dr3hhavwd5wz9b8yi838xj4s57068wykd80v145wb";
+ name = "qtwebview-everywhere-src-6.6.2.tar.xz";
};
};
}
diff --git a/pkgs/development/libraries/qtutilities/default.nix b/pkgs/development/libraries/qtutilities/default.nix
index 797e9fb891b6..8d385afc148d 100644
--- a/pkgs/development/libraries/qtutilities/default.nix
+++ b/pkgs/development/libraries/qtutilities/default.nix
@@ -9,13 +9,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "qtutilities";
- version = "6.13.4";
+ version = "6.13.5";
src = fetchFromGitHub {
owner = "Martchus";
repo = "qtutilities";
rev = "v${finalAttrs.version}";
- hash = "sha256-AlDPu2mD2OrjBq3tUxQBAoqD32L9MiSjcUNGWzpj/xc=";
+ hash = "sha256-ZPfyJAQHtE5ae/X9f8s/69UNiB4CnyACPLvYp8RgpKg=";
};
nativeBuildInputs = [
diff --git a/pkgs/development/libraries/quarto/default.nix b/pkgs/development/libraries/quarto/default.nix
index 47cfae648294..09a44adbe561 100644
--- a/pkgs/development/libraries/quarto/default.nix
+++ b/pkgs/development/libraries/quarto/default.nix
@@ -1,6 +1,7 @@
{ stdenv
, lib
, pandoc
+, typst
, esbuild
, deno
, fetchurl
@@ -18,35 +19,31 @@
stdenv.mkDerivation (final: {
pname = "quarto";
- version = "1.3.450";
+ version = "1.4.551";
src = fetchurl {
url = "https://github.com/quarto-dev/quarto-cli/releases/download/v${final.version}/quarto-${final.version}-linux-amd64.tar.gz";
- sha256 = "sha256-bcj7SzEGfQxsw9P8WkcLrKurPupzwpgIGtxoE3KVwAU=";
+ sha256 = "sha256-RUnlLjJOf8hSj7aRCrmDSXFeNHCXnMY/bdbE3fbbThQ=";
};
nativeBuildInputs = [
makeWrapper
];
- patches = [
- ./fix-deno-path.patch
- ];
-
postPatch = ''
# Compat for Deno >=1.26
substituteInPlace bin/quarto.js \
- --replace 'Deno.setRaw(stdin.rid, ' 'Deno.stdin.setRaw(' \
- --replace 'Deno.setRaw(Deno.stdin.rid, ' 'Deno.stdin.setRaw('
+ --replace-fail ']))?.trim();' ']))?.trim().split(" ")[0];'
'';
dontStrip = true;
preFixup = ''
wrapProgram $out/bin/quarto \
- --prefix PATH : ${lib.makeBinPath [ deno ]} \
- --prefix QUARTO_PANDOC : ${pandoc}/bin/pandoc \
- --prefix QUARTO_ESBUILD : ${esbuild}/bin/esbuild \
- --prefix QUARTO_DART_SASS : ${dart-sass}/bin/dart-sass \
+ --prefix QUARTO_DENO : ${lib.getExe deno} \
+ --prefix QUARTO_PANDOC : ${lib.getExe pandoc} \
+ --prefix QUARTO_ESBUILD : ${lib.getExe esbuild} \
+ --prefix QUARTO_DART_SASS : ${lib.getExe dart-sass} \
+ --prefix QUARTO_TYPST : ${lib.getExe typst} \
${lib.optionalString (rWrapper != null) "--prefix QUARTO_R : ${rWrapper.override { packages = [ rPackages.rmarkdown ] ++ extraRPackages; }}/bin/R"} \
${lib.optionalString (python3 != null) "--prefix QUARTO_PYTHON : ${python3.withPackages (ps: with ps; [ jupyter ipython ] ++ (extraPythonPackages ps))}/bin/python3"}
'';
@@ -76,6 +73,7 @@ stdenv.mkDerivation (final: {
meta = with lib; {
description = "Open-source scientific and technical publishing system built on Pandoc";
+ mainProgram = "quarto";
longDescription = ''
Quarto is an open-source scientific and technical publishing system built on Pandoc.
Quarto documents are authored using markdown, an easy to write plain text format.
diff --git a/pkgs/development/libraries/quarto/fix-deno-path.patch b/pkgs/development/libraries/quarto/fix-deno-path.patch
deleted file mode 100644
index 895419712ad8..000000000000
--- a/pkgs/development/libraries/quarto/fix-deno-path.patch
+++ /dev/null
@@ -1,8 +0,0 @@
---- a/bin/quarto
-+++ b/bin/quarto
-@@ -125,4 +125,4 @@ fi
- # Be sure to include any already defined QUARTO_DENO_OPTIONS
- QUARTO_DENO_OPTIONS="--unstable --no-config --cached-only --allow-read --allow-write --allow-run --allow-env --allow-net --allow-ffi ${QUARTO_DENO_OPTIONS}"
-
--"${QUARTO_DENO}" ${QUARTO_ACTION} ${QUARTO_DENO_OPTIONS} ${QUARTO_DENO_EXTRA_OPTIONS} "${QUARTO_IMPORT_ARGMAP}" "${QUARTO_TARGET}" "$@"
-+deno ${QUARTO_ACTION} ${QUARTO_DENO_OPTIONS} ${QUARTO_DENO_EXTRA_OPTIONS} "${QUARTO_IMPORT_ARGMAP}" "${QUARTO_TARGET}" "$@"
diff --git a/pkgs/development/libraries/quickflux/default.nix b/pkgs/development/libraries/quickflux/default.nix
new file mode 100644
index 000000000000..9f56e6793191
--- /dev/null
+++ b/pkgs/development/libraries/quickflux/default.nix
@@ -0,0 +1,64 @@
+{ stdenv
+, lib
+, fetchFromGitHub
+, cmake
+, qtbase
+, qtquickcontrols2
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "quickflux";
+ version = "1.1-unstable-2020-11-10";
+
+ src = fetchFromGitHub {
+ owner = "benlau";
+ repo = "quickflux";
+ rev = "2a37acff0416c56cb349e5bc1b841b25ff1bb6f8";
+ hash = "sha256-c0W3Qj8kY6hMcMy/v5xhwJF9+muZjotmJqhbjqQVab0=";
+ };
+
+ outputs = [
+ "out"
+ "dev"
+ ];
+
+ postPatch = ''
+ # Don't hardcode static linking, let stdenv decide
+ # Use GNUInstallDirs
+ substituteInPlace CMakeLists.txt \
+ --replace-fail 'quickflux STATIC' 'quickflux' \
+ --replace-fail 'DESTINATION include' 'DESTINATION ''${CMAKE_INSTALL_INCLUDEDIR}'
+ '';
+
+ strictDeps = true;
+
+ nativeBuildInputs = [
+ cmake
+ ];
+
+ buildInputs = [
+ qtbase
+ qtquickcontrols2
+ ];
+
+ # Only a QML module
+ dontWrapQtApps = true;
+
+ cmakeFlags = [
+ (lib.cmakeBool "BUILD_SHARED_LIBS" (!stdenv.hostPlatform.isStatic))
+ ];
+
+ preFixup = ''
+ # Has extra $out in includes list, breaks usage of module (complains about non-existent path in module includes)
+ substituteInPlace $out/lib/cmake/QuickFlux/QuickFluxTargets.cmake \
+ --replace "\''${_IMPORT_PREFIX}/include" '${placeholder "dev"}/include'
+ '';
+
+ meta = with lib; {
+ description = "A Flux implementation for QML";
+ homepage = "https://github.com/benlau/quickflux";
+ license = licenses.asl20;
+ maintainers = with maintainers; [ OPNA2608 ];
+ platforms = platforms.unix;
+ };
+})
diff --git a/pkgs/development/libraries/rapidfuzz-cpp/default.nix b/pkgs/development/libraries/rapidfuzz-cpp/default.nix
index f24da2c899f1..ab0a5edb7d42 100644
--- a/pkgs/development/libraries/rapidfuzz-cpp/default.nix
+++ b/pkgs/development/libraries/rapidfuzz-cpp/default.nix
@@ -8,13 +8,13 @@
stdenv.mkDerivation (finalAttrs: {
pname = "rapidfuzz-cpp";
- version = "3.0.0";
+ version = "3.0.2";
src = fetchFromGitHub {
- owner = "maxbachmann";
+ owner = "rapidfuzz";
repo = "rapidfuzz-cpp";
rev = "v${finalAttrs.version}";
- hash = "sha256-N9yGOxlk1+wgRXWLbDIXWQz+/pwbnYVs3ub4/16Nzws=";
+ hash = "sha256-4J2j+/0ZVMNlrgLbEQk3me/EX07TZ/rLsT1/5ufxbic=";
};
nativeBuildInputs = [
@@ -43,8 +43,8 @@ stdenv.mkDerivation (finalAttrs: {
meta = {
description = "Rapid fuzzy string matching in C++ using the Levenshtein Distance";
- homepage = "https://github.com/maxbachmann/rapidfuzz-cpp";
- changelog = "https://github.com/maxbachmann/rapidfuzz-cpp/blob/${finalAttrs.src.rev}/CHANGELOG.md";
+ homepage = "https://github.com/rapidfuzz/rapidfuzz-cpp";
+ changelog = "https://github.com/rapidfuzz/rapidfuzz-cpp/blob/${finalAttrs.src.rev}/CHANGELOG.md";
license = lib.licenses.mit;
maintainers = with lib.maintainers; [ dotlambda ];
platforms = lib.platforms.unix;
diff --git a/pkgs/development/libraries/rapidjson/default.nix b/pkgs/development/libraries/rapidjson/default.nix
index b200193aa211..edd427da725d 100644
--- a/pkgs/development/libraries/rapidjson/default.nix
+++ b/pkgs/development/libraries/rapidjson/default.nix
@@ -43,7 +43,14 @@ stdenv.mkDerivation rec {
# for tests, adding gtest to checkInputs does not work
# https://github.com/NixOS/nixpkgs/pull/212200
buildInputs = [ gtest ];
- cmakeFlags = [ "-DGTEST_SOURCE_DIR=${gtest.dev}/include" ];
+ cmakeFlags = [
+ "-DGTEST_SOURCE_DIR=${gtest.dev}/include"
+
+ # Build rapidjson with std=c++17 so gtest 1.13.0+ works
+ # https://github.com/NixOS/nixpkgs/pull/282245#issuecomment-1951796902
+ "-DRAPIDJSON_BUILD_CXX11=OFF"
+ "-DRAPIDJSON_BUILD_CXX17=ON"
+ ];
nativeCheckInputs = [ valgrind ];
doCheck = !stdenv.hostPlatform.isStatic && !stdenv.isDarwin;
diff --git a/pkgs/development/libraries/rapidjson/unstable.nix b/pkgs/development/libraries/rapidjson/unstable.nix
index 0f4c3d40403e..fd7ffe61ba39 100644
--- a/pkgs/development/libraries/rapidjson/unstable.nix
+++ b/pkgs/development/libraries/rapidjson/unstable.nix
@@ -6,8 +6,6 @@
, graphviz
, gtest
, valgrind
-# One of "11" or "17"; default in source is CXX 11
-, cxxStandard ? "11"
, buildDocs ? true
, buildTests ? !stdenv.hostPlatform.isStatic && !stdenv.isDarwin
, buildExamples ? true
@@ -49,8 +47,9 @@ stdenv.mkDerivation (finalAttrs: {
(lib.cmakeBool "RAPIDJSON_BUILD_DOC" buildDocs)
(lib.cmakeBool "RAPIDJSON_BUILD_TESTS" buildTests)
(lib.cmakeBool "RAPIDJSON_BUILD_EXAMPLES" buildExamples)
- (lib.cmakeBool "RAPIDJSON_BUILD_CXX11" (cxxStandard == "11"))
- (lib.cmakeBool "RAPIDJSON_BUILD_CXX17" (cxxStandard == "17"))
+ # gtest 1.13+ requires C++14 or later.
+ (lib.cmakeBool "RAPIDJSON_BUILD_CXX11" false)
+ (lib.cmakeBool "RAPIDJSON_BUILD_CXX17" true)
] ++ lib.optionals buildTests [
(lib.cmakeFeature "GTEST_INCLUDE_DIR" "${lib.getDev gtest}")
];
@@ -77,6 +76,5 @@ stdenv.mkDerivation (finalAttrs: {
license = licenses.mit;
platforms = platforms.unix;
maintainers = with maintainers; [ Madouura ];
- broken = (cxxStandard != "11" && cxxStandard != "17");
};
})
diff --git a/pkgs/development/libraries/re2/default.nix b/pkgs/development/libraries/re2/default.nix
index a1a22fb38e42..d1223811c686 100644
--- a/pkgs/development/libraries/re2/default.nix
+++ b/pkgs/development/libraries/re2/default.nix
@@ -13,13 +13,13 @@
stdenv.mkDerivation rec {
pname = "re2";
- version = "2023-11-01";
+ version = "2024-03-01";
src = fetchFromGitHub {
owner = "google";
repo = "re2";
rev = version;
- hash = "sha256-cKXe8r5MUag/z+seem4Zg/gmqIQjaCY7DBxiKlrnXPs=";
+ hash = "sha256-VuI9OsfGTdVywdyVfBrSzXSjbSfevne+YQ2oOz4R3DM=";
};
outputs = [ "out" "dev" ];
diff --git a/pkgs/development/libraries/readline/6.3.nix b/pkgs/development/libraries/readline/6.3.nix
deleted file mode 100644
index aff16c3e4184..000000000000
--- a/pkgs/development/libraries/readline/6.3.nix
+++ /dev/null
@@ -1,68 +0,0 @@
-{ fetchurl, lib, stdenv, ncurses }:
-
-stdenv.mkDerivation {
- pname = "readline";
- version = "6.3p08";
-
- src = fetchurl {
- url = "mirror://gnu/readline/readline-6.3.tar.gz";
- sha256 = "0hzxr9jxqqx5sxsv9vmlxdnvlr9vi4ih1avjb869hbs6p5qn1fjn";
- };
-
- outputs = [ "out" "dev" "man" "doc" "info" ];
-
- strictDeps = true;
- propagatedBuildInputs = [ ncurses ];
-
- patchFlags = [ "-p0" ];
-
- configureFlags = lib.optional (stdenv.hostPlatform != stdenv.buildPlatform)
- # This test requires running host code
- "bash_cv_wcwidth_broken=no";
-
- patches =
- [ ./link-against-ncurses.patch
- ./no-arch_only-6.3.patch
- ] ++ lib.optional stdenv.hostPlatform.useAndroidPrebuilt ./android.patch
- ++
- (let
- patch = nr: sha256:
- fetchurl {
- url = "mirror://gnu/readline/readline-6.3-patches/readline63-${nr}";
- inherit sha256;
- };
- in
- import ./readline-6.3-patches.nix patch);
-
- env = lib.optionalAttrs stdenv.cc.isClang {
- NIX_CFLAGS_COMPILE = "-Wno-error=implicit-function-declaration";
- };
-
- meta = with lib; {
- description = "Library for interactive line editing";
-
- longDescription = ''
- The GNU Readline library provides a set of functions for use by
- applications that allow users to edit command lines as they are
- typed in. Both Emacs and vi editing modes are available. The
- Readline library includes additional functions to maintain a
- list of previously-entered command lines, to recall and perhaps
- reedit those lines, and perform csh-like history expansion on
- previous commands.
-
- The history facilities are also placed into a separate library,
- the History library, as part of the build process. The History
- library may be used without Readline in applications which
- desire its capabilities.
- '';
-
- homepage = "https://savannah.gnu.org/projects/readline/";
-
- license = licenses.gpl3Plus;
-
- maintainers = [ ];
-
- platforms = platforms.unix;
- branch = "6.3";
- };
-}
diff --git a/pkgs/development/libraries/readline/android.patch b/pkgs/development/libraries/readline/android.patch
deleted file mode 100644
index 7e81774be369..000000000000
--- a/pkgs/development/libraries/readline/android.patch
+++ /dev/null
@@ -1,16 +0,0 @@
-diff --git histlib.h histlib.h
-index c938a10..925ab72 100644
---- histlib.h
-+++ histlib.h
-@@ -51,9 +51,9 @@
- #endif
-
- #ifndef member
--# ifndef strchr
-+# if !defined (strchr) && !defined (__STDC__)
- extern char *strchr ();
--# endif
-+# endif /* !strchr && !__STDC__ */
- #define member(c, s) ((c) ? ((char *)strchr ((s), (c)) != (char *)NULL) : 0)
- #endif
-
diff --git a/pkgs/development/libraries/readline/readline-6.3-patches.nix b/pkgs/development/libraries/readline/readline-6.3-patches.nix
deleted file mode 100644
index d0aaaf38f706..000000000000
--- a/pkgs/development/libraries/readline/readline-6.3-patches.nix
+++ /dev/null
@@ -1,12 +0,0 @@
-# Automatically generated by `update-patch-set.sh'; do not edit.
-
-patch: [
-(patch "001" "0vqlj22mkbn3x42qx2iqir7capx462dhagbzdw6hwxgfxavbny8s")
-(patch "002" "19g0l6vlfcqzwfwjj1slkmxzndjp4543hwrf26g8z216lp3h9qrr")
-(patch "003" "0bx53k876w8vwf4h2s6brr1i46ym87gi71bh8zl89n0gn3cbshgc")
-(patch "004" "1k2m8dg1awmjhmivdbx1c25866gfbpg0fy4845n8cw15zc3bjis5")
-(patch "005" "0jr7c28bzn882as5i54l53bhi723s1nkvzmwlh3rj6ld4bwqhxw7")
-(patch "006" "0mp5zgx50792gigkmjap3d0zpdv5qanii8djab7j6z69qsrpl8sw")
-(patch "007" "1sjv9w0mglh395i6hlq3ck7wdxvi2wyddlyb2j0jwg7cmnibayad")
-(patch "008" "11rpqhsxd132gc8455v51ma3a5zshznb0mh2p0zc5skcab7r7h1v")
-]
diff --git a/pkgs/development/libraries/recastnavigation/default.nix b/pkgs/development/libraries/recastnavigation/default.nix
index 8e0fe7947f44..b4119f1133f5 100644
--- a/pkgs/development/libraries/recastnavigation/default.nix
+++ b/pkgs/development/libraries/recastnavigation/default.nix
@@ -36,6 +36,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/recastnavigation/recastnavigation";
description = "Navigation-mesh Toolset for Games";
+ mainProgram = "RecastDemo";
license = licenses.zlib;
maintainers = with maintainers; [ marius851000 ];
platforms = platforms.all;
diff --git a/pkgs/development/libraries/retro-gtk/default.nix b/pkgs/development/libraries/retro-gtk/default.nix
index 7711dde497f0..1323bd98a43c 100644
--- a/pkgs/development/libraries/retro-gtk/default.nix
+++ b/pkgs/development/libraries/retro-gtk/default.nix
@@ -54,6 +54,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "The GTK Libretro frontend framework";
+ mainProgram = "retro-demo";
longDescription = ''
Libretro is a plugin format design to implement video game
console emulators, video games and similar multimedia
diff --git a/pkgs/development/libraries/rlottie-qml/default.nix b/pkgs/development/libraries/rlottie-qml/default.nix
new file mode 100644
index 000000000000..5154c816624e
--- /dev/null
+++ b/pkgs/development/libraries/rlottie-qml/default.nix
@@ -0,0 +1,73 @@
+{ stdenv
+, lib
+, fetchFromGitLab
+, fetchpatch
+, cmake
+, qtbase
+, qtdeclarative
+, qtmultimedia
+, quazip
+, rlottie
+}:
+
+stdenv.mkDerivation (finalAttrs: {
+ pname = "rlottie-qml";
+ version = "0-unstable-2021-05-03";
+
+ src = fetchFromGitLab {
+ owner = "mymike00";
+ repo = "rlottie-qml";
+ rev = "f9506889a284039888c7a43db37e155bb7b30c40";
+ hash = "sha256-e2/4e1GGFfJMwShy6qgnUVVRxjV4WfjQwcqs09RK194=";
+ };
+
+ outputs = [
+ "out"
+ "dev"
+ ];
+
+ patches = [
+ # Remove when https://gitlab.com/mymike00/rlottie-qml/-/merge_requests/1 merged
+ (fetchpatch {
+ name = "0001-rlottie-qml-Use-upstream-QuaZip-config-module.patch";
+ url = "https://gitlab.com/mymike00/rlottie-qml/-/commit/5656211dd8ae190795e343f47a3393fd3d8d25a4.patch";
+ hash = "sha256-t2NlYVU+D8hKd+AvBWPEavAhJKlk7Q3y2iAQSYtks5k=";
+ })
+ ];
+
+ postPatch = ''
+ # Fix QML install path
+ substituteInPlace CMakeLists.txt \
+ --replace-fail 'QT_IMPORTS_DIR "/lib/''${ARCH_TRIPLET}"' 'QT_IMPORTS_DIR "''${CMAKE_INSTALL_PREFIX}/${qtbase.qtQmlPrefix}"' \
+ --replace-fail "\''${QT_IMPORTS_DIR}/\''${PLUGIN}" "\''${QT_IMPORTS_DIR}" \
+ '';
+
+ strictDeps = true;
+
+ nativeBuildInputs = [
+ cmake
+ ];
+
+ buildInputs = [
+ rlottie
+ qtbase
+ qtdeclarative
+ qtmultimedia
+ ];
+
+ propagatedBuildInputs = [
+ # Config module requires this
+ quazip
+ ];
+
+ # Only a QML module
+ dontWrapQtApps = true;
+
+ meta = with lib; {
+ description = "Library for using rlottie via QML";
+ homepage = "https://gitlab.com/mymike00/rlottie-qml";
+ license = licenses.gpl3Only;
+ maintainers = with maintainers; [ OPNA2608 ];
+ platforms = platforms.all;
+ };
+})
diff --git a/pkgs/development/libraries/rocksdb/default.nix b/pkgs/development/libraries/rocksdb/default.nix
index c25a349ba32d..6c2aaaa8815a 100644
--- a/pkgs/development/libraries/rocksdb/default.nix
+++ b/pkgs/development/libraries/rocksdb/default.nix
@@ -39,20 +39,9 @@ stdenv.mkDerivation (finalAttrs: {
"tools"
];
- env.NIX_CFLAGS_COMPILE = toString (lib.optionals stdenv.cc.isGNU [
- "-Wno-error=deprecated-copy"
- "-Wno-error=pessimizing-move"
- # Needed with GCC 12
- "-Wno-error=format-truncation"
- "-Wno-error=maybe-uninitialized"
- ] ++ lib.optionals stdenv.cc.isClang [
- "-Wno-error=unused-private-field"
- "-faligned-allocation"
- ] ++ lib.optionals (lib.versionOlder finalAttrs.version "8") [
- "-Wno-error=unused-but-set-variable"
- ] ++ lib.optionals (lib.versionOlder finalAttrs.version "7") [
- "-Wno-error=deprecated-copy"
- ]);
+ env.NIX_CFLAGS_COMPILE = toString (lib.optionals stdenv.cc.isClang [
+ "-faligned-allocation"
+ ]);
cmakeFlags = [
"-DPORTABLE=1"
@@ -71,12 +60,25 @@ stdenv.mkDerivation (finalAttrs: {
"-DUSE_RTTI=1"
"-DROCKSDB_INSTALL_ON_WINDOWS=YES" # harmless elsewhere
(lib.optional sse42Support "-DFORCE_SSE42=1")
- "-DFAIL_ON_WARNINGS=${if stdenv.hostPlatform.isMinGW then "NO" else "YES"}"
+ "-DFAIL_ON_WARNINGS=NO"
] ++ lib.optional (!enableShared) "-DROCKSDB_BUILD_SHARED=0";
# otherwise "cc1: error: -Wformat-security ignored without -Wformat [-Werror=format-security]"
hardeningDisable = lib.optional stdenv.hostPlatform.isWindows "format";
+ postPatch = lib.optionalString (lib.versionOlder finalAttrs.version "8") ''
+ # Fix gcc-13 build failures due to missing and
+ # includes, fixed upstyream sice 8.x
+ sed -e '1i #include ' -i db/compaction/compaction_iteration_stats.h
+ sed -e '1i #include ' -i table/block_based/data_block_hash_index.h
+ sed -e '1i #include ' -i util/string_util.h
+ sed -e '1i #include ' -i include/rocksdb/utilities/checkpoint.h
+ '' + lib.optionalString (lib.versionOlder finalAttrs.version "7") ''
+ # Fix gcc-13 build failures due to missing and
+ # includes, fixed upstyream sice 7.x
+ sed -e '1i #include ' -i third-party/folly/folly/synchronization/detail/ProxyLockable-inl.h
+ '';
+
preInstall = ''
mkdir -p $tools/bin
cp tools/{ldb,sst_dump}${stdenv.hostPlatform.extensions.executable} $tools/bin/
diff --git a/pkgs/development/libraries/rote/default.nix b/pkgs/development/libraries/rote/default.nix
index 4655d54ab98e..65e2ecd09150 100644
--- a/pkgs/development/libraries/rote/default.nix
+++ b/pkgs/development/libraries/rote/default.nix
@@ -15,6 +15,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Our Own Terminal Emulation Library";
+ mainProgram = "rote-config";
longDescription = ''
ROTE is a simple C library for VT102 terminal emulation. It allows the
programmer to set up virtual 'screens' and send them data. The virtual
diff --git a/pkgs/development/libraries/rtlcss/default.nix b/pkgs/development/libraries/rtlcss/default.nix
index a553e8d33ddf..327e7b2626da 100644
--- a/pkgs/development/libraries/rtlcss/default.nix
+++ b/pkgs/development/libraries/rtlcss/default.nix
@@ -17,6 +17,7 @@ buildNpmPackage rec {
meta = with lib; {
description = "Framework for converting Left-To-Right (LTR) Cascading Style Sheets(CSS) to Right-To-Left (RTL)";
+ mainProgram = "rtlcss";
homepage = "https://rtlcss.com";
license = licenses.mit;
maintainers = with maintainers; [ ];
diff --git a/pkgs/development/libraries/s2geometry/default.nix b/pkgs/development/libraries/s2geometry/default.nix
index afd5ffa0a0a2..a8893b698a48 100644
--- a/pkgs/development/libraries/s2geometry/default.nix
+++ b/pkgs/development/libraries/s2geometry/default.nix
@@ -22,6 +22,12 @@ stdenv.mkDerivation rec {
nativeBuildInputs = [ cmake pkg-config ];
buildInputs = [ openssl gtest ];
+ # Default of C++11 is too low for gtest.
+ # In newer versions of s2geometry this can be done with cmakeFlags.
+ postPatch = ''
+ substituteInPlace CMakeLists.txt --replace "CMAKE_CXX_STANDARD 11" "CMAKE_CXX_STANDARD 14"
+ '';
+
meta = with lib; {
description = "Computational geometry and spatial indexing on the sphere";
homepage = "http://s2geometry.io/";
diff --git a/pkgs/development/libraries/s2n-tls/default.nix b/pkgs/development/libraries/s2n-tls/default.nix
index 9f68c243cf50..a8c8a22ff1bb 100644
--- a/pkgs/development/libraries/s2n-tls/default.nix
+++ b/pkgs/development/libraries/s2n-tls/default.nix
@@ -8,13 +8,13 @@
stdenv.mkDerivation rec {
pname = "s2n-tls";
- version = "1.4.3";
+ version = "1.4.6";
src = fetchFromGitHub {
owner = "aws";
repo = pname;
rev = "v${version}";
- hash = "sha256-E3Giiu8xiTCSAPkbxOaVL/LXZWjhAS1M/n//Pe5eOKg=";
+ hash = "sha256-x4/AkmkmuTKxzlk8AxbydA4GctpShsKiFTTJ8m7B4TY=";
};
nativeBuildInputs = [ cmake ];
diff --git a/pkgs/development/libraries/science/astronomy/cfitsio/default.nix b/pkgs/development/libraries/science/astronomy/cfitsio/default.nix
index aa8c3f54e2fe..65f7d61d0269 100644
--- a/pkgs/development/libraries/science/astronomy/cfitsio/default.nix
+++ b/pkgs/development/libraries/science/astronomy/cfitsio/default.nix
@@ -8,11 +8,11 @@
stdenv.mkDerivation (finalAttrs: {
pname = "cfitsio";
- version = "4.3.1";
+ version = "4.4.0";
src = fetchurl {
url = "https://heasarc.gsfc.nasa.gov/FTP/software/fitsio/c/cfitsio-${finalAttrs.version}.tar.gz";
- hash = "sha256-R6fI7gVoe+Hh2O7rlPuI8GD7882KTfUsy4jV6w9QYr4=";
+ hash = "sha256-lZAM+VrnYIOefLlninsvrQhY1qwSI0+TS9HLa/wka6k=";
};
patches = [
diff --git a/pkgs/development/libraries/science/astronomy/libnova/default.nix b/pkgs/development/libraries/science/astronomy/libnova/default.nix
index f6a8d01ab845..2f5f6851fd8d 100644
--- a/pkgs/development/libraries/science/astronomy/libnova/default.nix
+++ b/pkgs/development/libraries/science/astronomy/libnova/default.nix
@@ -17,6 +17,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Celestial Mechanics, Astrometry and Astrodynamics Library";
+ mainProgram = "libnovaconfig";
homepage = "http://libnova.sf.net";
license = licenses.gpl2;
maintainers = with maintainers; [ hjones2199 ];
diff --git a/pkgs/development/libraries/science/biology/bicgl/default.nix b/pkgs/development/libraries/science/biology/bicgl/default.nix
index 4f98874b2595..07d64fbd97ed 100644
--- a/pkgs/development/libraries/science/biology/bicgl/default.nix
+++ b/pkgs/development/libraries/science/biology/bicgl/default.nix
@@ -28,6 +28,6 @@ stdenv.mkDerivation rec {
description = "Brain Imaging Centre graphics library";
maintainers = with maintainers; [ bcdarwin ];
platforms = platforms.unix;
- license = licenses.free;
+ license = licenses.hpndUc;
};
}
diff --git a/pkgs/development/libraries/science/biology/bicpl/default.nix b/pkgs/development/libraries/science/biology/bicpl/default.nix
index 5cf63e342242..c15689aa606a 100644
--- a/pkgs/development/libraries/science/biology/bicpl/default.nix
+++ b/pkgs/development/libraries/science/biology/bicpl/default.nix
@@ -25,6 +25,6 @@ stdenv.mkDerivation rec {
description = "Brain Imaging Centre programming library";
maintainers = with maintainers; [ bcdarwin ];
platforms = platforms.unix;
- license = licenses.free;
+ license = with licenses; [ hpndUc gpl3Plus ];
};
}
diff --git a/pkgs/development/libraries/science/biology/mirtk/default.nix b/pkgs/development/libraries/science/biology/mirtk/default.nix
index 9af6793ef997..ce02474eeb1e 100644
--- a/pkgs/development/libraries/science/biology/mirtk/default.nix
+++ b/pkgs/development/libraries/science/biology/mirtk/default.nix
@@ -65,6 +65,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://github.com/BioMedIA/MIRTK";
description = "Medical image registration library and tools";
+ mainProgram = "mirtk";
maintainers = with maintainers; [ bcdarwin ];
platforms = platforms.linux;
license = licenses.asl20;
diff --git a/pkgs/development/libraries/science/chemistry/avogadrolibs/default.nix b/pkgs/development/libraries/science/chemistry/avogadrolibs/default.nix
index 7966cd4be5f6..e50bde243e85 100644
--- a/pkgs/development/libraries/science/chemistry/avogadrolibs/default.nix
+++ b/pkgs/development/libraries/science/chemistry/avogadrolibs/default.nix
@@ -1,4 +1,4 @@
-{ lib, stdenv, fetchFromGitHub, cmake, zlib, eigen, libGL, doxygen, spglib
+{ lib, stdenv, fetchFromGitHub, fetchpatch, cmake, zlib, eigen, libGL, doxygen, spglib
, mmtf-cpp, glew, python3, libarchive, libmsym, msgpack, qttools, wrapQtAppsHook
}:
@@ -18,26 +18,43 @@ let
rev = "1.0.1";
sha256 = "sH/WuvLaYu6akOc3ssAKhnxD8KNoDxuafDSozHqJZC4=";
};
+ fragmentsRepo = fetchFromGitHub {
+ owner = "OpenChemistry";
+ repo = "fragments";
+ rev = "8dc711a59d016604b3e9b6d59dec178b8e6ccd36";
+ hash = "sha256-Valc5zwlaZ//eDupFouCfWCeID7/4ObU1SDLFJ/mo/g=";
+ };
in stdenv.mkDerivation rec {
pname = "avogadrolibs";
- version = "1.98.1";
+ version = "1.99.0";
src = fetchFromGitHub {
owner = "OpenChemistry";
repo = pname;
rev = version;
- hash = "sha256-BuBMWW7N5Cu9tw5Vpwk+aoIaMWwHViRzLtIG7XDWjN4=";
+ hash = "sha256-3jUbzEd7tUeHlVFAO9KJ+LOQlkLzJQvwmHp8gOriZRI=";
};
postUnpack = ''
cp -r ${moleculesRepo} molecules
cp -r ${crystalsRepo} crystals
+ cp -r ${fragmentsRepo} fragments
'';
+ patches = [
+ # Fix a Cmake error when searching the fragments directory.
+ # Can be removed upon next release
+ (fetchpatch {
+ url = "https://github.com/OpenChemistry/avogadrolibs/commit/6e2e84dbb088a40d69117c1836f4306792f57acd.patch";
+ hash = "sha256-0tY9kHh6e5IDZQ8cWPgTpwIBhfZQlgUEZbPHOmtOVUQ=";
+ })
+ ];
+
nativeBuildInputs = [
cmake
wrapQtAppsHook
+ pythonWP
];
buildInputs = [
diff --git a/pkgs/development/libraries/science/chemistry/avogadrolibs/fragments.patch b/pkgs/development/libraries/science/chemistry/avogadrolibs/fragments.patch
new file mode 100644
index 000000000000..0f886a8a63a5
--- /dev/null
+++ b/pkgs/development/libraries/science/chemistry/avogadrolibs/fragments.patch
@@ -0,0 +1,13 @@
+diff --git a/avogadro/qtplugins/templatetool/CMakeLists.txt b/avogadro/qtplugins/templatetool/CMakeLists.txt
+index 3f68e6dd..822de4e5 100644
+--- a/avogadro/qtplugins/templatetool/CMakeLists.txt
++++ b/avogadro/qtplugins/templatetool/CMakeLists.txt
+@@ -24,7 +24,7 @@ avogadro_plugin(TemplateTool
+ )
+
+ # Install the fragments
+-set(_fragments "${AvogadroLibs_SOURCE_DIR}/../fragments")
++set(_fragments "${AvogadroLibs_SOURCE_DIR}/fragments")
+
+ # Look in parallel directory for the molecule fragment repository
+ if(NOT EXISTS "${_fragments}")
diff --git a/pkgs/development/libraries/science/chemistry/dftd4/default.nix b/pkgs/development/libraries/science/chemistry/dftd4/default.nix
index d791a7d4e3d6..087c6e7d01de 100644
--- a/pkgs/development/libraries/science/chemistry/dftd4/default.nix
+++ b/pkgs/development/libraries/science/chemistry/dftd4/default.nix
@@ -46,6 +46,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Generally Applicable Atomic-Charge Dependent London Dispersion Correction";
+ mainProgram = "dftd4";
license = with licenses; [ lgpl3Plus gpl3Plus ];
homepage = "https://github.com/grimme-lab/dftd4";
platforms = platforms.linux;
diff --git a/pkgs/development/libraries/science/chemistry/harminv/default.nix b/pkgs/development/libraries/science/chemistry/harminv/default.nix
index 54c0d03979ab..dc8289e14ce9 100644
--- a/pkgs/development/libraries/science/chemistry/harminv/default.nix
+++ b/pkgs/development/libraries/science/chemistry/harminv/default.nix
@@ -34,6 +34,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Harmonic inversion algorithm of Mandelshtam: decompose signal into sum of decaying sinusoids";
+ mainProgram = "GDSIIConvert";
homepage = "https://github.com/NanoComp/harminv";
license = with licenses; [ gpl2Only ];
maintainers = with maintainers; [ sheepforce markuskowa ];
diff --git a/pkgs/development/libraries/science/chemistry/libGDSII/default.nix b/pkgs/development/libraries/science/chemistry/libGDSII/default.nix
index c3257bad3e26..095e0ee182a3 100644
--- a/pkgs/development/libraries/science/chemistry/libGDSII/default.nix
+++ b/pkgs/development/libraries/science/chemistry/libGDSII/default.nix
@@ -24,6 +24,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Library and command-line utility for reading GDSII geometry files";
+ mainProgram = "GDSIIConvert";
homepage = "https://github.com/HomerReid/libGDSII";
license = [ licenses.gpl2Only ];
maintainers = with maintainers; [ sheepforce markuskowa ];
diff --git a/pkgs/development/libraries/science/chemistry/libvdwxc/default.nix b/pkgs/development/libraries/science/chemistry/libvdwxc/default.nix
index f90cad4a099f..c0da65e7c788 100644
--- a/pkgs/development/libraries/science/chemistry/libvdwxc/default.nix
+++ b/pkgs/development/libraries/science/chemistry/libvdwxc/default.nix
@@ -28,7 +28,7 @@ stdenv.mkDerivation rec {
export PATH=$PATH:${mpi}/bin
configureFlagsArray+=(
- --with-mpi=${mpi}
+ --with-mpi=${lib.getDev mpi}
CC=mpicc
FC=mpif90
MPICC=mpicc
diff --git a/pkgs/development/libraries/science/chemistry/mctc-lib/default.nix b/pkgs/development/libraries/science/chemistry/mctc-lib/default.nix
index a3726ea5e5dd..a8c27b3eec56 100644
--- a/pkgs/development/libraries/science/chemistry/mctc-lib/default.nix
+++ b/pkgs/development/libraries/science/chemistry/mctc-lib/default.nix
@@ -38,6 +38,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Modular computation tool chain library";
+ mainProgram = "mctc-convert";
homepage = "https://github.com/grimme-lab/mctc-lib";
license = licenses.asl20;
platforms = platforms.linux;
diff --git a/pkgs/development/libraries/science/chemistry/molequeue/default.nix b/pkgs/development/libraries/science/chemistry/molequeue/default.nix
index b560ac16c698..5cd8669c5597 100644
--- a/pkgs/development/libraries/science/chemistry/molequeue/default.nix
+++ b/pkgs/development/libraries/science/chemistry/molequeue/default.nix
@@ -26,6 +26,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Desktop integration of high performance computing resources";
+ mainProgram = "molequeue";
maintainers = with maintainers; [ sheepforce ];
homepage = "https://github.com/OpenChemistry/molequeue";
platforms = platforms.linux;
diff --git a/pkgs/development/libraries/science/chemistry/multicharge/default.nix b/pkgs/development/libraries/science/chemistry/multicharge/default.nix
index b90f073a4f2e..020390737139 100644
--- a/pkgs/development/libraries/science/chemistry/multicharge/default.nix
+++ b/pkgs/development/libraries/science/chemistry/multicharge/default.nix
@@ -45,6 +45,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Electronegativity equilibration model for atomic partial charges";
+ mainProgram = "multicharge";
license = licenses.asl20;
homepage = "https://github.com/grimme-lab/multicharge";
platforms = platforms.linux;
diff --git a/pkgs/development/libraries/science/chemistry/openmm/default.nix b/pkgs/development/libraries/science/chemistry/openmm/default.nix
index 9b37f14f1403..d146fa073dc3 100644
--- a/pkgs/development/libraries/science/chemistry/openmm/default.nix
+++ b/pkgs/development/libraries/science/chemistry/openmm/default.nix
@@ -100,6 +100,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Toolkit for molecular simulation using high performance GPU code";
+ mainProgram = "TestReferenceHarmonicBondForce";
homepage = "https://openmm.org/";
license = with licenses; [ gpl3Plus lgpl3Plus mit ];
platforms = platforms.linux;
diff --git a/pkgs/development/libraries/science/chemistry/simple-dftd3/default.nix b/pkgs/development/libraries/science/chemistry/simple-dftd3/default.nix
index ae5e30d73608..d4f81e3a24cb 100644
--- a/pkgs/development/libraries/science/chemistry/simple-dftd3/default.nix
+++ b/pkgs/development/libraries/science/chemistry/simple-dftd3/default.nix
@@ -44,6 +44,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Reimplementation of the DFT-D3 program";
+ mainProgram = "s-dftd3";
license = with licenses; [ lgpl3Only gpl3Only ];
homepage = "https://github.com/dftd3/simple-dftd3";
platforms = [ "x86_64-linux" ];
diff --git a/pkgs/development/libraries/science/chemistry/tblite/default.nix b/pkgs/development/libraries/science/chemistry/tblite/default.nix
index bea5793addbf..64374972c295 100644
--- a/pkgs/development/libraries/science/chemistry/tblite/default.nix
+++ b/pkgs/development/libraries/science/chemistry/tblite/default.nix
@@ -67,6 +67,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "Light-weight tight-binding framework";
+ mainProgram = "tblite";
license = with licenses; [ gpl3Plus lgpl3Plus ];
homepage = "https://github.com/tblite/tblite";
platforms = platforms.linux;
diff --git a/pkgs/development/libraries/science/math/amd-blis/default.nix b/pkgs/development/libraries/science/math/amd-blis/default.nix
index f6d00411221c..17838ab37390 100644
--- a/pkgs/development/libraries/science/math/amd-blis/default.nix
+++ b/pkgs/development/libraries/science/math/amd-blis/default.nix
@@ -21,13 +21,13 @@ let
in stdenv.mkDerivation rec {
pname = "amd-blis";
- version = "4.1";
+ version = "4.2";
src = fetchFromGitHub {
owner = "amd";
repo = "blis";
rev = version;
- hash = "sha256-1vd4uBg/+Vufqsr+MnAWSUW/THkribHNSMeq1/is8K4=";
+ hash = "sha256-mLigzaA2S7qFCQT8UWC6bHWAvBjgpqvtgabPyFWBYT0=";
};
inherit blas64;
diff --git a/pkgs/development/libraries/science/math/amd-libflame/default.nix b/pkgs/development/libraries/science/math/amd-libflame/default.nix
index b03352c54e8a..0ca1c4950541 100644
--- a/pkgs/development/libraries/science/math/amd-libflame/default.nix
+++ b/pkgs/development/libraries/science/math/amd-libflame/default.nix
@@ -14,13 +14,13 @@
stdenv.mkDerivation rec {
pname = "amd-libflame";
- version = "4.1";
+ version = "4.2";
src = fetchFromGitHub {
owner = "amd";
repo = "libflame";
rev = version;
- hash = "sha256-SZk11oOAnvn1vb7ucX6U9b0YtAJNxl3tQu4ExHpwwoo=";
+ hash = "sha256-eiH2eq+nKUjlB1bZTZNRW1+efCHZ68UOSFy0NpcY1FI=";
};
postPatch = ''
diff --git a/pkgs/development/libraries/science/math/bonmin/default.nix b/pkgs/development/libraries/science/math/bonmin/default.nix
index 5a736f976957..2bbd698f7fa7 100644
--- a/pkgs/development/libraries/science/math/bonmin/default.nix
+++ b/pkgs/development/libraries/science/math/bonmin/default.nix
@@ -43,6 +43,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "An open-source code for solving general MINLP (Mixed Integer NonLinear Programming) problems";
+ mainProgram = "bonmin";
homepage = "https://github.com/coin-or/Bonmin";
license = licenses.epl10;
platforms = platforms.unix;
diff --git a/pkgs/development/libraries/science/math/clblast/default.nix b/pkgs/development/libraries/science/math/clblast/default.nix
index 23d749f1b297..e4bbd09a7586 100644
--- a/pkgs/development/libraries/science/math/clblast/default.nix
+++ b/pkgs/development/libraries/science/math/clblast/default.nix
@@ -9,13 +9,13 @@
stdenv.mkDerivation rec {
pname = "clblast";
- version = "1.6.1";
+ version = "1.6.2";
src = fetchFromGitHub {
owner = "CNugteren";
repo = "CLBlast";
rev = version;
- hash = "sha256-1ddjmoLhFoLi/z2cae0HZidUTySsZQDk1T8MVPTbfi4=";
+ hash = "sha256-S25g25Il6rzkpU9IqOFDDeEr3uYyt/uewZZAl09YSts=";
};
nativeBuildInputs = [
diff --git a/pkgs/development/libraries/science/math/faiss/default.nix b/pkgs/development/libraries/science/math/faiss/default.nix
index 25ac539e05f2..25d6542f8da1 100644
--- a/pkgs/development/libraries/science/math/faiss/default.nix
+++ b/pkgs/development/libraries/science/math/faiss/default.nix
@@ -145,6 +145,7 @@ stdenv.mkDerivation {
meta = with lib; {
description = "A library for efficient similarity search and clustering of dense vectors by Facebook Research";
+ mainProgram = "demo_ivfpq_indexing";
homepage = "https://github.com/facebookresearch/faiss";
license = licenses.mit;
platforms = platforms.unix;
diff --git a/pkgs/development/libraries/science/math/itpp/default.nix b/pkgs/development/libraries/science/math/itpp/default.nix
index b5e2f4b80d3b..cb45787e1403 100644
--- a/pkgs/development/libraries/science/math/itpp/default.nix
+++ b/pkgs/development/libraries/science/math/itpp/default.nix
@@ -28,7 +28,7 @@ stdenv.mkDerivation rec {
];
cmakeFlags = [
- "-DCMAKE_CXX_FLAGS=-std=c++11"
+ "-DCMAKE_CXX_FLAGS=-std=c++14"
"-DBLAS_FOUND:BOOL=TRUE"
"-DBLAS_LIBRARIES:STRING=${blas}/lib/libblas.so"
"-DLAPACK_FOUND:BOOL=TRUE"
@@ -44,6 +44,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
description = "IT++ is a C++ library of mathematical, signal processing and communication classes and functions";
+ mainProgram = "itpp-config";
homepage = "https://itpp.sourceforge.net/";
license = licenses.gpl3;
platforms = platforms.unix;
diff --git a/pkgs/development/libraries/science/math/lcalc/default.nix b/pkgs/development/libraries/science/math/lcalc/default.nix
index b1896917658c..f6603f7d8fb8 100644
--- a/pkgs/development/libraries/science/math/lcalc/default.nix
+++ b/pkgs/development/libraries/science/math/lcalc/default.nix
@@ -34,6 +34,7 @@ stdenv.mkDerivation rec {
meta = with lib; {
homepage = "https://gitlab.com/sagemath/lcalc";
description = "A program for calculating with L-functions";
+ mainProgram = "lcalc";
license = with licenses; [ gpl2 ];
maintainers = teams.sage.members;
platforms = platforms.all;
diff --git a/pkgs/development/libraries/science/math/libamplsolver/default.nix b/pkgs/development/libraries/science/math/libamplsolver/default.nix
index c0bc89b492ea..a40091bac8b5 100644
--- a/pkgs/development/libraries/science/math/libamplsolver/default.nix
+++ b/pkgs/development/libraries/science/math/libamplsolver/default.nix
@@ -12,7 +12,7 @@ stdenv.mkDerivation rec {
patches = [
(substitute {
src = ./libamplsolver-sharedlib.patch;
- replacements = [ "--replace" "@sharedlibext@" "${stdenv.hostPlatform.extensions.sharedLibrary}" ];
+ substitutions = [ "--replace" "@sharedlibext@" "${stdenv.hostPlatform.extensions.sharedLibrary}" ];
})
];
diff --git a/pkgs/development/libraries/science/math/magma/generic.nix b/pkgs/development/libraries/science/math/magma/generic.nix
index 7ef60e0418ed..757a1b77dafc 100644
--- a/pkgs/development/libraries/science/math/magma/generic.nix
+++ b/pkgs/development/libraries/science/math/magma/generic.nix
@@ -5,35 +5,48 @@
# supportedGpuTargets: List String
# }
-{ blas
+{ autoPatchelfHook
+, blas
, cmake
+, cudaPackages_11 ? null
, cudaPackages
, cudaSupport ? config.cudaSupport
, fetchurl
, gfortran
-, cudaCapabilities ? cudaPackages.cudaFlags.cudaCapabilities
, gpuTargets ? [ ] # Non-CUDA targets, that is HIP
-, rocmPackages
+, rocmPackages_5
, lapack
, lib
, libpthreadstubs
, magmaRelease
, ninja
+, python3
, config
# At least one back-end has to be enabled,
# and we can't default to CUDA since it's unfree
, rocmSupport ? !cudaSupport
, static ? stdenv.hostPlatform.isStatic
, stdenv
-, symlinkJoin
}:
let
inherit (lib) lists strings trivial;
- inherit (cudaPackages) backendStdenv cudaFlags cudaVersion;
inherit (magmaRelease) version hash supportedGpuTargets;
+ # Per https://icl.utk.edu/magma/downloads, support for CUDA 12 wasn't added until 2.7.1.
+ # If we're building a version prior to that, use the latest release of the 11.x series.
+ effectiveCudaPackages =
+ if strings.versionOlder version "2.7.1"
+ then cudaPackages_11
+ else cudaPackages;
+
+ inherit (effectiveCudaPackages) cudaAtLeast cudaFlags cudaOlder;
+ inherit (cudaFlags) cudaCapabilities;
+
+ # move to newer ROCm version once supported
+ rocmPackages = rocmPackages_5;
+
# NOTE: The lists.subtractLists function is perhaps a bit unintuitive. It subtracts the elements
# of the first list *from* the second list. That means:
# lists.subtractLists a b = b - a
@@ -95,19 +108,34 @@ stdenv.mkDerivation {
inherit hash;
};
+ # Magma doesn't have anything which could be run under doCheck, but it does build test suite executables.
+ # These are moved to $test/bin/ and $test/lib/ in postInstall.
+ outputs = ["out" "test"];
+
+ # Fixup for the python test runners
+ postPatch = ''
+ patchShebangs ./testing/run_{tests,summarize}.py
+ substituteInPlace ./testing/run_tests.py \
+ --replace-fail \
+ "print >>sys.stderr, cmdp, \"doesn't exist (original name: \" + cmd + \", precision: \" + precision + \")\"" \
+ "print(f\"{cmdp} doesn't exist (original name: {cmd}, precision: {precision})\", file=sys.stderr)"
+ '';
+
nativeBuildInputs = [
+ autoPatchelfHook
cmake
ninja
gfortran
] ++ lists.optionals cudaSupport [
- cudaPackages.cuda_nvcc
+ effectiveCudaPackages.cuda_nvcc
];
buildInputs = [
libpthreadstubs
lapack
blas
- ] ++ lists.optionals cudaSupport (with cudaPackages; [
+ python3
+ ] ++ lists.optionals cudaSupport (with effectiveCudaPackages; [
cuda_cudart.dev # cuda_runtime.h
cuda_cudart.lib # cudart
cuda_cudart.static # cudart_static
@@ -115,11 +143,11 @@ stdenv.mkDerivation {
libcublas.lib # cublas
libcusparse.dev # cusparse.h
libcusparse.lib # cusparse
- ] ++ lists.optionals (strings.versionOlder cudaVersion "11.8") [
+ ] ++ lists.optionals (cudaOlder "11.8") [
cuda_nvprof.dev #
- ] ++ lists.optionals (strings.versionAtLeast cudaVersion "11.8") [
+ ] ++ lists.optionals (cudaAtLeast "11.8") [
cuda_profiler_api.dev #